-- dump date 20170509_025336 -- class Genbank::CDS -- table cds_translation -- id translation LY89DRAFT_556713 WLPFCNAVFFAERTTVHKPTGYTPFYMVYGREAVLPIETEFSTW RTLDWNKVNDRADLLELRAR LY89DRAFT_679019 MDRFNTIHPLKKELINVGDKVLLYDIFKVDKDMSRSVKLNYRWL GPFKVSSANHGKGTYELQELDGVDKKGTFAGNRLKKFVEESGYWYSINDKPEKSIEKK EPYVLPRTRQETRKDTRQVVRVPIPQVQIPTLSQTQRDQYIRFEDD LY89DRAFT_679020 MSSNSQQIERFAIAAPQNGEFSMKKMTDEEISVNLFTKGQMKVK EAFEYLKTLAPPERFAEAMHICSQFDYARWTYENAYVRVGDALLEEAGFDVDTIKELK ATRPIFFGLLNDIKTNQNASEVAFSSLKATLLERPKAVSGCPTKVYKRAEKFFDYLLT TAWMGKGFYNALAGLFRVADLPPRSAILLLNAEILARVVSHPLAVSRHGHFVAPVITA AKNRVFSRLGHNSARELRFSPYNRSFLRSYELKFNEAGLLQKGNVGDNEGFPEFDDEP IGSSNAWLPVLIHEDELDRPDTPPLLVVPVTPTQTTRTLRERDETKKVLSTSKTADET PTAPASRLPAKRKRRAAPRQRAQTVEGEEDEESDSSEDSSEKGSPIFAGLVERKDKSP SHPATDVSLQRESSLSDTYHSLAAGGDDDFGLDNDDFEPFLGDGPSANEFVVRSPEPL PNDGDQYDCLSLDNISIPSMAPQSARPITVEVISEVGRWFNPTVPDSRGRVSGQKGGN IVPKLLDEFKMLKFHCAERPNQPEAQEVLHNSAFSLTAQILWSQPRLWTEFRARLPSQ PEHLVARPLPAIRGKGHVPFDSSLLSRTLLRSDFKSLGPVIGFFLLTEGHCSAVAQAI PRSESLEDGEERESEGLPVPCNPLDFIIFTDFVGQISLKIFFDSPRPWIVVPIQLLPV YNGVVGRGGSCTPSLSQTARSFETKTPAFGRVTTSPKYQVRIPELDAFDGMIRGNLMM DDPLLRVTLAEWMQCEVLSPTISSKKLVGERRARLRELVREYYTKIVTIEMTLYGVNS YFANPKGKQAAIPTPALTAATGIGDPGPSTEASHRAESLRLGDVRAGESEESEGYV LY89DRAFT_633312 MRNRQAIQRWVKECQETFEEIVQRLAGIKSETCYTLSDFPLLPM SYDGLHRMMTKTLPEAGVTQDNIEDIYPCAPLQEGLLISQLKTPSLYHVYAMFEVCSS PGGPPVDRKRLASAWQKVVDRHAALRTVFVDSVCKGAIFNQVVLKKVDSGLITIHCEE TEAVEKLSSVSILNANYTKQPRLPHQSTICETTSGKIYLKTEVNHAVIDGTSANIILH DLTAAYHGELPDGPGPLFSNYIAYIKRRAPGESINFWKAYLEGASICNFPVQIEKLPK DRQVNAITMNFERFPALQDLGKKLNVTIANIMSAAWAFCLREYTKSDDVSFGYLTSGR DVPVHGVQGALGAFINILVCRVKFAKRATLDEIFKAVQNDYLQSLEHQHVSLAQVQHD LMSGRALFNTAVSIQSDRPSENQEKNHIIFKSILAHDPSEYSVTLNIRTLKGEEGVVI RYWTDVMSPDQAEGLSNMLGTVLDYFINKPDQLVEELDLSQYRKVPRPQLLLGAAPTN EPSIIPPPIIMSETQLRNIISECVREVMGQILSSEAFPAHAQGVRSDTVSVVKQETIH SMIDYAQLTTSPPPRPQMARASSANTIASIMQLSPVEQKLLSVWSELLQISKESIKKD DSFFKLGGDSIVAMQMVGMARDEDLALTVANIFTHPTFAEMAAVIRMAEEAQSPSSVA VARDYIEAHNSRSQAIQNAFYQRYSLLEAQDVDTFLQQNICPKVRSFRGGIVDVFPVT DFQALAITGALMESKWMLNYFYLEADGDINLKILKSAIARMVNAFDILRTVFTPYNNR FFQVVLRKLQPSFSVHDTEDLESFTTALQQQSRKNGPRPGESYLQFTVAQQKNSNRHR IIMRISHAQYDGVCLPSILSALQSGYEGHSIPETPDFSAYVRDAARQTTDEHYLYWKS LLKGSAMTEIVRRRGPNYSRGTEAPTNLKRVVRMPSLASEGITAATIIKAAWSLVLAK WSAQSDVVFGNVISGRNAHVPGVDRIIGPCVNMIPVRVNFQEDWTVLDLLHSIQDQQV TAMPYESLGFREIIKHCTSWPDWTNFSTVCQHQNIQRQTQIQLGDNEYTLGAIGSQED FADLTVLSTPQEGNQIEISLIFTSNSGITLPFAEAMFEALCETAVSFPKDPMASLPPP PELSLMPQRTLDEVVTSAREDMSLDPKDLSRDELSTHSAMLTMIWRQILGEKTGQVTP IALEASFFELGGDIIGIAQVGSLLEQEGVKMGMEDLVDHPKMIEQISLIAAEVRKEKE ALAAEAMVASVQDAPELPKKGLKGILEKSVKLAKKIRRRRDNEGHT LY89DRAFT_31 MLENDSRSLVAGVTALVLVLLLTLPSLIGIASHLREPKPKSTKY EDKDGVATEKSVAEYSAKIPKISLGIFTVTGLSLSIALAVLGLVGQDDGLFIENWINV AQWSLIVLQTIAISLIRNPVKSYDWGVYSAVSSIFLFAVLLFEDGLIAQKNEAEESFG TSEQNALRIVQLALVILAAGAGISIPRRPQVFYDGTPVDAMYTVSALGRYSFSWCAHL LRLSRTKNRLELEDLPKMDHLTRSKDLSNAWAHRNHTKKLWIEVFLAHKVAFIIQWIL TLLQAFGNFAPQFVTYHILMILEKKKPGDSVTAEAWIWVVTLTLATVGASWIESWLFW ISWSEVAIPIRAQLSALIFQKAMRRKDVKGASKAPKKENGDGPDMSDASNNATAGDKP EIETEEDTEPKGKQSTVNLIGVDTKRVSDFCSFNNYFAGSLFKLIVSFAFLISIIGWK ALLCGFAAMSLTIPLNIYFSKRYSDAQDRLMKVRDTKMGVVTEALQGIRQIKFSALED NWHAKIGKVRERELGEQWSVYVADTFLLFCWITSPIALAATSLAVYSIMNGELQPSVA FTAIGVFGNLEVTLAVIPELTTDLIDAWISVERIEKYLNAPEISKNTSAAPNISFENA SIAWPSDEEKEDDDLRYVLRNINVSFPDNELSVVSGKTGTGKSLLLASILGEVDVLAG TISVPQAPHTRDRHDHLANKDNWIIPSSIAFVAQIPWIENASIKDNILFGLPYDNYRY NKVIEVCALRKDLDMLTDGENTEIGANGINLSGGQRWRVTFARALYSRAGILVLDDIF SAVDAHVGRFIFEKGLTGELGVGRTRILVTHHVALCKSKTKYIVELGDGTVENAGMVQ ELEAEGTLQQIISHEENEVGHEGDEDPTAVNSEESSDGDTIETLQKIDSKKATPKKFV EDEKRERGRVKTGIYLEYLRSSGGAPFWALAFFIFAGMQVLVTGRSWWLRLWTGEDEQ HTSVKHSMTYSFQIHDLGRLSTSSVAGSPTSSSHPLSYYLSVYIVLAIASALLGTFRY FYVYTGSIRASRRLFDDLCFTILRTPLRWMDTVPLGRILNRFTADFNVVDSRLANDIG FGGNNVFRLIGVIVAGTFVSPYVILLSLALLTVCVYIARLYLTGAREVKRLESNAKSP VFEQFGSALTGVGTIRAFDKTDVYIQRMFSKIDDHSTSFWHLWAFNRWMGWRMSAVGG LFATFVAIMILLIDGIDAALAGFALSFALDYGSVVIWTIRHYTNLELDMNAAERIIEY SRLPTESLEGADPPAAWPSEGRLEVDDLIVGYAPDLPPVLKGLSFSVERNERVGVVGR TGAGKSSLTLALFRFLEARQGSVHIDGLDISKIKLQALRSRLAIIPQDPVLFSGTVRS NLDAFDDHEDSELRDALQRVHLVSSAEAEALSAHASALNTGLNTPTEGSITPTPFKNT NPFTSLTSPITEGGLNLSQGQRQLLCLARAIVSRPKIMVLDEATSAVDMATDALIQRS IREEFGDSTLIVIAHRLSTIADFDKILVLDDGKVAEFGSPKELFALENGVFRGMVGES GEREKLESITSGTGS LY89DRAFT_110 MLSFSGVYLSRQLFVTFPCILNISNRLKLKLKLKLKLTLLHRCS LYLTCTPHHLSVSCHVQTSRISQFVASVLQVFKQSTDSHNQLNGTLMHPISTPPLPIA LSWVSQHVSDAASSMMAASKTCLALALAHRVFTARMADGGVTALSDGTCNCTCTQGIR ERPLLTFTHSRES LY89DRAFT_22 MKAAPIIVNWHAENAPIYSADFQPHGKGRLATGGGDNNVRLWRL DSEGEDRKVEYLCTMVRHTQAVNVVRWAPRGDVLASAGDDGNVITWVLDTKNAKPTFG EDGIEDKEAWRAKHMCRSSGAEIYDLAWSPDGVYFITGSMDNIARIYNAQSGQLIRQV AEHQHYVQGVAWDPLNEYIATQSSDRSVHIYTLKTKEGTFVLDNRDEDPRKIGHNLKM DLPGRRISSNSPAPPDMGLRAQMGAQMAAEPTSNAIGSPVPSCPGTPTSLALPMNPPS TISHSRRSSFGAASPAMSMRRSASPAPSLPLPAVMPMEASPKPYNVGLGLKNASIYAN DTLKSFFRRLTFTPDGSLLFTPAGQYQTQQKGLEEAAKTMYEVINTVYIYTRGGINKP PIAHLPGHKKPSVVVKCSPVYYTTRKNPPPTKHITIDTSSAEDALASLPEPAVPKSES HSVMEPPPPMPQTTDPAGPTSSPKPKPLEVETNSSTQGPTMAFSLPYRMVYAVATEDS VLMYDTQQQTPLCVVSNLHCATFTDLTWSNDGLTLLMTSSDGFCSTLTFAPGELGQLY TGEVPTAKNPMISNTTASSSQNTPMATPTSIAPPSPFPNNHHRTSSSGIAVAPSPPPG MNRPSSPTRSNSTSSIATQSSYATPAAGTIISNPTLVGGSMPSIAAGNISFMNGMPMT TPPQTPRSTTSSVSGIKRDASESEKEDGALGGEKKRRRIAPTLVNEQNGSSAS LY89DRAFT_573258 VNGSVYNNDNLIWRQEPSPEVDAAWEGLARIKWFAISSSDVEKL GKDPEKTVKIPKDWGYGMNTHFAALDSQHLLHCLNMLRRSAWPEYYNIAPSPWHTTHL SHCTYLLMQALTCQPSLNVITHNWVEGQRFPFPDFDIERKCVDYESVWEWNMERGIRY ELLEGIVRPEGARVGLDPERKGS LY89DRAFT_726739 MKFTIVALLAILSAAVSAAPIVAKSTEEVAVRSEDSTDKAPSAC GVEGSYTYLYC LY89DRAFT_662489 MSHNVPKLNDPSLLKLNVAYVNGEWVQAKSGKTFEVHDPSSGKL IGTAPEFDSADTQLAIDAAAAAFPSFRNKTGRERSKLLRKWYDLMVENSEDIAKLITW ENGKPLADAKGEAAYAASFFEWFSEEAPRIYGDTIPASVAGNRVVTIKEPVGVCGLIT PWNFPAAMVTRKIGPALAAGCTVVAKSPGETPFTSLALAELAHRAGIPKGVVNVVSAL KNTPEVGEILTSSPFVKKVSFTGSTGVGKLLMKQSSSTLKKLSFELGGNAPFIVFDDA DLDVAVAGAIASKFRSSGQTCVCANRIFIQSGIYDEFAQKFAAKVKDFKVGGGYSEGV THGPLIHDRAITKVDAHVRDAEKKGGKVIQGGQKMPELGSNFFQPTVITGMTTEMALA SEETFGPVAGLFKFETEEEVTKVANSAEVGLAGYFFSKDLQRVFRVAEALEVGMVGVN TGLISDAAAPFGGVKESGFGREGSKYGIAEYQVTKMITYGGMGQPLQK LY89DRAFT_744124 MQQINTIFGQWRPRLRLVGENVPTVDVIITCCNEDIDIVLDTVR AALRLDYPQNRYRVIVADDGSSAELSAVIFGMAERFQNLYYTARVKRGPDGYKAGNLN HTLKFLSKLPQGSAELVAGLDADMIPETRWLRAMTPHLVENPRLAVVCPPQHYYNVPL NDPLWQAQKHSYRCKAFTRDMADSAWCTGSGWVIRREALIEIGGFPLASLTEDLLTST LLLAAGWKSGYVAEALQYGQVPESYHAHVKQFTRWTVGLAQIGAYMRFTIPRYRIGKL TLKQRLACMEDQIIPFFSIINTMNMMLMPIFLFTDLQFVYYRDLEQMKVLLRLKCLGI IFTWINLFHTSLFSGFQACVREGSNELWMAPYYALAITRSIFLPAWLGGKVTGFTPTG TISNSLNERQRSLRSPMIYRLKNIMINCGAIFHLGLLVLCSFAITNRVRNSLQYYSGD WQSLWISLLTTVAWPPMQWFQQISACLTPLQYAIFPPDVPDRESLLDRDGITGVAYPT SKAKQEQSPVWEARYVQLHCLSLPYVVCVLISTWWI LY89DRAFT_744129 MNLQETDWVLHLDEETIVDEHTVKNCIDFAEQQDQYDLGQGVIF YNAFGFWRQTLITFADIVRARDDLGKFYFAHNYFHVPVFGIHGSFLFINGKLENQIGW DTESLVEDFWFGIQAWNKGYKLGWIRSIAREQSPMTVLDLCKQRRRWFSGMLQLPGIW GRLACLQWCLSSVGATVM LY89DRAFT_712710 MWKSGLSILIPVMFLMSILSLSMFELKTMTSFNVHHLTEHKPLL DPRDFGLPREGLSVNGIAFGWVPDDKIGSSMSLVNERVGAPGSTMGIYSQVTSADEFD DYQFTEPSRLRDIISSKAVLIATIMPLIPFETFTPALCAQIASSMRKLTDEGVFVWLR FAHEMNWYSRDGDGPALHGGNPNLKNTYTGTPVQFIQMWNILSEAVKDNLMVKMFWSP NSGQDKDQWFPGPAVVDIIGMDFYPKDRSRTPEKVYKPIHDNYALKYNLPFVIGETGV KGSSSSEFKMWWLSGVVDSRLKSALPNYMSCSWFEFDKTDDPEGYDYTIVLKDGALLN RTKSILIPR LY89DRAFT_565569 TRQLSSGPAPVRLSSFPGKDEAHRNVTIDVARQQQEMLGFGHSW TDSAVSLFETLEPSFFNMVMEDLFGQNGNNMAFMRHTVGSSDLSDTYYTYDDNGPVNQ GKPDPGLLNFSLGAAGTRMADMIALMGDYKSDVTLCGSPWSAPGWMKKNGLLVADVHW SDDGTNNIANNSFDPAYADEFANYLARYIDAFQARGVNVNAISPMNEPLNSQGGYPCM YLGAEDEANLIVDALGSLMKERDVEIWAFDHNTDASFYPYQVLEKAQEYVQAVAWHCY ALPAPDYSVLAEFRRRYPHIPQFMTECANYKPQAGTFNFQVAENFMLSIKNGASGATM WVMATDSYYGPHTPLAGCDGCLGSIIVHSPTLYTKTNDYYMIGQFSRFIRRGAISYAT SDGGTAEDLSDKEQFYTIAAQNPDGSWVIVFMNNYGQEQNVVLQFTGQEEVFWQGVVP NATVVTWLLP LY89DRAFT_703105 MQQILARGTPKNQGVDINDFHSLVGHWERRIPVPLVPAAVVVLP LSHKVLMWAADRGDVFGNDTSNPGRTITSIFDPMSGIVTERNVSITNHDMFCPGLSMD VSGRPTVTGGKSEQRVSIYDETLDVWISGPDMKIGRGYHAQATLSDGRTFTIGGSWSG PIGGMDGEAFDPSTGTWSWLHECKVAPMLTNDLRGVFCSDNHPWLFSWKNSSVFQAGP SSAMNWYGTSGNGRHAAAGYRARDTDAMNGNAVMYDAVHGHILTLGGATSYSGAYSTR SAHIITLTEPFVPPSVQAINPMHHPRAYANSVILPTGEVFINGGTSFAKQWTDINATM IPELWSPETQKFIPMARTPIPRTYHSTAILLPDATVLVGGGGLCWGYCEDPSANHFDI QIFSPPYLFNAKGFLSSRPSITSVSTKTIAVGSSFRVTTNMEVGHFSFLRYGSSTHAI NTDQRRIVLASKLLQETVSAYMITTPKDPGILIPGYWMLFAIGSEGIPSVAETILVNL LY89DRAFT_571749 MVLLIHPHSPILQLEFHKPDIIVKVDHPRLFASRHDWTLLPGSI AADPYLFSWNRTIFERASEFYVLPPANYTIDGGLVGNGVLDVARSVQLRIKHWAYAYQ LSHDSKWVDRAWMEIVVASGNSTHYFGEDGDNWNSQHWLDVGEFITAFAFAYDWLYDA WNSTQRDSIKWSIVTLGLQKGIEAYKEKAWFFSVNGNWNCVTNAGMILGSLAMYHEDL TGTAAELLSLAIPNAQENCAHAILPDGSWSETPDYWYFGTQSHAQMASALLTSTGSTQ RVLTINPAWEKTGLYHIYASGMTEKFNFGDCGPKKITATANSLLFYGDHFNIPEYTLF QRDRPDSPDPLSMFWYNPKTTGKWFLSPPLDHSFSTPSSAWVSMRSSWTNTNGIYIAM KAGTAVGHQAHSNIDAGDFVLDALGERWAVELCQNNYLSPNYFSSDAQESDRWLYYRC RTEGQNTILYNNSNQVVDAVPGITFASTNDVQASFGHPHNSRTSTYWITDLTNVYGGV SIKRGLRILDRRRQVLIQDEIENATEKSQWRMHTRASIQFSADNRVAHLTLNGKSMVV ILQSPLTASFHVLQPVRSVHDPPLPKHASDDPNIGISVLAIDIPAGTQTVVVLFSPQE ENSLQASERPTVVPLKLWTLDSHLDLITS LY89DRAFT_168 MSDIDIYDVSVGTYMAGVTSLVNILKKAALQPDADTLPSAKLID DMQPLSFQVQSVSNTVSKSLKWVIGTEVESWEDNETTMEQLIERVEKTLAMLKSIDPK ALEGRESAEIKLSHGTFTGKQFIFSFGMPSFFFHLQTAYAILRMKGVQIGKADYMDSF KGSWDHS LY89DRAFT_603858 MEPLYEKVRPSSEGSRSLESSEELGFISEQLSLKRRNGIIQRLR AVSFRAVAESLMGGLILVLVAVLASHDTGSWRKSSGSKVKTFGPALPERVVKFGNVAG FGPDLVYVDHEMLWNATHKRELHENWQALYPKSRGYITAIPQPGDEFEYGNPPYHLDG FMAPDDHYEGYILSVFHQLHCLSILTARLGTSYEEFGQFTRPQLEHTSHCIEYLRQAI LCHADTSLEGETGAWPASAAWGQMHTCKDYDALIELADDRAMWDLSSARHPDLSKIHP DPEETKGKYGGD LY89DRAFT_561487 GSTPAEARANGCKLQTWSYTWVPEPCFDPELAEEFIAIHEKDDL PYYADRNGTEVVDFDTVYTGELEVLYTVWGSHFWHCAFLMRKFYRGQAGLTVASLDYE HVEHCQMWLTDPFRYDWRRVNTKAVLYYGSC LY89DRAFT_679027 MRASLFFIGATIVAYASAGVIRARGTDSTAAYPVIEEDIVEAKI KRGTDSTAAYPVIEEDIVESKKRGTDSTAAYPVIEEDIVESKGKRGADSTAAYPVIEE DIVETKEKRGTDSTAAYPVIEEDIVETKEKRGTDSTAAYPVIEEDIVESK LY89DRAFT_775951 MAPWFKYSGLEYKTVEDKEFDNESTDEEILTPKKRKTFSLRTLL AFVGGLAFGVVLPMAFKSSMFPKKHPVASRDGYYATKVVNGELIEGTQCGDSWQEAKA LGCIYDKMASRWYAPECFDKESFDAMMMEPGTNFTWFSDFEHTIEVPAEIAQHGEFEL LYPLYNFHKIHCLYLWRKMHHSLLNNLPLDDDLMEEDHTVHCTKNLLTWADPTVSEVA SIAHAGRPFCRRNPLGVMPLELV LY89DRAFT_679028 MYGDYRKVELGPDEVESGSEGSFERAEYNDVLKAQSRTRIARVL KMIKVSVVTLLAIWGFINIFNTVVRKIPFLNPPVHHGCYCGKSSTEAIAMGCKYDSLA AAWLPEHCRDDDLTAEFDRSGDGPNGTWLYWKDKARTIPITPEEIQWMGDTPDARFHM DYSWHEVHCLFYWRKEHRFRFNGKILDPRSDSEEHILHCGDVWGRKVPYGMGTVSGVR FDTNTEN LY89DRAFT_572672 MRRIWWATISSILAGATLASLLWLIAWIHHFEVDAEPFLPPSMT TTSCGWTYDMAVANNCKFQLWSYSWVPPDCFDEKLNDDFLSLHEEEGWGYYSSGVEVP LESVLLGNRNDLMSTWGQHFWHCAFYQRKFFRVVEDNGGERTSVLKMTNRDLEEHHGI HCQEWLSNPKRYPWDKVNINLTVGYHFCS LY89DRAFT_679029 MSESPEQDPHSSYVVLLSGTHVTGKETLAVSLSNSLGCPWLKAE MVHNSATFGARSQAKRGLNYGEVFGRIWYSKLRRIGFLSDGYESDGEGEVEAPGKTTT APRRLGAECTALISCYAMRKPARDAIRDVMMAHSIRSIFVILNITKETLSGRTLGAEE PELAERIMGEKIEDIQEPLEEEKDIILVDSTRDVDALFVEIKERILQQLSVT LY89DRAFT_679030 MARRMFDLLALASFSNSFGYAWGGSDAIVVSGSPPSNTSAPIPE AFVSFSIEFAYFPDYAGNSSSPNTFSNNLLNNLGALTGTKPYIRVGGNTQDYALYNAS LKEAMNGTINPARSADYPTTIYIGPSYFDSYNTWPGTKFIHGFNLGLGGNNTAGWETL LETVTLACKALGTEKLLWWEYGNEPDLYSTSAQGPVRPASWNEAEYVAQWLNGTRAIK DQLAIACPELTTNDTYGYIAPSFAGTNNHLKYWTTWQDGLNTDHDIKLISSHNYIGGA TQPGVTLQGTLMNHTSTVHSISTQVNESNYLSSYGIPFILGETNSLYNEGAPGLSNSF GAALWGIDFNLWCASVGIRRTHMHMGTDYRYASWQPIQTNKTAIGTKPPYYGNIVVAA MMGNLVENSVQIANIPLENEREAAYAAYEKGTLARIAVVNMRQYNYTVNGTSDVLNTV PRPQQEYTFKIPDYCSRTVSVQRLYANGSDAISGISWDGTSYNWELDEGKPVRLDNVT IGEETRAAGEFVKIQVPDSTAVILNFGKQGSW LY89DRAFT_573392 MPPTNKAAWLLTYENPSLVVKEAPYTFPSTNQIVVRAHAVSINP VDAAIPFRGAVVFPWLKLPFILGSDISGEIVELGPGVENLKVGDRVVGQCLAWQHNNP VEGAYQEYVVMQQNMVCKIPDEIPYEEAAVLPLCLATAACGLFEKRFLGLEFPSFEPR EQGKTVMIWGGSTSVGCNAVQLAVAAGYEVFSTASPKNFEMVKKLGAKMVFDHNSATA VEEIVAALKGKTIAGAYAIGAPTSDRNGFSAGEACLEIVKRSEGRKFAAMAMHGPAEL APEGVEAKFVTGEQFKTNEVGKMIYGEYLPRALKERKFLCMPEAVVVGNGLEKIQEAF EVQKKGVSAKKIVVTL LY89DRAFT_726757 MTDERTLLLENASPKNSVFGGDVNIQEALQKDIPETDEEKKLLR KIDLRLMPIIWVMYILNFVDRTNIGNAKIAGMPGDLGLDDSQFAWALSVFFVGYLMME VPSFDISPSYHVGLGCSQCCHGWYPYLQGIGRFPVRPGCIEAGFFPGVLYLLYCWYKP AEIGKRFAIFFSAAVLAGAFGGLLAGAITQKIADFHGISSWRWLFIIEGVATVCVAIV AKFILFDFPSTSPTLSLEERQLTTVRLLAAGVESSSHHDGNRLNHWQAFVATVSDYRT FLFMFICVCIIGAATIQFFIPTITVALGYETVAAQYMTIPIYIIAAIFLNIAAVSADR LQERRWHVTISLALACSAAVVCVIVTNPIVRYIMLCFVVSGIWTSIPLVFAWMSEIIN IPAEKRAVCLALVNSVANLSSVFGSRLWPSSTAPHYTFGWAVTAGCLGFAAVTAVLIP VVLKSIPPYVTKAERELQDRKDAMGADAQSSEA LY89DRAFT_243 MSRAGTKRPLEAFQSSSSDLQNGHDSSPGMRDPKVSKTVTACTE CQKRKIKCDLGPRGDICTRCAKKGLQCVLNKNLQSLLKDEAQWKRDIENDASTTREAV SEILKVLNLPAMETFNRPSRQSFPASPRDKRPAPPIVRFASEVESASNAMPSRRVPAA MAMTRENSQEPEQDEAHSSTIVTDPMGSLYEVTKLRNLRSRPQSRLRQRYTPGEGDFI SSGKVSLEDAEQLFEYFSKYLNAYLWGGIALVHPGLMEVRCSSSLLLAAILTVSALHV PGMEAVFDVCYAEFVGLICDSMLDRYHTMDGIRGLTIGAFWLSDLSWKLSGHAVRIAT ELNLHQSYSKAMKGSAEHIEGARLWLLLYVCDHHFSIAYGRPPVIHASKIVLNHVRLL QLPQVTQADERLHSQVAIFIILTDIYNTFGPDIEEQLTEEDFTRVKNFNQHLDQYRLD WQQRLAPHRYIGSYPAKGVDLHCHYAKLQLNSLALRGLSPAIMILSPGRCELASIAVS SAVMILRLTLEEPDITNAIVGVPLYVHTMITYATVFMLKVHQKWGAQLGMELVQIQDL MSRVMELLRNAKASKRHLAYHIATGVGKMLHRFTAAQRSGGLEDSRVAQESSLNYEAP SVGINAADFDPVAPYGDMISYDEYFPFGFFDVLSAAIQE LY89DRAFT_775959 MALPRASGPKTSLAEIYSHLTGVVSGINHTVIRDNVPFKSGLTP IYANPYAGFDELVEEEVLQQYQRDRFHTFTTEELEALESLAFQRPVEQPENLDGLPIH DIFRRENWAHPRPLNQGSWPIGLGTDESDGFWDVNNNDVWNILQPCLKLATVILTNHN TSPWWDAFLMGARRKVDQADVTAGKPGQDYYRFFRRTPADANSDDGIRDIQERLFRMG SSIEFDIVCGCSEWSTGLPTTSMFHGITVPKNWWHATKCCLDLYDIQPLLNKNMHPAE RLLSQFSLASTILHELAHALHAEMTSIDFKIPEPYFEDECLAELGFSMENALCGGMAD KINDHTNAGGLPYAGFVLADWNTLHNEYRCEGNPTIAAKPSGWLYERISPVPVEYFQY FHDTGFWNYHVRGNGPTATHMGPLPASSVYIFGQGQQEDFDEIPTPGIDPVMDDSMTV AQKTAVLVETRRNATTRRIQSAMQRTAAQRTYRTARLNAARASGTQSYSRPNAAPYLE PIFTPLCPRFDLIVQFLFSRRQELALDTLHFTIAEHQLYWYIVREGFISPTPREWRGF LQRCTKENVLFRWKEYLFPPTPTQGTVVRVANGWPIVPPPIKMCPPSSGDSPAAVRFR TMLKPFKGCMTALGTGNVYEPGQYDADKRVLLIALRKIMKLNGWTSSSITDDEFDDFI RYYEFHGRKWGFGPAGIIRKTEFGW LY89DRAFT_924 MPRPGMLAALRPSNRRIPGILRRISNDFLEAGSFLGIRCGEEGD RRFLAVPKLFSWIDICPTMLSHVGSERADAFVVSLTIFSSASFSQHFYVQHHNQIEYI PRAISMAVLTAASSCSCHHPYCYAPRDDPSIVHQVLHPKLFSESASQH LY89DRAFT_679035 MLFSILSVFLLAPSLLDAIPTFSRAAPEIRQIFTFPNNTFIENI RVRFNGELLLTSMSVPTLFEIDPTASTPNASIVYTFPNATGLAGITETVPDTFAIVSA TWDLVNTRAILGTIVVWTINLGSTPPIVHRIANVTNSTSFNGIASIPESPNLILAADS AIGAIWRVDLLTGLYGIAFSDPLFTPISTAQGSNLGINGLKIKDGYLHFTDSAQGIYG RVEIDSLGNKIGAVDLIANITASVATGGVYDDFALDRMGRAWIATHPEYVVEVELNGS QEVIANETLLLNPTSAAFGRGSTAEEKTLYVANGGLFAGDDLVDEGVVAVDVSCFG LY89DRAFT_679037 MPIPFWTIGSIYGASAIAMGAFGAHGLKKKIADPARIANWNTAA HYQLVHSGVLLLTAAVAPKNTLAASLLVAGMTMFSGSIYLLTLDPQRFKALGPVTPLG GLCLIGGWAALAFGKRIPLVR LY89DRAFT_473 MSTFKNIALLGSTGNLGSRILTALNKAGFTVTAIQRKDSTNTPN GPAHSLKVDLSSESELTRAFANQDVVVSALPMPRLATDKIWMNAAIAAGVKRIVPSEF STNMDNKLSQKLPIIKDKLEIRAYVEWNSVNNGPFMLPQMWLSGWMGPNPKTKTATFH DGGNAIVGTSTLERIGEGVAASLLPENAEKTKNKAVYVYSAAVSERKIADVISKLLGG VTFEETDLSVEQITKDAFAAYEKDQSTHDMRFYIPFCFGKGYGGDYRDIAMNKELGLK EMSDGELEEMFAGTLRKQGLIQ LY89DRAFT_726763 MKSNIITLVLSLSTLTLAAQNFGFIGVQEDPTSTLSITESETST TTEHPGTVIVYQTTGTSVFSEFISDPTFTGSLLSTETIVYSVSSTVSGSQAANTTCTS TITVDNSSTATSVTSTTTASASGSTSSAAAATLGLGVGGLMGVAALAAFL LY89DRAFT_726764 MNITSKATQATSSHTKALWSRKNVPRPDDMINIIKDVFQSPKEL STAATSAVNMQGNKVAEDAHYVNKKPTCSSARTLRFDGASDDHDIYTKKSSEKPVHQG NNRIRNYIFHSIPNVFTTGLRPTIAMIDIPVSDLWGKLSNYLDPLPFFCYYHPDHGHI DICLLFSSNVERIDIDLCVSPSNPESLNDTSGMAAFFNYYFQLFRKISYKDSED LY89DRAFT_662511 MQQKEGAEEKERSGGTKDESHWGEQSASQRLAIKAPSDSSNLPN PYSTKWYIDEIIAAIRPKRAEESFRSIQRLETYVDAAEQHEELATAFFNEAERRRIQY CKSIQAIIQCLCQHAHVLRRSKREFFGPFRLPQTTTSNSDKTTDSARATKPATKLEES KLESYMAGEKALLERISEALRKLYTAATELFNLPSAGVPRDPDAP LY89DRAFT_950 MDLREVGFAEQVPFLDNEVVDDKELITVISHEKPRNSGRKYPTL GHFLQSIRHTQVRSQWSPESTKKLKSGLIKFASFFIPSFLRRSSGPTKDLHETAYLDG LRGYACYAVFFSHYTTAYCNEVWHPYGEGGNHHFVQTYYIFQLPIIRLLYNGQAAVTI FFVLSGYVLSYKPLKLIHSRDFEKLQGALGSAIFRRWFRLFLPVAALWILNIFLVQFG VFSWFDKFHSENPSMPPGIVESYLPAGESFWGTVYNAYRSYFDFARGTLFTWTKNGIL PDVDGHTWTLHVEFRSSCILFLFLHGCSKMRANIRLVFFMFSSAFCLYWDEWAIATFL AGSSIAELDLRMRRRQAASPSDGEGNNYESLTLPIPIPRALSRFRSLFRHSNSQKVWA VLFIFALLILSYPPVGADKVFFYSLLSWYHPFTAWDLFFWESVGAILLVFIISRLRAV QRFLEWPISQYMGKTSFALYLMHGTVIKSLGHFVVIQTWERITGYQGWGYALGILVPL FVVVGPVTIVAADWFWRGVDVPSVRFAKWFEGLLNDETI LY89DRAFT_971 MGITLFPHPAVSPDMNPIEKCWRRIKQALHRRLRQPTTEVQMVV AVLEEWDKIPQEWINGLIEQQDFWVHDLIKRCGWSTAN LY89DRAFT_775965 MFPTAQPNQGVLFRNNLKWEALKDDIRQFYILEDHTLNDTMEFI QQTHQFQASKRKWKDKLKEWNFDKNIPLKEVGFMATKSEKRKSKIEQFKKRRLTSGGI NTQLIPVTPPQVTYSTPRPPSLSPSPFIEDHRPPLEIDMPPVSSDDLNIGDLKLDTVE DVDQASIIDEEIDGLRISALNFMLHAIDALESIPYRSRVSANEREMIKVLDEKLHSLT GTREEMVDDHVKDDDGMDDGKSSNKYGVTYTDSGMTGVSLNYSDLWK LY89DRAFT_775966 MAQDSQYNQPYYPPNESLLQQDPNAHYQTPPGYVLVPISQLDSH LKPQTQPPPTQPSQPLPPSPQLPPRPLTPNAHSVSQPAPSYPSQHVHNAPHTPALNGS VPSFPTQSPFVLNIHHINDQADLFLPPSATPSDSVGYEATYRKMDYTDRKNPHTTVYQ RTPSSVRGQTQTRLAGSCIYHHYWSNRLTMNMPFLNEPWDFEEAWVSDVGTCEGRALQ WRLGAKGKKAFVLECAETNMKVVCRVVMDTMRSGSINIMVPFENQKQMDEMVVVAVCF MQRYRIKVWKDSKSLLKDEDWDTLKKVMKVANGAMNAGGGGS LY89DRAFT_679042 MKRKLGEMEFASAEAAASQMASSSTRRESQLEHSSTSHHSLSPS PADDAEAENRIACAHCPSTFSDVQEWFEHCKVHPWSVQFMDLPSNESPSAAPSASPNT TVTATQQPTKVSKPSRRIQKRQKWESIKEEVHHLYFVKGMTLQATMTEIESKYSFKAR LY89DRAFT_988 MRWWFRQVQVCGSLVCVLIWLMNVETPMAITYETPRHETGLEED KSGDGGNLATVRNEKAVESRERSSQSARYALNAQNAQIALVAPVERPESASWTKITTD VLWKEWLEHETAKNLERSDPLRRLGFQLEMAESLLDLDFALTQELLLSAVFEYLSDVT IPSEHRLRSTPEQKLASKTSYDWMLPWDYSLFRLPAELQKRIWREYTNRLRTHLQMRL DGIRAENEDTLVLGSLDNDDFNLITMGMLAKIVLLCAKRAGENEVQMVLREIITKANS CQGTQAEIVSAYAQLCYAMHCVWRSGGKSSRALAEYEASIRKIIEKMEHCEQRVRLVR LYNTVRQTINVLALTPEALGT LY89DRAFT_981 MRASIITSILAFAASSVTAQYLNQSAPFYLVLSSRNTSFDGVSL STCHEGAAIEGLCLGSQLSNTSVTYTFNTSSFLTPDPVLGTPGSLNYELEGGNFNLSS PMTISSPITTNVAVPLFTPSESFTEVGFDNENKLYVYGTIDDTVSPPVYKVQAFYRWY VCLTNAGYTYTTLSWVVGPHSPENPSCQEVEVKRVFA LY89DRAFT_775969 MSANTTTPHPVSAEVAARIATTPHEGLQSLCDITEDLTIGQAKY HKYNPRTYFTTLIDDPDFLLKSMCHANVGLSGSRAAAFFYPHACTPESDWDFYCSGGD VAVAKFTHLLTSLGATWGINKKSNKETNEAERKKYGEYSDNFSTVEGQLNGHSIQVMW DHEIRKGAFQTILEFHSSIVQCFISASCAVSMYHNISSQNQLVAWYLDWVLHPVKRAK APKCVAKYVSRGFEVVPYSRKLVGLPNYAQESALNLPSMPRAVSDKGCLLINFDVYQE APNAVDTALHSATMEEIMNLRWTEELNSELCGPETRSIRGMYRALRSVEPEHCLRGYL KTDGSYASHRAIMSEVTLRLEKVAEEPEVQRLAWGGKPYGDEIDPDTWTSYPVPWMPV SPAIRGGWYQINDKLNYPPV LY89DRAFT_603888 MSGRRDFQKEQLKALVFDLMGTCCDWHSSLLPSLQASPTLEQLP SSELSKFANDWRAGFFAEIHARFQARDPTEDIDITHRRLLDRLLSARGVDLKIWDEDV RQKLVDQWHFQIGWPDALPALSRLREKNFLVVLANGTTRLQLDIAKYSGLPFHALFSS QLLGLTKPDPAIYVKAMELMQLRPEECAIVAAHAYDLRAAKKLGMGTIYIQRATEDLD EDMTAIREEVDLFIDGTGGNVSSGLGELADMLGYGVSIHQSRAH LY89DRAFT_679046 MELLVELGIFEVWISTEHSQTSSVFATLRKSARLKKDIRVHFND RTEGPYMHH LY89DRAFT_1048 MHLQRVTCAFVACSGSVSAIITQNPSLDSLAAVLPAVTSPTIPT LAPSPLNSIASMDSSVANAKPETVTTSIANIISSIVSIELPATTVPSVASVAQSTPAF TTFVTVALATETKIMTLMPLPSAEAKKQEAAYSKAQNVPNAVASQVKEALTAQGKAVP SALVPDQPKQTEVKHSGLENIVEILKNTDYNQVVHADPSKGFYMEYLNGTDPAVADAY AKKNNARMPHNIYNMDTKGMCVLGHAMNTATLKVTPFAFDTKTPMVYIAPNTTLQIGN FLPPGANKHNGRFQPKFNCDKDCKHCAGDGKGPIDTLFEYHHAKKADESTFTNWNPSN VDGLTSNFNMTLHNSAGVCKSRSCNVAAPQMKKTCPKASLWNDKGIYGCTSDCKVTGR DDHCCIGAFGTPDSCPPSSQFLHSLCPDAYSWAYDDKEHSDTCDGTTKVDVAFWPIS LY89DRAFT_572641 MALKNILVTGATGKQGGAVIRALLSSPTPSFHIYALTRNASSPS AQALASKPNITVVEGDTSNPAPIFTSISQPVHGVFSVTVPNPFKAGSFEENQAIPLID ASVKHGVKQFIFTSADRGGPGKADNDPTPVPHFITKFNVENHLKSRNMQWTILRPVAF YENLTPDFIGKGFAAMWRQLGDVKLGLVGTKDIGLVAAKAFLHPENYANRAVTLVGDQ LTFAEADKAFEDVVGTKMPITFNLVASGLKWMIHDLGAMFKWFGEGGYAWDMSGVRKE EQLMDFKTWLKSESKFQKS LY89DRAFT_692686 MFAVLTSATNGYDGSMMNGLQALPQWEASFHNPGPSTRGLLNAI MSVGSIVALPITPYIADILGRRAGVMTGCVIMIIGVVLQSIGINIQMFIAARFLIGFG VAIAHGSAPLLIAELVHPQHRAIFTTIYNSTWYFGSIVASWLTYGTFQLAGPWAWRIP SIVQAAPSCLQLIAIWMVPESPRYLIAKGKNEKALNILAKAHANGNVEDELVQIEYRE IRETLQLEKEFEQNGWLEFFQTKGNRHRLIILISLGFFSQWSGNGLVSYYMNQVLQGA GVTSAKLRLEINGILNIINFLTAVTMCFFIDKFGRRPLFLFATAGMCASFCIWTICAA EFTKTAVAAAGQAEVAFIFIYYVFYNCAWSGLLVGYAVEILPYKLRAKGLTLMFLAVD LALFFNSYVNPVALAALDWKYYIVYDVWLFVELCVVFFFYIETRNTPLEEIVKYFDGE QALLGGDMATEKARAILIEEAGDHHNKTAIAHTEEVSSPNSQDGKI LY89DRAFT_775974 MLGRKRDFFKRFAQERFAAQLSSKDRSRKAPQPESAAPTSENEE SYLAPESPTTHVDATSLSSKEIPRPVYDAGETIAPIESNSAELEASQQKSEIVVDDDS NDESGAETAAFGIYKGKGRAEEELESIATDDQVVEGTGSEEVESKNQEKAKTPVTSFW DLAYEQLSKVDKSRAILEDHDIIVERVKQLGDGNIALDEANPVTDEKYGETKPEARQI TFVGKEAAMKAFVSDRMKQMETKEWVVRWKGKEVFNVRRSIHQVVKIVQKFSGLASQA ASLDLLHAGLAWAGVCCVLPLIISDTEERQKAIDGVSSVAQVTARYMMVEQDYFQKNL GQNKDFEEVMISRYKEIVKFYAKAARYFARKTLARWLLNVVKADDWTSALKSITSADE ECRKFANNQYLSVILHGQAETIRILQSIQSVVAEQHLADMLRWISKIDIGAQHDFVRD KLQEKYFVYISGFVELSEPERALVWIIIEHLRRQQEDVVFFYCNATLQSPQAEHKPTI AILRVLAKQLSVSSDRKCIAEEVTIQYEKLNNPGPTGSQLGDSEVSKLLISLIDSRSK IIVLVDALDECPEYIKLLVLLRHLSQKTAKLKFFFSSQLVVPVNEYFPTIRTITINPE GDLPSPNKDDIEFFVAEEVRKFAEDRPDVLTEDMCKDIIKTLPEKAGAMFKLAELSLK YILDSQAQETRTKIKGHLELIKNRHTHSVFGSLIRVYEDLFNKHLPSDEAKRSDAIVT ADKILSWIFVSLRPLRRDEAILLADDHFDPEGASGGIDVVVRSFIFESEAHAIQIPHS SVWDYLAVRLSNKVMEFTKSVTTADQEATLDEAWNVAKERAHTRVTQDSIEYLLSTNH VTITGTEPKSTITLLEYIAENWFKHAKVAKGFTDLTENLTKSISQLFEKKNERAFRNC VQLYDPDSWFYKFAKNYEKDYDPTLGRGQQLYYAISIGFPQLAGNIVDLRSEETIEKE VNAIGGFFGTPLQLASYKGYRELVAKLLSKGSRPNIEAGFFGTPLEAAAAAGNLHICV DLFQHDADVNFQGGVLGSAFQAALAKKSDNVVELLLNSGARVDKVHGAMWTRAFERLS SSAKKTYIRMMIKIGNGFHYPDLTIEQEILAIVLRISRGDEFPFAFEDLKALRSSRAV LPDNNLRRHLVKVLQLDFLPRNINIANHLKFFELLASRRAMIEQIIERLEIGDIHTIG FVSARLPWVAILRILELLPFLTDQDPAERVRLFELCFYLLDTMRITRYEDYFKEATLT GENQLVESVLVQLYEAILQLVLAIHDRCSKSRLTRALSTTSNSPGFEGTYPRT LY89DRAFT_1354 MLLQYLTIWLAIFVQLSIQWFPKTSSTTPPLPIWSPTYGPHPES TDCEESTTPIHEHSTPSSQASSFGTPSSGTKSSFVTPSTSTSTYHESHSSLLSSKSYW SSQPPSTHVTTIETTVTTECTESTESVSTTSSFSSVTIPPHSTSSTTECTESTLSSTS STLSSVTTTTYPYSQSSPTSSKLYSSQSSLSSIPSSTPPSSVSSSSWSSRTYSSPSSS YPISSTPSSSPTSSNTWSSISTPSTSSIPVSSCLPTSVIVYKTIYITAQFTSLVTTTQ SIYVGPPSLVVVTSTISGLTYTTSVTEYQTLVSTKTLPGSISTTTLPPSFVCQAGTTY VTNFNYGNFTTTIQDCSKTRHTSRSCVCPSFCPYSPMTSCALSTITFTTTDIVIFPPT TITRSFSTSCPTPTTSSCVATSTIIPSSCPSHTVSSCIATSTFIPSSCPTYSPTSCIP TSTVIPTTCPSCVPVTVSRSCSLSSVSTSCASCTPITIYASTCSPITYSPSTSSSCKP ITVTTSNPASTCSGTTIYASTCSPITFSPSASSSCKPITVTTSNTGSTCSVKTIYASS CSPTLSSSSTQSSCSATTVYASSCSPTSSLSSLQSTCSPTTVYASSCSPTSSLSSNSI SQSSTCNAITSTVTQTQNCTSTLSSTSSLSTCIPVTITVSTSTNPGSRTSSSSGSTTF IRPSETQSCPPGCITKCDKQRDYLILFILFLILFIIFFLLFLGTALYHWRFRHRVRCR VCELTADVCTCAAGPTLGAASAAAGAGAAMSQGGQQAAASGGDGGGGGGGGGGSYGNP GTS LY89DRAFT_703116 MVNAYNLVDSILSLLAGSKGLAQSYLSPSCAGYIASNVQLSNSG MSANLSLVGSCNVFGTDLQNLRMTVHYETENRVHVKIYDSELQVYQVPESVLPRPNGT VNAADSVLEVVIVEYPFSFAVRRRDLSETIFNTSGSSLIFENQYLRLRTNLPQNPVLY GLGEHTDPLMLNTTNYTRTLWSRDAGGVPPGTNLYGNHPVYFENRPASNSSHGVAFIN SNGMDIKINNTKEDGQYLEFNTVGGIVDLYFMAGPGPIDVAKQYSEVTGKPAMMPYWG FGFHDCRFGFANVSEVAATVANYSAANIPLETIWTDIDYMDHYKIFTTDPENFPLAEI RDLVNDLHNRDQRYILMVDPAVAYQDYKAFNDGAALDVFLKNFNGSWYNGVVWPGVTV FPDWFHTNMQAFWNGEFNTFFNESTGIDIDGLWIDMNEAANFCLWPCNDPRGFANAPS SIVYPPPRNQSGPNSPVSVPGSMLGLPGRDLIDPPYMINNAAGSLSNHTIFTDLIHAN GVAEYDTHNLYGTMMSAASRVAMLSRRPTKRPLIVTRSTFIGAGTHVAHWLGDNISNW DEYIQSIRHMLQFASIFQVPMVGADVCGFIDNTTETLCARWATLGAFYTFFRNHNGDP PNIPQEFYRWPLVADAARNAIATRYKLLDYIYTAFHKQTVDGTPVLTPMWFKYPQDPI TFPIQDQFFYGPSLLISPVTAENSTNVTIYLPHSQFYDFHTLLPVDRESSGQHMTLTN INYTKIPVHILGGSIIPMRVSGANTTTALRKLGFEILIAPDEQGRARGQLYLDDGESL VQEAVSEIMFSWDGRSRKLVMDGTFEYNVGNVKILSLTVLDPEGKPLSYDLDIPLTGP ATVDIEMRKSKELK LY89DRAFT_1389 MFTLAGLVTLGALVSQRSVALAAGCWRDTTCDGPTSAAFPGPWD EYNYSPTSRTVSPTSILSANGEVIGNYPGTATLKGNGTQLIFDFGKEVAGIVTVTYGA TGTGNLGLAFTEAKNWTGEWSDSSNGSFRPDGYLLANVTATAEGNYTMPLPRLRGGFR YLTLFSETTSGSEANQTFAINIQDITVEIAYQPTWSNLRAYQGYFSCSDTLLNRIWYS GAYTLQTNAIPPATGRAFPILGGGWMNDQDLDLGSTGATIYVDGSKRDRTVWPGDLGI AVPSILVSTGDWEGVSNTLVVLYNDQQSTGELPFAGPGINIYGSDTYHMATMIGTYDY FLWTNDQSWLSTMYPKYKSAMGFITAKIDSTGMLDVTGTNDWGRLTQGGHNTEANMLL YKVLTSGSQLATWAGDSSSSSSWSSLAATLKAAVNANNYQASAGAFKNDDTEIELYPE DGNSMALVFNGALPQYVQSISQSLTKNWIAIGAVAPELPDNLVGFVQSFEIKGHLAAR QATRALDLIRRAWGWYINNPYGTESTCIEGYLADGSFGYRATAGYDNDYSYTSHAHGW GTGPTDALTSYIVGLTVTAPGGSEWNLSPQFGDLTHAEAGFTTPMGKFSASWTTTDGG YTVAWGAPGGTQGVLVLPASSAPSVVVDGEALTLSEGAYNETAETVTISGASGSHTVK VTY LY89DRAFT_603906 MVNTGKPSQGCGTCRARRIKCDERKPTCFRCQKSKRECTGYRDT AFVRMRWDRNSRSSSKKLPSPTSSTADNRDLVRRTFSSAHSSDFTTSPTSPLRFHISV SPFSGLETPIEEQVKCIFRRNFVLEPCEGNSRSFMKFTVPVLEHEKSLSGKGKGSKLG TAVMAAGLALMGNRPASRHLMPKAMKCYSRALKEINEALLVEKEAVEDDTLAAVIVLG LFEVLTGTSGQPSGWISHADGAAILVKMRDEKKAPLTDLGRVLHIMARSQLVVNCLVS CSPPIPGVTWWMRFGTKDNLPAICARLNLEVATLHAKCNSVLTSASKTLTSDLESVLE VLKLAKALDAEFKEWEASLPRSWRYGIVAWIDEDECDDADLEDMKAFPGRTDEYVDIS IATAFNMMRASRMMLCGDIVRASAWLCPTYQDYRTMPEFGAAVRTSKDLIEDILASVP YFLGGGPGNPPLAERPQSGKASLVGTAALGLFITWPLMVCKMSDYATERQRKWAEGRL RFIANDLWIGQARLFSKINAKLPSMFIARDEFTQVDGVKMAKQKCMELAMAASPYVKG AEMEAMIAGLLGCK LY89DRAFT_1405 MHYFKIGALAASATWSVAMAGKIGKRSFDVFDYVDPLTGTSNGG HVFPGATLPFGMAKAVADVDDPNEKQGGFASGDSNINGFSHMHDSGTGGSPSLGNFPI FPQSGCPGDIVDNCFFTKTERASQRINGSIEAHPGYFAVSLNTSIKAEMTVSNHTVLY RFTFPLNQTGLPNSPLILVDLTDLPDSITNGRVEVDEKTGRLQGNGTFAPSFGIGTYN LSFCADFSGAPLRDSGVWMNNRAGSYPKSLRRVADGVNSPPLPAGAWTQFNATEDNEI LVRVGVSFISNEQACSNAEKEIPDFDFEDILSSAEDAWRDKLSVVEVDATGVSDELQT VFWSGMYRSMISPQDYTGENYLWNSTEPYYDSYYCIWDSFRSIHPLLTLLDPQSQTLM VRSLIDIYRHEGKLPDCRMSLCKGFTQGGSNADVVLTDSYLKNITLNVDWNTAYEALV SDAEVEPLDWSIEGRGGLGSWKEYGYIPTDDWDPYGVGPFTRSISRTVEYAYDDFCIA EMAKGLGNTQDAEKYVGRSSNWKNMLKADQTSFVSNTTNHPNSTVDSGFVGFLQPRYL NQTWGFQDPSLCSPLYNFTSCYLNSDGHETYEGSSWMYTFFVPQDMATLVTTLGGPDT FTKRLSYLHTSGLLYIGDEQAFLPVFQFHYAGRPGLSAYFSHFYIPSQFNTSTSGLAG NDDSGAMGSFTTLAMMGLWPISGQNVYLITPPYFPEISVTNGQTGNTATVRNVNFDPD YEAIYIQSATLNGEPYAKNWIAHDFWLNGGVLELTLGMNESDWGTGAADLPPSSSTSF LY89DRAFT_603910 MLLKALAFAAAVAPALATDNDKVYKHVVTFSVDGLHGSDVEKYV AKRPDSTIATLLKTAYEYTDCYTSAPSDSYPGVAAFVSGADPRTTGIWYDDTYDRSFW SPYSTTGTNCSGPPGAEVTYDETKDYDSTKVWSGGIDPANLPQSIIGRKCTWTYPHQR IRVNTIYEIVEASGKQTAYTDKHPAYDMVRGPSGKGLSVGYFPEIQAFDTTNLTQIIG YDQMHVNSWLAWINGTSLVNSEVQDPLTGIPTLFGGNFQAVSVGQKTYGYVAGSLDFT PELLRALDFVDNSIGQVVSALKTKGVFDDTLIIVASKHGQAPIDPTLYNKVDQALLPP AIKVPVAFITTDDIGLVFLVDARDTDAAVDNLNGQRDALKIDDIISGDRLTYLGYGDP STDPAVPNIIIKPKLGTIYTTSTSKIAEHGGLSDDDRKVACFASATNIERTVFNHQVF TKEFAPTILQALGLDPMSLQGVVAEGGKVIDGFRG LY89DRAFT_744173 MTSPSSLGTSSTSLVQVSPVQKTSSVTSSITKLLIPFESTSAGN RKVPGAASTLVSEETEAASHGAILQVTTATSSQMIVPAIPGSSTEVIVVGDFTSVPTM KAPVLQEPSTGVIAHIASQEQSNAQAPSLEASPNGIVPTATSAHVVVPAVSTSGIILQ IMPLGVHKRQSSNTYLVPKSPFGELICDSSITFTLESGQLSTSNGGHLSTTNGATWMA FQALSSILAINTEFTIDRNRYLVWLNDAFVGGQALFCEEQSGQIDILFQGSNDANDVS YPGYGTTCAPVSLMIVQDTHYLHFPSFAIEYSCLIL LY89DRAFT_726786 MPNSWGFTEAALNGPCNVLGGQAVYLGCFLTDNGDFIGAYPPAG TSYWTTIETPYNSENRVENCYGDFTQTGCGWQVKYYADGYDYQNSSLDGIYAAAVYVD PSFATDGSLVPSIEQYNFKYLGCLEDGEDGADENTGILRPVITVQLTASSTFMLDCFE FCASLNMPYAGLASQTTNTGYFGPSTSTTCLCGVSFRGIVFPIANSDESCNGSPVTGL AWIMHHWGQLYWYLGTRWCNVTGGIWFAFYRNPNYLGCYEPPILGITPPPVENIYSSA YCFPSNYPPGTTSKTLPSFTSGAITVNTQIPAAQVWVSPQYPQYTYLGCYGNGSIFLD FTNMTQTPTDTTMLRVEDCMQYCSGAGGNGTIHAAVYGTAYLGGKGIVCLCGNNVNLN NQLYAWNCTYPCGVGGSNLFGELCDGYDAADGIGSSGTAAPGGFNVAPIGLYGPTSLL TASPAGVSRSITQRMFGRAFQHPACLEPTHLSVHLL LY89DRAFT_662531 MSKYGQMISEERSDHLNQQLARQGVEEYVLRRGNWPRQLLESVS RPTRSSPYTGIPSNHEHCIVQDEVCTQNLDLIKGIWNDSNKDDFDMRELQERNEESGF GYTSYNADTFPSFVHNENNHDIIANERWRTPADLPRIAARELVDGMIDIFLPLEENGV KVNDPEELARRRDYFLPRDGENGRLESQVLIEHLSGVRAATKMPSEPAQTLVMDGFGV LHWRFPC LY89DRAFT_1492 MKFLCLPGAYGSAKNFEVQLAPFCKEMSTDGTAEFFFTQGENKC IPPPGFEDYFGPAPHYRFIEYDGIEQNDVLERVRDFPEGENPEDVLRELMPEGSEKVQ QSVRNALDALYLIMEQHGPFDAICAYSEGTVISGSLIMDEQRRFEKEGRPRQIKQAVF FAGWPPLKPDSNEMLLSDISEEVIDIPTLHCVGADDPYLHGAMALFNVCDQDEAILFD HGKGHTIPRDAQTLQELGDAVRGLPLASF LY89DRAFT_1527 MAPSAMPTNGESYDHPYARLGTNLNGNGNSNGHSNGIPNTNGAA PKNAETVPIAIVGMGCRLPGDVKGAEEFWEFLARARSGWSPIPESRFKHAAFHHPNPD KEGCYNPEGGHFIDEDVGLFDAPFFNITEKEAISMDPQQRLLLECTYEALENAGIPKE KLVKQSVGVYVGGSFADYELRNCRDLDTTPVFQATGCAQSLLANRISYFFDFAGPSFT VDTACSSSLTALHLACQSLRTGESTSAIVASCHLNILPDYFVTMSMSSLFSDTGRSFA FDHRGTGFGRGEGVGCVVLKPLDEAIKARDSIRAVIVGTGVNQDGRTKGITMPSGDAQ VNLMKSVYEKAHLDATLTGYVEAHGTGTKVGDPIEAKALHEVFGKGRTPKQPLFVGSV KSNIGHLEGASGIVSVIKSAMMLQKGFILPNYNFQKGNPKIPFEEWGLKVPTTQRPWP RGKKYISINNFGFGGGNAHIALEKAPAASKGLIERTNDTLATGTKSAPKRIFVLSAND KPALQAQMNDLTVYLEQRPEVFQNSLLPNLAYTLGQRRSVLSYKMAIPARASAELIPA LASSDTVLSRATKEPRIGFVFTGQGAQWHAMGRELLDAYPVVAATMEKIDRYLSDIGA DFSMIDELSRDSESSRVSEAHISQPACTAVQLALTDLLKSWGIKPAAVTGHSSGEIGA AYAAGALSLESCVAIAYFRGQSIISLKRGFPELKGAMMAVGGSAEEVRPMMKLLKEGR AVVACINSPSSITASGDKDAINELQKVIEEKQMFNRKLRVDTAYHSHHMNLVAEEYRN SIKNVNPHPSTTTTFHSSLLGRQVETSELGPNYWVENLTCPVRFSEALQSMCKPIDGS TTPGVDVLVEVGPHAALEGPVKQILKFLGGNATKLPYASALLRNKDAVDTTVHLAATL FTKGALVDFGAINFPFPGVKAPELLTNLPKYPWNHSTKFWHSSRIAEKHTDRPFARND LIGTLASYSNDLEPTWRNIIRADDMPWVRHHKMQSMSVYPMAGYIAMALEAASQRAAL RNVAFDKFELREVTVSRPLVINEGNDIETNITLRSFAEGTRTSSDSWDEFRIFSWSKD RSWIEHCRGLISVVKSADGNIVDGAQQLLDTKAELISKMSSFNEACTSEVNTPLMYET LSEAGAGYGPSFQGLENCRASDNHAVADLIIPDTASLMPKHYEPDFIIHPAFLDQFIQ IVWPIFGAGWKGLNVLYMPSFIQSMSLSTGITRKAGDRMKVFGTGKPTPAHPIPTKLS LFATSFESGDEALISMSDLVMTPVFAGSDASSSAANRELCYKLQWEPVHAEHQANGVE EHDAHINGINGVNGTNETNGVNGSHATNGTNGVNGTNGTTTPKFETEVVIIGDETSQS SLISSVKDLITDFTHSSPTQGSLGSVATDGKICVVLSELDRPVVSSLNSDDFQAVKKM LTGAAGVLWAVRGAYTDSSKPDAEMVIGMARSVRSETLLKFATLDLGTTPQLSNTGTA DKILEVFKSSFSPDAPTIGGDMEFQEREGKLLVPRVVEDLDMNKFVHQETQPVAAPDL QPFHQTGRPLKIAIETPGALDTLYFTDDLAVGTPLPDYEVEIEVKATSMNFKDIMISM GQLPSKYIGVECAGIISAIGSKVTDLQVGDRVCAMSEGAYSTYTRCLGTSAQKISDSM SFEDASTIPVIYCTAYYSLFDLGRLTKGETVLIHAAAGGVGQAAIILSQMIGAEIFAT VGSVAKKEFLMSKYQIPEDHIFYSRNTSFAKAIKRATNGQGVDVVLNSLASDALRETW DSLAHFGRFIEIGKRDITGNTRLEMARFEHNAMFASVDLTVVAAERPKIMKRLLSDVF DLMGKGLVRPISPITTFPISDVETAFRTLQGGKIMGKIVIVPHAEDQVKAVPRKTPNN LLAADATYVIIGGTGGLGRSMSRWMISKGARNIVLLSRSGSATGKVAELIEEAKSVEA NIVVKSCDVANLSQVEKLIKEDLASLPPIKGVIHAAMVLHDVLFEKMTHDQWTSVVQP KVAGAWNFHNTLLSTSQSLDFFILLSSAAGAVGNRGQAAYAAANCFLNAFAQYRHTLG LPASSIDLTAVSDAGYLVESGGERQKEVMENLGSESISEKEVLALIGAAITGKMGDSA NGHCITGLKIAPEKMNDIFWISDAKFTHLREAAEKEASSLTSASASANISISAALKAC SSRAVAIDLITEALLSKISAVLMVPREEMDASKPIVVYGLDSLVAIEIRNWITRELEA SLQVLELLTSGSFTALAETVLGKSKLGVKFLEINGVVEEK LY89DRAFT_712744 MGPMDPREALESVVTGSVTSVLNLASSATSAFVQIAHLKSIAED LEKRLDDVISDLAKSEDTDQRHMAKQFKRQKLELHSDEARQKTKAHERYMNLTEGLIQ ISDAVTGAFDIHRPNEAVPQAQTRQHSAYTEKYCGRWNEYYSNDPRDTSSWDEWDEWD DMMTGI LY89DRAFT_726790 MLSRILSALLVPSLLFSSFAQAEVDTSSVGPLTPLSSKSTICNV LDYGAVADNSTDIGLAILSAFTSCAKAGGATLYIPPGSYSIATGVVLNGGSAYAIQID GLITLTSDGSFNGNAIVIENASDVEVFSSNALGAINGQGYIERITSSGQNARLFRFIS CSDISIHDMIFVDSPTFHLVFNEVSNMEAYHITVRGPDLGGTDGVDVTCTDNCYMHDI EVTNRDECISVKSPSNNILIEDIYCNQSGGMSIGSLTADITDSSDAAALSNITMRNIY IFQCTQMLMIKTFPGGTGAEGYVKDSVFENFWAYDTTYALEIDQYWESTTTPDTGAVA LSSLTFSNWTGTMDNGAERGAIVIRGSDIVPAVDISLEDFDMWTVNGNKVINQCKNVY GTGYCAGTSTAASLTTFTTSVTSTVAPTGFVTPTSPAWGISGYGTTDPIPVYTPAVFW SPASSGVASTTASADGAVSASASVAKSTAASSSLKTSSVVKVVSTSASLPTSIGSSIE ASSSSSLAVTSSLELSTSLSTPTPTTLLAVPSSASTILISSPSISTGRVTRTRLTHTP RPMSTGSSVVDGQGGGEDGESCEW LY89DRAFT_726791 MQSRSKRLSSALEAWAGPITRSKRSTKNVKSDHPAAGNLSPEEL TAVPAKSFPKFRELPLELRIIIFEFAISPDTFIEAIFLHGEHRFHVSSPNAPSIPPTH QKYSTSALLPIYLEPSTNLAMKRPLKLPPTKPIYIHIKDVLYIPEIHALDVTAFLRRE ENQAIENLAIQASSALRLGGDIDDSDSFSYSIRAFREGELVRGLKNLKKLYIVEGPDL GRERRLVRDPAYKWRFSWSRVKEGAEMGNTYAGIFKRNYKHEWNTGVADSIAQAIDTE SNMMKQQGKSWNPPELIFRELKRTLVEA LY89DRAFT_603922 MTASSLPADYLERVYAGVLGKLIGVYIGRPFEGWTHQRIVKQLG HIHHYVHEKFDAPLVVTDDDVSGTFVFVRALEEHGLDLSSKDIGKTWLNNIIEKKSIL WWGGNGVSTEHTAFLNLKHGIKAPASGSIKTNGKAIAEQIGAQIFIDGWAMVAPGNPS LAAKLAEAAGSVSHDGDSVHAAKLWAAMEAEAFVSKDVDHLLDVGLKYVPAGSLLTKL VADIRGWVKTDQDWEKTRQRIEDSYGYDKFPGVCHIIPNHGIMIMALLYAGHDFHSAM HVINTCGWDTDCNSGNIGCLVAIMNGLSTFENGPDWRGPLADRALISSADGGYSINDA ARITFDLVNTGRKIAGEQPLPAPKDGAQFHFSLPGSVQGFVATRYELSPNRVKIEQVT DDQNRSGLAIHLNGWTNPAEPVEVLTQVFTPPEIVKMKTYDLMASPLISPGQTVTAVL SADTSNSESVSVNLRLKHYNPADTLSIFDGPSTTLSPGQQQTLTWKIPDSFDSQPIQK VGLALSCPIGHLTGTVRLYSLSYSGSPHMTLKRPAGAQYDPFQPNGADKPCSFWRRVW IDSLSTFHTKFFTSSFFLAQDRGEGNLITGTRDWIDYTVSVPSFKINLGSAGLCVRVQ GLNRYYALVFGSGRKTVALVKARDESRIELTNASYEWELDTAYHVTVRVEGSKIVGSI AGKKVLEADDSEYTGGGIGAVIVDGSLAIDQFDIAPV LY89DRAFT_692695 MTTTPSAFVDTINVNEISQSQGFDSAAQIATENEHNLSFREAFR RYPTAAFWAIAISFTIVMEGYDTILMGNFYAYPAFRRKYGTYYPDLGEWEIDASWQAA LGDAATCGSFVGLLINGYVTEKFGHRHVIMAALVVMSAFIFLPFFAPNIQVLLAGQVL CGIPWGIFAIMGSSYASEVCPLALRGFLTSFVNICWVIGQLIAAGVLQGLVSNTTEWG YRIPFAIQWSWPIPLFLVALFAPDSPWWLVRKGRLADAEKALVRLSSSSTLAEIRLKL AMMVHTDKLEQAMRTESSTLDCFRGTNLRRTEIACMVLAAQELSGEAFAYGSTYFFTQ AGLSSSNSYKLNFGGTGVAFAATCGSWVAMNFFGRRTMIITGMTIMSLLLLIIGVLSY PAVHNDGATWTQAVLTLVWLGVYSLTLGPQSFALAAEISATRVRSQTIALARNSYNVV QIICNTVEPYLINPTEANLKGKTAFVWMATAILTLIWCIFRLPETKDLTYEELDILFE KGVPAWRFKRTNGDLRSKDTGGASIGREESHSSDSDEADAR LY89DRAFT_603930 MSVHDTVLIIGATSGIGLALAKHLHTLGKTIIATGRRQERLLSL STSHPSIHTSCFDNSDLTTLPSGLDAIFKQHPDIDTVIVNAGVQNMFSFLDPDPEDHR LEQISKEVTTNLTAPMIIAQHLIPFFIKKQSPCSLIVVSSGFAFIPVPYFPIYCPTKA ALHSFCIALRAQLSSTNTKIVEVVPPYVDTE LY89DRAFT_1665 MSNGNARRQALSAKKLRRVDLLVVLPVCNYLTCENFGDACVHDA QYSVWGCCQTPYTACQLYTSCLPYSDIASCGPDCSADPYLTICSDSFYPICDQYVLDD KGILASNFYCDSTTDIETASLFATDGDQGFVTYVEVTMSILSASTSSKSTSQSSSSSS TKPSSSPTAIILTTSTSPTPSPTPSPTHTSSPSSTPVGAIAGGTVGGIAVIGAIIVAI LLILRRKRNKPSNSAMAATPRPATYDESTAHPPPQMHSSPVPSYDTRGSTYKPSIPMS PASYTPEAQKTTPMYAAGGQQHAGLGLEVTELPSPPGTPANAHLSGGYATPRYSSLGA SPMSSVPVARPGNENDAPEVQRYQPFMG LY89DRAFT_1682 MTAGILLRWLGGRGFLCVHSGERPLTFRGKSLPDPRLPRRRFAV ISFAVHTTSQYKVNGRVAVLPDSFATSLAPSV LY89DRAFT_692698 MDDEPEFIAAKEDHFGETAVLSDAKDVLTHVIHVDDDPSLSPWT FRAFFLGVGLAIFASVLQEIYYFKPQAIYVSIVFMTVIGYALGETLALIPRKGAFLTF LNPFPFNSKEHAFIVIMASAAATNAVSTEILAAQRLYYGMDPNPGAAIFLVISSQLLG FGIAGLLRSVLVQPTRMLWPINIPVVTLLETLHRDKRETRFRLRVFWIVFCILLVWEI IPEWMFPLLQGLSIFCLAKQNSLLFTNLFGGSQGNEGLGFLSISFDWQYIASLGSPMW LPLYTLTNSMIGYLLCIVLFMGIYYGNIWESQNFPFMSQLLFDNSSNATVFSEYDLSL ILTPENFINRTGLEENGIPYLTGTYIGYLITTNMGLTATIVHMFLWNFDDIKNGWYFL HPSQLKKVLQPSFWTFWKGGKTQEQHRREVLENPDMDPHYKMMVQEGYKEVPNWWYGC VLIGSFAVGLGTLYGIESTLPWWGYIISNIFAFVFILFFGAQMGITGFQFNQQPIIQM VAGYMHPGKPLANMYFTVFGFNGVQQGQWLCRDLKIAQLTHLAPRATFTAQMTGAVIG GIFNYIMMKTIVTNQFHILTSIEGSNIWSGQNVQQYNTLAIAWSIAGDMFSIGARYQW VTIAYLLGFIVPVPFWVLYKYTKIEFFNYINLSIILWYMGWLFVGVNSSILSYFAIGF FAQYYLRKYKPAIFVKYNYLVSAALDGGTQVLVFVLSFAVFGGSGKAHSFPIWAGNNG GFSNNKNVDYCMFNPANE LY89DRAFT_662541 MRFHRERGAANGGGGALQPQTDDRVKRAGGEIREQRHQRDKQPI EANGRRLIGKFNARAPLFIQIIRGERIGEDEDNAFAEMATSSIGARRLQKDKYETDEG REVQSSRESNEKKDTLCGWMDDGAGAGAGATPRDLLRCVCTKPCLEEDEEHSSLAPLA PMGELLK LY89DRAFT_703127 MSSRDEITLPATRPKSRKSLGHVPSAQDVDQENQTADVGLLVSG KRTPMEKSRKSRSKSIGPGGLDALKDTSGNRRKSLAAIPHPPPKSILKPTMPPLREIP AHISTRKGSPKKPTPQSSQKTENLIDFDFDLPSGPTISGTDRLANPFEVGGSPAVNET RVTLRTEEEQQAAAREREEKERQELEKEIISRRDARRKSLANRRVSFAPEATLHTWDV VVEYQDSTTSSNATNSTRRASSVTGGTVGSPHPAGPPSSDPSDPPSTPPEHVEEDTVK ASPAHQRDLHQKKRRRSSGIPPMNFNNPDDEVFSSSPLSGSSDAEEAVDDDIASNSNS DSGDDGTMMSLDGGETTNMSMASINSSDSTDSSTRLEEALRQAARQAGTQGIDSDENG DENMVEEEEEVVASFAPWKKPNAIQNLESQQDQENVNPFSPAFKEAAPPEEEQSEGEE VTMDMTHAVGGILPSQKPDKDEMSMDVTRAFGGIITNDAPKATNSRRKSMAAGRRQST RRRSSGESSSLGDETMEFTMAMGGIQPSHDETVSKDDEDMTMEFTSVVGGVLAQYNGS SKPIRRASMAATQRGQPKKSRESIESSAEDETMDMAVAMGAIMPSIAEATSQQDDATI GMEMTTALGGILPPQMSTGSRSQAKKIMEMETDLGSSPFRAEVPQNSPPKLPAPTHTV ASETGSPSLAGFRGKGLRRSAEARHSTTPKSRLSSGGTPLKKPSTPSKQLTPQPPRPT TPGKTPPSKNVIMRTASPKRLFKDEIKASSTPQSAAAKKATTPNKLFQQNKSTGAATP SFILTPQPRRSSGIGLDKLGLGSPRVAALLDRRGSIGEHAKSFVPSRLEETAARGVRF DDPRAMENEIDQEREQEGNREDGRKILEREADQAEEEKDATINLKEMIQSLTPKKKPL RGRKSLHVGAAKGILGKRPAELDDDDEEDDNGGVKRLKGHQGSPVKAVHLQAPPTKAE TTTGRMTRSARKSMEEATFSSLTPTTTSPEKVKATTPRHQGRFKDAEALAPTANIGQP VEKEPVDEPEISEESFGDDRIQLQDFLNMTSIRFMELTTTKRRHTIAPKAAAKEGDST KSVSLEDCVAAGAATIPMLELFQHACHELKRYISEGRKTVREIETETFEENPPLFREY ISASPDIKIVMDNQLKNVKTHARLLSKGQWYDWRMTLLATLKEGLFKTAEGMIQDEET LDQQQELLDTVLPHLIRQFEQLQREEGELQYAADEIANCDQEELSNARQHLITLDAEV EAKKQLIADLRRQVQGKEAEIEAGTERKQAWEEEIREAEKIREECRGWTSSEISILKG KVDAIEKEHGWTITGVSGTTTSMTYQKEIELVFDASSFLGAASSTSKKSENARIDLWY IGANRELNALPLTPEKEFFLQCIRDHIRGLPQAQTAVKDLLSAVSVSWNKANKVVDDI QSLNVSCPTEILKTSDDSIMVKSTLLISPLTTKVEIIFHLSSQSGETGIDVGIAPVAT VVYGERFNEPKMGEFLLNRCGDEVEEKGQSSRSSWVSAVAELGEKLLARGRK LY89DRAFT_679073 MATELTVQSERAFQKQPHIFLNSKSKAKSRAVGKGGRRWYKDVG LGFRTPKTAIEGHYIDKKCPFTGLVSIRGRILTGTVVSTKMHRTLIIRREYLHFIPKY ARYEKRHKNLAAHVSPAFRVEDGDQVTVGQCRPLSKTVRFNVLRVLPRTGKAVKQFSK F LY89DRAFT_603944 MSDSPVTSQDPVPVLDRHSKENRWYYKEINDQVQPISRKLLEEY SHIPANEIDAHIYKMRDILWSHAPYPCVGEFKFLDLRLPKHPLYTQILTTLRKPSSPI LDMGCCVASDLRSLAHNGIPSTQLFGTDIISSYLTTSYSVFKDTDTFHGTLVPANIFS PSLFSDEFAGWEGRFSIIHAGLFLHLFNWEQQLTVCEIIAKLLKEEEGAILVGEMVGC QGGGLRGGPANTDFWKKERRHWQYLHDEESFARLWGEVEGRTGTEGMWKVDSKFVVRR QEKGGDGSKSCAFFEGEGIGWFTFSVARVMGS LY89DRAFT_726801 MSTSGSRHLKPIIHSRNSSTDSRTSSQSSSSGEYRYYETSTSRS TMANGGYREDREKRTEKRRVPDNKSHVMTTMTSRSGKNKVHAHGARYYDPEEPRASEA RYEDYQRTKDYNRSSQPSYSSGSSTR LY89DRAFT_603946 MRFFTLAALAAAATSAIAADVQVDVTREVECERKSQKGDKISVH YRGTLQSDGSEFDASYKRGNPLDFVVGRGSVIKGWDDNLIDMCIGEKRTLTIPPEHGY GDRAMGPIPAGSTLIFETELMGIAGVPKPESIIEKTASSAASEASSVASEATEGVKDT ITSKLADAAEAVKVVLADSDGDGQEHNEL LY89DRAFT_744198 MHELQGYLEQLRAVPGSNYIGSLNNGPVIDVILDPFPSEGDFNA TLIDAYCTIHKGHIKPYITGMLATHKHKIVFTHADFRPDNIIIKDGHVTGIIDWEMAG WYPEHWEFVKAFYICDWQNDWGTRLLGAMKPYYCEQLVHARLTQILF LY89DRAFT_679077 MAPSSPEISVHIKAPDFELITPKAASRMPQERLFLRGGVKIVKI SPTMVMKYGDSVHMSEAKTLEFVRHHTSIPVPRVYAAYTHGPFEERDEEWASKYDTYI FLDFVEG LY89DRAFT_542673 EKILRWLANLDPFTNQRNASKQHEPGTGNWLLQRDDFLTWRSTP GTVMWLHGIAGCGKTVIWFAFCQSHAAQLVIFYFDFRDPWKQNADGLLLSILAQL LY89DRAFT_563532 VLDALDEFGECLDLMELIQEINEWNPGAVSILATSRRYPEIEEE IIELKPVQINIQSSLIDSDIRTYIQTRLQGKGRLRRWCRDETIKSKIQQTLVEGAKGM FRWVACQMDELDRCLTLGSLETTMKSLPDTLDKTYERILLGIDKRYKSQALTALRWLA FAMRPLTIREVAEAVHLFSCEATIGDVGDESRNRLSDPNDILLICSGLITITEELQPD ADPIGYFPDISEPDMRIIQLSHFSVKEYVVSDHAKLGPASHYHLVEPSCHTYITHCCV SHLLQYRDIDSL LY89DRAFT_633430 MSILVTDLKPHLALVTGASGGIGRATCLALANMGCSIAVHYHSS EDKAVTLVKELKSKGVRAEAFRADLTKYEEVRSLHKAVVDTLGPPTILFNNAGLTLKS GIKAITEISIDDFEHTWRANCGSAFLMTQLCLPDMEKKGWGRVIFCSSVAGFNGGVVG PHYASSKSALHGLIHWLAGAYSKTGVTVNGVAPALIQETTMLPGNNEELAKKIPIGRL GYPEEVAETVIWMVKTGYVTNKVIGVDGGFFVQ LY89DRAFT_776000 MASQTPLPTTMRAWGHNLAGLPNQVLHPTPNIPIPSLQPTELLI KVSYAALNPGGPAIMNLGPMIFRTKPSIPEMDFAGTILSLGPSVQNFVIGDRVFGNIS IGLHFNKGRGALAEYVVVPFDRVVEIPVSMKDEEAAGLGIVGCSALALIDRAGPGEGD RVLVNGASGGIGTLLVQMLKDVVGEKGKVVALCSGSNVEIVKGLGADEVIDYRKHHPV EKYLAERFSKDQFDCIIDAYGSQDLWLHCAFYLKPGKPFIPIALSVPSYGWSSFLWMV GTIMSNTWKPKFLGGVDRPYAQTNGIADPMNMQRLARMVEEKKLKILIDSIWSFDDVL KAYEKMMTKHARGKVVIRMDRAESRVYS LY89DRAFT_726807 MASTLTSLSAASAKPYPSATSDDNEYVCPFFYYPAPMDVEDLGA YADGSLFPVHLGDIFKSSSSSYQILLKIGHGSYSTVWLARDLDHDDRHVALKFLTAGS HGDSEVEIHRWIREHKHDHPGHQYTLQMLDDFKVGDPYPTHNVVVTDVLIPIRQLDLR TREKLLSSKQACSQPADTRPCFSPQSRACTGPEQCSQGLKSRIGFQEQDRFKRMPKYL VSNGIFEDYVRNVINSDTLRSAEVKLQIIDFSNSYRGLPPSPLPATFPRVQAPEIFAS KLSPAVIKCGGIQSDIWSAACTIFEIVCPGSVLFESYSRQKAMLEDVIERIGPLPDSW QLTTLDSTQSTSCALADQYWEKCLSRCKAFQQDIDTARACFSLIRRMLQVNPDSRPSV ELLCNDQWWEDRVGDQVDTGITIQSNNVLATVKD LY89DRAFT_776002 MEPYLSHAQYYDEDEDLPVWIGQARTFVDLRHREAQYFNDILDH NLPDRINRWDCDEENGWTFEFDDLVLEVLNNISGPMEVKATSWSMPRTYIDPLRRSLR HLLSAQLDNLYGVTDFAVLLLRLVEEANIALREWKGASSGKAVDIGWDLTAINSGDIR NLITSNAQGANLDTIETTADDLLGTSIKKICERLPEQYRILHVEPVFRTDLVTRFKRR QYEIYEDLLKRPYNRLRQCVSSKAIARGSSLDKKKHLAEELSRPRVTFHGIQRHNVSS IVRYGFVKPGDKAGDTQIDVACGASFGIGVYTSPSAEYALMYAGGSDSGFGHKTSCND LPGLRLIVCAVLMGLPVAVTREETWRTTEISTEIAHSHVSPNEQEYVVFNAAQVIPCY VIHFDFGVEFAKAELAKVPVDPNRWSRQWRQTKSASDRLLKSTYVAPAEVAAAKQARK AAAAKWFPYGFGPATGTSFVIEEIGEISDDEEDYGDYQGMRIEVEDEVSVWEETVTGG SSWFDEYQTSRTTYRKT LY89DRAFT_726809 MMASKLLLLNLVVPALSEIAHGVFIPSTDSFLLPSPFQGDETVP TNGSTNFINTVTANASTNALLDSAINDTYYAFDEEFYDILGTKTPNIQLIETRKDLFA YEAGAWDYDKNQVWFSSCVISHPTYISILDLNTNDITTLDIPALYNINPNGGYYFNGT MYFTTVGNESVPNGVYAINTETYKATPIINSFYGEKYQSVDDLTWVKQGSESCVDTGP NLFFSTLDVRLFGVYGAAPLMLQDWVYRYSPKTRTVQGAISRSDIVSPNGVRRDATGR YLYVTGLAAPFAPTGSQGNQFNSSVVYRFTLDEDCVPIEKRLVAMVPSYADGLHIDDF GRIWTGEWEGITVRSPSGKVLGVFNREALVTGVGLPPMANFSITGDKLVILALDRVFV LQLGQNVTSAKAGTQ LY89DRAFT_535916 IFIAEINGRTTGAGNEVAVQCDIRYAGPGAKLSQLEVGFGLLPG TGGLQFLVSLVGRARALEYILSARSVDAFEAAAIGWVNRAFESEEKLKAATTELAERI AAFPKQGLAAIKSRVNVQKPTEQEIFG LY89DRAFT_572485 MKLSAISTTLAATLVSANPNYGRGSLDEWAPGGPDDFRGPCPMM NTLANHGFLPHDGGNITLENAVYALTTALNFNESVATLMWQQAIIANPEPNATFFTLD NLNRHNVLEHDASISRSDAFFGNNHVLNQTIFDTTTAFWTGDILDVTMLANGKMARQL ASKAFNPNYTFTSTTEAFSLGEMGAPILIFGDIPAGTVNKTLVTYFFENERLPVELGW TKQASPINLDQVSATSASLSKAASLLTNSTTSAAARHRDLHAGFMFES LY89DRAFT_1899 MDKTPRTEEMLSVPGDKSHNPSSDVDELLKHKTHLTRVVGNKTL TYVLNVAQEPDRARACGSGIKLATDRHPVDPPPVVVLSILERRGDQQVDITSSYIGTF FMHATLEADTSTVPVRSYTKATHNRGTSEIAVLAGCPVTTGTYLDRPIQAIYFVFSDL SVKNEGNFKFSFNLYERCLEDSNTTLYDVDMKSSERADYKNAASPQDDFIWRIELNSA VFTVFNAKDFPGISESTTLTKTLSEQGVQVKTRRDTRMGRRGSKSLDDFSSPRIEDPT TDEPLENYTDDVVRNDSTCASPKRSNYFGNTSKNRLISPVSKSFTQAGSIHSSTPRIK MEDHPDFVGHDTMMMSTPQLSHSIMVSSASPYARSLDATFYDQPPMRWPKMEYGNPLD LPGISSLPIPHYERRSESPERGTSGSQAQRHPAFDQNLFSRSKRSPIETKNSLHFRSH GEEMGQRLLSSGMNFKIFTGKYSTLIANKGEELRKVQDIGTDQNREGATMRSACVQCR SKHLKCDGLNPCSRCSSNSFECVYVRSRRGFKGPRRNDVVSKMTATSSLGQLEESLRE LQSRLSTQKIPEKRSLGLLDSRGLKEQRQGAAGMTLIFKSKDLPDFELAVELSTKVEI MLDAFRRGNDIPDNTTITLYHDGEELELSDTVQSMVMGNMDVVEVHIR LY89DRAFT_726813 MSTSKPSTSLASKIDLLGLSPADIVARYDLALNATSTDDDILLN EPDYGSTAQHQVHFSGETTKHALNPYSMTVRDSHTVTAASTNATKLPLIPYCKTSHGP LAGIDEHNTIKHPLKSSISSVDLYSKTSHDTYTIIKLPLNATSLYYVPQGRTQLELWT QESEHHEVIAYSATSFVPKGKPWL LY89DRAFT_1957 MASLSTIAPNLGAFIVLPTEIRTRIYGLVLDFNWANYPSPQKNW TTSSQDLTAYLSSEDGQTDPPSKNRVSLLRTSKTIYEEAVIVLVQVNPFRIHIERGEC QVWGVTHDEAKPENWDFNLFAEFPFRISYLELDPRDPFLRRGLFEKLRDLNLRLTLVE ESDIFPIEKEENGVGMCELTPFMTLLAPMIKNLKEGALKRFIFTIVSKEKERGSVELV LDMIASFRGLTYASVNAFNSYRGREPGWRLKRDYKDHLVGAMKLPKGVAAPDWVPGDE ESPDAFSIFEVD LY89DRAFT_1956 MELQSSLQACPNTSAGTLSTLPIELRERIYGLVVDLNEPIPVDS DLANPSDPYAHHPNCTCSRSVTKKKSPPKLGLLLVSKAIHNETRIVLFRVNDWNIEVE DDNDGSFIKSEPNVSIMGRSGWMMQFSHGSMRSKSEFTPLQTAALDRDNMWAELRSVH VSVGAPRAYGGGGIREYDILPWKRQTRPGPERPSFVPLLKVIDNICDLLGNCRQLHML RISIRSIEKIPGSIELVLHPFRQLRRVKKTSVVCMGIQDDMWVDWNLKGSYGRYLDKI LGMPDGAKAPKYVGDKKEPKQNDKEIFDMIGARWCGGDIVAYPTRDDGDEDEPDDDDD EQGSMNDDEMAEFEAFLDHMGMPGPMMGALGIGWGTDSEEEDDEDDSEEDFSDEDGSE EDEEDEDDSDDEIPAFVYAALAGLGPLPGFVGDSEQEDTDDEMPELVLFSPQSTDPAS HRADGGGEWEDTDDTDDDMPELLPSSIEKPAEPEGFHEMVSEDVD LY89DRAFT_744215 MSNFGLALDSIGLFGSSTYNPRDFENKCVFVTMAYLQGLTADQF AINVQREGLYEANEGCGIGDIYDMLERQGVTKGPYLLRFNIPRIHSCLDRFTQVCGII YSRPYGTSHCVTVRKTARDAQHRNGLKYICYQRETEGRDLTWDAEAGELEMIFSLYGR GSVHSIPPKKEDNIDSENCAP LY89DRAFT_744217 MRLTLLSKGAIIGLAAAAPLIFRNKDVTGIGRATNELPPAYQIP AQVEILNKRDCTQKVEFKQERKKVEKCADIFYDLGLNMKHNGNPPNNAHRTWFDVEAP MDVEPSSHKFIEIRDCLKCADSSVLREWTNKFLPQDYIDRVHAMNDEELLKAAAELTP SDIQNWVWNSRDVWTRDLAVDCNGIDAHCRIKHINGTTFPSHRQHKNPTHEEHTPHQL KKPELKPHFTNQTKHEPAVHYLLKPGSKPHYLNHTTHADHESKIKHLNRLHSRSNVTA FGNMTATSNMTEVSSKTECAPYVRQFLHNLVHLAMSLATRNATEEDAQLIAVKFEEIV HKYATARDGLASSNGTSNEHLDVAGITDVSNNMEGAETNEDDEDDDEEEAEDEGKDDV SSSVMWNRRIIENATKLLGALKEGLDQIDSTEVAEDGKTDLPTSLWGKELMKMLLSKK AQYQTNDTEVAAPITDQTSKKLPGALPSEVIANLTRNHA LY89DRAFT_776008 MSSSRLPPQLGWIGLGSMGIGMAKNLQKHLKSTGAPALCFTNRT MSRGASLEELGGEPYQSVVEVIQNSDIVFTSLSDDSALETIVTQVLAAGNLKNKIVVD TSTVHPDSTVEASSRLSEAGALFAAAPVFGASPVAEVGQLLFIVAGPAAATSAIEPYL KGVMGRGVISLGEDVSKASLMKTSGNFITAAMMEIIAEAHVFAEKTGGSLQGAYARVQ PWSDLNLAIKDVGHGINCAQSAGARLKVGEIALGHLEEAKKYSEGQEQRPLYSSSLYG IIRQDAGLDFESEFVEARDDKATK LY89DRAFT_633438 MDIHRCRFVPYPPSTINALAFSHSHISKDQKTAPPRLAVGRANG DIEIWNPLKGLWLQETIIRGGKDRSIDGLVWTQDPNEDVEGRTIIGKSRLFSIGYTTT VTEWNLETGRPLRNASGNHGEIWCIAAQPALLPAEEEDIGSSAQWTGQSLIAGCTDGA LVLYSTKDDDLQLQKVLIRPSSKKAKIISVAFQDRNIVVAGCTDSTIRIFDIRTGALL RNMTTGSGPKGGPKEIIIWCVKVLKSGNIVSGDSTGEVKIWDGKTFTMSQRIKSHKQD VLSLATSHDGSAIFSGGMDRRTITYKPVGKGKQRWAEVAHRRFHNHDVKTMASFEGLG MSVFVSGGPDASPIVVPLGKFGFEHQRALPFLAQEPIIRSAPSKRLMASWWDREVHIW RMNKQSKAVTTEESEDEAEVKGRKLVAKMLIKGEANITSTALSADGNLVTVATTTDLK MFLLRSKKPEDGEGLRVSKVVVPASIASGARLVQFSPDGKWLCIIRPDSHILLVRITS EATSVTVHSQASKPSRLDRRIEKNILLGGLGTYDRTISQVTFSSDSRILAISDIAGYI DTFVLSGNEDLTLPIPEDEADAASSDSSDPESDSDEEEESKTRLIFGQHWTRNPAASL LPKLPAAPVVLSFRPGTNDAQKPLSNGVSPHPTRNNPHPVPHDLPEGEDRLLVVTATS DVFEFEVLKGGLSAWSRRNPTSSFPPKFKKSLDQVRGCIWDVKDSRERIWLYSINSLW MFDLSQDFPPDPAGAGSRKRKRAAKEHPSGAGGHVEDHQLNTGISRKMQKRDPDEVEI EEVEMLVKDDDAMDVDEDVDDVSTLQRLIYGDTETEDAVKGTKSRSWHWKTFKYRPIL GIVEIGEGDEFGPEVALVERPIWEADLPPRYYGEQEWKDKEMAAM LY89DRAFT_776010 MDNYNGESSRCPYPYKQPTSSFTDVANLTQLIDHSMRAIQNTSL GHKNLPRQHPEGSGSRRGRKQQRSTNESVSGHDQLMIPFDQMEIRDNTQGSGDQTGVV QPPSGNQLDKGKGHARPPYAPEASARQTSPPPKIFVGRQPMTVQIEFPIHENDKVDVY HMDGVPVEYEQEEAIHVGLMNNQLLSWEATKDASKAKWPTGTLRQILRDMKAKLQKEA DDKVRDLTETLMEKTRRADLDLRRAALLASESELKVENYKLEVEEWKFSCKNLEKQLQ KLFKIVEDEEASSVSPLE LY89DRAFT_2036 MADSSRYGASQNPEYDERWAKDLREQFEGLLRVKRLNELDRSRT SSPSPRERSSSNNLRGSPSINPPQSPGYRPSTSSGSQSGPTPPSYASLRNLPKIPAPP TDAQSQKFRNLLISLSLTPTKYENPGLLDEALQVIPLDRIYGEAEEESQVLQAQAESM GDGRKPEWGYQDCVIRALLRWFKRTFFSWVNNPPCSVCLSPTIAQGMTPPTPEESAYG ALRVELYRCSGNDCGAYERFPRYGDVWRLLQTRKGRCGEWANAFSMLCRAVGGRVRWV WNAEDHVWTEVYSEMQKRWVHVDACEEAWDNPRLYSDGWGKKMSYCIAFSMDGATDVT RRYVRKTDHALERNRCPEEVMLYIQNEIRNLRRSNMAKDERFRLEKEDAREDKELRGY VVASIAQSVVSNLRPGEPSMSSARPRTPEDQKLPAEQPAGRQSGTQEWINARGENGRR QQPPRDPSQRGH LY89DRAFT_679084 MAPSLSTRLSRLSSSISEHPLFSTLSIPPSLRRHLHWNFITLHY TYFISICLLFSLIFWGCSNPQYAISYTDSLFLVVSAMTEAGLNTVNLSQMTTAQQVLL WFLIVVGGSIWVSIATVHARKRVFEARFRDLVKRQRESIRERSRTRRRSVSASGPFMR RALTADTTVDEGRKPDERSEFESRHSEPRDPAVDTGKENVWPESPNRTIAAEEESTNK ENGKPANGTTEPSAGNHKPKVEDETDKIDEGVQRRTRQRSIDSTHISYEHRVLSFRNV NNARPTTMSAYQSPRQANGEGLLNRMENRAKVLKDEVTHLDHSLYPTYLTRHTTGRNA QFYGLTRAEREHLGGVEYRAITLLAWIVPIYFVLWQLLGSIGLGWYFANNKRSTAEEN GINPWWLGVFNAISAFNNSGMSLLDANMIPFQTSVYTLVTMGLLILAGNTAYPLFLRL ILWSLLKILCLIYPSSDAFPSQKATLRFVLRYPRRVYTNLFPSAPTWWLLFMVIVLNG VDWAAFELLNIGNPATSSIPAHFRVLDGLFQALAVRSGGFYVISITSLRIGLQVLYVI MMYISVYPVVITMRHSNVYEERSLGIYADDADDSSDEESALSKSEREKELPKLGSLAT KFKRTLTTTFDNLSTPFASQNMAATRKATTTTGKQFVRQQVRGQLAHDIWWLVLAILF ISCIEVSNFDRDPVTYSVFNIAFEVVSGYGCVGISTGLPNEAYSFSGGWHKASKLILC AVMLRGRHRGLPVALDRAVRLPQGVEREDLGDGGRGNGQGWEDEDRVLRRMGSFGVRS RRGSVSVGTGT LY89DRAFT_692709 MRLLNTKTLELSYFVDSQVPPYAILSHTWGQEEVLFDDIQKRTG GAKSGFKKLEGCCKKAAGDGFEWVWIDTCCIDKSSSAELTEAINSMYQWYKASVLCYV YLEDILTTKNAPLGQFAGSRWFTRGWTLQELIAPRILEFYTAGWVEIGTKASLSRQIS EVTGVPTPILRGEDPSTCVVAHRMSWASKRQTTRVEDIAYCLLGIFGVSMPMLYGEGV KAFQRLQEEIMKQNEDYTLFAWSLHYDCSPALTDPNPGGALAKLWGRQHSKNHRASRS HGRKSRTVFALSDCIPERLRWVGLC LY89DRAFT_2185 MLLSMELISACLSILLFVTILHYIYSRLFSTHSIPENIPWVSQS SGPFSRARVTLQSFLHTRQLVQGGYDKYSKNNIPFVLPNITTGPEVILPMSQMEWLLQ QPDNVICQNEVNRAFLQADHTMLHPRIIPDHVHEDVIRKELTKLLGDYTSDVQEEIDF AFRKEWGVDTQDWTEVTTYDTILNVIARISNRVLVGFPLCRNEDYLDKTKNFARLVVV QAVFISFFPEFMKKIIAPLINIFDYNRYLACSKYTLPIIKQRLSASNPDEKAKNDYIQ WCIDHSYHNSDPSERTTDLISKRLTVLQFATIQSSTITLTNLLLDLACHSSLPSLLFT LRTEITTTLSSSNNIWTKSSLAKMVSLDSVLRESMRLWGFVSRGLLKKVIAREGVRLP SGEWLKRGTNVGFHQSVLHRDEDIYEGAEEFRPLRFVDERAGAPVVQTSKVFLGFSHG RHA LY89DRAFT_679089 MRFLTSTILLVTLTSSSLAAPQLLGSLGNAIGQLLQQIPLLSTP PPAFLMTTQPSPQCADVNKGALLCCQSSFNGDIPIFVQAAPLIAYKLNPNSINCLYGT RNFTDCGRGVELCCQVDQLATTPISDIVSLALYCNTPSQNESSVWTPSS LY89DRAFT_2307 MAGYPRASLILEAGYEISKMLRAVTEKLVVGLSPSSGTRDRFGS MASMAIQPSVFVESGSRYLGRPFASPPSCAIEDLLSIASDRVEYTFGHVTLLQTDPAF LRWYTQELLDNALLSAECKSMPEVCYGLAAEHISRDVANFWVWKGIVGELNYLKSVDN SKHNDPRLLSAMGALESLILHHIDHLERHICSCILQRPAFKDLWDIKYPAFGVAKTSN KGFKSALDLFKKDPLHWCLTQILTSTKTKDGFDHAMLFAFLNNLLSSKTIKEESKGRI DDALLEKYSDLGALHELRDLLLMHRTGLTYRNFDDAKLKETGRAWRYLSKGYKEGSTV L LY89DRAFT_712776 MYAPKNVCDPSSSGMAPARPSGFIIPPPQMAPCKPERKECIKQF RSITDQQWPGMMYKKILNDIEAKNTCRKLVADIDGNREYLQSQLALKGPSIRKRWEEL KDSQRETVMKRVDPKMYENKWMEPVLGHKFRYANHSIDCRKDYRNIHLLPYINLQALK EHPSPLLDLFQHRSNFSPAELASFDNRMLDFGWTSGTLDVYFNRNSVTMLGPQFGKLV PWDESAVHSWETVGFPRAHLILAAQHQLLSFLRGMFDALIFDIDRLSIISQTRFALAQ DHLSQLQTDPAYMQRYTKLILEAKYDKCATDPYSICAMDLDFDCWSARHWGWIDEEVS KLKMIRSKFESRITPGHSYNLPKPYANRLRALEALIIELLDTLSRHIQITLPHRPGFQ HLWDFDFSDPTKIVQRLKCKRTDVAVADAEQYFKDPLNWVISCLATNPNSPGVLDHSR YFEFLEKHLEDCSPKNCPDLAAFNEMHDMLRSHRPRATQSNIAELEFKAKGLEWRYMR KGLMKEGVGDKWGLVLRESSLQEIGMDWGLLGRLLVRFMDQPSLSKGSPLQKLRIFWD ELRLQHTGKLRKADFKADDIEYDMSLISADFHPSHMALVEAERKKHLTKSAATKSTTV QLQKPKKSTLVHGQGMVEPVKVPSITTQSRSQKSGKETATFESQTEWGSTPNDIKPTG DTKAKGKTRGIPSAIADDMDALGLDDTRECSAVKVLVKKSAYDILMRLFPNQIQGNQC PPWTSFVDAMSKVKFTSRQNGDSAVTFQPTIGCKWYRQGSITIHRPHPQATIDHVMLL SIGKRTKKWFGWSRETFELEK LY89DRAFT_726828 MKVKIIKWNSVATWRWKIAYDDVCGICQVHFDGTCPTCKYPGDD CSLLSGKCGHNFHMHCIVEWIKQESSKGQCPMCRQKFEWKDNPALAAPTPA LY89DRAFT_662571 MASSRSLSSYLVTPTELQAALKKNSPSKISTAPRTVPVCASWFL PNDGRNGLQTFREKRIPTARFFDLDKVIDKHSPYPHMLPTASDFAKAMSGLGIKRDDT VVVYDSQELGIFSAPRVGWTLKAFGHDSVHVLNNFKLWVEEGYPTESGEFWDVDTCVY PIPEFQASKVIDFEEVREIAKDVNKEGSEGVQILDARSHGRWLGKDPEPRPGLSSGHM PGSISVPVSDLLDPFKKTILPGDQLRKIFESKGVDPNRPIINSCGTGVTAAVIDAALS EAGYGHESRRRLYDGSWTEWAQRVKASDNLIVKEA LY89DRAFT_662572 MSEDKKFIISDASPKEIPDLTLPPCISPVRKSSGSEPGHRDVEH VGRGPENTSNDGTTQPTNSLEESSQDHGIESTYLNTEPDPRSVATIPNSRAASEHPDA TGQPSSAASSSASVTIPNIQTITLRPTPTPPLREQLVRNVPTCPPCHANPGNSNCRGS PAFAQCRGEAPVPDGSQFAIHSVGIGGSGVGVVAGSIVGAVGGDVSGSGSCRLGENSN EGGSAENVGGDGFDATDQREEPKDGTAGSRSKKADENGSNGEKTERAGGDESVPDWGR KGKERWSMQFAGLE LY89DRAFT_633457 MASNAPGQCCIEGVKHEGATKGSMIKVRGFDAYIVAPTGSIVHK NTAILYLPDYYSIYTNAQLIADQFAANGYYTIVPDVFCGDDFGKTPKGPDFALVPWLQ SKHAPKDVEPVVDAALQHLAEEGYTKIGSVGYCFGAKYTIRYLAKGRGVSVGYVAHPS FVDEDELRAITGPLSITAAETDEIFPSEKRQKSEKILREIKVPFQINLYSGVSHGFSV RPDLSVRIEKFSKEAAFFQAVQWFNEYLV LY89DRAFT_679094 MAHFRITKVLAVLASLAPALTAAQDNSSYVDYDTEPQPSLYEQT VVTPLLSGFPDCQAGPLSNTTACDTSASYYERAQALISLFTLEELIVNTQNNAPGVPR LGLPNYQVWSESLHGLAHNNMSDSGEWNWATSFPQPILSIAGLNRSLINQIGSIISTQ ARAFNNDGRYGLDSYAPNINGFRAPIWGRGQETPGEDASFLSAAYAYEYITGLQGGVD PEHLKIIATPKHFAGYDIENWNNHSRLGNDVSISQQDLSDYYTPQFLAAARYAKAHSI MCSYNAVNGVPSCSNSFFLQTLLRDSWGFADQGYVSSDCDAIYNVFNPHLYANNVSVA SADSMLAGTDIDCGQTYPFYLNESLASGELSRNDIEKSVTRLYTSLMRAGYFDHSTSV YSNLTWSDVETTDSWNVSYEAAVGGIVLLKNDGTLPLASTVKSIALIGPWANATTQMQ GNYYGNAPYLTSPLAAFEATGLQINFALGTNISGDSTEGFSDALTAANSSDAIVYIGG IDNTIEAEGLDRQALTWPGNQLDLISQLSEVGKPLIVLQMGGGQVDSSSIKNNTNVGS LIWGGYPGQSGGAAIVDILTGTRAPAGRLVTTQYPADYAEQFSFLDMGLRPNGSNPGQ TYIWYSGTPVYQFGDGLFYTTFDETATSSNASNTFDLGTLASAAHPGYAYIEQVPFVN FTAEVTNTGTTASPYTAMIFANTTNAGPAPYPNKWLVGFDRLATITPQNSSTLNIPIP LGALARVDENGDRVLYPGDYELALNNERSVVVKFSFIGGSAVLEKWPLEEQEVLQSE LY89DRAFT_692714 MAALQSISSYPLAVSLSLVLATLLSYGFTRLYHARMIVSNLKKQ GLPVAPGHNFLFGHLLLLKKYSEKLPKDAHYQYIIGDIYSDHFQKEGAFYIDLWPMSG LCFVNPKLAIERPRLLPRFFKPIAGGPNLFDMREAEWRPWRSVFSKGFSTEHFLSLVP GMVKETMVYAQTFRDLAEKGEMFSLDTISLRSMMDVIGRTILAETNPFGSLNVARWYM EWRNGRRMDKYIGDELDRRYAEFKADSDNSRTKAVMDIILQAYMGDGKTKPERLDPEF RAFAIRQIRLFVFVGHDSTSSTICYILHLLSQHPDALNKIRAEHDGVFGKNLKVVSDM LISQPHLTNDLPYTTAVIKETLRLFPPASCSRQGKPDVSIPTDSGALCPMDDAFVFII HVAMQRAPAYWVRAAEFLPECFLVEPGHELFPVKGAWRPFEHGPRNCIAQGLVMIELK VILVHLVREFEFKDAYEEWDTINGRTDLRQVYGDRAFQIEEGSAHSSDHYPCRVTLRK LY89DRAFT_572539 MASTTYLAPSILLHRGWNESSYVWSPFVTKVEARMRFAGLSYKQ TAGSPRTAPKGKIPYIELSQKGSDPELIGDSSQIIERLVNDGLLPDLNAKLGPVERAH DMALRALLEDKLYFYQGYEKWHENYYAMRDHSLSSIPYPIRIIVGLLAYRKTMTTLYG QGSGRFSGQEIAGFRENIWANIEALLVDSRKKSAGSKEDAKCFWVLGGDGPSEADAVL YGFIIGALICFAAPVSQRVVRTFPVIKEYAQRIHDRYFPDYTGWNESYHGD LY89DRAFT_726835 MAGMPTICIALVVERPNSRDMMPLAIPRLRVGSPYPQPRSNLIT PSGFSSLSSIILGNSFRDLFAFSTRNIVLEPILPTSILSYDPVAYGGKGKSTKHKPQV SNNPPGKPPTAPTLEARPSRAGGFFPCQFCRLVKKLCSSSRNGEVPCELCRIQGRSGL RCLGQREDILLTEVEGGTTNDDGDRADDGEANGVAPGDNGEDLTATFEEIDLATEETT QPAQQNEEAEQVTAQDAADPLTNEAARDAQTVASDEHIEGTNEVIDAAHPDQPVEEQE TNARRRRRRPKRSGRASAAQPTPSTPLGPCAMCVRESKDGAACAFISIGGSPPCDPCD RRGYSV LY89DRAFT_662578 MSYPTIPAYQTQANGAEKLSREHPVLDFLIDHQEAFDTGKMKTE PYTVFHVDDFVFTKSDGTVLPPGEASWKGLLEGYAPFNKHYHEGRYACIYERNGIWEM VGFANVYANLLVPGEKTKKDQSGRQWDVLVPGAFLFTCVKDPTGPKGFKVKSMTLFGD GVPVVGEMMKRGMVKAEDLVK LY89DRAFT_726837 MADPSCTRGEQAYLIYCDNYDTLIVRDWDDLTLREQAEWNMAFP GQVREDEPFLTWVREWPRPGDVYVYEPREPQPYRTPTSAYTAAPTPSFSQIPNSNLRS SPVDAATSSQDLTAQLGNSQDTWTASSNGRKTRDNDPSCPPCRRSHCGGCKGGPPCIP CKGRHLTARQCQGLDNSKGFFGGKDIGWKLGKKDREDDSRQDGAGAGGIIT LY89DRAFT_726838 MRIEDGSGTRRFASKDNFHPIIGLLITLVALVIIITASSISYFA GGFLLVLYHAILLANLHLALQSQNPWAGYTKDTQQAQILLLASNDRIECRSKAIVATG SGAIPASCGGAVCLAPTGSNSIGWDVASNTGEEPVIRRVKLTGVGRKTHGRRAELVTE LSQNATSDAWAYESGLLTRKFNPCNDEMKGV LY89DRAFT_744243 MSTSATRLSPEEKLIDGPDMLTLYLNSTIKLFQESQCLPLPDPL QLSDQEKLVTFLYLFYNVHAHARYCFQCDEMQSIDATAINQPIAPVIGSPELHFVFTE LTLRLLNSSKPSRRAVHDIRPLASESMLFATFYALYSTFLRYPLHLRPVGSGNTTAGA REAVLGWSNYARSSLPLAETVVSLQARSGGFYNATTICLYRSFVLHALTVSPGQGQLE WLALVCDTFAVNMDSEENLVWYKIVSDHSSGALPGSQSLVINEIIMTEFTIERPNELF ELLTQAFAAGPATDVTYAIRRRLALKRVDGQGVPRSSQPAAMARTMIRQIKKAIRTVD VDERKRVYEIWVRSEWDAPSILFTTDEIREILMHLDDPFIMKFTSDSVLRYLVVKSVD RELPAMDPAVNIPEEATRLYAKLEHLRSELLEVAIEMARVSSENPMKGQILDGLRLVG TSDTAVLQPSRLWDLVFNTTIESTYTTLPWIAVSHSWSQADGSCFTSINNRANIIPWA TLADLNNIRDAMMATGLTMGWMDKICLRQRGTEGFDGALRQLEWTTDIPLIDVAYRHA AKILVYLDGAGREINYRTTFREKSSWLYRKWTAQETPSGVELVLGSGRKFLEGCESCV SVIKRLRSVQELRNLKSDAQELEKLRHVWEVMQGRSAGCPADHVLSLVSILGLSERPR YDSRYNLPQAVSLLKEHLPTTSRQILEQDECASWFDGMFSTFSSSSLVVPSCWGGDNR LAAFSIKPTATEDVNKLEFALRGILSRVKKVFLIREGDVSAVQDISSDQNETTCTCLR VNKPLYLDNRDIYADFFVWHGYEACCAAVVPNDRATTDGDLAALRNATHLLLGENQLI CAPLKISDSDMENIEKYELLLSSADSVAILDSALTWTIVSKGQQSRTCIIKAK LY89DRAFT_726841 MSKAKEHDSDESDGEFVDANEWQDGDEDEDDGMEIVGPPAGLLR GTAAAFPSLTSTSNSATQGSRVPLASVTQADSDSDSSSDSEAEAHPPCPRCVRARRLG KFPCVGGPPCQPCVGSGFDTADQCLEPEPKDGRTAAGRGTGWRPPQVGKGRFCAKNQK KYKDSRSKVKGKKTKPRFPEPKSTKPQPTMRKHRRGGGGGRGGRGGSGIAV LY89DRAFT_2545 MALRHPYPNVYKFFNQFAAPDQASPEQSSRSQGLRKSGPRSKTG CQTCKQRRVKCDETKPGCVRCQNLGRECGGYAPEALDDSSKNVAPVPIHPRPMSVMQY APSVAIPGTPDERRYFQRFCDKTAAEICGSFDPTFWTEKVLQLCHTDAPIRYVTIALG ALAKSLEVTSFPTRLSLSGFPQIDHQHLDEHHKFSLRLYSRSIATLRAILSDGRRHLR TSLTCCVLYMSFEALQGCYEGALTHLRGGSRLLADWRTARRGQSSRLSQDSLSEIQDG LVDDLGRMFARLDVQGLFVPPPFPMPESDWEDVVVAESFDSVQEARESFDFLMQGIGQ FYRKSVAHSYQHPEKLTSPFWLHQHNHYSTQLDQWRTSFQVIFAQEVRAGDIMANSSD MLSIYFNVATVLLASSVEHTELLYDEYNELFAEIVTKAQQLIQSSEDPSNSSRFTLDM GTILPLMVTATKCRDRRIRRQAITLLWSKARREGLCYDTITVARLCAWLSSIEGDGIQ DDAEPIPEARRYTISYMHLSSEERWLAVQLTSAMTNDSGNHTYRETTFSW LY89DRAFT_703146 MADAPRGGAAARGGGFGSRGDRGGDRGRGRGRRGPRRGANKNEE KEWQPVTKLGRLVKDGKIKSMEEIYLHSLPIKEYQIVDFFLPKLKDEVMKIKPVQKQT RAGQRTRFKAIVVIGDSEGHVGLGIKTSKEVATAIRAAIIIAKLSVLPIRRGYWGTNL GLPHSLPTKESGKCGSVSVRLIPAPRGTGLVASPAVKRLLQLAGVDDIYTSSSGSTKT LENTLKATFVAVGNTYGYLTPNLWKETKLIRSPLEEFGDVLRAGKKY LY89DRAFT_776028 MQFLRRFGTPLVTISLLIGLSVLTVVSVGEGLKLFVVRRDEFKL RRRDGSTITLNMPWNPPKIKTDVKAINPAVGGMLNAVEAVASALLGDGTDMAANAQAQ ASIVTATIIPIAQSVASTALGGGTAQVPAAVADVTSAINQATGVVGGIVSAANSIVAS MVAGAVSASNIGILSTSVPLSATKSASGGLSSPFSTNSSQHGLCSTCNSSPSSTALPL NITSAPLLSSMTSQSVLPMAPSCTSMPSCTSCPAAYTETCTVTETWHSTHYAETATLY SFVAAFTVTCTETVSVCPSLSAYLPPANAILPTQPPLIACANGALAKRQEDCTSIQTS VSPTSASPSSSYLADSQTSSHPCPNAGYSCSECPGGWFCPPSQTAPQSAPCGFGWACG ACSGGWFCIPNPTASGGAGAVNSVAGLLSSVSVSLTQDVPSTMASFTAQPPSFLSTLP TALATTTGPGTVTCIDGSVVQRPQDCLNGISEATSSLGAFVTSLSPTLSQATNLAGSA VGGAETALNPALANVNSALAQVSGLSGGLLSTIMANLASALGAANTLGSNAQPTAASL VGNAGILLSSVNTLEGNDISQATALANTLAGNVIATGVSVVGNGANNAASAINVLAGN AIPTATAAIGNVVGNLPGLIRDVKEGGNTIGHITTILNGQSTILPIVVTILNGEPTVV PVVNMVVNGASTNLPVLNGASGQSARLVGRARPKQNKLETASYEVWLEEGDGNLA LY89DRAFT_2675 MNNSPLFEMSDPSIDPMLSPIARGQLRSIAARAMEETENEILKF FDEAIKKPPEDVVIVGLCLWRLALLYRTMMKRYKLILYDACIDRRRERQKVMYEAMTT GYSLVYRRSAPPCSPAWRMEDHLHFFGDNADLVDTFLQLQVADKKFYEENINDDDDFH ARKLLWERMVKGGRGMKGR LY89DRAFT_2602 MSSAINTPGKRKRARDGIEYDYLPRTPGTMFTMDLGSQPRSGAT YFEPPVIPIQPPVRCHFDRNEDFAIGGITSWPQDSLQDPCSRHDSYFGNASFFENQFE APRLNYTFRPLERDDNLPHAEAHPRSQLGQIEYNTNSLTRGASPPTGLDQSDLPIANT ISDWVTKSRNLTMLPPEITIDSPSSVAPPSTQFLDPPSVLEVEPRPSTDLAMTMARRR QHLYHWGSQTSSSSENYFQTPPSSLSTSEGKHDVGWYSGSTNLPERHQRHSISTDTSE LSGHGSGSLQPVVYTPQSSSRGDWPGTSHNLPRISALTKGLQEGRSESCARCRSLSYL SSFEQNQMFFCSRETMRELSKFA LY89DRAFT_776031 MLELHVWGPAFGLPSLDAHCLAAIAYLQQAVPRGKWQLIASSDP GLSPTNELPALRNAEIWIGGFRNIFHYIAQLSAGEWVLDAGLPEQEGADCIAFSSFVE SHGQPLLDLSLYVSSQNYSAVTRPLFNTIQSFPLPYLTPPALRAAAKARTEHLRLAGL DIDVEDGQQLSQELSFIPDSLRRPKNTVSSLLAASPEANARIRLDALATDFLEPLQRL RGRKQFFVSDAQFSSLDCLALGYLCLILIPDLPQPWLAKTMKAKFPALCEWTEGMRDT VFSKNVSLNDAFLTKLGDSELDVRLRRLRGRGHLPWRAPENRGAAAVGSAFVARMADS IPVVGQLRRNTRMRQHGGKTPGEGTQDSRWGYLYAIGGLIAGLGVVGGYLFQQGLISF PVGDEEKRGLEDFGEAGRALSFLADQMDGQVQRERMREGNYSHGAPIAEVDIEVEKDG VVAVETVS LY89DRAFT_2996 MAPIRRYLRITKYSVLECRIYLDNPALAESWLLNSRNPILPHVI ESVRPLVLPKLREENERSKKSGKKKGVKDVVVEEDFEVSIFLTETSTRHSLLSKQKHF RDKGKKTLQSNSSKLTGDTNDTAIEVEDAPIIRREDSDEDEPNLEDLPAAEDEGSDSD GLFVDEDAGQRRSKRPRATTNDSTSTVSLGSEPSTKRRRDGQEEISAEDGDDKKKMAM DTTYDGFAIYGRVLCLVVKRKDKKGKGPASLGNGQATMENWITSTQMPPQDDEVS LY89DRAFT_692738 MNSIVKSSARNSRALGKNTAFKQILRRGVSTQELDATKGGKLAN SYRERVVILGSGWSGFVLSRELDKKKFQTVVVSPRSYFVFTPLLASTAVGTLEFRTTL ESVRARGKGVEFFQGWADDVNFNEKRLQIEDATVRRPLHESGRNYHAASLTEADQAHR VKKKGQTFDLSYDKLVIAVGCYSQTFGTPGVRENAFFLKDVVDARKIRKRILECFETA ALPTTPDKLRDQLLNFAVVGGGPTGVEFAAELFDLCHEDLRKLYPNLIPHVKISIYDV APKILPMFDKGLAEYALNLFKRDGIQVKTEHHIQSLQPGLPGSVDPDADGGCFTLKTQ EDGEIGVGMCVWSTGLMMNPFIQKALNDVHKYPSSSASLSTEVSTPESKKWSLKRHPK TGGLMVDDRFRVKLIPRNGSAPTENTPEATMQDVFALGDVAVLEKSQLPATAQVANQE AKWLGKRFNKGDMEKDGFNFKNLGVMTYLGNMKAIMQAEGGTEVKGRMAWIIWRGAYL TQTVSWRNKLLIPIYW LY89DRAFT_744254 MAISTLLSLLQRRKKDTIPVTEPQALNSILEFTDSTPEDRKTTP LFDFPPEVLDAIISKLDVASAICLAFCNKKLSEYLTLQRIYAECRRGPARERAKFLAL LTENEPDIGVCWECGTVYDWHTLRKTGDPERLRARELFRKNMFRDVQHTHCSVSHFIF SIVSVYRVAFDDVFLAMKRYRLGDPDMATEHFRHLEVGHHDLFGFTVVTSADTKVIKN ELLHRCQTWIGTNPKGFDYSGDYMNVLFKILLSTLCGHNGSSRRGMTVSQHADSLVKE VRDEVFLTMLAPPDEGKKADWQFDWRKPGDCAFCHTEYLFEWTRQGASDGMILGITVW RNLGDGRTIDENKWRLRERGVGAAPQKADIADHYEDPEGKDHRRLGQANLKLLRSHTY GGLFGKGSGPKDRKYLESWYNSPENDPLTYSYIRGVERFGKLG LY89DRAFT_3083 MPITLDTPLTNPRWSKPLLLLLWIAQLLIILFLQFWTAILTVVV GASGGHKKLPWLLTATLIIMFFSQLAILFTIFYEFSWASSLAQLSAARFLKIQVAKSV YFLFFVVVILVSGTTFPGEGQPGVWGTAWRLGIFVGPFWGALAYAGVMMAKKRREGYV EFEYRDRPSSRGQA LY89DRAFT_3082 MPVRPPTKSWSSTLRLPRSSFPPRPQLADQSKYLKRCSDDLYEW QSRERSAQKPFVLHDGPPYANGSLHIGHALNKILKDIVCRVKVQLGRRVVYVPGWDCH GLPIEIKALEKQRENAKDGDTALDAIGVRRAARSLATKTVKEQMKGFREWGVMADWDK AWKTMDKSFEIKQLGVFQEMVKRGLIFRRYKPVYWSPSSKTALAEAELEYNEDHVSTA AYVRFPMVKIPESIQALLPEGKDLDAVIWTTTPWTLPANRAIAVHSELEYSVVKHEQF YLLIASSRLAQLEELLSNTTIPESAPAEDRSFEVLKTGILGEELIGSEYVNVLCGKEQ EPQPVLHADFVSAESGSGLVHLAPGHGMDDYELCTRHEIKAVAPVDDLGRFTSAAVPD NPNAFLGKSVLEGGSAEILKYMGDKILFSHKYKHKYPYDWRTKLPVIIRATEQWFADV GHVKGDALQNLRKVKFIPQAGQARLESFVTGRSEWCISRQRAWGVPIPALYDEDGVAV LTDASVAHIISVIEERGIDAWWTDASDDSAWIVPGLEGNYSRGKDTMDVWFDSGTSWT QTDQQADVYLEGTDQHRGWFQSSLLTYTSASGKVGPPFKTLITHGFTLDQEGKKMSKS IGNVIAPREIIDGTLLPPAKLKKKGTGPVSQSLGPDALRLWVASSDYTRDVVIGQPVL QFNHNALLKYRMTIKMLLGSMHPSARTAPITKLDQIALVQLDAVMKEVATAYDNFEFY KGVNAINKWISTNLSAFYLEAMKDRLYCGDGGGVLEQIFHGLLRMLTPITPNLVEEAW DHRPEWMKEEVQIHPFHRTLDEPIITSECISKSETLILDLSWLLNANAAIKASQEEAR ANKKIGSSLECSVVLEFPVEEKDVKTPQQIFERYADELESIFVVSSVEINGPVDGPWK YSTTFDVPGGKATAWVLPPKEKKCPRCWRYVAPIEDELCERCEELVSVP LY89DRAFT_604010 MSLFTAQIFPGQALGFIVLGASLHDILTRLKAEPQRFPKLDLVF EQSSPVEEPVILNLPTNGIRLRFDGPEQRLRLIEVLDFTKSHLTYKDKDVLRPPTATQ AVAAQPESLSGPTFRHIYNRLLGPTFPGEYIEPEIGDETGMGLYVLSYPGIAFSFPLR SSSWSPRQDFVSLLSSANSQPASSMAIFKGESWPDARERLFVQTMDPRDIFTTLMKGR EPVPDEVRLVRIHGAGQIELIRPDGVPPFWIKLGLTSPQELVSILGPPDAIYRKNDQR MSIHKARPASRTHARDGSEVRDDSTDTDQSSAHTATDDSDDENEEGEVAGNVSGECFY NYFYHGFDILLSTPVTPSQSPPSKRSVVDETENIIQAETSTHLVATKVILHGNVPGSY PFNRHRRCRWEIQYLKPKKGQDTINSESPFKDVEQRLHDEWKSIYKNAEEARARQRGM VLNRDWGDSPGSSCELLGGWEDSVGGKRSDPVGTEDTRGLGNTTLFGFPGLVFEVLKN GIVSGVTVF LY89DRAFT_633487 MAGGLPRPAVPLRKTSMRPPPRPSVAAARSHSVAPSSRHDRSGA ISPAESVVSVATTAGGTKRKERDFEHDDEETNINVVVRCRGRNEREVRENSGVVLSTE GVKVKSLELSMGPSALSNKTYHFDKVFSSAADQAMIYDDVVTPMLDEMLAGYNCTIFA YGQTGTGKTYTMSGDMNDTFGILSDAAGIIPRVLHSLFRKLDIDDAESSVKCSFIELY NEELRDLISPDDNAKLKIYDEKNTKGHATTIVQGMEECHIKTATDGVKLLQHGSHKRQ VAATKCNDLSSRSHTVFTVTAYIKRTAENGEDYVSAGKLNLVDLAGSENIQRSGAENK RAAEAGLINRSLLTLGRVINALVDRSMHIPYRESKLTRLLQDSLGGRTKTCIIATVSP AKSNLEETISTLDYAFRAKNIRNKPQMNQMINKKTLLKEFTFEIERLKSELIATRQRN GVYLTNENYEEMTLESESRRILSEEQAAKIETMEINLRNKVQELYSLTSNFMILKKDN ESTKTVLDETKGVLEQTEFVLTNTRQNLAEENMLRRAHQQTEEQLVEVGDQLLSTIGK TVNDVSGLHAKNKRKSDLQSLNRNTWGLSQAQVAEVTSLVESRVDQFRSQQQELMEAV SERMQSFVAGELGKLASTQAFLDENVSAFEGSEKEVSEQTVTAKKEMDAVLEEIKTLR EDVKTRVGEGLQGLSAAAERISAEVISELGAFHTQLHTSYSSLGRDFKTLFEDLLKHV NAQKAEADSLRQQLNTASELAMQSNVAASSRLDEVLREEREQAAADRQNLLLQITNLV NTQGEAQDARLGSKIEEVRKDVLASKDSFETSRIQYNEGMDAWNEKEGTLVEEVLRSR ETLKSKLKEDWVAANKHNSSLQLTTKSVHAETVRIVDEQMKDIDIQMRALDDFVTRAQ SQNGQHHDSHVQSLQSLSSTVKSSYNNIGSHFTSTYERVRDLGEEMSTKTSLLQENLT PLDSVLREPLTQLRENIASTMLAEYQPTGETPQKTQYSYPTNLPRTDAHENLLAAMRK PASPRKSPSKSMSCMVPVVFNDAEQPARRLFSQSLGPGTLRASPLEEQRPTTSGSTGL REVDANANTGSNSSPADIEMTGTQVPLFKRSISATGGGKLPVLKHKKSVVALEGRENV NPNVAALQQVQSTGRRRSPRITTNGQS LY89DRAFT_712796 MARNKALALISCVGLFAICTLCQNTLTSRVKRLHQLWGSGGNSV RLVSKLFVPVSTKRVWNAVHLGMTYARDLTVRHEVMGLVRKDGDSHGMKLQMKLPNLF IGSLLALLVELSRAQDLSRGVIRKEGWQRLSRAEMPASGNSTISWVETARTHARTANF SLDASFWNMQTLFRFETTAGSSTGVRSPGFASKLNLIAAILKFDPSRSPLTTALDAE LY89DRAFT_3168 MLRSLALLYPPSLASLPSRVYPVYPPFWIRGSGPIPVGQLRTVI DDASRCAAFALLACLVFLVLAEGLTFSTVPNVHLHLFLTPLFPAPTSVHSTLHYCNGS APHTGPALAIDDFSPLPLESTKPHGMAWSPRVTASRAHILANDGIPSLIFFLFPLVHV WLPLL LY89DRAFT_692724 MTSTSSNSPMPLEEEPRKKGSRGGKRSVTHLSKAQLARKRANDR EAQRNIRQRTKEHIENLERKVKELEQGSRSGSMERVLKRNKELEDEVEKLRAQISTSH TSTPPSQTISDIPEELLIPQKVTLDWMPESASCTWPQSVPPTIPALDNTNAEIPVSSV TYPSTEEATAAGTAVPAHMYPPTNSTMAYDEEASQTLYTPSAIPIWEDPIVFGQPETS TSLTKPVPQWAPFHPAFNQPSRFSDLQRSGFNDLMSHPSYNTSFSNSTCWQNQPSIYA WQISTKLKTPVTYVDQLMMSVIHSQRHLAITAEITGEELIGPNFPSVHLLFNQPGPVE KRPSNLTEVMERYSAVLSNRGFALIPEKLASFMCMYRFVQWQISPNYQTYQRLHEWQA PRPSQLMVPHPAWMDLPPWGKFREKVIENQGKYDNVEFQNDYASNLSVNFPHDPMKAL IFENGQIIISPALDRHLSDISHMSMKKPFADKYPEFKDVCRFDEV LY89DRAFT_726856 MAVGFGDWILDIGSREFWEEGVGEREEGGGREGKEGKRREEKGG GAMEEGEGKEGKGETLKQLPMGLRERIYTDVLRLPEQIPRQMHPVRNQTTSTRTSKYI QNESQDLLFNVNSFEIRIIGENLRWQQLNHRGMQNIGEYCPHKQPPTAFYSHKKARET RNLQHFILIREFETAEEEFLAAAKVVIQVLSECEVIKNLHIHIKEQRRPSELTKQLLG MFRELRGCENVFLDVCSTGWRLRPKYAADIALDMELAKGEISEGIFHDCHLYEDRDLF EYFDGEDEEDSESGYDGYENACSDMVDAGTLYDVHFGGGSPIRTRSCTDCLAD LY89DRAFT_3279 MEDRIKRMESALITSRLHATTEPVEWKEEEKEEEKSSSERIESQ AELSNHLSNLVIDARGSPNFIGLASGFSIFAPRGLRWISEKVGDKNELAQLFDKLSNS DYRDWGRGDADLWYPTPRSQHSPLPSKDVALQYVNCFFITFNNFFPILNRTVFNSYFQ QQYSANPPTSSAWYALFNGVLCLGSSRTKLQQEAHIRRSCLVDYTSVAQETGVEYFRN ASSCFHDLFFKEANLMAMQALTIMLFIATSSPNPQPAYAMTSAVGCLVNTLGLHRNSS GTGLAPEEIEQRRNVFWVFYLLEKACSHNLGRPSVINDDDIAVDLPPKKPGLIQSPTG AKVYDIFQDQVRLAIIGSRIYTELYSASGQTKSEVDRMKILGKLDNHLQRWRDSIPID IRPEHPIECSDEQYVSVVMIHFTYLDAVILLHRCPGLPDSSRDGKPAGIADHDPRSVL NPRIYASQLLCLAAARRTIRLLDTFSSNTMHNQHLMWLALYYPLSASLVLFANILSNP HDQHATSDIGLMNLIISFIDHSVQPGTSFAATPTISMCKELYSIATRVVARVPPQSSR RMKRIPESDDLVQPDFISPSQGSDRSLFSNFNTQTTTPITHPETDIPSTISSGQQILQ EDQESTTSGKHSQASTGDSPLDFAPFIQAPPSQFDYDPTIYDPLLSQTSFNWDMDDMW SFAPDPWASEDLMGLPTDVSMNYPS LY89DRAFT_679115 MNDPEENVISTHEMATTTTTPSKPLSWSRNHRTSCDSCKQMKIK CQRTDDGSCSRCIARQLPCTTTPVERKRRRVTKY LY89DRAFT_633492 MKVLLLGVTGNVGSRLLPALLAHNHQVIAYVRSPTKISPEAKSK ITSVVVGSASDSEAIKAAILSNNCDAVVNAAGLPATTSFSSQGEFPAIFTAVVKAIVD AGRERGTGPIRCWLMSGFGILDSPKKPHILLDYMPLFPAHRGNYQLIKSYAADDLAWS LFAASQMNPKYDTAQFPPAADTSADNLVARANAPPAWTQKFRWVPLIGNYLNVMVQGQ SYFAVLENCVDFIAADLEKGHKSEWIGKRVGVKEKSAIK LY89DRAFT_604022 MSNSATVGAKWANTPYSFIETPKHKERKTGYTNPYMETASEMCV VHNALLRGLNSIYIQGPNVHPSDCMDFIGYSLCWHSAIHEHHTSEEEQFFPEIEEAVG EKGLLDGNVEQHKSFQAGLDDFKGYLEGLAGKEASFDAAHLNGIIESLAPALCNHLSS EIQDLLALSKYGDKLPIEDLWGKEGKRTVTSMTKFKALPFFFLNLDITHEDYLWKDWP PVPSPARWVITHCLTLKHQGYWKFASCDQRGVPKPLYAPGPR LY89DRAFT_679117 MPPAIFPFLELPTEIRIQIYQYSFAIREPAFQYHYHARHGCHHN NLSRRSFYALGSGSDETDVKIRDLTYTSCPRRTRLPASGFLALRLVSRQIYEETYSFI RLPLISLKTHHFEVNELSIDSFLSQLEKAWLRDHVKELVIDFYPVCKHSSNPELLKPK RTCFNVFANFFDLLIQTLWRGSNGTKQQLCSADHGATIQPLAELLASFPLLEAFKVNV FDVCPLDYSLAVAGDQEVLAVLRSRGVKVDFESSSA LY89DRAFT_776044 MVTNEDEVNSVEASTSTFNEQSMSVEVFMRKAHQLADLARKAQV LAESLTAAMPEALHTGETYSQTSLSSALKSVQSAVPAVNAILRQSHKYCQFDRFTLFP KLPPELRLKIWKEAMPDSRVVPLWPKNDLRTDLSELAKGGESQVPKMLVHCPPPVILQ VCRESRIEALKTFSWRPDTTSFISYHRADPAKDILYFVVAPDFREFGDFVHLFTPGAL GKIKHLAFDSHFGLKHKLPEVVIKFFPNLETITIVMKCPDHSRPDHPFPEEIPEPRSL PTDVSLFCWDGPESITEFGKSAKMESYYSNNGGYPQIGSMRKAYKQHIIMKEKEGVYF DPPILKFCPVISGYECEQCTKADELYGSHYDYDSEDF LY89DRAFT_633499 MTRIVIQNTSILTLDDKDTFYYPGVIDIRGDKIFSIDSWSQDTV LDHYDGQTTIIDGVDKLVMPGLVDLHFHTSVAKGYGDDLPLWEYLDRIWYPSIRALTP ETCRTAALHSYITALKSGTTTVNDMYRHLDSLASAALQTGIRAVISNDIALPEHNLDS VADNVQAFHRNNNLGDGRIRVWLGLEWMPLSDAPLLAEIGRMKKELGIGVHIHLCESR TEIADSIKRFGKRPVEMAYEAGILGPDCVAAHCVHLSDNEIRLLAETGTSVSHNAGSN AKLGNGIAKVEDMLKEGINIGLGVDACECHNSTDMFETMKITSFMQRVTLEDASLGQP SQILRMATSNGAKALGIDAGILAIGKKADLILLDLRKDMMFTPLLKEPVEQRRRMLES HLVFGCNGSAVDTVIVNGKIVVQGRTVLGVDEEQIRKHMDTLFHDLVASMPAVTSERK KNS LY89DRAFT_679118 MHFPNTQTLPDTQQNTMFITSFVLLVAAVTVFAQLPANYTGEYS YSGTNQSADFNLVIQSRNTTLNGALLGACHDGAAYEGLCIVSPDSSINRTDNYVRYQW NTTYYICDSYVNGVKNGSCPGFSSGNPDPYLNTGIVTWWLPFYGVNDTQGRVSEAMNI EMNLNSNVALTQIDFESGTQVAFDKQGLMNILEYYDDTKEPGSEYLLNANGSSAPKPQ YRWHVCETLWTGYHYTTLTWVLGIHSPQNPTCQDVNVKRVFL LY89DRAFT_3357 MSEEAQAQPTTAAVESPRSSHPASSPRSPTEAVATAGTAIEAEE DDYANDSAYEGDSIASSTTSIGSSVLKYREENGRTYHAYKDGSYALPNDEVESDRLDM QHHEFQLMFNGKLFTAPVPETKVLHRVLDAGTGTGIWAIDFADDHPESSVLGVDLSPI QPSFIPPNVKFEVDDLEAPWTYTQKFDLIYGRMLMCSLNNYPRFFEQAFANLAPGGFV EMADPAWPIKLNDGEWPEDSALLQWANLWGEGMAKMGRSAECARLYKSQMEAAGFVSV TETTYIVPNNRWPKDKKLKEIGMWQCENIAGPDGGIEGLSAAVFTRVLGWSKLELDVL LAKAKAEHRNTKIHSYYEYLVVIGQKPEE LY89DRAFT_3376 MEAFATRMKDPALHTRKRQLRWHHLAQRWGNDIRVGVAWSNHLL FQNLWDHPQYFVPKRAVQIPNDHKNLWYQVKILFSVFTLQTETHNVGALDASDECDST RGPRVLASATFAFRLDDRQFRSTWSDLRWRKAALMVGHFIRSSRPWAQARLDPGRRSA SAKQLRKLDLLCQRWRQRPFCLPRKVCEHLP LY89DRAFT_726866 MINTGTASKACIACRDRKRKCDRIKPSCSLCYRLGRVCHYEPRS RRNDTSVVLSSTPLQVPTVTLLSPPETPPLCLQPDVNKAITGRLLHAVGDISAIRVIA ENYFGSVHRWFPILSEVSYYEQLTSTYTDPNAEYSLLSLSMALITLMPSEDESFSSLY MLVKSAIAIVEAANIHSLEVVQARLLVTLFEAGHGIEPAAFISMAATTRAAVAIGLNQ KINDPCCHDENINSKIQAGLRVWWGLVMLDRSYTIERGEGSCATHQYESPQYLPRDGS VWDNKILSSAKPLNLSTPSSIRVGGFARQAQVSHVLHILMMHLHDSRTSVPDPDEADQ IARTLTAFSMLLPEETPQPWPMYCGALGMCFSAMMTLHESRPRTSLSEEYLNCDQPES LRSSLERIHGLCTRFNARIEQVSVDAMSPFPPYGLIRAATLRHQLFKETGDYSHITAA DSLTLMVKHFSKRWKRAGKNSN LY89DRAFT_571963 MGSIHQDRKLFTPLQVGDTTLSHRVIMSPLTRVRCPGGIPSPDV AEYYAQRATLGGLIISEGMHPSFMGGNLHNIPSMYSPEHIRSWRVVTDAVHARGGYMV CQLWHIGRFGTSHTLGGRQPLSASATNSNLVNRLTPKGQVPTETAKEMTLEDIRDTIE DHVHAAKCAIAAGFDGVEISSANGYLFDQFLNDRTNLRKDHYGGTEENRARFILETLD AMAAEIGHARTAVRFSPWGTVMMPLASDPISNWTYVLSEVEKRGLAYVCLTQPRADLF LSSDKKLATLAKASEDGIIAAEPEDIHLKHFEKVLKDTPTFATGEYDGTNCFDEVEKE ELDGVTFGRWFISNPDLVEKIRLGLRLTPWDQTTFYTPGPKGYTDYPVGEVDPSGL LY89DRAFT_679125 MRTQNLMISKYLIILVLISVLVSVMLSLSRLWEKLWQLQEECDD VDMEGLQKVYALFDGEDVSEEEEEEEDVDEDDSD LY89DRAFT_726869 MANQKPIRKVIIVGAGPSGLLMAILLAKQGIEIQLLDGATELDK NPRAAHYAPSAIRELRRAGVLDDIKKDGFIPDAVCWREFDGTYIAGLNTDMTDPDAMQ VLPLDRLVKLLFKHLTALPNAEVQMQHKVVGIEQDEKEARVKVETPEGVKMFSADYII GADGANSQIRRSLFGDLEFPGETLQYQIIATNVYYDFHKFGYVDSNFIIDPENWYMAA KITTDGMWRVTYGDKWGLSNEEYLARQPLRYEELLPGKPKPGDYKLVGASPYKLHQRC APKFRVGRFLLIADAAHLCNPFGGLGLTGGIADVTSLYDCLIAMQSGLADDSILDKYS EVRIKKWKEMIDPMSRANFRRIWDEDAVTERNEFFAMCKKMVNDEEMQKQSAGFTHLL NEDFSPYFKQQTNGTVVA LY89DRAFT_703162 MGFGFILEDHGGLPRVPGTIILEEDIAHSEATTGALKHGTGRSS HIVLTPQPSDDPNDPLNWSQSRKLTIMLITGMGTILYGAVFGPLLNASLVVIAEDLNV TITDITLLSGYQVAFSRKYGKRSLFVLSAISAVVGNIIGSTSHNYGQLLAARIIQGLS VSTYESLLLVVIGDLFFVHERGIYTSIVSFLLAAVSNLASVVCGPITNNLGWKYLFHI FVALSVVQTLCQIFFVPETTYRRDQRYNIGELATDNFDELAAHEHRHEKEQVQQLESV ATRTSIPKRKTFWQNMKLFDGAYSDESLVHLLIAPFAVCMNIAVAYIIVVQGWFVGLY VAIAFVLAQIFGYPPYNMSPSGIGYLSLGPFIGGLVAVLLFGAITDPLIIFMTKKNKG VYEPEYRLLIAGLGLISGAGLFGYGHVTQVYGSPYVAATLHAFVLFGVMALIVATSTY ALDAYRDMSNEIFIMGMLFKNFLLYGFTYFINNWLARAGPQQLFYVFGATGFGVMAGI PIMYVLGKKYRSYWCRHNLLEKFHIRTHAE LY89DRAFT_726871 MGIFSENSLLQLPPWQLVVAGLIVAPLVFVVGFWIYTTPNTLTD SRRKYLPPGPKALPFIGNYFDLGDNEAVPRKAAAWAKQYGDVFYTKVGGADYIWLSSP KVVKDLMDKKSAIYSSRPPAPLASDVASAGRRQLFMPYGPRYRTVRKIAHSLLNITMS TGYQPVQDLESKQLLFDLLHDPEHFYDHNRRYSASVIISITYGHRIATWDNPLAKKVY QVVNNMQNYASPGMWMVDTFPSIQHLPQIFFGNWRAFGKKCHEHDAPIYLGLWNDLKK EVQEGKAKQCFCKDFYQSDPEKLGLDTLQAAYQCGGLVEAGSETTSAYLNTFLLFAAM DEGIVKKAQEELDRVVGFERYPTWEDEEKLPYIRAVIKELLRMRPPNKIGMHHATTED DWYEGMFIPKGSVVILNWWAINYDPDRWESPHEFKPERYLGYDLPAAAYINIADPNDR DHVSYGAGRRVCPGVHVAEKSLFLNISRILWAFNISKKKVNGEVKEPLNAMVPGWMCI PQSFECDITVRSDKHAKMIEKIWSEASAEIKLDEKRG LY89DRAFT_744302 MAFSFLPLDGSLPAKTIFASIVLVIILQRIFVSYVGGKKYKLPP RVPGLPVVGNTFQIPPGKGGLWGIEQAKKYGEMFTCKIGVNTWVFLNSSRVVNDLMEK RSILYSSRPNQPMTDKIMSGGNRILLMPYNDRWRALRKIMHAILNKTNLTTFQPFQDL ESKHLIYDYLHNPDKWYNANQRFANSVILSVVFGKRMELGDPRTTELLETSSEILNAM QPGASIADVLPALENLPKPLQWWRPRGERAFQKCLKVYRREVAELKEKEKKGTARECF ATEFLSSPETAKIAEEQRLFALGSLLEAGSDTSRMAISILLSAAATDKRWVITAQKAL DSVCGPNAERLPTFDDKPNLKYITACVKEAFRWRPFAEIGLPHMLTQDDEYEGYKFPA GTLFTWNALHIALNDEEYEDAHRFWPERFLNADLNSVLKGHWSFGPGRRVCSGYNVGE SNVWIALARLLYCFEFEQIPGQPIDTLAIDWLEHRWAPFPVSIKVRSPAHAALVDREG KIAVETKY LY89DRAFT_726873 MAASDLPIAPAAETPPAWSPGEHNSRPVEGRKAEIVEDEEDVLL ESIGYKPVLHRTYGIIENFSTTFAALYFVGGVRVTFSTGIAAGGNLAYWTSYLVTCVF TFITAAVIAEICSSLPSAGSIYLWAAEAGGPKYGRLFGFVVAWWSTTAWTTFCASNSQ AAVNYMLSEITVFNLNFPSDASSVKFRAVQWICTEILLALAVLVNFLPPRLFRYVFYL SSFFVVLDFLLNIIWLPIGTANTYGFRTAEEAFMTTYNGTGAPAGWNWCLSYLATAGI LIGFDASGHVAEETKNASVTAARGIFWSTIVSGIGGFFTIILFLFCAPGPDILFSFGS PQPFVPLYAVVLGQGGHIFMNIICIVALWFNTAIAIVAASRLVFAVARDGVLPFSSWV SQVSREGQPRNAVLVIWGVAALVTCTILPSAVAFTSLISAAGVPSAAAYGLICLGRFF FTPKTFPKPRWNLGRLSKPFQFIGIFWNGWVVAVLFSPYEFPVTGATLNYAPVIMAAV TIFAIVSWWLTPADAWLSKKHIEHILENPGVDASGHVHRDETVGQKTVGQA LY89DRAFT_692736 MELHTSILILFHTLLISHVSGTDVLKSDTVSLTTSGFNSLIIFN IGILANGDIMYYSNTAGSKDTLVASNGSYIGGSPLSDKVRSFKSGNNTGIDRVEISMN SQHVKDLMSSPGPGNSTTLYQNFEALKMAWNLDAVNNDDESIYDVDSTVAFARMLGGI GYKYTIAPYTNTAFWVSVKTKINSGLDSPLLDRVYLQCYDGGAGNDPKSWQSSLGMKV VPLVWVTNDSKPSQGTTAAQAKSRFAGWNKGAALAGGGYWNDYDIERMKSSYAAYGDV LMNVFP LY89DRAFT_3533 MVQCFDKMSLTPVERDAAANEHHQNALRQYAKAVKHMASDAAEK KLDLRTMLLSCLLILAFESWTGNMDLAVNQVHAGIRLIQEWKENYRDDEDKFTRLSPA PYIVEDDLIQIFCRLAVQHSFFADGHSPELHAVLGTEGPRFTRSMPATFSDIFEAGKY YNGIIRRGVYLITTHSAYLGPDVREDSARWRWAMKEQEEIQADVWRFNKAFEPVLQQV ADGPQKHYALFIQLSMAIGYIGLSTHLSSDESIHDQYNPVYKRVVFLAKAVMKEMPPR NSTRPSNFCFDTRIIVPLWVVGLKCREPLIRREAINFLLSNPRREGIWDSVFAGKVLQ WAMEIEEEFLEDGKVLGWARLGGVKWSGAELAQKRAKLVCLQRVSADDETKVRRTKTI TW LY89DRAFT_692739 MSSSNVPTETDILVVGGGPVGMFTAFRLAQLGQSCVVIEKSTHT TIHPKMEYSSHRSMEIYRHIGLIDHLKPRAVPETYKFAEIFTTGLGEKNFPEPIARID RPPPAELRKSWAQSNDGSHPLEPHMRQSQVVYEEILKSLVEKEPLVHAYWGYSFQSLT ESDDSVLSTILDPSGKSIAIRSKFVIGSDGAGSQVRLNVGIKSPRRSLPLGLAYVHFK SKDLDKMQSQGDFWHMVILNGAVVVNQDEIETYTVHRMIPPGVDFKIENAVDFVNESL GGIGGPFDIKVDKVIVQGKWQADLSTADSFRSEKGRVFLAGDAAHQLTPAGGHGLNSG IQDAYDLTWKLDAVLRGWGGEGLLKSYNLERRAIAELNTAMVEKSTMEVVLPWLGKAT EVGFENLNAATEHGQSCREQVRDALMPGRWIHEQTGTVMGYRYNNSPIVITDTSVPEP PTSVTEYIPSTWPGARAPHVFLSDGKTSIFDLYGPGFTIVDFTEPGKASEGFVAVAGH LNIPITKVHLPNEAHCKAIWERDIVLVRPDGFVAWRSSPQGVQELDAGEIQKVLLKAV GRSA LY89DRAFT_573056 MTPQNSHIILFNPPTIRAPRPSYSQVASTIISPGSHLITTSGQV GTTVTGETPTSFAAQAKLVFQNLHVCLHTAGARIQDIVKLTYYIIDAGNHHAEFSPAL MEFLTDEKGNVHRPPATMVEVKALARKDWLLEVEAVAVVSPPPSLSTLTLSSPPEIRL VDVVVVGAGLSGLQAALDIQKAGLSCAILEARDRVGGKTLSRPLASGKGVVDIGAAWL NNKTQPRIHELAMKYGFETVVQRTDGDQVIELKPGESHRYGSGAIPPASKRALEDMRR IQVTLEEEAAKIDVHHLPRSDFDNETVAQFLRRNEVDKVAYEVIETTIKGLLGVDATE LSLYYYLDYIKSGGSLADLRSDKSNGAQYMLVKTGMQSISKAMATDLELGSLFLSSPV TAITKTSSTANTITTSSGLQFNAKKIIISIPTPLYRTITFSPPLSASKATLADSTTLG YYAKTILIYSSPWWRASEINLNGSFTSLTGHVSFTRDTSVPSDSQYSLTCFIVGGTGR SWSILSPIKRRQAVLDQIAGMVEEEHRRKVYDTEEIIEQEWIKEQWSQGAPCPVMEPG LLNRYADVLRRRCGDVHFVGTETAFEWKGYMEGAVRAGERGAMEVIEALKGE LY89DRAFT_776059 MAIAPPPFPPNLAPPQVPAGWRAEWSPEHNTWYYLNLTTNASQW NFPVEQVQTPYGSPPPPQQYPPYQQQQSDTPQSQQIGTVPEPTGEVGPDGERGLGKIA AGGLLGVAGGLVARAIFKHEEEEGKIQKPHFSFWNNGDNSSNNQPDSNGPSSWLSKLS GGGNKPDFTIPAAAAAGGGLFGSLASHIPGFSASGQQPAAQMYASPSWHTGNNPKLHI HCAAWSDQDVTHLIRRMVTPSQSIDFNTDDLGDHLGDPWPGAPKQFSIVYSYGPRPWE LVSTSSGRGKFSLLPHEPLSKDRMSFIQAPNSRVMGVVWGRGNALEKGTVEKLKEIET TGEFQATNEWAGFDDMWGPGKVAVAYYRTQNGEVKFAAAREGRTCRLP LY89DRAFT_633530 MSQTRNDSAPTRIDHHELDDISPQNASTLEEASSRWVQGESHRT RASVLVGSAILQLPIWGFAMSYGVFQEYYSDNWTLKGSRSATGIVGTTQNGVMYLSMP FLFGLFTKRWARRRQTAALCGAVLMAVSFILSSFSTEVWHLVVTQGVMAALGCALIYS PTTLSLGEWFTTRNRAVAYGLVLSTKNIVGSTCPFLFRGLLDRYGFRNALRIWTGMAA ILAIPAIFLIPTHPSSLSSSARHTTKIPWKFLQHKTFYIYSVAIVLQSAGYGIPQTYL NTYAHEVALLSQTSATLLLTLFNLPGILSSSFFGYLSDKKRFSLSATTVTAISAISTA LSTFLFWGLTSEGSMALLILFSITFGFFAGGYSATWGGVINELEREAADSNEPIDPGL MYGLLNGARGIGYVSGGLASVPLLKAGSINSGNFAYGTTYGPLIVFTGLSTVFGGWGV LWKWKPQQWKRLLHLL LY89DRAFT_692742 MYFSTIAVGVLLSVARVSAIDLETDISKISRSWGQVSVYADNDE DYFGVEYVGLPDGCQIESASTLQRHAQRFPTSGNDDGGNDARFAQKLSNFTSGNSTAS FTGPLAFLNKYQYVMQDSGLLTGIGASTEFAAGVTFWNRYGRTLYNATQAQLAYNASY PNGTARAPVVLRTTSQSRIENSQINWALGFFGTSFQTVPDPTLANFTSPFEVVIIPEG GTENNTLASYDSCFNDDDAVIGYLGDDDLFTYLPKYLGAATARLQKYAPSGFTFNYND TYAMQSICAYEYNYIGMSDFCYLFTADEWAGFENTLDMELYSLTAADYYDYSYGNPTG RAQGIGYVQELIARLTHTYITTSDSSVNSTIDNNPTTFPLHQPFYADFSHDDIIVSVL TAMSVDYFRDPPSLTQYPPNPNRHFILSHMTPFGGRLITETIGCSSPDPYPVKTHHTA YTPTQYGYDPANATYKFVRMRLNNGIVPLNTIRGGYCGNATSGRVDGMCAMEAFLESQ ESAYMLSNYDYACFGNYSIANATSGMDYDGTISA LY89DRAFT_3976 MAEVSGAAVSIPGVVDFGLQLATTIHTYVEAVWEAKDRLRDVAI DINSTASTLKQLQALIDADRVQVTKVFKDEGLQEVRDLATSLKKVYSLIVILLTKAGT PESRGKVLAESVNPVVLNTSVLTRHMRWSWLAPRGKRVQVQLRLLKTKLLLNLQLANL ARVQLGLPERQPGSFQEELILRALSLKLRKKELRSFRNLVAKYQVKVDESDVSSIYSV DSIKSARPEEVDDVIVIEEEKLTESKNERNRMSLSLVNQLPPRRLTARVPLPHQSQMK QSLKWIIRTVSL LY89DRAFT_572752 MTLGPKVEAIKFKDAVGRMFTVPFENCKTFEAMKKVIEEAFLHV DVIGPHVLAGHFDLIGSNGEIMLPSLWASLIQP LY89DRAFT_3964 MNRKVTRSQAKKVPEQHPRRSTRIAALASKASPSKKKNSAKASK LSLGAGTKQKKPVVKRQSRSGPQRKLSSSALQDLNRETINEPLPNALRHWRQNSFPAF VSEDLPSTRSSKMSTYDKNLPEQLTRRGIFDETLLPDPSKPKNLLAIQDALLASPSSS GTIPPSEEEIRDYSVYCQIITSVGILENDLAARAFQPFFDVPLQVPEPHARGGKQQWG ETPKPEIQQRPKPDYFEGLSSLMVSEWVEKHLGPYARPVAGIAFPNFLVEHKSEGSMK LAHTQCRLDGALAARGYYELHGLYGDPGVVLNTALVGTVEFNGECFVA LY89DRAFT_692743 MTVVGRNSHHLYAADFIEGKGEGQIILLHGPPGDPIRSQKPIVD IRAETVAEFTERPLLSLSVSDVGTTPQFVENNLKFWMKKARRWGAILLIDEADVYLEE RTAQDFQCNSLVSVFLNNLEYYQGILFLTTNRVGVMWYPGFDDQIRVKVWNSMIARLQ RDRKDISIPYVLTKYIEKDEDLQRVEWNGREIRNVPANVFDYGLSNSCALAEYQASEE KSTEIELKIDYLDKVVKMSRRFKKYLSEMPDGNIAKKAWQYGKRNDRFKDT LY89DRAFT_4094 MTLLQKLIMLLPENFTRTFHNYRKLHNLDIESTYPAFEKTTLQH QEDMAAQMNNLQQFPNITYHTTPTRDFDRSECRAWIFEFLHHGLELSQIDAARKAMRW MYTGDRLFTYSEQQMIDVFGLIVGGGLWSRMQTERLTERFLEYAETVEKIKRNTTTIR DNVNPKTQTQMILAELFVIALFMTSVMISVGLARYQAKHPGEWNDQWWLLVFLVFGAF LLAYFLSAGPESSPLAIIVSGT LY89DRAFT_4130 MKVQDMSRWEHSSLVIFHKLNNVRSSTTRRSGQTMQEAREAVAR YATTLANLSTQIEAALTRAEPLIVTRTTNELNEEALKILEIERDALACAWALLKQDLS AKGIDNNIMGPAPTTFESVISTAEDAQTTMNNRKRPGGGKARDYYNKFCHKAYQHRSV FDIFPNTNEYVSVFCGSIKTLVKASVNYIQISEGLSSALNEITELVDFAKRQVGVNTT QYTTLALAKLYKEIFLYLKEYTEWFTKTSKARDLRSFIEDFYAIFSDRMECIRSIANT VYQEVMLGSMQMIQTKLAPTDDLEQQRRRDSEYMKTLLRVERQQMTQAATQQKILQKF ETLLLQILDRESGLRARGMLEDIVHTDQWQRRARVGRIESPYYSSVAPSGNDTETALS LPSQDYTAGISTAASESSLHRDEIVDSSKCLERFILGNSVDFLNILPPARFASAPVAT KLREWFAGRKSPFLWVRGPSAGRHSLELSSLSGSLIRAALESKAPIIFHFCEPIRQVD LEPGFGVEEAGAISLAYSLIRQLILLLEPEVDVRIDLSAARFRLLESPLINWKFAISI LEDLLSLSPGVLLCVIDGFDELDYDLGQSLCRDLLTLFRRREQESTQKGLVFKMLFTT RNFAESLHAGLTIDEVVIDTEWKHPNHSSQGPGRKFIFDGDEGSSGGYA LY89DRAFT_571581 MGLNMSKLLPASIGYVPIYIMVFGLEGAGKRTLASKLQLSSSTA YTTEGVLVMSMGYNNVRFEVFDVVAGKNVNPPLPAHFKGPLALVFVVDAHNREKISEA AEELHRVLIKPEFHNVPLLVFCNKLDVSQAMTKAEIETKLGLHALISGTWYTQGSSGS KGEGIYDGFQWLSNNIK LY89DRAFT_712823 MSPMTKTSALLGFLASVAKVSAHGFVQGIDVDGTYYTGYLVTQY PYETDPPASIGWAETATDLGYVAPDAYASGDIICHKSATNAELSATVEAGKTVTMFWN TWPESHHGPVIDYMALCDGNCSTVDKTTLEFVKIAESGLISDTTSPGTWASDNLISNN NSWAITIPSTLTPGNYVLRHEIIALHSAGSTDGAQNYPQCINLEVTGSGTASPAGTLG ESLYTETDPGILVNIYTTGLTYTIPGPTLWSGGSSGSTTSVAAVASSTQAAVVTSSAS TSVPTTLATVVKSSSSVAVTSTSSAVETGAQGDDDSCES LY89DRAFT_726888 MVQLLADCLPNHTSGMLDHDFVYLEAHVNKLKGGYFYHNTPKSL TGYPQKPPSNLNDLKELWTALTLTILVFGYLNDPLVVSKYNCVSQRMRKMLEEIARQS REDRSPTQQWALWDSWEPFASSERLVKIFNSWERGFLNQVEKRMQKAVRVGLNKIAAF EPMDQAEAEFHAWCKQKLGCQAFGQSPRGLGLALSMLDQTRKEKEDWISHDDQTLDEH VSQTELGELDADLGASSTNDVETEDLGQADGGGSIGKNWAANWLSDEETMFRLLTGAE EMS LY89DRAFT_726889 MPGGTGNRKEFRGDDRTVKYGRISKSVGPDGKTKSERVLKDGTK APLWYTPRGLIDGSIRGHFYIPKYESWHKKPLPLNPYNHFFFPTLEDGQLGFTLKYCE KWDQVEDHARQMNYNTEHVFEVQQLKLFFATMIYAGWIGGNSDMENILFDPQC LY89DRAFT_744353 MAPLNYLLALFLLLISQLVMANPLPTPQEGSSCPTFGAYECSDD GNCILICDYTGTWIVNDYCYPREHCEEINGSPYCVGMFSLFHLIAILLAVAFHLTGVS AQAPQGNGPTATLGAACDTPGDYLCSDDWANILVCDAEYVWLLNNVCYTAPDYSEGCG YPGGTTIPYCWYLLARRFSSVFLSSVSFFFFINIDQHPFFQLQHIIATSTKPHNYHFN ACKNSPGLPIKMFFILVFLCSLLAAFAIEHFYLRMFTPPPVVNKCASCASKKSSRCCE LQYCLMFPLANALIIIDVVRTASLSLAPLPLALSILFHKRILTLEADSSWSEVAGTPS MLSANSLQIQSTPKTTSAEILHCALDLGLLGNWLAFSLFLMVRMGAMDGVVLVGLTLN FGCWVMILREKSKSVAVFSQ LY89DRAFT_726891 MATLTRFFAMLIAVFLSFVLLSIASPLSGAVQLGGPCSPVGAKA CDSTGSSVMFCNAFDMWVELEACSSGAICGLKGGQPSCSNALSERQEEEDCHAILHRI ASPQPVLLFTRFAGTLFLF LY89DRAFT_662630 MFSILSIALCFFSLLVGLVHAQGIGPDPAPGELCAPQGQIISSF DNRYILKCTGLGRWEIVEICVSEPLIVNGPPGACVHGVDGPACSDTGGTRMISIFRAA LGALLLSAFAVANPLPAPQFSTGTPCENIPIGTYMCADDNYWISICNSQDVFELVAEC NGRSCAYDSQGLSTLSSRTNHIRQGWWSGSGSLSNWLGRGHKGLLIITLGLLAMDTWN LY89DRAFT_662631 MKMYARLAFLFLGAFSLCIEAKITNLRFFGLWFGTGRDIILKFE STLVVPGPSPDAPGGTRVQAVWPGVQGKGLLQNVITIGDKKGEWWQLPYYCCNPGKDL AAEVQVYPGDSITNTFILNEATMEWQDNYAVTPGASAQEPATDNTTTSSFIFDQAAVV DDDSTVGDHFTWTFMTIELQGDGKWDFGPVAWRDILLGPVLNGGTKFNYTFSKPTASA VGIML LY89DRAFT_744355 MYLLSIFAAAILFMASKALAEIEPTASDNLRFFGVWLGASMGAD IFRFQSTLIIPAGSPDSNTLGLQAVWPGLQPHSQQYVLQNVLANGVPGEWLLEPYSCC NPIAMLVPPMRVYPGDTLTNTFSLDRFSMQWQINWVVIPGPVGQAAGEVSFNGGLNFD LTQYDNDNATIEAYTSSMFAIELQHGGQWDFGPVSWRDILLEINTTDTTWCKSGPQLQ AGSFHWNYSTPVASPVDNVVRCYIAEMNFESPI LY89DRAFT_4200 MMFTTRHTTPKTEQRGKNRNRGNEAEATLANTSTPPPQKNSGSE TFDGRLGLNQVEPRPGPLSPPVFSQYWFPLSFLSLSFISFILSPRKRIDIAPSRQKPR TSSPRPTDPTPRYNSKTKRREEKKKINDRRTLLQLQRCQGAVEKKEKRSKRRRGSLHG RVAPVCLSYCPISVIL LY89DRAFT_4214 MFLRHSARWRSQRCFFLSFSLVWCLAQSLGMTTARGSRNGAAPF KRSREKSIYFSIWDFGEQVSLSSQQILGPRERAEQTAIGHTGKVCWISRLIWAWPWEH STALYRFTAALQRDADRHLECLRLCCFRLWGDPGA LY89DRAFT_662633 MATTGTADPALNKEYNNDSATHDHAPTNGAIPTQRAPYDYGGNP LAHLNTGESARFPAFGGEFQPGLYKPTTGRKFANPAPLGLSAFALTTFVLSLINVGTR DVAEPNIVVALAFGYGGLVQLLAGMWEMAVGNTFGATALSSYGGFWLSFAIVLTPGGF NIQDAYSATGSGKYDFANAFGFFLSGWFIFTTILLLCTLRSTVAFFLLFFFLDLAFLF LFLGYLYASDSGLNANLIKTGGYFGLFAAFMAWYNALAGIADDSNSFFVIPVAHFPWS EKGRERRGLKTERETV LY89DRAFT_604070 MSDAKRTVLITGCSDGGLGAALAIAFHEAGLHVYATARNPSKME QLASLGIETLTLDVLLDSSIAACVSKVSHLDILVNNAGGTYGTPVSDLSIPEAKKLFD LNVWAYIAVTQAFFPLLLESEGIIVNHTSAASVIAVPFQSVYHASKAAMSMFSDTQRL ELEPFGVRVVDLKSGYVKSNLNFHNEGFQGLPKGSIYEPAKETVESSMRGDGLVDLGI PAQEWAKQVVQDLLKRKPPANIWRGASAFLVWVGTFLPYGMLDSTAKKSSGFDIVEQK VRKQ LY89DRAFT_726897 MTRSHFSAIIPKDYERLLDKVRIEDRKGGLLRDSYRWVLDNSEF QRWRNGKHSQLLWIKGDPGKGKTMLLCGIIDELSQSTKLAGHVDGALLSYFFCQAADS RINSATAVLRGLIYLLADQQPSFIPHIRKKYDHAGKQLFEDVNAWVALLEILTNILQD PNLQSTYLVIDALNECVTDLPLLLDFVVRISSISSRVKWIVSSRNWPNIEEHLETATQ KIRICLELNEKSISMAVGIYIRYKVDELSQEKKYDDTTRNAVQRYLCSNANDTFLWVA LVCQSLSRISRLNTMANLAAFPLGLESVYKRMVQNIYTSENADLCKRVLSVVATAYRP LFLDELKSFIDLPEGVPGDLAAWGQIIGFCGSLLTLRENAVYFVHQSAKEFLLSQTSD AIFPSREHNMHHTLFSRSLLVMSRTLRRDIYSIRAPGLPIYKVKQPHPDPLAGVSYSC FYWVDHLCAARSLETDSRFSTLTERDINAVHTFLSEKFLYWVEALSLSRNISSLVVAI AKLEQFSTQPGISSQHLALVHDMSRFILHFRSAIENYPLQISSSALIFSPTQSLIRQL FWRDEPEWITIKPIMESQWNELLQTLEGHRGSADGTIKLWDTKTRALLQTFKGHTAWV WSVAFSPNSQRLASSSYDHTVRLWDINLGTLQQTLKGHEDSVRTVTFSPNSQQLVSLS SDGNIRLWDANSGVLLQTLGGHKDSVTSVVFSPDGCQLASWSYDRTVRLWDSNSGALK QTLKGHKDWVWSVAFSPDSQWLASSSFDGVLRLWDANTGVLKHTLEGHRDWVWSVAFS PDGQQLVSSSSDGLLRLWDTNSGILLQAFDGHKDWVFSVVFSPDGKRLASGSADKTVR FWDTFSGALLQTLTGHTGWVRSVAFSPDGQWLVSSSADGTVRLWTNSGILMRTLKGHR NSLKSVVFSPDDQRLTSLSADGTVKHWDTNTGALQQACPAVSTPCLSCISDSKLVTER GAVNLDNISESENTEGPESFNSESVGCGFSNDRSWVTWDGENVLWLPPEYRPTASTTS AIAKQKVAIGCSLGRVLLFGFSADVGTQ LY89DRAFT_726898 MVPNPYHQVILFGDSLIQHCTDNLDGFVFHSAVQAHCNRRLDVI NRGFSGYNTSQCLRILQDVIPSTSCTKIDYFVNPTCPAIYFNNPKLISKLILLGSNDA CLPGTTGQTVNLPQYKANLEAIISFPPLRAHNPTILLCTPPPIDEIHITELDLACGWE SGTRTSENTATYAQVVRDIASAADEKVVLVDLFASLMENAISMTPDWKAEHGILGSVK SGMRGWLEKLLPDGLHMSGEAYKVFSKLVVEEIGREWRDEKKAGENYEPPSWVFPSYW KATWADPSH LY89DRAFT_679140 MRSSAVLPQLLLVSSSVFTAVNARAVFAHYLVGNVFDQDAQTDI ENAMSMGLDAFALNVADPSASYVAPALASLFNHAESSGFKLFLSMDLDAKGGGPLSDY GYIIDTYAGGGAWFAGDNGKPLVSTFSAAAYQASDIQAFRDAYDVYFVPDFDNTDGYY TASDGWWSYWGGLVDGLFSWESSWPQVDATNDGDVSLDVTVLNGAVAHSKSYMIGLSL LQYKDAYGTNIYRHGEQTLPIRMANILAMNPAPLYVEIITWNDGPEGHYIGNLWTEQN SDVQPDTYASQRGAAHTGIQPLLTEFINSFRNLQTSFLPSANPVGAIWYKTILQSTTC PDDDLGGFYSPPNGASVGLDNINWAVVLPSDGASYTIQFVSNGGAVGGTITGKPGFQH GAVPLSVNAQYMKIVNSAGVTEYSTGLGRCVSSECFDDIYNYNPQVLGLEQGDHATVG CWEMTQQASASSDGATTGPFYPSGI LY89DRAFT_776072 MPLTAMELEQKAQDRAGVETRAKSIGIPSALLAALEKSKPTRSI PQETLSLLSETPQTIYRSLSNLVNPPSSSLTWKAHDHGVPTGFFRLPLEIREQIYGLV TGHKTVLKIPENYHKPRPSRERSNNGSTSLLQTCRQIYAEAITSIYTQNTFQFQNHND FLAFAHAINPISLSQITSLTFDLNSILRVGGDPYDHQAPRFTIIWVDGVEAQWPHVWS IIAAMDNLMELNVFLQDPGFPEWDGMQCLCLFEREILSPLGKIKKRLRVFEMNVPWVD ISSWDRFENRQPVTEGALFNLTRRGINPVW LY89DRAFT_744389 MNPLSHLSLLFTTYLFHLVHAANSSFYGFEIPTPGPILKFDITV LIPNTSTNPLMASQIQAFWPGLQPAPQSENVLYQQVITNQGGGPGEWYLLPYWCCTPA TNLATQRRVYPGDTLTTNFRWNAMSQNWYDNWALQPGEVGHAAGETPMGGAVNNFNPM QKAEVIIELQGKGVWDWGQIVWSNIIIESQTTTTSWCTGDWEVWQGADNKFQWTRTPP VATYNADFNTTTCYIGEVVFVSHN LY89DRAFT_4513 MHVKYFIQWFFATAAPASAQFTEGTYTAVVGGLSTNSSLLGNYY NYWVQTASGLWDLTRSAREPAPTTYTIPCSGSRSTITVEASRSALVIIDMQNFFLHPG LSPKATAGRGVVNATVNMIQGFRKAGMKVLWTNWGLDNYDLLTIPPAFLDGFSNNHSS TTTFGTDMGIVPGTNISAGLKLMRGSWNARPYGPLYDLQVEGVASGTDLYFNKNRLSG LWGAQTPLGLYLQENEITTLFFGGVNADQCVWGTFLDAYYKGFDVVYVGDISATTSPY YATQMVTYNANLDGFLSNSTMVLPALS LY89DRAFT_692750 MADPISTASGLLALAVFAFQSSAQLYQLVQSFQSHQRVVRELRE ELEDLNGVLRSLQETAASTDADLTALKLPLLRCGKACKDFEAIIVRCTAHSNGSRTSF RDWAKLKYMGDDIVGFKTMLAGYKSTISIALGDANMRTAAITVNVLKEYKQLISNTTS DLEDHLENINCKLQTISSQGAGISNEDTAERQQLQEERDSTQKCLDICAYVLTQIDQL QPNAFINISATYQVPVTTLSGLTSAQLVTSNTFKACREKLTNTATQLERQLQDINNRL QKFSSSSVNISNEQAADQERLKEERDSIKQSLDICTEASKQASQERTNVFEDISMADN GYQMLVSTVGDLISAKRVTIGSGSLQVMGQMSDDSLQQLSRDLGSTRISPAFENRYGT GFKLSSQTPKDLGATQK LY89DRAFT_776075 MWYSALPSNLSPSQKPYPLTSISEGRVSPHLRARRATQAPLPDA VSPSLREALIRSSPKKARRFLTESFHSRAEQYDPAWRILPKASDSITNLRNPKSKKLS KKELKLAKLHKSKKLNVETSKVELTGLDAYNSKIAELCALRKLETEHAQKAKKAVEST DLMSANPITRFDSLIDFNDPLLLKITPGGHQLSADYFAKVTRDSTSKSSQSHKSSSRH RKRIACITFYERGRVVRGQKSAYIREWEKEAAKAARSRDEEADDNVDMFIQSFNRMGM S LY89DRAFT_662643 MASRTIVPSLLSPHERNNERTNQTKTLNGWNLRVRCATQSPLPE HVSPLKRAAVVNSMPEKYRTTFLAHNRKKKTLNYYDENGQIRWNYIEGVLKAKSSESD REAVGFSVRQSKFEHYHGVPDKRPPQISRTTKEKAAILKSHNQKWAAVRSHLTTGTEA ASPAHEADKEATSTIHSHDRVMSEKEQKEYDKMWGSS LY89DRAFT_726906 MSADFCSLPSRLSPRQAKQPKVPSEGPELNPRLGLRRATHRPLP ESVSPLMRDALLRSSSGEAQEYLLGSLKKRRNRYDEDGRLLPKYADDMKKARSPKKDL NTKLKGTQRKEGKKTMMEDIMAPMVGSSGDEGFGLNSYNIKMTEARQRKAERRELLGN EGNLSSSMSPSSSPANEMEFRDVKFERLQDDWPINSPRLRPRSPNVSTQSRPPFANLL GTSRLPSPRRIPAGSHPPLSIQSSPPEPVLATGNDISSVSRTLAAEREAEKTMEEFAD LFSSRLRIF LY89DRAFT_662645 MNREFNQNPELVPRLKLNITISGAINVAGTPYNLHFYAGDLTEA EDSVPSSPAGKVFESSNSSEAGDLFLSKDESSGFFDLHNNRQEQLPSRSGTTTRNPRG QTYVYPNRADFEPTPGIQHHHSHQSLIETPQLGAGVFGSLGAFLGFMSPVSRERAIPQ GSRTLTNEDYERDPDYVQAMINRHLFEKPAKWHLVWQDQDNGKDNDKLGNFSGSLYAN DKPHCPGIGCGGTAGIRRLRDCAKNHWICHKCGPDGWRDYKAEPTSNVVRNEKKCTRC SHEHCKQCPDRGNLGFYYVKEAMKKFPEKWA LY89DRAFT_4584 MEMTPKGYVRPKKGNKRNDSARRPFPLPQDQQAFLEALDFRAFP PRMPTDPSSHLHRPPPRFNEWSPFPNAPYGIMPEEEVLRDRFAHMGFGPGAGAGPAYP PPPPMMDHYPGPEPSHSSKESGFTVSSNDFTDRRVAAKYVSHLIKNGKESWVFKVPDE DDRNGGGSGEPISRPGDHGMKEQKFGAMGFTSKKDEKKR LY89DRAFT_4588 MEEIMEQHSDTHSDEDSQSLYEGEMTPSPGLDSTETDTPVYFSG YTECRVRRSSSLYSQPPGGWGSDDTPINLKQPLKSPEARAREAYNPNPSPRLLPRPPP STPALSRSSLSSTGSRISCGLEHFETAPQDSSSISRCEGAPSMKTYHFVTEIIASGAV PWSFYWHTPGNVQHFGARHDEEMDAAGANGVDLKAFLDLLRRMLVNVAQDSAANEAED EMASESEQHRDGDPAI LY89DRAFT_572394 MDDDDPAIPDSDASEDTEDSESLRPNRWQGAPSTWASLTAQERG LAASLDQIRNEDLSIHLYNAHALKRRARQFEENPEKEYPAIPDEDQTFKPPTHWTAWP LPPNEVPREGERIGSEDPDEKYTFRRRETQRPSTELEDVLLGLTLRFAKERFTNREEN DEIGAQDDEEQTTVENNGRHNNDEASDSLDSEISDENDVVVKEGSAAPSEEPLIPVVS TDDDRSRGLLRPSIRHTLSKLDEVLMALHHARKACRRVSYSSASSEADSEQEGSVPIS KRPRGRPRKFSSLPDRSRAQSMPSDQEMDEDGLFRAKTTHLGRPLKAYNRLDGESQQD YLVRIAKIQKKPLPPFAPPIEAKPEKSPTPSPRKSSKSPGTRATSADTSARRRKKLHP RDWSEVLSSAALVGFPPDVIARATRRCANLFGEGITMRTIIEMPFMEKIPDSVMRYVP EEIPDFDEESSISSSDESDRPEEFSEVQIKSERPTSRAIRKARMPPSKQTCFCSIENC PRRIQGFRDKDALRRHLMLGHKIAKDDLDEYILPSDEEMSGAVHVDGFLKPLKKLGGA RGSYRRTGKRPREDETDSGDEEEEEEVRGPQPTPALKVESESSESDEDGSASDLS LY89DRAFT_712834 MDYMKERKLQPMSPPPPIPDRSSKRINRKPAKLPRPQKQSHSPM KTYRYSSGFTNAPEAFPTENSSTSSLSFIDSPGTSPTHTSNVENLLSDAFARPLHQRE PSGTTATSGLNSIFENASAQSPETPNTPLTSAEQRLALDQAHQPPMGPRSECFQYLVA PEPEEDHERFPFLSNPFKGKKAAQSNSSPEKVQNLGQKLVGKLKGAIEYLHHLDDELE EWTWQNYRKRFEKKKQAEARRASLERAKAIDHGHRALIKTHQEKEKARNKRAKVQLKA QNKELRESAKRRNKAAKGNARDTEVVEQEDQRISEDRQRLIGGRLAENNQYTETQVTE HEIVGTSSDDNFSESEGESFGDWDEDYTFIQGAEEYDPKHCGRAVGD LY89DRAFT_679147 MDVDRRKATLFGAAVVIRLLLFTGFPGLPDLLTARVEISTPVTS FKRLQEGLYLYNHNVSPYDGGVYHQAPLLLPLFALLPSSSTYPAFTYLLYILVDLLSA NALMQIADSGEAGSSKLYTSPRKEKRWSNFAIAAAFLFNPFTIATCIGRPTSVFTTCA ILHAISKAVAGNSFTSMFALSFASYLSMYPILLFPPLALLCYDRKKPMKGHESVYNFV FGNAMAVAGSLYSLLHMSFLITGSWEFLFSTYGVQLLLPDLTPNVGLWWYFFIEMFDS FRNFFLGVFWIHLSSYVAGLTIRLRRQPLFVITILLGIFAIFKPYPSISDTSLFLAVL PLYRHVFPLMRYTFLASSTILYATLLGPAFYYLWIYAGSGNANFFYAITLVWSLGLSV LVADALFAVLRDEWEVERPEMKGKEIRQI LY89DRAFT_679148 MGRIKKKGVTGQAKNFITRTQAVRKLQISLPDFRKLCIWKGIYP REPRNRKKAAKNSSHSTTFYYTKDIQYLLHEPLLQKFRDQKALEKKISKALGRGDAHD AQRLERNANRPEKTGKTKYTLDHVIRERYPTFVDALRDLDDCLSMLFLFANLPSTDTV PAKMIARCERLCLEFEHYLIVSHSLRKSFLSIKGIYYQATIQGQDILWLVPYRFNQRV TGDVDFRIMGTFVEFYQTLLGFVNFRLYTSIGLVYPPKFDQTRDDLGAELGAFSLEGN GIGAIEQPKQITNGKTAKPDPKLQKEIDKLMLRLNEPEKEAQEPATSQTLAAEDEDEE EEPTETIDKFEPAAPGGDILLQPSYSATDPSTLFSNFTFFLSRETPRQPLEFILRAFG CKRIGWDAVLGDGAFTTNELDPSITHQVVDRPPIQLPSDAEEETEDNQTSQRIRPGAR VPGRTYVQPQWVWDSVNDEELKRPDIYAPGASLPPHLSPFVKKVRGQYDPTAPLNEQE REDEELEVDSDAESDASDDDLAVQAPKLLTIEEVDATAEGMDVAGSDDDGSDDAEEDV EFGGFSDADEEEEDEGVSEALQRQRELEAEATGVQLEEKEVDPRAKAKVDARKKAAKK AKEEEEELERAKMMMSRKSRKVLEKMTYSNKRKDVEAEKLRAKRRKIEKSGKGARDV LY89DRAFT_679149 MTSNQNAPLDNRQSRDISASSPIDRRTNSSTLSTGTNRFSVGSN KTGESSTAASQRSSFITGGMVDGFVDGADEQLASDNHKAVRESRASHRSQKSRGSGGF LLNNSVFEAPAQPPANSTVTPSESTHRHSTQEPKGKSSLRSPEKRHGKRRSNVGSGIG GSPLAANVINAGTGNGTATDQTKAGIEGQNYTAGSRQASSGLDVDSAQIVNLALNLSE SRRNASRRIISTPLPPAPGIRESFVGGSLRQHLQQQRRVSRNVSPKPDRGQRAMTASP RIAGQGTGSPLQSAFDSHSDGQYQYHFSASTLARAEKAKNAIELMAQYRRLLQYVPPL KPVPSNSRAITPESPGTSMHIPSRSVSSTNPLVQPLGRQYNPLQYIRNRKVRARNSRA IDGEAQGFGDLEKVSSWVDAVTQQASLDEFQAADATLPPFSKAAEDAASPHASPNSHI GKGHNTPAKIKRPRVDWMVSPADLIADVFWLEQDDNRRMVEDRNGRPIFPQSTDLKRP MSRRCDGFEPKKSPLPAKAEDLGIDLRIDTKLPEFKSVKPASEHFSDSTTSRARRKLH DVRESTRFHHGHNGSARDSRLRLRSHSRSDSSSSESDIHRAPRRRSGTAESTDRTKDI LEKQMMEMLAREEKESGRDNQNLHSKSRQGSEENQKPSPKDELEKVSNGKASHSRSES LVKDKHESLRGGSSGRASLEVPGTHPRSSLEDLNTTAPNSPVSKATRIKNAFIPSIGM DLSSRKTRQSSPVRKPLARMRSKVRPFYDRDHPSHEQADDDEMLFGVSSSSKDHARES PETPDPRRRSMSPVKKISSRATDDGNSLKKTASIRKGKGEDSGIRGLFKARNPVSRVS DLLFKKEPSAMGTSSGFSTDESDVEDARLKGDSKGSRDSSAGLPLDDYEAASPQKERS SYLRNVPVLPTFTSPFERRGRSTRAKVNEMSPEPESSTSDKRSRIGRKVDAPRIDVQN ASPTSSPDSHPNRRYDRDSSVSDIDSRRGSMSNGVQMADARLNSILGLPGKRRNALPI TGLSNLETSYDTRPSLEGKRQWSISDRDMSSQRGPMTKREIARVRALLLSSGIKAKEI SRRAAEPKDLKKPDESAYNNLANLAKHPPPPVPKSQEHRLAARIISDNIQLSSQLWRE SADTFVNETVATLIENIANLQHRLVDNLTPMTRTAADEADEVSKDLVTSQTLKVKRIT DTIDKMTRRRRRRFRWLRRGGWVLVEWALVGIMWYVWFMVVIARVVMGVGRGVVGGLR WLFWLS LY89DRAFT_552214 LINTTASLEDFLEMFDEIEEGRVSLFIDLEGFQHGRNGTLSTIQ FTFAHQPDVTYVVDILVLRERVFGTVGEHKKSIQVILEDPEIYKVLFDARQDSAALYW EWDVKLAGIIDVQLMELADRGRRRRYLSSLEKCIKKYVELTPEESEAWSATKHACRKY SANEYGLEWSLRPMPEYRLDWILRPMPEILLRYAANDTTFMPALYDALQYNLEGAG LY89DRAFT_679151 MPHQTKAEIVVTPFANEEKRRNFGATITGVDLNNLDEESFQALR EAVYRHSVVVIKDQHGLQPAKQFELVRRFDPEATPKHGFGGKGKGAKELGEALGKNPF YVIPGSGGVTIVGNGYQGENHYGLENVTLKAMSHVDYHDDPLSAEDFENGQARFNIFH FDGIIYGAHPSRITTFRCVKLPQGPDLTIRWDDAGTERVMQCQPGCTAFISGAQLYSL LTDNEKMMVDNSFWEPAPYPFAWSGSRKIRSGGLGLASGGKTIPLDELPEWTSDKVHK YPMVWLNPVTGEKSLQIMAEVVRKVFLKETPNGVQRTVEDEEEIRVWLNGLLDRIAKT EYILVPRVEEGDMVVWNNWGVIHSGIEYPLSYGPRTAHQCHIASSTAPVGPTV LY89DRAFT_703179 MVLKRKRSDSDMSSASSLLSSPLSSKIMPIDQFQSYPNRIPTPS LFPSRTRKRHRDNRPSEAEVHQHTLSLLFSAQQSPQPELQPPSAFPSQEPISSLPTTS QSRQQSSLHSFWAIPSTRQSSPSSNSSTSAPYAPAPASSLSNVFEVSNCEDCNAALNP TGDSDAMDVDMMMDIDMNGGNHACTSCGKQVCHSCAVSNLGAERKCLNCAGRKQVWVG GLGWMDQE LY89DRAFT_776084 MGNARPQRRDLEYGYPTIEQGIQSGQVSKCDSLEILRYGSEFRH SNERLDGTSLSAWLSKTFPTAETTADDFRGGLRLLVGVCRLEPLSGKLLLPFTKDDFE FISQRLQLPEQFSQSMQARIPCAYKFTSPTNVVGKASEPVICYIFKFGIHRPSYYHLV ISYCPSTNITHALLLSKTSDHTFESIFRRIELFKQHITHPFLLPVLLSEICLVSSENR VQLSDDRLNGLEELMGQHEWINRPVGDPLKLDFVTTTRALNFTSRNLGVEKMRVQGQL LCLSNLLEEVELLHKSYPCSEDTYTWMKETIANHRNTAKYLLVRTDYEEKRVQTQLAV VYQFMQQKDSKVNIQLAETSATIARESKKDSSAMKAIAVLTMCFLPGTFLATIFAMPL FNWDSNDGPGIKGGFRLYWAIAIPLTVGVLLIWIISMTLPWNKWIDGLLRRKRLRSQS NESGEMTGLKND LY89DRAFT_726918 MNVLISILSLFGFLSNMTLAAYLPNGSIPPRSMGDMTISKMTFE GSIGDYKVQLNGSIQEIHAQMKAIYPDFDPDTAISNQTLDIRHLGKRSKMQPPLCYPV QDWGRAETAPINTGIRYLNQVQALCGVGANSCVRVSCSYRAAIYLCNDNDYGITPTCP YIASYAQDIINSCNYHRPGGNTWDWSVCGQEFDTDNYNVIVRWDNSDGC LY89DRAFT_744404 MKFTTSLLTLAGLASLAIASAIPGTAELPSCGYKNYPTGIMTFK GTIDGHQVQLNGSAQEINTQMTAKFPGFNPDALVAAKFASRDAAVNLFARNKSPPVLC WPVAGQDWSFCNAATIQDGINYLDNFDGLCGVPGTTCVRISCSYNAAIYLCNDNAYGI TPNCAYMASYATDIINDCVFYDDQGNAYVCGQEFDTDGYNIIVREDSC LY89DRAFT_4702 MDGLMHLYLVREWGFIEGIYPYFWVRDGIVMLCMVCFPFSVLAL DLVESKNEVCVEVCQYPIPRNTTNELNGLAEYHIKRILLPLSVKASLKSHHPLTFTGL LY89DRAFT_4701 MEWGFSRRINTASLLSGLGNSSPLFLHSKLSLLCSSVCHSIIVF IQFKIFLLQFNRDTASHSQINQLASSPCHPSSPATRIAGPESYNASARQAAASATQSW LSRCCSLQRHEILKFPSFF LY89DRAFT_679155 MRRVRERPGEKPVPVQYVQSAGTVSASIHLGGLYQAVSITTPLL KVGVQSIQSKQANRSKPMDHCASI LY89DRAFT_565525 HQPCESFFVEDAYPQRSSAHEYAKIVGRRKQEAMANELSRQASE AYLEDIIGHMKHMENETLPDVASIDIQQEIQWFMRPYLIDFLIEAHAAFQLLPETLFL AVNLLDRYCSKRVVYKRHYQLVGCAALLIAAKYGDRKERVPMIRELKSMCCSLYDEDM FTQMEWHVLNTLEWMIGHPTVDSFLQIAFNDRPAYEDLEVEHMSWYLCEIAMYHKDFV SRKPSVMARASLALARNILGRPDPFDGKDFEVSDVIVKLYSKVRTPSQVLFRKYATPH MSQVAGILEHTVQQQEAMNRRASPPTPPCEIMQKPINTVDMYPNTPQKQPYGNVVNGY PTPPITPEGEYFVNENEKYHQAPRCPITPTPSGNNPFVHQQ LY89DRAFT_4848 MRMQLSQPLQKLVNQFENNTKQASKSPHCKQNIPLPISTSPVPV ARKLHLSFLPSPLVAWLGKLPQPPSQATKQTSDDLSPSHLSFPRHLCYRTTLSLEMDG WDEKGSGWGRSRSFDVTVHTAGVCGVQRERKSIAQDEGRKREGRYGVVVHGFR LY89DRAFT_726923 MVSTRLQTGAISTRKYTPLSITLHDLSLTSPTSPTRPNNRKNGS SSPTKILKPVAGRKGSLKSSTAPKQKLKSAAARLTSTELELLDTSLRHSTGKTRETVG PFPATPKAKRSQKLRARNAQKVPADMCPGNAVFPSAIFGAREEVGLSKIGRANKEVKL WEEILVTHQENVPDLVPIVEERLQGAKTRRACLDDKLLANLQVGDMDPAASAIKARLQ ILQYGLDTSKFKPESRNIKCAMAAYKSGAIGYQGYYTIIYAGHVVDTAPTYGDFVCDR TERLDRYFAIHGEGWMWYEPPLKVHPDSTPKALATATLPRTENWSGLGAYYVNQGFWK RSGFVRRMAQTAQNMQSNLEDTFVRDPVDPMKVDCQQDGPRLCYRSMMDSGATFPTLH NEDLVALGISSQDYGAQSMAMVQTAAGPSYTRMWELFVCVLDENGKQLVNAFDAVYPL SHHYLGGLCPVMNSLTNLQYDEQGIEIPARLSGMVPFTACYVSSTPTRNYMFLGEDRN DVLGSHRMPGQKKWAIEMDAIEPGMPFDRYGNPKTSFNHRDGLITDVDHPNMSHCSTI TFLGGQPDETVIVSNPSNVQFMNRVSSQMAAGSGP LY89DRAFT_726924 MSNPGPTGPPAWDFKGHPQPPVDARLETAENFLADEDPDRCLEF DKKIDEIEELLAQIEKDKLPHDAERLNNVKVMVQEHRDWQKGVDDGWRDTTCTDLPPR NPYSTRLLNEATSTIIEDEKLALGLIGQMREDAPPFTVERETDHNAFLDAMKNDSVID PNTIDKATNLLYTESRAYNWAIKDPAFIPLWMHPTKGIQKKGTTAADDKPWYKVPELP PHRLRIRPERGTLPDYLLVREERINALLSSARRGSTRDMVELEDLLSYHFPNELKRLH EQYTSFLASAQVTLDPATQPNRPLTPLEIRQQTAVKTAYENAFIAWVTTFNDDGVYLI PRKPQHQTETELPGVFYVDDGVLDDDRTETLLAAQNRLNVIRANDNWQILAPAEGRLM EKLVRDAQPQNVQDAEKARDDVLRSNGVEELNQLPLAERTRWNTLDATAAGLRQAWYA AAVTAGLQLEFRYLRPGEIKEADPSIIYLPWSMTAMPNTDEPRLGLPMSAEVDRTRLL ISTKLANNSGVNLTLGSNGDVEGQDLLMQLAYPRLRRLLLPWITIRNKRAADPQSLTQ LDNDTWTALNDVVTTLWDDWVRSLKPEVWNIKMIPAHRPESPDVLYWRPTTITGNPDV TMRRLERNINRWLNSPVPPGPFRAWANQIRPSFNDLLYPGLRLAYDKITNLDAQTQAL AQPQRDQKIASLTDEANRLFATWVSGLLGHRISIKRPQPFLDPDDDPGILYYRGALDE ARATKRVRDRMDLTKTAVESLKGLGAFSAVAGVGDLIPPYIKHLQSCVKANPTDPVEQ AVLTWHLEYIRSGPLIYEEGDGAVNALDVWQSLASNEANNASNSSNQSSRLSSIDGSN GSNRSNRSNLSSSSGWSSSSVTGSSEPEDGNLSTTNTRNRTQPSCNRLPTPPGSYTSN SSWSSSGSITSDYRRRARRSNFYPVCEVPNTANGFFVHLPTAQRTSGPHPTLQVDFDR FRRQIAFAERELNDLLGKLRPQYELPVVPATPPVKAPTPEVTADRDRLNGLLRQFLPP YIVRLGRRNSWFLDYVNSIPDNGTALQRSRKQSAQEVLSRWQRETTMEVNKWQQELII SSRGVYISISTNREDNNLGDVLEFNPTLTGTGNDFRVTAPRNLDPTNNPLYSSALTPH DPDPVPTPNVFAVEQPFRQAFLPRLRNRINELLQMRATNTQLNREDAEELLDKMIGLM PQNLSKLEAELWAVEARVRRPLVAGVAPSATDVEDADQWYLLNNRFITAYDHWLDSFP QAGIFIQPDKSAAINQAAATQAPVFIEDPDFWRYWTPAAHGSTTPVETLSLRRGARSR QMPQHVSRLLATLNSYFAGTRPVPVGEDVNLLLAPLYRHYGQRIRDRIHTALGVQPNV PLLPEQDVVIETTEAIAFSLWLLDMKVAARNPGRRVRIVEYSPGRFHMYFGLALPSLN GLASPYPRGFEQETRRFATILFDRVWQQPQFQNERVAFLEVAARVRTHARLRSNELNN LKAILTTVLSSTSSLAYQSLVTSYINGSGNARQIGTAVLATNFMQLLYWNWAHMYEHI TKPATQLAFPTIDALTNRPLCGHHPPRAVPIIASPTESEIRSVEIEINNLLTKMRNNQ LSARQQLELDYLLEALLPPALKTLKVRLDRLWEDYVQLPGLSPNDTYQCHLYYKEWAA KFTEYKQRIPRSGIFLDKWWYVDTAIEEVCSRARQWQEAELKDVVPGEYPTTSVTLEE DAVRNWHDLYLDMRDYANTFDEDPAREERLLFHALPADFSAAKAELALFKQKARDAPP ATTTVALLDTSQVVDVMRKFLQAWTEWMVNLPAIQLATLRQRVRDNTSDIPIPPGTDN TVGPRGPRNRGGVNFSSKAERRGIQRAAVELALNILASNQEQHRPLDIYRILDLPKEY TAPDEGVPLCLPLDPWNRPVELDTFEYTKKLTAFHQAKDEEYSLARTREHNEHPSELP VPANHNGPFAGADDGDVEDAKREWLRELATVSLHLQHANLDAPRPLLQEILNCLLNGT AQDSAHPLNRGPPLTRNDVLLLAEIAEPSWDELRQEFPTLVSNTPNYQELLKRQEEAK EFEAELDTMDWQNRTWLPAPMALANADPLAQPIYDPLLATTQGYTITVITQHINTARI SKAITDGLSVAPSLYSEETAVTHLTQLQVLRRIHMNQAVNNRRFEPFETLVAKIPVNG HPENKYITSRAAVRTSAGVVFDAVKSEYIVQYDASGKKGPPIVQPNKYEHLRFIAYRI GRDTQAALETRAAPTMTEHQLLNYQWSAIFNTQQRMFLERPRQNAVRVEEMALELNKI DPLLAVENNIPLIRSPPNPPRIAETPAAMLLQMQMIEELSNCWEPRFPQKERLWEFSN ERWAPQLRTIQGRKVMAKRRLTRFFDVRRWPPGCQDTVTRTAIKNSGPEVQEKPNPQG LPKAPKVPKGSKGPKDPEGENAPVPVLKPPTVQEQQVAATKKQARHLMGQPPHFPFGE TPYQQAYQSFLMEWDLRDVPEFQVPPTPRPGLFGRNPSPEPKDPFALPNVPSDWQPDS ISIAEKRAQLIEAAKQTAGFPDANVLEFYHTPEEIEAMKKRDAIHLRNAKIKIAQDAI TERVGKQRFEQVTPAEYNTACLDLGIDRQTGMFMTTLPLPPRARTPPPPLRDVTPQRT PTPPAAPSRSPSSSTSPPPTPKTRWPNLGSLFGATPPLPPAPPVRPPSPRGGAVGEAA RRAAEKVADDAAKVIRDAKDKQDAIEAKKQEDRDRKRRGLPPTRTSPRKKNKP LY89DRAFT_726925 MTLPKRGGRPKIPWDASRKRKLARLYLMTNLRIVDIQQMLKSNG FTPGKRNVHEQLSQLFPDSEHRWRQYRPTGTDQGIHRFAQLKTWREHQKRRHDKRIAR SHASVMKPIQPIQSDYVLEEVKSGVLYDPLQHYNHQSTENDACIFPGYSQWYSSNAAS AGNDPLAGDLEGKCFSNKEVDLSDNVAQKAHVNIADLSIPVAIWGEIETFSQSTNSID ETTVAPEEALAQELPKTDNTPVVSRSSTRASRMSGTSNQGIGNRVSSRVSRSLRHANS LMSATNSWISGLTHMSSTSTGRRSTLSQSTTGNEFVNWDGLEHSPFSDERLHCPEISF QKRPCCQYFAQIPAPGIECSTCGTKEVHYRARSEEDYIIASMDVDKFGNTPLHHAAAV GNLSGIVRFLETYGKVSKTQSFSRNTSGETFMHVLRLSKSEDFDKFLDLLRLVDSHTS TFSFSMIDYHGRTVTRAFLSYAADWMVDADKVCQAQHILEPSDPEIPSFVLRNIEMGK KVRVGDRIMPADAYSETPFLVALRSWTEQLHFRILLQKVRNDFDLHVRDSHGHTPLAV AASLGIYDGVDYLLKRGANPNSRSYRGTSVVAHATTHMWKAQKDGHTALYAKILSCVV LLVDHGATPLATAYDDFFIRRAPAPPPRESKKSIRNTLFGLFLSTGFKKSIDKSKASS KKHRQLPLLETVSEASDAVKSSQSAQNFWAKHSDSLEPSTDLVSKLGDIIWERGGITN VSLHTDHTSSRDDNEQEQYHSPFDLQQRSSTIAPELEAAVTLELDSWRGYDEQFEIGS LY89DRAFT_679159 MTLMHSSTEPTLPADNTSNNNNDPFAFVIVSHPSRGKDAVLQKQ VRRHVAYRWHEDARRRSSKIVKRQPRALAQKGQEPDENQQLCTVQDVETTNSGAEDSA EITTSETALTSPRTGPGLVGHLGSGRGDPFNSCAIRISTMESFLLDHYFQTFAAKVSA IYSSAESAEVQLSGMPREWVSFLITDTGILAGVFLRACRTLSVVTSKQYLGKLALKYR ARCIEALSTKIASMSTALSIEAIAMMLMLTTDEFYMGSATSMRYHVNAMQRVVKLKGG LQDTALEGIILRLIEWNDLQCTLLARNEGQPRRYPAVDILTSGFPVFS LY89DRAFT_712846 MDMDGCHCNVPVLKPNVESHRHGKCPIKPCSYQTLPSETSIRLL KLHTFPGTNHSCEKDLFSPLKCSLVTVDLSDNPAYAALSYTWGDPKIYYSSEDEIVAQ SDWYCQCHTMEIDGEEVTISTNLYTALISLRYVKSAARYAEHNNVHEDCIYIWIDALC INQSDVSEKSSQVQLMGRIYSQSLHVLVWLGGADPISDSSIVLLEMLIALCLPTRNAD GKYIGLELLPEMALDDPRFYEILGLSEHISIQIWSRLFAFLNRSWFRRAWVIQELGLA VKATFICGLHLFNDAHLRKWILLLSDMKILSKLEDLEPLLVSGHELTEHQLLQRASWP TTSSYSLYKSQDVNAWDIDMFMFLEYVRQKRKESKEISLLDQTVKRNAAFLPISQTIM LYRSTEASDPRDKIYAFLGISHGGTVPIPQSLAPNYSLSPRQVYIQWAEFMISSDTHL CLLSMVEDHSVRKMDGIPSWVPDFSTECRPVPFRRQSPLPFCADRGLGPMLAAFSEPG VLEVRGYKLNRITATAKSSDLEQGGIKAIAEFLSALPQGMMIKQPNTPLQQGSTTVDV DTYMPQSRLDFYWRTLITDRFTKEQHPAPQSCGQAMRISFRRCLSQTAADLIHNSKTG DEFTQRWQSYMEDNAAWESLLLPGEITPLQERLAEANSAARETQTSQLIVLNEDEDFG PNTPTELLANGQNLPWEIGLEADYMYRKKVCQKMRKLVIISPGGLGLAPDSVAIDDEV WIIAGSQVPFILRPVGENRYKLVGEAYVHGVMHGEAMEGVDVRYLGIRNILLV LY89DRAFT_633581 MVKLNLVKSSNDELVSKPLVAVFVGATSGIGAYTVRCLAKTHGK TGKGLRVYIVGRNAKAAESIIAECQKSCPAGQFLFQSANDLALMKEVDITCKQLIKTE EKEASAKGETPRIDILVMSHANFKPWDPRNETSEGLDTFMSLLFYSRARFTTNLLPLL LSSSLPAHVVSVFGPGRDASFFPDDLSLRDPKNYGFMSSGSHAAYLKTFFFEYLAAKY PGKLSLCHYFPGLVLHEGFDSGLNDPSFPWWFKASIKYLKPIMKLAPSTVPCEESGER TLFNASKRFPPKSVDGKANIAAAGAIGVAESSDGVLGGGSYRVNYNGEQVAIGKQYKK MREDGWAEKSVQHTLKCFEDIEATGHFSG LY89DRAFT_703182 MTLSAEQLHVLAIVERSASVLSILGIFTIIGTFCFSRQFRNPIH RIIFINAFYNLFDVTATTISLSGPRAGNHSALCQFQGFLLQMFPLADVLWTLAMACDV FLIVFYKYETEDLRRLELKYAVGITTVVFIPALTFLFIHTPEKGPIYGSVTLWCAISP KWVLFRIIFYYGPIWLIIFITFILYGLVGIEIIKQRRMLESISNDYLDLDTMGSAADT LHSPETIDAEMAMAAKEARGSISITNSVPHMSPSDAIALQNTPRSRSRSPVSFRNYIL MPLMFFVVLLAIWVAPTTNRVASLVNPGFVSDPLLLAVGATGSLRGFWNGVVFVTLGA KERRRRNTMKNWRG LY89DRAFT_662666 MDEDSRTRLCEGCQKISVDELVSDSGLTHNLLPADCRFCLLLLQ ALQNCGCGAEWKVQDVESTQQVWRAEMKHETHFISAQRWTNPVWGDKLWEGPVPDGLV KLWARPLQQARRLIEKLQTELESPVNTIIEERIEGITVCYGRTLVMNNKKWPKSLYVI EHGGNALQAAISPLGGTERYVKNLEHNKVHICSGSLVFTATPGSSITALLQYRIPAQQ TDSKDNYDLVKSWVKNCTDYHQKCNHPSSVKARLPSRLVDVDGDGKKHNIKLVETFEG SASSATSASQSYIALSYCWGTTSSKGDFEAGEKYRTTFQNIERRKAGFSELDLPPTIR DAVTITRRLGFKYLWADALCILQGTDPKAAEDWASEATRMHQIYGNASLAIAAASAAS AYDGIFDLFNYRSESAPVEINLWSSHPQIGQGSAFSKLLISSDSSDMPLYHRGWTLQE RILSPRVVTYTCDQLLWECQTTTLTQRGLPMESLHTSRLPDNCSVGELQDRWQVLVTD YSARDLSFSTDKLPALSGLAQAFQAQLQDDEYLGGLWRSSLLDDLLWIHRPVDVGRRT VQGRPSEYRAPSWSWASVDGNVRWLYADANPQGPILGKSN LY89DRAFT_776094 MSPDHLESWVEQQYNEIGFGIGGGGPFIGKSALKQKLINRYPMH KQRIRQLVNAIKHQDQPRSVQASQSSSPATAISSQDRKIAQSPPTSSSKTTLQYAARP LSNLVPATAESEMSPTGSPNSDSGFASFSSDTEHQNENGPSPRNAPTMNFQCHSPKSE DGGATLEVFLSSGSWSKTITKTPEKMESLAQSSSNPKILELKQFISEAGNLDTAPQGN TEDADSILRLQLALLNLPSGEEGTIEQTLIDNVKNAVLFSADVLGRFGQNVLPQYGLL GSSDQTPSTTASDSRLFLNTNVPFSAFVCGVQGSGKSHTTACMVENYLIPSPILGVLQ KPLSALVFNFAEYSSGASFRPCELAFLASPGSQLPGHLGVKKVNVLVSPSNYLSLKKL YTQIPGVTVQPFKLRPKDLNISTMLTLMSVDQTQATPLYMSVVRKILRDMATRTAGAF DYREFRRRLDGAKLDKKQKEFLNQRLDLLESYLDLVVSTTSPNFAAGEITIIDLSCPF MDASTACVLFKIGMGLYLESDSTTGKLIVLDEAHKYMTDTIASKALTESLMGVIRQQR HYGARVIISTQEPSISPKLIDLCAITVMHRFTSPEWLDVLQKHILFLDKDGEKDSKFG LLRDIMSLRTGEALVFAPTARIRPSDEAGGRGVDADALFKMRMRKRVTWDGGRSICCV LY89DRAFT_5225 MGQGQSSDNGNHPTTEQLSHELALKFAKRCFTPLELYSFQDVFK SLADHQDLISYLKEDTIARFLEIPDILGASSIIFQMVSYLGAFPFGQDAPVVLGFEQM IMVVVIMTQRYQRVLKKGNRDRTKLLFRSLAVYDRGTHHEDEKPEEHGGNTQEDDDDL ALAALESLDAIEVFRQGDAPISQASIPTDNLKKLIMLLLLIAPLGIQESLARHSERLA GEQLEGLRKTADNILAAFVNVEKSPGVKIHQFNTVIPISFPYLFNGFNPLFEHFLFSK NIDFTKRKDSSPAPLPLPSPMDQPLLAQTGEILDLNVLSQLSFFLPGEKLFRQLRLLY SGGDAGFSMGSFETKVFNWRAPTILLVSGNRISDPPEGGQERAFTDRLQPKRFPDSSK STRVVYGVYLSQHWHQTHKECFGETDTLIFQLEPVHEVFHASVINKDYVAFTKPPTAH SGIFLGCPHPKAKQTAGLSTTVSLGAVSLMLDSSFEFGVFTHNYTSGGGAFHNSQTRR SDFQDRFEVESLEVWGCGGDAEAEQQRQRWAWEEREAEARRRVNLGTGDIEADRALLE MAGLIGHNRSGGSMN LY89DRAFT_776095 MSNNPLPSSFDGNSDFYEENRWQKFSRRLKEEPLIPLGCVLTCM ALFGASRSIRAGDHNRTNRMFRARIYAQGFTLVAIVAGSMYWQTDRQKRKEFDVAVAE RKAKEKNEAWIKELEARDEEEKELRELRRRRAEGRQAIKKEEKILEQVDSGKGASSVV EDEERKKSVLESVQEMVWGKK LY89DRAFT_679166 MENGTKTNGDSNGDTFSRPRTPCLKTFSLTEYSTNPSPPSESPK KKVHGIIPDEFMLPNGYPDYLRLILTSRVYEVVEETPLTHATNLSNRLECKVLLKRED LQPVFSFKLRGAYNKMAHLDPKVSWKGVVACSAGNHAQGVAYSARKLKIPATIVMPKG TPSIKHLNVSRLGGSVVLHGDDFDAAKEECGRLEKLHGLTNIPPFDDPYVIAGQGTIG MELLRQTNISKLEAIFCCVGGGGLIAGIGVYVKRIAPHVKIIGVEAYDANAMVQSLAK GERVVLKDVGLFADGAAVKTVGEETFRVCMDVVDEVIQVTTDETCAAIKDVFEDTRSI VEPAGALALAGLKKYVAANPSADTSRALVAIASGANMNFDRLRFVAERATLGEKKEAL ISVTIPETPGAFAELIKAIMPHSVTEFSYRYATDAVANVLVGISLTSPASQREAELES LLSRVKAGGMTPTDLSGDELAKSHIRYLVGGRSGVKHERIYMFNFPERPGALEKFLQT LRPRYNISLFQYRNAGSDIGKVLTGVVCPDGELKELESFLNKIGYPWEDCTDSAVFKT FLRE LY89DRAFT_679167 MRLLHQTCRTYASQSKLPPRPRRLNQTISLDHVHLPHPPIALLL LTNQFLQRGRALALWRTIIRDCRRISDPNTRNETLGFAREEFRRNVEVRDIVC LY89DRAFT_571810 MKDHIDIANSKTSDQFITDYLPSSNQWRSWIHPATKAEYQITLQ TAETLPKTNFDACFNLIECTSGEAYRKSKDGWKPRSKRKEMRLLDLKYLLVKLDDQVE GFLSFMPTYEDEYPVIYCYEIHLSPALQGSGLGRVLMQYLEVIGDKIPGTAKVMLTCF LSNTRGVAFYQKLGYAEDEFSPQPKILRNGTKVAADYVIMSKNISR LY89DRAFT_679170 MDSKAPGQQQPMQYTEKTVTAGGQEWNNGLFDCFSGADNLCLKA TFCPCFVYGKTQHRIRDPSLAGYERFNQDCLMWCGVQSCCGLGWIFNWMARTELRNKF GINGGGCGDCMTAWCCTCCSIIQNEKEVIGRQSGAAAGGYVQQAGMVAQPQQY LY89DRAFT_703188 MMVEIQSITFEHHRESLGIGETNPRISWSFTGNAQNWIQSAYEL EISHGSHGSIQPEKYRVESSQSVLVPWPSKTLNARDTVRVRVRAFGTDGSTPTDWSDW NSLEVGLLAREDWQAHVIAAPRTLTPSKSLRPALFRKEFRLEKPIRSARLYVTSYGIY EAHMNGKRVGDHVLAPGWTAYKYRLNYQTHDITDLLKQGDNAIAAEVGEGWYCGRLGF NRGWRCLYGDRLSLLAQIEVTYQDNEIMRIGTDNSWKTSVGPIISSEIYDGEAYDASL EIDGWSSPVFEDHQWSGVEELDFPKAQLIAPEGPPVRKTEVVKAQQVIKTPSGKYVVD FGQNLVGWLRVHVSGPKGHTISFTHTEVLENGEAATRPLRDCKAKDSLTLSGKPITWS PKFTFHGFRYVQVDNWPTAEPKLSDLEAIVIHTDMQETGSFTCSDPMVNKLHENIRWG MRGNFVSIPTDCPQRDERLGWTGDIQIFCPTANFLYSTTGMLSSWLKDLAVEQIHDLN GVVSVIVPNILPKGYTMPQAAWSDAAIMTPWDLYTSSGDISILRTQHESMKIWLEKGV ARQENGLWDPNGHQLGDWLDPAAPPSEPGNGKTDPHLVANAYLVHITHLMSLISNVLS LSSDATHYASEAERLKKLFQDEYITPNGRLAPDSMTALALAITFNLFASPTHLTSATT RLSTLVRTSKFRIATGFVGTPLILPSLTKVGKVQLAYKMLLQTRCPSWLYPITMGATT MWERWDSMLPNGSINPGEMTSFNHYALGSVGAWLHSTVGGISPLEPGWKKVLFAPRPG GTVRTAQARFLSPYGVVGWEEGKKKFWMRARVPPNTTAEVRVPGREEVVRVESGEYEF RSEFVEEGWPPKAIYAPFAQYEDMDD LY89DRAFT_604130 MESLAQRPTVAEILAHPSYPDTIWKLTPTQSGYLPVAAGRGGPF KINWEVHGSGPIKMIWIMGLGSVKTAWQRQTMHFGHENGEKYSSLVFDNRGMGKSDKP LMRYSTSEMAKDLIEIIDHLGWTAERELHVSGVSMGGMIAQELAYLIPERIASLNLIS TAAAIENTTTFLENMKTRVSMFLPKSLDRSVTDAARMLFSDAWLARPDDTIVPTSSTP NVVLPPSGKYSMFTSNYERFAAQELSKRLDLEGFQKKGFMMQAVAAGWHRKSAGQLKE IGDKVGRERIMVLHGTGDNMISVPHGRKLIEMLKPGTAVIREGTGHVFMLEEWQFHNE MIEGMVEKGEKGK LY89DRAFT_776101 MADESKIDDPATRQEAAAEVIKEAKEAPPTAQVDDEDEDEAEDT VATGSPASGAAAKKKKSKRKRIKNALTGGSSGSSEASGSSQSDISKLMNGLSKDQLTE LVKMNPGLAQQLGVGTGGDLTMTKEATAAMKKMSLEEIMSGLASSGKNVKDMGQYKFW ATQPVPKFGESSEKIVEGPFKIIEPEQVPKDPGPLVDGFEWVTMDLLQDGELEEVFQL LSGHYVEDDEAMFRFNYSKSFLKWALLSPGWTKDWHVGVRASASRKLVAFISAIPVAL RVRKKVLKASEVNFLCIHKKLRAKRLAPVLIKEITRRCYRLGTWQAIYTGGVVLPKPV STCRYFHRSIDWQKLYEVGFSPLPPNSKPQYQVRKYALPDHTATKNLRAMEEKDIDGV LELLKSYLARFEMAPIFTRDEVEHWLLYKKDSTDEQVIWSYVVEDPATNKITDFFSFY CLESSVINNSAHKLVRAAYLFYYATTACPSLTPSSTRTDLSKRLNELVNDALILAKKY KFDVFNALTLLDNNLFLEQQKFGAGDGQLHYYLYNYNANPIAGGVNARNQIDESGSGI GVVML LY89DRAFT_679175 MAPLASIFKKAYYTLFFGIILYVSALFALTNPWLQRHALYVHKL HTAYWVDHNVPEQFGFAKNQITPFNFLTPDHETIYAWHVLPLGLYARHEAEMLRQPSG LSEDITQMKSFQLLRDDPDSRLIINFHGNAGSVAQGWRTDCYRSLSDGSTSNIHILAI DYRGFGLSTGSPTEDGLIIDGVATVDWALNVAKVPASRIVILGQSLGTAVTAGVVEVF AQRGVDFAGVVLVAGFSNLPDLLTKYSIAGYLPILSPIGPYPRLKKILRDHIIDKWPS TERLANFVRLSKRVRLFILHSKNDPEIPWTESEALFAAAANATTDGGMEVELFKKMKA RTTVDMGDGTFISTWKTGGDKIIREEIVAYGHHSRILTYAPVALAALKAFDFDG LY89DRAFT_703192 MERKRKLPARAARVESASKKRSSTPPQQPAQTQIPTLPPTQPLV QEILPQSIAPGKPLPTIDSPQPENLPEDTFQTIAESGVLSESLHRSRQKWLSEGIFEK YWTKPTKRKGAPEAPPNNPPKESMTKLGPVTISADPHSFEATMFAVKAAPLQSAIHTS QQPMYRPIIQYGPPGGMMPPPPTPAPILQKPNPPPQPAPPVSPRNQDVQMANTNTPTG SITPVGAQPNSSALNGRPSSQSTSNPQVQSPINGHTTAPPPPPSGRSDPVIQMLAERA ATDPDLKALMKTVANGEASPDQLRKFQAHIDELTRIQKLREAEAKPIQRPTQPPPPPP ASAVPQTNGHSTARPMQTSPVAPKVAPTSISHPAKPEQPQPQALRSKGPVPSTKPDIT NVVFEFAGGNGDRYQFPRHSILEYLPGGQVIASFLIVRKGSSADSPSYDPELDYYQPI TIRLFAYQGRQLEALQKVVAPPEEVRRYMDDVMDNATRAEYVLLAMRLPKDKEQTPPV ESIEEAEKGDQINHQITPWATTTSTPIPKAVKPPKKFVSEEEQYQAFINTVTPVL LY89DRAFT_776104 MDERGPDQIRLASESSVTTVEKDSQDSGFIRLWPAAHDISCTEF HIPNIHTLGADLDAAVQAVWPTRHQSRYTRVCALLVSWEDDDLGVWREVGPLRSLLKD KYNFDVEEYRIPSVKTPDKALKSRVIDFLDADEDDTLFIFYYAGHARRALDANNSTMW CANRRSFETVIASGGIQSMFEEAEADVLLLYDCCYSAAGPITGSGRKRHVVEAIAACG YETIAPEVDKHSFTKALTEMLARKLEGGSFSVGELHSRVLSKLKCWTPDLRTDEYGKF LETRDGHFIPEHQPRRTPIYSIICETEPRRSILLAPLPKREFSSTPSASDGPDTPSSS SPGPVPWDIPAPSTNLTSKKRKRNAEHKAPTAQILLAIRLERDALDIPQWTELLRNLP SEAIDIRIEGVYQSFSTLLLLRMPVAVWDLLPQNPAYSFVGFVTSDNLSHRVKRTPTL SVPPEECHSVHSASQKSEDEDEDVRHAGQVPAGRRTPCDTEILTDVEDTPRGPAKRRG RPYNQASNRDSELLRTKNPPVSPPVDAEASQTSTSSTLIDSDPIEFSQISTPIKKNGK RCVDSPRSPSSVASYGWVQVWFCCQCDQTDSDPAAAIGMWESNCANCGHSRDGGCKVD QVKVYESR LY89DRAFT_5804 MCSSMTRIPASRFCQTQLQSISMSPSTSMRRIPISRALQRSFRP SLHPFHKFPSSVTAMRGIGSCHSSLGFCTSPQLRSGRKDKYWEQIFDPSLILKVINVT KSIKAETERMGWSDKESVDAFEKGMRKQGLGDHFELAVKFILFTKFWGALVVGFGCWT GLSWLGSQANNEAGSCETMGK LY89DRAFT_5576 MSAAKNVALVALGALGAVVAVNQLAKPAKTAGIANAMRQQLDEY NLNVQPEQDPNDTIFDRTKILNDVKARKPWNMSYAEKERVKREE LY89DRAFT_571959 HTSVAAQNLCAFGKINTFFQTGQLPGTDNYCPLEAGPWNITLPG PLSSFNDKRDIKESLVKLKA LY89DRAFT_572994 MAILYFSSLVFLTSLITELVTASPLSSRSQDPNKQWTFSEIPPS HGLDTWYPCFTNFTCALLDVPLDYTNRDLGRSYIPIIRYAATTTPYKGIVLTNPGGPG GSGVEFLQEALGTGLQVVGTNYDLVSWDPRGIGASIPAANCTLPSNLKRRSLEPLTGP ELAPIFFEASYNQSVAIGIACKNSIGGKTQAGPHVTTATVVRDMISMIDAYAASEKGK SCEDASLLNYWGFSYGTFIGQTFASMFPKRLGRVVLDGVLNPDDWVQGTGQNLITFTD EAFSTFFDYCYAAGQTNCPFSTGTNPHDIYLRFESMVSKLNATYAYQQGWSNATAIEL LLTGIKQVIFAEIYEPIDGFPPIAQALVAVESVLPNLTLSALEELEKDIGLVITNPPD VTIPSLWERAVLCTDTGGIAYGKSLSEIAPLVSREESESWLAGEDLSGQDISCAGWPI TSDYRYAGPFYAKVNNPILFVSNTLDIITPHQK LY89DRAFT_726947 MGSLGVEQAINASLPGGIAEKLTSPASVNGDCEDGPLGYGFEDQ QTIAQLELIDDLQKLGVSQYLNLPQLVVVGDQRKSSVLQAVTDIPFSIDDKMCTRFAT EIVLQRTAPDHPTEIDVCIQPDPDISPERRQELLSWRPIPFDRTATLDKTMMQNIFQQ ADDMIFGSTVRRNSPKHGRVNRLSSSILKITRRGPKERNFAIADIPGLVRGNESNSEH RTAKNLVQNYLNNPRSIVMAVIDVVDLERQEIMQMIQQLPDEETRVIGVINKCDTKQL KSHDWVFDLLRNDPARSPHWLKEGWFGLRNRSPSELSISDRERDKKEDEFFAGPEWSS LQKDALGRHALKTALIKMRNRHVKRSIPDLIFEIQSKLDECLLQIQQLGEPRATNQAQ FTIVNKIATEYSKGAAGAVNGHYEELTLDKQFARKLIRDNLDSFKADMVARGLKIPFI TSKQDAVAVSKCTHESEWGPKLLENYSWVQACINNYRGKEDIGEVNSIVKSQLWKQQV ASWEEIASNALNLVERTVENVTSSLFEKVCSDESLRLKLRVWLQDDVQKAAENARIEL QRLIASERDGQLFTLHPMMVFRTQQLHQERIQAVLTECTLKEPKMSLQQAGSTPLKLG SIPSDLIIQSLLIGNAELAGVLKTHDSLAAYHEVALYRFIDNFALQVVERHLLGPDGP LLLFTSDYVTQRLYGKENEAALATLAGEDPTISQKRQDLNAKRESLEESKKRVQSFKI L LY89DRAFT_776109 MQTTLFLLGLATSALANVMERQATCNADNCLRGLRGTANMVPPL SSRLDDCSSFQLATVTPAPTTITTTVATETITVTEAAVTARAILPRQETVYPSSIPAY ATYCPVSSRYASACSCLGVTATTTTVGTPTVTISTTATATVTYTPNLYACSPADSASA CGGSCDGTCFPDVNGVGYCKQNRPCEGLTPCTADSDCPSDICLVNGCGTVCAETQYLC PNTSSAKFLFRKKSEGMEAGKRAMVATEKGMMEMDDI LY89DRAFT_726949 MQLTLQTTSLIFLLSAILSVHTSPVPANGNDLLDLSVRNENIYV ARAIDLERRQRGGAGAGGAGAKAAGANAGAANAGAAGAAGAKGANAGAANAAGAGGAG ANANAGAANAAAAGAGAAKGAANAAANGANAAAAGTAAKGAKGQGAAAQAAAAQNAAG QATAATAGTGGNAAAKAAKAAAKASAASAASAASVAATAAAVATDAAAATATATTGKG GKAAKAAAKASASAASVASVAATATADTAAAATSAAGTDLLALANASIAAANAAATAA A LY89DRAFT_712865 MDSADQTDTVPDIIQPKIIKNFEPIWKHGECTMVLNESLSTPKR IDLAFNVPDLLKQRRLLTSTEIESIGYTELESDKMYWMKTPSGRKVPCAMRISADFLQ KHNFIQRLAPLRAQLLTEKFKEEIEGLVKSKRCGTRFLMGLTAQPYSGSNTIQVGPVS KAGHKIGAQQFLKELTDIVSEITRLVMPDIVGTGKEDRWYQNASLTLGSRNNHLYTNV QLNYTKEGQKLEDGLQKHGGVHRDMMNDPTGLTALVSLSHLSEDYFAGRFNVTSLNLT TPLLPYEIILFPGRFFHCSTAYGPYAVPRGSPLRAPPPNLEIIPCLPKGEELFESKSH HFEWMMRFYIVNEPEIILCLGGEVSSKVGSGPQQLIEACRTASIETAFSTQQTSGRPR VGGVEHYTSLFTYTDTATNKIVIPNGEEANNTLNALSRSNTEFEKFSKDMRGLITKVG SNSSAIPMWSKCPATQGAAKAGGPSRRRRRTKQNRLRNVDPCNDDPESSKKESEIELL QHNPADLTNRLATVTSETANNELAAAMAAIGSILKNLMVHGMNQRSGVETLHNNVTKV NGERTGKTVGNSMLSNGKASNRKATNLKRQGTSDAETSTRNKKVKTGGNTRCRDANGR WASKNSSAKHSEVIETDDDADMEYEIEEIVAKRPTKSKGPLYRVRWCGWGQEWDEWKT AKELEHAEDLVDEYERRCARWEAEAQNRLFDGLDCMSSDDEDMESLDDQLHQLQVPIN ENGAAGGNIVRATKTSV LY89DRAFT_679182 MASLPPDLEAVFNEHPQDQEALLKAFMPVFCDHVRADRIFLQPR NPSTRVCKILRWRRTDSIPWPAIPGDIKEGQWFIEDNWENEDPLWRAALQLKPSIYVE DLEQAARDGILNLDFERNYMFHTALIHAHAHWPPLQTSASLSIAGSPNVEDRWFYGSV QPAVFDGPRVWSPEIRDLVEKCLVRIAPVMKAYGERAPPRSSFEKE LY89DRAFT_712866 MPCDHYSLQVPESKFEDVVAFLLTSLKHIGFKEFYRPIPTCVGL GDGRPYLWIQAVPEGDGLGEALEILLSKHHTHMAFTAETHEQVQEFHTAALKAGGKDN GAPGLRPQFGPNYYAAFVKDPACGINFEVICRAEAS LY89DRAFT_604147 MARDAQAQDSPHELTATYPTSPPLARDHHIFERDVEPTTTSRSR NDYTFPEGGTKAWLVIFGSFCIIAGTFGLISSVGLFQAYWQTDQLSSYTTRDIGWISA VNVFLNLFLGVQIGPLFDRYGPRWLILSGSVIYVLTLVLLAECKKYYQFMLVYGVLGG VSSAFLTTTALAVVAHWFEKKRGIASGIAFVGSSVGGIMFPLILKSVFEHLSWAWSMR IVALIVAGMMIAGNLCIKGRLPPRKNGGAVDLRCFRDARFSWATVGVSCFEFVLFGAL GLLPTYAILQGFSSQTAFNIIAILNAGSALGRSLSGYISDHLGRFNTMLLTLIWSLVV TLALWLPIGKQVVLFYIFAPLFGFGSGSIISMAPVCIGQLCKADEYGQFYGTSYSVVA FAVLICIPVGGELLPAVGGTAFVGLFGGILVLSLVSFLMARWACLEYRWQWIIKI LY89DRAFT_633625 MRLLTLATATFLISLSFAVPTPHNGHVVHEKRTHSAGLVRRHRA PPATTVPVRIGFSQSNLDIAHELLMELADPMSDNYGKHMSAKEVGDLFRPKSESIESV RDWLHSSGIDIDRHQVSPGRGWLKFEATIDELESLLSTEYHVYEHSDTEALHIGCDTY HVPLGVHPHIDFISPTVSTIQIKRGAKKQKRGETTKASPASFPPIVKPANLNLDPASF ASDASTIPCYTAVTPDCLRTLYGIPKGSLKHKGNEIGIFEDGDWYDQQDLDLTFAAIS PNIPNGSHPALEGIDGGIAPFSFDGFEQIGIESLLDMSIIMPLVYPQTAVLFQVDDLT ALETSSGFGDTFLDALDASYCTFEGGDDPTLDPQYPDTAQAPGAANGTGITYPLPEMC GAYKPTNVISVSYGLGENTFSFFYENRQCVEYLKLGLQGTTVVYASGDSGVSNRGECI VPSNATYNGDPGAFSPSFPAACPYLTSVGATQINPDDRTETAVAVADEEYYSGGGFSN YWATPDYQQSTLKNYFKKTPPPYNFTSYGNPYYNSSGRGYPDVAAVGLNILLYADGQP TFVGGTSASAPIFASIISLINEKRLAAGKTTVGFINPTLYKNPHAFTDITTGSNPGCN TNGFSAVKDWDPVTGLGTPKFSKLLDVFMALP LY89DRAFT_572632 MDSDTASTTSFEKMKSETSVESLVRHETWDQEKNGGEEVVANME TIALKALHVDDDPTLNPWTFRMFFLGFGLSGFGSALATIFLFKPQSVSVSVIFLTVIS YVAGNAMAVVLPNKGVVGRWFNPHSFNSKEHLAIVIMSSSASGAAYATEVLATQKLYY NIVPNAVVAILLLLSSQLLGYGMAGVLRKSLVYPTKMLWPSILPLSSLIETLHRDKSE MRKKFNFFWIIFGVVAVWELFPQYVMPVLTGVSFFCLANRNSLVFTNIFGGASGNEGL GLLALSFDWQYITTSSLFLPLITLTNSFIGFVICTAMYIGLYYGNVWQALQFPFLSQS LFSPSSNSTAFAVYNQSAILDVNNELNVAALEATGIPFFATTNAAYLLTTNLGITATV MHIILWNREAVNQAFTGLSLAKIRAYRPNLKFWQRSHANGGMEKLDDGTSDLDPHYKQ MLAYNEVPALWYIGILGVSTVVALFCIYNLNSTLPWWGFFIACLLSFLSTLFFGALAG LIGFNVPITSVIQLIGGYLHPGKPVANMYFVLFGANAQAQALYLVENLKLGQYGKLSP KCTFTVQIMGTVFGAIINYVLMSSITTSQREILLSLEGTNIWSGQVIQSFNSNAIAFG ALSKYMFSIGRTYQWIVLALPIGFAIPLPFYIAHRNFPKAGFDYFITPVICWYLGYLS VGINSSVMMYFAIGFFVQFFVRKRYPEWFLKYNYILAAAISGGTELLVFVTTFAVQGA SGVAIPFPPYWGNNFQKGNFDFCATNPALAG LY89DRAFT_5981 MSEFLLGGGLHPWITPVVLDLVNRVFEIQSPPLTVGLQFINISL GSPRMGFGLVLKETVADRETQCIIRLAVYHDHYFFLHHKGGFFGIGPMMRWLINGERC NVFAISGYAGLWDGNTDSIINVVMYCSNPCWHVACYFPLPNRCFPESR LY89DRAFT_633630 MKNDPEKQDLEDAHRVETSSSLETLVIEAIPSLGSHNNITAPST KSLHTVIPSDDAPAPLTLFQRLQRSQRNSIFQLTLVALLSFLGPGMWNALNGIGGAGL VSAGPANEANIALYTTFAIGGFFGGIVVNRLGFRLSFVLGELGYALYSGSTLAYKHIG SKVFIVAAGTQLGFSAGWFWTAQTATITSYPTDGERGKAVAVWGLIYNSGACIGSLVS LDVTRSDGTSVEIPRSPTWKEATLDLWKCLHREPHLVFIFPMYFASNFFYPYQFNTFN LKHFTIRTRAFNNIIYWLAEIAGNLITGSLLDVKHACFSVRNRAQMCLVILLLSTCGV CTCAFLWQQGAAPITAVSGKIDYTDHVYYTTGAALYAGFGLLAASYTGSLIWLLKPIC PNDENRIHLGGIFKGIQSAGGAVAFHINTIGIAPTTELAINWGLLAGGILIAAPMVFK KARSKEEFSNARSVS LY89DRAFT_633631 MAPQNALIVRQAAGPDHAVVPYTAEDFSRPAQGPANPFKDEVNS LKRKNVLTGYAEETEHRGGVAEKQEAARIRAKRQKKGDATVAEGDNAYVGPWAKYKAV EYEDREDDGEELASDEEYEEVEEEEEVIESGAVVPAMPQALAKRKEAEELGAETSVFE GSEQYDYQGRTYMHIPQDLDIDLRREPGTAKNYVPKKMVHVWKSHTKPIIGLRFFPGS GHLMLSGSADTTVKIWDVYHQKELLRTYSGHNKALSDVTFNTSGTQFLSASYDRMMKL WDTETGQCINRFTTGKTPHVVRFNPDPEHSNEFLAGMSDKKIIQFDIRTREIVQEYDH HLAAINTITFVDENRRFMTTSDDKSLRAWDYNIPVPIKYIAEPHMYPMTRASAHPSGK YVAYQSSDNNIFVYGANDKFRQNRKKVFKGHNNAGYAIDVACSPDGQFVASGDSGGYV CFWDWKTCKMWHKMQASEGAVTCVEWHPQETSKVVTAGLDGAIKYWD LY89DRAFT_679187 MMSGEAWLYLLAVLINAVNLFLQVFFTIMYSDLECDYINPIDLC NRLNTYIIPEAAVHGFLTFLFLINGYWLALVLNLPLLAFNVKKIVDNAHLLDATEIFR KLNVHKKESFIKLGFHLIMFFFYLYSMIVALIRDESH LY89DRAFT_604164 MGSESPAKRKCMGADCENDAGTLQCPTCLKLGMKDSYFCSQDCF KRNWSTHKSVHKGNFLRNLIPPKVVSEPDPVTGTHNPFPAFSFTGPLRPVYPLSARRE VPTSIKHPDYAKDGTPHSELTFKGRTQIDILDKKGQAGMRKVCRLGREVLDIAAAALK PGVTTDYIDEIVHKACLERNSYPSPLNYNHFPKSVCTSPNEVICHGIPDQRVLLDGDI VNIDVTLYHGGYHGDLNETYYVGDKAKADPESVRVVEAARECLDEAIKLVKPGALFRE YGNVIEKHAKSKGCSVIKAYCGHGINSLFHCAPNIPHYAKNKAVGAAKEGMCFTIEPM IALGTHRDRTWPDNWTSVTQDGKRTAQFEHTLLVTADGVEILTARLPESPGGPESMPV VETNGEEKPEVNGH LY89DRAFT_633642 MGQKTIVVGAGPVGALAALYAAQRGHEVEVYELRSDLRDPSTTP LNFTKSINLALSERGINAIKCSGRADLLESIIGETIPMRGRMIHGRDKSGELYEQGQD YDIHGRTLYAADRGGLNKILLDELESLPNVKFFFNHKLTGADFRKHTAWFEVTNKTTA SQGRHKEIEVNFDFLIGADGAHSSTRYHLMKYTRMDYQQEYIDTLWCEFQITAKPVKS GQDENSRFSISQHHLHIWPGKEFMFIAIPSLDGSFTCTLFMPSSHFSALESNPSTLPT FFNTHFPGVTSLIPPKDLITSFTTNPHLPLLSIKCTPYHFLSSAVILGDAAHAMVPFY GQGMNAGLEDVRFLFSILDKHSSPNSDQETDTKVRATALAEYSEFRTRDAHAINDLAL QNYVEMRASVVSPVYKGRKWLEEKLSVWVPSLGWATRYSRVSFGNERYSEVVERSDRQ GRLLVGWVLGVLGLPVVVGAVGVWWRLRRGGRGWR LY89DRAFT_679191 MRQRRIDGFLVPFVSPSGRVAAQFQRLPLTEFPRFKDLPIELRI SIWELASHQQRNLDIWNRELWTSDSSEDEERSVPHLLFTTQPPPSVLQACHESREEAL KYYTFDFGTTYHDRDCTVTTQAKVYVNWAVDRICLMEPYELFQFSDDRQDPSKGRLVD LVNTLESKGRYLAINVFTMGDIAYTTARGSYVEHPAFCETLPKMGGLQELILFNTYLC RHKLKGTIVFDSISEESIDMLELVGAEEEIDVYFLEHPDFNDKTKQWYESLNVDLRRF KIIAGNDDSGK LY89DRAFT_6070 MHSTSILGFFGGLLLSLPTAYAGFSSSSSTNVAVYWGQNSYGQG TGSLAQQRLSYYCANSDFNIIPLAFLITIADPSLNFANAGNNCTAISGSNLFSCPQLE EDIATCQSTYGKTILLSVGGSTYTEGGFSTSAAAVSAATNIWSIFGPNTGANVPRPFG DSVIDGFDFDFESTVSNMPAFANQLRSLMTTSTASTGKQYLLTAAPQCPYPDSADNPM LAGTVSFDAIWVQFYNNYCGLNSYVSGTTTQNNFNFATWDNWAKTVSLNPNVKVFLGI PGNTGAAGSGYESGSTLASIIAYSKTFSSFGGVMIWDMSQVYANSGFLASVASDLGQA AATTTTGTVVKTTTTATTLSTVTTKSSTTTTTSSAVSTTTGTVNQWNQCGGEGWTGGT VCVAPYVCTYLSVWYSQCE LY89DRAFT_692796 MARNPEETNAYLVGGGIASLAAAVHLIQDAHVPAPQIHILESGP LPGGSMDGAGDAEKGYVLRGGRMLNFSYLCTYDLLSKVPSLTDSKKSVKQEIDEFNAV PENKTDAHARLVATTEKGPEILNVKHMGLNAREREDLLLVAGSSEEVLGTKRIDECFG AEFFRTKFWYMWDTMFAFEPWHSAVEFKRYLHRFIHEFPRINSLAGVDRTPYNQYDSI ILPIETYLKAQGVDFRYETKVNSVSFAPSSAITVSEIHVVSKGGATGIIHVHPQDIVI ITLGSMTSCSSLGTNTSPPAPNPDLALYSRDGSWGLWSSLADPIINPHSSHFGNPSNF YSRIPESAWLSFTVTLKNPAFFHQIEEWSGNKAGTGALTTFKDSEWLMSIVVPHQPHF LNQPDGTQVFWGYGLFPFKAGNFVKKPMADCSGEEILTELLHHLNFPEHPTLDNAITI PCMLPYVTSQFLTRKEGDRPEVIPRGSTNLGLLGQFVEIPRDTVFTVEYSVRGAQMAV KELMGLGKEMRIRDIYKGEHNVKVLVDALRMLLT LY89DRAFT_6696 MGSEKPQERETSIVVADTNANAPTTLFAPVKSATEELPPLSAGA SRGSVLQEPTIRQVAPLVLILTGASFLNTTGVQSVVIILPSITRDLHIPETRQEWIVS AYGLTSAAFLLLCGKLSDVYGKRLLFILGCFWLTATTLGAAFSPNEICMYTMRALQGL GAAITIPTAIGIIGYTIPPGRVKNYSFAFYSGGAPMGQVLGNLLGGIISEYANWKVVF FVIAGVAFVIGAIAIFVVPKEPPSTGDAGEHPRASGIDWTGAFLFTSGTLLLLIALSE GVAQPQGWRTPFVIAILLVSVLFLGLFIFWQHYLEKISSEPLMRVSTFKTGRFSAAMV IVFFFSAGFTNFLVYSTYYYQDLQLLSPIQTTLRYIPLGICGIFSIFCSGYFMARIRG NYILIFGLGSALIANIIFAVPIPPSTTYWAYGFPAMCLAAFGADTTYPCIGLFTTQSL PRKDQGVAGAMFQTIAGLGRAMFLPITATIQSSIQTRVANSSNDASYAYLEGLRGVEW FCVACMAISLLITVFGLRNIGKIGLLKKLGNVQSAAKEKDEET LY89DRAFT_679194 MRLSTRNALLGVIRNSRYVSYRHGHAYIAWRCYSDAKNRTYDDA INLLNTLQTPYAELKRQWDAGIRRGQEDLDHTKQCLGRLGYTENDLTKLNIVHVAGTK GKGTVCGYVESILGEYRKLALDSETRDLHQISLHKNIGLYTSPHLISVRERIRINSAP ISEELFTKYFFEVWDKICEPDADGKQPVSPKPVYFRFLTLMSFHVFLSESIDVAVYEV GMGGQYDATNIIKRPSVTGISSLGIDHTFHLGNTIESIAWHKSGIFKGSTPAYTVQQP WPAMAVLSERAYQRRARGFHCVDENPSLKDVKIKPDATFQRQNASLAIALARKVLLKV NRDNVDLEEELKAPGLPERFVRGLESIASRGRCEVRVDGNTVWYLDGAHTADSIIVAS KWFCDEVKDKPEPRVLIFNQQGHRETIELLERLFAATERQLKFDHVIFCPSTPPASST KKDHVNLQTDLEAVASLTVQQKFADKWQELSAERWPGSKTEIKVLPSLEEAFDYVRSI GSTGTESERVEGEKVRVFITGSIHLVGRALNVLEGVDAL LY89DRAFT_703206 MTSAVRTYADALKLLEKLQSNRQVRSAFSKTSGDMNLQAIPEML DWTRRAGYDVRDLAKHGLKYIHVAGTKGKGSVCVMVESILLQYQSVEKGVGLGKIGLY TSPHLVHVRERIRIDGSPISEPLFAKYFFELWDKFSATATLDSNTDPQSLDTKPSYFR YLTLLAFHTFIREGVQSAIVECGIGGEYDSTNMLPAEAVTATAITPLAIDHAGMLGDT IEDIAWHKAGIMKTGVLAYTANQALEAQAVLDRRAAEKGVELTVVNRLPLLDERNIKL GLDGDFQRDNASLAIVVASSHLRTMGISNGVPSPQPLIESPETLSLPEKFIKGLTTAI WPGRCQFVKDGNTEWFIDGAHTKESLEAAAAWFARRASEAFCSSKPPNSTMLIFNQQD RDAGALMKGLMASLYHNKNSPWYSTTTSQQPIPLNNRKLFLYAAFVTNEPFKPAIAGT IDTALQTELAKLYTRLDANQLFMVYESVEEAVDLAHKISEGNERVLVFVTGSLHLVGS VLKVLEKKGVDVTVSNQAMV LY89DRAFT_572376 IFGVALRESICYANVMITAVNNEGEKFVIGYVPIVVAKICVLLK EKGTESEDIFARSGSANRVHQLEIIFDTPDRYGKGLDWSGYSVHDAATCLLRYLKRLP EPVISFEFYNRFTSIDFDAGIEEEAINAFKDCSTDLQPMPRMLLMYIIDLVQVFASKS KTNKMTTARLVAAFQPSLLAKDPSVGMSAYDHQRAADTITFLVENDFSSILLIAKTET KTDGGEDDIALATEGEGEEQEEA LY89DRAFT_703208 MPIPLAYKYERDGKYQPPVFEKTEPVPAKQRSEYVSWMSDGFRH HVIAMLAELVGTFLFLFFAFTAAQIANNKPDTLLRTNFLSDPSLLQLLYISLGFGGSL AVNAWIFYRISGGMFNPAVTLGLCLAGVFPWLRAVLLVVVQVGGGLAAAITVSALFPG PLMVQTKLGDDTTTAQGLFIEMLLTAELVFTILMLAVEKHKASFVAPACIGLTLFMGH LIGVNFTGAGMNPARSFGPAVVLGEFVPYHWIYWLGPVMGALLAVGFYKLLKLLEYET ANPGQDDDGLDTYRLNKAMTEHKTGRVTDRQMNLK LY89DRAFT_726969 MSDIREVSMRVIVGIDVGLTCTGVAICTDFDAPSGRETHVRVIE QWPGAVESVVKKVPTRVAYTAGELGIHSWGFGCPELSDLGGGRAIKDMFKFFLDTSYV KKKFGQGPQAPKPENVRLWYKDFLTAIYTHIVQELRDEPKIDFDSPSTSVEFVFSIPT EWKDKDDLVREFRDIVDDAGFGKTGQVHMDLTEGEAAAVFTANKLNYTFQQGEAFMVL DAGGGTTDMCILQVNSPLDDVLELETVDEPRALPAGSVNIDELFEKRARELLWSTNGV AHDKVENLALQMSRGKFQDIKTLFGTDSFRGIKIVRLQVPDSTESITFHLAELKTMFD SQIDMMIEAINKQLSLLRSVKPYVRVSYLFLAGGLGSSKYVQDRLVEQCQKTGMEVLF APKPEDLPLAVCQGLVIDRVQHVCQDRSVIPIRSSNSSYGILYKELYSEKHSGQSCTR NRLDGKDYAENQIDWLIMKGSQRRGQVVPRFYSILADPALKKQSWGFTIIRSTADARS LPIFLDDKGSVEIVGHVVSSPEVRLHTLGIAHKRGFFGRKTDFSRVNCRLSALIEVGK FEFIGTVVSQAEEKPQVLKVYWSKDKNRDCDLIRSM LY89DRAFT_7182 MCGSADNNPTPPPRRVITALLDSSSSQPRPRTSTRPSTSTGRHR PQSSRTGRDRPQSTHQRPSSSFARPSSSRRQKHAPKTSGGERHTSSYRDKSQFPLIPE YPEDRTIIRRITNLATLIDQHAEFYYPHNARIQGGDTELDNLETRHAAIRRHIARGIV ASIIEEDSSDISEVANHIATQLADYADPNTEDARNAHIQELCKLGDELRVLIESHPSS WIFGSWADSELGFIVMFPAVSKDGDQVIARQIFRTG LY89DRAFT_662702 MQCTQLISRRPFVFGGISLFLIWLFFGVDHQAIRDRVQKWNISS GAMSVKEADVFDFPPVDSQAMRDMCSSVEWNSSLIFTCDNNHGGIGHIRNSILNCVRY AIGAGGSLVLPSIAPRGHDELDDAPHVERRHGMPRQGIEYMFDKNHFADSLRKSCPEL VLIRHMEPVETPRRRGLLPESLFPDIPITGLKQPEEWPIRLKAWIESYMSKENQKEPI IIDLEQSFLHYPINSDGHDVAHTFGNILKFRPDTRRLATRTLMKLSNWYDLPMNLSEP IINPSFFGAHLETASPFTQSKRHSDVKYSHYEAQSTAYLKQAFSAKTQIMYIASGDLG EAHKIGLEATEYNIAVTHKEDLLKEEDADELERLNWDQRALVDYLVLTKSQEFAGVGH SSFSWNIALKRHEEIDPISGTLEEHTETWSDGLSTLYGVRKSYVQSSGCMWA LY89DRAFT_703209 MASTTAKKQLRILCFGDSLTEGYSAMGWSMTPYSGWLETYLNAT IDYDYDSHVETEGRSGDCVRTGFETRMRKHYPPSTTTSPYDWVVFLGGTNDMAYRHTP TQIFDSIKTILDIPLSRGAKVLIMTVPEIESHILDDERGELNDLINNWAREKDAVWGF DLWQQMKYHGITEEERRERWDDSLHFTPKGYEMIGEMVGARLVKILEGGEGDKKIHQ LY89DRAFT_726973 MRLFCCLIIALLSTFSLAKQATDAEKEAVISTIPPCGLECILPT MTEENCSIGNLTQLSDCLCLHLEGLSTIATCIFKKCNYTDLVVVEKATEVLCEGEPRP DRKPELLGTTIALAIIVLVFVGLRFWSRFTVSRQFWWDDWFVLLGTICQIGTDCAMFW GISMGFGVHVWNVDSKLNVPLYQFEWIFELLYVVVQSTTKASIVCLYWRLFPQPWLRN VVRFLWVWIAIHFFTFFFTILVQCTPIALVYNHALTGHCLNAHAIILAAAILVMVEDI ILIILPLPLIWKLNVKLSRKLAISLVMSVGLVAVVASAVRLKYVVSFYYDIDQNWDDV PVAILSVVETSLSIVCVCFPPVKILMSKYYKKYTGSDSSAMRSRSREDLLARLKGRFT RPNSPDKPPRFSIPWIQTLAPRLPTIPRIGSHIELEKGKSTVTSSSTASEANQRSIMT STSSDLEAGQESTMANTSWLKVG LY89DRAFT_776131 MATDLFSLCLFLAAVLKLGGTLHAAPYEIDVYQEIIALIVDNFK RVKLIRKTTSCSRYKGLELDAQLQKIEDVLKKARRAVSWIPRDMNGNSDLGPREFVAW TLTWKKVADAHQELLRMCSIELAELKIEMAELDQASKRHYPETIFFEQVRQQTWTILC DRAKRNASLYVGPGDQLSRSIADNVMAF LY89DRAFT_7284 MAGTENMRWPQPRSPEQLRAFYSIALQSDDNDSKVSAEYHESRS VASSTWSSSGSVRAHASRSTSIFSGQARTGSSATSVSDSRTIVALAPKTTYEDTTGLL RLHEWQCSHAPNFESDANETSFVAEGIDTDVSYQSEKNEDEPVGYKWRGGRSLPRLHR CQVQDETSKHDAGSDPVIPVSTQPEPAEKFWLDTLQEHPYCNLDTKRKLGRVGMWAVK RRRRDLKLGISSTKGKADVESDTKLAKDKLLEDSNSSLDSDDETVHIVDDVVEEVASL MGSSNSRLRRSYSRLSKPGSADRTLLLNLGVDPSLRSSFDSAYVDNPSILSSSTSIGT LELSTCADLRDMEEDGLATHAPRVTGNHQHVNSTLYCCLFCLKVFGSQEVWEEHERSQ HVAMQKDWICMPWGPIERNEDGHEVCVFCGLVDPDSSHCSEHNDEPCCHTSVSRRTFD CKADFQEHLEDVHDQFIISDCMRKWSFAAEDIDWYWQCGFCDRSLVGWEDRSRHIGRH FKEGLSMGSWDPVVPSCPVDRGTGIVVSWSPPIQMDRRTLLTVQSESISR LY89DRAFT_7326 MCGPGGTSDEPSGPPSVPVNIELERPRVESSARPRTAARPPTGT ERPRTKHRSQTSSGDTRPKSHRRPESERRHRPESSSAGGRRSSHQPHNRDRTRRDIPT IQEHGHTEDKTIVRRLGELGTLIDQHVVNFYYPLLDSANSGISEDLDDPRTRHHALRR FIIQRLINDIVMVGSDSAPDTTNIAHDLSEDLEVYANSDNDRLDSLRSICKLGNKLRR DIENHPSPWEFGSTEEEGYIEVVPALLKDEIQVVAAQKFRI LY89DRAFT_662708 MDHLGGPLSQPLLTPKNVIVGIEIGMTCTGVAVWSDLCPMLNVI QKWPMRPGSRPTVVNKVPTKVAYEAGKASIDSWGFGCPEVDKIGPAMAVKDLFKFLLD RDYFRKEFEGNFDAAPGTVDDVALWYGDFLRALHEHIVHCLEDGWNVDLELTKVEYNF SIPTPWADNDKLIEMFRDIVEEVGFAHGNESVVMQLTEAEASAVFTVKQHKFRFKDMG AMQVVKVTDEAVQLDNLDQPKALPVGSLNIDDLFERYATRILDRLRLTYPHLPQHRAH QIMRNSFQVVKHAFGTPAALPISRFEVSVPPEGPEDPGEPQLLERIELTHEELKAMFD EQLVKIFEFIDNEIAYLKWYIPDKNLSYLILNGEFCSSRYVQDEIARRYNEVKTLFPL HPEDGPLTVCKGLVIDRLQKRAQELLDTSCQRSEPRRPYRSLFQEIFDEKNDAQFFKI GQLRWNKPIRNRNIEVCPSGNDSSCGGKLHELIDDDAAAKDWYLGSRCCTTPYHSSKV YLRPHSEMNSMASIDWRPSSRDDTKP LY89DRAFT_744475 MQLNKRQQHPPHRRTMFATRLERLWNDCHVDVAEMFSSNQGDVQ RVVTIMMVQVICGLFNSLATALFAVSMYKYSFFSLPYLIQLFLFLLHALYFFGTAETT GWEFLKANSDVAANQNKTVLKLALYCLNCLIARSYLLGAFPLSISGGIMACYWITDLA LVWMVVPGTCCAGVPRHFLVYDKKKQSGQLVRNDAHPGKDLKLDVRLQNGEAKKGRLR ITNEDIHEMREQGGFTVLRAITPIEYRQNS LY89DRAFT_7407 MLDSTVLTQAERLRIVYNLITSPCDKGGAGTTPNGGDWEAVDAL LPLHDQEFNKRWLKEWSTKYVLSVEDLTKIRDHYGEKIGFYFAFLQSYFTFLLLPAIL GSIAYFVFPSFSIALGFITCLWSVIFVEYWTLQEVDLAVHWRVHGVSTIQHVRPEFKS ERETKDLITGETVKVFSPYKRLARQALQIPFALVAGTALGFLITLCFGIEIFISEVYD GPFKSILVYLPTGLLATLEPSLTGILEKVAIRLTDFENYPTADSHEAALIQKTFLFNL ITGYLPVFLTAFVYIPYGPLMAPYLDVLDFLAHKYANDPLHPVKPSMSFQVDEWRLRK EVIYFGITAQIIDQFFEVVVPYAKHKWASYIKSRPSFSTKHAAKKSVHTVNDLQDEAV FLTRVRNEIELEEYDVNTDLREMCMQFGYLTNFSIVWPLTPLCFLVNNWIELRSDAVK ICFDMRRPVPHRSDTIGPWLSNLGFLTGLGSINTAALIFLFSGEADSESVMWRMRSWG FLATIIASEQLYFGVREFVRYGIRQLESKGLLQERRAQFLARRELLEKAMKVRGAEPA RKRSKKGKKRDSQVLTTIGCRDENVGLDSAKKDSGVEKCLKMGRDIMRQEAPQEKKKP S LY89DRAFT_7451 MPGGLNIIVEVIFVPIVVFCIIGFVIFKRKRKLERRRQIEQRNP FTKPWDPTAASTNLPPPQPVYGGFRAEATDFPPQYGYSQQGNYEAGKPWEQSVVNVQP YTPAQIGGYQQPYHQQSQE LY89DRAFT_679205 MENSPRSSLATLSTTDSRITLPDQQPSRSTPQHAKSPSASANFP SHLSLPDQSASEGFPHDRKQSVPYVEIERREREQESAEQREADEQQKKHHGHVNGVTE CGRHGDDWLFGGASVSESVKWLLGKK LY89DRAFT_692800 MAIEVLPKTKKSLILNAFVEMCSGHQSPGLWRHPEDQSHRFNDL EYWTSLAQRLEAAKFHGMFIADVLGPKNLEPAIVSAAQWPVNEPLAAIPAMAAVTRSL GFGVTVATTYEQPYHLARRLSTVDHLTKGRVGWNIVTGYLDSAARNLGHMEQPQHDER YAIAEEYLEVMYKLFESSWRDDAVIRDAKTGVYTDPTRVREINHVGKYFNVPGPHICQ PSPQRTPLLLQAGTSSSGKRFAAKNAEAIFVAGHSPSVVKKSIEDIRTQAKEFGRDEK SVKFLAMICPVIGKTEEEAKAKYEEYLTYGSEDGAFALFGGWTGIDLAKYGDDEELRH VESNAIRSAVEGWSKASPGVPKWTKHTVARHLMVGGLGATVVGTPAQVADEFERWVDE GDVDGFNIAYAITPGSFIDVIEFLLPELRKRGLFWDDYEVPGGTYRENIYRKEGQTGL PSDHPAHGYRWKADT LY89DRAFT_7501 MATGLAVWLGSAKALYGSVSIASTGELLPCMYGCLASTFSPLPY SVLITIIKPQNFDWNDFLKEKLAFGDSSSEVVEQEYTTVSVSEDDEPTSRTDPRWLPY MRRWTLIAAIWSAATFLGHWVLWPLPMYAARFTFSKNVSLPMISITQKRFLRIGLLAV LLRLACDSYYLAVGNALRCGVLPDH LY89DRAFT_604188 MAEGKTLVQSCDKAEECRKLQSPISNDFYFYFKLQERGWFRLLV MEPSLDPQYRISCSLLHRCINENADFEALSYVWGTDKAIVPILVDGAVLHIRSNLYLA LRALRLPDRPRILWVDALCINQSDALERNSQVSQMAAIYSGCHQVIVWLGESNEYADR GIDFLGKMCVKAKSIEKRVLKESEDRHQLYKEWLDLYLSMLSLPESNECFKGIFYMFC GDWWKRVWTLQEIALAQTAVVQVGKRCFPWEHLEMLSLLATVCAVHTSKQNQAVYTDI SSRIQVSGAQIFVMADTIRIIRMRRQENIEIPLSLMVQYALTRFATDPRDKIFAILGL VNCGPTISPDYNLSCRKVYISALVAMLEYFGDLRAYNFLQINYFHAQEMPSWVPDFKY LTTNNNISSMSFIQGSSPNDPVDSQATNVLYGAASLQQGKIIKSRLSFEENGDILVLK GVSVDKISVVGPPARDRVETLSSIGHSSLGSTIILWKALVSDSDGSYIARGSRKEAFW RTVALDCKVINYHKGLTLQDNPRDRRRRLDKLDDLIPPSNPKSETRLLQALNEQAVVG EGAQCGRGFFTTETGYMGMGPPNVQLGDIVCVLFGGEVPFVLRPSENGMYKMIGQCYL HGVMDGEVIQGVSRGDFYYTYFAID LY89DRAFT_776141 MVRDLRLPRNQLPQELRDKVYDSVFTSTRVTFGQRPISRHNHKS IKPATHFLALLHVCRQIFEETKYVCLGRVLFNFERVESLLDKFSSIPSNTVSQIRHVR TRGYPLVLIFHEDHGVHDRLVWALKLLPSLQLDTLTVLGSWDGYIDCDNLNGLISHGN GWKELRYISKDSTVLQFKGDQILKGDRYWGEPQPDTWRICCYRATASIPKQMSPYTKR PRKSIASLGNLGVQEDAQLVGDDQTKREVLVVVKRGRAADISEPENGPYHSDDIRTWP DLMAWPAIKRKHTNDGNYQDSDEGYLKEEEDFEEDAYDEVNEYI LY89DRAFT_776142 MGDLINATETNQTTLVYGDRWRIHRKLMHSAVGSQAVRNLRSIQ ANESKLLIRDILQDPEDYVLSIERYSVSTTSIIGWGRRIDTKNDYVAQQALAIMEGVN FVIPGKFLMEAVPALTKLPSWVYALPSMIRDTTTVLQQYFYMLSQEGAESKWNNFSKV LLNGQETYGLSDVEVAGLTANLIGGRVDTTSSTMISCILAMAVFPDVQRKAQAEIDSV VGDNTSPTWEDVDGKLPYCTALVKEVLRWRTVTVLAGIPHANTVDFEYRGYRFPAGTN ILGNIWAIHRHPREFPEPDNFRPERFLNGLEQAYPNNKGMNPFGWGRRQCSGQPLAEQ GLLYSLTRLIWAFNIKPSLDEHGNEVKLDIFAYTDCENMRPEPFRARFTPRSDKIKHA IMEEAKIAREELRVYDGETKLTLEDAVQALASEKKGSKK LY89DRAFT_679209 MAVSSVDTESSLNTRVLPVDTSNMGKFEDRETLETWTLPNVSAV LEPLQIAAKHLRETSIPVGFPTETVYGLGADATRSDAVKGIYKAKGRPSDNPLIIHVC DLTMLRDLIHPSDQINGNGAHRDPIPAIYKPLIKRFWPGPLTILLPNPKQSKLAPEVT AGLTTFGARMPESPLALSLIYIAGVPLAAPSANASTKPSPTTAEHVLHDMKGRIEIIL DGGPCQVGVESTVVDGLCDPPVVLRPGGVSIDDIRECEGWSGVVKGYKDQSEIGASAP RAPGMKYKHYSPKAKVVLYESGALKDFKAGIPLEVWKIIEAKHRRISHLGYENLGRPA TIGIVCTKNWSEWAGFHNAKWSREDRSKNICTKVLNVRPLDSTNSYKIQQGELWQGNA ATESSPHGEGEIPDSWDLPEKERVANIIEISLGKGTKDIAHGLFSALRELDQKAVDVI YVEGIEDEGDIAAAVMNRLRKAATVIEK LY89DRAFT_679211 MAPSMDMTAVIAIIILNSEDGSRIYEKYYNVPHRNPHGATVRSQ AYPDVKSQKAFEKGLHEKTAKQTSDVILYDNKIVLYKSESDVMMYVVAGLEENEIMLY NVILSLRDSLHLLFKQSVDKRTIIENYDLVALAIDEIVDDGVILETDPTIIVQRVSKA PTQDVTQLKGIDLSEQGMNNLAQFGKAKLGDWLRQGL LY89DRAFT_712895 MGYSENCCQLCAVSINVARLRTKNEPQSAGWGYSSGEYYSGDAM GARCTTFAERSGCENIPHETAEWIHLPGRWCVFEGGYNGWKIGAEEMKGMNLPRYIMR RPKDADFDGEGADYEEESDYFLTSQTTCPPDDFEPGELEHVRYGIDNFFPQNYAVLSS DDDMDVGVPVHDSCWKIFERICKMRLGKVDLQGFMLLWFRQACGNCGFQNMKQEPVIR ECREQFWCHLPGTEYLGANPHDIPGLLLGVSDQYRSYPNGDNVFQAHQPGIGDPSVYE SQTDPFSKLPAELKNIVLSYLSSQDIARLRLASRSFRQLPKQLFLRLLQDELPWFWEF DELKQLDDDWWKEWFKNDDPEKQHEEHANRIRKSREGNFTEDVNWLMVYKQLCVLKKG VLGVRNRARIWYMVEEVVRRIAELRERLSDDSGYDLRGRETFPVEPTEDEVEAGLVKN DLYCPRCQVFQIQRENPT LY89DRAFT_662720 MSQQGASSSALTSVPPRFYKPFILRAPGLLFIFAICLALIAILE YAARTLPLVENRIHVPEISKLSNLLQRRQDTQSSFEVLEVSAASTFPTILTNVMSGDN GLFASAKPFERPWRLNLAARTVSPSTSPAITTPPTSMPSSASTTPVETATTMPGEYLA ISSVSTSTAYTSATIPSAYVPTTSTLTESPQSISPGTINSPNWLTETFFSTNPGAYVT TNSYSTGVASTNAYLATATKVLVSTSLSTDAVGQVNTILNTYTITQTQQIITQTGLST VITTNSAGYAQTATVPYTSVETLQPSPDSTQDNNSKFQTVLITWPLWWVFVAGYLPLL LAIFVKIFWTSLYANVKLLEPFIQLSHPDGALASDVLHTFYLSSNLTPDPIMALFKGR WLMFWTSSAYLVVGLLAPLASEVLFLDLHYGCGSEMCWPPKLSADGTVLRLLQGLLSF IAIMSLTIMFMVYRSTTGVYSNPSSIASIACLLHHPEVLDDFRSMNDNISSKSLKAHI GDKRYQLGMYQGQDRVQRYGIIPATQTYSPGWTQISLKPATESIKPEKKTHRISFIID IVFVTFIFGLLGVVVAYFLDGSNSGFNRFFNSNLFGPRFFMSSMGTIIAMYWKGIERS KWLPNPFRRVSSQKALPRPGFHYFDVEIMVTEHRQLTVVTEVQTLTPFRRLIQSSAPA KSSILFQRHSLPPLVLYTSLIRGHFFAALIAFTTFLADILTITLAIIPYSPNEIYLEL LICAYTSMAILGIMVLVVVGLLFWKLKLKDMPRKPDTLAGVMSYLCDSHFLADFECCE RLSSEEMEKRIGRAGKRYAFGQFVGVDGKKRWMVEEESVIS LY89DRAFT_712897 MEVETIAKDEEVVGLLSYHSDDDHDNSLTEKTHQISLIIQVLLS TWAVISILVPSFLLPGTPKSKIHKTSYLDGLRGVASAIVYFHHFSIDCYPWTQYPYGS SPSDYHIIQLPYFNFIIQARSMVCIFFVISGFVLTKKGFTLMRNGEDGLLFGSLSSSV FRRGMRLYIPTIISTFASMLMTRQHWYFEHHWTPKLFPTFWQQFDDWLFQTKVMTCPF QYVDGNDVFMPEYDSHLWTIPVEFHGSMIVFMSMIAAGKMPYGSRIAAFAGFTCYSLW VGFWTVFLFLMGATLAAIHVWQTSSKNTPSHNLVRFPSPTQEDAPVLNQNGRHVGNIR SALSFYWSKFAEHHIESVKSTLSAHSSRITSVTSSYSERYFQMIPKRLLRLIAFINIK GIMVTMGALIGMYIICFPMARQLDHPWGYSTIMSYTPANFSRPDIPERFWRSIGAAIF VATMTQSPILQAPFTTSFAQYLGKISYSLYLIHGPLLFTLGTKMWMQAREFENWGSED QKPIDTHRTTMYHVRFWYTFLVLSFILVWASDVFWRLVDVKSVQFASWLEKQCRKKE LY89DRAFT_7593 MLELMQENSAAWTIWSGISFNDARYHSDGRFQCPVEPWNRRPYN RLDTQPDTDAPHPVTWMSVAGLPMLLDHCLRSLKLDINSIEGTHYLGNPLYATTRAKQ LLCVEVLIKHGVRYNHDSRNRHNPLRAALEFGLEFDGLSLSAFRRAWDVPLIQALLTA TVCTNYSEYLDYMDSILSSGGPIEVVELFLEHGVDPNSSLIKAFRSERTDIVNIVSLL IEAGANVNVSDNVHENPLQEAVLVWENSHKLIRVLIKAGADVNATSRAETRTPLLIAV SYPCEDAAEALFESDMHGHGNWNQAYSQSQAIVEDKLEAKEQCEYWGTAIESERAISI RDMLLDYAKKHGIPIPESETWPETYCNRTADNDEQAIIEELNVQSEAEEESEF LY89DRAFT_662723 MDGLSAAASIIAVIQIAQIVGSELKDYYEGVRQAREEIQKLYNT IKNLEVILERLQELFQLPSSHLSVVDPVFVDQAGPLKQCQEELSKLEAALEISTHRGR IRKSVQSLKWPFEKKDVEKRVVVLERHKSSLALCIGVENLQSFGESLIYYGSTVIDHI QKRSINESNVAVVYWYFTFTNIDKQNVANALCSAIADICGNRRDTPEELQRAHDRCNA GQQKPPFDVLKSMLKVVSNGFDNIYLILDALDECPTTDGQRDTLLEFLHEVSSWQLDC LHVLATSRRELDIQESLTSSHGKSSHFLSVQGSHVEQDIMKYLGHRLEHHTFRSWKPA LKQDVKEDLVKKANGMQIREAMNALPRSREALYNRMLQSITDEDQEYAQRAFQWLAFS ARPITLEELAEAAIIKPCAERPELLEETRFLDPQDLLHIIPSGLISVITINPYDFDNS MSEEDMIKYIDTLFGDNESMSASANYPSGSDDFHSGDDSSEFVFEAGDLEDPEIR LY89DRAFT_679217 MAAEQPNVVPESVENPENAPEHAPRPKFLGRVQDGKFYCECDGM SHKARCRTVTQETSNKGRKFWLCHKEGQGQCKFYVWVDDEEEVKEWLEENGPPPRAPE TPRDKGKGKEVDRSKATPWTLSKRKRGSDSGSREVSDEENGGPSGANGNEQSDAAEFE SDELVEVGDGSPSRKAPRRTMLDTPGQVLEERLNNAAATLPTPDTGKKPEAEGVASGS RTSKQLTLASARLGDVIDLTEDTPSALTIAVMDELHSAKVRLNDSTKAYIGHLIDSEI DQHDAKMRRNQKTISKMKKRLDELESLVLALTGDDPVQLSD LY89DRAFT_7652 MTEPYRLTASEALAQMQSGSLTVGSYAKSLLSRIKDRDHVVKAW AYLDPEFVLAQAKKLDQIPAEKRGPLHGIAVGIKDVILTKDMPTAHNSPIYKGEPAPL VDAAPVITLRAAGALIFGKTTTTEFASTVDGGPSTNPHDHTRTPGGSSSGSGAAVGDF QVPIALGTQTGGSTIRPGSFNGICAFKPTWGAISREGLAQYSMTCDTLGLYARSVEDL QLLAKVFQLKDDEAIPEKGFEIKGKKIAFVKTHVWPKAGKGTKEAWEKAKGLLEGEGA SVEEVELPGEFEKVKDWHANVLSGEGRTSFLGNYLLAKEKMHQSFHDHVENATKLSRK AQLEAYDGCAKLRPVWDEIAAKYDAVFTPSVVDEAPVGIERTGDASFCSMWTILQCPA LNVPGFAGENGLPIGLTLVGGRYMDEHVLYAAKAIGEVFERGGGFVSKLV LY89DRAFT_572230 MEDSRAARRSNSYTTRLDRTLKVLQDRVKEQEALLEELRASIAP VETEPSTDPKAHLLQLRSLTAAYKSLTPAEPWLPPRDSPLPALIALRTTDKTITETRD TIVKTEVDLKETTDRLEKEKADLSDAKLIQTELEARISSLQVDIDERTQKSPSEIARD MIQEVKKKQTNYDARTDKLMKAFDQFIDDKLAAMLAIEELGGPIVGDVLDVNEEMLEG AFSTQGKPKRAKPSEDKRQRRIDQIWGPRPEDNQEPEEPWDEKRAAATEMRDLTEQLL NSLMEAEGTGPGAYVELKRESAAARFLVRSKVAQFHPNDARKLRLVDFGGEIDD LY89DRAFT_744508 MSASPTAAPTQSTKRPLEDPSSPSAPNDQPEAKRPALDKVVRGD NVEEVPEALEPPVVSSNGEHKTTEATNGTKTDEKDGQGDTVVPDAGAQITQGTATSTK DLPSSGSSLQHDESGWIHIRAVITSPEAATVIGKGGENVSLIRKLSGAKCTVSDYQKG AVERILTVSGVVDAVAKAFGLIVRTISNEPLDIPSTAQSKTYPLRLLIPHILIGSIIG KGGMRIREIQEASGARLNASDACLPLSTERSLVVLGVADASHIATYYVGSTLYEQLSE RFGGPAASAYASRSGGPAGVVPGGMQVVPYVPQPAGGSYGHPENRRHVDRGHHTPANS YGQPYAAHGQAPQQQQPQVPMHYGGSPAVGGYGGVGPQQPQQPHVGGPQSHAGPPAQP MQGMVPGQPLTQQIFIPNDMVGAIIGKGGAKINEIRQLSGSVIKINEPQDNSNERLVT ITGTAECNQMALYMLYSRLGTLENS LY89DRAFT_633686 MPQVTEIAYLTLKPDIDLTGTSPTATAWQETLSTIRQQTGFQRL HWGLTLESPELLILMIDWTSLENHKSFTSSPTYGPFLKNLSPLLDDENKPVHLHHFTP TPSPPTILGSAPVVEFATFYDPDPSFADSLGEFLTIAEKGEGVVGWARGGVVEGVRRH DGKGKGEEGKAYVFLVGWESVEMHMKFRETGAFRENVGLIRGNHGGVEMFHVKFTAG LY89DRAFT_7720 MSPSQGGLLDTGDWDGYQKQEFLTTAHNTAKWTVEVIRPSVMTR PGMRKQVRGTAYLDGLRGFAAMLVYWHHHQLWPRQLASSFFENAWGFQDKYFFCAMPG VRTFFSGGHFSVSVFFVVSGYVLSVKPLALIYAGEHARLGDNLASALFRRWIRLFIPV IFVSLIYITSWHMFDIYTLSPEHKPTYREELWNWYLEFKNFSFVFRSGGDGWMLTSQY HAWSIPVEMRGSIIIYTVLLAFSRITRNARLCGQLGLMFYFIYIVDGYFGALFMAGMF LCELDLLSRMNNLPQIFNRLAPYKMYIFYTLFAISLYLSGVPSGSQDILELRKAKGWY YLSFLKPQAMWDFKWFYLFWAALFLTSSVPHIAWLKSFFENSFNQYLGRISFALYLVH GPVLWTLGDRLYQAVGWYREEHLAHTPSWINFFPLSQAGPLGLEISFLAPHLILLPLT LWVAEVVTKLFDEPSVRFSQWAYGKALPADD LY89DRAFT_571658 MLGGRLPKELRRILPIYVACVLFVLFFARANPYKSPLEASEVIK RVLAAYQTPLQSTRSAFPRKIWQTWKIDPLDFETRDSERARSWTSMNPGYRYEVLTDS NDLHYVETHFGPGPGGLNRPDIVNMYRSLNATIIKADLLRYLMMYIEGGVYADIDVEA LKPVDQFIPSHIDEDDVDLVISVEIDEPTYANHTILGPKSQSFCQWTFMSKPRAPVLL RLVDHILGWLEGVAAKQQKPIGEIVLNFDEVITGTGPSAFTGLILAEMARQTGNIVTW DSFHALSDAKLVGNILVLTVESFAAGQGHSNSGNHDSPKALVKHHYHASLWPSRHPRY SHPAFGMVEECNWNRECVDTWDANKASFPSFPAEEQARLIAVHDEFLKEKSDKEAAER AAGERQEADRKQNEILEACAEQLRGFAKEQEASQQPAQPEPAQPEQPAQEPVQQTETQ PPAETPQKQPSPEYQLHTDDEIRHTDPKS LY89DRAFT_712907 MSSPMRFRTSALFPFVTSIIAFALVLVLVISGTNPGSVPDAYLI SFNTTSLGSNLIELNPIDPVASATPTANAARDVRTKLLSMIVRRSIIKDGPDSSSINT NSSITGSTNSNSSISSSSASNSSLSAAPSLNISSSAVSNSSSTSSAAPTLTIPQAAVV NPAAIPISLVGVAFQLILNTLASGMGQTFESLISTVITTQKQSFGVSQFYTIHVSGIC QGSVFNANATNLTTPLNLTHCISYADAGSFVSNLTSNVSDSALVAATNVTVPALSKVP SIGKSTKSLIDLASGIVLFVFIVGLIGNGLSILLSVAAFVLPNNGKIHAAGAGITTFS TQLLQAAALTSTTIAVGLSSSINNFSDVLGLSATVGGKFLALIWFGYITAQMANGYWV ATWFVKFRTTAYKARQRTPQQMQAGYKGIKKEVLSDLRLEKVDYEDTEVLTSTKGQIE IQHWQDNYRMM LY89DRAFT_744511 MAVNILVLGLTGILSIPALTSMFSPPVPDKILINIGVGANNSQA NITDPNLGGNTPSVALFDVNGVQLGFADGSDSSIIDGGTTQLSVGGAEGSSASETPEY VQLYATGDNAICIAWLTTTSSASDGGDFRTWNGATATFCGLPWYPSTALFPGVETPYT PPCFWMSSDGRFVDGFSARLTDFFFPGNSGPANSTSTQWAEFPDTLCKAPGRQQFYNS TGSCIPFYPSGLSKVNDKDPETGFDIDFEAIESSFTMSCSSAGTPFNNNVDLGQPDAG VTIADLPTTSATIDTNPSVTIPAGVTLQSSLELGNLKVRDVVLEPPSITAAPEPKPKR KRTAPKKEPVLNRRVEKRSEQPHQWCEENKLVVSDFASHSAIEVCVSETSWGPDFVST VEAIFCDMCQRRTYPLCGENGSNSSYMAPNTTSTTTSTIVTTTITASLLSSTSAIGSS ASSALASSWTNTSSTASEQSVQIAATSSAVSNEMMSTKVNRGETIEICFDLEKQQLRA PKRLRRTTFVPVKEYTDVQYWS LY89DRAFT_662733 MKINLFPAIVLLFAVTNVSNGRSIPKDKLLRERQIDQLDAFKEV RDLSGITIYDPLEVVNYHVKRIEPIEGGEGAGDGAGESGGGEGSSTSNPPAGESGANE GAGASETGDNTESGGGEEASSTTPADTAPPPPAPVRVVAPMPAGLGPDELTLWNSLTN DPLQFADTDQLILWEGTAAYEALNEFKQALSAQNPGIAFKDSFASIESSNWDDYFEIY EESSSYEDWKETSILSIKYAELLANRPQQVHVLYSVADGNHLPDLRFLSFAEMPIVTA PGSSIPQMIRWEASTYQQNIANANPNVIWTNGDAQLSTPMADITNDPQLSDESLTLGG LQQIEGGGPAQRYRRWLR LY89DRAFT_776159 MASASSSSSCQAEGEDVVCKNDIFTFHPFARLPPELRHKIWLEY LTSSGAAPQIFRFKLRWPPRAAYRLKRTDLRAGDQIFLQPSPFGREPNQSLQALRLPI AARQIASATCMESRQAVIDLYPDTLKFRYLPVGWMSDNSMKGVYLGSPDGAGCPEHIL RFNGAKDIVILDAAWEDQEAAIEIAESRGSPPDEFLKMCHVGIRVNDFKFRHNWLPSS ADRSVIRLLELRLINDGNRVDSPEMIEGSVATYNLRDNPNYNALSYAWGPQDLSAHIT LQDEVFLVSQNLFAALRQIYDQRTTGSPLKLWVDAICINQSDNVEKSHQVLLMRDIYS QANIVLAWIGAPDHLSALAFDTLEKFAADDGTTEGSATYRQLPDAAKKRTAIKNFVER GYFVRMWIVQEVVAAKKVTIFCGALSLDYDKMRLAFQRMTGSGFYPFSADTANLSFIG WWRTSFHETNAPDRGELLDLRLFVDSRDRIAADPRDKIYSLQGITNKALGSRIKIDYN DSIETVYIQFAKTVLSIRSDFQILSAVILRHQQISNIKLPSYVPDWSLPNDPQYLQYS PYMNKNMYGTNKIEFPLDENIPEPGIKSKKIALSSFFTKVKDTSMAAGKSAKWVLFDK KKLETTVVAFQKETQKLRGLLPLAQSSQFSKILDNKFAALTATIRDQNADRLGLVPHA KLIELNEADQDSYTDENERKDYVVRTNSQESELHIGMVEYKRQGSKNNDSEAVLIELK HYPPLEDDEDDGPDVETEANVRRLAGLLQISSSGARELRTLPFKYYIHQAKEKRYAFI FGYPLHAEHSQPVSLYELIKNSSANKRFPLATRFRIAHMIAQSIGVFHADGWVHKSVC SQSIVFFNQRNKEGLMLESPYLVDFGYSCPEQGRTYARYHQSTDINSLYLHPDRPKMA FTKLHDIYALGVVLLEIATWTVAQDHFASAAKGLDLSKISINKEEVRERFLAVAGKNI PYQMGTSYMEAVVACLDDTYRGQTASVEFVETFQTEVIENLGANQLL LY89DRAFT_692809 MYSPVHLIFILASLVFAIWPAPQSYTTGNSVLWIEPGVKVSYNG GNVGCSIVQSAISRNLKTLFTKGLVPWKLVARDELSQFEPDASSSKIFITNLDITQTA PDQMNTYKPLAGDVDESYNLTITTDGKASISAVSSTGVLHGLQSFIQLFYKQSTGAGM YTNLAPVSIIDAPKFSHRGLNMDVARNWYEVSDIKRTIDALAMNKFNRLHLHMTDSQS WPMDIPALPDLSKKGAYATGLSYTPGDIKDIQTYAIERGIEVIIEFDMPGHTTAIGLS YPDLIAGINAQPWSTYCDEVNSQVYLLDETVKSNDTSVIGPLIQKLVDRNHAQLRQAG LTPIVWEEMLLDWNLTLGSDVLVQSWLSDASVASITALGHKALAGNYNFWYLDCGKGQ WLDFSNGASFQTYYPFNDYCSPTKNWRLVYSYDPLGGVPANQTHLVQGGEVHIWSEQT DPVNLDDMVWPRASAAGEVLWSGRQDASGQNRSQIEASPRLAEMRERMVNTGVRVGPV QMIFCTQSNATEFGAPKLRRGRILEENMIEEILTHVKGRTLLGGIVVVGLLWKIVQWI DTSRKIRALGERAYRVRTWLPLDIDLIARAVHGTVTHKNLETWLYWFTTPQGPNFTVE ACPAGRRVIFTADPENIKAILATQFTDYGKGEPFHREWKDFLGDSIFVTDLETWHNSR QLIRPQFIKDRVSDLEVFERHVQVLIREIDGKSWGEKEGGEGRELDVSDLFFRYTLDA ATDFLLGRSVNSLEVPEQEFAEAFGEVQRVQNIIARAGPLNPLVPRSTFKKGIRTINE FVNPFIDDALRLSPEELASKTKSEEGYTFLHALASFTRDRTVLRDQLVAVLLAGRDTT ASTLSWLFYELARHPEVMKKLRAEIEERIGWERAPTYEDLKNMKYLQNTMNETLRLYP VVPFNVRLALKDTTLPRGGGPDGLSPVGILKDTPIGYSTLVMQRRPDLTPHITSPSSS SPLTVEHFSPERWQTWQPKPWNYIPFNGGPRICIGQQFALTEMGYTVVRLLQRYEGLR NFMGEVDGGRPCLKAEIVLQPGEGVRVGFSRRR LY89DRAFT_571587 MSIEVSVDTPLAQALSAAIQPKLVEVGWSTGSGDDALAEYIILM LANGKTQEQIAAELSGDLLSLGPDDPGARDFSQWLFQQVEVLGAQQNGSASGVVAPVG DVSVDMGNGVHDSEMEGVSETGDSNVPTGPKSMRNGAIRGRDKRMLGHLAKAMDRPND SVLHRVRPQNGNERINTHARGPPTGPRGQQMAGRGGPRTLNNRMNNAMAGMPMQQGGA AGNIMNMSPQQQMDLYAMLEQQSRLMAQMLNPQGMPMGNGMPNGFPQQPQPSRSLFDR VSKTPRGPNNGFQKGPQPNKFNEHKQQIQDGGPSSSMDVEMSQEKKELDPENTACKFN LACTVAECKFAHQSPAAPSGTPIDFTDTCSFGAACKNKKCTAKHPSPAVHLQQSCKFY PNCTNPVCAFRHPAKQCRNGGDCAVPNCKFAHTTTMCKFTPCTRPDCPFKHAEGQRGV YKDKVWTPNNAKEHVSERKFVDENAPEELIVPGVSEAPLSQESIKTEIIT LY89DRAFT_679226 MPSPLPEHALVTLLRQCYITPRCQASKTRSTRLLHSSTRALHAV PPSCRPRHRLVPRRGSQRNSCHADTKLAAELRGPSAIRIGSDAVQDSNSSVLFAEHGH DGQGREQDTRIAIIGGGITGLTAAHYITRELSHAKVTIYEAGSRVGGWLQSEYIDVKD GKVLLEKGPRTLRPNTEAALVTLDMIQNLGMKDELLVSWKTSPAAQNRFIYYPDHLVR MPGPGQSIYDMLWTVITEPAFKGLLSSLYEYKRPPRPASVEDESVSSFLTRRTGAPHI GNNLVSAVFHGIYAGDIEKLSAKSLFALLYHYEKMFGSVGEGYFDSRKNMYSWTLSKD KELRKEMEPKVKDLLNELRMASVFSFKEGIGSFTDALENSLRANPNVQFRTNDKITSL EHDVESNSIKIKSASTQNYTQAISTISSRTLSTLSADRLPSLALTPAVTVMVVNLYYT DPTILPEQGFGYLIPRSIPYAQNPECALGVVFDSDAIQGQDTAPGTKVTVMMGGHWWD GFDAYPDEDEGAAMAMSVLKRHLNIDVPPALIRVSLQKDCIPQYTVGHDARMRKGHEE VKDVFKGKLAVAGNSYTGVGMNDCVRAARDVVVGIKKGESPTGLEGFVGARWEKKYMG ARKRPVSRPV LY89DRAFT_7787 MSAFKGHLDTWSRKMLRRAGEPHLNGVLRTNGLLTPEELGQRNP QPNDQVNHQPTAHSNVPSNAQSNAQSNDQSTVHSNFQFNAQSNNQSNDETESNISPMN TTRDAPTQPQDHIQPHVAHVEDSCDGSCNCRGCDKRAERNAKRSENNEHAVADGNAAG NISDGTSRSNRPVEVSQGVENTAPAANNENQNGNKQSTTNDDVEISRDARHSRTHRLK ERVQNRLSIVGSAMKIHGPSRRSDKNQSEDGRAPNSLPTNSDDLQSRPVVSVQTRTSD AGQSNHSQPPRLSLIDALASTPPESATNNPLTTHEPSEAISRRATAGSVISNASSEHQ RVLPWDAGNPETRITPYASGQRASNLVHAGNAPNPDYDDKKTTHSRPSSYIASPDTDN MAPAASQPSSITTNSENANAAVTQPAPVSRVTNGTSNAAQSSTPNPDGMPAQPEKHIV FEIRETSRPGATNGPTNSARGPQPVPISQQIRALGPLSGWADVAKRPVSNTTTMGSQT KQDASPERNSFR LY89DRAFT_563843 FVPPIDVFSTEAAYILHIALPGAKKDDVGVNFDSDKGVLNIAGV VYRQGDEEFLKTLTQSERKVGAFDRSVKLPPANEEKEEIDGDAITAKLEDGILVVTVP KVEKEWTEVKRVDI LY89DRAFT_692813 MSDTDSLDYLQTGFDPSSLTVPRLRSILVSHDIKYPSGAKKPQL VQIFTDKVLPQSRKILSARSRAKRTSRGITDADSQDSTVADEEVMPPPPTPRARASRR TTRVKSEESESDVSVARSPTKRTRTPSTKHPRASDTETGTDPDAIHKSIRKSRKSEAP TPAAPALPPMRPEIVDDGAGVSRRESAFTYDNPFQSGSSPPPGLSSGERKLRKSLGSS VSRERKSMSNSRRSTSRPQAEDGFHPPTSSTFEIPVSALNGMKDVDENGVEASEEFTP EEQLALVQDIAANGESAVGPLRPKRKQNRGISKKGPLSFALFALLSGYGFWYRQEKLA VGYCGVGRDATPVIPAGVEVPDWARILHAYCSANMETTCELDFVKKPHPMSLGDLVPL PPTCEPDGEKVRRVKAVADRAVEELRERRAKFECGELTNEAGQPEPTVEIDAEELKKE VSKKRRKGMSEAEFEELWGGAIGEIQGRDEVMSVADGSSLARLPLVCAIRRSFRLTVA RHRLKIASIAIFLSIIAYIRSTLHSRATANAAVPHLVSLTLERLATQAALHAADKDAY PEDFISIGQLRDDVLRDEHSIKKRAALWERVKRIVEHNSNVRIGQRESRNGEISKVWE WVGNVASLESGYEGAKRRKSGRRGGEKGSRE LY89DRAFT_633714 MASRFHHKVLEEIGLSSLWSSTLDTKLLCMQRFARHFAYGGVAL ILVAYLDELSISKTRIGLFMTLTLVGDTIISLFLTAFADGLGRKATLAVGALLMSASG VTFALSGNYWVLLLAAIFGVISPSGNEIGPFRAIEESILAQLTPVAKRRDIYAWYSLT GSAAIACSMVLSGWLVNHLREDLKWTAIRSYRVIFWGYALVGMIKFLLAISLSKAVEV NKEKEIPTAVDPETTPLLGNNSDEPERKTKSSIRALLPNISIESRPIILNLCLLFAVD SFASGLSPISWITFFFKDKFSLAEGKLGTIFFVTSAISAVSVPLGSSIARRFGNIKTM VFTHLPSGIFLALMPIPSSLPLAATFLILRSCSISMDSAPRTAFLAAVVLPRERTAVM GLLNVVRTSAQCIGPLISGVLAGKNAISWAFVAAGCLKAAYDLGMLAVFAGHKMREER NEGDEDNAPER LY89DRAFT_776168 MAIYAQKRAYGSPLLLGTSMVDLLAAPNENDEATLAFVRTWFGN VVSAATIPSPGGILIHCSDAHLIPTNPTSRQYLDNRGNTVINAPSPPPGISLTANACG GFAKGFTYQAAANQIIILCSDSGKGALISSFTPSLDNYRTSGDLRIGPGVSENGLDIL GMWLSTVILHELMHAASFAQQLGTFQLGQFPATLPDMVNGATVGEIYQFTPISGKQLG ASTSTGQSTANNLQHNADSFALLAASWYLPPYAWVNGQCRKISAINQAPLVYTNTLPP PGQS LY89DRAFT_712919 MPTLSEHSTSMASSRGDQNDNSQPTVPPPQDQPSQSTPTSQGNH ADQAAPSTEQGVNYCVATWRPGDSTIVCTPEQLAIWQEGMRLAALGPLPDPDEDDFLD EPLSKPFDPNWEPDWAKADAWIEEKDSEGRTLWRNRIIHFVSYSEPLEVREARDRLQK ANSSA LY89DRAFT_727016 MSFTPHLSSLQVLLVPVLLSLFISSSSAVETVTAIVDTPYTDYL DFQQFVLIASGPTPTDDDFASISSTAFPLATASCSSYDVGATVELVEALADIFCTGLD LSEGSSVFQTADICALGCNSSYTQIITSCEFNSQLIYGSGSLQDTCGTFAISLSSAVL APTSSTTFAPPPPSPTPTLSPPGLQDQECYEADSFGKHSDIAGKVQSSYATTFCSTND QTFTSGTAPVLWNAGSIIFRDGLTPYHYTVSWLDGCMTTATQQSMDQPLAGDSTVTCV SLVKENWRNCNNGGAGGTRIAGCLKYNFAATLNTTQI LY89DRAFT_703231 MAKRALQALSLTKHSGDIFDAPDHTVLIHACNTQGKWGAGIAIP FKDAYPDAFNTYHEHCLSDPVQTGTCLLIEPCGPSKHWIACLFTSAKHGRGKDKPDEI LENTRRAVEDLLEKVKEAEGKGEMVGSLRMCKINSGKFGVEWERTEMVLKEVEVKEGH RGVVEVWDREGDFS LY89DRAFT_703232 MDNPNTSLWRTVAVAILLYFATRTFYRLFLHPLARFPGPKLAAA TRWYEGYYDVIENGQYTFKIEEMHKQYGPIIRISPYELHVSDPVFFDVLYCQEGRWNR YPFAWDAWGAEGPTIHATQHDLHKARRQPLAPFFSKAKVSSRQDMISRHVSKFCDRLS NIAEAGKMVNLGAATTALARDVAFDFILGKSYNSLDSENFDVAVLHAAQGAGSLWRLS KHIGYVLFLLQAMPLDWAMKIADDDMKTFFAHMKAAMNDTKDIMDEALSPSPPEGVKR TIIHEILDSKLSSHDKYFKRVFQDVTSVSGAGFETIGGALRLIFFHVFSNSAILQKLR EELDSAKAKHLDVNELKVLEQLPNLTSTIKEGLRLSPGIGTRMARIAPDRDLFYKEWR IPAGTPVGMTTILMHMDENNFPNPRSFSPERWMDNNRQKNAEKAYAPFSKGTRMCLGM HAKAENFEFESDQFVIGTKGKGLLEAHVNLRE LY89DRAFT_744549 MTLRLRANPNWDYRQQRGTAQCHFEPIVICGVVFNILADISLLG WVLPKICKFKLQIAQRQKIPLLLILGLSILVIIAGSVRCVRVSYEIESTVDIPYVSND LTIWTSVEMNTGIFYASAPSFKPLLRKIAPGLLSSAYRSKNTSNFGNYASGTRTGKRT VTKEAFKLSSQTNLGTTGAEDRAEDIWTGGRKHGNTSSISDIGSEQDDILIGIGGVPR GG LY89DRAFT_679232 MSSLSRRTSSMNASSWMYQFIDKSKVTSPHTPRSGPGSLHHRPC CSFFEGQSLTPENKTCHECGYSQAHHLARFSLSDDLDLIDTRLQDAFGNTPLHHAAAA GNTLRVIQLMSMAGRILTPGLHHRNADGQTYLHVLRIENPQDFPDLMTILQHAKEQGV RLSERDHSGSTVKMKLQQLVLDCNFD LY89DRAFT_7967 MALWGFKWLKFFLNPFGDDDFEHISEDDRRAYIVHRLETAKLKE YSWVVVVAGVGFFTDAYSIFAINMVIPILGIVYYGGTMPHNYETALSVVTLGGSIIGQ IGFGFGADIWGRRKMYGLELIVTIVATLGVVMASNGTAGSMSVIVWLLVWRFVLGIGI GADYPLSAVICSEFAPTRMRGKMLTLVFACQPLGQLAATLVALIASVRQRSGIPGDAT ITKCNEECKRTLDSIWRWIIGVGVIPAVIALWFRLTIIESPRYTADVGGDTAKAASEL KRYLLGSQQIGLVSSTSIDTHNRSAYRRPLRRRSTSSGARSGPVNHTGLDNQQHETQP LSRRSSGALSVNPSPEEANTEQHETHPLTRNSSGAISIESGARTDNGDENHDLRTEQH NLMPVDLHEAEGSSTVSPLHGGFDSRQAGTDFNLNDGSYEPQNVARAPYQDDPEANID FHTNRLTQNPSKQYFMTSTGKAFPITPSNGNVANSDDNKQPPPPNWEDFKDYFWHKGN LRTLIATSVCWFCVDLPFYGLGMNSPHIITVIWYGKNPQPQTVYDLLVHNVWQSLVVV SIGAIVGISITFVAIDRLGRKWIQMIGFFWLFILFIVIGGSFYHLYDIGGQAAIVVLY ILCQIFFNFGPNATTYIMPAELFPTRYRALCHGISAASGKLGSVIAQVFLAYINYGHG DNYNDIPKWLPYSLLIFSIFMLIGLYTTIVWIPGQEHSPSGAVKTLEQWQKGRVPEKD YSHTRWGKVVVSVWRWIGSVGGYIFMVLDSLSGGEEKERRSGKVVVDGERELDEVDDE RGRSGADGERARPFVNGSVRHASR LY89DRAFT_8011 MSFGWSVGDVISGLKVVWDIWQAVSDGPLNARFEAAQFFDEYVH IMSRLEKWESRKAAFSKDELLAKSQRQLREQCTLFLKNHIRLIQEVNPNTIAKREGRS TWLKKVPFSTDQILALYQQVEWPLERQVVAKLRIKLQFFLDVASFDVALDTNDTIHQM RTENVDLMSSNLKLVTSHLELVSLVKDNLRRITNPLEPGRQASEIDYPMLRQFDQALR VPQALPRPQPMLAIEAGQVRPVPWEQTRSASQLVDAQYAVAQPVTSAAQNANGVDHND IRDLIERRLDNLSMRVKRVETLETISENEADEDASIQSLLEHLKLMRGRIGNAVGVLR RQSSQNGLSLMVNNPEGALKAELEAWDLLESRMEREILHPPHPVRRQIAATSIQQNGP TRPMDIPRRQTLSPRAQYDGLPGSMSSSPDNSRGSFSSSPTQSRPISHSRSGSHSSQS RPVSIRLDQFIPVTLYASEYTFNGIIHTLRRNAEGEVEKIIAFSNDGNFKVYHSIDFQ TPAPVETTMKPFLDNRHVCPDEAHKWRVQFKGAHHLKIMTVSGTQEEKLFGSMHSPPI YRFNNDNDFKEFQRLLLNKEVKYLCDVCRIDPTTGSKHSQCHLGTIRILLDPFSKTRS ILYFRHTPEHKGFVEWPVNNFKPPREPTKKSKLLTLHSLDDKPLSQSRNLARRSTSGS VATMASFETQPGNALARNQDKTNLKGLVIEFHSSTDCHTFWSEFNTKETTFQLDLDLH GDLNQENGLGLDFGSRSPS LY89DRAFT_744561 MAAQSISPSDAEAHIAKIRHDKGLSENNPPGHNVADLENALTTL SEQLYQSSTHFLLELIQNADDNSYDSKVLPSVQFTYENGGLRVDCNEVGFSPRNVEAL CRVGQSTKKGGDNATRYVGEKGIGFKSVFKAADIVWISSGHYSFKFDKSKPLGMIAPI WCDFPAPIKPGWTSLYLQLSKSYNRRGLLDELRSLDSRLLIFLRRIRSITISISESLR LPWKSSFFRAEIGKDLIRLTENNRTCDYIIRRHQAPTRFFTAYDKEISFKSLRQIAKD ESTTESTARRWLKQRENMGILAYRHTRGRSGKLGKPSKVTKAMCKKLVDPARNPVRNQ PYEAQIAYHKIPCKKRHLQHKLKEYTNGGQFYKCAFVKKEISARNKDERVAYAHKHKD KTMEDFWSYIFFTDEAHIDPSAQ LY89DRAFT_712924 MPQESKRQGVSSSEIVLGFPIGKDGQPRRESQQAYAFLPIRDYG FEFLIQADFLLIASREDIDDSSEWNHALRAALFPALLDTVKEFNKGKFRYSWPRYLPI REPLQSFLKPFHLEMRNKLALQDVLYSQSGTLTRPNSLIYVPEKFTFNAAPLTISAQT SGRYLSGRYLESDLKYLEVMSVEAMSELRFFAELTSFLKTSMGVFKTKSRAWHSHLAS ILMRLPREYYTQLADLTLVPLNNGTWIAANGRQILFPAAKAEFELPGGLELSVVDSTA AADPARKKLYSFLGVGDLEQEPVVRHIRDLHQNNRVNSSSVSRGALVSQIKFLYSAAW INPEFQRFWFMSESGQRIHGSQLYQDSTKALSATFFFGAHRNKFRFIHKDYMEASGQG RKCDLWVAWLEEKMDVATIPRLVSTTTDRGFKLSEDFEFIIKTFPSFAVLGLLRENWD KYSRFFDPDELKKWNEKEIGVKYDTKRFHISGDRLKQKLSSMLVTCTDGKKHRLDTTF LPSKELLVLSILGVSIKVDVSVYLRCLEQLALESSNDSARIMKLLDTIQYRCGDEKEA AIIKKFFTDRNNLIYIPAARKGANSTWLPKSQCRWKGPPLGKVAKEPRTFKSLKDIYP GKNTLFCDILGIQDATLRDLLEEAKSFAVGDSLAHITSIFHAMEKLLEEDEHPISTTA ELRNFDFNHREKMFPVSKVWNLDTDEASEFQDSSVVSEWFIADTAPLRTIFAGVVPLL DVKVDDLAPMDQVLTELGLKSRLLSKQVVSVPKTHGTVVLNEELTSLSDRRLIPTTKN HRARRQEIVKELRNLQVYTADEVIQEWCVTYKKKAVKGPPGNGRVALVAENDVLKIYL AVKGGFEMEQTPIELVDEMFTFCGMQAQNPPHSEMCLHIALSQGNLAGISKVFADKGI PSLEGLKFADRRTSEDGTKDEMEEGQGADGSKNKFRVLRELPLIVLGLPVGIVVGVGA GVGSLIKSRKLFDGKVDGDDDKIDKSNPKPKKKVKPAKPQRPAKAPKQGDSGFDNFQN SLSRGLARLQLATLCSETVAFRGELYVHKILASALGQNYNPLIHWTFPRRSRAHIPRF HTSNSFSHATFTFTDPSGAFTQLLHTHHYPGAANWAADPPTYHIDVKAAKGDVKSEFV LSPVEFERAKRFSVLMREEGKGPRDVYILARVFDVGVQEEGEGEESRMVFLVDPWEFW HAGRMGVRVEGRVLGTIV LY89DRAFT_8048 MTCEACQTIPPVVVTNYQTKGHYKQIAGLKTYITGPPTSKKALI TIYDIFGFASQTLQGADLLAAAVGALVFVPDFLEGHVAREEWFASKEPSPEKAAFFAM LDPAGNAGKLGRFVEAAKGEVEFGDVQSWGAFGLCWGGKIAVLASGPGTPFKVSGQTH PGQLNVEDAKKLEIPHIVLASNGEDEKVVAEYKAVLVGEGKPGVVESYMNMHHGWMGT RAKLDEAENLKEYERGYNQVAAFFAKHL LY89DRAFT_573255 MEIKVGEPAPENDGPPARFLVPGSGPSTILTHGRGSNLDVPAIV GFNQGFGRTHSSLAFARGKENEAERAAVFNALAANYPTNTFTGRSFGARAATIAALKN PAINQLICFTIPIVRERDTSLSEDLLKLPATTDVLFVLGNDDARTPEIELHRVRKLMK ARTWWIRVILGDHGLFYLPESKRVALAKVMGQLAAQWCENRDPAKTEFVLDWVEDKEK GLNQPVWSEWQPIQEDPAEGWGCAVQ LY89DRAFT_8174 MMTRKDAAARNSSATSSSTDSWKSTDTVKGPTSLFRNPSRRGAP MTVKTDGRNKPETDPQVSPTTTAAPRTTISPSTSSPNVSPRDHRMADFGNYRRDLAVL QTSSGGIPQIQHNPPTALGSPNHIAPWMSTNGTVTSPQSAFGTSFYNDSSDNLSQASQ LSPGFRPGTGLTGNTNASDSPADPYFGDERRPSVASVTTASSQGSKSSVNRTGIHKKL QTFFGEDFPGRDGSDTSLPSHGKDARSHSFARSHRERNHSSATDQTQRDISPAPSRPR TPVPSSDVVPFLYQDSQDISKYGEAPVREKLSGPDKDRYMNENPQNPPKTSSSSRSGH VHLPGHNHRHNKSNEDARSLRPSISRETTGGEPRQKDRAPTSMGTALGNSSNSSLSKR PSSPTPSGMSGWSGSTAKLPLNDGSTSPTHGKRGILGRFRKHKDKDGTPPGRQLKDLP GSVRSFNYASNGRGQISPEFGNWSHNGSLAGSEVNLVRQDNGNSRPNGQPRQGTFGKL PFRKGRSKATDDSELYSDLNEKHEGFLGSVFNLDTDLSKMDGILAKPVPLTPLDNSIF TGNVEDEAKMDAKNAGGLGWDAPDSWAVKKVDDANMSRLSEIDEAGIPPKADEKATPY CIRIFRIDGTFATLGTPLNATVTDIMQQLGKKTYMTDSLENYQIVMKKHDLQRILGAG ERPVVIQKRLLEQAGYEERDKIEDVGREDNSYLCRFSFVPTRESGYASVTNDPGVSRV QKYSHVDLSGRNLITIPIALYSKASEIISLNLSRNLSLDLPKDFIQSCQNLRDIKFIN NEAWKLPPSLSRAGRLTILDVSNNRLEQLEHAELSRLQGLISLKLANNRLRSLPSYFG CFKSMRTLNISSNFLDSFPKFLCDLDGLVDIDMSFNGIASLPDEIGQLKNLERFVITN NRLNGSLPDNFGELVNLKEVDVRYNALSSINVIAKLPKVEQISADHNSVSVCESEFTK IRILRLNSNPVTKFEILNPVPTLTTLNLSNAKLAHIPDAVFDKMPNLVKLVLDINHFV SLPSHIGKLRKLEHFSIARNALSSLPAEIGCLTELRFLDVRQNNLKKLPMEIWWANKL ETFNISSNVLDNFPKPASRPPQVPGEIPTPTERGPLNGSPGQSSNNSSFEELGPLEAF GHRRPSQASGGLLSVGGSPVPGGGDRKSSIVSVYGKGNNRKTSVVSRSASQNSIGTGT PPASTARKDSGMSARLLNTFAGSLRNLYLADNQLDDDVFDELILLGELRLLNLSYNDL NDMPQRTLKSWPQLSELYLSGNELTSLPSDDFEEFSLLQVLHINGNKFQTLPAELGKA HRLAVLDCGSNSLKYNVSNWPYDWNWNWNTNLKYLNLSGNKRLEIKPSIPGGGANRDG RDLTDFSALQHLRILGLMDVTLTIPTTPDETEDRRVRTSGSLAGQLAYGMADTLGRNE HLSIIDMVVPRFNSTETETLLGMFDGQALSSGGSKIAKYLHENFGHIFGEELKRLNPG LKESPVDALRRAFLSLNKDLATAATQHTEERSLLSHRGSAAPAVLSQADLHSGGVATV LFLQQSELYVANVGDAQAMLIHSEGGHRILTRKHDPAEPNERQRIRDAGGWVSRQGKL NDILEVSRAFGYVQLMPAVQAAPHITQVTVKEQDEMILIASRELWEYLSPELVVDVAR YERGDLMRAAQRLRDLAIAFGATNKLMVMMIGVSDLKKRAQIRPHRVQSLSFNPAALI DEGYAPARRPKKKGETVEDSGLRRLQAEVDAPIGDVSIVFTDIKSSTLLWETYPSAMQ SAIKLHNEVMRRQLRIIGGYEVKTEGDAFMVSFPTATSALLWCFAVQQQLLEVQWPSE VLSSVLGQEIYDNDHTLIFKGLSVRMGVHWGRPVCEKDPVTRRMDYFGPMVNRTSRIS SVADGGQITVSADFISEIHRCLETYSESDRSGSTGSEDTFDSDVMSQAIRRELRSLSS QGFEVKDMGERKLKGLENPEYIYLMYPHALSGRIHYQQRLAENDKLIMAEEPATLSQQ SQLSIDTDSVWALWSVSLRLEMLCSSLEVDKGHGVALQPPETAMLEKMKHRGGEVTDR FLVNFLTHQVSRIETCISTLATRHLAIGRCHITDLDQLRAPMDDVLDALAMQLQELQK YKARYGELPPEGSKKRRTKTLQN LY89DRAFT_8158 MSIDAIRPAWDEVYEFYKTTSVYFIARSNGPNTSSSITYCSPES FCLHLLSPAVTYRTSYYSKIAHAVGKSEISTSTYYLALFWPGYPSSRRALIQRSDIST PCMAKSAADARRSAADWARIYHTRLLKPSGPHTSHTTGTLRLCKRSKRSYQDPHAAPP TMIGALCAS LY89DRAFT_776179 MISRSIFTFAAAALLSCQNVAAQTYSSCNPLYSTGCPADTALGK AISVDFTKGSVNSFTASGTPTYDSSGVHFTVAESGDAPQLASVFYIMFGKVQVTMKAA PGAGIVSTLVLESDDLDEIDMEWLGADSTEVQTNYFGKGDVTTYNRGAFNPAANNQGE FITYTVEWTSEQITWSVGSTIVRVLTPATADSNQYPQTPMRVKFGSWSGGDPSNPAGT IAWARGPTNYADGPFTMTVQSVAVTDYSTGTQYKYGDTSGDWESIEAVGGSVNGNSGG AASTVASADVPAVTSASPSIPAGLGDTHGSTSTLTGWPWVASTTLSTATQAVTSTAGI PSGWIITSSGKIVPASSAATLATSTLYSSVVQSPSPSSPPSGAGLEYSTGYDNQGFLT TYTFTAGYQTLPKSYDNQGFLITATPTTTALATTSDAACFGQNCGANGKVVGISTSSA LAAKNTAIWHSIAFLGVGAALAERILL LY89DRAFT_712928 MGSRVARLRADRDARLQQRIENLEDLWYDELEDDTDLFYKPWKT PGIVPDNLGPGTDPSAQPMTPWYIDTDPWFSSRSMDGEFSYILEDISKYAKARLGPQR AADIDEMMQKLKGGMFDRDVLLWGIGSDALIPDDDTPLHIIATVLENGKKLGTEDIDF DDVEAVGWDREIDDDGDEYWSNNITKKRRYIKPISKRVLDLYPTASLWSPIRELWGLL KHLRILRRFADGRNPEVTARPLPNGENIPPQHDALTIAWVLHRAWIRMLHYRTLVIGI PVDGSPNPEIKNRGLGYLYRNFQLSLALLSLEMRDVKRDPESQQDNLARYSTIHRTSQ DIDAALKALGQFIDTETRPPTRTRTKAETRVYNLRPDRIKPAIELALQGLPVMWKLWS RILRKTRDFNKASDVSHIEDVLVSKRLVYDDEWFFMAETLIRDPDYDPEVFLDEADPS VEMGEQRMIGILQKTAEVNRLRRNKLLLTEWSESRPWKQLYVMCDTSAKGQPDLIPLI EAFWTKLETMKQHYKLAETNNPSNEANVWSKLFTIHPLATTDPPSAARMGGFYEGDCC ICQEDYKDEPDKIFMRLHCNHYVHFTCVRTMWDNPDKLTFPCPLCRTSASDWHLHDHA GISPENPVLDVWDYEELEGMGYIAGAPNAAIPTDPHPDMDAHYHRTDLCKFVMSTLWD EDAGYPYVNPANPRNMNDVLVGGAGVRNREPSVEMAVMRKKRRIRNRARAELAADAQR GYEFLDPSDAENLGI LY89DRAFT_712929 MRCPKCNRSERVCHKTKKSSSSSTSKTKPSSSSSSTSKPTTKME SRYPTYHGSGSQRISSSSHRPSGSGSSSRRNSAENGLWDRWSGPHYGKDPYYANMNEE QLRDIRILRFGSENPTVEDKLWKTTLPRPSDAEIARSPVPQTERDLFAGTSKSTLQSG GGIQSGLQLKNDQGSGYYGPWKGSGSITGAGIWGGGRPGSHR LY89DRAFT_633729 MAPPSAIDIEGVTDTEAIAIPDPLSINGIASQRLKAGRLIAGTA AGTSSSQFKSPTIGKPKAKRWDHYLTVESKSRHPSALKGAAVHLKKPGLISLGGGIPL AENFPIEEISVKVPTAPHFSEKEARETGTVLTAGKYDASEGSSVYDLSIALNYGQGTG SAQMLRFITEHTELVCNPAYADWGCTLTVGSTSSLDKSLRTFCERGDVVLAEEYTYSS AVETASSLGLDFIPIKMDSEGLLPEHMDEILNQWDEKARGARKPHVLYTVPTGQNPTG ATQSASRRKAIYRVAQKHDIYIFEDEPYFFLQMQPYTGPSSPSVPAPQSNEAFLRSLA PTYLSMDVDGRVMRMDSFSKVIAPGTRVGWITASEQIIERVIRANESSVQNPSGISQI ILFKLLDEHWGHAGYLQWLINLRMEYTSRRDVLLAACEKFLPRDLVTWDPPAAGMFLW LKVDHTKHPAVSTKPLLDIEEEIYLAAVEEGVLVAKGSWFIAQRGGFVSQELFFRATF AAESEEKMAFAIERFGVAVRKNFGLV LY89DRAFT_8331 MPFQASPNCAKSMIWHEGVWRYHAGPNIHPTALYLNYLADMYQR RVLKVYHKLATSQTDKQRADLILRADHLYLINAMVPWERKKRWRCSAPTIFEQDELQR QEDTGFVSELLLSKKLATESTSPLWSLSRTGSLRELPVSMSEVAFVETILGEFETRYK ATMRRLKDVPYPFDGSSVYPNNWTWTTFFSIVQERKETMFNFCDARFQVAPPIRDLVL MICWQVIIGLPNAEVPFEELEYKEFLGLPRSPYTRHPLRFSLGHRAHGLPMTTGWPAK YTSAKDFDHRNCNIAFETWASNTAKSNWDNHTEAIRQDFLDNLKDDCSPFWTEAEFSK PAPPLPLAAFRSCAFSAASSNSQADDSDDWEASLAEESVLNDLDLQWCEELSIQEMED GIDFLASELKMADYFTGYEAQYEDGYQERTTRVLAKLMSLLNGAPPSH LY89DRAFT_8345 MAPSHFTTNETPSCRRSSTKMLLSYLNNAGFSSPSLQTTPFRSP RAANGLQIPDPELVPSWCLMLLLPYLLCLNNRVPTEVRASLTNGEGQDATAVDNTTRK KALNGQKNAGIDRRELRSNLQEKRQQISYTILRTWLGRSFESIPYLEILLIRKLELRK SSNHGSNLQNSTRSFPIMDEYGMVLTRKYNDMR LY89DRAFT_604257 MHPADVILPVIIPALIILHLLIAPYTKVEESFNIQATHDIATHG IAFRNTSAFLHRNYDHVSFSGAVPRTFVGALALAGISKPLIALKGYESAQLIARAVLG LFNAFALLRYKTSLNQAFGPDVGRWYILLQAAQFHVIYYASRTLPNMFAFGLTTFAFG SFLPAPGSSVAEQQRRQKFGIFLFVLAGVIFRAEIAALLASQLFYLLVQGRISLQTII STGIVSAIIALVLSVPIDSYFWQTPIWPELAGFYYNAVQGKSADWGTSPFTYYFSSLL PKLILNPLILLLLIPMGFALPAIKSPSRDLVIPSILFVAVYSLQPHKEARFIIYIVPA LTAAASLSASYIWNRRSKTILYRLGSLLVIASIAGSFIASMGMLFISSLNYPGGDALA QFHDIVKHTKFDTAPNELTHTNVHMDVLSCMTGVTRFLQLPPNTELNGKLLNITYDKT EDEKTVLEPAFWDQFDYAMMEEPGKAIGKWEIVSTVFAYAGIEFLRPGDGSSFSENLE RVYAANNLTKTDEQGNEEVLEGKDVEEAVEKSEEGKVVWDEEKEREKREINDLKTRLL MEEIGRFGTFKLVRDMVRVVTGGWWVGPRMEPRIRILKRIEDE LY89DRAFT_679246 MAMLFGFGNLIYIIVLLTNAIAILSEDRFLARIGWSNTVQQPGF GQQVGGDASIKHKLINLMTSVRTLMRIPLILINTVIILYELFFG LY89DRAFT_662764 MSGLFSKFKGTSGTTSPGVSSPSHKNKKDAEPEPEITPLVKMLQ NAGPIRNDGSDKFFGLENFGNTCYCNSIVQALYYSVPFREHVVRYPPHSPLDTPNGYP KIVTPIRAPIQNGGAVSPAKPKGITAAEAMKRRAAINAGQPVPASPGQRPEDKPDSPE YKKKHAMLAGPILELTYENASSYGMEESTFTALKDIFMALIANPSRTGVLSPQRFLEI FKRDNEMFRTSMHQDAHEFYGLVLNAVISNVEANAQRIRELGPPKTDDSLAQSMKAAV TSAAHAMGLNSGTQSPGTGWVHEIFEGVLTSETKCLTCETASQRDETFLDLSIDLDQH SSVTSCLRKFSAEEMLCERNKFHCDNCGGLQEAEKRMKIKRLPKILALHLKRFKYTED MTRLQKLFHRVVYPYHLRMFNTTDDAEDPDRLYELYAVVVHIGGNAYHGHYISVIKTQ DRGWLLFDDEMVEPVDKHYVRNFFGDKPGMACAYVLFYQETTVEAMRKEQEAEGLAEV ALASQEADIALGHPQPNGTHPSSVSKAVSIPNTPVDENGEFVSLDHAVTSPVPQAPHP ALRHSTTLPPTSTTSTTPAVPILDINKMRSKKDEEREKKENKEAEKARKAAEKAAEKE RIKAEEKRRKDLEYKRKEYQKTQAEEVKAALAASKASAAEEEERRKREAGASGGPSSD TSKEKENGHEKTFGLSGLSRSHKGSKSMSRKSFGFLGGSGKNGTSSEKGSPSMSSVTP STSQTTTTTGTTDDTAVEGVGELASSSSIQTPEKHSKTTKDRFSFSGLGRKKSNLMNS LY89DRAFT_572843 MASWAGQPSVKGSTEAMRMALLTFSMAGLQFTWGIEMTYCTPYL LALGLTKSRTSLVWIAGPLSGLIMQPIVGVIADRSKSKYGRRRPIMIVGSFVVGVFLL VLGWAKEIVAYFVQKGDFRKTCTVFVAVLAIYAIDFAINAVQASCRSLIVDTLPIAKQ QSGSAWASRMVSMGHLTGYVIGTMDLVSIFGTTFGDTQFKQLTVVAAFALVFTCGVTS WAVNERVLVSGRDGDAPLGVSMIFKKVFRTLTTLPPRIQAICNVQLWSWIGWFPFLFY STTFVGEIYFRYDAPKDFKGSSDALGDIGRIGSLSLVLFSIVTTIGAVLLPLLIRSPD EPNFTARPPAAIAGLVTSVNKWKPDLLTAWIYGHLLFSFSMFMAPFAHSFRFATFIVT LCGFPWVIASWAPSAFLGIEVNRLSASPAAYHRLSSADNLELSSPLRLEHGPDEKDDP VNSTGELSGLYFGILNIYTTIPQFIGTMISTVVFAILEPGKSPELAHDADPSEHHSTD GPNAIAVCFCIGAFSTIGAAFATRKLKRLMVDVGEK LY89DRAFT_633746 MASNRKYAALPDLDSAPDIYETPELTDDNSTAPTGRARSESTTS SYKDFDEDDEESGISRERLQPDEARSHFLPAQVDARDVDFSDRVNAKRKSYKTSTRRQ RRREDGTEEYGDFSDEEDGESLGRKLARLRREIEEVKEEYGKRQAENKSVAGKDGEDL GVDVTTLSRMLDGISTSQGSAPVSVAAKFAKDLGTGIKANGPPQTSQGKSDPTTYTVT YAPTYQQSHALAKAADFDTRLALLERALGLGPTDLPTSATPRAILPSLETLQRQISLI TESSPSSLDSISRRVRTLTQEAEKLEESRKAAKAAQDALRAAGGDNIAEEGEDSEQVA KINALYGTLATIENLAPLLPPLLDRLRSLRAIHADAAVASEGLTKVEERQTQMAEDIK KWREGLEKVEAAMKQGETVMSGNMKTVEGWVKELEEKMQKI LY89DRAFT_662767 MPPKNAPKRSSGHTKKAPVEPVQAEDDEDINRVPDNSSDDERPS PNIKPTTFTKGSEKQNGISALGKKQNKPTINGNPSPAWKDDKGGYRGTSRPRRGANDA PSSSASSASKTSPKRKSPADTPKLGAGMFDAFGQAKVKKAKTTYGNSSQARSSQSKSA SQRFVRKASPEPPKFRHPGSIPSSPTSDFSPRKSYQNHRLDDDPTSTPKTVNKFEKPS MTPWKEEEEQEQKAVFQKIGLDDLEESFTQSSADPDESLLPHPGLQEKPTEPPVATQV QKFQVWDMDDSVREKVTALVGETGNNPPPAAILDDDEFLTMTQAARCPMCHAPVDPAD IRAFGKNMNIRMQEKFCRSHRTKTAKEDWEDRDYPTIKWEKLDRRITQHHALIKKMIK GEDSYYRAQMEEKINAGKDRSLMKMTTNLTPGYYGARGLRAISENIMHKFTPLLKKRI VDDKLMSARGVTPYVQSVLVLEVASRLIMEDMKVNLEQARDILAESAEVGELLNEEVR DVVKKTVDDSEDEDDEDYD LY89DRAFT_679252 MDAEPSYRKPTRASLGRVRMFVSTPPKKKIDKKQMEERQRLTKV RIESAEKLRLLVAPSLRWPTRNSVRVFREGQAKLAAKGATPSKQSSNTQPASGGSSST TNNPSSSPSRFPFSVQNSKDLSTFPKFPVLPTELRLQIWKEALSAPKFIELQYWTNDA TKTPYHYAPSVEKSQIINYQRYEPLFSVCLESREVAQSFAPKHSKLSHLKITQDSWGN VLPALNPEPVFTPERDTIFFRPMDHSLGNSLRSLPSNFRGHIVRIQHIAIPLDLKKGV SSTRWWASELVAFPKLKTLTFMLGCTEKSWTGDRSIELRDMEQWFVDGRKRTVEIDLE WPSERMWQKRNAGVVDVSELPAFVARAVPHRQLAVRVVAWKRLRSK LY89DRAFT_776190 MASPPPASADTILFRPSKKRKVYRQRAADDEETTASPPTIATPL SPAAASHPQSLDELISSAASHVAKDGSEEVEGVPVSMAEILRLRKMKKRAGGVEFRAE TQSHAPRGDEQALVEHIESAGELGGLIDNGVPRKFAPQMGAVGDVNRHMMAYIDSELA KRRAIEGAQSQTSSTSQIGAGIVSAGQKSTSKESTDVQRQPATLGKLQEVDLGDDVRS KNVELTNRARRILDGENVTDEIPQHGRGKPGKVRLGPDGKPWRGRKRRASEDVARDRL VEDVLRENRLEIYEEPVVEEPTTGNDEAADDRIAEAFRREFMDAVSQRQRKKAGPPQP PARTAAGKKEEEVMKGPKLGGSRTARAAMREAMLKGKK LY89DRAFT_692826 MVSLWGSKKDGDDPESEAQQNGDGAEPSSEPAPARHSVEANERT RLLPPPHEGYLSPDDPAVSPYNLWSVRFLRYFTVFFAVITFIWWVLLLVSIFVSPPGM HSRGSGFFDFSYTTLTLGLLLTVLLFFSTPSKAAQVSCLVISVILLIDMIMIVAVPQL RFEEGWVGIASVVWALLISIWTVFTDRIVTWGKHEEEERLTGRYETRRTLTEWLAVLF STIVLIVIAVVAVLMSATLIIRARDASLPAPGEQYYVDGDKYRIHVFCEGNTTTHKGT KVPTVLFEAGEQPFAGSMALFAENALANGSISRYCYSDRPGLGWSDNAPSPFSAGMAT DALSEALARAGEEGPWVLASAGIGSIYSRIFSSRHGRDVKGLLLIDPMHEDLLHRIGN PSRGFLLWAYGILSPLGLDRIPAAIFKGRTREDRIFGRSAHQSGKYIKAKLQESLVAD SLSKNEVSSARNIQKEGTPLALISSGIEVRTDSEWEKKQRDLSHLTNNLVSWDIVNKA PHEVWQTYNGRETIEKRLKELIKV LY89DRAFT_604283 MSDLQRAFAKAKLSSYPATMPPTIPPFIEADEEEEETGHFDIES AELPHDDDSSSASSASSASSTGTIIPSQNQKLFARPQGSPNKRTMDQIPWTTYFEREL FLPSPSADTTITHHVYLTSPIGPSAPLFITHHGAGSSGLSFAALTSELRKRLPNAGIL SLDARGHGSTTISPSQETEILDLSLETLSSDLLTVIERTKLQMGWETMPPLILIGHSL GGAVVTDVAKSGKLGNSLLGYAVLDVVEGSAIDALQSMQTYLSTRPAGFPSLESGIEW HIRSRTIRNSMSARTSVPALLKHDSEIRSSRSWTWRTDLAATQPFWEGWFIGLSKKFL EARGGKLLLLAGTDRLDKELTIGQMQGKYALQVFPEAGHFIHEDLPEKTAVVIVDFYK RNDRSALVLPPKVSDMLRAGKKV LY89DRAFT_679257 MSPSNENQSTTPQANLQTTPPTTPQSMTLNPSQSTTPAQAKESQ DQEMRIRGGDRGGMCPGRFCFIIPCPLPCDCCII LY89DRAFT_727044 MHFNSLLVLTGLLSTLSLADPIPQSPAQTTALANDLESYIVALA TDTAFLSFASALQTNAALSSSVSSFEASMSSLITHRQTPTAGYISAAPTDAQTLLSSI YSVEYQMLSDNGFLATSSSKAAAPTQGVGSRVKVAGAVAAGFVGAVIAL LY89DRAFT_679259 MASFFDLKARKEAAATNGSSKSAPAAKETNRMQPWVEKYRPKNL SDVTAQDHTITVLQRTLQSSNLPHMLFYGPPGTGKTSTVLALAKELYGPELMKTRVLE LNASDERGISIVREKVKNFARMQLAQPTAAQRAQYPCPPYKIIILDEADSMTQDAQSA LRRTMETYSKITRFCLICNYVTRIIDPLASRCSKFRFKSLDQGNARARVEDIARKEGV RLEDGAADMLIKCSEGDLRKAITFLQSAARLVGAVGDDGEEEEDKMDVDEKEEKLVTV KSVEDIAGVIPDATIEGLVQAMRPKSRGLVYEAVSKQVTDMVADGWSATQVITQLFPA IIYDEAIADNQKNKITLIFSEADKRLVDGSDEHLTILDSALRISGILAGA LY89DRAFT_727046 MDMGTSTTAMTATATGSAAAATSSMAMSMGGDCQISMLWNWYTI DACSLPVCSPQMKAHKSRFPLQLMAHHRGMFAGFCIGVIFLVVCLEFLRRLGKEYDRY ILRQYQRSYVPISVNSSPAPEQQACSSKAAGSNGASAMVVPPFKPHILQQIVRATLHM LQFAVAYFIMLLAMYYNGYIIICIIIGAWIGAFIFSWETVSLSGPQEEVTVCCG LY89DRAFT_572141 MQRFISTIMTSVTKKIPIPRNGVDYRGKLVLAPMVRSGELPSRL LALHYGADLVWGPETVDRSMIGTTRKVNEALSTIDFTRLPSHGAKDPRKDQPESLIYR IHPEREGKHLIFQIGTSDPERAVQAARIVAGDVAGIDVNAGCPKPFSTSGGMGAALLQ TPDKLCAILEALIRDIVPEFEIGISVKIRLLETPEKTEYLVRKLCATGITGLTIHCRT TPMRPREKAIREQLRMIAGICRETGVACLMNGDVENRAQAEQLIAEFGVDGAMIATAA ETNSSCFRSEADGGLASWNQVVEQYLKTCLEVENRWGNTKYLLNHLIPGKRPEYREVT MSKSYHQACKILGLEHLESQAKEVDEKLGITPDTEYQGRKNKNKKNKSALAAGGQQGK QRSDKKPLSDRGVQSQQPTLAIA LY89DRAFT_679261 MASEYKTHKVGAPNTLAHRVYIEKDGKIISPFHDIPLYANEQQT ILNMVVEIPRWTNAKMEISKEELLNPIKQDVKKGKLRFVRNCFPHKGYLWNYGAFPQT WEDPNVIHPETKAKGDNDPLDVCEIGELVGYPGQVKQVKILGVMALLDEEETDWKVIV IDVNDPLAPKLNDVEDVERHLPGLLRATNEWFRIYKIPDGKPENQFAFTGECKNKKYA TDVVRECAEAWEKLITGKTNPGEVSVTNLTVQKSPSRVTPDQLPPIPANEDLRPAPID PSIDKWFFISGAAA LY89DRAFT_633769 MITRFMTEVTTVFNPFSPKAKTARLFLSFLPPNARAGGMKISTR LLPRTSKEISFVELKFKDGKEMKLDAEKLGIKGVTEEVDRHSRILARQEELNGN LY89DRAFT_776199 MSFEAVSDQLKILKEVNAQLKDLIDRLANINFQPGSVPLDEGDE NVATELTSEIQQTIKDQDEDFELLLEEVHDLNSGMDNREELLSNVTRAIAELKLYQSA FRKAQITAKRKLEAAQRAERDLLLQSYIEPPRTNTSSPAPGPVPSRRRNQQTATLTEE EKTVNAASDVTRALRRTHDMMAAELARSQFAHETLTESTAALAQLSESYSTLDTLLSN SRNLLGTLLRSQKSDTWYLETAFYILMATIAWLVWRRLLYGPTWWLVWFPMKMFIKGW IGVFTAMGLVGGSKPESGVNPSLTPVMGHHTVAHSSGTRGPKPSLGPPGVHRVNVGGG GRGAPMQGSNNPQASKQETSGDSMSEKVGRIIDESRESPLGETEEGGDSAPKGEAEIQ RNPKKRMWEEEREAGKDAQRKKDEL LY89DRAFT_679263 MPPTIPNRQWTSHLNGISNLTLTQTTTSHPATNEVLVRITAISL NYKDGETISGQFNHHAAVSLPSTIVPCSDAAGQVWEVGDGVTRWKKGDRVLALPYLEY KTGRITQEMLGSGIGSSGKGVSCEYRIFEEDALLPVPESMSDEEACTMTVAGITAWMA MNGQRPLGSPGGQEEVVLIQGTGGVSIQGLQIAKASGATVIITSSSDEKLARAKALGA DYTINYKTTPDWDVEVLRLTSGRGADIIFENGGAPTTAKSFNCIRFGGLFNAIGYVSG KVDPKDEEKTNINVQAIRKNVILVGMLNGPRDRVVEMLEFYENHGIKPVIDRVFKFEE AKEALQYLWSGSHFGTVVVKVP LY89DRAFT_8812 MYFAAENHAPIPTKDLLSWTFDDVKYDPDEPIYIDAKNPTNSIS SNQARVLIRKLVAGLRAAGLKKGDCVCLHSFNDIHYPILFLGIIAAGGIFAGTNPSYT QFELVHHIKTAKTKFLITEPEMLKNVLAAAKECSIPESKVWIFDVLNNPLPEGFKSWK DLLNYGEEDWVRFDDEKTCKETTAARLFSSGTTGLPKAAMLSHHNFVSEHTLVHEYKK KAWRPIRILALPMFHAACVPVAHTTALRSGEPSVVMRRFELEPFFATIEKFKVNEVGI VPPIVIAIIMSGLANKYSLDSVRQVTVGAAPLGKDSQTRLRQLLPEGAFCNQVWGMTE MSCIASMFHYPEDDDTGSVGHMLPNLDTKIVDDNDKDITGYDVQGELCVRGPIVVKGY FENPKANAESFDSEGFFKTGDIVYRDSKTKKWYVVDRKKELVKVRGFQVAPPELETVL LNHPHIIDAAVIGVKLQGDQHNEHPRAYVVKRPVKESENLDEAAVKEWCGGRLAKFKE LTGGVRFVQAIPKNASGKILKRVIREEAKAELDQGRAKL LY89DRAFT_604302 MDITAFPNDIFLIVIAYLSPKDLILCRSVDKRFQAAFTESDLNR HVLVQHYPRARELRHIDLNVDWAQTFAKVVGRYHHLKTGKPRSISKLALGRSFVVPSW ARYYPISPWQRHLQFEEKTAAFHYPDSLWTYEEGILIFPSAELQKYVLFDLETGNSSE VGFKSEGKIIRRIRLCQRVLVVEWCEQDAYHQLNENEMVFRHFATAYDVSRELDGKWS MVFRNEWKIHFLGFPLNSRDRFFSTHNKTHYAIYLWQPNRSAWGEDEPIEGLAVWDIS SASAYCPSEDPSGKRKPEGQGPHVIRRFSFADLSFYWIRQRSTPVLRSLELDENHVYI IEEDHRWLVGQQASHTLPRLHKVKTTGIPFSPGPCWTDECGADGDVHLSFCERVSDIR RPEVAPCWRHEEFPYLTISEAIDAEAGVVFSARHCFMLETISINVKPRVQMTGPGYEI SLRDDLWTQLMGKGKICGDERWLIGENSQQEVVILHFDEDPKLVNSVA LY89DRAFT_692838 MNHQSPLGQRCKEPSWCLGTSVALHLIQKYPSAKVTLIDRTPFP SQVGASWDWKKVVRADYANLLYMELALEAMELWRSDPLYKPFYRESGLVWVDNKGFPQ DIIDSYKKLNSTAKSRLIKAEEAKGLWDGIHADADYEGAGDMLLNESSGWAEASAALT KTIEHAVEAGVQYVGGDVEAVVFDDQGASTGVRTTKGDVLSASHIILATGATTAKLIA DSVPKRPEMQVAGRVVAAAICTAMTILSDEEAESSFTS LY89DRAFT_727055 MSNIPLTTATTQSNPTRTWVVGVLSSRKTVVSEFVRLISEHENV FEIPVNGVGSDVNWVDWIVGGVGSWGTLQNVITAFSTNHLPLVICLREIGNISRHYIA GDRIIVSGYSRGAWAARYLAMLIDMIGLPKDGDEALFHRLYKACDNATILDESVAARL MEGYDCWQDVKINALCCFDTVGSFGLPLTGLAEPLAYLT LY89DRAFT_727056 MRRVLSRSIPAAAVRSTRSVPAFRMAPSSEHSKIAARRLHATAQ HLKPATALAFDSKNYPTTHEKIQDVQDTPYFIDNNFVASEATQFIELHDPATNNLVTR VPQSTDAELKAAVESAQKAFPAWKATSVMARQQIMFKFTQLVRENWDRLAASITLEQG KTFADAKGDVLRGLQVAEGSCNIPQQMMGEVLEVAKDMETRSYREPLGVVAAICPFNF PAMIPLWSIPIATATGNCLILKPSERDPGAAMILAEIAEKAGFPPGVLNIVHGAAKTV DFIIDEPAIKAISFVGSNRAGEYIFARGSANGKRVQANLGAKNHAAVLPDANKQHALN SIVGAAFGAAGQRCMALSTLVMVGETKEWLHELAESAKSLKVNGGFEEGADLGPVISP QSKERIESLIASAEEDGATILLDGRGYKPEKYPNGNWVGPTIIANVKPHMKCYKEEIF GPVLVCLNVDSLDEAVDLINANEYGNGVAIFTKSGATAAAFQKNIEAGQVGINVPIPV PLPMFSFTGNKKSIAGGGASYFYGKPGLQFYTQLKTVTSLWKSEDAISKAADVSMPTH S LY89DRAFT_633785 MATLQDEKVEDVCHLEQTETFVIDPVEEKKVLRKIDLYLMPSIF ILYLFSFIDRSNIGLAKVAGMQKDLHLNSNEYYLAVIMWVIGYAGAAVPSNMILSRVR PSYYIPVIMFAWGAIAAGLAGVKTGTQLLVLRFFLGVFEAGFNPSVLFMISIWYRRHE QSKRFMIFLSAGILSGAFGGVFAGAITSRLDGAHGIPGWRWFFLVEGVATVGASLIVH WFLLDYPSTSKQLTPAQREIASRRLFLDGITHQKTEGGDLSAIGHAFVKVILNWRIWM LCPAYMTIIGALAISNFYPTLVEGLGYTSTNAQYMTAPLYLVALVIAIPASWYADRNP HLRGYLLNFTLILLGGLFSELTAGILDFKARYIFLCLINSAIWTGNCLGLSFTSTALG GCDTEVRAIALPLINGCGGLAQLYGSALFPAEEAPRYLIGFSVFAACFVVGGMIYLAA VFLFKNFPFVRSSTLENDE LY89DRAFT_633788 MAAAIYPDLNGKIALVMGVGQSGSLSSKSWGNGAAITRVLCQNG VRVFGVDYNLAAAEFTASRVRAEGGICDVTTADVTSASEVQRAVDAAMSKYGRIDILI NSVGMTAPGDPASMSEEVWDKQIDLNLKSVYLACHAVLPIMEKQGSGSIINNASIAGM RYLGKPQVAYAAAKAAVLQFTKVTAVMYAKKGVRLNSIVPGLMYTPLVEVLGQSESEA DREVFKRITNHNAPMGRMGDAFDVANAALFLVSDSAKYITGQKLVIDGGITSSTGTS LY89DRAFT_604316 MGSIPKYEAPTRDDAFKLFQNIENKFPGKTLGDDKWYLVAVAAL VGSTEPEHVASVYQYLISKPGFTTSESRQLLVRRIREALVKCVSIIGVCKPLEAIFAV AKLERPEDRDYSFSRQHWKSGLENHERGVGWLQAIYKGNIKKIGDSLAAHKDFFWISE EITYGLYLSDHTILGPIETELVVLAGIMIQNLPLETAWHLRGTRRVGVSSEDVECIQQ CIEMVAEFCRLSLHRVPRVADIEHEV LY89DRAFT_8940 MAAIERNPSVFHVLKSKTAIITGGANGIVAEVVRLFHSHGANVV IADLLSTKTAAETLISSLSSRVVFIPTDILTWQSMVSLFNQTVSLFGSVELVVANAGM MESKPYYEFEEDENGDLKEPKESYRVIDVNLKGTMNTLRLAIYYMRKNQPSFADGSKG SVVLISSTSGYFGGTGVVSYVSSKHGVTGLLRSSQRNANRHGVRVNGVAPFFTPTYIT SSYSQSWKESGLPANSVQDVAWAFAQTATDLKYKGACILVRAPALLMNTKLMMKAFGS VMKEIEIPRTQLLSMWLGEDVSESSARAGALFESLGGYPLPKPKI LY89DRAFT_604322 MNKRNFREAFGFARNEGLEIGSSPFDNSVDHPSAAAEDNGTKSS SSRTFIALQACEACRAKKTRCDEGKPCGLCQSLGIECQYKERKATKKEISSAMLMNGL RRVETRLESLSQQVSGITSSLAFQTSPRTEDVVDTNLLLSTDNSKAAVAHSPSESRLR PALSSMDDLNQDIDVLETPASASRTAISFSQHHVLFWPAINTQITTAVPSLLSSLQKE YASDLEMERDKLTMATNPYPLGVGDAWLDKLPYSIITALSEVYFATFNLMSPLLDQDA YIYDTLPTILRGGFGHDMYSCVVLNVLALGCMSVKGYQEGGFPLPAGHGRQSNATEIS FESPEWVGIIGEEYPGLSFFNEARKRIGASLCENDLQSCQFYLLSGIYLMQLVRPTDG WAMFSRACVCCLTMLKSDQTDRGDWEADMQCRVFWTTLMFETILIQELNLPNSGLRQF ENDVPIPKFSPFPHRGRHGARAAERVENDTFFQFHFLAQVAHRILLSRIRQTLFFYSP SEENFPPTSLVSELYHQLEQWRDSLPESIRIDESATPSVPKTPMHAFVPAILYTRYKV AKFHLGRPFLYKALHNPSSLGDDDLQACHSSLIAGMDWPHTSDICRDMPSLQPLKFAT CSQYFGQLLVYWGIKRSPDSRVREVVPEGYERWASEMMGFLRECAPHSMTVSRDVEII EALGFGKSLKL LY89DRAFT_662789 MKLFQTVLDFLHKCFPAHKKANAEESKPLLRDQDQGRQMVLAKR RLITVVECEQDIEIEAYPHASNKWSWSTLLCRIVIPCEECYRRLVAERIRKRTELDRE RGPMPGDVYVSPETGYVTIFYSPTEIVTYPPHQVLTISIPAPPPIVIQVPRRSGRRH LY89DRAFT_727062 MSPQKPPSLGFFPKIVRQAPGIDLSGQVAIITGANSGLGFHSAR HLLALNLSHLILAVRSKKNGEEAMGKLQKEFPSARLEVWELEMTSYDSIQAFARRIET ALSRLDIIILLAHSKLTICESTGHDGDIQVNYLSTFLLTILILQALKKKQKSPTSSPP RLTIVSSGTALTAKLPNRNKRPLLASFDDPYIQPYDTLERYGASKLLGHLFFARLLKY LDPDDAIVNLVQPGLVKGTGLFREAPIALGVIFSVIKTFTALSVEDGAWLYVDAAVVR GKESHGRFCSEGKIQPFASLVYTAEGGPVLDALWEETMSELDFAGVREMLQG LY89DRAFT_776211 MAQRGPRPFINTTPLEQPGQASRRNARSYAMRGKNSGKRRKHLT KKPCIDSWINGQFAGGLCQDPGPVSQVQACTSYVPRQVASEWSLFKFAEEPGPHVRQK LYQFFPILEHRSYPNQILATNFFDRQASLWFEHLGQSQTYVHNQLFVAMSYFDIVVDK VEHIGQGTISHMTKALSLLQTDLATIDRATAEVTIATVIAFAMVAVVSGDTASAGKHH HGLFKVLNLRGGLASLKSCRYLQIKCCRLDLSYAMPTCSKPLFFVAENILWDSYLPRS LPVSPTTAIHLLVSDSEPDPIMVNIWFDLHEFSRAANIAAQTGRKLEPDLLQEVMISV QYRLLNLEYDMEEPHELLRVVMLAYSATILPLLCSQFGAPASLSCPSFPACLHMFSIA NEESSNDKLKALLWLLIIAEISILDVPHMELQLAQTIRALILNSWDEILELLKGFLWI DTLHREPTMKLLSNTIIKTEGVMQCVIS LY89DRAFT_727064 MEVIQLVARWAQDQNGRKEDLGEETPDDKDSRKEKTEKDRILLT KAQAYAVIQLLERPYWSRLWIVQEISLSRKIDVWCGSMRVDFDAMATFLKSNPLYLPA GKNPDVAVRLLEHVNEAAEAMFHNHQINGDRSGTYSVVTSVAFFADNQCHDPRDKIYG LLGIIGGEHKITIDYSKSNLEVFVDVVNWWLMDKLEEPDDNRDDEGNLSSILDAGQSM GVIRRGKQRERITRWVEEHPDQPLSVGDMKELI LY89DRAFT_8982 MFKKFFSQSTPAQQVDPFRYERLQPGSIRLLKILTHDTDPDVVT CELAHFEFPNCPPYTTLSYTWGSPRQIANITVNGRALKVRKNLLAFLRQAARSNEDPA RLF LY89DRAFT_633806 MVSMRIIRIRILSLRRAFKKHFVASAILLLLAVWFATGILFPRA PERPKWADRAVTPAQRAEVVKDVFKFAWRGYYTHAFPNDELIPLWNWYSNSRNGWGAT AVEALGTAAIMDIPEIVDQILDFIPTIDFTKTKDPVDLFETTIRYLGGMLSAYELLTG PMHHLAHNKPENVESLLTQSTTLANTLKFAFDTPTGIPRKTLLLDKQMATGSINTASS IGTLVLEWTRLSDITGDEQYAALVDKSMNYLLNPKPQITEPYPGIVGTWVNVDRGEFT DAWGTWGGGGDSFYEYLIKMFVYDQARFGLNMERWVKAIDSTIEYVAETPQGGNLTFL THTTGKSLDHESGHLQCFAGGNFILGGKILNITRYIDFGLKITESCHEMYIRTATGIG PETISWPPARMTPEQENQFKTLGFGITNANFDLRPETVESYYYAYRVTKDRKYQDWAW EAFVAIVAHTKTRNGFSPISNVNKVGGGMKRGSKQESFLFSELFKYLYLIFAEETEIQ VNYEGRNEWVFSTEGHPLRISGTAV LY89DRAFT_9007 MAFSLQAALFFFIIHSLPLDIDTSLFNNIRLYHWISIYLYSNNI RLPSLTMVLGLGYYYLFQRWAF LY89DRAFT_692847 MTSWHRFPTEIRLTILEFLLLQDGCSLATFTTVSREWQTTIERH TFSRIKLTSSRVADFASIVHRNRHLVRYIWLCLELQEYNCTGCEPRDPELWTLSHAEN VLITAAFQDLFSALSVWEQSADSSLLLDISVYSPSDSEHCFKYLTFEPDVTSYMRWRD QSVAQSMLVKATTDHRHGWVAGIRTSIPDSHAIDRVFGEIMSEGPFDDEEEEGQWWQQ LPLVPAVTGVLLRQQTRRRWKPAALAHMFSRLPGLQEIQYEPWREWAGVQQRWTDKSF QLLLESLSTDRLRRLVLFENFDQTYPASYMNLGCDPKRIPSSHVSRAVANASLKLEHL SASFIVKASHFFAARELSWTWPNLTWLALTSRLLVPQGGSQTELDDMLQSAAVAAMEM PNLETMELWNGEKGLAMLFRYQRAEQGQGQLAVITCRGTWELTLRPVVLQAWDAVALR HRGQGHIVVKELLDAGVRVMSHGDAIGHLKLSRPVIRPVSLRQIQMEHMIREGLQN LY89DRAFT_604339 MASAISFGDANAGFQAGIINGPTSTEFHHHHHATPERPETPPNP SIVIPFSRDTDFVERGTILDQIYQKCAVSGSRTALVGLGGVGKSQLAIEHAYRTRDLS PETWVFWVHASNAARFEQSFRDIADRVKIFGRQNPAANIFQLVHNWLCDDRKGKWVLI LDNVDDAGFLIRDQSGSQDDQKGSNGCGNVRPLVSYLPRSLNGSILITTRSKSAAQKL VDEKNIVAIDPMDRADALELFEKKLGKDDGGDDATKLAAALEYMPLAIVQAAAYISQR VPRYSLQQYLQDFRKSDRKKTSLLIPEGDHEGEQLRRDWEAENSILITWQISFDHIRE KRPSAADLLSLMSFFDRQGIPEALLRNQDERRNSQQDQKESNDDNDTSQSSLSDGFEE DVLALRNYSFISVNTDGTTFAMHGLVQLATRDWLKSHKQQERWKQQFIRNLDVQLPTG EHENWVTCQALFPHAQSAAQQQPKEQDSLAEWASILYKAAWYAWRMGKGVEAEEMSVQ AMKVRKRILGQDHNDTLSSMAMVGLAYELKGRWDAAEELFVQ LY89DRAFT_572503 MADPLSITASIVGIVGPALHATRLLLQDLQQLKDAPKTVKRLVD DVHSVTTTLDLLQSIEEREWGSLGGGVAKQAETTISSSTQACNLFRDDLQKWTRHSED GKLAWLDRANVGFFKKDQVKFMSEQLQSCKLAINLIVGVATLYSSVRNTHLTEEIKKT ISTRQNEVKGAITTADKQLTVLENKVEEMNLSDGDEEVATAAEGKTDILRQLEEELKG VKASQKLLGELLSKSQEEAVARAAKPQSSSITATFGAQNSGFQVGNINGGVSGIKFGG K LY89DRAFT_9165 MLESWRTALRDMMRDHVQVPMTAVKESIMCHRWPAAFRNEVYHQ IQAPISYLLTWDTWMKWVIAALYFHHEHLWSCFIISLMIIFFGCWRICVLSREQARQC LIIHRIMDKPSSPERDEVILDICLEIKEGYEREGMLGLWRAEKLVEIPSVEKHWEQEN PGYVRVKRRVREECDKFDINIP LY89DRAFT_776217 MTYGYNANIWKDTAIGGVEQPVSNLVRLLRQERVKAINQICDTI PTPASCFVKGCLFLGVPHIGSKVATDWATVLGRFQALGVEAGYIRDLTENSDKLNENL GLLSLTEATQNHSRELLRAEGNEGLLYVATPHESNQYIPSPNTTVTDCGTGVAASANE IPLNISSMSLQDPAMKPRRYMPGAKRGESSRSAGTQSREGAGGSTHNHNYQLHNGPGP GLYGTYNEKANFGTERAPTIFLSQKVAEFLLATVASSDVDFEFRDLTVSWQIRLADLY LRGPIGGSVNGLILLKKAQITVESMKERGETYRDQGRLYSIHAVFLIRTGRNEEALVN LELAVKIHEIINGKEDHSTLRSYFELGAHALNLRHFEKAERALAAVISGLEKNQGPAS SDMKDMKAQSYMAMAMLHENNGDRKQVLFHISKLEGLGDYLTGQFYQSDQSTKVMANQ FLTYMFGRMGRKWRIVDGKLCVGEDERGISSLIS LY89DRAFT_553223 MSLSVKHLNDDASFLLTFQPIVPFPPTPGQSTAFTVVIDPWLSG SSKIFHSIFSSTKNKHASCVSSLTDLPAPNLIIISQSMSDHCHKETLTKLPKKGGDFT ILAESAAAKLIRSWKHFDESQVITLKEWTKSGKGNTSSSIHRIPLSPLTSQGEAGEVT ISLIKENDTAGLKNAVAITYRAPTSGLYYDKDLDFLPFTPPASPRSYNPPTTTARALS VLHAPHGINYNILRPFVTTHLVSSAALPLTALLHCFDKVQNPIWLGGNICLGAPSGVK ISEELMARVWISAHDGDKVLSGVANKKLKITKFERGYIAEVVSPRTPSFPDKEQGTEV VVLGVGE LY89DRAFT_572272 MPPRSSLTSSFSVSDANNEVVCPLRNQDGSSCRKRCLGEKRYRS MQEHIRRAHPEHYISKLPATEESFQLMINTPPSERPPPPTSSNLAPHGLQNSHDVCDS ANNHQGYGNDRHSYYGEDSSTPNTPRNLDDYTSNSMLPAASAAAAALAQLHNHKLEPD WESENDWMSDTEAHKQAMRSSIELPPLHSNNIEPTSDPFSHLNPHRRRELLPSILSTS PPGRSSTLPPIQRNPSTNRPRKQSVSKRAREPQHKRQKSRGENAHLRRMSYDRKAYSA EPSSGLSAAYGKRWEDLIDAATSATEDVDEDRTPVPPSPISVNRASLPPFAPSHFQGY QASPLQQALTPPSNLPDAPEPFPSVESGESGDNFHIESRGLSDSSPSFSSQSIQIYCA ACQGISLLKESYACTECICGICQACVDVLMAEQGARRKCPRCATIGGRFKPFQLDIR LY89DRAFT_572706 MAAPSSTAPSTVTKATGATKPARTLDTLLPVPPKENLTTNFVLE EIIAQIDLIGDEFPAINIPLLKSHLWDIKNRNVNPELHLRGFLKVFEKTQVYKDAYAE LDQDTKQSLDIFVKGGGEKEVLARRGGAFVLPPSPAVTSHFKELERRVEGLFGHGEGE GVNGTNGTNGVVANGVVKKVEDVEGLPRIFEDEAETRTMEVHARTPFHNWGLSVENTP LYTFIPRTIHGLTNLVKYASANGFRVRCAGYRHSWSTIFSADKQILISLLNLEEVTKI PDPMSIEPEKGLVSTMDGGEKIEGNEFMTIELVEQVGGEALGDGEVKATQGKQLCRVG VSVTNEMFRRWAVKGNKWSLPVDVILVEVTIGGVNGPICHGAGRQNKTVNDYVRAVEY IDCNGIPRLITSPAHLRAAAGHFGLLGIITHITFELDPMSYALLSPVKPDIGLAIPPL ARTDIPIALRKTWTDAQYAAALKDFENRATNDYYAEWFWFTRSQQAWVNTWNPVPEES GSVEYPSPFLTFMQWIEGWLGQIITSNPIFQAFPGRWQAAILSTFGMVNLPPFEFSEF SQNKTEVIKCTLPNALHFRRGIQNMRVRDLEFQIPIPGGKDGKPDFDVVRRAWWDIIK LCYEDDDCPMRLTMEMRIMGDSNLIMAPQSGNRWGTASIEVLSIMDAVGDDEWVPFLQ QVADLWLSYRDQEGALLNVRPHWAKEWESINMRGLPARQYLKEQAYKDAIPEFKSVLA DIGKGQGWELKDIQARFSNELWDYMIYS LY89DRAFT_604354 MAYRQNFNPDSLPAFAEPERKHGNSSSSSSTPQYNQPPPQQAYS SKPPPPLPADQQAGGRRLSPQMQPHGYGTPPPNRGYASPPPNQQYGGGRPGHSSPPLP PQQQYGTGRPGPGGRAPPISRPPPSPAPPAGADPALWPLFKAVDKAGTGNLTEKELRA ALVNGDWTSFDPHTVKMMIRMFDTDRSGTIGFEEFCGLWGFLAAWRSLFDRFDTDRSG NISLDEYTNALVAFGYRLSPQFVDTLFRTYDKRGEGAISFDLFVQSCISLKRMTDVFK KYDDDRDGYITLSFEDFLTEIIRQR LY89DRAFT_679283 MSVHTSDSEFWLFGYGSLIWKPPPHFDRRIPGYVTGYVRRFWQS SQDHRGTPEAPGRVVTLIERSFWETLDDHHTSESDKVWGTAYRIQADKVAEVREYLDI REINGYTIHYTPFFPSDGSDHISTLVYIGTPDNDQFMGPQDPQKLAEHIYRSVGPSGP NMDYLLSLEVALGGLSSESGDEHIKDLANRVRMIERADSDKSHTTDQAIDHKLKRIGS TDEQEETEK LY89DRAFT_692854 MSKLFIGGLAWHTDEKALRDKFEEFGQVEEAVVVKDRDTGRSRG FGFVRYTSEQEAEAAINSMNNIEFDGRTIRVDKASERGAGGGGGGGGFGGGRGGGGYG GGRGGGYGGGDRGGYGGQQGGGGGYGGGNGGYGGGGEAGYSGGGGGYGGGDQQQGGGG GGRW LY89DRAFT_553327 FERRARHKTREDKYEPRKVDKKVKKDGTERKPRKKIEKSDRKKA ARKSGEDLMNNFSSKSIGQDRLTIRPPQGLGLFNNGRASSPARRRSLSDLAFSEMNFL QSSTRQPQVEQKSKVNSKSREKEKRKATRAQDEITEFFRPSRKPLEEI LY89DRAFT_633836 MSIKAASTLRRALLYVPSSSPKFLQKSLTLPFPLDTITYDLEDS VSPANKAPARHSLLTHLSQPRPPTSHIRELSVRINDVSTGLALADLTAVLQAPHLDAL VVPKVNKASDLTFVTDVLRHQLPGRHSSSSTNPVKIIALIESAEAITNLNEICKASPY LDGLIFAAEDFALDLSLTRTPSLTEFLYARSAISTAARAHDLGSTIDLVCTSFRGEEG KRVLEEECVGGRGLGFNGKQCIHPSQVEVVQGAFAPGEKEVEWSVRVVVGDEKAENAG RGAWTLEGKMIDKPVVGKARAVVRRAELCGFDVKGMREKWKDQEPE LY89DRAFT_679290 MEGNQPQGSLSWRLSSHPITLLCFLGFRISSLLVYLFGLLFTKN FVLIFIITILLLAADFYYLKNIAGRRLVGLRWWNEVNPENGESHWVFESSDPAVKVIN ATDSRFFWIALYSQPLLWVALAVLAIFKFEFIWLTLVVIALSLTFTNTLAFSRCDKFG QASNFAGGALSSGGIATNVASGLIGRFFSR LY89DRAFT_744697 MTRDIATASSALLKPIVNDNSPTNPHRTHSSERKISKMKMFMAW ILDLNDEFVHACDREDTITATSLLSRGASVDARRSYGKRGSDTALISACRKGSVPMVI YLLDNGAAIEAQNERRETALQAAFRKNNQAIVELLSERGASMRLILNRISFSTSVPPQ PPNLTSLGYSVLYARNSSNPTIRDMAKLVISKGGPSICGSDINNTLDMAFRCLHSVKN RTTRTLEIIELILAVGANINAFKYSSILIEAAKLPDYEPMNPDQDITKLKLLKMFLDH GVGIETRDLTGYTALDHAVMGKSPEAACLLLDAGAVNRYQYWKKHPVPERWDYSDYRE VLLYRNICQGYLRYHTKMNASSSSVHSTSQGPTIGRFQRVAPSQPYGPDDFGTTPQSA QEQLP LY89DRAFT_572236 MFAPEAARGNDNTLWSTMAGTIRVLSRTYAMSANPIQLYELEIR DTWFLFILSAKVIDAHHPAQDRLTRLILWARELGVLKRTLNSSQTPQQPIGQDDGTNV GFIVGSEDDVVVQHAATSAGRIWLDLPFLILDLQDAWKTVMNPSEPANHRCNLASAIA RLAGVGLLDEALAGCGLSVLSLALETPESESTSKGLMLQLSQLLPMVQIWLHYAGDKL LQLSLASHPVDDTAWYTEGSAHGQLACDAEIDTASLNRERFQFWKTRLSELRKTDHEA TSRVADSCFNIIEGVWKSVFGLS LY89DRAFT_571901 MGEDDGFRDVPSQYPGEDTRLTSRKELSGWYTYAFAAEVFVICG IGSFIPITLEQLARENGYLVSDPSKPCPSSSDRLPPGLSPSPDSAKDAQCVIYFLGMQ INTASFAMYTFSLSVLVQSLLVVSISCAADHGNYRKRLLLTFGWVGSIATMLFLPVVP KVYLLASLFAVISNTCFGASFVLLNSFLPLLVRHHPKTQYQTPILTPELQPSEFEEQE HPNAEEGNPPDDTTPLLSSAPDTTVPLPPAENVTSVELQLSTQISSTGIGIGYSAGLF LQCISIITVWLMGSTTFSLRFVIFLIGLWWFVFTIPAAMWLRPRPGPPLPSDDPDNAE AQRSWFSYIVYAWMSLYRTVKLARRLKDICLFLAAWFLLSDAIATVSGVAVLYAKTTL HMKPAALGLINVIATTAGVLGAFSWSFISRYFGLKPHQTILACICLFEIIPIYGLLGF LPFVKQWGFIGLQQPWEMYPLGFVYGFVLGGLSSYCRSLFGELIPPGSEAAFYALYAI TDKGSSIFGPAIVGVIVDRYNDIRPAFWFLACLVGIPAPLIYFVNVDRGRKEGAKLAE FIEGFRSREESTEASGAQSLLDSEGDYEERVHRD LY89DRAFT_9841 MPGVIMDHGSRNGSHTNHDRDERHNGVNGIIYTSEKTQDKGKGR VEPQQNMTPISPTMPNGINGTFSDDPQHANGAGNGHDMQNRVDQLPPEILHITQGYMP LSGLLSRLAQHSNTALQATLTELAQMPLPASMTNGNTSHSSSTDDNSPENQAKKKRLL DFATNTHESWTKALVVTGWSRKADEVSRAVDIKVHVDQEKAFYTACIDELAFRRRSFS TDPKKRWPNPDLKTAVPVLTTGKAHWMPDLGYISQPPLTSKDVLQSLEKLNTLLSARL NLDDYDSIPLQFKDFTIKSGRATFKVEGEFELDVTIADEEPQSQFWFIDFRFLFWPSA SILTPRARTFIESRVNEILLRDGLSGCYKFLHEMILTYKISEFRHQAIDLGRAKWVET LKVEALNRALSVQYWLDRYNGSGTKSWIILGVHSGKREDGRPDANSTSRLSLRWFRDH KEVKDADISFDTVNISTESLLRVVIAMHISHILTVIFDKLSAHTLYANRELGISLVTS PDDPSKPELEVSLTNERRLSLKIDPISGRFVFSPTMLMAHKYEVMMNAPSRDPKDSTG KRMEDTIDKALRVLENLRYSFITDDIVTRGVSLGWRRLPDPGIKRSVLFESIPKNTQM SLWLRRPGWAEDWYLLVCLSMSGANWFLIKLMRQATPLDPNPNALGIGPFLKVQIKTV SPTINYMFLTALNIYTGGLLSYYANLKTLHAKRVRQMLRQGPPSRSLTLPVIFVKISE LLRDSPTMMNSKAFWAKKDVIKLTYKGVEVHAPSPNDINALSQTSITATQSSNATLIR RPTIMEEKAVLVTEARMIVPLPKNLAILNEKVDPDIAFHPTTGSFALRLYSKIGESVI PELIERITGIGRLVQFVDVLQKHEKTLKCESVSLGKIVFKYGQASSTQSDPSPATRPS LQYSATVDFSTGENTMTLILEKANPHLRIKNFLTNVLNSAEGLNGVATLLTLTLPLLR GLTAIEEAWNDSALYEKGEAFVTARATDWYNIRYNLRQPAAQNQTTPPRLRRVLFDLR LRQRRGEPWWHLHRTDNLRLKDWDNLDTALKPLWTTSGPGWRAMMSSAAGQGNGIEEL LAKMDDVVRTFAMSDVGIQSQQAADVPAPIPKQAPTQAPPSRQPQQQRQQPTPSQSQS QSQDRGNPRHKVIEID LY89DRAFT_9775 MPPRRRPNPPTPPDPATPFPFTKLPIELRLYIWNLSILQFVHSS MINTIVPLTWDPRRRAFHTDRKPPPLLHTCQESRTEALKTYQLRFASSPELARVYFSY EHDILMLVWSSLGSARGSLERKMAVEECGNVRTMMISESCLLDHADDQMREFSRFTGL QSLCVLCDPENVECGDEYGAEEMAFISVETDIWERGEEGTEEWPELVCLRADLEDAPA CSRHWWFDGWNQRAAIKQGRSGLS LY89DRAFT_679293 MNNDSTPTDLPRTDTSANTTGDSVEPAQQAQTRRRDVTSPAQKR VLLGMRKRHEFVANLMTNLDILIYAELSIVYYMDCSLFRLLLRVFNQMLFLTPKPNFI PPAPQHRPYIGAIFGPNIICILLHIFTARSEAGEAMRGYLHGGVIIDLIGQKGPTSKI HLVLLDLLILGLQCFMLAVHVERERLTKYVAALSSGSALPPSDQPRAEVATAQDHDAE EQGIMRDGITGNEGVELEPLPPQNGAPTTSATVEADAERNEERERLLAEPPPRTEEEE EDDTGLDIFWSGTAVLANFHIVQNLRRQWQDYGNATESALQTVGYSAGFSAEFTALAA NRRLNAAGARFQRQVGGFG LY89DRAFT_744704 MAAPAPEYLRFTTHKSLTHRLVLSTLTGRPVHISQIRSSSPTNP GLAPHEISFLRLLDSITNGSVMQISYTGTTLTYIPGLITGSVSTGGGDVVRCVLPETC RRGVSWFLLPLCMLAPFSKAPINVRFEGDGVITSATETGDVSVDTVRTAILPLYEAFG IIGSKLELRILQRSCAGVGGKGGGGVVELRFGSQVRLPKTLHMNRSPGRVKRIRGVAY STGVSASNSARMINAARGVLNPLVPDIHVASQYDQAPLVGDKGSAGGKKRTGVGFGLS LIAECSSEGVIYSADVPAPSQGGVTPEDIGKRCAYQLLEMVSQGGCITRVGAPTVLTL MAMGSEDVGREEI LY89DRAFT_9818 MAEEAPQASVLQSAPAPAEPQPAVPEVVPAPKAEETATTNGAIS NGPGPSAEVATDAPKVEDSSSIPAADSTDDKQTAADKVTQDEPSTTQEDVEMKDAAPT SDAAPVSVESAEPATETLTSTAKPKAPRRKSGGVPEHKGKKLNKKASAAKMTHIDAQP GDYFYVRLKGYPLWPAIICDESMLPNTLLKSRPVTAARPDGSYRADYEDGGPKAKDRT FPVMYLHTNEFGWIPNYDLVDLDFEDVGNVTAGMRKDLTAARQLAAEKHDLPYFQTLL KEFMEAREAERAAKEAAKAEKKAKKAASKKEKKSAKAFTEDDEDVEMADAIGEPDSEE AEVDAEPAKPKKRKAEDTPQRTESVKKPRQTIKLNTPKTTNGTPKPTKESAPKSAKSK PKKAAKVATETPEVVAQKEPELSAEEKRAKKEKEILFLRHKLQKGLLTRDQAPKEEEM KSMSEFVSKLEGYADLEVSIIRATKINKVLKAILKMPSIPKEEEFKFKTRSQSLLDKW NKLLASEQGEPEATTAATNGNATEAKAESEDAKPSPTEVTNGTKESSADEKAEEKTEE KPSEGELAAPPAVDAKEVPAEPEAIPQPTEEPAKDSVAEPTPVEASA LY89DRAFT_776233 MTDQQRDVSQYKYSAMSNLVLQADRRFVTRRNDEVTGDPESLAG RLSIRDMGSRNARDDAPKQKKKASGLPDIERGGLQEGQDVLEREQRKRKRGEPAQLRG TGILSAADALVEGIVYRPRTSATRATYDLILTTVANNLGDVSHEIVRSAADAVLEYLK DDDMKDFDKKKEIDDLLGASLSPKQFNELVNLGKKITDYEAQDEDEVMGDGEAGAEDG AEIDDRQGVAVVFDENSEDEDGQEMVNEVRDESSEDEDDIEDRPGAEEAATAGGAGED RDNEAQELPEEEAIIIDSATTEDRGGKDKEKSFIPAREIDAYWLQRQIGSIYSDAHIQ QVKTQEALHILSGAPEEEGGEERPLREIENDLAELFDWENHELVHKLIANRDKVVWLT RLARAEDAEARGVIEREIASEGLRWILDELRGVSSSGDGSKKRKLEIKMDIDAPNSFA NGTTKAEDNGDGGLVGGLQPRKLINLENLIFDQGNHLMTNPKVKLPEGSTKRTFKGYE EIHVPAPKKRNEPGDRDIPVTEMPEWARVPFKSATKLNKIQSKCFPQAFNDDGNMLIC APTGSGKTNVGMLTILREIGKNRNPDTGEINLDAFKIVYIAPLKALVQEQVGNFGARL KEYGIQVSELTGDRQLTKQQIADTQIIVTTPEKWDVITRKATDLSYTNLVRLIIIDEI HLLHDDRGPVLESIVSRTIRKMEQTGDPVRLVGLSATLPNYRDVASFLRVDPTKGMFH FDGSYRPCPLRQEFIGITEKKAIKQLKTMNDITYTKVLEHVGTNRNQMIIFVHSRKET AKTARYVRDKALEMETIGQILRSDAGSREALNAEAETVNDRELKDVLPYGFGIHHAGM SRPDRTSVEDLFNDGLIQVLVCTATLAWGVNLPAHTVIIKGTQVYSPEKGSWVELSPQ DVLQMLGRAGRPQYDTYGEGIIITTQTEMQYYLSLLNQQLPIESQFVSRLADNLNAEI VLGNVRSRDEGVEWLGYTYLFVRMLRSPGLYSVGADYEDDNTLEQKRVDLIHSAAVVL EKSNLVKYDKKTGKLQATELGRIASHYYITHSSMLTYNHHIQPSITPIELFRVFALSD EFKYIPVRQDEKLELAKLLGRVPIPVKESIEEPHAKINVLLQAYISRLKLEGLALMAD LVYVTQSAGRILRAIFEITLKKGWSSVAKTALELCKMAEKRMWPTMTPLRQFPGCSRD IIQKAERIDVPWASYFDLDPPRMGELLGLPKNGRTVCNLVAKFPRVEVQAQVQPMTRS MLRVELTITPNFEWDDEIHGTAESFWIIAEDCDGEDILFHDQFILRKDFAQAEMNEHI VEFTVPITEPMPPNYFVSVISDRWMHSETKLAVSFQKLILPEKFPPHTQLLDLQPLPV AALKTDDYRALYPKWERFNKIQTQTFNSLFSTDENVFVGAPTGSGKTVCAEFSLLRHW SKPDAGRAVYIAPFQEFVDLRHQDWQERFSGLRGGKEIVKLTGETTGDLKLLERGDLI LATPAQWDVLSRQWQRRKNVQTIQLFIADELHMLGGQAGFVYEIVVSRMHYIRSQTQL PLRIIGLSVSLSNARDIGEWIDAKKHNIYNFSPHVRSVPLELHIQSFTIPHFPSLMLA MAKPTYLSILQMSPDKPALVFVPSRKQARATARDLLAACVASDDEDRFLHADVAQMKP LLERIREEALAEAVSHGVGYYHEALSTSDKRIVKHLYDNGAIQVLVASRDVCWELDCT AHLVIVMGTQYYEGREHRYVDYPLSEVLQMFGKASRPLEDKISKGVLMVPAVKREYYK KFLNEALPIESHLQVYLHDAFVSEISTKMIESAEDAISWTTFTYFYRRLLANPSYYSL TDTTHEGLSAHLSELVESTLKDLAETKIIDLDEEDDSVTPLNAAMIAAYYNISYITMQ TFLLSLSGRTKLKGILEIITSATEFESIQIRRHEDNLLRRIYDRVPVKMAQPSFDSPH FKAFVLLQAHFSRMQLPIDLAKDQEIILTKVLGLLSATVDVLSSDGHLNAMNAMEMSQ MVVQGMWDRDSPLKQIPHFTPEVIKAANESGVKDIIEFMEAMDPSENPDYAALVQRLG LSQSQLAQAANFTNSKYPNIELDFEVEDPDEITAGAAAYIKVKITREVDEEDESADVD TTVHAPFYPTKKMENWWLVVGDESSKTLLAIKRITIGKSLNLRLEYTVPTPGKHDLKL FLMSDSYVGVDQDPSFSVNVAEGMDEDEEDEEDE LY89DRAFT_679296 MEERVEIPVSLQKVQSSLEPYIKPRHEASKVRQVLSSHLISQLD TSEARTLNRPLSLVNPTSTADPTPHGVRGLQREYLRCVRANLKAKNEYTAISKEHREG TAAEVGSLGVPTQSTGSSSMDVFLHLIMQQQKHERLGISQDYIDTLSQKPAANTEHLD PKVALKDVESLPKVPAEVLNNTASSSMTQGTNLKDLVDQLEKSVLRAKILLKKEQKLL AKVRSDSDMTSASPGDRMQALGLTRNALINWIEAEMEKAGDGSSETDGPENLSHDDGA KDYIAAQLASIQRQYGRYIEAREELIVSATGVSQLPTSESKEEAFGIAESWDDSRQSH TMQIVYPYLERMVFTANEQKAAIQQRSHLTISLAKQVKEASQGLDRLAEESHLLPAHP MPTSSLQRKGLEGPLSFNDELMHHEKPDSSDRARTWTFAAKASGNATKDIISDQLEAG GSAMEESQRWLSGLHQLLGYDDPSVGKEKAQKKDIWTVLDGSLGVIKGDVPESR LY89DRAFT_776235 MAASQNGQQGDLTSEILRELSNSEPILTSEAFAGYKSADVKSAL DRLGSRAMITYDTIDREEAILEPEAVEIEANGSHEARVFEALQKAMDGLTVQELEKAI GDKNVVKVGQGKAFKSKWIAKGKDGRLVASTESIKDETREQLQIIAKTRTHPDSKVIT DLKKRKLVKMQKVISYKVRKGPKFALEIVKEETDLTADMLASGAWKTAAFKPYNFKSL GADQNAGALHPLNKVRHEFRQIFFEMGFEEMPTNRFVETGFWNFDALFVPQQHPARDL QDTFYISDPKVADKPHAETEDDTADYESYWNNVKEVHQEGKYGSIGYRYPWAEDESLR LVLRTHTTAISTAMLHKLASQKGPDGRPPPARYFSIDRVFRNETVDATHLAEFHQVEG VIADYGLSLGGLMEFMQIFFNKMGIEDLRFKPAYNPYTEPSMEIFSFHKGLNKLVEIG NSGMFRPEMLEAMGLPKDLRVFGWGLSLERPTMIKYKVNNIRELLGHKCDLAFIERNP AVRLEKT LY89DRAFT_703280 MAISTRIAGRTCSSLLRQARAPASRSFTTRASSQCLYARSPVQA AKNARSIRLFSSSIVSRNQSQTAPNAKAYLESGVIKGAANPVDVKKVLVIGSGGLSIG QAGEFDYSGSQALKALKEAGVSSVLINPNIATIQTSHVLTDEVYYLPVTPEYVTYVIE RERPDGIFLTFGGQTALNLGVQMERMGIFERYGVKVLGTSIKTLETSEDRDLFAKALG EINIPIAKSIAVGTVDDALEAAKGIGYPIIVRAAYALGGLGSGFANNEEELRNMAARS LTLSPQILVEKSLKGWKEVEYEVVRDASNNCITVCNMENFDPLGIHTGDSIVVAPSQT LSDEEYHMLRSAAIKIVRHLGVVGECNVQYALQPDGLDYRVIEVNARLSRSSALASKA TGYPLAYTAAKIGLGHTLPELPNAVTKTTTANFEPSLDYVVTKIPRWDLAKFQHVKRD IGSAMKSVGEVMAIGRTFEESIQKAIRQVDPRFIGLQGDKFEDLDYELANPTDRRWLA VGQAMLHEGYTVDRVHELSKIDKWFLYKLQNIVDCTHELEDIGSLYGIKKEIMQKAKK MGFSDRQIAKAVGSTEDEVRARRKNFGITPFVKRIDTLAAEFPASTNYLYTTYNATSH DVEFNDRGIIVLGSGVYRIGSSVEFDWCASSSVLALRDMGKKTVMINYNPETYSTDFD TADRLFFEELSYERVLDIYELESASGVVVSVGGQLPQNIALRLQETGHCKVLGTDPKD IDKAEDRQKFSAILDSIGVDQPAWNELTSVADAEAFADEVGYPVLVRPSYVLSGAAMT VIRSKDDLKDKLEAASNVSPDHPVVITKFIEGAQEIDVDAVASKGQLIIHAVSEHVEQ AGVHSGDATLVLPPASLDQSTMDRVKEIAVKVAKAWSITGPFNMQIIKADDPEGGLPH LKVIECNLRASRSFPFVSKVLGLNFIDVATKALVGTDVPEPTDLMAVKRDYLATKVPQ FSWTRLAGADPFLGVEMASTGEIACFGKDLVEAYWASLQATMNFRMPEPGEGLLFGGD VTKPVLAAIVDYLSPLGYKLYAAEKEVKEFLELTAKNNVSVEVIEFPTADKRALREVF QKYDIRGVFNLAQARGKTVLDVDYVMRRNAVDFGVPLFMEPKTAELFARCMSEKLPRK EGVPGEVRRWSDFIGGKPL LY89DRAFT_679299 MLLRFRGPDAMVRVNIEPSDTFAKLGEKLSEVLPSTVDFNTLTL SPKPTGGEIKLLKEIARHKVSKIGLKHGDMVFITYKHKDALANGNSNGASYSTNASQP FSSSNRLNGTVVLPAEDVPVIAEALKSPSEKIKNPWEVVKQSAVDDRLDKQNGKIPRK KDQKMCQHGDKGMCDYCMPLEPYNAEYLAEKKIKNLSFHSHLRKINSATNKPELGSSF MPPLSEPYYRVKKNCPSGHPQWPEGICTKCQPSAITLQPQPFRMVDHVEFANPALIET LLNFWRHSGAQRLGYLYGRYAEYTEVPLGIKAVIEAIYEPPQVDEVDGVTLNEWENEK EIDEVARLCGLQKVGVIWTDLLDSGVGDGTVVCKRHIDSYYLSSLEITFAARLQALHP KPTKWSDTGIFGSNFVTCVVTGDEAGQIAISAYQVSNSAVEMVRADIIEPSADPTVMI VRNEEEDEEAENRARYIPEVFYRRINEYGRAVQENAKPSFPVEYLLVTLTHGFPSEAK PLFTANPPCFPIENRAVLGQDQELQDVSKCLGLTKSSSKQNDGINAVSDFHLLCFLHG VGILGKEEEALLCRVATQHDLADGTELTSTPGWATLLTILQTTG LY89DRAFT_712984 MFEIDWVGLSMAFGYIGVLVGSLITFSSVYRKRKAAQSATLAPW FPPHMQRNIYLSLLHLEPAEGQEKAPNVPDSVLRAALLRRAVEDIHRIIQIRTAKQAC STLLQRGSVGDALWQRFLRAEQEMEAELKDVVMEANALTPNWGTTIFQSANEMAANTM FRQKLDDIQSQTEAEVEWWKTRRASIQDEFMKELDGESGAAAKASKPGSDEDAVLVEG GGPAATGSIRKKKGKK LY89DRAFT_776239 MPPSRRANNPLFAAKIQQMALPLAPLVRLTTGEVHPSFPTTLLN FWLLTSEQLGDLAHFYHQRTPSVYTRHYPCPVHQEWRTDATLEEKRRRIGRFIGLRGC ESPVEMKSEEEIGKDVRERRWGDEEDEIFKRKMRRY LY89DRAFT_572219 MSDHEDDNSHAGYHDTDHQSAYVYIPSNAVANEPTRPSKRRKIG KQKSSDEQPGDPTTLEFDALLNGKETSEWIQRRHELYVRAWSHTESQIQSILDEANED TLQDVTKFVQESSQERFDQTETIPSGFIITGPNIASQGLLFDQLSARLRAEIHGPAVI LRSGDAPNLKAVLKRVIRDATNQKNNLEDDDEISSGLGGRKLLNYDLEVLHTFVRAQG SQAVIVAFQDSEAFDTSLITDLIALFSSWSDRIPFVLLFGIATSLDLFQERLPRIASK SLRGTQFDVEQTSSLVERMFKKILAGGNAPLRLSPSLVASLVERQQEHAQSVQSFTAA LKYSYMCHFFADALSILTPVLGASPSLDHLQPEHYEAVRMLPSFKSHIKSLVETQDLD RVVDLLEDDTILLDELEACLSNKPVLHLLRTMSVISISLPDPVSSIDLYMATFDGTLR DSDFVDRILESTKRMAPDELVSFLQRICGVVQDGSSEMDLDGWADEDEEFLAEIISFQ SQASDLANEASKTGKPIRSSYAIRSQGLRTTVIAQRVQLSYEDSTLSQQDKQYTTLVD KLNDRLKNYFDIGSPQEIFLHEVWLYDSMLPYREVFTPKPRATIERALSAPYDYLKCE CCESVEGLSSTHPATAILYQMYLETCSLINVFDLWSAFFEMVSGGDEQKMEERDALVL FYSALADLKSLGMIKPSKKKADHLAKTTWKGL LY89DRAFT_712987 MPPPQHLLSPRLRGFVCKSCLSKLRAPRRQQLPWVTRTYASDDK PKRRKGAWDLPHGTVRYFEQTRDGVRTELKDEEDDDDAEFTESVKEQLRQLEERTGKT MYDLDEQDLQDILHGTLANTQEEDPLKGFPDPAEIEKDLTAMAAHNQDIQAQMDLINS FDLENLSEEDRVRLREGLLGSVKKDKSPPIPHQPESRVPGGNRGSNETNFPVLPISSF PTRSHTYLRGLEKCVYHTGAEGSSFKRPLRRRSRLESALSDDAIRTRKTWRSYAMCRS ALVSSPQLVPLRFWAALWDILGLEDVHNLDRLLHLKTLGDDMLKAGVHMRLDQRLLYI EATFIVADQASAIQTWEFMGRNLAESSSRGKYWDLGTRMFCRHGLIDRAISCAENILD HTKDPTDFRLLLPIIGAVLRSDDKSSMQRAWALYIRLRFNIGAKITMEDYDSLISMFM EANHREQALSVFKDMMLTGDARRADRDSISRYTNGVGRSAILSSLKILPSELDWQDFK VVDRLPARLNNRVFFASWMKKLIGDGEIESAEKVFHLMQDHGIRPSSISTNGLIGAWY RKGNMKYREKADALAWQMIRERQNVVALRDQKLSTERLQRPIRPVISEDKPDSRPVNL VSYATIETFEILLEQYRQRQKYSLIPSLFEALTEARIKPNVGFMNQLLMTDMKAQSPL WALNTYRSLTDPTKSAGSIVRPNFDTYQILWEMMARDSDPVSVRSKNPYKLVQPRTMF KEMVYHLKSQKGPIPNDLYQTMILCFCLHKDQVGTAVALRALQFFFGNFPTEVTARAV VLQIARQGLMNEAGLSASRRLNVKAEVTQRRIHVVTQALASLREHRVEVLSEQGIDFE GLEGDVKLEETLLLLSQLLRYGYEHKPVTGVELEAAPEACRRAAKQMGVPECKPWESE SDV LY89DRAFT_679303 MADPVDEEDDYMNMVIAEPSKPKEKETYTQRRLRKERESEARGR VKSKAEQEADEAAAREAALSKSLLEDASATKSKGLAMMAKMGFKPGVALGSKDNIDAR TEPIHVTMKEDRGGIGLDSEKKRKFREEVEKEGKRVKAEEGEYRDRVRQEREDTRLEG MVGAVMRVAERMTGEREEDAEDEKKISTKPLKQINVLWRGLIRKREEKERDRRMRYDL HQSLSRLPTYDDPDEDADDKRALGKDRIQHTLVEDLEEEDPELDEFNALEPAERLHKL VLYLREVFNYCFYCKFTYPDKDMEGCPGLTEEDHD LY89DRAFT_10128 MRVFDVAARMAVAGLTSALRAHEGTGRTFLPCMLADLTSVDHLS YCGQATGSRMHGRCLCVLRPRPLEGLATHKNISNVEFDAFIVQVSRFWKSMADRDFRP NPGALELVKSRGHLGSVHGRL LY89DRAFT_679304 MFQFMRCDATRRHSTADNHRQYCRCSRCRSSLSSVRLSLTEPRH DEPNVFMQCNANQTTFFLVLHTHRQPIITPSMYSTSPGTPHPTHQTLRGTSTHTPNPN TARPRPKHVKKLKIAHYLDSDTLLRSAAEKPTSSTKPRNSAQREKKKPSPNV LY89DRAFT_727097 MSNTTTVAGQGLCPAPFLQADLFSTTGGFVEGRFCSAILGPTCC LPCPQTEWLYPDNFKTITDSASWVNVASMACSVFLVVSFAVLPIEKTHRHYLSVCLAI GVTLMQLGFIIPLGAKPNPCYNSITPNDQDTNKTCAFSGAFLIAGGWCGVMWVFLRAL ALHLQICWQVVIGKNFMWGALAAGWGIPAIGLTVAMVFSGVSFRFGDTCHINHKNSLA DLWIPLLIFAGVTVIIQFGTFGYCIKVYLASLTDDSTTTNSSGVRSYNNSLRGTISPR QAYRRIRRVIELQWRGIVIVLLIIADVIFFAVVFVFMDDLETNILKNPTKSEDWLACL VKTGGDKNACLSFANSLVVNEATVMSVLILLSLNGVWCLLLLGRFSMFTGWYEMVMGK FRPNNEFVSADVRAFKDPRDYEMLSRDRETGKTPEPLVSQPITPLSPSVAKSGRETPD YFSREARYQPPARSFSNPKPPQGHGWDPTATQARPYMYPGMDPLSMNKI LY89DRAFT_662826 MRRQEEGGTPTWRNGGWNRRSKVLWSTGVRLPLSAGEREKAGLT FLVSMNSPILLGPGYMADKSIGRWDRSKLLGATQWTRRRWALGSAKKGHEHQRRGLRR KGQPRLHARVAGGTREKSMMYPCASHGGPTIWAERAEDSLEWSVGSAGYKASYCC LY89DRAFT_548869 IATAAVAGSITVVNGTFTLIQNCYKLAAADEDLAICLELLDNAE RDINYARRQLNSRKTSRQTEFSAIDFQYFERCIEKLDQAALNLGKLVRGYRVERDVKN SISIPSRFKWVLQGKDKFANRQDVLRMAHTSLVGVIQRLGNVTAYIPETYTSQFAPPP PPYNPPTYSQPYSHLAPQTETKILRSPSQQRALRGKSSMLL LY89DRAFT_10482 MNSPVSRKSFSARVFGSARDKLETLSVSRQPPTQAPTRVESYRT TLMNLDDYLPQPEEDQKIASKVKQLQGLIEAHAIYHYYHDRPLGLETEDIQNLLQKRL FKSDDEETTRRLASNLLRPSHRATFIRIVIARALVAAIDLHGEPASSLLPKEIVLFMT AFKNTPRLKDDDPVDEVALCEWRRLGLYLLTGAQDRTVQLHNTTLRINLMVDSLDEIL CPFAEPDHIGVGGGRRLGNLRSIVTAATDIGVLLFGQPCGWELNWKRRPTNDMHGANM TPNGLYQINSQVSQQQSNALTVRSSTSHSDVLPQLPPEQRKRRRRLQIGRSHSTKEKE RDKPEKSRKDRDRPPVTASIMRLSAQQDGAYSEGAPMYRTRTGETPNQYDGSQNYQGY DRQQLAEQEGFRSLTQRSRSEPHFTPIFQQEATQHRNINANSSPLREIEINGTNEIFI SNAGVEDSVPSTIPSNKYDNSLQTPQIIPGERPDPPPKHIGAQESFLDGPDQSRFRRE SRTTIVPPNQPSRPIIYFPALIKVNDEYGCKLPEPLLVLEPMIDRSFVTPWY LY89DRAFT_633891 MSSMQPEIVVGIDFGMTFTGVSYLRTHMPAPIFIQKWPMRAHES NRKVPTVLHYPPMGGSPEWGFPCQFVDGKHEWFKRYLDPAHLEDCRRQVPDNDLPTID EVRRWYRDYMSCLFTYISRYLQDIMGDWHARRVEFIFSLPTTFRSQEISTTLRDLLRQ AGFGRGTHHTLDFGLTEPQAAAVDAAKHSTKSLNGGDILLVCDAGGGTTDFALLEQMT ARGARPELRELTTVPGIDVGSTNIDTAFETLVDSRLRAGRLHLAENTSWTMMNSTEFQ MWKCSFGHASTEDTPRFTVTVPYLDEGVSNREAGIEGGKMTFSHSDFEDLFDPQVREI VRVIRQQLDRLRQTHPGRFVNYLILSGGLGSSPYLLKKLRTAFVLNKHQAAPYLEILL SDEPQLAVCRGLVIDRMQRITTNRNVLTGRICPASYGILCDMPYDEDNPQHINQTPYK DPINGLLYVRNQVDWMIKKGEMIDIDKHVAHNFTKIVCKVKEEKTETNDNRRIWRNRV VISHADIHRLPSNSDHADCFVLCNITSNLSSVPMSEFEIVKEHKSWYKIGSREKWRIA EHEVRVVIGSTDIKFELWFKEQQYNKPNSIRVEWLEGATIAAPNENRRLDSNILDGIR KRKAIKNSKDKDKTAQNLRSNLRSPRLGGSSRSRF LY89DRAFT_633896 MVLDHQRASKHNTMLGRRIPHPASTQFHDDVSPPAKRLKTFEST DGEDSRDVSDAESIVQTPRRHREEIPDSDDENESGDDGPSTIQRPAELESALPPIKTD KEAIDEYEAMRAAEDVPEDLKPRLSQRNWTKGKSSIYVDAFNLALETVLEDEGHLFDE KEMEVFRQWRDLSYEAQYLYVRLFLRKTSAWHRINRLGYYGDVSDLPSTAQALQIVKI LPDSTADVHANPAELSPPEGTMLESCFSFADCSEEMITTMEEASSLLNLEEVKTLAKE ARVQGKNKSGLLQALRKMSRKQSGLAWVGLKRSDSEQTNASESGENDDEEDSMSDSNR DKHFLKKILATTGSCIRLSLVTLKLFERVHLVFYRSTEWTDKSLTTIILARISRRNFP DYIVSRSANIFPSRSELLEFEAALRTQFRVDNILELNGNPGKAGLEEVLSIFEAVYPR WQVLLKEEQRKEDRVYESGEGAYLRRFSPAWVYTRIVHKGMYVLGRFKMHEREHAVTS ELLDQRLFHAARRGAWYQRKALLEEHYMYALQPPFGSSDIEQQKRHWKRVSLRTCEAG LQDKDCHMIYHYDLQKRIRKLEKNLKIPKREQHDFGHVSLAKPIEVTVKGVQIKKEYP PAKRRPSAQGEERQRSTKTIWIDEAEGGGECSVEAMCLSDYRSRGYKGYHAEGGIIRT LFAYMFYDVLFVYIPNVFQTAYQTCPLDLHTDAFFPSRASEINHRLVEIGNGSAADII RAVDAREREKRTCIIGLNWDFELDDLLEIVGCFDGQALATVCKVMAQEYRVRGGGMPD LFLWDTEKKEVVFSEVKSENDRLSDTQRLWIHVLTGAGIRVELCNAVAREVRIVAAE LY89DRAFT_633897 MSQPGTPSDTTAQEQVISSISAAAHAYQIAMQQQSSTPPSVPIA SNDIVMADSTPVEVASPAVVASAAANAPSPLPGRMGTPSRTNGNDVTSRATSQHPDPA ATIPKEAPPHGAPTRQYINSKVTGPLIDGMKLVAKEKPKDPLRMLGEYLLQKSRELEG T LY89DRAFT_604431 MGRLHSNGKGISASALPYSRTPPAWLKTTPEQVVDQICKLAKKG ATPSQIGVVLRDSHGIAQVKVVTGNKILRILKSNGLAPEIPEDLYMLIKKAVAVRKHL ERNRKDKDSKFRLILIESRIHRLSRYYKTVGVLPPTWRYESATASTMVA LY89DRAFT_679312 MNGDSYSSRDTGRHGSSRDYPSSRDDRRDRGDRDRRRSRSPGHR GSRPSRRDGDVDSYSSSRDYREREREDRYSGRDRRGGGDREWDRDRGNSRREARRDDD RPQRRDRDLFDDRRGGGGGRRGGRGGDRDRGDRNDHDEFAAQRKKSPTPPPKKKEPTP DLTDVVPILERKRRLTQWDIKPPGYENVTAEQAKLSGMFPLPGAPRQQPMDPSKLQAF MAQPSGSVTNAALKPSNSRQAKRLLVHNLPTSVTEESVVNFFNLQLNGLNITEGSDPC ITAQISKDRSFALLEFKLPLDATMALALDGITMEDEHMNGAANGDTKGLSIRRPKDYI VPAVTDETPYEPGVVSNVVVDTQNKICISNIPLYLTDEQVTELLVSFGELKAFVLVKD NGTEESRGIAFCEYSDPAATDIAVEGLNGMELGDKHLKVQRASIGHTQVSGLEMGVNA MSMLAGTTSTGLEDGRVLQLLNMVTAEELIDNDDYDEICEDVKEECEKYGRVLDMKVP RPSGGSRQSNGVGKIFVKFDTPESAGKALRALAGRKFADRTVVTTYFSEENFEVSAW LY89DRAFT_776252 MANFRVSEWRKLPVSLAELCIDTTLRCGQSFRWKKLRDDEWSCA LHGRILSLRQDSTHVHYRTTRSNILYPPSPPSSARSSAVTPNAEDEETEALLKHYFNL TPNLTAMYEQWSSVDSNFKKRAPKFTGIRILKQDAWEALVGFICSSNNNIIRISQMVN NLCLHYGPLVGHIDDQAFHDFPPPEALTGPGVESHLRELGFGYRAAYIAKTAKIVANE KPDGWLQNLCNPEPYDPNPDQKPLPEGGREGYREAHEELLQLQGVGPKVADCVCLMGL GWGEAVPVDTHVWQIAQRDYGFGKGKHKSLTKATYDAIGDRFRQLWGKEAGWSQSILF AANLRTFSERVATKIEVDVDEVKIKQEDGTIVESKVVVKRQYVVDEVKEEPDDDKASI IDIETSRSQRAKRRRA LY89DRAFT_10584 MRWPPWSTESERDRDEKKKPVSWTDNLNATDWSHYTDPRTIIPT ILLTTTILASARLYRTYLRRIPEAAHIKPTFFRKRSLFGTVTRVGDADGCRLFHTPGG RLMGWGWLPWRKVPSNVSDLKNNTISIRLAGIDAPEGAHFGKTAQPYSAEAMEWLKSY ILNRRVRAYIYKQDQYSRIVATVFVRRFLFRRDVGKEMLKRGLATIYDAKMGAEFGDF EEEYRKVEKVAKRKKLGMWSGKDYESPMDYKKRTAAQNEQH LY89DRAFT_727108 MKLPSWLSTSAFDLPPSIRAGVLLTSLAQISRAITFNPVPSPDL DLSALGSVGLAGDFNGISLYQFAGQSEAGFSTNGSQSVLSRFPNGGFANLAAADAGIQ AMCTFILSSGSMAGVVIGGNFTSLGGIESPGAALFNPNTSAITPLTGLSGQVSALLCD EASNTVYVGGSFKGANSTNAIAWVGTAGWTNLPFLGFNGPVTSITKASNGHIIWGGSF TGLGNATGPSLPDQQVINISGANITSGSSSTTTGFSDPTNIVCKTSGTDGAGNTWLLA DNTPGFWKATFQFGFQPTKLRLWNTHQDGRGTQTWRYTAMPINGIMNFTYIDPVTGQN ASCSSECPLSNNSSVKYQDFHFVNVIGMNAFQIDLSAWYGSGGGLDGIELFENDIFAY AINDFDEPACASISDASNATTTGPWVVSPSHSSVSEYLTASLIGGSTEASVVFLPDIK QSGNYSVNMYTPGCIQDNSCSNRGQVNITGLMSTGTDSTGFTTSIFQTNNFDKYDQIY FGYIEAGSSSFRPSVTLSPLAGQNLQNLTIVAQRVGFTLISSSGGLNNIFEYDPTQAT INTADFSNSTFDVAGMSLPTGSGVNALQTSGTTTFVGGNFSANNLDNIFSVTSTGTTP LTGSGLNGEVITMFLNGTLLYVGGKFSNTSTGGNTGLNNVAAYDISKNTWTALGAGVN DLVTSIVPLSMNITTNVPETVITLTGDFTEILATGSNSSIAVTGFAVWVPSKNNWLRN LNTASMGITGQLTASVDLPGGGNIFSGSLSTSELSASGAVALSAGLSALPVHIQPTES QSSSTLTKRATTSQNISGVVTGLFYSNGGRNVTVLGGQFTATGTNGSAVNNLLFINGS DSNTVTGVGPSLSNDSTILAVALEGDTLFAGGSLTGTVNGNSITGLISFDLITSSFGT QPPSLSGGNVNSISTRPNTNDVYVGGSFTAAGSLSCPAVCVFSTGVAQWNRPSNTLEG TANAMIWTSTSALLVGGTLTVNGVDTSLATYDAKSQTWAVAANSTGVPGPVSAITPAS SDNSQFWIAGTATNGSAFLMKFDGTSFQSPGSSFGTGSEIRGLQVLSLTKSHGSTALV SASQTLMIMGALNIPGFGNASSVLFNGTTFQPFALTTSASNTGGSLSQIFVQNQNFFS NSGGKLAVGFIVLIGLGIALGTIFLSVVFGIVAERIRKKREGYIPAPSAAFDRSQGFA RVPPEQLFGSLAGGRSAVEKQSTRL LY89DRAFT_11190 MVTTRSHSTVPDADTHPLSNGQKKGPSVSIDAPAKQASSTPRRP PNKRALSSNHIGAPPDLFPRLSAHASRIHKAKSHILLFFLLCILSTGIYFLNPSLLGF VVKSLAASSWTTVGTVAVGVLGIGMGMVCWTNVYKVDWYEVVAGYWWLVIPALGAVGW AIAIVQEGEKE LY89DRAFT_679317 MLYIVQLRESRLLAIASRDCATLTLLAALSCAKWPVDAQQGDSA HDQHLHQRISRLCTTVPPASPEAEKSGGDIFVLRAWSLTCIGVGWSTVTSHLSSHRSG LLMS LY89DRAFT_572616 MTMAIDNQQRFGGMNFDHMSYSNPPQFTNPWSASSAPAQNHSLY ASSHNINPNLGLEHIAKQQQQQQPRVNSNVSMGSYASVPMSAASAGSPLLADVYGSQD LLTMPQDLLSPTRSVSTGYGNENAYSSAPSPVHNYAPTSTPYEHMGYAPAPVRSTYAI PQQDNSRRLSQPSVPSSSFLDMSEDAQRLQRQNSLIDFNDRGLQQESTRSFGDAIDAS RGMIAMSQNTTPRNIYGGQGRVGRGSGDSYGFPTAHSTSSSISSSGTYPSYFGGSVDS SVSDYSNAGSDIESVSSRTLPRPSGLLNGGIPPAPQSMMGQFSSKVSSSTQKKHKCKV CDKRFTRPSSLQTHMYSHTGEKPFSCEVEGCGRHFSVVSNLRRHRKVHKGEARSEAGS EDHQSDE LY89DRAFT_662839 MVALIPHLFAGLGLFPDATKQSQKDTAARLTSAPALVVSGTTLP QRHFGVHLKSRRLTGCTTDTIDDFDFAKAMAMAMACNAEAWLNWLVSFADLAVPGSGG RHHHHHHHHSHPSLSFSHPSYKTASQLGRGSWCPPTPWLNPITAIVVHIHIWDPKAGG RHASPASRDGETHRQSVVRADVTRKQEDQEEAHA LY89DRAFT_727111 MSKGNCVDSRNHEAAGDACESESQIDLVACDLFRMTRFGWSCLF VVLLSGLLLHLGTVLGPACTELGGILDLTSWGHGRAAPNRTSIGEWSLAHSSPSTDAC WPAHLSLQASTPPFKVQTTAPSFRLDAQIDCLIEENESE LY89DRAFT_679319 MRVRPCCISQRIPAWSCSSSTVSVRDLFNSIVRCSPSNTLTSLA CILWPEKGLRPPVSTASRLSALEMQTTVSTASCLHATIWEFEKAILSLTFFLFVSSSI AVRICRDPGGRLGDGVKPHTPQKRETVVIGSVCPESRVERVSRLPRPFVRNGCNNNTA TLQPQPLLSSNPLHVR LY89DRAFT_11289 MCRRTDLNLVTIRRVRLYVCTYIRTSNCSAPDLYRRSQNLLVLE TTNQVNPQDAVSARPATSFCSCSCCAVLCHSCLLLDTSLMHARLIRVLGRWETFLQWL HFFFDGLLVLSVAFPGNSSFQSSSSKTSGELQLVNSPFDSPQRARTLRSVTPTAEVAA RALRMRTPTRQVAPNQAHPMWAQSQNFISLLLLLLLESTLRSGAKTDGAPS LY89DRAFT_604449 MSDADFETIRKLQAERNAASAGKKGSKTFDPSNQRTDVSTKASL TESFDTSLYERDGGDKFAGYNTSIAVNDGNDDEEMEDTSRRLVGQYTASKEQINEFAH GNGFEEEDILLGREKSARIADRETDYQKRRLNRVLTPTRADPFAANRQAGAAEDGESY REIMARRDLEREEERVKRAIEEKASNGEVTHHKPTLIKDGSGSPSDKENKEAGSTEVV TAGRKRKQRWDVASDATEVSTQPAEAKTKRSRWDQTPAIGGATVDDTPVSKRRSRWDQ APAATPIGNQGLVTPMHPSQMGGPVMPTAFGTDISARNAPLSDEELDMMLPTEGYKIL DPPPGYAPIRTAAQKIMATPTPAGGASGFGGFMMQDPESGRALGKQLPTEIPGVGDLQ FFKAEDMAYFGKLTDGSDENTMSVEELKERKIMRLLLKVKNGTPPMRKTALRQLTDNA RQFGAGPLFNQILPLLMEKTLEDQERHLLVKVIDRVLYKLDELVRPYVHKILVVIEPL LIDQDYYARVEGREIISNLSKAAGLAHMISTMRPDIDHVDEYVRNTTARAFAVVASAL GIPALLPFLRAVCRSKKSWQARHTGCKIIQQIPILMGCAVLPHLKGLVDCIGPALNDE QAKVRTCVALALAALAEAANPYGIESFDDVLNPLWSGSRKQRGKGLAGFLKAVGYIIP LMDEEYANYYTSQIMEILLREFSSPDEEMKKVVLKVVSQCAGTDGVTAGYLKEHVLDE FFKSFWVRRMALDKRNYRQVVETTVDLGQKVGVGEIVERIVNNLKDESEAYRKMTVET VEKVTASLGAADIGERLEERLIDGILHSFQEQSVEDIVMLNGFGTVVNALGTRCKPYL PQIVSTILWRLNNKSATVRQQAADLISRIAMVMKQCGEDALMGKLGVVLYEYLGEEYP EVLGSILGALRSIVTVVGISQMQPPIKDLLPRLTPILRNRHEKVQENTIDLVGRIADR GPESVNAREWMRICFELLDMLKAHKKGIRRAANNTFGFIAKAIGPQDVLATLLNNLRV QERQSRVCTAVAIGIVAETCAPFTVLPALMNEYRVPELNVQNGVLKSLSFLFEYIGEM AKDYVYAVTPLLEDALIDRDQVHRQTAASVTKHVALGVVGLGCEDAMIHLLNLLWPNL FETSPHVIDRIIEAIDGIRMAIGPGLVLNYLFAGLFHPARKVRTAYWRIHNDMYCHVA DSMIPYYGPVGGEQIIRPELAIVI LY89DRAFT_633921 MLLLDYQNVLIQSVLTERFSGAPPVNIDQTVSDFDGVTFHISTP ESKTKILVSIQVRCFNELLKYGAQQVMEREYGPYVVAPESGYNFSVLVDLESLPEDKE ARDDLIRRVSLMKRNAMAAPFEHAFDEHHKLHEEASKFTSEEAPQGVREGGQVMAIHY RDEESIFIKASHDRVTVIFSTIFREETDRVFGKVFIQEFVDARRRAIQNAPQVLFRTD PPLELQGVPGVKDSGNGEIGYVTFVLFPRHLTRQRRDEVISHIQTFRDYFHYHIKASK AYIHSRMRRRTADFLQVLRRARPENEEKERKTASGRTFKVQGN LY89DRAFT_633925 MVKSYLKYEHAKTFGLVTSSTANVVWSSSPLESGSLRGTGAGRA ITAANEEVLCWDIKKGELLSRWRDNNCKAPVTAIAQSKTDGDVFAVGYENGSIRIWDS TIATIIVSFNGHRSAITTLAFDKSGTRLASGSKDTDVIIWDLVAELGLFKLRGHKDQI TGLHFVQPDERPSVHENEETTIATFQDSSADEGFLLTTGKDALIKLWDISSRHCIETH VAQTNGECWALGVSPDGASCITAGNDGELKVWSINSTALLESSREPDSAMKSNFLHSR GDIHRQGKEKALEVTFHPRQDYFAVHGSEKAIEIWRIRSEEEVRKTLARKRKRRREKQ AAGNEKMDLDGGDATIDEQDEDASKAEISDVFVPYVIVRTGGKVRAMNWVKMKGSKAI QLLAATTNNQLEVYSVPTKNQAKKVKSEELPEYSRSLSVELPGHRADIRALALSSDDR MLASASNGGLKIWNVRTRSCIRTFECGYALCCAFLPGDKIVVVGTKSGDLELFDIASA AVLDTVKAHEGAVWSLQVHPDGKSLVSGGADKTAKFWNFEVFQEEIPGTKRTTPRLRL THTRTLKVSDDILSVRFSPDSKLLAVALLDNTVKVFFVDSLKLFLNLYGHKLPVLNMD ISFDSKLIVTCSADKNIRLWGLDFGDCHKAFLAHQDSILQVAFVPHNQDGNGHHFFSS SKDKMIKYWDGDKFEQIQRLNGHHGEIWALAVSRTGNYLVSASHDKSIRVWEQTDEQI FLEEEKEKELEELYESTMLASLEGEKDEDDDAEFGDVAKQTSETLTAGEKITEALDIG MADLELMNEWEAAKASQSNIAPPSRNLLYMALGGISAEAHVMRVLQGIQAAALQDALL VLPFIKLPMLFTFINIFAKRSMNIPLTCRILFFMLKTHHSQIVASKTMKPMLEEIRGN LRRELKRQKEEVGFNLAALKVISTQVKAKGVKDYVDEDTWEEDEKGATKKRGFVQVS LY89DRAFT_713007 MAGAVRQPIDLQSLERYISQHVPEIKVPVDIKQFGFGQSNPTYQ LTASDGVKFVMRKKPPGKLVSKTAHQVEREYRVIHALEKTDVPVPKAYCLCEDSSIVG TPFYIMEFLDGRIIEDPTMPDVTPAERTEMWHDAIRTLAKLHRINPKDVGLEDFGKPS GFYDRQIKTFSAISKAQGEVKDVDTQEPVGQIPHGDEMLAYFRDKRFQPKDRGNPIHG DYKIDNLVFHKSEPRVIGILDWEMSTIGHPLSDLINLTTPYFLIKQNLVKHMPSFQVG STPGLPSRDDLMKWYAEVAGWDPNPDLTWGIAFGFFRATCIYQGIAARWAVRQASSAK ARDNALGRHPMGQLAWETVQVAREQSKEKAKL LY89DRAFT_727116 MSAFSQNYSDNSTNTSFGSPSYPKYTKVMFCIIGGVLAVPVFGL TVKLFDEFVMKKYFPDHPTAGTLVMEFAWQIFPSRNDQRLRYW LY89DRAFT_11368 MRQTLALISYWQKPTRKSPDAVQLVKAAFLVSGRFLVIARDCCT ELEMDTEETRLRAGGLGRRNSSDEAEDWVLVCRTAQRSEARKYCPERSWCLFFGKWRW SCYGQACERAACPWRARVWRENPYDGILDTVLVQTSSFLGSRAKSIGFVLTAEGNGRR CCAVKYVSYCNR LY89DRAFT_11481 MMTIPSYRYSIRPVSIGDYGPQYLALLHVGAHHSLHIVYDCSTR RTLGRRTRTRMTAGIRTAKIDRWYCSRGWAVEAKRQRQRRSKLVDQDRRRKKGKRARS AHGQTDIRLVCVGSYSHSPHTSHLTPHTSHLTHTRTPSSMTPVAAPSRIAPPLTLHPR EWIKIKRGQAKDPRWTPRPLSNDSLHVVQSRTGLSSLQQPCHYWAKEVDWR LY89DRAFT_11372 MDPMDFTMDDSSNVLAASAHRYQCQQPQAQPHSQTNAANFASQG PSQARDSQQYDPVHESSTWYHHHHHHHNNSNATSRPPYPAPGNLSPWASPHTDQPHNW SPFAEMDRSGRSQDYNSPDYRRPFIPWNESRGFDAFGHSAYIPPPVSGASNMSSDAAL QARTTSLRGYGMDQQQPYLFSRDVPRATAIPQYNPMPSSTASSEPRPPQASGAASVSV ETSAFQQPPSFTDLRPGMPRARSNISRHEDILEGSRRRRLATAWDSDDDEESAASYER MEVARRHAAETLFGAYDDERSVAAIRSAVAAAKRVPSKEALDSLEPVKVADLKDADRT CIICYNEFGVSNPEGEIENPIRLPKCKHVFGDKCIKKWFEDSDSCPYCRDKLPSESAA RKSLGAYQSYRLAHREQMRLAAMAAQRQRYSSYASTDVPSVPGDSDSSSSATAQRAQA DIEFMMARQAEYYYPSNRNANGDSPERRRQARGRHSGIRAPHYPGRPTSVGSARPSNP SFTHQPPRNFADYYAPQRRNVASETSMRETRDTRDREARTAAEARLASAVSPFRASWG QPSSEVSGSAGRTPAPRLATDASAPTEATSPPVAVGSGVSVRRQIDQSGSAAARLSLD SDSSDFMFEPSGESRNRQSLDQANNGENRGQGQNESSPGIPSFGALLEVSNNSATRFG SDASNDADDLARIGRMDAGIPPGWGAIN LY89DRAFT_679324 MMAGRTLDTKKRRVFDSVFGAPSISQPTPVATPVTGFTAPGQAF GTPQVHRSPSNSQTPSALKVSPEKVTTDYVQDQVRWDRSWHIVTRVLNLPNFPEHRGV LEPLKPERESVDKDFYNALDVLLYPQTCVPLARQTEDIIAWHTSQVRRHFLQQVLPII ISLKAHGSADAVLLRAVKVLETAQRQYLHGLSFIKEHIDNSGPPGASVSVMSRFHRDI YAVISNSVADPMLGVLREVLGRHVSRILGLPSKENANTYSIAPENVESEKARRDLLAL VDSLKSVGLAGEQFQVSFAEIMNDSMTEYVHRGCKGIWSLTGLFENRPSKNAILLRAA HHSTPSRCVTDLCEWIENRYAKLAVQVLGMLDPKYTISWTDKEKYKEMSISRLAELRI NELYDIVVRWPHSKGALDDLRTAINTPQKRLHLTEVFANTLSEKLLHPGASTLQILQT YIAMIWSFHALDHSKVLLDRVAYPLQLYLSSRDDTVRIIISGLLSETEDAQGDPITTS TEKLVELAQLLNNNSEQIGPRADDEELDWHDMDWMPDPVDAGPGYRRSKNADIIGTLI GVLGSQDVFIKEFQNIMGENLLKHDGPFDKEVKVLELLKVRFGEAPLQACEVMLKDIQ DSGRLNGLFRKRLRDRHDSHIGEADTEKVADSIDTPNNQSEPPLHVKVLSRLFWPQQN TEDFGVPREILELQRAYAEGFESIKSSRVLTWMQSLGQATVELELEDRTVVEEVHTWQ AAVISSFQFRTSQDQEGTAAQRTIEELVDELEMDETLLRSALKFWVNKLVLQEVAPGT FCVLETLNKEDRERSNAQAAASNAASNKDESSDDTGLAASDGVGNEKMGMYWQFIQGM LKNSSSQMPLQQIAMMLKMLIVDGFPYSNEELQEFLGRKVADGSLEMVGGKYKLKK LY89DRAFT_679325 MEAVVFEDSPLADYLEGGGGHELERAPSHEDGDGDASSNTSSPS FAPRGKPTLRSKFRHKLPPPLRLAIPANSAVAALHNTCSKAVNSRLGRADNARFLERF RYLIVASQLLNVHSYLGQAAYVPSRDAAVPSPDAPQLGAFTLAGVTITASFSFALAWL IHWAKGGASSTAGKGRMVVLFVVIVLLAVTSYAYMRRQWLQYLRQQSIVEISEFIVKA HDFDTAAAGALTLVQEVELVSRGYRISNPLPPISRLEDRSQSRRCARLRKQLRLCFAI VIPRYHQGCAILKPFAEEMDLEKYFDIYDISDSDFTEAMAGFSENEIEDAESLRVLKI LAARFDITRKIFLCCLMALDADGGKPDFVRWTTAVDEIQGLAAVTSEAEDRLLRILGE EESFPVPPTPKIPLTPSRERWRAQLRKLNSLSSGIRGLQAKLHVLREESDKNLNETED VSELGTNLMIQYESIGIDLKALMQEWEEGKAALASNIDRNERRISSMSGMLSPTSSLG GLTAVDEGSVLDALKALNGESRSRNSMDFSSSDAEEVFEAVAVPRQRSNLTREERIAK MKDDRVKRDSVKSKSEANTKMLRELESVINMRPRGRTTAGGRITSI LY89DRAFT_11680 MRYREGVFGMLMDRIATRQLAAAPFVRASNASRLELVESFLDID AKSSVSLGQPWNMRTFKQPSRTTWQTWVRLDKRGIIDLREEGEMITMHIPSKEIRCRH HHHVWALTNAPVSTCNCKVEGRCVLSLVCMSNGLLPTYARLQTPCSPFGSDYRTRINE SHHDLSTTTIHPSSSSSPTRHQALDSWRLRMVVPLRRRGLCFLPSVLCPRTVD LY89DRAFT_692889 MSRHSGSSRHRSHQPRSSESNSEDTIEQAKAVVNQLNTVIASAP HQWETYLPSARSAMSALDHVRFFRSTQRYDEQVWILHGLQDFAFHDPDGGAIRDVAEW CQTAWLRILRNYPEDVETLTGLGHNWLQRAQATLARIQREEGTDSSSSGSGQAGNMIY DNELLTNGLDTDENSAAPPTDPRRQGPLYVEARGYLQPAVDFYTRAVTSAASLGDTPG DLLASAAESHMSLGNVTATPGDERLFAQAIRYLRQAEQTPGYSLSIYLQQYLNEYGRY VS LY89DRAFT_703304 MLTERELQISPTVQESVLHNTKVLTTLHNLTASLFGVGAGILGL ESYPGFLFYFLFSLLTSVLVYAFRVRPGIIKEAQSSGSSGMQRYFRGSMELWTGGLIE GLSGFVLTWTLFYGLVRA LY89DRAFT_604471 MASIFTYDPDPPRVASPWLTPTDSPKPSTPQPKEALPSSNASPV TSHLADYGVTRLEAEPQEGPTEYKLHLLLRPRRNYSSSSTGSLVTGSQQHKQRIPSQP VTNEPGPILAPSNQSRQNRLEQLTTQLLWRLQQSSPYHASSISNLVLPKLPEATENLS TPPRPGKLLAGLEESRGALYEIGVSDDGTFVGLTKDEMDESLTNLRAMAASLGCNVEV VRMVVVGDCEWQELSVPKTDFEKRATKRGQRASRPLQESIGEPENLRHQAQLWVAEAL VTPDLRSRKNFQQVDINPVSLDETPPPTQLGNLSLEHSPASHDTTEQLRITLTGPTTS GKSSLLGTLSTATLDNGRGKSRLSLLKHRHEIESGVTSSVAQELIGYRGTEVVNYAAG NVTSWTDIHASAQKGRLVFVSDTGGHPRYRRTTVRGLVGWAPHWTVLCIAADDSDNAP NSAGGTSSAQEILGAAGAGIDLAKAHLELCLKFDKPLAIVITKLDLASRVSLRQTLSK ILTAVKATGRTPIIVPPDQTKVVLETDLSSIAENDANAVQNIAERMALSGDLVSLVPI VMTSAAKGTGIRSMHALLQNLPMPKLPTAQDFVGLALNPEQPASLFHIEDVFGGLQAS YEPLASNKTKPGDAGSVVAGYLRFGRFTVGDTVVLGPFPSDVEEYDSPSRAPTRSSPA SLGNSMSHSSTVDLSRLSSRNAISASASKVEWFNARIVSLRNLRLPVHTLDAAQVGTI GLVFDIPEPELSNGPFERPPPPIPRIRKGLVMAIPNRHMIETGHTLQAASGFSASFED GDINSVTVGSLVVVYIASIRASARVLKLVPHTNNDLVDSADIEDLEDDVFGLHDNLEK DEQDTAEPLVFGSYGTTDVTFELITNREWIELGSQVLLMPGGGHGLYYGSERGEKGIA GLEGFVGKVVEVID LY89DRAFT_713014 MKKFGFKKSEGGDEDSLKASLFSRSSKNASPAPAASNPYAQPQQ AADPYAQDNNKYANMGSVGPSGPSPYQAARQGLPSGPGPARGGLPSGPGANRGGYGGA PAVDRGPAASGGGYGAEKYGSGGGYGANRYDGAPSYGAESGSKYGPGGYGGLGRTNSN ETTTTEDNREALFGGAKDRYSQRGAIPPSNGRPGGGYSADSGTSGQDSGYGAYGEERQ LTAEEEEEEDVAATKQQIRFMKQEDVSSTRNALRIAAQAEETGRATLARLGAQGERIH NTEKNLDLAANHNRIAEEKAKELKTLNRSMFAVHVSNPFTASSRRAERDQEIIEKHRQ EREQRDATRQAAFGTQQRMERAFSELQPGDVGYRAPQTKASLAERSKYQFEADSEDDE MENEIDSNLDALGHAAGRLNALARATGKEVDEQNKHIDRIIQKSDRVDDQIAMNRARL DRIH LY89DRAFT_604478 MGQQSSVARHGSRETDRLLPLGPDDIDHDGCFPPHSARDPCPAN PYANLPVYTTIHRIRRDIIEAIDDPYSIEQLRDPRMNMSVVRPLVDKLYEANDVSMVY CLLVNRARFQREQLSQAHQQSVCATRAILCELLANRILRRFHEDNTGTRGLLLLAQIL VGGFDPFQGAPAEIVEEGNHVPWTSHTRTGAKRKLPALEIAIISESKVFLSSSACQKV VNAIYEGRVIYTPTSFIDILPDHYKQKPISLYNPRKAPLLNQYRLIVPRTRSILEICQ FVVLLALFLFVMVDRNPSTFGFFEGLFIIYTLGWVLDQFVSMLEHGWHVYTQNLWSFL DVTFSAVFAVYLVLRLYGWRTDEPEQGQQAMDVLAMGAPVLVPRLAFNLMSENMLFVS LRAMMKDFTVLTLLAVWCFAGFLLSMTWLSDGTHEPITISKWMLWVWFGLDGTGIQRS VDFHWLLGPILMVAFAFLGNTLFLTILVSMLSTTFSTIVANATAEIQFRRAVLTLEGV KSDAIFAYQPPFNLLALVVLLPLKFALTPRWFHKINVAAVRALNAPLLLLIAIIERRT LWSGTPRFRDVEQLPKSPVRPSLWRLSRGFSVHGDIQTVFDVEPPPSIEQEIANEDDL GHHSLENDFEREFGPEMGVKRTRTNTSKSRRDSVAPFAGITGRLRDLLIEGSEDGDGD ITIKKRLEALEEATARIEGMLGRLCEELDDSSGKSDIVQGKETGTMDDLDVSGTADID D LY89DRAFT_571732 MPEKVHFKFDDDNKAASKLEVSRGVSSPSSRHTVANGAGPTNGV KRLRDGKPKTDLGLLAIKDGNTGVKARVASNASGNSGKGTPRQAISNAESRLAETKAP KNQKFLARSPPNGARNVLRARGDGIKKSGQDKSIPSGHRSTAAQLQKARESLPIWSKR LDIRFALRVNDILLINGETGSGKSTQVPQYLYKEPWCTRQKVKVDSGNGKSEELPVGG MIAITQPRRVAAITLAHRVAREMGSQLQKGSSSGEVGYSVRFESIVPPGTKIKFVTEG MLLQEMLHDPNLRRYSAVIVDEIHERSVDVDLITGFLRTIVHGDKAGRGGIPLKVVVM SATLDLGGIEAFFAKPETLPRYQPGTNHGKILLRHMPGYEFRASSSKSSRRTSKDSYS SWEGLNEHELGKKKNGVAIEYVQGREYEVEIFYKTHPQPDYMHSMLEKILHLHVQEPL PGDILAFLTGQDEIETLQAELESHAAKLIKTVPKMKVMPLYGALSAQAQQDVFEKIKE PFTRKIVLATNIAETSVTVSGVRFVVDCGKSKVKQYRARLGMESLLAKPISRVSAIQR AGRAGREAKGKCFRLYTESDYLNLDQDELPEILRCDVVEAVLKMKARGIEDVLAFPLM DSPKTAAMEKALIQLHMMGALDDSGGLTDAGRKMAQYPLPAALGRVLVAAAEVSSDVL IDIIDIISSLTTDSEVFLQPKSEEDQGVIEEARGDIYGPQGIAGRGGDIITLLTTMQR YASEKSDRVEWCRKRLISVRAMKMAMQIRKQLRQLCGQQKLLEDLPPPDPLPFEPVAP ERAEVLLKAFLKAFAIKTALLGPDGSYVTTQGRNPITIHPSSVLYGQEKFEAIMFLDH VFTAKSYAKKVSPVQAAWIEQAFGS LY89DRAFT_633953 MAPGVQVDLQPTPPHQLSSQKVVDKKNFPDGIKTSGQHPPLYDL IRPYSKFPKTIDGPTVWKAEDYTSNPERWVHVFSSEEIDELSATADKFLADKTPLTGI SQDTFALPRLSVLLKSIRAEILNGKGFILFKGFPVEKWGNHKSAVAYMGLGTYLGYFV SQNGRGHVLGHVKDLGDDPKQIDKVRIYRTNARQFFHADDSDIVGLLCIARALEGGES DIVSSHHVWNTLQRERPDVAETLTKPIWYFDRKGETSEGEEPYIKTSVFYLEKGPNGR VYSKWDPYYVKSLDRFMDAGVIPHLSPEQVEAAKVLEETCHRLRLHMILEVGDIQFLS NEHVLHARTEYKDHAPPMPRRHLMRLWLATPESEGGWKLPFHDSAEKKRGGIQVNDQP HVCPLDAE LY89DRAFT_679331 MRINPRLRLATPAMTGTARGLRPPPLALLPPIPLYRRLLRAHRK HLPQDMRLMGDEYIKSEFRAHRNVENPVHIIGFLTEWQMYAQKLEGDSWLGERMDPGK IEKMSDQQLGQMYELLQAIRKKQLEDGDREHQ LY89DRAFT_573118 MLPTPSTSHVDFERIYEPAEDSYLLLDTLSSETEKDFLRTRYSA AHSPSPLVVEVGTGSGVVLSFLNAHAQTILGRADILTFGIDVNRFACKATEQTVSVTS QEQITHDLSHGFYMGNILGDLTNTLKAGVVDILVFNPPYVPTAELPGIPSPGENTTET SFADDSKLLALSYAGGVDGMEITDRLLLSLPEVLSHQTGCAYILLCAQNKPDKVKERI KGWGSGWKAETVSTSGKKGGWEKLQIIRIWRENA LY89DRAFT_679332 MKLVRFLMKCANETVTIELKNGTIIHGTITSVSPQMNTALRTVK MTQRGRDPISLDTINLRGSTIRYYILPDSLPLDTLLIDDAPKPKNKARKEVADRGGAR GGRGRGGPRGRGGFRGGRGRGGGRGF LY89DRAFT_604491 MSAFFTQLFESIFTPGPTPTLLVATNVSFACLQLVLLALLIATY SVHFVILSFLSAGLWWSINWFVAELNAAQAREDEKKRRMEETAAGDDTETEAETVIDT GDAGFSSKEVEPLERKGELKDRGHSTGTKSEISTEDEWERVSENEKDK LY89DRAFT_12062 MKISWTTLCWVSMAWAESGGIVGRGVLLDYASWASQNSIKLTPF SSTTILLSHLQRLITDQNIVFHPGDILFLRTGFTVAFNALDDSEQKILVARATADFAG VESSEAMLKFLWENQFAAVAGDCPSFESSPVTGGAMWCLHEWLLAGWGMPIGEMFDLE RLGEMCRRKGRWSFFLCSVPLKVPGGVANPPNAVAIF LY89DRAFT_703312 MSSDEKVKQSQKDEAALDNSDIVYPSGLKLALLMISIFIGMFLV ALDKLIITTAIPRITDDFHSSNDIGWYGTAYLLTNCAFLLVFGKLYTFLNVKVTFLAA VLLFEIGSAICGAAPNSIAFILGRSIAGLGAGGVQSGIIVIIVYAVPLQSRPKYQGFF GAIFGIASVLGPLVGGAFTTNVTWRWCFYINLPLGGLVMVFVFFLLHVPTRTNNTDTL IHKLQELNIIGLFALLPGVICLCLALEWGGFTYAWSDGRIIGLLVVAFVLMIAFVLIQ VWRPEQATVPPLIFKQRSILSGFWVSCCVGAHQTLFIYYLPLWFQAIEGNSAVQSGIH LLPMVLSIVVGSILTGFLTSRVGYYTPFLLFGICVTAIGAGLLNTLDIHSSEGQWIGY QILYGFGLGSSFQAPNMAAQTVLKRNEVSIGASLMLFAQTLCGAIFVSVGQNVLDNQL SKRLAGISSMTAQQIESAGVTGLLENISPENFTSALEAYNDSLRKCFQIALVLACLSI LGGLSMEWRSVKQNGPRIGDKGEGE LY89DRAFT_557875 SYAGNEHWSALLEDITDLKIDLDSLDTSEMTDFKPQILFGRTHA SRSEIISSLPPRPVCDILITRWYKTMDMASLILHTPTFMKEASKLHNPNKTPLMWFGL LFSVLGLASHFYAVSGEELPTLPEPFTSTSQMSVFYRDRCAQCLVEVNYLRPRRYTVE TLCFYFALEKFQGKDSEFGDYVVLGIIIKVAMRLGYHRDASHYPNISSFEGEMRRRKW SLIYQLDLVTSAQVGLPRTIREEETDTLEPKNLLDTDIEEGMATLPHPRPSTDVTPVA YAIFMVRLLRQLGRIVDQINSTTPPPYEKVMSLDSQLIETHATLPPYLTMKSLSHSII DGSDLILRRYSLEVCFQKSRCVLHRKYLIPGKSILKFRYSRTTSVDAAMRLLNIQRSF HDSIQPGGRFSGEQWRNSALINQDYVLAAMILCLDLSWDMRLGGGIRSNFGDEIEKMW PRNERLQALESSYAIWLKSSEMSALAVKAAETLRIML LY89DRAFT_604497 MSGTILHLRSELGKALEHRSALTPSTAKALIDAGYTVNVERSPE RIFDDEEFEKVGATLVPENTWREASADHIIIGLKELPVEEFPLKHTHVQFAHCYKQQG GWEKVLSRFPRGGGTLLDLEFLTDDRGRRVAAFGYHAGFAGAALALETWAWQLSHTEP FPGVSSYPNEDELIVDVKKAIAAGKEKTGRDPRVLVIGALGRCGSGAVDMCLRAGVPT ENVLKWDLAETAKGGPFPEIVESDIFVNCIYLISKISNFVDMKSLDVPDRKLSVICDV SADTTNPNNPVPVYTVATTFTEPTVPVEVKAEPKLSVISIDHLPSLLPREASEAFSKD LLPSLLTLNKWRTTDPWAKAEKLFQEKVASLPNSYLE LY89DRAFT_776277 MSFASRLPGALTVLFYLQCFYNVVSAQNETEILPGFGDTVQILG AMERTDQDFKDGLALDLFSPTNRTLIVKQNKSPLAAQFITGTTGEPFVALSNYSYIIQ MNETANDLIAKIEIPYDPVQLNEIGVQEANTYVATLSSDKTSWVINDATRNVHRSENN TRIIKMTSLDGEYLLVGRQTVDTSNIFVQYGQGATRTVNMTGGIGTQEAEFVDGLRFS VQTNTSMTMNADIKNGINPGTLPANTQSLNSFAWVVNTSAPFMKINAQMLVPFNRNML VALKPAGSSPSTMLTVAKRPLNATNGQFMPVNAGVQVVKELPEDRIQIPEMTQLDGQY VILVTNPKPVGQTLPASSIVGPEKKKRASHIVKYV LY89DRAFT_12205 MKSAFGSKRKARKIQVDENEGETKDQSIANEIAQPPVSSNPVRK PFKKSSLRQSIAFDDLEQDENEQDTNKPTIGRSSSLMKKKKKSTASRLSFGPGEIISG DAAEALEDHEAFTPKKPTLERRVIEGNTFRKSLPYQSLPVRSNEEEDERPTYSKDYLK ELKSSTPTTPKDLQALHAAAEDEEMLDASELAGATIGRLEDKSVASSNAAYIPTEAEI KEKKERRARLAHEKDFISLNDDGDDGRQISLLPRKKEKETRLVREDEDLGEGFDEFVD DGRVSLGKKAEREARKRQRKEMADMIHQAEGSSDGESDDSEAERRAAYEAAQTRAGMD GLHKPDEDLDRSTVQIPARITPLPALSECLERLQTTLNSMELELAKRQKQLEESKREK LDIGKREAEVQELLKQAGARYAALKADTSGAAIDPQVLAEAQTSGLTIPMMADRGLES FGNTPIARPNVEDVG LY89DRAFT_633970 MPQAQPELKKYLDKRLFVQLNGSRKVIGVLRGYDVFLNIVLDDA VEEKDGGEKVRLGMVVIRGNSVVMLEALERIGGGRDDR LY89DRAFT_12225 MQMFDDMDIKPEARPFLLVDVRRTDWEGGTIKTSINLPAQSFYQ TRKTLLDLCERANIKKVIFYCGSSNGRGPRCASWMQDYIDDVAKFGHKSKLQVLVLKG GIKGWVKNFEGSIMDGFDEKYLEQFK LY89DRAFT_12190 MTIARSSQHSDLETESSIPPSPTTLNHHSLTPHSSGTNTPNTLQ QDREFLFDSEDLDAAFEDKGHRDDLPDYEASSSRPRAAETARCSILPSRAAVWVTKDR NIKLSAFVAAILLHQGIISTKDLDVYHNQEKVENQGPTDPITGIFTSIHSTVGGVVQG LVDYPVEISKIVQADRDVAKGLATDFALDSNKGVSRIIGTGLRAPMDFTMNISRGFGN VPKLYGDESVRPVEKVDGVVSGLEAAGKVRSSF LY89DRAFT_12234 MSTTVLGGLPNGHHISPEHDEPSPPQDYTNHAEDEEYIRPMSPL QMPPGQIDGLESIKADTLLSSRGQSPRRGSRNSSLLHLDILSFPTPAQLAMSALQYLP YPLLVLGSLKTLVMANEAMVRLLGIEDDVEEDTASDDGMSSLDKLRGQTLSQLGIDMI QDGRPVWVSWESFLESLADDLDTTVEDDSQQTISESGEGDATPTAERAEPWSRKSGNN KSQSMVHDAVVEVIITKDAISQKVFAKARKPVNSNRTPHTLAKMIITVWEIGDERYFT LTFTSTETSHNSTMAESKLQSRHVTRAAKHRSLGSADSGSHSSPSSVSSGRSSSTQDG SSTSSAITSPTNASMSTSPFPPLGPPSKTPLSSGPSALQKVVMMKDALLDNTTVPIIA MWKDESLTIPNKAARDLFHPNADLSNVKDGVDLVSKWHVWDETFTTRLEPSEYPISVL VKTQTPFSSRKIGIFDPTTDKKIVFDVLGEAIRDENTGEFLAGIVTCRDITSMTQQIN DIKEKDEQRFQLICDSMPQMIWTTTPDGLHDWFSQRWYDYTGLTEDESLGMGWKLPFH PDDMTSTGKRWTHSLATGDPYMTEYRCRSKSGEWRWMLGRALPMRNSKTGAIEKWFGT CTDIHEAVESRFAAKRMRQQLLSVIAHAQVTLFSVDRHRKITLLEGAFIWDLESEIGS GDESSNGRPTKGEDYIGKNVYDVFYATQNGRSRDGIPESLRPIEDILTGKTMEDVHEH CIDNRWYRTRFIPVLGKKTNGGHVNEAFIDGVIGVSMDITEVKDRETELQLQEKENTR LLANEAAAKEASRLKSQFLANMSHEIRTPIAGVIGMAELLSDMDLDEEQRDCAENIQR SANGLLTVINDILDFSKVESGRLDIEEVQFSLSVVVKDVSKMLGFAAERKNLMFQSDI TVGVEKDLIVMGDPGRVRQIITNLLTNSIKFTSEGYVKFSVLKESETADTFEVKFVVE DTGIGIEEEVRKRLFKPFSQADSSTARRFGGTGLGLTISKNLVDLMHGRIQLESSLGS GTTATFWIPFNKPQFHDGAALIDIGSLPDRLQSEMSVSCNSSDYEQAVGTPPMQSPID PHKHRHHKPSSISMIPPAAPEFELSPAERANIKILLVEDNAINQQIALKTIKKLGFVV NAVWNGKEALDYLLAADKPNAPHPKPDIILMDVQMPIIDGYKATHLLRHHTPYNVLCR NIPIVAMTASAIQGDREKCKKAGMDDYLAKPVKGKTLEKMLVRWALSRRIPRTPGENG SIGYEFDGSDCSEPGEHNCGTAAVPMFGQGKKTAKPKTEEKKPEVLQPSRPSMSQRQK SHTLTLPGTESEGDRVERREEAEEKATALRDEKLVEAAAGHPGERVVGPVQVEDLGQR LTVENVGKLDREVGFQGKTKTKGGRSPRGEYLRRNLSVEGEAGANRPKLERRAMDSER TVTGRDKE LY89DRAFT_604506 MVQKAVDSRIPALIRNGVQEKKRSFFVVVGDRSKDVIVHLHYIM SRMDMKQNKSVLWAYKKDLLGFTSHRKKRENKIKKEVKRGIREANTEDPFELFVSLHN IRYVYYKETEKILGNTYGMCILQDFEAITPNLLARTIETVEGGGLVVLLLKGMTSLKQ LYTLSMDIHSRYRTEAHDDVTARFNERFILSLGGCESCLVIDDELNVLPISGGKNVAA LPPPDLDEPKTEAQKELDNMKEELQDTQPVGPLVTLAKTVDQAKALLTFVDAIAEKTL RSTVTLTAARGRGKSAALGVAVAAAVAHGYSNIFITSPSPENLKTLFEFIFKGFDALN YLDHEDYSIIQSTNPELKKAIVRVNIHRQHRQTIQYIRPQDAHVLGQAELLVIDEAAA IPLPLVRKLMGPYLVFMASTINGYEGTGRSLSLKLIKQLREQSAGFSKNNTDSELADR STGKTAKVQDNSFSGGRSLREVTLSEPIRYTKGDAVEKWLNTVLCLDATLPRSKLNTV QGCPDPGQCQLLNVNRDTLFSFHPLSEKFLQQMVALYVASHYKNTPDDLQLMSDAPAH ELFVLVPPVEENTKHLPEPLCVIQVALEGRISKESVMNSLSRGKRAAGDLIPWIVSQQ FQDEQFAGLSGARIVRIATNPDYIGMGYGRKAIELLMDFYEGKFADLSENATGITETK ITRVTNAELANASLLDDDIKIRDIRKLPPLFAKLSERRPDSLDYVGVSYGLTKPLHRF WQKASFAPVYLRQTQNELTGEHTCVMIRPIGDDAAWLGEFSRDFHLRFLNLLKYQFKD FPSVLALSIGESANKGTQLDSLNEPPPFSKDELDRLMSPYDLKRLESYANNMLDYHVI LDLVPRISMAYFTGPIKYSVKLTGVQEAILLAIGLQCKEFSSIEQEQELSSVQASQLL AMFIKIMRKMSSYFGSLVSSAHEADMPARDTIGVSRADASGAHDDEIIDERFVALETG LEEELEEGGDEALKALREKQRELIDALPLDQYEIEEGAPGWADAEKQVLHASKSGHKN PVVSVKSTKQKRKAGETAAEIYEQEFGDKAHKKAKKASKKVKA LY89DRAFT_713025 MASLHSVYIVAAVRTPVGSLLGSLSSLSAIQLGGIAIKAAVERV PEIKAEDVEELFMGNVLSANLGQNPARQCAVAGGLPESVACTTVNKVCASGMKAIILG AQTIMTGNADIVVAGGTESMTNTPHYITGMRSGIKFGDQTMVDGVLKDGLTDAYGKKE HMGMAAEECSEDHSITREQQDEYAIRSYQKAQKATEAGLFKTEIVPVEISGGRGKPAI KIEKDDEVKNLNIEKLKAMRPAFKPNGGTVTAPNAAPLNDGASALVLVSETKLKELGI KPLAKILGWGDASKAPSKFTTAPALAIPKAIKHAKIDASQVSFYEINEAFSVVALANM KILGLDESKVNIHGGSVAIGHPLGCSGARITTTLINVLREQKAKIGVAGICNGGGGAS AIVIESLQ LY89DRAFT_572926 MDDLYDEFGNFIGEAEESEDDSQHGIDAGAYVYDEDYPEEAPEA TGQELMELDDEGPSNAVILHEDKQYYPTAQQVYGEDVETMVQEEDAQPLTQPIIAPVE QKKFTIQEADLPPVFFERSFMTDLMNFPEQIRNVAFAGHLHHGKTALLDMLVLETHDI TDRLEKRTRKKRDEQLRYTDVHVLERERGISIKSAPMSLVLQSTQGKSHLLNILDTPG HVNFVDEVASSLRLVDGVVLVVDVVEGVQVNTEQIIKHAVLEGLPLTLVVNKMDRLTL ELKLPPTDAYFKLKHVIEEVNTVIENTLPGQGEKRRLSPEKGNVLFACSSLGWCFTLQ SFAKMYAENYPPRTKSSPGINVQEFARRLWGDIFYNPKKRSFTRKGIEERSKRSFVNF VLEPIYKLYSHTISESPDDLKDTLATLGITLKPSQYKMDANVLLKLVCEQFFGGANGF VDMVVEHIPSPVEAAKSKIERYYTGPLDTAVAQSMKECDQDGPLVVQISKLFNTSDAK GFHSFGRVMSGTATPGAQVRVLGEGYSIDDEEDMSMATISDVWIAETRYSIPTDGVPA GNWVLLGGVDNSIVKSATLVPPVLAGGEDAYIFKPITHFTESVFKVAVEPINPSELPK MLDGLRKINKSYPLITTKVEESGEHIILGTGELYMDCVLHDLRRLYAEMEIKVSDPVT RFCETVVETSAIKCYAQTPNKKNKITMVAEPLDQGIAEDIESGKVSIKSPNRVIGKFF EENYGWDLLASRSIWAFGPDELGPNILQDDTLPSEVSNSLLQVDKKLLLSVRDTIRQG FSWATREGPLCEEPIRNGRFKIMDVTLAPEAIFRGGGQIIPTSRRACYSSFLMASPRL MEPVYSCSMTGPADSVTSLYTVLARRRGHVLSDGPIAGTPLYRVSGLIPVIDSFGFET DLRIHTQGQATVSLVFDRWNIVPGDPLDKDVILRPLEPASAQATARDFVLKTRRRKGL SEDVSVAKFLEPELFSSLKESGLLDG LY89DRAFT_692911 MLDNENPTILSVSELPTRRDRRQRKDQPKKDSIRDKLYGTPDWE MNPYYTLAYSDADSDDTNLEPIDEQEIYDLIAPICDPEHPLSLESLGVVKLQDVHLIS PLDPAKPAALSRVLVELTPTVSHCSLATVIGLGVRVRLEKALPPSFRVEVKIKKDTHG QAEEVNKQLADKERVAAALENKSLMGILRKMMQPCIEPQYQ LY89DRAFT_679345 MWCNLCGRSLSTVSCCLLLLLRVPPYTFVCSSQGLLVIHYRSKK AGQPTSKVKIEEETRWNKIALGWSLLTNN LY89DRAFT_692912 MVGDPGTRRLLPQSSQMQSFSFAPNNFGQRENQKNYVFVDEHNR HKRLKVMRACEGCRRRKIKCDAATTNTWPCSACIRLKLHCIPPTVNYDRDFPQNPQGF EGERVEYESGGSGDDDYHQQVSMQQQMAGTHKNIPPIYTAQVPYPDPVGVYQSGPYGE PSSSHSQPSMHYGSMQTPVSVIDQHQQYPPQTVFPTPPLQQHSSHPETPDNYEQDQYG QQNLADLLGELRMNEAGTAPYLNNRNKNKTLVEEPAFEELDEYKHVLPPTLSGPDLKV RIPPELMPDDETTLHYFDMYFMNVHPYVPVLNKALFYQQWHTNRESISPLILEAIFAI AGRLADEPSQGHQWLALASKHADSFMDVPRLSTLQGMLIVLKARESAPKRGYYFRSWM TVVQCVQMAKDLGLDEHFEEHKAGRSCGSDLADCITKTRIWQTIFVCELMIGSPQGRT DLAVDMESLDLSIPRTALGNDETEFHTTRNFAYFTRVVRNVRRMNNVYARIKKKKEWG IDPDFVQLNPSFESWMNDLPGDLQLTFPPDGSPPWLPSHFIGNLHSYYYLSIIMLHRP QLTFMEPTGMDGGWKHHMMICYSSAKLLCRLQEAILQSFGMTGLLCMQRGINFTIYCV LTCTVLHLVALTSPDPDLNADAREYFTRHMRILEKCTSSWPMPDMQHQIDALREAFSA DTRKPFVLKPTFPYGSPGAPSSASPPRASQYRQAVTQSSSHQHMEQQHAPQQVSYTAH PISPPISAGGVDTKSDSPAVQSLVMMATGQRGPQPPIGTGVPMSDPSAWNPSRIFNQW NSTFGTAPPQSTSAPQATPLKLAVSGAQEIPSLPELENLPNVSLPPNSQAMPPQQYSG APIPSFVSPSMWQESVASVYEGGLKRQWDYDDQGMASKRPR LY89DRAFT_572177 MTSNTDNLLICTACGTQFDVDNPSLLTRCRICDDPRQFVPPTGQ AFTTLGDLKKGEYKNKWKAFYEDERFWSIWTEPKFAIGQRAVLIKTEVGNVLWDCISF LDAETIEKINGMGGLAAIVISHPHYYTTHLEWAQTFSCPVYLSWNDKQWLNRLDPLGA SRTFIHSRTEEIHILSQSTSIHALKLGGHFPGSLVLLAHNRLLIADTLVTTPAGLGDW SKDGGERPEGINSYSFMWSIPNMIPLSPEEISGMWDVLKGYEFESTHGAFVGTEVRDG GGGSSKKVKQRVLESMQIQVRYSGHRDHVFLKEKI LY89DRAFT_633995 MVYPFDYFQHRRDCKFKQTERAQRIQTLTSYHGAFTTPERQILA KPIKELVQDVHKQVIQPIDILRTYGKAALKAHEKTNAVTEVMIDAAEVWTKDGSINFK GPLAGIPISLKDSIVVGGFDTTVGYSCNVGNKGEKDGTMVRILKDAGAIPYVKTNLPV TLLSFESTNDVWGRCTNPHNNKYSPGGSTGGEAALMAAGGGRIGIGSDVAGSVRAPAH FSGIYSLRCSTGRWPKNGMVTSMPGQEGIPSVFSPMTRTLGDLSYFTKSMISMKPWKY DHSVHPIPWRPDVVKEYEEKKTLRIGVLRTDGVVDPSPACARAVDEVVTALKKEGHEV VDVEPPSPYEALYIASQLLNADGCKTFKSFFRAGEWEDPGAKQMSWLMSLPSPIKYVY YLWVKYIRRDEVWAGLIKDWKPKSAYEQWKFVTKREAYKAKFHDWWEQEAKIDIMITP PNATPAVPHDGMKDAVSSCGYTFLFNLLDYTCGIMPITHVDKTLDQLPSEFDFKKLNG VAQGAYKLYDAIAMHGLPVAVQVVGQRLEEEKILAVMQRIEDALGDDKYQLMEID LY89DRAFT_604526 MTVPEKDHWSSQAYQNSASFVPKLATKVLQWLDVQKDDIILDVG CGDGVLNLQIAQTLAQGTGKIHGVDSSASMISTAQKAASADPAASKTCTFEVIDATAL LSEPELQQGSFDKTFSNAAMHWILRPESKRKHFFLGVRNSLRSGGNFVFEMGGMGNVA EMRTALLSVIGRRVGLEKVREIDPWFFPDEIWMTKMLEEEVGGWKVERIEREYRPTKA DQGGIEGWVRLMGKQFFDAVGDGEERLECEREVCEVLKTVCESPGGGEWIGYVRLRAV VKKL LY89DRAFT_572208 MIYQTINWLDSTKELVSITLPNVTAGSNSGPGGAAVKTRLHLFS VSMLPAAGSNIDLQAQYARSTQLWLPGTNKTQIVEVIINNVGSEWVLANNSVQVSVSS PGLETVAPGYIKRLQPGDQARVQVGVVNADGVAAGSSGSATVVITGVGVNSSYTFNAT YGVVPYQATYESVYSHETPNWYNDAKYGIFIHWGVYSVPGWGNVEKNETYAEWYWYDM NLGPDTPEETYQYNLATYGPNHVYDDFIQNFSASVFDPKEWVDLFADAGANYFVQVSK HHDGYALFDLPANVSQRTSVAQYPHRNLLQELFDAAAQYQPQLHRATYFSLPEWFNPA YKEYGFGSWPGGNATNPYTNKTLPYTGFVEVNDYVPDVILPEMNALADMGTEIMWCDI GGPNLTAEFASAWFNKAAESGRQVVMDNRCGLPGDFDTPEYARYDAIQVRKWESNLGM DPFSYGYNRATPTASYMNASAIVTSLLDIVSKNGNFLLDIGPTANGTIIPIEAANLRE AGVWIKDHGEAIFNTSYWFITPEENGEGKDLRFTQTAEAFYICSLVEPGSSLVNDSPV PWVEGDEVVVVGGNSSGVVVPSSKAANGSLVLDLSESVRGGETYAWVFKIGYGGNLTG SNSTGPGSVPTSAAGKAEDIVKGAVFALLLTLVIGLVL LY89DRAFT_12506 MNVIRKSPTSTFRMETNFHRTLHFLKEEERKIKVCVKCRVITFR LYLASATASFSSQLLDIIAWSKQKVLQLELFVCSQNYLVKIRLSSLCFKHCQTMIVAA IILVPDSQSLFPSCTQKLCPFTQRDNEQAIDSKIHLTAENSLTVKIISRNDNKHPQQT NAVATAKTAAEQHLICCSRPYLDTSNPWFSKEPCPLFV LY89DRAFT_12460 MASEETAVEGDPQVSFNVKSTDGKYTITISEASTVLDIKNQLSA EDKANVPAHKMRLIYSGRVMKDDQTVKSCNIKPGNTVHMVKNAASNESQKPPGGASSS SGTSSAAAGVPTNMAAGTANNPLAGLTGARYAGHLNLPNMDMFGVDGGMGAPPNEEQV AAMLEDPNVQQTMHEALQNPALVDMMINSIPGLRDNPQAREMINSPEFRRMLSNPEAL RHASAMRRMMEGGGGANAFPAPGATDTTPTGAAASNPNPNQANTTPFNPFAMGGLGRH GAIPARGVPWAQYWNMPPAAAGQTPVQTPPAPASAGQATPRSPTANDPNAAANPFASL FGQAGAGAAAGGQNANPFGIPGMPPMTPEMMQQAMQMINSGQMGNIFGGGSPFGGGPA SPPAPVDNRPPEERYADQLRQLNDMGFFDFDRNVEALRRSGGSVQGAIEQLLR LY89DRAFT_634007 MRGIQIKEYVEGPSDLKVTSLPDPTPARDQYTIGIHASATNFFD LLQIAGKYQHQPPLPWVSGSEFAGVILAVPSALPNNRTPKYKVGDKVFGASQGGYATK VCAREEALKPVPKGWSFFEAAGLFVTAPTSYGALVTRAGVKKGDWVLVHAAAGGVGLA AVQIAKAFGATVIATAGTQHKLDVAKSFGADYGINYGEANWPDQVKKLTPKGRGVDIV YDPVGMIDKSTKCIRWNGRLLVVGFAAGSIEKVAMNKVLLKNISIVGIHWGAYATNEP EQIEPVWNGIFKLIDEGKFRGTVFTDKEFVGLESVPEALKSLGSRGTWGKVVVKVPQE GQSKL LY89DRAFT_573348 MGFVDTVKTQATGTKLLFNVLFHGFHIGLFVLGWKKQQDDPRLA GLNMLTFSVWISRGAGLVLSVDGAMILLPMCRNLMRWIRPKIKFLPLDESIWFHRQVA YSMLFYTCLHTLAHYVNFFNVEKTQIRPVTALQIHYTEAGGITGHMMLLCMLLMYTTA HAKIRQQSFETFWYTHHLFIPFMLGLYTHATGCFVRDSTLPLSPFAGEQFWVHCIGYE GWRWELWGGGLYLMERLYREIRSRRDTKIVKVVRHPYDAMEIQFVKPSMKYKAGQWLF LQVPSVSKQQWHPFTITSCPFDPYISVHVRQVGDFTRALGDALGAGPAQSKLYDNVDP MGMYEVALQNGQTMPELKIDGPYGAPAEDVFDNEIAVLIGTGIGVTPWAAILKNIWHM RNSPNPPTRLRRVEFIWVCKDTTSFEWFQVLLSSLEAQSQEAANRGANNGNEFLKIHT YLTQKLDLDTTQNIVLNSVGSTLDPLTELKSRTNFGRPDFLRLFQGMRDGILDRTYIN GLEGSMRTNIGVYFCGPNVAAREIKKATKKAATREVNFSFWKEHF LY89DRAFT_12772 MGISRRPKGDKGGKAQDGGRAAPSGKPNIKKATFDTTKKKEVGV SDLTLISKVSNEAINENLKKRFENAEIYTYIGHVLVSVNPFRDLGIYTEQVLDSYRGK NRLEMPPHVFAVAESAYYNMNAYKDNQCVIISGESGAGKTEAAKRIMQYIANVSGGSN SSIQETKDMVLATNPLLESFGNAKTLRNNNSSRFGKYLQLQFNAQGEPVGADITNYLL EKSRVVGQITNERNFHIFYQFTKGASNTYRQSYGIQQPNTYAYTSKSKCLDVEGIDDL ADWNDTLQAMKTIGLSQDEQDEIFRMLAAVLWTGNVTFKEDKDGYAEVVDQSVVDFLA YLLEVDSAEVVKAITIRILTPRNGEVIESPANPAQAMATRDALAKAIYNNLFDWIVER VNVSLKARGTTANSIGILDIYGFEIFEKNSFEQLCINYVNEKLQQIFIQLTLKTEQEE YAREQIQWTPIKYFDNKIVCDLIESVRPPGVFSAMKDATKTAHADPAACDRTFMQAIN GMSNPHLTPRQGNFIIKHYAGDVSYTVDGITDKNKDQLLKGLLNMFGHSQNQFLHSLF PHEVDQDNRKQPPSAGDKIKASANDLVATLMKAQPSYIRTIKPNENKSPTEYNVPNVM HQIKYLGLQENVRIRRAGFAYRQTFDKFVERFYLLSPQTSYAGDYTWTGDAKSGAKQI LKDTSIPAEEFQMGVTKAFIKAPETLFALEHMRDRYWHNMAIRIQRVWRAYLRIRVEA ATRIQRMWRKKRAGAEFLVLRERGHKVLQGRKERRRFSLLGSRRFMGDYLGIGASNGP GSRVRSAINLSEPVVFSCRGEILETKFGRSSKASPRILILSKSKFYIVTQHLVQKQVQ IAIERAIPLGAIKFVSVSSCRDDWFSLGVGSPQEADPLLCCVLKTELFTHMSMSMPGG GFQLKVGDSIEYAKKPGKMQLIKVVKDSTVRDDFYKSQTIHTTQGEPPNSASRPLPKG KPIPAKPFTKGRLIRPGGPGGRPSRMTNGNRNTKPVSNNFVSPPPAQSRSVPQPAAMA IPSHTRNTPSSSSGRAVPPPPPPAAPPAVARDPQYRVLYEFNGQSANEITLAKDELVS IVQKENNGWWLAKKSNGQQGWAPSAYLKEEAPPPPAAPALRPMPPPPPPAAAKANGNG HGPVVRNKPTPPAPPAKRPAAGGRKPAPPPAPRDSGMSVATNGSGGDSGRSTPNNFAG GLAEALKARQSAMSRGRDEEEDW LY89DRAFT_703330 MFSSSVRRVARAAPSVPITPSLSGATPRTIALSYRSHQRRLSSS KPSSPADGSTPPAARVSKKKAREVAANATVKGRDASLLHLPSVPSTQHVPEMQIYASS FFSLHRPISLTKSFPQTVTDDTFAAIFTPRIRVNKPSEVISTLTNTVKNLDSITGKKG AGRTQQVWNEERDDMRAAITEESYRQAVHLDTLPENAAEFTKQLMSSGSRYKPFNPPP PPEPMNSAESLAAGAEAAEALEPQHRTYTAVLTIEESTDENGEVTYSAHSSPLIEEAP RAGPTKFLERMQIRQERYRDREQRRGGNDMLAISVKRQRKLKMKKHKYKKLMRRTRNL RRRLDRN LY89DRAFT_12819 MLNQGLAELCEKLTYSSSTVTPSLIGRESVIPSDVSTEIHHAAS IWGNRTLQSGLETQLTQVVQNTFNNVMVEHGQENVVLPFGTGDHLSPRLGQFQERRGR RIVNSSNRVTRTPLGEMRCTVSTYRLDQDTTDLELEEVDSEENLEIETTFSLVPSWWI VKFGMARMFKFDIMQFSRQGWQATMSTFNLVPSDSAIFDFCREGNLNAVRSLLRHGKA STRDITPTGLTPLHVAASCCDVDICALLLREGADPSLREHSTFNMFVLSWSPLIRLFN RTLGHLLSLHVSRAIFHEIFIL LY89DRAFT_634019 MRTSILFSLVAFTASAVNAHAIFQRIKVNGVDQGQLVGVRAPAV DNPVKDVTSPDIACNTGLKAPVSTAVVTVPAGAIVSAWYQHVLGGPQMAGDKDNPIAA SHKGPLMVYLAKVDNAGTASWTGLEWFKIAEEGLDITTGKWGVDTMIAGNGWWSFTMP SCVAAGQYLMRVELIALHSAYASGGAQFYFSCSNVKITGSGTTIGNDTAKFPGTYAAN DPGILLNIYNGTLPNNDLKPYAIPGPKPMQC LY89DRAFT_12857 MIQGELSRDLDDAKWLRLTICRTINGILAMMAFRNQFSTGYIDP KDGFPNVSPAQSSVIVAILSAGTFFGALLAAPLGDKLGRRISLIIAVAIFSFGVLLQT LALAIPLLIVGRLVAGLGVGIVSVLVPLYQSEMAPKWIRGTLVCAYQFAITTGLLLAA VVNIFTEKMTGATAFRIPLAMQFIWAGMILLGLILLPETPRYLIKRGLPNAAGLSLSR LRRLDITHPALVEELAEIEANHEYELSLGPSTYHDVFFGSPKLGLRTLTGCGLQILQQ LSGCNFIFYYGTTFFQHINIPSPYVIAVITNVVNVVSTIPGMFLVESLGRRRLLMIGA GGMAVCQLLVASVGTAMPDSYTANMVLIVFVCCYLFFFASSWGPVAWVVTSEIYPLKV RAKSMSISTASNWLLNFAIAYGTPYLVNSGPGYANLQARVFFIWGTFCALAVIFVWCM VYETSKISLEQIDEMYERVDHAWKSKSFQPSWSFQEMRDEGASSSGIQLESVEEPRRR LGTSTSTAESETTMTEEDKIIAQLGDVDLSY LY89DRAFT_713042 MLTSISRELGTPLQEGLFALSLRQESLFMLQAIYKLPQHIDISR FKAAWQAVVDSNSTLRTRFIQAKWGTIQVVLESIPIDWLEESNLQVHLKKDCEIPAGF GSNLLRFGIITDVDSGEKTFVWTFHHAIIDDWAWRLLLQQVDQFYNTKTLDPLVEFKH FIAAIHQIGSEDDHRRFWSDYLAETVVHPFPALPTPTYLPCADSCEQLQINIPTSLRS IATPSTIIQAAYSILVALQTSASEAMFGLVLAGRNLDLPGLERINGPTFTTVPLRVSI DPRSSVIGLFEQIFKIRTAMKAHQHYGLQNIRRLGDDFARACDFRSMLVVQPLVERHP NSLFDTTDNASDYLTRQIAYALTMECEITMTGFTARASFDSNVVPRREMQAILKAFEY VVDTFAANTACQLKDIQPARMNDIGSLAILDTKAFDLAVIAWDGTLTYRQLHLLSDRL AHRLRQLGIRPEINVPMVFEKSLWAVVAMLTILKAGGAFVPLDPSYPRFRIQSLVEQS EGRVLLCSEKLTESLIGIAHETLAFGQWTRVQQCKAKECSLYMKQLGHLMDMNRGTRA FQNSSYTFDACIVEIFVTLGAGGTVCIPSEDEKMNNVTVRLFQLYGPTEGCVACFGTG VKDPDTNPGVIGKCFVGSYMIVDDTLQIAKPGAVGELYISGPHIARGYLNQSRKTEEA FVSTPDLIAYLHPEIDGQRWYKSGDLCGQQVGHPALEIIGRRDGQVKLRGYRIELGEV EYHLRQVFRNVMDLTAVVAAPADDSGPSFLAAFVRPKDLPVLFARTLETMLARLPAYM VPQILVPVASLPLTTSGKIDRRLLETMASDLTVEQRAMFSGEREEYVTTSSDLEIRIQ QLWAQTLKIDPSKIGKFDNFTRLGGDSILAMKLVSLARGDGVNLTVKDLYRYPTLFEL AKTSTLIDANANSPIHDFPAFSSVGGQANASSIVQSLSEQGILVNDVEDVVPTSPFQE GIMALSISYPGSYFAQHVFELTDDLSGNLEMFRTAWDNVVASHSILRTKIFQSTSSGL VQLVMKNAFNWSDHGGLENYLSKDRAETIGLGSPLHRYAIVGPNVTNKYHFVWTTHHA CMMGASEAFWLANLSTGESAKFPVVLMGYSPVPSQSNTRNIDFARRKRSEFRVSTLVR AAWAITVSTYSQSNDILFGVTLSGRDGVFENLASVVGPTVTTVPVRLVQDPQITEIEQ LRKVEAQMIEMMPFEQTGLTQIRKMHGTLRQACDFQILLVIQPEEYSNIDKSILGPRV RNSDSSQVFDTYSLTMECTLTNDGLIAKAIFDPNVIEVNQMDRILSHFDHVLQKLCLE EAEKTIREINTVSSEDLGEICRWNAVLPEPSQSRVHHLIGEVISKDPHAPAICSWDGN LTRGQLDSLSSNLARELVERGVRSECKVPLLFDKSKWAVVAILAIIKAGAAFVPQDPL HPTGRHAGIVEQINASIILCSEEHKNRCLTTLPQLQTIAVDNSLFDLSSNLDPVVSDV GLNNALYVVFTSGTTGNPKGTVIEHGAYCSGSREHDKALHFSESSRFLQFASYSFDTS IEDILTTLMTGGCLCIPSEEERASDIASAIVRMNVNTADLTSSYISSLSPDTVPSLKR ITLGGEPLTSKVVKIWADRVHLINAYGTTECCVTSLVNWSISKSTDPANIGRAVGAVS WIVDPDDANLLMPIGAIGELLIEGPAQARGYLNDEAKTNAVFIHDPTWALDTRLPRRP GRLYKTGDLVRYNADGTIIYIGRKDTQVKLRGQRIELGEIEHHILDQPNVKHAAVFLP AAGPYKDTITALVELEISTAALEGIDIQVVPASVLDTANFSWSDVSDRLRDALPSYML PTCWLAIKALPLSTSAKLDRSKLNKWLSNLPQDHRSENTLTPTRTQLIPFDDEIALSI STRVAELCTQNTTEIIGKNVNLTSIGIDSIKMMSLSTFLKRTFNVPVPMKVLMNHRTT ITDISAHIYSTRSSKETSPSPEPSFAVDLLSEFSLLDKELASLPQPPSNALGTVFLTG ATGFLGSQILRQLLTHPSVSKIYILIRAPSLSSAEQKLINSAKALNWNLAPLPTTLTI WLGDLSLPHLGLSPEQWKVLQDDIDTIIHNGAIVHWNSDFHTLKPANVGSTVELLKAF STPRIARRRNSSTSQEAARSPLMRKTRRLRGDWEPWMGIARVNSWSEMLVRGFAKRGG NEDVDVKVVKPGLIVGTEEEGVPNQGDFLWRYVGTVVGMGVYPVPEENGWLVVSSADR VAGFVVQALLSSSGAEGQTQEVRGGILMRDFWETVNENLEEGRRMRGIGAREWLGLVR EDVERKGESHLLWPLMEYLSEEGNLGLDVKPEGVDGESLKGALGKCVRYLKEKGFFS LY89DRAFT_604553 MAPRVIVVGGGLSGLSAAHTIYLAGGNVVVLDKQGFFGGNSTKA TSGINGALTRTQVDAKIGDSVKQFYDDTLKSARDKARPDLIKVLTYKSAAAVEWLQDV FNLDLTLVSRLGGHSQPRTHRGHDAKFPGMAITYALMQRLEELAENEPERVQIIKKAR VTGLNKEGNLVTGVKYEFGGEESDLDGPVILATGGYAADFTETSLLKKHRPDTFNLSS TNGTHATGDGQKMVMAIGGNGIDMDKVQVHPTGLVDPKDPGSKWKFLAAEALRGEGGL LLNGDGDRFCDELGHRDYVSGEMWKQKDKGKFPIRLLLNSKASNVLDFHTRHYSGRGL MKKMTGKELAKEIGCTPEHLQSTFKAYNEIADGKKKDPYGKKFFHNGPVDIDDDFHVA VMEPVLHFTMGGIEINDKAQILNSEGKPFDGLFACGELAGGVHGANRLGGSSLLGCVV YGRVAGDSASNYLFQKALQGSASGASSRLGQISLHIDPSQPGKISVEWDSQGSSQGSS KQAQQSQTSAGPVLKQGADSSDPGKVSKTGKPAKFSIPEREFTMEEVAKHNTKEDLWV VVKGVVLKLDDWLEEHPGGPQAIMNFMGRDATEEFEMLHDDEVIPKYAPSQVIGRVKG QTPSLEI LY89DRAFT_713044 MKAILSGSTGFIGGEILNQALTHPSITSLVCITRKPLPEAVANN PKVKVVIIKDFLSYPPETRALGSPAHKNPNLEDCKKIEIGYTHAAAETFSKSLVPSLK SQGKSFRFVYLSGKMAERDTDKKLWFMHNARSIKGQVENGLLAIQEKEPGFEVSVARP GGVLAKDSIIPSILVGITMSIKVDELAAAMISEATANSKGTRTLECDALRKKGNEILK GN LY89DRAFT_713045 MRYTPLVYSLLTATLAVSALPEKQRPLQNNLGPAMPPPPSDSPS TSDPSGGDTVILSDVLGNDRSINIFAGFTRDFATISQRFEDSALNTTILAPVNSAIMG LPRKPWEDPKDYDELGANAYEGGDGEERAQRNLRRFVEQHCVAASPWKEGEKLKTLAG DEIWWESKDGVKMIQPGKIEVSSVASRVHNGEVWILKGVRNYA LY89DRAFT_604559 MNNPPHGPVPGYDRDRDREREIEEQHRQRAALQQQEELAQRERD HNERQERERQHREQYQPAPPHQSSTGSIPIHQPVASRLPGAIHSPGGLLANHGGAPPP APLGAPSGPGNAFGGPLHSEANRPLQHQPPNPPSQLQQQQGFGPSILHHNPVGGPGPN PVAFGGPLQQQQQQQQAQQQAQQQAQQQAQQQQEAVSRLQQLPFGVPPGHQMPGAPAL GQGGQQPILNDALSYLDQVKVQFADQPDVYNRFLDIMKDFKSQAIDTPGVINRVSELF AGHPNLIQGFNTFLPPGYRIECGAGNDPNTIRVTTPMGTTVQSITGAGRALPESVLVQ GGVSGVYYGGQRPGNWQQQQPQHSIESPEAVFSPQNQSQPTPYNQTPNQHTAYEAQQA AAAAHQQQQRGVSQLTSAVATLGHPPRNTQTPTPGGQPGMNGGAQPGLEKRGPVEFNH AISYVNKIKNRFQDRPEIYKQFLEILQTYQRESKPIQDVYAQVTTLFNTAPDLLEDFK QFLPESAAQAKAAAAAKAAEEAQQSQLPPSQGARGESKMPPVGNFQVPQSNNTSKETK KRPRNSGTAPAPQPNVVGEGSRSVPQGAHANKRAKLNHSKIGALDTQAVSPTLTPIMP EPLPPTSNSGASQEELAFFDRVKKYISNKSAMNEFLKLCNMFSQDLVDKNMLVHKVSL FIGGNADLANWFKTFVQYDGKDETIDNQPMAPSGRVALSNCRGLGPSYRLLPKRERLK PCSGRDEMCRLVLNDDWASHPTWASEDSGFVAHRKNVYEESLSRVEEERHDYDFNIEA NSKVIQVLEPIAQSILGMTEDQRQTFIMPTGFQGSSQSLYRRVFKKVYGDRGLEVVED MFRDPCAVLPIVLARLKQKDEEWRFTQREWEKVWQAQTQNMYLKSLDHQGLNVKTADK KNLSAKHLVDVIKTRTEEQRRQRPTLNGKQKKIAFQFTYEISDSDVILNVLRLMIVYV KGAVHHNASERRRVRDFFDKFVGIFFGISHEQIMEATNDVDRDTPDDDSEELPPTELP NGRSKRSNGKKTDLRRGVLDRSRNGTKSRQDDSATGSKESTPESAADDDDAADAAEDQ SVNQVSDERWLKQTSVAKPDTELNADQPVLRTSYKLWANQNIFVFFSIFQTLYRRLKE IKDSEAEAIDQANRAALDKPAKLLDYISARDEARLSEDETFYSRALQLIEDYVKGDID ENTYQSWLRRHFLMKGWQAFTVLDLLKTLAKLGSICSSPDVKEKTSDLIRQFYANRQS EETTYNNEINMRKQADKWIKDSELFLIEWNSLKKTAGVRWFQREDTTWDLDDMTAIDQ WKYYVSSYFRIEPTEGVPRERMHKAVLMRNVSTSDADFEDGAAREVKAGSKEDLIMRI AVHGFEIKFGPPPNSEYFIFTDKPIGDDPATGENFARERLRPFENIRTERFKEKFEMN NAWMKGKSRDEVALKNDQFKKWMEEGVVASSSTAAAAPPTAMDVDEDAEIL LY89DRAFT_703337 MAPTYYSTSTRDHFVEPPSLLSRLISALPSGLPAQMHRVFESPT QSTTASRIPRSLRRWERSCLSLHPRRLLSLPHAFIAIWVVLLLWGERWVFRSSVQECK WENWESWPKDATPHHLVFLADPQLIDAHSYPGRPWPLDKFTIMHTDNYMKRSFISLQE SLNPDTIFFLGDLFDGGREWKTAHGNTQDPSWANGLRPSGEQAFVETWGKRYGEDYWL HEYDRFGRIFFDFWMKGTSPGPWQRGRKIISTLPGNHDLGFGQQVKIPIRNRFETYFG EGNRVDVIGNHTFVSVDAVSLSAGGDAHGTKELTQPVEDFLANVRSLKRKAVVRELSI QAGQEKVLQHVHKAEDLDQVDFSHLPTLDPGPSGPELPTVLLTHVPLYRQPGTPCGPM REHWPPETPPKGQTTPVFPDHRNAISISRGYQYQNVLSEDDSVNLVSAIGNVKSVFSG DDHDYCEIVHPANKNSAREITVKSMSWAQGVRKPGFLLLSMWNPIDTSGQSLQSTAVT TMESHLCLLPDQISIFLRYLTLIVLTLLTLTIRAILVPLLSLVPFSSPPSSSSSSNTN DYPLLPTTKADLKREEPERRETRSSNSSTSSTSSNNIQNLNLAPRSSAARTRSVSPAK GYGLPASQVRFATPPLINTAGYNPRWDDDAATKKPPIFDFVGNGNGAGRKEAVPLKGV KLIWREAWTSIWRVAWVVICVYLWLAYYG LY89DRAFT_13015 MSESPAESPGSQIHEPIFDKFAEDSGTNETPNSELSPPDSPATK IVTLADDKRANARALAASLSLEEQVSLLTAADFWRSKAIPEKGIPAFKTSDGPNGARG AIFKAGTKAALFPCGISLAASWNTDLLFQVGQHLAEEVKARSAQVLLAPTVCLHRGPL GGRNFESFSEDPYLTGKLAASYINGLQGQGVAATIKHFVANEQETERFKIDSLVQERP LRELYLRPFEIAIREANPWAVMSSYNLINGVHADMNAHTLKNILRGEWGYDGLVMSDW GGTNSYVESIETGCDIEMPVSTLWRGAKTLAAVENGDLSREAVEKAAANVLYLVERTK GSDMSAEVAEQEDDREETRQLIRDAGAEGLTLLKNEGNILPIKPSHKIAVIGPNANRA IAGGGGSASLNPYYNTLPLDSIKAVAKKEVKYAVGCQTYKWLPLAADYCKTASGEPGV SLEFHIGDKFEGKPRVIQQRTNTDLFLWDSVPLEVGNIWSCRAKTILTPKTTGLHTMS FSSVGAGRLYVDGKLMVDIWNWTEKGEAMFDDSQQQLFTMQLEAGKPIKVVAELTNEL RPAWRQKAEKRTHGNGGVRIGYKEEDKKDYLKEAVDAAKASDVAVVIVGLDGEWESEG YDRMTMDLPADGSQDRLIEAVLKANPRTIVVNQSGSPVTMPWADRVPAIVQAWYQGQE AGNALADVLFGFKTPSGKLPTTFPKRLEDNPTYTNWPGENLKVLYGEGLHIGYRHYEK RAIAPLFPFGHGLSYTTFKYGKPTISSPTLIEDGKITITVPVKNTGSVEGAEIVQAYI HDEKSRLQRPEKELQAFDKVFLKPGEVKNATLKLDKYSVGYYDTDLLQWIAEEGVFQV LIGASSADIRGKCSFEVKKSFTWVF LY89DRAFT_573240 ALERTFLGYLRTSLALSMIGITVAQLLQHSPTPNLAFGFYVLGK PLSGICQGAAIYTLIIGAFRTWRSQNAIVRGKAISGGFEIVGLAAGILLILLMFLVLL IAVDVSKEDAT LY89DRAFT_679359 MAWSKSTRISVMLAIDGAFFVLELGVGLVVGSLALMADAFHMLN DIISLIVGLWAVKAAQQSSTDKYSFGWLRAEILGAFFNAVFLIALCLSIVLEAITRLI DPPEISNPKLILIVGSLGLASNLAGFFVLGGHGHSHGPEEHDHDHGDEVRAAEEGHSH SHEGHTHDEEDYGNAGEVFPEAVVSKAKAKASQHLRFSADDEDAETARDSNASVGRSP VKTSSPSKGHRRRASSGRASRYSKIDDFSIHPASFRQDIIAASRPQLDGLDSTSSSSD EEAVEHGDATENSPLLSRSNGHSHGSLDNHKHGHDDDHSRTPKPRHDSWHASHNHNKP KKAKKGGHGHNHADMGMNAMILHVIGDALGNVGVIVSALVIWLTTWSGRFYADPAVSL FITLIILKSTIPLTSATAKILLQATPDHIEVNDIKEDIQDIPGVVSCHHVHIWQLSDT QIVASMHIQVEFPISEAGGEKYMELAKAVRRCLHAYGIHSATIQPEFCLDKDHDHNLE RPGTVGLDGIVGQARCGLDSDDTCLLECVDDCKGKGCCSPKTVEPQHDHSDHDGHTH LY89DRAFT_634045 MCILIYLCSTMNGYDGSLMGSINAIGSFQQYYGLSENGAASTGI VFAIFQVGQMAGALFIWLADWRGRRLSIFIGTLGVCVGTIVTSTAKDLSTFIGGRFLL SFFSTLATTAAPLYLIEIAPPMYRGTVAGLYNTLYYMGSILATFTVYGANLHLSGNII WRLPLWLQMVCPGFVALFVWFLPESPRWLIGQERFEEARAIIVKYHANGDDVHPIVEL EMSEMTDSMRDGGLMTWKSTFDIRNLFNSRSRRYRLALCIAFSWFGQFSGNNIASYYL PLLVENVGITNTNTQLLLNAIYAVAGWVFAGSGARFHDVWGRRKMLMGSTFGMAVCLA IVAGTAAGYVHDPSNKAMSIASITFIYIFGCVFAFAFTSMQPIYPGEVLDNIQRAKGM GVFQLTGGAAGFLNTFVAPIALKNIGYWFYVFFVFWDLFEFAVIYFFFVETKERTLEE LDEVFEAPNPRKASVAKTRIRRARIHDEDGNEKDVIMR LY89DRAFT_692932 MPPKKAAEEVKNNVAAFGRVRKNLKMGSVGLPNVGKSSLFNLLT EKTNPAENYPFCTIDPDEARCAVPDERYDFLCDLWKPPSMYPAYLQVTDIAGLIRGAH KGEGLGNAFLSHIQAVDGMYHIVRAFANDEVLHVDDSIDPVRDLNTIQSELCKKDLDI LAKTVIAEEAVVRKAGGKFKMNPLFPSTMLEKDQPVRDGTWTSGEIELINEKMQLITT KPTIYLVNLTMKDYLRQKSKYLPPIAKWVTEHGGLPRDIVPFSIEFEEKVHKMRDEPA KLEEFFKESKKSRFEKIVTEGFTKLGLQYYFTAGEKEIRCWTIQKGCLAPQAAGVIHN DFEKGFIKAEVVAYADFKSLCEGGKSMAPFKAVGKYRQEGKTYVVQDGDIIHFQFNVA PKK LY89DRAFT_776308 MPDSAGLEKPSPELGGWLGPDPEPAPEAGWRRGPALQVATALIA LVCCQLLMGQCLLTPANHLTGLPIDGAAEKNIGLALVCGFAQLIAVFSYLSPSAWTDH DHGSDFYKPILWSCAIIAVLAFCSYALLSLPLSFGYAPDFAAYWIFTTWPLILHLFFS RVAFVLVAFWHSPYIETVDEAIARGQDGREKRVSRAGPSFAEYPDLSRTAAISRKNLR FAKSLITMKLFILYTTQGMLHHVWLPHFLDQETNKFSCFFVVMSTVCFLLQIEVMISI HGTLLVFKIFSKGSLLFHLAYVTFSMAIFCQHLMVPTWWSCWVVSSYVAILMVTILGL RFVVSDDWVTECRDARVLWDLLKALRETRGRDLEGGGEYVVPGDDAAERWVKFGPAWM IMGQRT LY89DRAFT_703345 MSGREYYDDQQGQGGYGGGRQQQQGGYGGQGQDDYGSNQGGGYG GQQQGGYGGQQQQGGYGGGNQGGEYGQQGGSGGYGDQRHHASGGNQEHFGGGNMAQGG GYGGGGGYGGGDDDLQGAAQHAAQHAGGSGDSNMFSSVLGMLSQNKQSIGQGGINEQD AVQAHQNFFGGGGGSSQPASSGSMGSAAAMQALKMFSGGGSGQSQGGNSQNAFVGMAM GQAAKLFDSQASQGNVASGASKESAIQQAGEMALKMYMQSQGGGSSGLMGLASKFL LY89DRAFT_703346 MDSLVLLTSAFKPTRGFILAFLSICIVTLAAALDATSLSIALPI ITEKLKGTAIEAFWAGTSFLVTSAVFQPVIAGLSHVFGRKELLLTSAVFFAVGSIVAA VAKNMTMMLVGRSIQGIGGGGILTLGEILVTDLVPLAVRGAWFGYLGSMWAIGSVTGP LMGGAFAQNVSWTWIFWINLPFIGLGSIALILFLRINKTPGKIMDKVKNFDWIGSVIF TAATVSFLVPMTWGGVMYSWSSWHTLVPLLIGVAGIIGFGFYERYLSHHAYDSNGQLL PGPHTEPIIRFTIFYNLTMMFTYLETILHGIVLWCLLYFLPLYYEAVKGYTPIVSGVA ILPETSFVAPMSVIVGILCAQTGRYRWAVWTGWTLTTLGSGLLILLSPSSSIPQWVFL NVPVSIGTGMLFPSMALTIQAAGRPEDAGHAAAFFSFIRVFGQALGVAIGGVVFQNQI KMKLARYPLLAPLAGQYSKDATALVGVIRGMAEGEVKTQLVQAYADSLRSIWIVLTAL SALGLLASVWTKGYSLTQEHRTLQGYDGSREKTVADPEAISS LY89DRAFT_703349 MPILQLPILGDRIRVPAIEDLAIPAVSGLILFLTYSSQYLFHYI EPGPLTKGEAIWFNVLVGCVWWCYDCACTVDPGEEGWVGRGVREEEDEDEDGEVKLRK GMRWCKKCEAVKPPRAHHCRKCGRCIPKMDHHCPWTTNCVSHTTFPHFIRFVFYAVVS MAVLAYHLFIRLSTLWTNRNLPSYLGPPVWALAHLFILAAINSFTLFALSILLSRAVY SLIMNTTMIESWEIERHEALVERSRKTGGYVYANGGQKVRVERQEFPYDIGIWKNLCQ ALGTSNILLWLLPFGGGPGVESGLEWEENGFEEEGKTWPPPDPDKMPRATRKEEPTEE REYGTLEEEKEAFRRRQQDDYKRWVKKEFLDEKEAEGDSGSEGYEYEEGIDGEPGWTN SDGDRLRDYGVDEEAEIIADDDDIPLGELLRRRKARVVEDE LY89DRAFT_679364 MLSSGRSGSKGSKPKSNSHSSSSSGKGSSSSNSGGATKTGSGVT PAYGGGRYYGGGATTPYTSGIRSPLGIAPVFLGVGLLSFYPGLWLFGAYNYPYTHPYT FHNRTATNGTNTTTTTRRDTGFEVLIRQTSDEGANQTKPVLCLCAVYAECGCDDNGNT TFLDSIIGDGTYANLNQSLVTVSDVNGTSTIVLNGTLPNGTTAAGGTEDAFSGAVRSS IEMSGYWVMVALVGMTVYLV LY89DRAFT_703351 MEAVKSVLSQLHLGGESTEAAPPKEPSANELQELKEKYEKAGQE QVFAFYDELSVPEKAALYEQLSGFDPDHINKITDRALNPPKTEDAGKEAGLEPLPDSA TASILDSKAEDIEKWYQSGLDLIAENKVGVVLMAGGQGTRLGSSAPKGCFDIGLPSSK SLFQIQAERIRKVELLAQKKAGGDKKVVVPWYVMTSGPTRGPTEQYFQENNYFGLQKE NVMIFEQGVLPCISNEGKILLESKGKVAVAPDGNGGIYQALVTSNVMADMRKRGIEHI HAYCVDNCLVKVADPVFIGFAASKDVDIATKVVRKRNATESVGLILLKNNKPDVVEYS EIDKETAEAKDPKQHDVLKFRAANIVNHYYSFRFLESIPDWAHKLPHHVARKKIPYVD TDKGTTVKPEKPNGIKLEQFVFDCFPMLELKQFACMEVKREDEFSPLKNAKGTGEDDP DTSKRDIMNQGEKWVKAAGGTVVSEGEKAGVEISPLISYGGEGLEKLKGKTITAPAVL EKELA LY89DRAFT_565894 PSPSPRKKSRSPSGYAPPETYAHLPELQDVLASNLICIFIGLNP GIATAVHGHAYAHPSNLFWKLLHSSGCTTRRCSPVEDRDLPSLFSLGNTNIVARPTRN GSELSKAEMDASVQTLEAKIARYRPEAVCIVGKSIWESIWRVKHGRKMRKEEFRYGWQ DEGENMGVGGEEKWEGARVFVACSTSGLAATLLPVEKERIWRELGVWVEERRGERA LY89DRAFT_13428 MDQPPTPGEVSSKNETEVSLNDLAPERGEQQPEGNDPEDPPQLE RQITNPFRRRMQIARAEAAAQAAQAAQSTQSASLAASIDTPSNETAVQPPPKAVFKDL RSAHTSITSCNGRTRAETVVNRPELAVQQGASGESSGESSIAHSDIGQPLPLPVPEPT FEEIDLGPRVDMPNTRERHQVENGDIEGQTSLQRRLSMLDSVTSYVRNVFAPVRSATT GHASDQDTLNEYRSAYPSTSSNGLGNNSRQEHGTNHLALDDSFLPEMQFSSSPAITHP RRHGGLSMQFSSSPAITYPRNHGRPNMQFSSPPAITYAERYDGQSMQFSSSPAITHPG RHGGPSMQFSSTPAITRPERYDGPRMQFSSSPTITHPGRQGGHNVASSSTYMSQPEGS TIGEIYRQYEGTGTSPAARNSTVGFTYGNGAPMVDPESLRPPNYLSLPSDIEHDARQS EQSSYGDSQALLDASGTGNLTENSNDPQSALPTFNVEHVHSDNALSVAQSTVPSLTDV NWRNRYSVTPDSRLGRAESLRSQNNRATTEAPPRAVSPTPTEVESDRLPLEREISQEL RRVSGISQTSLDDSAYRGYEARYNPGTDAHGNFVPREPVDGSNTDGVSELSDDSESTI DAPYPQTNHPPPPAPDAFYHAPAIPPQWISPSHNIRIPIVRPEIPPRSPRRPGVPFSS VPPVAPAPRQSQNEDDWVTEDGSIWENDSVGINHRVRRIESTITDTSAASASIMSEDR YGSAERITQHPAPMGGTSNYRRRDLTNGNRPILLPTPDQYRVNGFPSNSLRFKPPNAV YQTPAPLRRRHEHPFGSSPPEVLSPTRGGRQTQFPLTTSTLTSDDSGTTSRRPDSRVK RNDSDRNNRLSHTRDWRRRPVSANSTTPRRIAESTGTVRPERPTSYGLMAAFAAGESV PGYNIVNGRVVPDYEQLDGIYGPEPVLHHESQNRRTIRPRVGDVPVGGFDYRGPSAAP KYNRGRDLYTPSQLIELDDMAPRGPHQNRMVSTVSEGPSQRHLSEAPILNTFVDEEMP ARVVRDWNIMCLVICAVFPPVLLLFASGLLDFCVDWYTKGSLPKFSSFYKKIALATKS DSTEVETSLTICLQGKVFEGTFLRGFVTEAISTSGI LY89DRAFT_713059 MAKLDTLPSEIVLQISRFLDPLDLVSLQHVNKRLQDLGRDDTLW REQCFNDSSFLTNLRRRRELIASEDVEEPRFRDLARALASGNGLGDSRLAQPREEARE LKARSNERIRIMANWDPSYPDEEVHWYDEYIARNAPISTSWLQQPRNRESSEHEYLEV RGMGIYTPVGESDATLVVAPLDDGSICLWDLSGTEGHKKGSIVARSKSGLLSVDPAPQ NVTNKRSKMINTGVTECVSVDSAGKRAYFAVQSGCSGPWCLVEVDLETLSTINHERFP FSITALSEAKHPVPLTVGTNLSLYLHDSRARRSSGGTDESSGIDSYDTTFDSLGNSGS SDFRSLLNPVLSPQYAHLHHPGPLSILHLPSSGDEWDGNGEIYVAGRFPSILNYDRRY FPKLRGTIHSGARLCSMASLPYPFASMEKDLARRGELTIEQVWEAKTRPGKTLIACGE YNSKGSLEMYGLSPNPILSTISSDSSAGSLQNSVMKNRQTSSSSKLLSVSNHGTRIVV SDGGGNLKWLERDGFTEARRWNIAHGSVEAPRGIFGTLGDSYMDSGSGDIVIKLANTH RGRTEKPVNEDDLVLWTGEKIGLLSFSSKPGFTAESFEKTGDKTPEEIHREREEKTYA ETMGRALEANANEVRWMRGLGLGLS LY89DRAFT_13449 MQLSPLMWHGAEPEPELNSLSPRPRPRPRPRPTTHDLHDDDEAP PAVSMVRRCSE LY89DRAFT_13360 MAASVGGYTSTSTSTENKSSATNSSRRRHHGSNSNKPEARSRRL QSEYAHPHVHYSTRSSPPRQSHSQPQNQWPSPISMTSPSPSISAPSLSAPPFDSTGMA GITMERTPSGNSIMSDAPLHYSSHHDSNTLTYADSEAARRVEFHFQNKRLSSSSHRKH ERILKKLIRRDAPLDTGSLDDDALAGILTTADTLFFDGVLSGRVQWEWSSGERYRNEL IGTTALRRCLDRDGFETLIVLSEPILRSPAFDRRLLLSAFLHELIHCYLFILCGFEAR MQGGHTLGFHRIAGIIDKWVGEGYLSLCNMKANLDFFRRREERVGWGSKGELQHEHEG CNQSPRQDGLEGVVFGRKGYF LY89DRAFT_703354 MSQLDPLPSDLPFRIISKTIGRGAYASIKKAIPPHDTTPIFAVK FIHKAYAVKHGRISAKQIAMEVSLHSHIGQHPNIIEWFATGEDAIWKWIAMEYAEGGD LFDKIEADVGVSEDIAHFYFTQLISGVSYMHSKGVGHRDIKPENILLSDSGNLKIADF GLATLFEYQGQTKLSTTMCGSPPYIAPEVISCSKSTQSRHAPKGSGYAANLCDIWSCG VVLFVLLVGNTPWDEPTAQSWEFEEYLGKKGRSTDDLWQKLPSSVLSLLRGMMNVDPR KRFSFNHIKQHPWYTRRNPLLTADGKMTDPLSLATQMLEGLRIDFSQQPTSSQRVQRG SDAMDLDDDHTTRFSFTQPETPINDVMFDWERPPRVNATTAFSASQPTRTSDGGICTM TNGSAGHPFESLADEPSMSQFTPAPSVPLSLTQHARRFRDIVPNYSLTRFFSHLSSPL LLQMLSDALHQLNVPIPPVSQIQGREHAGWIKVKTLDGRQCSMNGDIVIDTYIAGEAE LLEVRFVKVKGDPLEWRRFFKNVVVLCKDGVYVPSA LY89DRAFT_679370 MNNYDMEDTQNSAPGALPAVQASKLAPRRGPDAQSTTKRLQTEL MQLMTSSAPGISAFPSAADNLLAWAATIEGPDDTPYQGLTFKLSFAFPLNYPYAPPTV LFKTPIYHPNVDFSGRICLDILKDKWTAAYNIQTVLLSLQSLLGEPNNASPLNGEAAE LWDKDPEEFKRKVLGRHRDVDDE LY89DRAFT_776320 MSSKTKKPAAKAQRSAIADVVAREYTIHLHKRLHGVTFKKRAPR AIKEIKSFATKSMGTTDVRLDPQLNKKVWEAGVKGVPYRLRVRISRKRNDEEGAKEKL YSYVQAVNVKDAKGLQTALVEE LY89DRAFT_634074 MASPYSPEPAPDLTRNRLPTLFEVLARRTLAPVDLYFFYIYMRD QKRAVDYLDFWLDVAQHMSMCRLYVRDLRRSLMVGTPDGDKTASKRSSALLENIGNLD HRDPAGPSMLKTEKNKNQDAQMSAFLREENGNGLGHSPSGSTGSNMRQTSSNDRPRPS FMSSPQVLTSDSNSPEHEVKRADIRSSALNIMTTYILQDALRQIIIPQPLVEEMIYAI EQQGRDDPEVFDAAKDYVFQAMERDAFPDFLRAKALGNLTPPSLLYRLILGLLGEFAG VWAGSVLCMTGASRATRAWLIIPFTIGMYGLVCWEFVMDPLLAFLGYSEYEPNKVAKA HEPYVRKLLARRSLSALVWWIVFAAGFSCLFIFVPSHYLGEPYD LY89DRAFT_557297 MEQMKALNALEPFLALTKSASSPRAAADLITRATSAPNTFIFAE LLQAPQIQALAESEDHSSHLTLLKIFSYGTYTEYRSTPSLPSLNEAQTLKLRQLSLLT LAKNQADLTYKSLLGHLGLETTRELEDLVISAIYAGLLNATLDPYHELVAISSVAPLR DLQPNSIPQMLVTLNEWSSRCVSTLADLERQIASIKAEAKRRHK LY89DRAFT_13555 MGPSTNLRVATQNRASRPSLSTPTAHMATTTLKVGGMTCGACTS AVEAGFKGVDGVGNVSVSLVMERAVVVHDPQKITAEKIQEIIDDRGFDAEVLATDLPS PMFNRDGYLYDEDVDGEQDDLPSTTTTTLAVEGMTCGACTSAIEGGFEDVAGVKHFSI SLLSERAVIEHDASILTAEQIAEIIEDRGFGATIVESSLSAPAPKARRGSSGRREKVA TTTVAIEGMTCGACTSAIEGGFKDLEGLVQFNISLLAERAVIIHDPSKLPAEKIAETI EDRGFDAKILSTTLGSASDPSSAAQAQFKIFGVHDAKAATDLEAKLQSMPGVNSATIS LATNRLNISHQPNVAGLRALVELIEAQGYNALVADNDDNNAQLESLSKTKEITEWRRA FQTSLAFGIPVVLVGMIIPMLFPFMDIGSFKIIPGLYLGDIICLVLTIPVQFGVGKRF YISAYKSMKHGSPTMDVLVVLGTSAAFFFSVAAMIVSILMPPHTRPSTIFDTSSMLIT FITLGRFLENRAKGQTSKALSRLMSLAPSMATIYADPIAAEKAAEGWELAIGSAKEPK TDSAQEGNAAEEKVIPTELIQVGDIVILRPGDKIPADGTVTRGETYVDESMVTGEAMP VQKRKGSLLIGGTVNGTGRVDFRVTRAGRDTQLSQIVKLVQDAQTTRAPIQRLADKIA GYFVPTILFLGFITFATWMILSHVLTNPPQIFMDEASGGKFMVCVKLCISVIVFACPC ALGLATPTAVMVGTGVGAENGILVKGGAALETATKITQVVLDKTGTLTLGKMSVASSK LVSTWENNEWRRKLWWTILGLAEMGSEHPIGKAILASAKEELGLGVEGTIDGSVGDFK AVVGKGIDALVEPATSAERARYRILIGSVRFLKDNGITVPQEAIDASEEANAKAAGSS KTASAGTTNIFIAIDGSFAGHLCLADTVKDSARAAIAALHRMGVKTAIVTGDQRSTAI AVARIVGIPPENVHAGVTPDQKQEIIRRLQEQGECVAMVGDGINDSPALATADVGIAM SSGTDVAMEAADVVLMRPNDLMDIPASIQLARSIFNRIKLNLGWACAYNIIGLPFAMG VFLPFGLLLHPMAAGAAMAASSVSVVGSSLLLKFWKRPRWMEDALIEEKGQLRKRGKG WGMGGLIGKASDLARAVTGRKRTEEEGYVPLSNLEPV LY89DRAFT_13540 MKSVLSLTSLLALAAAVVAADDDDACENEVEIRQDTTLKTSTST FAANVTNVFAPVTAPHVDTQDIANIVPQSNVSLYYASNITTSAGLVVNHTMALPAVLL EEIASISSVDCTPSSVAVTFNDSSFFAAAESVWTSNGTFVMITNHLGDCDPELERSFF VVDSLSFDNTTLVATASSLKSNVSSTAAKTQISFGAVPGVALAKRDLTIDPSFTISPS LALTPDTVLYSYSPYVTVTADTASFTSNVTLSGYLEYNWFLFKMEKLYIDIDAGFTAD LAISAEVTSAYSTTFTYAPTALFYGLTVPGVLELGPQLQFSVDAEISASEAVTITSEV TLALADGNVHVDLLDESNAGTSGWTPTYTASANISGEVDAALNPTAALTVEIAINFFG GLLDLSTGLTAKPGFNNSFILTAAKGVDLSGVQNLTTSGTCAEGLELASNFPFSVEAF VTEFYSTELYSVDLPILADCFSWE LY89DRAFT_679375 MATSAAGKRGPSVLVTDSRERPAVNRGVPQRPGTAGPGAVRNRM PTRFISVDNVLQYASEIPSGQARGPPGRRPTPTNPQRRPTTPAANIGARIAQQNVPGR STKVSEKLVLIPETANEKDDSDDEAMELAAEVRPLDGEEGPLKDSEMDILKKRGGVRG KSYAERLPKAKRAEKLARVTAYCTAQGYKLKSTAAFVKERHGAKTKLYDDCLYTVYHL PLLPGSEGFRIRSSPVLKSPGGKAVLDEEIERSERRDYHEGYFEDTDTYGVRDGEESP RNESDDERKRREDQGRRTREDIRLLAQGQRPDSPNRNAPDATTFAEMFVFSYGVVVFW NFTERQEKDILADLTFSEHETGISLVSRPVEEDEYETEELHFEYSPFVDRPRIFNDMI TLRSGDHMIKLAMSHAISQSTKLSFFEEKMSQTMLDAQHVPKHLALTGQLGMSRKEIV KILGRLFKSRVDVNLSSNILDNPKFFWDSEPNLSPLYEAIREYLEISPRIKVLNERCR VFLELAEILGDSIAENKMSTITWIIIILIIVSIGVTVTEVGLRFGMLEKGKNERDKLG SSGGLANRTWTRDDFTAPQLQAICGAEYAGKTFKEL LY89DRAFT_744879 MFFNEIFASSKLNTIREGEMITSGRDSIEDSSPPQRFFITIHTS TYADQLSSYSRKEESRYCDHNFAFAIVNTIRLVSFLQIQSYLNLSSTAVTMGKMSTNH HCPVKNGCTHALTQNYRNPYCPKHMTYCKNPKCHSEYPYVMSNQRCKPCTGREEAERQ KKEDEKKALEAKKEAEKEAKAKSGKKDDKNKYKKN LY89DRAFT_552679 SSCDSEGYSKKKPFEAYQDKIIQLCRDLGWGEPSTVERMKGGSY NRIVGLTFKERQPSGFVLRISRTGGEFDEAAEVKDQVSLLQYLSTHLPVAGISAFDSS QNNALSSSYVLQEYWDLILSLPLVLARSPPLWLWCNEDERSDGWTGNMDVAPARELTQ EELLIKAHFDQIMAKHDPSYMEDAYYRGPWLRRLARFALFGFGEYGSFDRYDNFIKEW EIHYSSITKFEVENISNNESDEESGEDEE LY89DRAFT_727190 MASANNIPWASNSCSMASQISSICSCAYPAGPATPTPSTKSPAL PTSSSIVRSSTRHVTSTTTIPPSPPAFKTSTTTYASREFGPDCLANNCLRALDRYNAN AFCSVFTLGNIGITGIPSYATPCSSIGNTMAQVSSACTCLNYYGNSMGFLPPSTPTGS AGTISAAVSSSLTLSISTSMSSSVSLFSTVPPKATSDHLTTTQTKPLATSVPSNAYCY ANNCLRALKYEGGLGATAFCSSFTTAAVTNTHLLPTYATQCSTNPIAQMSSACTCIGS KAYATS LY89DRAFT_727191 MALGHNVKSSSLDLLMEDHCPEELSTPAAAPDASQAAAMIINPN PKDWTESSKSLRRFKCFPKLPIELRLKIWDHALPDEHVIEVLWNETTWSFATDCAIPP ALHACSESRTNMLRHYTCLEFNTSMLYEDDEPQADNCIFRTYIDFTTDILYLSYASCA LERAGWNFRHFLTQLQEISPDLQHIAFDVLKPVDMVSSKLVKFKKLQTISLVFSDYFP KIGLVWQNPPKQHHQPITSHTLKYEEDLELLRYSPKGAGVDRKIDRNAGGELYRSLRR TLEFKGRMYSQTLIAQRMDKEIVDELKFKAIDVLRERKEFSKYKALQRKGKTVTTG LY89DRAFT_727192 MPSRDPSPLTGSSGSPSLDPATSDATPTISQIGATMAVVPKTNV LAKGLSEEVESSPTEIDSLLSNPTGWTEDRNSLTEFTIFMDLAPELRLKIWEHAMDEV DERVVEILWSEKHHRFFTNCPVPTVLQACRESRTTLKYDRIVVPYGKSSSHLGSEGLN QAEKLAFTTWVDLSRDILYFCLTGHRGRDSYNDVSSAFLKTLMHHYREDWTKRKVGLQ RIAFEAFTLDTLSISDGLTDYDYLKEINVVNFSIAPSVPLSTLSADPTGWTPKTIAPT TLHDFAKLPIELRLEIFKHALVDAESRIVEVLFNAPILHFHTTCVAPALLHASSESRR EAKKHYDQLVLQDGRPGLSDPKFSYTWIDYSQDILYFCPTGLITSRYGSRHIKSFLKS LSSASNGHKLLRVAFEATSINSSVVAEILTSFPLLKDIKLVYSDVCVYSRHATKEYKK IQRKPIIGCSNIPDDTKFVRWNGYKKSSVDGNRWNDFQVKKGFFLKTTFVKSNLRLEE FQEWLSAPSTSIGWKEGKDLKRSRGV LY89DRAFT_679376 MAAPIDKSEPYFPVAGCARDGWSKEDSATATCYCGAVQLAFPTK APGLYSGAFTCHCTDCRKITALMFTSTFTILDTHLTHIRGRENLTAFAQSQTTNSSRT MTNYFCKTCGTLMYRVGTKGASILRLGTVDDFHLHEAKLKPNREIWTKDRVGWVSPIE GLSSLRSRVVGRL LY89DRAFT_744887 MPHILPEFSEEMTSNATPSLPRITTTANTQCPQTKCDPTSMLVP PKSSRDFTSFCLLPPELRLKIWDLIIAQPRIIEIFWEYLGWSIICNLPIATAICSESR GRALEKYDIIRCDAYGPDNGVTRAYINYDVDVVYFNPNEHISQSHGNALIEKNLCFRP EILARRLGRMPSLKSVNLVVEDICVHEDFPMNVKTVPHSLAMGFVKRDTRFFSYKRWG RKWNFKVEVMDFCWPDRFQRRMEKLKMQLETEGMKKKDVKKLIVRPIDIVRAGEDKDA PCGRIISYKP LY89DRAFT_744888 MDQQTKNSESQPARGRSDLGDNVSSDVVNPQSSQSNHYSLPIKP NWTEVSDTQPTKTKETFGCSAISSLEPKQAPTFHKFPQLPIELRLKMMKFVLPDLRVI EILWDQKRHEYYTNCKVPAILHVNKEFREEGLKTYSLFIVDNNTTQSTEDVTGGGFNV WNALFGPAPVPGQVTSTSDTTTMASASATRNPNLAAQANEGGAELVQKPLGSYIDFGH DMIYVCLERYFEEWQCRMKIRDFLLSINAETAAKIQQIAIRPQRFSFNMIFQALSMFP NLRLCAAVNSDVCQSFANGDPHLVNPAPYLPAIGFKNVCREGNIRTKRWSVLERRTMG ILTQQTYKDFEDQMAELKRRSEPLGLDREWMARLNFCMINIMRKGD LY89DRAFT_604616 MPLSGGQKDPFAGWAATLVDGLDTLYIMGLQEEFDNALKALDSI DFSTPKAERVPVFETTIRFLGGLLGAYDVSGPKYPIILQKADQLGEFLLRAFNTSTGI PVPYFWWGKQDDILQGENNVIIAQIGSLSLEFTRLAQLTGKRKYFDSISKITNHLDAA QNHTRIPGLWPSTIDTKGPSFPSASFTLGAFSDSLYEYLPKEHILLGGNTDQYLRMYR AALGPAAKYHFFQPKTPGNQDILFTGSLEARSEGPPSLNPDVQHLGCYAGGMVGLGAR INNSPEELAMAIRLTNGCVWSYQNTASGIMPEIFSVEACQSPCEWTDENAVELGHQHG FTRVVDSSYQLHPEAIESVFVMYRITGDPIWQERGWKMFKVIVKHTRTAIANSRLQDV TNPVPPQEDSMESFWLAETLKYFFLLFSEPDVISLDDFVLNTEAHPFRQRDSSIEL LY89DRAFT_727197 MHEVVMFGQGLVIPSLLFLIQLTTLSLAAQTCYFPNGAVAIHDV PCNDTSVSICCQTVSTCLNDGLCFNPLGSAVGGFIRGSCTDKSFTSSLCPLFCNTDDN VAGIINTISDAGVLSCGNSHFCCESASLSECNCQTGNGTFTLAGNILPFTSIPSSIPQ SSISTSPLLSSSSSATSISTTTSSSSTNLNYGSPSASAPATTSQVPNLSSSANPTTIS PLAGTNSSASPSATSIQVSSSSQEVIIGLEVGIPVGAAVFGAIRAFGAWLSRRHRRTS KDSSTMLPSTSDDLPYSRQMLDSTQISGMSTKPGSKPEWTPNYTQVKELPTVPDPNGS NQRATEPPGTPRYELY LY89DRAFT_679377 MHSTITFPALALALSSNVFAAPLLQVRQNTLTTADTVQFVAKTI GSNPNATTFPDINNWLFQPVHSGAGTNLATLVDPSTASFNLSAPGYFQNGTDAPHSTG GYMVAGFIDSTAPYSLVLDVAHEANSSNPGAELGYVEMNIGLGTDGLNVPDGQLITSE YVTDTFYACPNVPVEGGSVIAIEVIDRYATPPEGCWSIELYAQCAGPITDSDRVAFPA FVQSSCYANATSAVVQ LY89DRAFT_727199 MAAAVFFGPVPPPSRLPDPPWFTRQCRPHHDATDTTLLRCLLMN HLQENPQSTIAHNIFNVQSRDEHLIYEHRIYAGATPIQEAILLSNRLESLHHIGIRNH VNKNSNVEGQKARFFTVSTALHAVIIRKGSASLWLVMIPIAVNGLPLS LY89DRAFT_662922 MPSGTISPLRPSSPTSINEQRTLRSGAPVVDRVACLLLNFLQDD PEPTEPALARGVFQADQRPETVLLHHLIPSSSRLMARYLLSSIPRLHDIEISITVSKF VSAITPNLTTYRMCARARCRDALCRGLAIQDGAGDVQGRLHERRVGGYSSELVGVQVT IEPSLETSGYTKDMDDLSWSKILRLLRRTGKRNFNSHGEGRTDAEAYEWDEILERLEP LIERFDLVIVLDEDT LY89DRAFT_572399 MSLLALSTIKFAAAWYQPAVGTSWQCVLDPDVGSLNLPVEIYDI DLFTNAESVINQLHSSGKQVICYFSAGTLEPDRPDTDEIKADPADIGASLADEGWPDE SWLNIRSDRIVDIMKRRIDTAVSKGCDGVDPDNVDGYDNGGGGFTPPLSSSDSVTYLR NLTNYAHSVSTSKHHSSRRSQRLRERSTGIGIGLKNAGAIVQDVVGFLDWVVNEQCVE YDECSTFQPFIQNGKPVFHIEYKDQSENVTKDCFGPDTNGFSTIIKPDEDDLPAAVTF CPSS LY89DRAFT_572047 SVTDPALKPWVSVGADGTLVATITPALTSINGAATTINAAPASL TETTTTSQSDSKPTDASNSGGGAYYPCTSLVEGYICSPANESTVYVGTTYYVTWNSDF IGHQDKKNASVYVMADYVNTTGGPIAFQSPPTSVSTGFYALTIQKEWLQDRSSNNITL HINLLDPEPDGQRVQGPTLVVTNAPGQYYRQPSTESPTGQSLYIALPIAFGFVLLCVC GGYFLNKKHRQIGIGNVMGRRSGYGVGKSRGQRLGLGKREKGGVQLRDQVPGSDGRYH DASIGEEQRGRQGGSVHTRADSDLGSLVGSPTPPRTNYFRDEMRRQERQGY LY89DRAFT_634093 MFTLPSPFSDIPREPLLYAHPSPIEPLHRVTSAFTSAPSQTKYW IKREDSNSGLAFGGNKIRKLEYVLSDALKNGATTLVTTGGLQSNHMRQVAAVAAKYGF KAHLLPEDRVSPVSPEYHTLGNIQITHLLSATHSPYDSDVSATLASLTSAGETPYWIP AGASLHPLGGLGYARFAFEVAAQENEMGVFFDTIILPVGSGSTIAGMIAGFKLLSSWA QDGEQKERKIIGIEITARPVDFTRSQILNIARHTGVLIGLPNPSTSITEKDVILDDNY NGGSYGFVDKKTKEAVKMLASLEGILVDPVYTGKAVTGMIGKAKKGELSGSENVLFVH TGGVPALSAYPDVM LY89DRAFT_662926 MSMVYSDNKGSEGVVGWREALSPLSENYLGEVLSTLTILPSTTL RQQTSIFGMDHIPVPYNLQPVNKDTTMAEVSKPDCVQRDAAAVPTPANDAHPHTSLNA QEVADSLKGFAKLAPELTQMIFELMTIDDPRIIEVLWNEEKGFYTNAEVPTVLHICSQ SRSVAQRVFSAMVLQNCKSGSESPDGLPDRYDPKINKEGPYTGVVTPFGAYVNFSLDT IYLSFSDIEMPNLKLDQLSTTFMTELKAKDVPIQHVAIDYKQSYYVWHHFLSQHQSLK SISLVANDRCMSHDIADGTQELLLQHLTPAPYRPAVALKERTLSRDSTVQTVELIKTF LPDVKKCLIYTVLRAKEYDTRMAYDFLLDYIFYGVQLPPIEYIDSVKRWDRMQGAVAR KEGKSLKIALQDAEFEFRKAFLKNNWSVEDIRSVKFSMVDVVRARSNKVENGE LY89DRAFT_634098 MVHIHKAATAAFLWLSSSTITNAATVGKRGLIVPATVGGWTSQG CYVDVGRTLTAGGYDSDTAMTDESCISYCEKAGYIYAGTEYASQCYCGNSLASGSGPA AASDCSMACSGNATEACGGPNRLNLYWSGTTGPQTNPGSGLWTFSGCYAEGTTGRALT VGATVVGGSSNTTVDNCLSECQLMGYPLAGVEYSGECYCGDSISNGGVLAPDGLTGCS MLCNGNLSEFCGGASKLDVYDFNKTVTLPAWNTTAVTSTTVSVQSTSSSVVVTTSSSL LSSSSSVLSSSSSAVASSTSKSSSAAVSSSKSSSLVVSSTSKPASSSVAVSTSSSLSS SSSSLKSSSSAVVAIVSSSTTQAPAATSTGPSLGPYVYYGCQTEATNIRALSGAASAS DEMTLEMCEASCSGYTYWGTEYGRECYCGNSFNTGSVVAPATDCSFPCAGDANETCGA GNRLSVYVLNGTITSTTSSVISKSATSTGSSSVSVSTNPAATGFPTGWAYYGCYIDGT DGRILQNQLADNQENTLQVCVSACAAAGYTIAGAEYGVQCFCGDAIYNGGVLSTDQSD CNMACPGDTLEDCGAGNRMSIYSIGQPEAYQAPAAQQTGLPTGWVYNGCLQDNIASNE DPNEILSTFPYMAWDNSTLNNPDLCLARCQEFGYNAAGLEYGSQCFCGDVENIYVASA PSTSTDPDDTQYYTRSSVPQFYDASQCNTPCAGTEQYLCGAGNRLTYYSYNATPGLYE WGMPTGTNAGEYSLLIGGVVVPLIVSQTVNGKVTFVEKFGTGEPNGTGAYELDLSQID DFSAAWRTMTGLQTDVFCSAGLTLPDKAGRQLTVGGWAGESNFGVRLYWPDGSAGVKG TNEWIEDPGVLQLQVPRWYPSAMIMANGSILIVGGEIGQNAAEQPTLEILPATGVPQA GTANGYSNTTVYLDFLDRTAPFNLYPFIVVMKTGIFIAYYNEARILNEVTFETIKTLP NMPAAVNDPTGGRTYQLEGSMVILPQHAPYTDPVGVLICGGSTSGGGYPIDNCVSTQP EAAEPTWTIERMPSRRVMPCMAGLPDGTYIILNGAQHGYAGFGLAGSPNYNAVLYDPT KPVNQRMSIMANTSVARLYHSEATVLLDGRVLVSGSDPTGDYDSPAGAFPEEYRVEVF TPPYLLSGLPRPTFDLSSTDWAYGEEITFTVTSGTATAVTLLGSVVSTHGNAMGQRTI FPAFTCAGNTCVVTAPPDAHTSPPGWFMMFILDGPTPAVGQFVRIGGDPAGLGNWPDL PPFEGSLPGV LY89DRAFT_13811 MAFSAATSEDFEMIDHREVNLDPEDLAKLQGWLQPTDYTADSSE FRRHLSSQAPGTGLWICNTARYQQWHQSDGHSSLWVKGVPGAGKSVIAASMVEHLSST EDVPVLYFFFRYIVAANRKPRNLIQDFLAQLLPRCPRLQGTLQPLLGSELDHLSDERL WEFLLLGLASIEKTYCVVDAMDEMELGSNDTFLQRLNGLATFRPESVKLFMTSRPKQY LQSALHDASIVHISLEDDLVGKDIAVFVAHRLKDVLSGDENAQLRASLESTICERSRG LFLYARLLVDQIIPKLHATGQLDVQKLAKSLPIGLEDMYNTMLYQNTESEKITTDIQV FLLEFVTHSSRALRLNELANVLASEFPSERLPGTPKNVARTACAPLLEILEDETVQVI HHSFTEFLLDTERTKDVSNDSTPQFPVLDPPKVHKRLAMVSLKYLQSGVLRHPDAEEF EKASKCDCDGDFDCRCRRGQKKMEDPYDYQEARLRYPFLEYAIKNWAYHCHRYDADDE DFLQAVSSFMDPKSLDFRQWLRLEWTRRQVPGAAQTPAPLHVAAFAGMSKYAARLLRD GQIVDPLDADQLTPLHWACRRGHVEIATLLLQGGADANAHSCRGVVPLHEAAKRNHST IVKLLLDAGVDPIATKTKENHGGRLLGGERSTKGETAIEYACQQGHLDTITVMLPYLK PASFEEVLGRACRCGEFEIVKAVLLNTDVSPNTRFEGGTPLYVAATGHNTSIVEILLS KEADPLEMCDYQPNYRINGAGRRLRAGPMKAPIHALVHQWNESTHVACQQILNMLSGA GANIDAKNGEGNTPLLSLFDVRHAAPLIAVKSLLEAGADVSAVDRNGDSVLFRALQNC KNVEIIKLLLDLGARPDARGSKGETALHQILSHPHGNIEDSINEIILLLLEKGVRPDL KNDFGRTALESAILTATCTLKTFKLLLNKCDNTAAQGCMWLLGSRKTIEETKQFIELL LSHGASLEGRSKTGKTPILADLRNQKAVKALRQLGADIYAVDNHGRGILHCFTSIMGG HTLLDVFLKYVGYGLDPLVVDNEGNSILHVAVGNFEGTARNIEFIKYLLEAGISMNAR NKEGETPLMVNVELAHVHSSSNEKRVSLLDIFRRHEQKEKLDLNAQDNDGLAAIHLAT LRSEFELFSLLSAGADPTLVTKEKRTILHLACRARQTNTIALIIDKYRHP LY89DRAFT_662929 MALGGLFKRPAAAHTQAVDPDSGSDGVATSTGVVQKELEAFKHE HAWDPNLPQESIDAVDEALRVDDLEKKVAVETVLLEENSPYPEVRAAVRNYDEECPAN TVRAWVIGMLWTTIGSAINMLFSLRNPSISLTPVVTLLLSYPFGVAWQYTMPTRKFKT FGYTWTLNNGPFNMKEHTLIVIMANASFGGTFAYSTDVLLAQQVYYGQNFGWGYQLLL TITCQMLGLGLAGLTRKWLVEPAAMIWPSNLITTTMFETIHTRATPDALKLSGWKIGR YKWFLYLMLAIFVWEWFPLWIAPFLATFVFVTWAAPNNVVVNQLFGGQTGLSLIPLSF DWSVITAFILSPLVYPFHAIANTMIGVVIFTLITSIGIHFSGAFYSEYLPMSTGGSFD NTGAAYNVSMILTPEYELDPVKYAAYSPLFLSTTFALAYGLSFAAIIAVVLHTGLYHG PDIWAKVKSSRMDGADVHLKMMAKYKEAPWWWYAISAVVMFALGLVTCLVWDTHLTWW AFIVALLISCFFYLPIGIVQATTNVQLGLNVITEFIIGYMQPGRPLAMMMFKMYGYIT CYQGLYFTQDIKLAHYMKVPQRVTFWAQFVATLWSCIVQIAVLNWALGSISGVCTPDQ ANNYTCPNAHVFFTASIIWGVIGPARIFGTGGIYHPMIYFFILGFGCPILVYYLARRF PKSPLRYVNTAIVFGGTGYIPPATPMIYATWAFVGTIFNKVIKGRHPGWWAEYNYITS AALDSGTIICILLIFFALQLPKVSSPAWWGGFGGGFMDNGDWNAAVQKVVVNGTFGPK TWA LY89DRAFT_713079 MRHGTAMKSAKAPKKSEQTAKKTANKTASKTVKKTTKKTIRISK PLKEFTVFPKLPIELRLEIFGEAMPGPRVIEIMWANDHLYTDCPIPALLHTCAESRNL ALKTFKKFKAVDDTISLVADDVAMPDEDKRAPRRLAVGFVKQDPARPIQPWRYWRSRT PGQLILFHESFTEMFQVYGKQLKDKMVVNGATEKLVDDLEFVGIDIIRSGMKSPRVRN H LY89DRAFT_662932 MDSALWQEARGLASGLDDSSELQFDIESSSLLLRNDFESTSPFG APTRYLSRNMTARLYEQRDSAAAMDQTVDTVVKSANDTANPKMLTSALEGGNSRAGAR TLESLTPALEGDSIIKNASQILHPSTLCQSLITASHEPKSISTEAATPKVSLPLTEFH LFSKLPIELRTMVWKEVFPEEARIVEILWTEDREFSTRIPIPIELHLCSESRSLALKK YKVLEVENNVPESTDSETNTKSAAKAAKLSPQESSEKSLRPFRAYFNCAKDVLYFPKN CDTINNYGEIFGSFLCKLNHEAISTLQHLALNDPQAAYTLTKSNLLKLSKLRTIYVIA TDVLHCWGLCELNHCNSLPPYQAPTGFKRRSTQRSVVLRSSSDPGVSRTISLDNIWAK MVKKEIPGWKTRLLARGAGKAMVVQLDFQLIDILRAGSSSNASSKRDKHLQG LY89DRAFT_776338 MITMAHTYSSLVTSREPEDSTQADDSVAVNVRYFPSTELDTSMA LMSLDDQTQPTPVAGLEGDNNISPPTQGPLAQFTIFDDLPSELRLQIWREAMSPRVLE IMWNRDKGYFSDCRDQIPALMHVCVESRACALEKYIPMIVENECEEPSVDGSDSSDDA PNRPKEPPTLPPLSVYIDPTKDTLYLSMGTWDLSGPYTGMLIDFLRIVDSTVASKLEH LETGFDHTIFVVAPKVSDDLNSPPLLTRFPKMKTLGMAVSDICIATPMYGADLYRHTQ TLAPRRPALGVKNLDHSRPFDTYRWCPLTRRIRTTSLSLSSWSSIPTKLARWKVLLMQ GGADGTFLDDLDIQVTEIVRGDMING LY89DRAFT_13831 MADHGRSEGSGAWRVQDVEDIDISVAQPFPPSPHVSSIYRSNAE IWPQRPRLEDFSCSEKRKRSGQMRFPEINVPQEHVLIRSNGIMEFSRLVSIQIEKLTA KEKRISFPIERMRDSVAKTANFTRLIRPSGKKPILKAIEISDHTDSSEPAANIQFAEC LIDQDEIFVEEGKIRIMATTATKMLASSVFWIDQKHEILKSYHWLSATPDDIPPQAED RPPRAGAKLYNVMQLIIQHLVQGRWIWITHRRVTPVTRHKWTGTGKGYTPVQTAHYSI AIDLYRTQVLYNCRKTFFSMQTVDHRMPLWRFCKGEDLNPATFAKCSLMLYGLAYMLI APDGTKLIKIFEERLVTEIRLYQDVYSIDRSQLQKTVSGESKQFTWRGRLALFRQPRN QNKAKADNNFNRMLGQRLKLPDPHKYSYRKYDEKYVCDVEETVREKVVARRVQLLSLC RAWAKEKARHNPESHSCPWNISFENNYTDRHGKLPDKFCYRCWFLEKRNEWRQLCAVV PPRQTFWDPYHQSKDRPKGWCCCNEDACSKDCARKHRTCFVSHCESHIVQGPDLQEKL EGEMRLKHAYKDSVRENWPLPTWQDSSLPPEPPAKIEVESSSSSSNLEPLAGPLPGFL KQHLLDIRMRVRTKKKSCDQVVQLPSVDMPA LY89DRAFT_13844 MPFLYFDSIRELPVEIVNISALPRPNRPRFRRRNQHLFDHAYFN LSISQRSLIPSPSPPQNDMPDYFSCGLGERILPTFNGRHDSVFSSQQDESAGEQIGIS SNRDAPSCHPDMERINLRAMRVRERLTLRLRHRSSSVQIETSIPEQSSTPFASPLPTI HTPRRAYSFSSSESDITARHIEHVENPIPSYVALRNGDTPIATTTTGRLSLEGDRPVM HLVTPEALAGANNPLPQSQLGADDCLNRLPTLLLTGNSTNGGTSQDSGYALLPKAFSS STAAGKDLEVSENGSDFK LY89DRAFT_634105 MTSGKQKLQLGESWVVEGDDDSLSNSPKDEDYVPQTTTPPRRST RGANRSPEPELVMPSLDVESIDGSWADTTSRSTRLRGPRSADREGRRRNVRMSNGSPE KRSRTKAIPSDSPTPVPLPKPHKKSSDAQDVLEVAAQHFGVMISWLLDIVAGAFKVLK RPLSYFLAVWLLFGLLVIARNLITTSVYASLSPVCRIPGASFLNLPFCPIHRVDTRNG DPPTAQFDDLMNVQAKFEEVLEESAGGVSLPLDMKRGEASIRDLRQLVRYSHLHSRNE LVLEFDGFIETARIASYDLQKFNSHVGRAVDSVISTTRWTTRVLDGIQERDATRGTIN AFINDKVLAPFQPVKFTESILLDQYIQHTRIVEEEINKLITEAQALLMVLTNLEDRLD IIHGIATRDDMHTKAIKEEILAELWTMVGGNRGKLNKMDKQLNLLHQVGVYRKTAYAH VSGTIIRLQAMGAGLEDLRERVGSPELLRDRLDIPLSVHIENIQKGVERLEESRQNAR KLEDAHIRQTLERGRLEGSMIDG LY89DRAFT_546681 LFNKLTNFLRAQYDHYGFQEVITPTIYKKSLWEKSGHWENYAED MYAVTGRGASGEKEGRQIGEDEEYGLKPMNCPGHCLLFASQKRSYRDLPIRFADFSPL HRNEIHGALSGLTRVRRFHQDDGHIFCRPSQIREEISKTLDFVKTTYQTFGLGPYRLA LSTRPTDNFIGTLEDWNTAEQALRDSLDESGQVWTINEGDGAFYGPKIDIILKDSDGK EHQTATIQLDFQLPERFGLEYQAPAPSLEQRGLTTEDPAQLEVEGPVTPVLIHRAVLG SVERIMALLIEHYNGRWPYWLNPRQVILITINDSSEVVKWAEKVKRTLQGTGGSRSWG GAVLAGDKISVDIDSTPRSVKKKVSEAKRKRYAVVVVVGNQDIELERVVADFTGIP LY89DRAFT_679384 MSTSPTREGSANAGSSNGLEEKPRLSEHEKKANHIASEQKRRQA IREGFDRLTELVPGLEGQGRSESVVLKKTVDYMRLQLAERRRLVGRIEELGGQVEDGM RR LY89DRAFT_713087 MSEKLVPLLGEDQKGTPPTYEAAAAQHGALPTRNGPPPSGAKPL PRGPFPLDIPVLNQLKGKRVILASASPRRKQLLATIGLVNLEILPSTKPENLSKADLG PFEYVLQTAVQKCLDVYTVALENSLASIPDPSLVISADTVIVTNSGHILEKPRSEKDH ISMLKMLRDQKVHKCYTAVTALAPREDARAPGYNIETAVEETRVIFAAEVSDELIEAY VKTREGVDKAGGYGIQGMGNLLVERIEGSFDNVVGLPVRATLALIEKVVFNQGDDAGD EDEEEDE LY89DRAFT_679385 MEWWRAYLHEYMINNYSGTAGLGTQAILLLAAHNPDKIYFTGRS TTKANEVISDVKTKFPSVSVTFIELDLASLSSVQDGAKIFLAANTRLDKLICNAGVAA LPPGLTKDGYELQFGTNHMGHALLVKLLLPTLTETTKLAGTDVRVVFLSSEGYSGHPS GGIIFKDLKTTQNFAIMGPWQRYGQSKLANVLYPAELARRYKDSGIKFLSIHPGVFNT SLIKDLPWGQRAFLHSANAIMMRGIKDETKNGQGAWNTCWAATATKTSNGKDIVNGEY YSPVGEPVKKLREGNNAKLAGQLYDYTEKELASWN LY89DRAFT_634121 MPCGHDYCSDCLQTVVINALVDEALYPPRCCRQPFDMDSMRPFL PPEIISGFHLKKTEYGTSNRIYCSNAICSSFLYPDNIAGDKAKCPLCFTLTCTICKAP AHIGDCPQDGALQEVLSSATGEGWKRCGNCKAMVELRTGCNHITCRCKAEWCYVCGAT WKRCQCPQWQEAMLLERAARAAGNAEGQLPQAPAVVPAQLVAPAPAPVVPVPAQIATA RHHVLARHHDCDHDNWVKEWGESSCDECRRD LY89DRAFT_776347 MTAKEASQGRVIHRKVKSGCITCRARRVKCDETRPQCIRCLKYG TECGGYLPGRRRPPSSSYGSFAQQARALVPKNHGPMMLQPASTLFESELELHYFRLFS DRIAAEICPYFNPESWSRMILQACMHEASIRHAAVAIGALGKTYEMAHAGKCAVDGQT LLLEIERLPAPGTTRESRTRQGLGQSEDLASESYMHHRHALEQYDKAIKRMRNDISSG NQSMRTTLIICIIIICFEAIHGNHESAAGQLQSGLALIQDWVAKQNRSLQHHPQGFSS PAPEVIEDFLVQSFGRMEIQSMSVFDPRPVEVHSMLKQEGRETIQAMPTQFSSMEQAR IYLDLITRRLMHFTSSVHVPRTSGGSLERPKTPPPASRRGQATAWNEVISPMPWCDGK IPLATTSSTLSSQPLITEQASLSTELKTWTSAFAPLLTLSLCTGGQDAISALTLSISS IASQISLHSAFFINESAYDQFLPEFRQIVDLTTTLLHLQCSPTPTSSPPPPNSNSSPT PQSPPPPTTTTSPAKHDPLIHFAFDIGIVPPLYLVVVKCRNRTLRRRALALMEDHPRR EGVWDSVATVALGRWVIGLEEEGARRFSSKSASPGAQQEEEEGGGEGELPIPEEMRVR KAAMRFDLLERRANLGCLQMDVEKGMFVQKREVFRW LY89DRAFT_713091 MAITPLVPGLSASILINGVPAPELTDPEPQIPSPTHPNPKVSAY QQAHTVTSYIKCTANTRFSILLTVEEPIRTEFAGIRRMKWAKLGFEITIDGNKVPTEK CTRPWFNKNPQKETWKCIVKGVKVGKGKSCFIREFEFLKVETSEFFLPEKKDEIGNRS VDSERIEKAEIERMRQCMQDIGIIEIKAYAENYGKKGGDMEDTTEDFLDEDQEEIHEK ALKGEAKDHGTGLRRARKVARGEFWRTEKIDGEDYPLAIFKFIYRSEEALKQMLIIPR TPSPSPSPESDEEGEEQEDEEVDELTPAQKRVIQSLRKEMLKGNRTRNVASSSRSTPG RGTLGGNRVSKVKKEQTSDKLNPPRKSQQSTRRRKERGGKAVVIDLVTDSENEDDESL FAQQ LY89DRAFT_679388 MTLYYSLVFLLLVAEMTLFMLLIIPLPFTIRRKMFTFISESPLV AKLQYGMKITFIFILILFIDSVNRVYRVQLELAESNKQQGAAVLGHERMEVQARKFYS QRNMYLCGFTLFLSLILNRTYTMILDVLRLEEKVKKYEGDPKATGKESEKLANAGNAG EIGKLRKELAQKDRDLETLKKQSEGLSREYNSLGDKFTAADGTPKKDK LY89DRAFT_713093 MSASSFPTDPQPPPRKRQRARKACLPCRQRKRKCDVQFPCSMCT TYGYQCQYPQDDGPSAPFAEKPGQASSPVTDRRVPVISPGKQSESEDVESQMSPSDRG ILDPVKIRYMGLHSLMAFPRALGLDFQSANPPRLHSFAWNCGIRPEEEPEAHGNLRDL ISREDFKTFSEVYFETCLPVFGIIDKKEFLKDVLQWWNAPTKSLAFDAVIAGVVVLGS FFSADLGHSREAEIARYAKHVLEDSSLTPNIDHVCAWILRTTYLRTITKPHRAWLASC TTLHVAEACGLHHEIDAVVLTNAGTPGTILKNQQGCEQARKIFWMAWHVNVMISYEYA RSCIVLNSITCKLPQAVPGDATAHLIAISQLVPRDSDSSNGPDLKKTLPKLQQIPDEQ LFVSMSKADLCMSLYRHHRLLKLPLDKEDVLQIIAIGNRAIEAAYALAQEKTYWWNVL CTTFQYVCCLLAIDTAESLSNVSSAIATLENITRQLGTHVATEALNTAQVLLRDSMKK KRHEVALLEAADAGDNMAEFENVDVDWDTLLDPWYMTNFPVMAQDLPMLGESIGGTMP SNFNTL LY89DRAFT_679391 MSTTPNSEIHSPNDTDLDLDPAELAAMKEESPSLYPTQSRVEPP KSLFKEICFVGVLSMAQLMTQASLGQAIAPLHIIGASFGTTNPGQLSWFPAAYSLTVG TFILIAGRLGDLYGHKRFFIAGFLWYGLWSLIAGFSVYSRNSPIFFDICRALQGIGPA FLLPNALAIMGRTYKQGRRKDMVFSIFGATAPSGFLVGAVFSSLFAQLAWWPWAFWTM GMINVALAVAAYFAIPHTPSPIHENDGTSMFARIDGFGAISGVVALVLINLAWNQGPN AGWSTPYVYIMLIIGFVFIGVFGFIESRAKYPLVPMDALTKDTAFVLGCIAAGWSSFG IWVFYLWQFVEELRGQSPLLTSAQFAPCAVSGLAAALTTGAVLHKLGPSVVMMASMLA FTIGGVLIATAPVGQTYWAQTFVSIVIMPWGMDMSFPASNILLSNHMHHDHQGVAASL VNTVINYSVSIGLGFAGTVESQVNHGGMDLLRGYRGAWYMEIGLASLGLCISFLYALT QLSDRSRNKKQDEAGGA LY89DRAFT_634133 MNYIRGISGSVSKTWNSINPSTLSGAIDVIVIEQEDGSLACSPF HIRFGKFSLLRPFEKKVEFRVNDVKQDYAMKLGEGGEAFFVFETSDTIPEAMQTSPLV SPASSPPLQAATSSVTPLSEPDFLDLETSNGKARSGSMSRPGTGVGSTSVSRRPSNLS TLTPLSASPEFPTERPVSGDWSGIAPVRHHTDELLPTSARTHNSDGTERQRVTRSMTP TIPPVDGHSDGITERSHSPPPLPTKEALERAVALSNRLSVANIPSQVTDTGDLMLDMT GYKSSDEDALRAEVIARKILSEELEGNYDIGALIGADENGNLWIYSTEEAKEAASQRA ALVGLNDAASDPGYHSETESDARTPLITTHRRSGSDASTGIGLETPPKTPPESAGDPN RNYAKTLRLTSDQLKSIGLKPGPNPMSFTVNRATCQAFMYLWRYDVPIVISDIDGTIT KSDALGHVLNYIGRDWTHIGVAKLYTDIVNNGYNIMYLTSRGVGQADMTRAYLNGILQ ESYRLPKGPVILSPDRTIAALRRELYIRKPEVFKMACLRDIKNLFGPSRTPFYAGFGN KLTDALSYRSVSIPSNRIFTINSYAEVSLDLLSLNKLRYSYVNMREVVDHYFPPVNTL VKGGGEEYTDFQYWREPVLDIDDFSDSESDEKDAVDDGSDEEDDDEGNDELGDSYISR DSIDEDGDGGLEESILSGSIEEEEDPMTSSMLEADEVEEYTDDEAAVGDVTGATNEGR EQDADAEVITGMKGLGLEREDSDDKKV LY89DRAFT_727226 MAILEGVPGVEVCILVDGNALPKYHDEDETAEHAIKLVSNFVEA ISDKTTFPDFISNNKVVKKLAHVLDGAFFASPEDDTQQLLKKFKFRPIVANDTAARDI KKDADAMKQAGEIVVQFFRAGTPTLATPEKSTPLGDGLQMNQAEIHEKALKGEAKSHT TYFGDAVETRSKIYHWVRLLDGKDKPIATFKLKYRSADALKSLLIIRAPSPKAEPIDS SLSASPPPTDMNDPETMRQFREFLRTKNRGGNSGVKTESKVKTETKIKSEFGVKREGT QSGSRRNAPIDLTEEDSPAERKRDRSHRVNRAVNLTPNPSTRIIEPRQKKTEVAGKSA KRQKTQARDLRAFVEDCDDSE LY89DRAFT_679393 MAILDAVPGLEVSVCVENTALEEYRDDEPVGVYQAARTVSKYVE AISNKAFTVKISLGKGFDFDCDCLSAEVYIDGKWAKCPLILKHRFNAHLRGNSVLKPI TREAEGYSIAAPGNMGQEFIKPFKFCQIDTTTDDDKLRDVKKDAETIGSIGEIEVKVY RCNAISEVDAYLPLDAPTSLSTKVHEKALKGNSKSHSVNFDAATKLVKKDYFNVNKID GINYPIAVFKLKYRSRAALKSLLVIERTPSPEPERVPASPSPSPPPVDLNNLDAAQKR QVARFLKDMNRGRSASGTPTIKRERADDGGAESSKRRKAGKKITIDLTEDSDEENIAP AN LY89DRAFT_679394 MRYSSLVCSIHTAIASLNNSTTSIPQSSRLEEGSECVHDLKPGT KDVAANETCGNCHATTTIADMIVQTF LY89DRAFT_662950 MCIEIHYIYKHCGCISRTGHYTCFSATQAQHEWSPLCPRFKRTT QTARLGEKTCLKHVGRVLRVVTPEGLEFEDADADEDDKELEKRMGVLVLGDENGDGER VEGEEGAVADAVAGVVRDLVKGAMEGAFEGESSDESSGSEGEMEEVERGYEADTEATS EVLGRGLLVNGQADEVNVNGQVNQVDDLVNDHANGSANPPANGQINDQANVDLQPRGL RLLRHVGGHINGITDKELPALPSEDEKM LY89DRAFT_14036 MSLAPTMALESPLVSSPGNLMSAFSPYTEFSLSPNSPAQHSPRF QTMSARNSSDSSRLRPNSIEDGGLSPNSLQMTSPSDMVGPSPVTSNGTETTEIEDEAA DEVEEEHNTPPRVAESVDSQATLKRLTTTVPDYFRASLEDESISVIHAPEGYGNFASR ESLPPMRPNPADRATPTPYQQGEATPSAIRVDLQVIKDKNSQPSPISPPPISTDVTPV KYGLENATPRAQTRQEVEALAGDYGSIRSSSVSSLEGIPEDQRETDDDDFDAQTVSNS EQEVIALKTALHECWTLCNTLASLSSIHRERIFSYSGTGDAQEKAWKCCWKLCQKLYD SRDEEQDFHVRPTLDLCRDFCQSLFDVRQQKDEISDSVLRVSFELNNHLYNTHDRNLP EAFRERTLDFYITLCHRLMKQRNELAEETDALLRACWGLAEMLFSLRQNKREGKAPDE ELLGSAVQACWELCDLFREGWTQVRPERGTPRPSQTTFSQISDQMSRSSHSRTAKNSL PSLPENPEHPEHARMRYQPEPQIPETPTTEFEDTPISPEEHSPNIPNILVLGTENNRS MNRWSSATSTLSGYSQGSQKTSSTITTATSPEDANLTRLKILILKAAMNVGFSRTTPT SAVPSKTEPPALQKFVKALPTGSFGSLPSHATLLASYKDLVLGDPTFRSSKSLPAIGK KASAVDVAKSVSWMMRSGQYAFLKDLFRLVFGFPLDEADTRKNVSVSV LY89DRAFT_604672 MIIPVRCFSCGKVVGDLWERYLKLIDSGVQDGDAMDSLGCKRYC CRRMIMTHVDLIEKLLKYNPADRDAKKAALN LY89DRAFT_634145 MASVLKRKRAPVEVPDTPKRAKSSENHPADFLQKPSGWDAAFRP PSKPTTNGEENHTLSNGQLASPEAEAIEYDRFITEKPVAKSKNALVEVSEQTRKKEKR PKQKKPRDQRTWRISGPIGGRMVNADPVFTADEEYFIVANRTTLHVYSTSNSLLTRSI KLNLHDRPGARIVAYCLSPTHPDHLWVSCSDGIVFSVNWTSGDGAGQHWITSSTGCNH MTAASMESAGRRRDVIFTTEVRKTGGFRITANELPLPPTLPSTRTIYTSPHRIEFLQT SQDGSVVVGVTGNKILLGRLRSTDYESVDKVRYEFRIFESIDAIKSLDMRVTIRRGAD LEGLKKHNILKKTPVVDLVVGDVRGVIFLHEDLLAKLFVQSQDGSFSSGVSMAPRKLH WHRQAVQTVKWSQDGNYVISGGSETVLVLWQLETGKHQFLPHMSATIQNVVVAPRGNA YGIQLADNSAMILSTAELQPTANISGIQTCVIEAEDPVESIVSRVEDQAWGEPLLQRT PAIISPINPSRLLLGVGLTQDIRAQNPPVLSNPFLQTFDLASGHSISRQAMIRNNITN INTAPNAHRLSEPTIVLMKVSGDGHWLATVDEWCPPLRDLEYLKNHLNDAYLEQEHRR EVYLKFWQWDVESQSWELVSRVDNAHLVDKASGQVGRILDLAVDPSALRFSTIGQDNV VRTWTPKSRKRDGVLVRGKNDKPLKNWTCEQSIPLGKADLESTTRSKLPTTGRVTFSE DGSILAAAIGNNENLLHLLDPESGAVRLTHTGLFENGVFGMEFLGQDLIILSEKLCIF DVVAEEMRLGIKTSDATQRLSTNQKRELMILAVDVNSRTFALAMPSLRKPAPEKKEQS LRDAHSELIVFHQDSREPRIKRSFDTLITAILPTVDSEGYLVLDAAAQIHTVLKKGAQ AVTTMAQSTAALQLDVTPEEAAGDLLRLVEDVEEIEDEYQLPTPSVTQASDDDDENEV PVVTHQQLAQVFDIGPSFALPPMEEMFYQVAGLFSSKPLPQSVS LY89DRAFT_662953 MVDAWDHGRVLNLQAQCRAQNLPIDGTRAQLSKRLREHQRENKV GTDIQTPSIVHPAVAQVNARVHMLLEREKERNRMRKAKHPLQPAAELPVNSQAITMPD IPAALPTVLKTDNSDLFVRLHMLIQSDKQPSGTRLSQAANVMANSQAIAMPDYISFED SDVDDSGPNSTRFAYLAAEEDESTEEGIELSCRRNYTSLDSIKQMREDKQAMVKGYDN KLKELDQQEVAKHIEVQKALKQNGRSVTNAAHREATAEHKMQKAKVENERKENLAAFK RDNVVWKKSQAWKVEFPKLKAMREARGLETIISDFAPCLGFSNPSRAISGIRSAKSRT PGNGKYAAGYAVGNVDDETSSTLSIPRSKSGISGSSTIDHAIQGESNARRSSILRSAS GDKSTLIDISVEVVASSMRGLNKPATSTSGDNCSDKSIPVDMPIKVTTSSKRKAEEGV ESISSASKRRRIDEPGEYRAWRSEGISRGDLRFKLADRTYIWIPEYSIPMSNDNLIVV KKMVEFWVAEENVQADEKTGGYFIVFADSNDGIRYARKCFDLFKSFRFGSNLIPAILS SKDVNIDEFNGRVDDCVANDLLAATHAYLRKGR LY89DRAFT_692973 MAATARAPRAEGDISSVFASLSGSAPQPLPDRFADIKTSLIQGR EKEITASWQRLLSTLRSEIDQITTHGSSIIPEIEFSEINNFSRVQPFTRSLKKRGVAV IRDVVPESEALSWKQEIRDYIRANPQTKAFPKDNKAVYELYWSPGQIKARAHPNVLET QKFLMSFWHTDSDPAAKISTSHPVSYADRLRIRMPGDAGFALGPHIDGGSAERWEKNG YGLGAVYDKIFEGRWEEFDSWDASGRVNAESDLYNGAGACSMFRMFQGWLSMSNTGAG EGTLLVNPLFNLATAYYLLRPFFSPKMGDVGKSGFLETENWELDPVQSTALQGATLGC AQELTTALHPHLELEKSMVSVPNVKPGDYVAWHCDMIHAVDGIHGGKGDSSVLYIPVC PLTEANARYLVTQREAFKSGTPGPDFPGGVGESQHVGQMKENDIKNAGGEEGLKSMGL KSWEPMLHLQVEKV LY89DRAFT_571761 MASSITAAVFKDALSRYGTVLKGMVKTPAKEGQTSLEELDKFRY QYAPMNFSMNAGKTLDSEALQKLAEWKVRHGKFRPALQKHIASNNDEGIASATTEAFE HYASNKDNIAGTIEKLTVLKGVGPATATLILSVHDPQNVIFFSDELYRWLVKDGEDVS LKYTTKEFEEVFSKAKAFMSRIKCTPTELEKAAFTLIQENEPEPKPKKEPSGRGRGRP PLADSEKKPKKVTVPGRGRGRPAGTTKAKTEDGVAPKAKKEKKVAAVPAANGETKNRG RPAKKVESKPESESGAEEGEEEEAKTPASKKRKSTATPASDRKTKKTKA LY89DRAFT_727234 MGNGAKAASKRDRKDKDTKVAKSQLKVNEQAKDIQCQVCKSTFL KTTRAPALTEHATNKHSKTLEECFSSFTEQPKK LY89DRAFT_572585 MSFDHIPILDLSEARNPATKPKFLEQLLHALLEVGFLYLKNVGI PEELTQKVIKEGVAFFDLPTEEKLRIEMKNAPSFLGYSRLDAEITAHTIDHREQIDLS SSHPVPRPDEPLYRNLIAPNQWPSPSFIPEFQSTYSEYISRMGEVSIYFTSLIAEAIG LPASSFNKYFEANQQHKLKIVKYPDLQELGLWKEGEPIPEGQGVGPHKDSMLSSYLLQ VTKHRGLQVQNMRGEWIDCPPIDGTLVVAIGQGMEALTQGVCMSTTHRVLSPAPGTGA RFSVPFFQGVSYDAEFDELNDLDGGGGVGRVPEKIREMRRKVVERNGGRLDDVEFTFK GGFGAKTLGEATLKNRVKSHPDVGERWYPDILASIGAQQAKEREKAAKTKAATSSKEG TAVQVENAPAIEAH LY89DRAFT_692975 MAGFKIPIFVSLVLAAGAEAQIAAYGQCGGTPWCFNVDCQPGYT CSFQNAYYSQCVPIALPPGDLAPYGAPGTCTPTPQNCGVTNSPPSCAGQVRFAGINIA GCDFGTDTTGTQTPSGAYCPIGPYNPNGPGQMTHFASENFNIFRLPTGWQYIINSVNP SSTLDPTNFGNYNSLVQACLSTGAYCLIDIHNYARFNGAIVGQGGPSNALFAQLWANI ATVYASNSKVVFGIMNEPHDLPSVTTWAATVQAAVTAIRNAGATSQLILLPGNDWTGA GTFVTDGSGPALQSVTNPDGSYTNLIFDVHKYLDSDGSGTGTICVGDQVSTAFAPLAQ WLRCNGRQAILSETGGSSDPTCLTYLCSAVSFLNANSDIYLGYIGWAAGSFAVGYNLG ETPSYSNGVWTDMPIVTTCLVPK LY89DRAFT_679406 MRVSAPSSVVFHALMLYSTPQPRTLGDLVSPTILLLSCTNQSRS SRLIILLLSRNSVWVARMQSLWVAAPIIVTPPLIFVRTSLIDRYVKISDLDSRHMIPR LSQSSSIREGFGGFCLPAG LY89DRAFT_14232 MKFSLLVVIAFCNLTGLCLSLPNLPIKRSIHPKRRSLIVTTPSV NPSVTTPCYSSSFS LY89DRAFT_662959 MAASANNAELMAIALNGSENLVPAAEERSQVAVHRFLSLAPTTS ASYPTLPKTTTPKSPVQTAIAGTDAAVEAAIPALPVDVEPKTRRSSSLSSNGSLMSKR RFLKLGPVHFGEGDGDWSEEVIN LY89DRAFT_14337 MSIDLLNWDTLTTGPDGDALAEKIRNFIHEKFQTVTLPRFIKSV KVHEFGFGSIAPEVEIKDICDPLPDFYEETEDDYGGEDDYEEAEDDGRSKNTDHETLR ERRRMDRLERTNGSSQSSHPPAYIDTKTLGMRPMQFPNDVGSPFLGVSTPGIPGGTSN LHYFHSQLATGLSGTQTPLAAVAGAHYPNGWPDYANMSSSNGLGHRHTPSGSSLSPPP TADAAQRSLREKASVSTLATSNSTTRPKTSEGFVEPPIEEEPTSPPRRFREPRIEDLQ TIFRVRYSGDVKLSLTAEILLDYPMPSFVGIPVKLNITGLSFDGVAVLAYIRKRAHFC FLSPEDAYAAIGAEDEAEHNSGIKMGGLLHEIKVESEIGQRENGKQVLKNVGKVEKFV LEQVRRIFEDEFVYPSFWTFLV LY89DRAFT_679410 MYQPRQAYAPTPHSYVPSTISATINLDEEVKLAETRAERDLQDS LAEVFSIIITLDELEKAYLKDAISETDYTEICGRLLNQYKAILTDEGVSRAFVDLETF KNEWDMEVPRATERIRIGLPSTVTAPAINAPANNNSGSNGTLILEATQDFITFLDALK LGLLAKDQLHPLLSDVIQSVNKVTDRDFDGRGKIVQWLIALNQMKATEEVSDDQAREL ELDMNSAYQGFKATL LY89DRAFT_679411 MAKSTKKSTRKFEKNHLKDALEKRKAGAKIKQRQQVKAKRQARN AKDAEFMGGKDGEEAPKAKSSAKEDAFAKMNVDDFFQGGFEIPEKLARKLKSKSAPEK LGKRKRTEPEEEDDDDSSEASFEEAPVMSDSEDDAAEDDIGMSKGAMDALAEKDPEFY KYLKENDPEALDFDDYADLAEVDALSGSDVEEEPKKKKQKNSKKKIQVETEEGSGEEE EEEDDASEVTKPMVSKWTKAMTGQNSLRAMRQVVLAFRAAAHLNEDEGKDYKYTISNP EVYHDLLLTTLKHVPEVLNHHLPVKESASGKVRVSTDSKKFKTLSPLLKSHSTSVLHL LGSLSDAPTLKITLSSLTPLLPYLLSFKKILKTLVKSVVDIWSDPSSTEATRITAFLV VRRLAVIGDPGLREAVLKTVYQGLIKGSRSTTIHTIQGINLMKNSAAELWGIDQGVGY TTGFTFIRQLAIHLRSSITNNQKESYKTIYNWQYVHSLDFWSCVLSEHCNPIKEAESG KESELRPLIYPLVQVTLGAMRLIPTSTYFPLRFHMMRSLLRLSRATGTYIPLAAALLE VLNSAEMKKPPKPSTLKSLDFASNYKAQKTYLRTRVYQDGVGEQVVELLSAFFVLWST SIAFPELALPVIVMLKRWLKDANNKASGNKNNKLNSALLLLIQKLEANGKFIEERRAK VDFAPNNRAGVDGFLKGFEWEKTPLGAFVVGQRKQREEKARLVEQGRREEERKRKQDR QKEKEADVSDEEMEDVDESGFESEEDDE LY89DRAFT_604699 MDNCLTTHEGCKKDISGLPHRVLDLREFSTVGKIKLVETEGMKD MYACLSYCWGTDNNFVTTPNNLPVFMTGIPWPDLPQTYKDAVIVASKLGIQFLWIDAL CIMQGNKEDPESLKEWEEQSSLMSSIYGNATLTIAATNSRNTHEGFLDKCKLVEPPLS IPYDHTWLQTEPPEIDHSRSDSWSSNFLRERAWCYQEYFLAPRVLSFGYEEIAFDCNG GGVCECGQYPSEYDKRRENMKARDARRISSIGISDSLSSVWTTLVTEYSHRRITFPET RLTVLSGIAQMFQQKTGTDYLAGHW LY89DRAFT_744973 MPSIKERALRLERALTSTPDSKNEDSWMKNTSESTERHRTVTQK SYLNKFKHRRVPAINKSASRSNESTKESSNPLQQRMENSSDKDMVNTDRQGSALRLTW QLLCSRRHANSLKEMFWTKQKAR LY89DRAFT_15252 MAALGPSILSPKDPHTQIIIAGATIVVLIGIIFAATTNSTIPKK TTTTSEHDENPSGFASFLRFFYASFLKPHTGDVGNGQQDALESFYKAQAGVYDATRKR LLRGREDMLGLVAAQLVQKAAKERTHDTKRIWVDIGGGTGWNIEAMSEFVDVEEFFSS VYLVDFSPSLCEVARKRFTRLGWKNVKVVCQDARTFRLEDHEGDPVVDKSLTFARSPM SNYFADESASVGGADLITLSYSLSMIPDFYSVIDSLTSLLAPGGVIGAIDFYVQSAVD LSFRNYTGGAINRHVSWFGRLFWRAWFDVDRVGLEAGRRDYLEYRFGTVLSADSRNYL LGSIPYYIWVGCQKKPESSHNTTNYPHEIVERLDAAATESPYLSPVNHQNALARKVDR ASPELRSKAFDAAIINLSANLPLPSFFYQNHHWRIYYDDQLKKHTQFKNEYIYAFTWE DSRVDQRLLKLTSDDVVLAITSAGDNILSYALESPARIHAVDLNPNQNHLLELKVAAF TSLPYPDIWKIFGEGKHPNFRNLLITRLSPHMSSRAFQYWLNHTSTFQSSRSRGLYET GGSRHAIRIVRLLSTTLGFSSQIKALLAAKTLNEQREIWTKQIRPMIMSRLLSYFVVS SEKFLWAALGVPHNQLAMLEADHVALETKSSPFPQEHSEKELNSSRGAAVWEYMVQTL DPVIETSLIGEDNPYYLVCMQGKYSPRCHPDYLKPKSHQKLSKPAAFDGLRIHTDEIN EVVTRLAPGTLTVAVVMDSMDWFDPGSQEAGKQIAKLNRALKMQGRVMLRSAALVPWY VERFEELGFVAKRVGARENGACIDRVNMYASCWILTKTASINPNIPKTSRDGSVDLEK LEL LY89DRAFT_727243 MVGTNKWSDEQIDICFTLYKDGFKKWEIAEAINGIWPRRGASSA GVNYVLNTKKKEFLEIAARRPGQMPESAPVARPTQLSRPTQMSRPAQIKATARIAAKM DTIPDVTEYEAPPEYRFELYPQVQQSFEDELFVPQFDNYPAPPEFGLEFNAPEPDVQE VVDYSAPPEYQVEPAVPAKTIVEEVAEQSASPKHQPEPVAPQTVEQSAPLAPTVQQTP AEKPHDWNDWSTENIWKGYDLDELFKKETARWDNSDLFDHFDYLSDNEDLNAFFDD LY89DRAFT_15269 MVLPNFRDKPSFQLLLKTLKSLEVKPRSWDDDSAKSLVDRPILS ESTVQEFLLGVFKTDFSWFEDTEDEDLGIVTAQEQIDEIVDMASRRLAERCGRSARGQ DTRTFRLEVPQHCANDFETQQSTANIELQIREPPLTGDNLGLKTWGSAWTMVQLLPQI GLQPALQRLIDQPRFSKSRVGLEGPELQVLELGAGTGLVGMAAAALWGVNVVLTDLPA IHENLRYNIDINMPAISRVSNGHACAEILDWSDHENALHGWSSKEFEVILAVDPLYDD DHPQLLADTIKQFAKRGKVTVVLTAVPFRDKTTQLLCLELERLMEGNGFKSDYKGENI CRDDWESANAREVMVSWALWSGRDADR LY89DRAFT_703386 MIQGIFFARFLPQQGTKVVHQSPPGCIVPEPDVDRPRLFDFDLL SEYIIPRQAFCNRYVTICDPDCKYRILGHPVCIKDEKYERNEFMFNFCIVINADDDKI PYEAVVRRLASTFTEMEIQNQFLSLEDYSNSQDRRSIAALLEIIKEDLNNYNECMIPV DDANTINMKLFPIHPHPPPVKSWHVPISKIKFSEIIDDTWDLTMKKVISQIDGIKDVR RIAHDADVALDLTKIALQHLLYYDSVIMLDLFLFGNVYAPTPDVNDFIEDRDGMQDEC ANYVYINGPRLPNFYLCRLFTSLCTSRTVKEWLKLHIDQGFPVLNYVDVRRFIQFGVI KGLIYRVHKYTVSAQYLAGLVNGERKEWREWRTGEGMGRFADGCHCFDQICVERNIGD AKIMDQLWKFPKGDVEIIYR LY89DRAFT_604708 MAWIEALLTKLFIEEGASDVEGFKALERSFSHQHRGQQSHSHFM RPLCQSTTRAPRIPKTLDESGDSSNEQGPPTIVINGPNAAPRTPNRNPTRQDSYDWSK TSPGHSGKENKTDRNERGKKDKAYVKGNKSPKGSDTPKFESLRKSNGPDSPRPGSAAR KEITQQKGNVFTYMPYLHFETTRRRQEMQEAIKRAETMKLPLCRLGKAQTYDEMLIRA HLNTSTVSLHVRRTLDQSFYHNIDTSSRDTDQVVYRYQVRTQGEAEDVDPKVVMVDQL WMWVLGKDLIVTAFPQRWQQPKNDPLNVLDSVIEDINSKTRDPVKSVYDLAMVITNRC TGVFDRHRMGDEDYQFLDMFESSIGNATDRETVLFKEFNAASAQASQWLSHHRRWHRS SKLLHYDPDAIAKDRENAEEQFDAEELQRRPFFVDRLLDIGQETDLLAETKDIRDELN MIAKVLEDQEHVLVNLRDAILEIYYDEQKSQQDVKKRFRDQLKTIEIHLKDIDRMDRQ AERIYKSITDMLDLKQKHANVFEARFARDQAAVGARQSQTIMVFTIVTIIFLPLSFIA AFFAINIQEFPRNDQGPSLPLGYVSKYLFGIGLAVSIPLIVLALRLDDIVEAWRYFRK WRAMSALKKRLGKDGLSNGDKPLASIDVLRIEQTLSLAKSLETEWIRERLSMNSRRPG TAQTREEREREQQREKATGFRMRVSQDIERGEISRTQHLGKA LY89DRAFT_15308 MSRVEGERRLSATSKSSDHNERLEPHLLRAAQDDDIEKLRQILE TAKTRKQLDESFLQKGLIRSSERGKVGATQFLLENGANPDGATGNRAPPLLKAVERNN IGIVHLLLKYGANPETADKKGRTALMTAAWKNHWNILELLIKKGANVNAKDDRGRNVL HNLGADKQMQWGDSVVELLLAHDIILDGEDGQDKLGRVSQLSLQDDFTQPAMAAC LY89DRAFT_727247 METCQSEFYYIVKHNYTPRIFSPKDYICPRGFRGPPSDVPNSEY SEKALGDSERYPDLEDMDYPSDEADTGEEPEVEIRPATKNLNKSSDTSMGDNEKTGNI SAAIHCPPRNETIYLQVLLSGETRIIRKPGILPMVCYVDASTSTSGRETETKTETPTE HATSKDVGRVGLGLDELMLSLRFAGKSST LY89DRAFT_776372 MLVQNILLCIAALGASPLAMAAPLAIPDNEIAPRNALLPTLPIV APVLTEERDVQFKRDDDDDHDGDDDDDDDDKNKDKGKGHGGGYNGYRGKPGKGGKGGQ GGEGGKHYKRDDVLEADDTADVAAEGDDDMVKTNGEWYGHGGHGGGWGGWSGKHWRRE DKDEADVGVNEAVRGYGKHGHGGYGGGYGYGKRGHHYG LY89DRAFT_776373 MSTSSTDAIAVEAHTSPLKKTVPKYQEELIVREARRLWDGPEIG RIAATTYMHTRLSHFVAPKRYQYPQDWERGFVQRAQKRLLDPRSLTFVLCTKSNPDLP VGYATFVRLGNDKGARKQIESHSRLERIGMWIWSLLYGVVWFWIVNFLAGGDKSEDKK GAVMFVEWGKADRKIHWDGKPERENRWHALSVVVREEYQGRKGGKRLMTEIIGKAEAE NVVVGLESSPAGEMMYRSVGFELLGRFSSDFERDQGGVMMYTPKARR LY89DRAFT_15370 MYLDRHLWPTNRLQVVRLRKTIDSIIALSIVFSGIHSHPVSTLV LAVTSLEPAPGHPHFFVLFGIFTLFA LY89DRAFT_727251 MPSFSTSTAVLTLAILLLLARPAHAFGAGNIASVSKVEGLNWRH GDIEDTLLTLMMSRAAGGKKFDKMNVARVYFGNWLRDYSQAIDVGTVKYVSAEAIRIL LWVLGFMTFGYGTREFEVTAERLGCYRPEDHIDNPKDYADNLDATQYDPRLRGPVNER VELAIDPRTGLKNYIANEQAGIMTSALHVRQLFGRCIQLGRSYARSRNKDELYESLRL LGTGLHCLEDYSAHSNYTELALIEMGERDVFPHVGRNTAIRLQGTQHPVYPIVTGTFG GVDFLHSVMGEFDDKATQSELQELEGSLQEGNRADTSMLKELLSSVPSGLFGGKDEAG KADELQTNATAAQMSQMRVSPRQPEAWTRQMQQVSKEIYPIIQWHDEIMQGITETIEK IPILPDLIENIENQVNIFVFSLLAPFVVPIIEQIKTELNEGSSEIIQSSKEKQLIVFN DPNSSDPTHSMLSKDHFSNVLNEPAGKIASQVLKWVVPQLMLAIDDERIDPNRTITRI INGVFHHPAQRAFGDDGASEGRHLMFSVVEQWWRSKSQYEQNDLRRQLSRDGVMNGEN HKEGVHDTGHGCGKPLGMAKSATGAGGSGFLSSALGGGGGNDEISKFAEEAVGGGALG TIVSGLAGAVGGSLLSGAFGGSNEEQPESRAFTGQGYTAGGGFTQNYTEVGHSGNQYA QAQYSETAYPGGGRETEYKRFEQEERGGGYGFEERVQTQPSYGGGFERTTERVTQRPG FEAETEVWREHKESDSDDSEKRRRNHHHKKHHSGNVEEFEARREDFGGGYQEPPRQPE YGRNEEYGGRGGFGGGYQEPPRQEYGSRGGFGGGGGFGGGYTAPSRQPEYGRNDEYGG SRGGFGGGGGFGGGYTEPSRQQGYGERGFEDPPREQEYGGGNEAGWGEREREEEREEE EYVEERREEEREGVLDRVITEDASLTESPYTKVIDRFTIEEERKLLTQRQEDAKQRLT ELGAEVGVTPVEGLIVDDVQDDEQDMGEQEAKQLDVKGNQTNSELLTNNIGDRGLRIV R LY89DRAFT_572400 MSTPRLSLDDYYLNQQTLMWAKVVTGLATLQDAIQTLSTAYIKH TNAVLGEHGAGLDVDSALAKLGENPLFKLGEQSNRAASPEKSAPAEVPADKKERKKRQ HDPNAPKRPLTPFFLYMQTARPIIAADLGADVAKGAVSNEGTRRWGTMAQDDKQLWTN AYKDNLRLYNAKMHSYKAGNLSAKDMTDEQAAGYADEHNISTDNTADAQLVGESSAAA LNDEDAEGEPDKEPTPPPKTPKAKSTRKSRGSAKETPAAPETIVPPASSSIVPPKAAA EKEKSPDKKRKRSNKKGADEPVSTIEKEDAVETPKSAPKPRKKKAKADN LY89DRAFT_662978 MATRTEYERSSAPDSSNNSTTPEYPPDPSRVAYLDVELYASFLT REERDAPWVSRVPIHNRFKDGETLLNAKQAAEYATVGTKYRAQRQGLKNLRDSALRAG YDQTKVDEDNERLSVTAKYAQERAELERVRDEELAAHEAEHGPLRDKKNRWNAAWLEQ KARKAAQGITIKVSTYDPRGFRNLPQPASENVSEPATISGQSKTSRVTKRQANEADGD SLKANVKRIKAETDSAKEPPASHRDLIDGHVKEAERNTISTNLSNDNYIFIKDPSSEK DHQRSQELMTIARKWAAANEGASMIFDDLGLYVLFPETEIGLQSASKCFGAQFSDGEK LIPMKLMSKGECLARCYVGDSNI LY89DRAFT_745005 MSFEVYSTNCLDYWVMIGDTPAEIEEAYARVTRTVSLMLEYGLG FWQCKLRDHIQEELLNVAREVFHWLKQGDWRFDANFWPDPAAMIKELQEMKIELTVSI WHTVDTRSESFAEMLEQGLLIRTDRGIRIGMDFEGNAIHYDATNPTARKYLWEKAKVN YHSKGIKVFGLDEAELEYTAYDYDSYRYHLSSNLAIRNIYPREYARAFYKGMEASGQE NI LY89DRAFT_727257 MEMSIPRDARTRTWDIHGKQNVWNWKVSTDADEILYQTRFPCKH LAMMYRGAGESAQLLATIETGAHSWEASITFPQDDRIMAAPEPITMENISDEGMSTRW PVKVQALGGQELHWEYEHPAVGDRDLRLEDPLTKDELGNANSNFLSLSEKLPEAAVEE LVITGTAAQIMLASLMHNDMTIAADGPEGHAQWKYQEPEWWENEGDEEQEATYEHHEN ETDELGESKGD LY89DRAFT_571649 MSVPTPLVLVLTSASLCFLNVLVFPDIFPDTKLTTLFVSVTGVL LVVWGIWSVGIYPTFYHPLRHLPRPKFGAIPFIGYPRISFRTPRAADAMLEMITHTPN DGLIQFPGLLNSTYLLPTDPAALADILVHKSYDFEKPTDIRKFLRIILGDGLILVEGD EHKFQRKHLSPAFSFRHIKELYPIFWSKATDLCGRLAAEIYENPEPSTELKTRHREGV VEVNHWATKVTMDIIGLAGLGRDFNTLYSSDDELVASYEEILEPTVQKALYFTANLLF SPDLINKLPWKLNQRLKATMGTLRGACRQLIQERKETKLSDQDGKVDILSLLIKSNNF ADDMLVDQLLTFLAAGHETTSSSLTWATYLLAKHPEIQEKLREEIRTNLPSPSAAANP DFDLGGMLETLPILHGVCNETLRLYPPVPTTVRVAVRDSMIGNEHIPAGTKIFLSPWG INRSPKLWGESASEFVPDRWIDEETGKPNNHGGVKSNYATLTFLHGPRSCIGEKFARS ELKALMAVFAGTFRLNMADPTEVPIPAGAITTKPKNGMRLKLQILEGW LY89DRAFT_776378 MTTFTKIHHHKSYPAIDPTSSANSQKGRTVLITGSSAGIGFEIA RAFISASASRVIILGRRQDVLDAAVSNLESWKPASSATIITPFRCDISHEIEIKELWE KLEREDIEVDGLILNAAKTGPLGATADWKKVWEYFEMNVLANLQMAQMFLAQGPEKGK AIVNVSTAAVHVNPVGDHGVYASSKASFTHLLQVLADEVDVECCQILSYHPGRILTES AKEAGFTEESFSWDSVELPAAWCVWASTKQASYLHGRYLWTNWDVDELFEMKERLEDQ GFLKLGLQGVDSLSHDALFS LY89DRAFT_679418 MAACLENAAHIGINVYEHIRRPKGHNELTPSPFYQSTFATTSLP QSILTELYDSVKPDLRPCSAQVKQPHPLYIDTLPFPSLRQRVLALRSCVTDLGDAGAD GGEKIFDEEDFCRDIDADGIVCWGSDTDIGTGAPWDRRSWECRPWFLRKWWMVTGGPE GELGKQSRWWAEMRGEVFEEV LY89DRAFT_679419 MPPVTKKMIEEMIARSQASDRAWRARNPDCTRRGGGKRERVGKR KDNRRRQHAVRQDSLRTLIEDGFRGSSSDVRAREEDAPAPIVRTKRTLFPPGGQNRSL PLVDDDNMNDGIDTKSGQKEDVTMGESQTGPDTMNGQKLRPPVEESQQHIDPAIEEIT HRMDCLTSPITHYNKATDQAMSLDFSEIIRGDSGSFTSSGEISEEENL LY89DRAFT_727262 MDPDTYDAYVRYADMWIEDLRALCRSLGVDSHGNQAELIQGILE HDARMQMEASRNMDERLPSGRPTNEEIPLHFDHTATRYRTQIHSTFDDAEVGDKLIGP RSASRYIEKMEEKEQEEYKREMRELSDDLGEMLGYSAKTGEREVSKSENENSVPSINK QNEEVRTPKAQRLIRDPSSDILFPEGKAPTMSPFDEFAAMEKEKKKPMNMKIQMPDKT VDISGQAATQATDQKECLRFSLVDLQSEITTPPDGHGEKVSPLSF LY89DRAFT_776381 MDDHSLKWRGFKVHELQEKLAERGLPKSGIKSDLIARLEKYEEQ YSPKPTPPVSPPPPPEIPYARDSHRPAENGRSGHRRDQSLNNRSASPFARSRISGGAR TYERQIRRERGRERSRTPSRSSSFSSRRRQSRNDHYSPREITSPAENNPTEAELEAIC WDNFNKPKGDRKVLQRRATIEAEFDGKTSEFKIKKEADIERLEKKYRKDISAFVQERD EKLDALEKDELLFSEKDKRWVSAFRKLKNLRAARGLATWPNDFDPDRRGHHRPPLPGS INSESAASTIHSHGFPAVSTLKRKASESMTASPTLETPNKRLHDEKDYIHTKSGPIPI RPLSDSNIENTPIISTLSSSTPFIFLPESSCKVKGENIEHMRTMINKRGYDYEAVRAD DTGYFIPFEESEKGKNDAKTFHNWYDKELFKGKVMHLQIYNYE LY89DRAFT_15691 MVQNKALIFKKIPEGLPVPGKDLVVETREFDLEQAPPSGGVTTK NFYASLDPYQRGRLRSPEIKSYSPPYTLGQPLNNNVVAKIIKSDNPKFKAGDLVSGSI SFEEYSAVSKEMVDATFKKIDNPYNLDLMTFTGALGMPGMTAYSSFYEIGKPQKGETM FVSAASGAVGQLVGQLGKHAGLKVIGSVGSDEKLDFIIKELNFDGGFNYKKEKPIDAL KRLAPEGIDIYYENVGGEQLEAAIDCMNNFGRIIACGMVSEYNKAPNEKYGIKNLMLV VGKRLKMQGFIQGDENMAPKYREERDKNVAKWLHEGTFKAKVSVTDGIDNAAEGLVGM LQGKNFGKAVLKIADPE LY89DRAFT_634194 MSTSKPSILFIPGSFALPEFYDVVLDPIKAQGYEIRALHLLTVG LTTGPREGAPPTMYDDAAFIAKEVEKLVDQEKDIILIGHSYGGIPLSQSTKGLGIEER KAQGKKGGIVKLAYMTCLVPDIGQSAMAMLTDVKEEHRVQFRLDERGWFHQNDIPRAA SISFSDIPQEEGEAWIRRMPAHSAVSFTNELTYAGYKDIPVSYLICEEDLVIPLRMQR DEIELIEKVSGKKVDVTSIKTGHIPPASQPQKVIDWILSVIAKA LY89DRAFT_727267 MSSASASPVRVSEDDWHSVKDAKKRKQIQDKLAQRARRKRLRET KKIKQQTVQFPESQMGHHGTEGQTASDATVQLAPSSLDPTLCSSFGDVILSSSSLTPS FDSSSFLQPPNLNYELPYQLTLAGALFINGQILGLSCSVSIPARSSPASPDCPPALRP TATQLLTVHSHGVDRFPFPKMRDNAINLACLIDEEELTRDLFLMPSFSLAAGCTPWDP RAWKVERPFADKWAFLFQ LY89DRAFT_572826 MSAQQVCPVVGTTNTMLPPSHPDFDMSKSGQVCPVTNASTDHHH ILSKHPGLPSNITDKDAKACPALKETINNEENKALDEGICPVVGPVSTVLPPDHPKPK DGADVCPVTKASLKHHEGKVVSHPSIQGAAEGAVCPVVGKTKA LY89DRAFT_713127 MRKHSHPVSQAYPAAEHLVHLLAPVSTCSPVTWSTTPHLNSSSQ IRPTSTPTSTCLDQIQIPCVTLTANKHVAFGCWIAQHGGNVQPLPTQSSELLHRRLKD STIDGAGHSSSAPYGSLLQMRLFLATVNLSSTGCKIDEMETLAFMDVFFHGSACLLWV TVEPAALPILATFTSILHVSGRTCALLSHHTRKRKVESGQRCSYPTFYETFPSPVQYI DRIDCSTL LY89DRAFT_15720 MMLQKTSFRIKRFITQRRNQSTPDLGVNYDEARFSDLKLSISSP KRLSWLSEAPSTEVIALRRTNSNTTVRSHHIHQSGTAHSSSSSLCSCDCNENPVPIEQ SLSRVKSATVRPEILYLPPALPTNASDDAKSWRLIFPESLPRSSSLTAASKIRIATPP SLPPIIAADLFETATYDHDNDRTPTQSVKYISQPDMEDFSNNVEQLIRETDEAFKAVG TALADAKAATQEWYDTKSTLVAPKLSISRGIMRKSRSPVPASPTARSPIAKIKPTPLA ATGKAKRHRKSKSNLFNRASGSTPPPLPVQSDTPARWTLNDVTANMVDVFSGRRFRLE ADEMLTPMRIQKLKEQVAAEEERRKSAESSRSSTSYETDGDDDFGTPTDPFYLDTFGK TGGSKEAASPPPPPPAFTSPRPPPPVTQVNKSVATASTSSASSKDSELTTPVAPPQAK AGMIFNDYSFPAPPLPPKNPRRPSAVPLLPTISEVSPLNLSPTQFFAQSGSVAEVVEK PRSPILLPSTNFSMLSPLFKHGSIRIERILKPRKDKSLSPDEEGLDWVAFQMAISGNM DEYSHDVRSDSEWELDEVEHDEIEAWWNSLGLELGGLQSSLPMDVKSAVVEIRKSKPL PIRNDLREKRADGIYKAAEEQLIKTWRAEIDTNKIVEEVPREEVDTNKFVINERPRAA APRLMPPPVIEVRRPSVAESMPDSPMLDLGRVSPLKDGDIIPMGFNLGHDLGDFLQWE TNHVQMFMGDER LY89DRAFT_662991 MNAQFTFVGSARILSNTSTATPSSTVPQHQPQQQFKTINIMPKA TDDSIELPKSYEQWSYDRARSECSRRHLSTTGLKYELIRNIVNNDIEQRGLQPVIVYD NDNDPDGSLEMRKVHRLKARKKKTEERLRALSDRAANLIVTKCPHKTQPNEGSSEGID ESGDVSEGEAGLEGETAET LY89DRAFT_776387 MAPHIDTGDLQLPNYDRMSKAELDDALLAHGLPPNKHGLKWELV QTLVEDDKAKLPVTPVFTQLNDPLGTLEEQHNRDVLKHGLNQVTIEKAKLQYQKWLVQ TTEAHIIKKAQVAWDNYNSKETTRNNRVRGYTASESQEAFLKGNIPVAAPQTAPETTQ KHTELPDSAPHLISKVPLASTLLKPWLFISSKECEVRGDRIDRIRAKYTKAGNARSVR ADRTGYFILFPEGEGDEKIKACYTYWGSRDNWLYGAVVHFQLFLADEGTDSSEDIASQ TKSVELVGTEKIVTAMPDSRQLLDAAHNQGYEAEESSQHAAPNTNGTNEVIPSHSTKR KNNSMHDATSAIAKKSKKKDSSMEPQQPTIETPPSAPYLVLPASRTNIDREWLEKWIR RNHGSRDIRVRTDETGYKLLFKNLEAAWACYKVFQYRAFCTFPDKPLGYLQYFEEIHA GSGVANLQSDDGARGKSTIMSTIEPAADASTKPTNYIFIAKDQLPSEEGLAMSLMTKF KSHGPQSVWEDSMEYCIGWPSGKEKAVIKCYRDKGPNDYHVYKGRKIELMFRLARP LY89DRAFT_679428 MTSIVRPAMLQKTCFAAASRRAFSTKLSSNPATNPTSVLSRKVV RNTFARDAVPGSMRVAAFHASGRRSLLPALPQVVQGTANDPAPVPTPSPSHGSYHWTF ERLISAGLVPLTIAPFAAGSLNPMMDAILCGTILIHSHIGFESIVIDYIPTKRLPKTR VLFWWGLRAATVLVGVGLYEFETNDVGVTEAIKRIWKA LY89DRAFT_571984 MASRRLALNLTQGLRNRAALNTVAPLRRGFATPVVKNGVKTEST TLSNGLTIATEHSPWAQTSTVGVWIDAGSRAETDKTNGTAHFLEHLAFKGTSNRTQQQ LELEIENMGGHLNAYTSRENTVYYAKAFNADVPATVNILSDILTNSKLEPQAIERERD VILRESEEVDKQLEEVVFDHLHATAFQGQPLGRTILGPAENIQSIQREDLVNYIKTNY TADRMVLVGAGGVPHDQLVELAEKHFSGLSSDSSASLAPKRKPEFIGSEVRIRDDTIP TANIAIAVEGVSWKDDDYFTALVTQAIVGNWDKAMGNAPHMGSKLSGFVHKNDLANSF MSFSTSYSDTGLWGIYLVTDKLTRIDDLVHFTLREWSRLSYNVTDAEVERAKAQLKAS ILLSLDGTTAVAEDIGRQIITTGRRMGPEEIERVIGAITEKDVMSFAQRKLWDQDVAV SAIGSIEGLLDYNRIRNDMSRNA LY89DRAFT_713132 MMNAPQEFTLMLFIKILYSKTLDEQLEETRAALARARERRDAIL AAGIARQAPQVEVMVDQIEEPVGAADYDEQYQEFREQRRARRMEALRRAHPVEPIVDQ IQEPTQTAGVQGWRELMQARMEPLRRNRPAKTIVAPIPDPDRAARRQRIRDELIARRE AHQNPRAEYFTRRAETPAPRARPIVPAESIIIPPLACLANAPARPPRMVSEEPPARRT PTGRAARHLRPIRRTRSQFVLKDRDCRHDEWDRVVDKEWHGRTELAGDFVLDIGGWGE ELGRNWTGVMIVRWEYLESDLGFPE LY89DRAFT_572838 EHSYCQECLQTLVSNSLQDEALYPPRCCRKPFEMDLMRPFLSPE LISGFHEKGIEFGVADRLYCSNPTCSTFLFPANITGDEIECPLCLVITCTLCKSAAHD GVCPLDTATQKVLALAEGEGWRRCSKCKAVVQLRSGCNHITCRCKAEWCYVCRSAWKS CECPKWNEARIMA LY89DRAFT_552870 TTMEDAESPTMECFICREEIVFILLIRLLCGHYYCRECLGRRFQ VAADDRRLYPPRCCDTKISSRTYGSRIDYHILKDYLYKKIEWDTKDPTYCSNDRCGKF VRPNNIRGQDAKCPCGVQTCTRCKRRSHQGDLECNGPIDTALQATLRLMRQRRWKRCP NCHTGIERIRGCSRM LY89DRAFT_745032 MATDTSQLSEDEDHSDQTPPPVPRPLSQAFQERTTSIWTWQDLE IPDNLDSTSHRPETSEGPEMSGDSRLPTEVNRYGGRRHFNLRETHDDHERPSSEVLST ARFDEPFRPNNVSTFSAPRDTEARTNPYIRATPPGLLPHSIEQGGLLGASHLPRDCSI CEKSIIRESEVVALPCKHEYCIDCFRKLVKESFTQKHLFPPVCWYCPGQTINLVKRFL PDLEEEYLSKSVEFALAPKRRVYCPNCGRFQCEIHNDQKWKDCLTCNTKICTMCKARF HSGECPKDEGDQLLSQLKKQENWQSCPVCGNLVELTGGCPQVTCLCGTQFCYTCGAER KACVGHKANDTVYFRPLNYNQLADRLPTRSTSDGHPDTLEIDAAATGSGSMKDVQSPK SNTLAW LY89DRAFT_572440 MLLAARARPEPAAVPAPAPPVIPEPPARTHERCVSCDEFLFPQE VEIDIPCNHMYCVQCIEELFSQSLVDESLFPPRCCKQPIPVDNVREILAPDFIQTFQD KKQEIETVDRTYCSDPRCSIFMRPKNISGDRATCPTCQQVTCTMCKAPSHDGICPADE GTQQVLELARENGWQRCPVCQVMVSISTGCNHMRCRCGAGWCYQCAARWNNNVKTCEC PQWEENLLLRRANEVVNLEGPQEGQTREEQMEAAIETLNVHCEHDRGWAFSHGSAQCA NCSQVMPVFTLQCNGCVIHWCRRCTLNRA LY89DRAFT_573189 MTSPIDIATPLRNSSSPSSQGQKASNFHDPDIMSGTAFDSSMGR GRQDSFAGAKPISMNNPNRNSDGRPRRESLAGSLVQGMSFGGVSVGSWIRDDIIMAGT SPFPYQSPSFHSSSYLPKLEANFMRDFACCGQTLPTLHDLLQHYEEAHAQQTPQSLRT ASAAARARENSTPNSKAAIAAQAASAVQQQAQQAQQQSQQRPHGLQIPQGGVNVSGIQ MMRQQQQSQPSTPIQKNAEPVSEDMDSVEDMEMDDAIGPLDNAPETPIQTHQQPVQPV QQSMFGQQRPNLNLNSAGLQHSGLRTSQPTTPATAGFGFQNNPTVSSVNTPTLSAQPI QQQQSHQFSPDTSVPGTPAGEMEDNYPNMPMNMGGNMNMMGNMNFPFGMANDSLSLDL CIDEPAKRLYSPNGFPNQQRLQQQFAQFGLGQGQFGNNADLMRAYQQQHMMQMNGMGS AQAAALMMGEEHKPFRCPVIGCEKAYKNQNGLKYHKTHGHQTQQLHENGDGTFSIVNP ETSTPYPGTLGMEKEKPYKCDVCGKRYKNLNGLKYHKQHSPPCNPDLKLGNQLVAASL GPLGVNVPGLPGIGEEMM LY89DRAFT_745042 MKILTKEEEEAHYNTTVKGGIMGGIVGLTLGGAAVYGAHLRFPT FRHLTLPMKTFLVTSSGTFVAIIQADRASRKFEFDRDPQRQYRDRATSDLEAMKANES AFQRFKDWGRENRYPIVTASWVASMGIALGLVGRNPYLSRAQKLVQARVYAQGLTLAV LIATAAFEVGDASKGKGRWETVKIIDPNDPEHKHLIEKRIHHEAYEGEDLWRDMVAAE ERKMAARKTAGQKEEPSSNKQPKHKDAKDKIVHESKSAGKVDYKEAEETEKKNQEVQA KRQKDARESAAPPLDDVQVKGRGKV LY89DRAFT_16366 MADSSKSDKANRPAIKTGKLVRSQKIIQLSPSDTRPPDIPEETE PPQQSLPTRSHTPGHLSKKSESRRRGASTSSKSSSKPSSSTDNGRQTPKLENSLSPST ANAPPLPTAASPDPRRPLNLRSTSAIAPKPAATSSPAPRTATPAQRAPRGPHIPFPPI PDPKTAPDVEPAPASGMYWSRAPVSGASHTSLRAHTTTLIGSNIYVFGGCDARSCFNE LYVLDADAFYFSCPYVCGEIPVPLRAMTCTAVGKKLIVFGGGDGPAYYNDVYVLDTVN FRWSKPKIAGDRQPSKRRAHTACLWRNGIYVFGGGDGVRALNDVWRLDVADTNKMSWK LISPPSTGPTEDKTKPKARGYHTANMVGSKLIIFGGSDGGECFRDVWVFDVETLTFSP VHIPVSYPRLSHTATIVGSYLFVIGGHDGVEYSNEVLLLNLVTMVWDKRKIYGQLPKP RGYHGSVLHDSRLIVVGGFDGGEVFGDVQILELAVHAYYSQISHFSIDV LY89DRAFT_713139 MTEKITNPLDPDNPLKRPSNGEQTEVAHPPQPILPEDSAITKAE KIERNGIGAEDNATSLRETTSKRAKAEDSDSEMTDVPAAKRVKLQPQQNGQGAKVDTE IGAEDNFPSLRETTFKRSKAEDSDSEMMDVPAAKRVKLQPQQNGETAKVDTREKVKGI ALVKPEYLINAFSRPEPETSTQIDDDAAEGQGKTDDRDNVGRNGKNQKKKRKEKGQNK SRQFGSWFDAIRLCVSRSNSPEFSPKACSFGDTCKCCHDLRKYLREGKRGDLSTFEGK CPVWEAQGTCFAGWRCRFVSSHSKEIEREDGRKELVLIEREDALKQGFDDEDRPGIFN VVSTNDKINLARRRTPTEKSDTYTGWLDVQQKEMEKVYHEKKDASEETREENRANFTD PPFLPSEKRRIYFGAETPVLAPLTTQGNLPFRRMCVDFGAQLTYSEMAMSIPLIQGQK SEWALMKAHQSEISPPRYTPTSTVQNYDNTKDLKFGAQISASKPWQALKAAEVMGQLL PHLRLIDLNCGCPIELVYQSGAGSALLDAPSKLEKMIRGMNAVSGEVPITAKIRMGTK DGKPTAQKTLERLAFGGYESRERLGAPGCAAVTLHGRSRQQRYTKSADWEYIAECAAL VKSYNKQKDDLTDTIREADERTQANTQGNRMFFIGNGDCYSHVQYYDDIKLSAVDSVM VARGALIKPWIFEEIEKGQYLDKSASERLGYVEKFVRYGLEVWGSDEVGVGLTRRFLL EWLSFAHRYVPVGILAHLPPSLQDRPPAYRGRSDLETLLASDNYLDWIKISEMYLGPS HKDFKFQPKHKSNSYEIEAEG LY89DRAFT_727285 MSSTEGGPQGLESQDEERAVVKDKSIRGPKKGKAVSQQQFSTKG HKVPRTNKYAHLRKPSEDSPTDEGSPADEVSPAEEGPPAM LY89DRAFT_692995 MILNTPFTRLFAAFSLIAAARESVANGAALRILPLGDSITYGFL EPEGNSYRRDLQCLLSEGGSPVQYIGQLSNGNWPNNATDGFIGQTIDQISASGDPEIT GSPKANVVLLHAGTNDMIGDDTVDEAPARLGNLIDKILSNSPATFVLVAQILNDANST VNDRIQVFNSQLPAIIEARSNAGKPVRLVSMSSVPTTDMIDGTHPNEVGYMKMANIWY PALVQAGEDGLIVAAEGVVTDGGPGSLPAGGGACSTLPY LY89DRAFT_776399 MSPASELPILLYHLGSPLSKRIIWYLALRGIPYIQCMQPRILPR PDLARLGINYRRIPILSIGRDVYLDTRLILQKLEHLHPSKPRLAALATPEHRAIEHLL EVLAIDSGLFKSVVQLMPAHLPQLQDPAFLRDRADLLEGMMVFTPEALTAARPNAINE VKNVVEFLETTLLADGRDWVLGTHGPSIADIEAVWLLHWISAVPGALPPDQISGVLYP RVFSWIERFQRTVSSAEKLISAPQTVDGEQAMEIIVTSLFNETEGLVDENDPVVKQQG LEKGQFVQLWPTDSGSRHKDNGKLVSMDNKEVVIETAAGDAVVRVHAPRHGFQVCAFE DGEK LY89DRAFT_679436 MHSTTISVLGLALATLSLAQSSTISLFIPGADSQSLVASIVGSD ATATTYAFQCAPGTDADDCGFPEVVTLTEGPAIAAYTFAPEVDANGTTAFAGYVDCSL AASSAVCAISAGGTEANDPGLETSTLTGTDYSYMPVVITAGALASNTGSSVPASTTSA PSSSSTGETSGTKTSGSSTKTSGSQTSSGTAAQTSGSSSKTSTAGGSAITGGAAWMVG GAALALAAMV LY89DRAFT_679437 MFARMPEHRRSRAKTCSSECRRRGWSGQDLSTYSREETETVSSG SFKVVGL LY89DRAFT_663009 MSTSTIPPDLIPYLTHEKQDNSSVQNSIVTINSVFLVFICVTTG LRLWVRFRMLRSTGLDDILIIVALIFATFLSISSLVGESLGLGKHIWNLSPVLTDIPS ATGKITKALYGAYLSYSTAITFTKFSIMATYVRIFPHGILRNTVYGTAIVVLCFWISS IFAIIFTCVPVSAAWDYNLKGHCYPIVNFFYASSAFNIATDVLLCVLPIPTLWALKLP KPQRTVLIILFSMGTFACVASILRLAHLNHLGSGSDVTYQTVSSLNWSVVEVDTGIVC ASLSSLRPLGKRLIPNLFSQSSRASSPTEKNGLTNSLTRTNTYTASQTFTSRFLPPPP KIMRFNAIMSPIPTRPSRSGSIASVKSEIYVQKTFEVQTMKDLPAIPDPFRAPSRMAD VGDVVQVQVPQNISHGTHTRIDSVEDVVQVDIPQLMSSISKGKGKEKEETMEVATEAP TLKWPTRTLTKGKHERDSSRSRLSVVGMFTADLLDFTSSEDEGLTTGRSSSESEDTIK GELQTEAGSSES LY89DRAFT_16625 MRLRGRGKSSSHVSNSSMFSRCHLLSKAAGRGNPSARPLWTRVY TMIGGLAVTLFFIGLMIFLEKTLPDGSKSQFHPTWRRDVSLEPRNPQTDITETLVVET SSSTGLAFTSSSITSSLNAPVITLPPSSSIAAASSSSVDPCISSTVSCIDTDCFTLFS TQASCLPPSSSPPVIPTSSSDPCVTTKVYCVETDCYQVISTQASCLPTTSSLPSVPSI TPTSIVNPCVTSSVSCIETDCFTLFSTQASCLPAISGPPSKLFTFVQLFVSRTDHHIY TECRPLCY LY89DRAFT_727292 MSRPELVGTSELFVLGFPPPPPPVAEGESVIRLPGGRVPVVEAS SDCRRLANESVDEGWLVDSGVLEAESMDADASVEIWDDMLAERETRDGDTEDVTSVPG ENFGVGDAAGGVVGGVTEGGVLLLGERRSTGNGVVGTGKVDMDVVGIKGTGDVERDGA NVTTASEEVVGTIDNIGTVDNIGTVDNVGRIDILSDGVITEDPGTPATLGLEDVDTRT GIEDVGLIMITGGGDIGAPELTKLEELESVEPRINVLDEDSAGSDELVDGDTSKTGGG EDELRGESVLLGLDVLGKYIEVGELVGGVTTTIGDAGEELVLTTGRLELELGVLLGGA VIGIELFDEIVIPHPPMEANIVVQLMIGSGPPLGSTVPVKNPPIEAIAAPTFPAGGIV VHTTSGLRQELVLMGMLEVGVEFEELIVLIGKLEELDESVTPHPGMVKKEVAQSVASC LLPITWDVVQDTALEMQDGKLLVGVIIGVEMGDVELTPQPGMEKNDVAQSVGACLLKR VSGQETVLVMQGGRLVGIVG LY89DRAFT_776403 MLLHEPVRQLTSPGGAPFSTLAGSPGIAVPINLAMLIANLGSGF TAGATFLDTSYCTDVPCPPRLSANPWVINVIITTLIIQAIALLFMLSQWWRKPNSLSA DPTSIAGVAVVMGHPRIEEEFSNVPADLTVAELRRRLKGRKYKLGNFQTDSGIVKFGI MPMSTEEMAQRRQRKDGFFSKLGALKEKIPFINDWRNNRFYFDTVFAMLLLALLGLTC AALSRVDKTQVVFLATAAASGTGMRIFLAVLGTLVSSYWGRLFRDMQTFTPYIDLGRG DAEPDPTILLRKHTFPITAFFPLLWKGHFTAASVAFTGLAAEFLIIALAGLPYRPGQQ RGEFLFWSVLSLAILTLMVAQLLIVNVWRRKLPMLTRPPDTIAAVMTYVAGTGMSRDF ESLSMVKTKERDEAIKQLGKNYAYGWRRDPNGQMRWVVDEVRGKEGVPFAPRRVDSF LY89DRAFT_776404 MVAHIPLFYRIVFLYIDPLICLSGIYLMFFDHQTFVVNGTPSSL SASLSKVDPLAAHLIMNIGLYSICIFSLQVLLLHQFKDAPNGLNVKLWRILMFSILLI DVGLIYGGYSVNPKAFLDFGAWTTGDWGNNGILAALVVIRSAFILGIGGVGKNT LY89DRAFT_16637 MNLSLVDPFVLAQDYPESTTGSLRSGHATCVRFNRKGDFLAAGR VDGTVVIFDVETNGVARKLKGHTRQVQSLSWSRDGRYLLTASQDWKCNIWDLSDGSIV RSVRFEAPIYIGELHPWNHFKFVVALFDDQPLFVDATNREAIKMTLPSAPKRTRYDED EATTDKQAAQDAKQNTTVVVFTASGEHVISGTSKGWLNIIELSTRTTIYSTKICSGVV IYLRVTSSGRDVVLNASDRIIRTIQLPNLTAADLDPDTIDMEVEHKFQDVVNRLSWNH VCFSSTGEYVTASTYNNHDIYIWERNHGSLVKILEGPKEEHGVVEWHPHRPLIAACGL ETGRIHIWSIIPQQRWSALAPDFVEVEENVEYIEHEDEFDIHPQEEIHKRRLDLEDED IDVLTIEPVKGSIIEEENPFRMPVQLDLNDSDGEEEFIAIGRGEMRRKSPTDGRDYTL EGDAVASGDDRKSKTKKRR LY89DRAFT_572908 MAANVAEGKVKEYDYIVIGGGSGGSATARRASGWYGKKTLLVEN GKSGGTCVNVGCIPKKMTWNFASVAESLRDSVHYGFETPANIPFSFPAFKKRRDEKIV SLNRAYDRNWSREGIELLRGTASFVTPKEMDIELVDGSGKLRVKSDHICLTTGGYPIV PKEIEGAWFGITSDGFFDIEELPSKIAVVGAGYIAVEIAGMLNAMGVEVHMFIRGETF LRSFDPMVQTIMTERYEAAGVKIHKGYKGFEKVERVSDGKGDEKVLKLFLKDSETMLV NELLWAVGRKPETESLKLENSGVKLGDKGYIAVDKFQNTNVDGIYALGDVTGQMELTP VAIAAGRHLSNRLFGPPHLKNSFLPYENVPTVVFAHPEIGTIGLTEPQAISKYGKENI KIYQSKVSATFYWFFPPEEDKKNPTEFKIICEGPEEKIVGLHLLGLGVGEMLQGFAVA VKMGARKRDFDACVAIHPTSAEEIVTMK LY89DRAFT_16699 MANLNFTHSDAPLRTIQEVQFGLLSPEEIKNMSVAHILYPETMD ESRLKPRESGLNDPRLGSIDRQFKCATCEQNMSECPGHFGHIELAKPVFHPGFIKKTK KLLEMVCHNCGKVLLDKGNPAFHAAVQIRDPKRKFDTIWRLCKPKMICDSDVPNEDEF GGDPKEAVKRSHGGCGNTQPEVRQQALQLWGTWKMPKDEENESSTSEKRQITPEMALN VFRSISKEEIEAIGLNYDYARPDWMIITVLPVPPPPVRPSISMDGTSTGMRGEDDLTY KLGDIIRANGNVKQAQQEGSPAHILQDFEQLLQYHVATYMDNDIAGVPQALQKSGRPV KSIRARLKGKEGRLRGNLMGKRVDFSARTVITGDPNLSLDEVGVPRSIARTLTYPETV TPYNIGKLHQLVQNGPNEHPGAKYVIRSDGTRIDLRHHKRAGAISLEYGWKVERHIVD GDFIIFNRQPSLHKESMMGHRVKVMPYSTFRLNLSVTSPYNADFDGDEMNLHVPQTEE TRAEVMNLCMVPLNIVSPQRNGPLMGIVQDTLAGVYKLCRRDVFITKEHLMNILLWVP DWDGVIPQPAILKPRPRWTGKQVISMIIPKIVNLHMPNEEREDAPLKDDGLLIHEGEL MFGLLAKKNVGATGGGIIHIIFNEQGWEQAMAFFNGCQRVVNYWLLHNGFSIGIGDTI PDKSTIDKIEDAIQTQKDEVAKLTAMATANELESLPGMNVRETFESQVSKALNTARDQ AGTRTQKSLKDLNNAVTMASSGSKGSTINISQMTALVGQQSVEGKRIPFGFKYRTLPH FTKDDYSPESRGFVENSYLRGLTPTEFFFHAMAGREGLIDTAVKTAETGYIQRRLVKA LEDVMAKYDGTVRNSLGDIVQFVYGEDGLDGVHIEKQNVDSINLNDKKFAERYRLDVM DDSNPINSELLEHAQDLSGDLAIQELLDQEWEQLNKDREMLRWVNLRKKDDEAMQLPM NVVRIIDSAKRLFKVDDSQRSDLHPAEVIPQVQALLDRMVIVRGEDDLSREAQENATL LIKAQLRSRLAFKRVALHMRLNKLAFAHVLGELESRFTRALVNPGEMVGVLAAQSIGE PATQMTLNTFHFAGVSSKNVTLGVPRLKEILNIATNIKTPSMVVYQEGGEAGQETAKL LRSAVEHTNLRSVTAATEIYHDPEITNTTIDADVDMVESYFIIPEDHHDAPELQSRWL LRLILDRQKMLDKGLKVEDVAMKIKESYQKDLAVIFSDNNAEEQVIRIRMIKQPDSKY DDDDVEEDIMLKRLEAHLLASLTLRGVNGIDRAFLNKETKLITTPEGGLLAKKGDPRC EEWYLDTSGTALAAVLAVPGVDTTRTYSNHFVQVLEVFGIEATRSALMRELTQVLAFD GSYVNHRHLALLVDVMTARGHLMAITRHGINRADTGALMRCSFEETVEILLEAAAVGE LDDCRGISENVMLGQLAPMGTGELEVLLDPKMLETVMSDNGRMGLMPGLTVKGADGGA ATPYDTGSPLSESGYSGTPNYESQFSPIQAGGSQTPSGFETSYTPNAYSQGGQSPYSA RSPAHYSPTSPFSTSPVSPGFSPTSPGYSPTSPLMGGASPGYAASPRWSPVSPAFTPT SPAYSPTSPSFVSPTSPSYSPTSPNYSPTSPNLHVSPSSPNYSPTSPSYSPTSPNYSP SSPNFSPNKTSPGSGLSPTSPVYSPSSPNWSPTSPAQGAVTSPKYSPTSPSYSPTSPQ FSPTSPRS LY89DRAFT_776408 MAARIPAPSFFPLIPPDAGSPMRKRVDSFFEPLPGLMEKLTTRG LPQSPSASKDVKTTSGSRDRFHFEHDEQAEYSQITSNINRKMREDGEDCIMGEEPLDT WKGDQDTAYSVGKSLVEIIDSAEPLNELAVRRFIFRHQDLLPRKLETNVDLMGRVLTD LLQVDLPCPQEQLGATFDYPINLENDAKIKKGLRELMNGQGSKRIEKDLLSLVMVESA VLKFYDKYASQYSKEPAVILRRVLIDLRAELLVEKRQCDRATKQQQDQQKREAFKQAY TTYHKMLNSGRSGTEAMEVVRMEQNADSEEEQLSPQGEMNSSALSDCDNIYDDSDDEM RLEEFSPVRQAVRHVEDHSQVSVARSAILHQAKTENDYLGDATMNDDSDETSIDSYGT NDWIDTDSGEDEVKTRGRAPGSPPKRRIVSRDRNPSPPKREKVSDTKSRGIYTEVEAD ITPKKKKYVIPAKKIHSY LY89DRAFT_16726 MSKISFTIALPHDGPNGNRTPQFFISTSNNTYLSFKGMDYKGAR FGNSNATSGWKNRFEYSVVAAKGITKFSIQMNTSVILPPLSWGLPGPAPKAKKEEKEK RKSKSNGSSRGTSSSKSSSSRSSRSSYDSREGPRRSGKRHEQPRLRDHSPPRRLRDIE DQAYRKHGQRRRRESTREHSPGVVDHLDPFDPGE LY89DRAFT_679441 MDNADGAIRTRLGTCKPWKDELQELYQNDKRLEPTYYIITGVYT CKNMRVGWAKQKMNGGGVAVGVPGQAIAAAAGAPIPNSAAKLLDMTAKYDNVKQTDTE ISARIPQEIIFAFRYHILELAFEEQPAQKQPNILTKAYHKFIGRSGSTRVSAHKIIKS VSLGPPVRGPGAITAYGSDED LY89DRAFT_679442 MSSILKPIAHINDALSQQKRQLYFTTCCCLVLPYCLHKTLRPLQ IWRKWKFRKRLETIDQDRKNILRIEGDLRRSKKIFVHAINDRGETAPRLLDTLTDIWR RTHLVEHRVIIQAEWFIQHFQPDSPDQSGFIDWAASMKSLGHILMLWIGSFSPGLKNL SSSVAIQGTRTVVVINNVNSLYPERLLLKDYHLQAQQRFADWVDKHFKSNETVSDDTI SQNPP LY89DRAFT_537851 KEAEESLKEELSRSEKDNGLSSGSTLELINQLAQHYVEFEEYSK AEQLYKRAFVATQDHQGPLHLKTAAILQRIADVEKEQGHYAEASECLSRVEAIQNAVL PPGDPQTLSTRASIAILYDKQKQWQQAEGLYKRVISEREKYLDKYHEDML LY89DRAFT_16754 MDPLSALAIVGFVVASIPTAISLNLLVAKILTRGSKGLCVVSED EHAQVDIVFVHGLGGDPHETWCTRDTTKKVNWMTDLLPEDIRSTTPSRILTFGYSQRL FSIPSYGFLGKRTRPSILDNKVIKYLPQALYLHSKGLMEELTILRGEYARDRPIIFVA HNLGGLIVKSTLVQASADVGEYSRHKAIQLSTTGVLFFGTPQRETSRKSWSKLLTRIF TVSLELPAISLGESITAQAELFDLQVQRYKSIEMNFQNYAFYEKYMTQKEPSVKLYVV PEESVLPTNARPWWHKIRLDRNHQNMVKFERDDEDYQIVLGCIKTN LY89DRAFT_634247 MDTILPLPFLPSVDLASPPTADSPGFTRKQLSYLGCVHFVATDS NIDQLMIFLQRHVSIEAYVDVSGISSEEDIITILDSGARKVFVTAGQLNGLTKFEDRV IPIVSAEDTKTETSYPNGVLVSSTDVRSTRSKLQALTQQKTSPIFFTSSQDHVELAKV SAAIPIIPFNSLTISGEGKISVPELIASSWTSDRPDGLIPTVVTDERGIALGLVYSGQ ESLGESLKTGTGVYQSRKRGLWYKGATSGDTQELVRVSLDCDQDCLKFVVRQKGRGFC HLPQSTCFGELRGISKLEKTLKSRKESAPEGSYTARLVSDEKLLRAKIMEEAEELCDA TTKEDVAFEAADLIYFALTKAVGAGVSLSDIEKSLDRKSRKVKRRQGDAKGQWAEKEG ITNGTNGTTKPAEVKEAVKEAASVPKSAEDNAGLKNGRITMRRYNAASTSPEDLNAAL QRPSQRSTEMIMGIVNPIIKAVQTGGDKALLSYTHKFEKATSLTSPVLKAPYPQSLMN LPLETIEAIDVSFENIRKFHAAQKEEKPLQVETMPGIVCSRFARPIERVGLYVPGGTA VLPSTALMLGVPAMVAGCKTIVLASPPRADGSITPEIVYVAHKVGAESIVLAGGAQAV AAMAYGTESVSKVDKILGPGNQFVTAAKMYVSNDTNAGVSIDMPAGPSEVLVIADKDA NPAFVASDLLSQAEHGVDSQVILIAVDLSEKELSAIEDELHKQAMALPRVDIVRGAIE HSVTLVVKDIKEAMALSNEYAPEHLILQVKDAAGLVSLVQNAGSVFIGEWTPESVGDY SAGVNHSLPTYGYAKQYSGVNLGSFTKHITSSNLTAQGLRNVGGAVMQLAKVEELEAH RRAVSIRIQYMDDNKI LY89DRAFT_679444 MAEVNGDAPQTNGHTSPPSQPTAAPSQPASQPAPVTISNPSIPL TSLQDNGLNKRPRDARLIHMLLSSLGCTAYQERVPLQLLDFAYRHSSSILSDALHLSA DAYQSQQSRARDAPPGGGVREADNAVSSNAVQLAIQSRLQYQFGGGNGGGGLSKEFLL ETAQVRNKIALPRVLQNEWGVRLPSERFVLSGVPWGLKGEWVAEDDDTQMGGDSMEGL EIEEKGVEDGEEGGTMEDLFGGDEDHDMDKD LY89DRAFT_713155 MRQCLTFVEHIEDRSFRRRKEQQAKVNAIKRRQRSTPQLRIPKP PIPGYRSTQPRASIPSLQVQSHTMLRATVSTKGPYMTSHQQIAADSIEGRIQSFLQEV KGLSYAPFASALVRFVKDRNTVDDEYLRVQKNKLLLALDGVVDLCKTQSNALLDGVTE ATTKEVGRIRNGLLGVPDPDLGTSVPGNDSLSVDLATVDGLFMLLEVAPDQTPPNLVE PLFVGQPPKVARLPRNAAEYNQILKYNRKLSNEALVLHSRSLESRVDEALKLVLNKFQ DDLKREKTKVKGRLNNAERAAHKTFEDNLETSQEGLLALLKQLESAEDTVNKLADIKR ANDDDTRLDLEEAQAEAQAKAHAVVGSSSVQGKASTPIIIDDDTDHEADEPAKRHDTA STYGRVEYWDGKGQLPVSGEGSKYAHGFIEPVIEDASAANVKTRRIVLTGKENLPLIG AKKRKLSPDGFTHE LY89DRAFT_745060 MILSILVTLIFLGIYNRYFHPLSHIPGPFWSSVTDLFKLYVLLS QDLSTMGIELHKKYGPVVRVAPNMLSFSDPYMIPRIYHKKADKSDFWTPGALGRPPAM IQVKDHQEHAAKRRILAPAISGRNLIQLEHRLDERLSRTMNALDTGFAFTHKSCNLPD WVRWFLYDAFTDMTFGEVLDFTGNEKDVYGMLQAFRGSAWIIGLTTMFPWIMGPLYGS PMLSQYFLPSSRDKDGVGKMMRLRNKFIKNNLLNPTETQDCIFNKFLQSQSMHPVTLS TDDIKAEVLMMMAAAPDTTLALICSVVDNIIRNPQVYQELEKEIEILEVQGLFSCRVV SYADVQCMPYLSACILEASRICPPVPVLLPRRISKGGVRLNGIYVPEGAEVGASPPVI NNNELVFGPQTDISRPERWMGDAENISVMKRYLFSWGWGSRKCVARSLSLMETSKFCA QVCKLLPTM LY89DRAFT_745065 MELTSWQQIFLIIASFVIARRILPSFRYWRSVVRYGCSPVPWYP HWEPVVGYDLYRKMKAAKEGNRLDSFIAEILSKYGNKKTLMSLIWGLPTLISSDHRLV QVILTTKMDDFVVAPIRKVANEPFFGQDVFVSDGLAWKMNRKFVQPLLRKSRFADLSC LEKHGNRLFMQLPADENTQVDLQRLFTFMYVDVATELVSGCSMNSLVPEGREKAKEFL ATLERVNKGVQRRIMLAPVLKFASRDCEFEDACKQVHQHFEDYIDKEISGDTSGQQEG RPTLVRDALCVSQDRSFVRDQLIGFFLPMHSSSVFTLSDLFFQLARAPEVWKALRNEI VAQRGVPLTFELLKSMTFLQAVIRESIRLLAPADRIIRMCLKDCMLPCGGGSSGDDPI YVMKGTLVDFRSSVLHRNKQFWGQDAELFRPERWLEGNIRPKWEYVPFGGGARICPAQ QMILTHYAYILSRFVLEFETLENRDPCFEFVAEFNLSKRSRNGVQVALRRDFATCARR GRKTRP LY89DRAFT_713156 MGSIHYEEPKLAPVPVEWTSSEASASEGNKLVVFHVHGGAFFQG SPQSYRSTTTQFASMTGGRVVSVDYRLAPQNPFPAGLLDVLLVYLSLLYPTESETPAV DARNIVFAGDSSGGTLLCSILQIILHTADYSTCQFRARRIHFPLPLPAGLSVISLPGD LLQSLPSHKENLVNDLYLNIPWSFPDYPACPIWPSNPPRSQHYGDLEIHSHPLVCSVM IKSWLGAPPFWISSGEEQFLDGGKAVARRAASQGVGVSWTVYEAMPHCFSMLPGLNQS AQSKLIMKKWAQFCKECVLSPNSFKGRVRASKVDFRTVTETQVAFEDPRDLPINEIEG LILRKILDLEREFTGAWKAKTCSNL LY89DRAFT_713157 MSIKKLLDSVAVIGEAFTLRPTDSTRKQLVDVLGKLSAQIIPPT EQLLYLAWAEPARPAALTTALDLGLFEHMTQPISVENLSKKLPCSTDVLLLNRLLQHL AATFVISSPSPQIYEPTPLSLLLQDPRQSVALRLASLLHGPNLDALPRYLSTNGYKSP ADSKDLPFHLAHETKQSPWGWGSQRPEVARAFALHMSGYHIGRPNWLNTDMYPFEERV LKDADDDHVLFLDVGGGMGDDIANLRARLGNIIGNKKLVLQERETIVARVKQSRPELE VVVGDFFEEPKIKGARAYFLHSVLHDWPDEECQKILRHLKGAMTSGYSRLLIYENVLP EQNASWKMTSLDLIMMANAGGMEKTEGLWKDLVESSGLKVNRI LY89DRAFT_776417 MQSRCLNPETGLYQQYQGPSVLRLYGTSVFLMERYLLKANGFWV LAAVVGAVVISQLFAAYYHNGLNRYPGPLLAKFTRLAPSKVGDVVRIGPNSLSVSNPA YVPRLYGVSQGFLKSEMYDPFAPRVNGKRFDSSLSVRDPKVHQAMHKPIANAYSLTTL IDYEPLVDKMIIKLLAELRKRIDGGNEACDIGLWMRLYAFDVMLQVTLSDTLGFMDKG GDINGFFERLDDNIDRSGLLVTMPWTAYLLKHNPIVSYFSKDSSVFPGWTASRVQDRL KRRAANTVPNSIHQQRDFLGRFIEAAHMGDPPKFDFSQALNWTLTNVMAGVSWARSQH LPYLDAVIKESLRMHPAIGLGLERTASGLEMPDGYVLPPGTNVGMNSWVVNRQAIFGD DVDEFVPERWLQSPSETEAEHKNRLNRMKRADLGFGAGSRSCTGKYVSYLEIYKVIPS LLLTFDMEMVDEKDEWTVVSRWTVRQDNIRCFLRQRGS LY89DRAFT_663029 MNYICMGVATSYGVGRHAYCLTTNNLVSAAKWNFTADPFGIMAV VVPKLAVVALLNSLVSAFKKKGIYFLWFCVISNIIFSIVAAIVLFAQCDPPSSLWNVA IPHKCWKPRIVADYSMFCGAWSAFNDLILALYPISLFYNLQMALWKRIAVCGLLGLGV FDPDREACSSPKLFRCDLGFIRNSDLGGAGAEANVIIICACVPTLVPLVRQITGRRDY ILERTRGTSQRTLKNEVSLRDMNTNTIESGNDSQSKFNGFDPINENRSTNGSSSREDA AGKWHPL LY89DRAFT_16852 MGITLFPHPAVSPDMNPIEKCWRRIKQALHRRLRQPTTEVQMVV AVLEEWDKIPQEWINGLIEQQDFWVHDLIKRCGWSTAN LY89DRAFT_713160 MRSIAFVLVAATLANAAGTPCASINAASASWAASGATSTLYVKP SIALACLESVPLSSNLSSAFIDYITPHMQFQSTISYLKDPPKGWTLSGVDIYGGLAQI KSNLQSGAYANQWSFEKDLWTLVNILPHDFHFNLPLPLLEVFEFKSRTPLVSISSDGV SVPAVYFESDIGQYLSETIDWTPSPITQIEGQDVVAFLEQMSVTTDQFQDPDALWNRL FYNSPAALRDTFGQYYEGGWMFGFDNDTISYTFDNGSTASFYTYAAISPSVDFTYVTD GPSLFEVVEVAPNAPQKRKRDLESMKKRRRTKFARQSSTSSEMPGYPTPFIVHPEDPY TAGFFLDSGVAVLSMTAFEALNSSSTTDQANMQKTIAAFLAECKKVGSTQLIVDLSAN GGGEVYSGYDVFKQLFPSIVPYGGSRMRTNPWVDYIGDVYSLAGIYNETFQPPWEIKA GLTDNLTAFTSFKDLAGPNRIYGDNFLTTTRANLSDLLTTTGFSVYGYGALPDIPPAV FEPSNIVMLLDGGCGSTCAIFAEFMKSQGGVRSVSVGGRLQTGPMQGVGGSKGAQEEP YLALEQYRDGIAAATPVIKSLPTIPAAAQIPPSVDSDTPLGSKDDLLLRARVNFRNNI RSGDTSQTPLQFVYEAANCRIYYEAEDLEDMSNLWNRVANVTWGGATCVNGSSSGSGG NLMGSDAMTTVAYDSSVNSKAVVPASPGLVAMGSGPGTGSDSSVATFNTVAKSSGAVM NVVGGSMGLLAVGAAFLLL LY89DRAFT_776420 MASRLAILLGASTVLALASQSPIIESQNGPNEESARQRAPQIFN ALHSSVRQWGSSLYHNGMSFLPATIPANTDFYHGNPDNKPVTGMEWLAFEIEHAEGFA RGRFGRGPGGGPHGPGGPPPKDEEPLSELRRRDEVTEATTPALHHYRTAHDMRVLYLD GMSAGKTTMGTLDQQEFVLCDGDRTGPGGGPPDAKFAADMCRDFGHVIEGVVRMEAGF EVIACNFSTSLHFISATARPPSDVPEYNHNQLREFEFVRGIGQRYHGIAAGRVQVDYS NIVSAFFYDMNLTNPDPAHASLPRFSSSDPKILKDIKSAVLSVLSNPSSTPSIDWQGV VDLIVTRYSDRLQFMALPSTTHSILLSELNFLLNLFIDYPITDSTIPTAISRCTTSYI SGLSLSTHTDHLLYTAVSTVKSTICHTLFSIRSSLLDSNTFNTLKSAKDQINSLTKYL GWTTWLECGKCAYDEVCMVAMWPLGGVKEHEHPSCVSREDVSSKTGYWEGGPGGGRPG SRRPGEGRDER LY89DRAFT_16914 MPITSSFHPFSRLPLELRLRIWSFALPAPQLASLVAYTEEESLR KTPFDNPGGPQKWIYMYFERCSTLSVKKPHPLLQANHESRSLVLSHHHLLPYTPDSEV LLLTKTNETVPSPRNNGPHLLEPRKLLALNKDLIDSQTKPFTLFNPHQDVLFLADPRR QIHCSSLSVVVRWLHPPLLSSIRILGLSYYTWRKDRKFKILNLLYEFSSLEKLYVCFV GSGEEWDGGENGGWGGGWLDAVRREGDDMDGSK LY89DRAFT_693006 MLIPRPSDDPRDPLNWPMRRKILIVATLCLATFAGFSGGLSLQL VPAPQSKLYGVTTTQMAYQNSCAQGGMAGGGFLFFPLARKFGRSSAIFWSLFGLMASQ IWAVCMTSSGDYSSYLGSRFLAGFFGTVTGILGPRILIDMFFLHQRGRAFTAFHFWFD FGTVAGPTVGAFVASGRSWTATVWYAFALATFAFIMCFFFLHETAWDRELGAINQTPP KGFVANRIATFFPGTKVAPAISWLGFLEVAGRPFIVAFTPVTIILGCFTLVSFGMYVA MNSITPVWLQKPEKVGGYGFTSHQNALFSFTHWIGVSLAWLYGQLVSDRLPLWICSRR GGTWKPEYRLHALWFPALLCNPIGLGVFGLALHSHLHWIVLAVAQVLVTFGSLSCTPI TVNYACEIFTPAPAEVAIILNLYRLAYGLSIAFYINPWVDLMGFNWAYGMMAFLQATS FGFVGLLMWKGHEIREWKLGGLLRSEEGECVIDEKHSVGVEA LY89DRAFT_727314 MKTQTFFAVFALATAIVAVPIPNSPSSTSSALESIESLVGSPFG NGNGDGNGNAAGNNDGDNASGNGSQDGNGRHAIGSGDDFGNSNGILSGNSGLKIGRTS SSSEDLGDLISGLVGSPFGNGNGDGDGNSAGNGDDGNASGNGSGDGNGSGSNDQFGNG NDILSGNNGISLGKKVRRNPSPETPGTYVGNIIDAVVVPLEPGSSDSVIGSPSDNGNS DGDNNSAGNGDDGNASGNGSNDGNNSGSNDNIGNGNDILSGDCGVCTGAITVRDLPDD ALDLIPDQSVTSLNQDFSQVFSDGPTDVAGAISGALSGDDTSETFNGKRQVLTGLEDL IADDVVAYIP LY89DRAFT_703412 MKTSLVSLAVLAIAVSEVAAFPALAAEHAERLANSQRGKRSTLA RSIHKKRVTFDPASQYTSTTGAHAFVPPNFAAGDVRGPCPGLNAAANHGYIPHNGVGT MGDFVNGTNEAYGMALDLGGFLAVYGSVFDGNLLGYSIGGPTSLNQLPLNNLLGLTGA PQGLSGSHNKYEADTSPTRGDLYLYGNDYEVQVPQFQQYYDALIEGVDADTQYANLID FRIARFNDSITRNSHFFYSPFAGVLVSPAGFSFPVRMMANHSAEFPEGSLSKAQLMSF FSITGKSGKFVYTVGHERIPDNWYKRAIGDEYTIPGFLADVLDFGEKYPPLLDVGGNT GKTDSFTPVDIGSLTKGVFSAADLLEGNNLECYALQISQAALPDILGGAETNIGDLTQ ILKPLSDNIAENLLGLGCPTLNGIDDSQYDVYPGYNNCPNGCSGYSS LY89DRAFT_776425 MDSAPSPSSPPSPPSPTPSLTFDKFPDLPTELRRVIWKLALPGP RIVHLKQKLLKQPEGRWWERVRSDVAMWECDKPFEENEPDSDKEVAAEDEGTDSDSQQ SSDFGVRMYRPDSLWGYSTETEIPAMLLACRESRDIALGFYQPLFASLGAKAQVYFDP HRDTLFIDHETFLCEDDEMAGCLTEDILPSDLAEVRELAIEGLGPYLDEGVTFEVWLI NVVDISDLFPNVSKVTEICAHFTYGLQTHIGQKYVDLKKAEFGQDEEDIYVKDRSGDH PPYGTFYMRNLRIDRSFIDWDNFIDRQVPSVRLEDDLFEELSEWREQNKGFKAFNIKL DVRGLIPAADERLLLHDAALFEKEHNKGEWLSYRDWELDIQSKEVPAHFFT LY89DRAFT_713167 MMSFPLLAILLTLTSLQLTIASPLKPRNPTCRQTKVAVLGAGTA GITAAQALHNASISDFLIIDVNDYIGGLLHHTNFGKNLATGQPYTIELGTNWSSIQTF DENGAVDYAYLLDDYETAYGTVEQDAGRILKEDLQDRTFRSGLLVADWLPKDDMHKQA AEWWQFDWEYAWPPEQSDENNLCTDPRGFSYWLQSEASTFLTPNDPRVLLSTNITSID YSAKDYVTITTSTGSCIQASYAICTFSVGVLQNDLVSFTLPLPPWKESGIAQMQMGTY TKIFFQFPPSPSGSYFWETPSSSADTQFFLYADPFERGYYPVWQSLTAPGFLPGSGII FVTVTAAQAYRAENQDDETVKNEALAVLRSMFGNDSVPEPIHFLYPRWTTTPWAMGSY SNWPPGLSLQMHQNLRANVGRVWFAGEANSVSYFGFLQGAYTEGQYVGEAVAGCVNSR FECRDEPHYEDIKGYTTGSSMLQQKNGWFVDSTSF LY89DRAFT_17003 MNGRNGPLSPVSVGDSEWSGISKYQNRDTDSPFPNSRGQLASPP ISSGSNSTMNGGFPPRGSSAGSPGGPSPPSSIARSSVGTGLYAQSESGRSRKDEQFEA TLSEHYVALKRYLAASLRDEKGNPRPNRARDKLLRLSPVQFQELSTDVFDELLRRQQS GRRTPNGSAPEGGPPPFLLPKDSFHPKRNQARQKLSTLPPPRFRDLATDVFYELERRF PRFAAGDISRIGSPASVRGPPSRNGNGTPVNGMPPRMRRPSDASSIAGYSMRSESRNG PRPPMNGSALGIPPSPGIPPGDFGRPTPKTFQSNTIVPNKSTMVEDDETGGEDNDDDD GDAFGLEGAARNRESKKSSGGSETDKKLIDDYQSQVAELREKLDAMEDNLKQKDDELN NVLDGERNRASAANVEKKEWTDLRNRLENQLADAQNLNDTLQSEIDRMRASQANTERE LRSQIEELRESAGKSTGLGADAELEQENEELRSELREQQRVTDEVRREAQEFLREMRM LSERSSQSYEREEELSNTVNKLEEEVKDWRNRYARTKTQLRSLRASSIGLQIQQDASK FTKDSGFTSPDGSVKDVHVTKFQISIDELLKIARTDDPARVIEFMKSVVVNVRRITQD IDDSPASSEELAQQQAKLKSRVSATANNLITASKNFASANGLSPVSLLDAAASHLTSA VVELVRTVKIRPTPAGELEDDDDGNLEPVDTTGFFPVRSPLPVQVQQQESNAPPFQGL RNIRISADSSMYSPVNSPRQSTLRPVSSGKESWAGRQRSMSRGAQNGNSFLNGNKNLP PTPMGVGFGIRTQDSDVEELKIYLEDQTALLVQNIQSLVSSIRSEAGITAIETQITAI ADVIGTVVQETETSMTSNTALKSQSEPIVKKLSTLRQRILEAGQMGRAIANEGRDDDE GDRQWRSWNQSLPPIAFEIARETKELVLRVDIMDGDQNQSPRDDDFS LY89DRAFT_727319 MAQPQTQAGSANGTSNGERQDPGGFKLKFCTVCASNQNRSMESH LRLAAANYPVISFGTGSLVRLPGPSIDKPNVYQFNKTSYDSMYKELESKDPRLYRANG LLNMLERNRGVKWGPERWQDWQIGAPRLQHNADRGSEGLEGGVPDVVITCEERCWDAV IDNLLERGSPLNRPVHVINVDIKDNHEEALIGGRGILDLADSLNKAATEEREANPSGF DSGIAGSRAGFDERVPEIIGEWQERWPNLPSVWTLGWF LY89DRAFT_693011 MHYGPPPEPSQPPSGLSTPASEQKRFSDPPTSWDSRAAWATGGW TSEGLMKGEQLAPIHFGDGPPWSEDEDLQSPVVVSSRHRKHKSSGSGFGGSQGRGRGR RREDGERTTSMLSQENFEHDRGYFMGTSGDGSERYYVNNAGDEADGPGGEILTYPPEQ ARHSTLAPYNVPGQRDSHFAGMLPSRSYTDETGQDYRSESDASSSASSPGLTRHDESR YSRDYQFTIASPDEEMHGKAVALFDFERENENELPLVEGQVIWVSYRHGQGWLVAEDP KTQESGLVPEEYVRLLRDIQGGLNSLTGQATDQLLSPLAPDAGTPTQAEHGQAFGHNS QPSNGNNGYQQPIVSTFSTSSKDLHPYPQHLLGTQAGQAPPQVIHYHGQRGGSQANTP TISSSLEGARDRTSSQDSKARKTEDSLNTTPIAMLPDANDSTDSSFEGEKSPTR LY89DRAFT_679453 MAPPPNFKPALIIVDLQEDFCPPNGSLAVPNGRSIIPTVNTLLT LPFPLKIATKDWHPLDHISFAANHPSAEPFTSYTTITNPSNASETYTSRLWPVHCVQN TPGASLVSELDVSKIDKVIEKGTIRDVEMYSAFYTPLKDPRVGDSGLAGVLREGGISD VFVVGLAFDYCVASTAVDAKAEGFTTWVVREGTRLVDASQWAETEKELEGKGVSCVDF EGSEVGWVREYKG LY89DRAFT_572605 MVRSLLTSFFLPTRGRATSVAEKPATPGWVSKKITPLLQGLSRR ACLHPIHTIVIVAVLASTTYIGLLESSLFDSVTSSAVGKADWASLLEGSRQLRVGSET GWKWQTSDTETTIPQDADHVALLTFVFPDSFAADSPQNAPLAHTIPLPQNLSVTELPS TSNPLFAISQDTALAYAVPYSEAPEFLACAQELPNAATFPTDEKAKHGFEAEPVKEQK KWIMKAAKVTTRRGVRAWLSNAWTEFVDLIKNAETLDIIIMVLGYISMHLTFVSLFVS MRRMGSNFWLFATTLFSSVFAFLFGLIVTTKMGVPLNMVLLSEGLPFLVVTIGFEKSI ILTKAVLSAALETRRPQPQDSPTLKEADTKNSVSPTSIQYAVQVAIGKKGYEIVRDYV IEICILAAGAASGVQGGLQQFCFLAAWILFFDCILLFTFYTAILSIKLEINRIKRHVE LRQALEDDGLNRRVAENVAQSDDWPRADKSGNNETNIFGRKVRDSSVPKFKVLMVSGF IIINILNLCTIPFRGGKSNAEPPTMSGLSGQGLSSVITVPPMDPFKVASSGLDSIWET AKLHDKSTVVTVLTPIKYELEYPSVHYGKANKSRKPQDLLESEASGASDYGMSGRVVD SLLKSLEDPILSKWIIFALVLSVILNGYLFNAARWSIKETIQMPPHHVVDAVELDRAE KFNNSMSTPALKLPAIDPDATERINPPTPAETDDEDDALVMLTRAQYRDAPPALRRTQ AQMEQMLMEKRAPELTDRELVELSLQGKVPGYSLERTLKDSTRAVKIRRAIISRTPAT SETTSLLEKSKLPYEHYDYDRVLGACCENVVGYLPLPLGVAGPIVIDGQSFFLPMATT EGVLVASTSRGSKAINAGGGCVTVLTGDGMTRGPCVAFETLERTGAAKNWLDSKIGQK TMTDAFNSTSRFARLQSMKTAIAGTNLYIRFKTTTGDAMGMNMISKGVEHALHVMATE AGFSDMQTITLSGNYCTDKKAAAINWIDGRGKSVVAEAIIPGDVVRKVLKSDVDALVE LNIAKNYVGSAMAGSIGGFNAHAANIVAAIFLATGQDPAQVVESSNCITMMKNLRGNL QISVSMPSIEVGTLGGGTILEPQAAMLDMLGVRGSHPTNPGDNSRKLARIIAAGVLAG ELSLCSALAAGHLVQSHMAHNRSAPATRANTPAPSGAQTPVTSALTAMQEKAGLAMSP AAAMRAAEAKK LY89DRAFT_17125 MMKIQQMQNGTGGAQDMLQDPRMLQVLGVLMGVDINMMGADGGM PDFGGEPGSASREAEEDVPMPDARPSSSKPEKAPEPEPAPEPMDEEAAEKAKAKAAAE EEKKLGTENYKKKNLDQAIIHYSKAWELYKDITYLNNLAAAYMEKGEYDEAINACEKA VIEGREIYADFKIIAKSYARIGTCYEKKGDLAKAVENYQRSLTEHRTPEVVTKLRAAE KNKIEGARQAYIDPEKAEEARELGNAKFKEMDWPGAVAAYSEMVKRAPDDPRGYSNRA AAFIKLLEFPSAIDDCAQAIKKDPKFIRAYLRKAQAYFGMREYSKCLDACTEATEVDV TKANAKEIEQQQQKALSAMYSARENETEEQTKERIMKDPEIMGIMQDPIMQSILQQAQ SDPAALQEHMRNPGVRDKIQRLIAAGVIRVGR LY89DRAFT_17135 MELMRLTGLQKSQIAEWFTTARKEKSERMHRTMMAAQSQIAKQA QTVAAHALHSTQAQTPYRSPYGHPRNEQQQLIPRHPLPTPPVIDQAQHQKEQEALFKA FAIKHQFKNLDYYKLSFEEIESGSDAKNYSREAPTSSLFETFDELLVVKPASGCVVKN RTKHNKGKPLTSQQRSQHLLEVDILFDLRAHPNVVSLFRVIESASTWNIVLEYFPDGD LWTAIRDRGLGTREEIRNTFSQILKGVEFCHGRGIYHRDLKPENIVMRGSQVALSNFS TATREQISLKGCGTMPFMSPECYASYNNDTETPMPYKLAPSDIWSLGVLLITLTTGRR PWTIPTVQDQEYEGFWESREFRDCVHASGVSREILTEKILNHDPQARSNATQLKKDIA ETYSRFEAI LY89DRAFT_634287 MTGEHSKWTATVVRKTFLEYFEGKAHTIVPSSSVVPHNDPTLLF TNAGMNQFKPIFLGTVGKTDDMAQLKRAVDTQKCIRAGGKHNDLDDVGKDSYHHTFFE MLGNWSFGDYFKQGAIEMSWELLTKVYGLDPDRLYVTYFEGDSAAGLEPDLEAKELWK SVGVKDDHILPGNMKDNFWEMGDQGPCGPCSEVHYDRIGGGRNAAHLVNQDDPNVLEI WNNVFIQFDRQKDRSLKTLPAKHVDTGMGFERLVSVLQDKSSNYDTDVFYPLFAKIQE VTEARPYTDKYGKDDVDGIDTAYRVIADHIRLLTFAISDGGVPNNEGRGYVVRRVLRR GSRYARKYFNAEIGSFFSKILPALVEQMGGQFPEIVKKQEDVKEILDEEEEAFARTLD RGEKMFEKYAAYAMKNNTKKLSGADVWRLYDTFGFPEDLTKIMAEERGLETDDAEIRI AQDKAREASKSVKEAAHTFAKLDVHQIAELDKIGVTRPNDDAKFFKGDSLGKIQVIYD GKDFLKSTEGLPSDKPFGILLDKTNFYAEQGGQVFDTGKMVIDDVAEFRVLDVQAYGG YIVHNGYLEYGHLKAGDEVICEYDELRRQPIRNNHTGTHILNHALREHLGDDVNQKGS LVDQEKLRFDFSHKAQVTLAELKKIEDSSNAYIKQNCEIYAKDVDLDTAKGIEGVRAV FGETYPNPVRVVSVGVPVDELLENPKNPKWREVSVEFCGGTHVDKTGLIKDLVIVEES GIAKGIRRIIAYTGDAAHEVQRLAIEFGKRITELDSMPHGPEKEAEVKITQNDLNQLT VSTLQKEDLKARFAKVQKDVLDEQKKKQKAESKTAIDTVTGHFAHPDNKESKVYIGQL PISANARAIADVMNHFKSKAKDKTIYLFGGSETEGAVVHGVYVGTEHASKGVTAEHWA AAVSEVVGGRSGGKEPTRTGQGTNPDKIAEAVAVAEKWFQEKLKL LY89DRAFT_604820 MDRVQATGKIRKRKAETQDNERLSKRLALLNLAERNGEKLYVPV EEPQAQLSPPISTKPKSPAQAPIQLEDDIMQLDNTKHKVYIYDLDAELSDSESSDDGK LVFLPDIEKHLRESRIPPSVLANSEGELAGMNNQLVLYNIPSSLTIPEEQDSVRKAII ETRARARAKHDQKRNEDTRQA LY89DRAFT_679459 MSILRARQNFEGNDPEFVYDDQRSFWWTRTGQIVRWSIFFGIFF LFLAYMIIGYWHAKRRINKGLAPLAYHRWLLNRQQRARFDPAYQNPQVFYRPHQGTQY GMHAMPPPMYDPNAPMPPTYQPPEGATKVDPSQWRSQPTRRPQESGEASPAYEAPAGP PPASALHADHTGASTTSNNPYRL LY89DRAFT_17232 MLEPATALFQEYRNSSEILSRSDLSAAGRWQTSLFQYGVARQWN GGEDFFNDENVFFIFLGMCDVYNSAVKIFLGFLLKPFPLSRKRIRRAACSRGKSRC LY89DRAFT_727328 MSDSSEENDSGAEEATINGDTYNGTEDVADAEDGPSPVAGRISD LLQEAREGASTPQQLGNGVNRYKAAQQLESASEDGSLDTLPRRTGSPIDSLLSVPDDS PSVQGSQVSSPGGSSIRPSLASRPGLESPTPSFRPFDRRFQSRISSSTLSVPRPSSPG LLKGHSRNASLTSHFTPDPGDTDTPSPPWEVVRWTKLKKMNGQAFSEVGRRNFGVPTC ISVSASIVLGTSKGIILIFDYHQNLKSIIGPGTKAVESGAITSIAISADHLIVAGGHA NGSIFTWETAKAARPFLHIPSLEPAQMINRTLDGHVPNVAIGHLGFLGTRHTALVSAD DRGMAFSHLATRGTGALGRTVKTTRILGRYPNDVPTSGKARKPSTVLAFAPLPLGNVE RGTDTLGLTAMLTPYLLVIVSTTPIAQTQHKAARPKEVAAHSALSGCLAWFPAVKLKV RDPKTGSDVSKVKLVYCWSNVLTVLDVDEFESSNKDKPSSLSFKPRSRWKAEEAIVAV QWLSRSVLSVLTITQRLIILEDGSMRMTDAFDLAHKHIYHQDLFSNQLHTLVEQLDEE DPSMHGVVADAFYMSFKAYKSRMFLLGFNDISIGTLSNWADRLIALMEDGDYIGAIQL ATSYYTGAADKLTIGLPEDTSLRHSMVQDKLLEIMTASLKFAFGQRQKQSTTTDDNHL KDLAEACFEACLSIGDIDFLFDEAYEWYEDGDSEGIFLETLEPHILEKRIVSIPPTVV KSMVSYYVSKGLESRLEEMICHMETMTLDIDQVTTLFKQHNLYDALIYVWNQALHDYI TPLIDLLSLLIPLVQNGDYFTNGNVMEDPIFGVNALKMFPYLSYTFTGRVYPTGESLE DQDAMNAKAELYWFIFSGRTITWPKNTGNPFLTQPTHEHEPSFPYLRMILKFDAPSFL SSLNEAFEDSFLNDTPDRAVNGGSSRNMSEEHVFGLSINRQYIITILLEVMNPNDFAT EDTIYLDMFIARNLPKFPQYLLLSGTALHRVLAGLCNYPGDDLADDAQLSAEYLLSVY HPPDLESLMSLFEKAGFYRVLKSIYKSDKQFSKLVQTYFDDPDDREAVFDCIADCLRP RSGLTKRQVRDVHEVIEAHASDLIHLDATRTAQTIESYATSLHEKFLKSLPDDSELQY TYLHTILEPTAKDAEDAAHPSHSAFTERYVQLMCKYDSSHVAAYVELVQASDLRLDKV LPYMEDSGVIDAAVILMAREGQLREAMDRLVQHLKTLESALLGLLNHSTEEGGSTEAE SAMEDLLAALKRYTDVGVWLCVGQVSMHTSRILSPTIRRKSTFKGDLLPEETLWLDLI DIVVQITRNLSAGISDLEGRTDIDHEKLLFQLRALVQRSFTALLTATSSPTPSGLNLS FLRILRAFLTRASVSSPNLGDLRAVLASVFSAYAYEESILSLANRLLDKDLFVNVAEA TNLRQRGWRPRGSTCEGCGRRVWGPGVSGDIFSKWEEREELDTKRRKERRAAQAGGQV ERGKGRAHVRNTSKVSITDVMKAKGKGALNAPAGEGEEDEQRSDQDDAKVDLGPLVVL ACRHIYHEACLEAMQVEDTATDAREFICPIDG LY89DRAFT_679461 MPSVSPELPPHLAKRKRSIDEDEDPKSPPRKVVAATAPRAVGPS LPTQAAGPTLPPSKNPDGLNVEDSSDDDYGPSLGPSKPSTKAPSPPPKRVLGPTPPPT TNPDELNLDDDDSSDDGYGPSVPTSEPKSAAPKRVLGPALPPAPLSERPSHPANPDDS SSDSDSDYGPSLPPAPGSAAETALLQQKQQEAAHASSLAQAGPPKPQRDDWMLVPPSD SDWSTRVDPTKLKNRKFASGKGAKAPAEKSGISAIWTETPEQKRQRLADEVLGRSSSS SSSKMASSKQPRSEEEVATERRIREYNERNRGKSLLDVRRDEQERGRVEKEEEDDPSK RGFDREKDMALGGRMGHVKKKEMLERAADFGTRFQRGKYL LY89DRAFT_727330 MAAINQVLVTLVSNLNERGLGGDQTTTILPSLSAATAAVQSASS TSSSASPSSSNMSSTGNGGGSSGPTSSPLLFFVALGFGVVFTNLWIIVGVKYCFRYNA RNRALRNGEEVDPINLENMPARPHRRRREKKLMTMDEVNERFPLTKYKNWVASRAREG LPTNGGVTAPPSRAASLRDVEGVMPSSPTDTKHTVNSRPGTAGSDGEAAEITISPATN HLGGEFGAERKSMEATAVEKGTASPTVEEDNRLQEVQTTASTVGKHPTATSEEHEDED DEDDHIHTAVPPELLTNPGDSCAICIDTLEEDDDIRGLTCGHAFHAGCLDPWLTSRRA CCPLCKADYYTPKPRPEGEAADADRTGRRRTDRTNMPQAPQSAWTGIRGNPRLILPGR FGVPIGDGVQYGNAGRRENRRARREAAATAAATDATPATGEVNAEPTPSRRWVPRISN PFSNMSMPAIRRNRQAATAESNQTVEPSPSQLEAGVVR LY89DRAFT_634305 MPPTQLPANGNPLVFFDITIGGEPLGRITFELFKDVVPKTAENF RQFCTGESKNHLGRPQGYRGCKFHRIIKDFMIQGGDFLSGDGTGSTCIYGTKTFADEN FTIKHTQPGLLSMANAGPNGNGSQFFITTVATPFLDGKHVVFGKVVDGMDTVRKMEAT KTGYRGKDVPNLDVVVGMCGEM LY89DRAFT_556538 LSSTVDAHTWLENIMLIGSNGSFVGNPGYPRGYGPRTANVDPDP QNVNLIPPNGRPSGNAILATDLMCKATQTIGTYTPGYGPLTAAPGDQIALRYLENGHV TKDVGQTRPAHNGTVFVYGTNSPANTDTYLGIHRVWNTEGTGGDKRGKLIATRTFDDG RCFQVNGQPLSLARVAATQWTSQSDLECQTDIQIPTEAGTSGNYTMYWVWEWP LY89DRAFT_693021 MSSSLSTSFVSRRVNDSTFLIIEDDSYGEEPHIYVKIYPDHLLI TDTGCDSPRKHGLALTSLREYIETVPLPLNGHQVLNPNGSKHYIIICSHCHYDHILGI PQFLTANPTIIASSFSKSFLAQENLPTNSLCKYINKPTPQYTITHWAHHLSYFSLPNI PPFRIQFLHIPGHTPDSLAWYDIDEHHLYVGDTIYERKRSTPIPELPDDAGQVPGLPA TQAAIIFPDEGGDWIAYMSSLSLLLSFTTHKNDELKKRGSEKRVKVACGHLTYEADAE DMILQVKGLFERIIAGKVSVKRSGVKRGVVHDFWLEDEGEGSKYSVMAPRRLFEEARR FFHPEIGGS LY89DRAFT_679465 MYFRPVHTDLHLPTLYKFIQTNPLGILTTAIPSSKYNTIQSSHI PWILDLPPPSDPDPDPDSPPPNARLRGHMALANPHVKALIEAATASGSNTLTEDVMIL FNGPAHHYITPKFYVETKPATGKVVPTWNYTAVQVYGTATVHFDTSMPETGTFISMAI ADLSRQSEEGIFGFDGKEGRPGPWSVGEAPEAYVALLSRAIVGVEVEVKSVAGKFKMN QELGVGDRVGVIEGFEKLGTDLGREMARTVRERGELKDRLALESKA LY89DRAFT_713184 MSTIIAEVAPNVVSDPNGETTYTENKQKSTVIVNDMSNSISSPN VQTVQAGDQEISSMVARSAPGSASIPDEQPLQSFNRFKDLPLELRLMIWSFAIPPDEP RIIPIEPYNIGRSLTRIWNSRSIFIESTEAWQAFLETPHIKALPEENKDGKLRSVIIR PNEQKLWNVHPTCATLQNLMDACDESRGVVSESSYVRTFGVFGDRPTILFVSPNDTFY ISQDVLFDPPRRHSNGQYLSRSGGFYCESGWCWELNRVDKLAIGKSTFSFEFASYNDL RSDWIKEVVSWFRNVDRVTLVLEYDLVVDTDVSDFVFMDLPELEMAQERTTWSEEKPG YSTDTMAHSIELDGLKNELSRHGSFDTPPNLPTSLILDRKIMMTSKRKLELSNVDDWK RSKDLTKAFCLLSPVSMEPLFIIVDREARVKDLLATFASKRLGLNYDASRITLSHARG QGKKIDPEVLVYRLAEDGRILVIDCLAADGRWA LY89DRAFT_17475 MPESTTPSPLPQNPTFRLARPEDAPAIAHLGSTVFRTTFGYSMP PSDLETYLQTSYSASSITCDLLNPTITVLVATSPISPYPIVGFSQLNRSSSEPCIADS PKPVELQRLYVDTSFHGGGVGKGLVGEVERIAKEEGYRTLWLGVWEENFKAQGFYGRL GFERCGIHSFKMGECVQWDLILKKAI LY89DRAFT_679466 MGYDKELDVESAPVEKQQYSGEFIPDDNGAVPAETFVVGDSWYA KTQRLAGKFGVEQRGIERVPSDERDNAHISQVGTMWLSANMVVSSFAIGALAYPVFYL GFIDTILIIFFVNLLGILPVCFFSTFGPRFGLRQMVLSRFFFGYYGVKIIAVFNILAC VGWSSVNVIVGAQLFNAVNGNMPGWAGIIVIAAATFLVTLFGYKIVHTYERYSWIPCF IIFLIVLGEFAHSGDFINIPMGVGISEAGAALSFAASVFGFATGWTSYAADYTVYQPV DRSRKSVFFWTFAGLIFPLLFTEMLGAAVASAMAANDGSNVYMDGYTSSGIGGLLAAV VVPPLGRFGQFCLVVLALSIIANNCPNIYSVSLSLQLLAKVSQRVPRFVWTFVGTLIY CAIAIPGYGHFASVLENFMLLIAYWLAIYEGISLSEHFLYKRGFAGYDPSIYMDAKAL PPGFAAVTAFAFGIMGAVLGMAQVWFTGPIGKLCGAAYGGDVGFELAFAFSAVSYIPM RYFEKRYFKR LY89DRAFT_634317 MAHLFSYLPVKVPNYTNGLKSSSQIKYPGTGFFQGFNKPSRLEG DIFDLEVSGTIPEDINGTFYRIQPDQRYPPLFEEDIHFSGDGNVSAFHFENGHVDFKQ RYVHTERFKAETAARKALFGKYRNIYTDSEMVKGVIRTVSNTNIVFWRGMLLATKEDG PPFAMDPVTLETIGRYDFEGQVESPTFTAHPKFDPKTGEMVCFGYEAGGDGHDASCDI VVYTIDKNGKKTEECWYKAPFCGMIHDCGLSENYLVLPMTPITANVERMKKGGNHWAW DPNEDQLYGIVPRRGGKPEDIVWLRADNGFHGHVAGCYENEDGHIIFDLTIADDNVFF FFPPDNAPPENLQKRNKLVSKTFRWTFDPKSKTDTRVTPTEIFDINGEFSRIDDRLVT KKYNHFWQVQIDPTKPYDFQKCGSPAGGLFNAMGHFTWDEKVKDVYWAGPTTTFQEPV FVPKNTDKEGDGYLLALLNHLDELRNDILIFDALDLKKGPLAAIHLPLKLRLGLHGNF VDQKDIDDWKELRKENGEVGPVKAAEKPLPWQKQKGYVNGTNGTNGVKEHEA LY89DRAFT_693026 MLPSSRHWLRIPKTYKAAVYDKPGQISIKLEELPTPEPGPGEVL VRITHSGVCHSDLSVMRSGWTGLPPCPVGQIGGHEGVGIVQKLGPDCEATSVKLGDRV GIKWAAAFCGKCAACKAGFDGHCETKKFSGFFTPGTFAEYTLAPANYVTPIPANLSST LAAPMLCAGLTSYSALLKSGTSSGDFVVVSGAGGGLGHLAIQIGARGMGYRMIGIDDA SKRSLVLECGAEHFLNMRDFNDTTLAEEIMKLTGGQGAKAVIVCAGSNRAYAQALPML GFGGTMVCVGIPEGEQVPISNATPGALFAMQKRIVGSSVGSQKEAIEVLNLASMGIVK TVCREEKMDALQGVFEEMSKGAAIGRVVLDLS LY89DRAFT_663060 MPGPAFGALGATFTVVRGMEAVSLVAIIGMTANFIAEMVSVNQS PPHVLIGTLSVTCIAVLYVAISYILYFDSMLPFLVSTGLDSLLLIAVIVVAVTVGKPL SYLDCAALPSVGTTSSFLDSVGQNISKVNYWVWAGASKTTCYEMKSIWGLSIALCVLF AVSSVVSACLWKRQRVFAPEAKDIEN LY89DRAFT_776448 MVSSSSAPDLAGLATRIYDELLPLQKEDSYIVFHQNDIFDLDII PSDPQGNHDVNLALRVLQKLTDEKLLKVVHDPGMGWKVRTREEALKYRGLTTEEDLVY SYVDEAEDEGAWTKNIKSRSNLHEAVIQAALKKLKSRNLISEMKSVEHPARKMYIKSN LSPNDRATGGPWYTDGELDTVFIDTIMNTLFDYILKKTFYFSKSYGGPMKKEPKKVIK KMSPEEARAKRDQVLGAEKSEEELDEKSKRMKYYEKNLPMPAGYQAYPTLDELTVWIE AHKFFTQTLTASDLQQLLDVLEFDDKIEKVFIASGGLAYRALRKSMLNEDDRGSVLNE APCGRCPVFDLCEEGGPVGPSNCEYFNEWLLL LY89DRAFT_679471 MPLFTKPRQSLHSSIAKSFTYKSPTRYFHASSANMTIKTFFDVT WEGPVLDAAGKPTSKVAEQAGRINFLLYDDIVPKTAENFRALCTGEKGYGYASSSFHR IIPQFMLQGGDFTRGNGTGGKSIYGEKFADENFQKKHTKPGLLSMANAGPNTNGSQFF ITTVVTSWLDGKHVVFGEVADQESLAVVKALEATGSGSGKVTYNKKPTIVKSGEL LY89DRAFT_727343 MDLASLREAASNLTLYDLKAGVRKVQNAVMNYTEMEAKVREATN NEPWGASSSLMQEIANGTYNYQLLNEIMPMIYKRFTEKAAEEWRQIYKALQLLEFLIK NGSERVIDDARSHLTLLKMLRQFHFIDANGKDQGVNVRNRAKELAELLSDVDKIRAER KKARATRNKYTGVEGGAGLGGGLSSSSRYGGFGSEETSSGGGGGYGGYSGGVYGDGGG FGGQTSDFQDSSSRDHSATGRRGDKFEYDEYDEGAVAAPSRRQADTATSSSSRRKTTE RAAAKKPEPPKKEPEVDLFSFDDPIPTSAPIAAPTASNTVADDDDFDDFQSATPSVQT SAPSFPAAPTATTTSTTQYAAPQPVSAPKAANLTDLVGFSSISPATSTNPTPINYSAF SPPVVAQQPPRPTGYQGAQPNYFTSVQAPANQGQASSATSSVKSPAASKPAAGGDAFG SLWSSASAGIKKTSTPTSGPALGQLAKEKASAGIWGAGSSTSSTTMAQQQRPAQAQQS LGNGLDDLLG LY89DRAFT_776451 MGSAGNEVAELKELVESLRKEVTRLSDEGEIKKLHFKYGYYLDK CLYQEVVDLYADDPGTFVEFIGGRYRKKAGVARLYLERFAPRFVNGRNGPVHGFLLDH AQMQDIIDIRPDGKTACGRFRTLMSAGTHKSVQETHPRGFVQWWEGGVYENEYIKENG IWKIWKLKYFPFWHASFEKGWSNKEIGEFVPFETKTYPENETGPDEVVEQLMLWPDTR VVPFHYTHPVTGEQVKDDDLRAPEWGQDVKDSLPPLTLAETKRP LY89DRAFT_745091 MVKGTIAIEEAIIDPSGVAGLAAWATLLRPGQAPNVTLTAHEKR LLDIHDDRLKNMDEHGVEYMLLSLTSPGVQAETDPAKAEEMARVANDYLAREVKKNPA RFGALAAVSMHDPVQAAQELKRAVEELGMFGGLVNDFQSTGDGSGKEWFDTEKFWPFW EMVQELDVPIYFHPRYRIEHDLTADQPYGTRKHMLGAGVQFHLDLSFHLYAMCSSGIF DKYPKVQIVAGHLGENIPLNLWRASHWINHPYKRDTRPCKQDYLYYFKHNVHITTSGN FYTAGLKFCIQELGVDRCLYAIDTPYENIKEGQDWWKTVDLPADQKEAVGRANAIRLY KLPLEI LY89DRAFT_693033 MGEAHDTNGTSPVKEIETRLFINGEFVESSHGKTFDLYSPKTLE VVAKVYEASEQDTDRAVAAAKAAQPAWAALSVETRSGYFKKLAALVREHHDELAHLEA LSMGKPVSTYFDAHAFAGTFDHYSEAGYTPQGISSLNTPGYINLTLRQPYGVVAAIIP WNVSLLFFAKKVAPALAVGNTVVLKSSEKAPLTSARVAHFVQEAGFPPGVINVITGFG QVSGSVLSHHMDVRALSFTGSTRTGRQIQEASAKSNLKAIFLELGGKSPAIVFEDADL EKAATATQFSVQSNSGQVCMANTRIYIQETVADKFIKMFKEKLEKVTMGDPTSHETDH GPQADKTQYENVLRYLEIGKQDGKLLMGGQSPSDHSGYFVSPTVFLDTPEDSRIMREE VFGPVVCINTFKTEEEVMAKANNTEYGLYASVFTKNIDRAMRFAKGLEAGTVGVNCTS PETGRDTPFGGFKGSGVGREGYHHCMNNFLETKTVPTVPGPCRYCAHIGAECGVAQRP KQRPYYHVSEEEYRCSMQILQHFLPEEELNLVTLRAIAKDIKSGNSPKPIYNFKPDKA ESEPLEGTASNDSEPDTEEIGDLHEQLGCLMRDSLGEYRYVGAYSEIAFNAAVCSIKD PPSPEQEDQKIILPPKDDFPPVKPERVRLLEEVSSPDQPYLPPRECCDYYVARFFEEV HCVYWLYPIENFHARLDDTYICGSSAASSSWLCSLYAIFSLGSASGRNVNNYSHDKTG RDFDMRSSEDYLTLAKALVPSVHDEADIDSIRALAILSLALLTFKFRITSYLYMGTAM QIAFSLGLQHDQSPTTQSPVSRQQNRRIWWTLYILDHEIASRCGSPCVSDERSLRIAT PLPSEQVLNPGTNTPLGILCESVSLSRLKRTIIQTIYPSSSSDSRKISFSSVTAHVSS LQSWQASMPSHLKWGVPVAPTHRRSIGILHLNYWNAMMLLTQPFLLYLVLRGNTIKHP KKSWFEKLGEICVDAARNAGIVLKEMRSEGSLSSLTTIDCTLVIAREEVDGGGSGKGR AREARELIQGVVEVLESMEQVGFVKSVVWELPQRLERLGIKREVQDDMAVQESVGQSW AGFDS LY89DRAFT_571982 MAAVSPPKPWERNGAAGASTGLNHKALATSPSVSALNGGQSTTQ PTSAASSSSAPAIPQRPSSLTSAVNINASAYSPYGANRLGGVGSPYGGIGSAYSSPYS RMGGMGYGGYGGGMYGGMGGMGGYGSMYGGGMYGGGMPGMQPDPNDPNSLTNGFTQST AATFQIIESIVGAFGGFAQMLESTYMATHSSFFAMVSVAEQFGNLRNTLGSILGIFTL MRWLRTLFAKLTGRPPPADATALTPSAFAKFEGRTLPDGTQAVAPRPSKKPFLFFLAA AFGLPYLMGKLIKALAASQEEEQRRLAASGQVQIGPDGQPIQQFDPSKLDFYRVLYDF TPESGAAVQGVDLEVKKGDLVAVLSKTDPMGNPSEWWKCRARDKRMGYLPGVYLELAR RPGQAIAEIKSASQSGSRASSLTSQTPKPVITGKAGDIGVESFQKSSFYS LY89DRAFT_663069 MAAKKVVVVFGATGGQGGSVVKAILGDSKMKESWTVRGVTRDVS KPSAKALEEQGAETVAADLNDAATLKDAMKGAYAVFAVTNYWESKSADVEMKQGKAMA DAAKEAGIQHYIWSSLLNVTELSKGALPKVKHFDSKAKIEDYVRSTGLPATFFLPGFY MSNLPGGMFRQAPPNNDWTLALPIPGSTPVPLLNTVGDTGKFVKGILLNREKTLGKRI YGATDYYTLDEMVKEFKEVFPEAGKTAKAAELPHQVFKDILGKTGLPEEAEEELLQNM RLMNEFGYYGGAKLDESHSILVDKLTTWEEFMKSAPAFKDLK LY89DRAFT_727350 MLKIRAKGLSSNPVMHHNSQSSLSFINAGTSGPPRKESALITST WGIGWQTPTAMIACYALALVLAVVHLILFRYIDGKEADGPNRIAPQAYISTASNILAN AFGFSLRASLAIAFVQRLWLLLRAQTMKVSTIEALFSIRSNPLMLFKPAAIAATPLLC ILALVMWITQFVTGFPPGAITVVSVQKSTFGTVNIPSFNASYMGNGSGVDAEAFSLTT LTPVQGLTPDQSGFQASGFSENKNTNLINLLARQILVAGESFSMPSPCGVNCSYTMSF EGPYMNCNSSSDVLYYDDATGIFNIYTGQWFSPLAVNPNPTRFYNGTYTQAFFNATTI NPIQVNGSLLDGNGNSSALVQQGSIVCAPGRANFTVTNNYENNVWSRNVSSEPIDTLI NLAVPTHDHVVIVPGFGAASGTGPGTTPANWSLYALDYYRDTNIMTIHGSLSSWLNGS FQGFFADGENFPVIGPSVIGDPYGSYLPLWNEQIVTTTEGQAVSIAAQNGTVIESTRF NTAFGHFSLSESSHPSFNITQDLINEYLFNMTTSMMLAYSLWDTTANATKLTRVNVYS FSQPLSLVLPYFITLFVTMPFVVMGCLALFKNGVSAMDGSFMQIIATSTGSATLDRAA AGGCLGGDESMPQELKDLEIKFGEFIGRDEPGRIKRAGFGLESEVKELERGDKYGIAR WI LY89DRAFT_727351 MAILSTDYLDFAFVSEDPAQKERIKAILSSDSEGKMIVKNFPAN GFGQNQFIIVPNSEVTIVDNFKLRSIPMATDCKCLPTTVKSVMPMGCIRDCKPPQDRS FGNGYDMHSFPLHGMPAATVKEPL LY89DRAFT_727352 MVAAATRIKIRQEISTTMDGKVVSWGNNYFGPAVPAAPAAASVN LPRRSESSAGRVRLQARDAAVPRGHNISDLIDFVRSGPQLDKENSGSLHTRVYPQKPL VLILSI LY89DRAFT_572863 MCALGSQEIDGNWFCQPVSGIQYSNVGSPGAYNRIVAMNTDGSC DSVPQSFSGPLSPLDEEVSFHVRGPVQINEFAVYVPAPSNVNKRSTSHGRVIHKHNHH HGNGGQAQSHSHGPVHNEMKVRQEISATIDGQVVSWGNNYFGPSSVLADEGKQVMVTA TIDGQVVSWVNNWFGSTQAATTVPTQAAPVAPVGNPAPVVQTPSQSQPVQAPETSVAA PSATTSSSTSFLAEPPSGPGYDASVIFERAHYYNAASQIIDNVTFLGNYGGQGSGVFD ENFGASLAYVNSAGTGGAASSQILANAVIPSDSEVILMRGDECIDDSCGYVRPGSVAY HGFDGADKAFFIDFSMPTEGGTGFNADMPAIWLLNSQIPHTVQYGPPECNCWTSGCGE LDIVEALFDGATQLKSTLHTNTPAGDSDYIARPTTDSMKLAVIFSSSTSTINIQVLDS NTEFPATMSADDLNNLCSAPSGVSHFHVAG LY89DRAFT_17710 MRYSTTSGMVLAALCVGEAVAGPAHAHAHLHKKAHEKKDVDWAA LDWNDMGIDWSSAWAAGQHTSTSAAVVAATEAPVATTTAAAVVAATSSATSAQATTTS ISGTSSSSLTTLWDDLVGLANDLTEFGSAVTTSSGSEVSYIGNIGSPQGSNMLKVDSR TGYDYTNEFINTSGETMTVVLWNKAYSKTGAVDDAEANLGSCVAPVTPILTFALAAGE SQIVAFQENSQIGWAQAVSDIAESGAFATTWGEANFVSTGCGYDVSAIMNPNNNNYDM TITSTEAADCTSSRTENMWLTASDPVGNSDGSCYIAQGTATMTTQMGGTV LY89DRAFT_572096 MQCDICFRGVGQGEKKIHFLCPTDARNLLYVSRIQNAQVLLEND ALDQQINSLLSAEPNDDASKTPRQATADLISGVQAEKEQSVDRTQQIITQADELRAKV ESAREELIKKKAHISRRKSDLASVTNGLDARRTRQIEDVEKGIRMTRFKWNQGHATTA SSRAFLCGEAAKLYGLKKVRKVHGGPDEYRIGGVGIVDLRAMNTASPAQISTALSHVV HLLMLSTHYLAVRLPAEITLPHRDYPLPTIFALPSSYKHGDVPFPGSTPTPSSNNSPT ASKHAEQPRQPHPRPLFIKKPLPILASEDPSGYGLFLEGVTLLAYDIAWLCKSQGVSV GEEGSFEDVCNIGKNLYNLLIDSRPRPSPASRTPSTQTTPTKGGRDTETDGEGKKEPP TSIIGKYSHGTAHSFLGGAEGTDFIRSWKLLKPTSIADKLKSKLLSEVANAEWEVLDE NAWAVDDEMGDDGVVVGARKEVAERDRGPSQVGMQSFISMRTVMDAVEMVGDGERKPG TSGWTRLKPR LY89DRAFT_550478 LPPFDWEDFRRRYRADLKQVDADETSLLDDFDKLAQSFVFWSDT AANRDNLRAAKRLCTREKYVDLAEEALAEKQRRYVQVVGAFKQALEML LY89DRAFT_18357 MKISYLLVFTLTQVVLSNPTPTQPERLAERQLLDLPSKITDQSQ IESIAAGLESDAAILGAAASILIDVLEAIVPSPVPAAIPDAISSVASVYAAHPTDFVV NALDFILDGLVPSDITNLVTTEGSEENSSSNNNPLSPSPAVYPQKSAGDAPYSLDENS LRSAIYIPSNFTYGEIPAVLLVSGTGALAGGNFGPNFGKEFQGSDYADPVYINIPGKQ LADLQIEAEYVAYAVNYISAISDNKNVSVLSWSAGSSISQWAVTYWPSTRSVISDFIP ISGDLHGTETAYFLCPGFPRLPCAPAILQQEYNSTFVATLRNAGGSSAYVPTTSVYSI FDEIIQPQENTGASAYVFDERKVGVSNTELQNACTVAQPGGTLYTHEGVLYNALAFAL AKDALIHDGPGDLSRVDVVAECQKIVISGLSLTDVLATEAIVVELVFGALTYPDKVLS EPAIASYAQTDVPA LY89DRAFT_663076 MAAPVVSSEELASFHATHFSSSAADYFAQHFLGPVDDEHYVENG EEEDDGIGYYEDGVKRTLTDQQIAIFRHSEIQALLRERRHAAEAKDDEIHIEAAAPMI GPVQPEKSNSDLAVKPILGDREMEDGEVGDNTTSDAPTPTSQSSNKNRRPFSKSKAKK ADRGKQRGYYKEVVKPDLRKRTWDVVEQGLGSLDYDEGSSNMAPSHPAQRRRITYED LY89DRAFT_18405 MSGLPSYDDDLLARLNALKKSNIQLDPSKPRPLTDTAKKETPES DLSARLRSLRNGSASPSPAPKPTTAIRSNSEASAFPSTPLDEPESDPLRHPTDIDDKT LDELLADLGPEDQWTLNPDDPADIQKLLNEAKNALPSEKAEPSSKDDEEAGEASRPGD KKPDKEYLIRDLDMSAFALDDGEPQAKDTKHGSKLEDESREAQDIVARLLDEVNLEKA AEREDQGNSPGAPTEKDEEESTLSLPSAPTKLPDLSVDPETSKNSLDFESDITARMAA LRGLGATDSLGLPSAPTFKPSDKPVNGVMKKYTDEEVDTWCIICQDDATVKCIGCGGD LYCANCWKEGHMGPDVGYEEKMHKWTKYKKMN LY89DRAFT_703444 MALIQTAFIWVAYAVAVALVALIAAIFTYTYQTPRDRSALVSTI TIITLTSLLATVLLLSVDIALVSSTNSVKLGAKKDWATPDTVKNILYTLEIVYYTLYS LDALLCLLVVPFTYFFYEEYDDVEAEEGTQTFSQRFMGALKYTLIFVVLVVIIFLVGF FVPVAWNRNGSHHEWNDFDYFKGLLSENHGERALTFALGLLICLGTLLYILYTAAGLA LLPISFIKSAPSISAPQLSETTASALEQNRERQRQLEGRNAGREDGMPAKDQRELEAL VREERTLVRRERLAAEARGDGKSFIVRAWTKLCAVFRPLKLIGGILLLLLAIVLWVSM LITGIDKATNSVCKQHCGYILGSINVFQPVNWLFVQTSRVFPIDYAIMALLVLFFFSS SVAGIATIGIRFLWIKIFEIRKGHTTPQALLMATVMLTLIMLAINYSVAMIVAPQYAI YGAQTFCSNPTRFPGDQPNCSKHPELVKPCSELSTDGGSKNVCTPSVVSTFLNRVTAN FPVFGALAFWAQFAFLAVFLIVFLTSLFRTPKLDLSQLDQDAEADEEEGLLASTGRRF GATWQDIRGKAKKTTTSNNHDDNQ LY89DRAFT_604897 MQCYTELTPPTAVTHSLSLPFISAKANNLIVAKTSLLQIFTIKT VSIELDDSRESEASKQDGKWDATISDDALETSFPGIDSVLRTDRAKKTKLVLLAEYTL SGTITSLARIKVPSSKSGGEALLVGLKDAKLSLVEWDPERPGISTISIHYYEQDDLQG APWAPYLKDCVNYISADPGSRCAALKFGARNLAILPFKQDEEDVNMDDWDEDLDGPKP ADKSSKTANGIAGTGDTPYGSSFVLRLPTLDPNLINPIHLSFIYEYREPTFGILASNT SPASSLLFERKDYLTYMVFTLDLHQKASTTILSVTGLPYDLTEIIPIPAPVGGVLLVG SNELIHVDQAGKANGVAVNLFAKQCTSFGLADQSELGMRLEGCKIEQLSIQNGEMLIL LQSGELGIISFRMDGRSVSGLNVRRVSIEAGGSVLCGRTSTISPLGQNAFFVGSESTD SVVLGWSKKVSQISRRKSKLDKSRGNDDTFMDEDEDEEDDDVDDDLYGEGPAVTTAAT NGSAPVDGTSSKAGDYLFQVHDRLVNIAPIVDMTFGKCGAYQNDEEKLNAEGVTGDLE LIAAVGKQKGGSLAVVHRKIQPKVVGKFEFPEARGIWTMSAKKPTEKGLEAQKEKSAM SGDYGIEAQYDKLMIVSKILSDGTEQSDVYALTAANFEALTDTEFEPAAGPTIEAGTL GNGMRVIQVLKSEVRSYDGNLGLAQILPMYDEDTGAEPKIISASFADPFLLLVRDDSS IFVAQCDDSNDLEELEREDDGLLSTKWLTGCLYADATGIFASVQSDKGQKAGENILMF LLSAGGALHIYALPNLSKAVYIAEGLCFVPPVLSADYAARKSAARETLTEIIVADLGD DVSRYPYLILRPSNDDLTIYEPFRTSPASSPNDLSKTLHFLKIHNPHFARNPEISAEV TADDAGDTRNEPMRAFSNLGGYSAVFLPGGSPSFIIKSAKSTAKVLSLQGTGVRGMSS FHTAGCDRGFIYADVEGIARVAQLPSASNFAELGVTLRKVDIGEDIHAISYHPGMQCY AIATSTRTEFELPKDDDHHRDWAREDIAFKPTIEQGYLKLVNPVNWSVINTIPLDPFE VVMCMNTINLEISELTNERKQLITVGIAITKGEDLPTKGRIYVYDVVNVIPEADRPET NKRLKLIAKEEIARGAITAISEIGTQGFMMVAQGQKCMIRGLKEDGTLLPVAFLDLNS YVTSMKELRGTGLCVFGDVIKGVWFAGYAEEPYKMMLFGKSANNMEVVATELLPDGKE LYIVAADSDCNLHIMQYDPEHPKSIQGHLLLHRSTFALGGHMPTTMTLLPRTKAATML PPSPDAMDIAADATIPEHEILITSSTGSIALLSPLSEPQYRRLNTLTSHLTNTLYHAC GLNPRAYRIDRDAPEGTAGSRTVVDGTILMRWLELGSQRRAEVAGRVGIDVDEVRDEL VALMGGLGYL LY89DRAFT_18597 MNSQPRIAPHSSISRLLCQQSNSTPLMFSKRNLSIDNRINLIIG ILSVVIGILSAILAWATWRLTDDRRRRCARHHHSPEPELVPLQTLPTPPTQPTGVEIA FRIGRSR LY89DRAFT_776465 MDSSSEDKHWAAKYLLDPLTAPEPSQETGPGTHFTTTVNRAPST SKTAAVSTSSKTPSSTFYPTPPSSASPTQSTFSSKNPYASSPSHRQAAFADYTNAGPS RRSPEPGTGTGRRRGSSLSERFPGDMSHRPLDQLRKETKAANRAPHLRKKHLPGADVI DSLDRSMIGGLYHHEGPYDATLLARNTSYKNSPVEAVRGTNEEAIRATPVENIKDSLN KHVPLQGTAVIPPGMAGLDGRPMEYEEGADLMREPDAPGGAYKRWEGIKYLPDDYKGK GEPSFSIEKALKEHEKSDRRVASEGNGSYEMQPRAPMNRQRSASGSSVGRMNAGSPTG SSLRYSDFEGDMRRSNTTGRRLGEGLKRRFGSLRRGKKTAEV LY89DRAFT_679489 MALVDYASSDEEDEIVESTSTSNANAAQSLKRKREESASDLPPL PSKFHDLYASTTRVSTRDDPSLHGGRKRVMPHVEGNWPTHLYIEWYPSTTEYSSLDAL VSLLKDTWPPEATDLKVNSFLTSDLGAPLPLHISLSRSLGFSTEQKDEFVSAMERAIQ SSTVRPFVVSFADLDWVSNFENTRWFLVLKVLKPDLNALNKLLHISNSVVQEHGQPPL YSKPATTKSDVKARNHSGKRRSINHNSALLYKDMQDLSSAFHISIAWTLTDPSQELLD LTKSAKLNHFDPVKQIQVVVEDIKVKIGNVVTSLPLPKSVNLGKSLFGA LY89DRAFT_18568 MRATSMTNGRNSEALDFQVSTGSIPNHTYQPDMDWSFNNAILSV PTSPTTQDVNLSVFDQIVEPSQTQRQDSICWLERCSSRDTRSIQSTTHGAGTITAEDG LDFLSELSVAMKHSTESIIASWEALKVTPNHVPNILERRPATCISDSMKQNFLWSRIA SYVTDFDKAILPPFIHRNYLLKDGGSQIVDFANLPEPLANCKNVVPMYLQKTPACKQL VLKTFLLEVQRLHAEFCNYDESTLLSALQAMTVYSILLAMDKERCKLISMITRIAMGE IANAVQNLGYYCVAENRGNFPRWSDWILQECKRRTMIVLHLMAYLLDMDVGKAQPSSC VGFDKVPLPCGKSMFLAQTASSWEDEYKKYLSSRNGSEMPTVGNLRKMFRTETNDWQN DLVKDLSGWSKDVDDLGSLLLVAV LY89DRAFT_679492 MSSQPIRIGLIGLGRQTGHNVPGLWAMMAHLPFLLSSPKYQITA VANSTTASAQAAIDHHNLGPNVKAYGNPTDLAQDPNVDMVVVSVKVGAHYALSKPALL AGKSLFVEWPLGASLSEAQELATLAATHGSKTVVGVQARASPLVLKIKELVTSGKIGK VLSSTVISSFGGLPAAQWPQGAEYYLDMNSGGNQFTIFFGHFLDTFTHVLGDFASLTS SMRCDYPSIDIVDSAGTVVQKGYQKTSPDHVQVSGKLVSGANASIVFYTAPGEAVDGV GVRWVITGTEGQMEIITQERQWQMGAPGTKLRARLGRGAGIVEVNFEDVEEEKEVREA AFPGTNTARLYDAFAEEKRDVYADFESAVKTHALLEWIKREAGW LY89DRAFT_573149 MILTLLLFIGFVVCTSYIGRQENGLDVFIGVPYAQPPVGSLRLQ PPVPIAQDLGNLDVSNLTSNRCYELKAGPPTLSIGSEDCLTLDIVRPSQGTARARQNT KLPVYVFIHGGNFNDGDKSDYDGRNLVNTSVQLRAPFIYVAVNYRLGFLGFPSGQQLA GSYNLGLLDQRLALQWIQQEIETFGGDPTKVVIGGHSAGANSVAYQMMANRSETSDNL FRGAILESGSATALSAIQHTENGTWQASYDYISAAVGCNTTGSLTCLQSVPIENLVTA YTIGFAKSGFKSPIYQFSPVLDGDFITTWPSVLMDEGVFAKIPSIIGTTTSELVERVP IYNGIGDDAAVIQALEPYSPFVPYSSMVDLLAFYQLFEFQNVGPPLSGGQWSRTVAIE NDIQILCSAYTQAIQTSQQVPTWKCKRNRLRVLILGTD LY89DRAFT_693048 MDYNRRNKVPRQLTDAEKARLEEFIDAIHYSSRYSDNEYEYRHV QLPKNMLKAIPKEYHDPSKGTLKLLWEEEWRGLGITQSLGWEHYEVHEPEPHILLFKS AFAKSPVAQLPFACLSNAYNQISPKAIVSDACASYSTLDQLNKELRPSLSDITKNTDF FAYYRLNLFGKECPFWNDNNGMCGNIACAVNTLDNEEDIPPVWRAEELGKLEGPKAGH PGKRLQEERGPVKPLQGMLGQDVGESCVVEYDDECDERDYCVPEDEGSGSKGDYVSLV DNPERFTGYAGEGTKQVWDAIYRENCFSKSSFPKSASLGTLSTSQKGAAVNELQNVIR EHGRQQVLEEVREHTPNAPFVTETGLEHEDQCLEKRVFYRVVSGMHTSISTHLCWEYL NQTTGEWGPNLQCYKERLHDFPDRISNLYFNYALVLRAVTKLGPYLKDYTFCSGDPQQ DRDTKAKVQALSSKAGTVPQIFDESLMFVNGEGPSLKEDFRNRFRNVSRIMDCVGCDK CRLWGKLQTAGYGAALKVLFEFDNDSKDIPMLKRTELVALFNTLARISSSLEAINSFR IMVEEEQVEEVQRLPDRILKPHHVIPGKEFSESEGDVPAVEDDGSAEFEEEQFYRTRL PQNATIMDEFKAELDLMWRVTKYVVRGWISAPKKAWEIFSLEASRAWNSYIGLPVGPR RWVIKRPRLDEL LY89DRAFT_693050 MDKRKVNGGGSHADDIDERAAKRRKAGDHVDSTSAETPETTAQT GLQLVETLKLTADKSGRQISTAFLTLPSKRDLPDYYDVIKMPIAIDTIEAKLRRREFP NLSVLESWFKRMISNAKEYNERGSEIYDDSERLRKALSNFMTRHNPAYKTPGYVALPV PIQSTSHIDGPASDNEADAEGEPDPEVEAPVVVKRKPSRPVKNVQAQRSSATPALSEN QYVGVGFEGLNFQQAQEKIIEDMIRKKEFEEDEFAHFEPFLILPSRTDYKDYYKVIKN PVSLKTFQKRVKGSQGRNAAPNASDFKNWAVFEEEASVIWKNAYEYNEDGSSIFALAQ ELEKTFKKYLHEAKTAVPEPAGTKIKLKLNDGPKEKIMLKFGSKSSPAASPAPQLAPQ TNGSTSAVAATNGASRRNPFSGSHSSATPAPNVVQLERARSASGSAPSPSPSNSALVK NEEARNSPAIGAAYNYRGPSQAVSTPGLSGTGMLPPSTPSVPSAYNTSGYAQSFNHVP QSSVNPSFEAMWRVPGKDASDAMISNLSLATHPGLNITKHFKMDIPPSPTMAQQSVTI TLPSSHFLVQIKPTISASLLERQYKLFVTNGHQRLHAMPTIPTHSVDTRLPLFEARLS TGVNRIEVELIAALPKGAAKLANGQDVELEKITVFANLLRSE LY89DRAFT_604922 MADEVYDGAIGIDLGTTYSCVANYEGTNVEIIANEQGSFTTPSF VSFTDKERLIGESAKNQAAMNPRNTIFDIKRLIGRRFDDPTVKKDIESWPFKVIDQEG SPMVEVEYLGENKIFSPQEISSMVLTKMKEVAEVKLGKKVSKAVITVPAYFNDNQRQA TKDAGAIAGLNVLRIINEPTAAAIAYGLGAGKSNKERNVLIYDLGGGTFDVSLLNIQG GVFTVKATAGDTHLGGQDFDTNLLEHFKKEFQRKTKKDMSNDPRALRRLRTACERAKR TLSNGAQTTVEIDSLFDGEDFNAQITRARFEDLNSKAFNGTLDPVAQVLKDANLDKSK VDEIVLVGGSTRIPRIQKLLSDFFDGKKLEKSINPDEAVAYGAAVQAGILSGKATSAD TADLLLLDVVPLSLGVAMEGNIFAPVVPRGQTVPTIKKRTFTTVADNQQTVQFPVFQG ERVNCEDNTSLGEFTLAPIPPMRAGEAVLEVVFEVDVNGILKVTATEKTSGRSANITI SNSVGKLSSSEIESMINDAEKFKNSDEAFSKKFEARQQLESYISRVEEIVSDPTMSLK IKRGNKDKIESALSDAMAQLEVEDSTSDDLKKKELALKRAVTKAMSTR LY89DRAFT_776474 MSSATKPFPFLELPPEIREKIYILICHQSAPISLHIPISTPCET SSDPSFPHALLQTCTLIYNELRPIYFTHNTFTLSIRRRNDDLTYFLSPSFLDNRRQIR SLKLIIYRFGGHDFFTKDFIPVLEDCIMNGRLRDLDVVVKERFLRSVKLCERGLRGGF RDTAGLETLRDWVALRRVLLDPYLEKRLLRSGNLDAVDSVNQENIELTLMGVPGYEEH PDYQLESYHP LY89DRAFT_573032 MDANTSASSASAATSFTCNVCQAKFENNLQQRAHSKSDWHVYNL KRHVASLPPISAQVYNDQILAIREASTTAEAVASSAFQKSCTVCEKTFFNHTAYQNHF RSSGHAQEVARLEAKDDLSTSMERITLESQEPTTSSDPDTFIPSACLFCKYDSKSLDL NVAHMQKKHGLFIADQDYLLDLETFIGYLFTVISQFHECLYCGSIKSSEEAARSHMIS KGHCNLKPDPGSEYEDFYEVASDIEDEDLEAGAKEGKSPEFLVPDDNELRLPSGRILG HRSQARYYRQHTPASGKSPERKAIEDGKESGESNQEQAEGSRNTSRQLTTRTRGEMGM VGVSEAKKRAVMAVEKKMLKAEIRAKSDYRAGLERLGNKQKYFRVSTDCVWDLTK LY89DRAFT_679498 MPPRIPIRTNTLKQLRTRQLHLTERSLLTRPISTTRSARQILPF QSPQTCRCFSSNPILHKKKGRADREKEEAASESNEVEDPYDFSTLNTGIEKSLEKLKN DLSKLRTGGRFNPEVLENLRVHLVKDSKASERLGDLAQVLPKGGRSLMILVGEKDHVK HVISAIQGSKDLNLQPTVDAQNTAQLNVPIPPPTKESRDAALAAASKVGENANTGVRN ARAAMQKKLRAIELKKAARPDDLKKAHKEMEKITEKGVGDVKKAVEAARKTMEQT LY89DRAFT_663090 MYKWHVHSAIGRGRPAANDFSKVVDGIAASLRQFSVSVSRSAED GDGPRISRSQRSADAFKEVSTLAPKPPRGIDARSLAAKSSFTITRYDAGPGRGGGFVP RGGNSEGVARGGAGMRGRGRGGARGRGARGRGRGARRGGEKKKRGPRERADDDDFISE PYSEEELAYLEMSEGGFPTNYVPETTSAASLARYGPAVMSSPRGIHESIVNRMMVATE NNDPSFEHASIHLARMNEGLGTLFEDAEQRALTEKFQGSRKFMSLSEQQKDSIMRQWA AGQYTAPGTPVKGNVVGLTRQMTRRNETYLPEDAQKFDKTLKSLLPKHMQEAVYEKVP ASL LY89DRAFT_679500 MKPPKRPAPDRTPSFEERPRKIVRLRVNSASLQDIQSKPQNPTL YHRAKSSSTKSASPSSRQSPGARSSITPSPSSTLSNGTITAVPASAPAVSATSTPMKV RKPLPDGSARTPLPEKVEKPARKPLPDSTSSGRKPLPSAPPTPSAEPVAAAPPRQKLL IKFNLKKAAAGIPPQDPSL LY89DRAFT_572761 MEAPAPAAPKVPEYGFIVSHQKLVLEVDFSTQSITGTTEITILP QTKDLQEIRIDARQCSIPDKGVRINGIPAQFEYEDPIHALSIPPAHVWSGEQHGMQKD RILPLTDNQKANGALEITIPKHVTIVEVDPFSENAASAVTSRALGASAARTSSVALDG TSLPLSATTLTPKTAAEQSARYQPLTVSISFSVKSFRDGLHFVGVEEGDARYPHVYTR HSMDPGTASSIFPCVDDPAMRCTWDISVKCSRTLGDALKRRPTPVTAKQRFLAHAFNR RGLYDVPLDEKEKLLEMVIVCSGELMNETTDLDDSSKKIVNFFCNTVVAPQHIGFSIG PFEIVDLSEFREVEDDEKLGQGQTVPVTAYCLPGRADEVRFTCTPMANGLDFFLLNFG GYPFQECRFVFVDDQIAETQHAASLSICCNRLLFGEDIIDTQIKVVRTLIHAIATQWI GVCIVPNARVDRWVTVGLSHYMTGIFMKGLCGHNEYAFRQKTLSDRLVELDIDRPSLY SLGDVLHLGGFELEFMALKAPLVMFILDKRIVKASGAAGIQRVISKMITTANTGTSID SVVSTEGFRRLVEKTTKYRQTESFWNSYVLGAGCPRFSITQKFNKKRLCIEMSISQKQ ETLPTTRVLDRDSFMREVKEDIHGVYAAELQPVFTGPMTIRIHEADGTPYDHVVNIQE GTQKFEIPYNTKYKRLKKSRRQKERMNPGVEINADGGEETHYHCLGDVLSSPTEMRDW GLQDWDADTEQRMEQESYEWIRLDADFEWLAEKQFISMPAYMYVSQLQQDRDVVAQQD SMVYLGNMGAHPLVSTFLVRTLMDKRYFHGIRTMAAEYLKTHATATCNWVGQKQLEMA YQHFSCYPGSKMPLPNDFSMENSKNYKVETAIIKALSKIRDSQGKCPKEPRWFLFDLL RFNDNSCNEYSDNFKLAELLSALTETLIPVKDKNDNVLDFNDEEIEDHEPEEFKQAVL DELNRYRRMDEWSNSYHNIFTVTVLDCKARLMKAKVIPMDPLDFVEYLHDGTADRIRI KAFRSLIDLGFLANNNIASLLLNCMTTDRSPYTRNKLFEVFCLGLASMAFGENKLNES NGAMDVDGDHDGGLIIEQDASLDARKANIARTTTIEGALAALRAELKENVVFKEALWK ALNSSTIGVHEQSDLLDICSILYDAVESLIVRLRLPRVWEVTQVENEKVTYIPVHYFY LY89DRAFT_604932 MASPFTRSSRRSEGLSTRSSTRNNVVISRPHQGSSPANIGRKKR PRDEDPTDHDEDSSIVKKKARIAIEIASRSNAQPKTRSVVIKEEIAVAGKYAAAPPLT KSKQTETATQTEPAKPPQPLPESAKKPANHHEKVVNGIKHELDRLQPSAADLKDVKDD KRKLRSQEGTRFKSELSAYFPEYDEIIGNEPKEERRLADILNIDTPLSWPKPRKATLP RKAGALAERSALKDDSESLFTVLHNSHRIDSSVLSRNCEDGEDDPLNDDYFESIHRKP ERQEKSIRNTDKGRAQHEKDQVIRLLEGLQGHDWLKLMGVSGITDSKKKDYEPAREHF IRGCEAIIEKFRIWRDEEKRRKLEREAAQAEAAEEEGEEDENGKEEEEQQEELEEDDG NVSDGDPPDYSDADASAARQLHEEAIARSTPALKGPGRGRPKAPLIPQQVEVIEKPFT SFFSKPYLREAALGKHRRSGRTVAAWGHPVPEVEYQDFDLPEQYRDEETLKIHARRKR RDRRVSKD LY89DRAFT_19079 MAPSKKVAAHECVSEEALVHLKSYKYSSVDKSFISNYILKHYWN AFVELLPLWLAPNMVTLLGFFFILSNVACLVIFMPDLVGPGPSWLYYSFAFGLWMYST MDNVDGKQARRTGTSSGLGELFDHGIDSLNCTLASLCETAAMGLGTSKTGVFTALIPC LPMFFSTWETYHTHTLYLGVFNGPTEGLILACTIMILSGYYGPEIWTHKITDLVGHHY FFGYHEFFGTYSVRDLWVPILLISLFGAHVPFCVMNVVKARRRDNLPILPVFLEWTPM AVYTFSIGAWLFSPYSTLMAENHLVLFCLTMSFVFGRMTTKIILAHLTRQPFPYWTVM LTPLLGGAVLGNLPRVGLPAVSAWTELWYLRGYFAFALVVYFRWAFLVIDSICSYLGI NCLTIPYEKQVANKEKAKAANGTAKSANGHTDGKRVD LY89DRAFT_679505 MLSLQASVGVLAVILLSLKVHSQAVYQIDPNSVPLSDRTSWCQS QMTSCPLLCLQLPGESSTTDANDCDPTTLTYDCVCGNGMSPNASEYSQTLPYFICTEY GNQCVAACGGDTNCQSNCRSQHPCGAQDPTRVNVTTTSSTMTATTVPAGATSGTAGVV YTGFGGGAAATTTPSSSSTKSGAQAALDLGRSYSLVVVFAGIFAGFALVM LY89DRAFT_693058 MESGHIIQAREAASAGKYRLDTTTPPVDDPTSGNESPITSPITS PPYWVQSHQRSVSNISVESIPNGAIILQDNTDGEDSKNKACWAKSVEITDYVVVNGSR TGIGAFVVWNITVDTLRGGSMRIRKRYSEFHDLRDRLLQTFPNSTAAMPPLPPKSVIS KFRPKFLENRRSGLQYFLNCILLNPEFSGSPVLKEFLFS LY89DRAFT_663097 MALAPKFAGQRFAASSAAPTLHTLELYLDYVCPFSAKMFNTVYT SVFPLIEKKYASKVQILFRQQIQPWHPSSTLVHEAGVAVLKLQPSKFWDFSKALFDEA KSFYDVNVVNETRNKSYERLAKIGAKVGLNEKEMYDLLYISDKPDKDGSLNTGNQVTN DLKVLVKMNRMQGVHVTPTVVFNGVVENNISSSFSGEQWEEWLEKNVV LY89DRAFT_713222 MISRSVIFSLFLSSVLPWVAALQTTCYVLDGKANANAGFRCDNT TTGPSACCGPGATCYSNGLCMQDNGQVVDYLRVGCTDKTWQSPACLDQCNICEGYSNT SSWYELMCIRCEQFSCWSKVLRWDCHKYNFIASIVTAIRNLQADSYSCCDDGSTGVGS FACCNTASDIFQISPAATVLAQMPLSQLTTTSTTATSSPTRSAAATTSASSSGGSSHA AAIGAGVGVPLGLIALGGLGYFFWRRRNNQKKSQTYELQNGQEGPYSGGYGGAVGAGA DYQQAAEADSGYPPGSKKSYFGGQQYEPAQQTSPAELPNSQAHVVHELDS LY89DRAFT_745183 MKYSIFVSFFSFVETLQAATLNVGSGQTYSTITAAYNAASAGDT IYIYPGTYTEKLTISKDSITLKGSAYPSTSPSSNEAVITYSTYASAAGSDDASATLLV SGNNFKMYNLNVSNTAGTGGQAVALSMRGDYGGVYACGLKSYQDTLYSHIGSQFFGRC YIEGAVDFIFGITANSWYQGCTLGVLKSGGTITAQGRTSSSTDGFFVFDKAKIVLGSG AGSGTKGNTYLGRPWGDYARVVFQNSNEENIVISAGWEAWSSSQSTANILFAEYDNTN AAGTRVSWAKALTSAYAISTILPTYSSWVDSSYLGVSAP LY89DRAFT_727384 MEHLQVRFRAAFQVTPEAGYRRLEWKCDCGENLYGDFPAGNENE LRAVEQLEKELKLNCKIIWGKRPLSTFERDIIALAGGAPVLQSQHSSGSIKTKGQSTS YPMTNLRNHQAQNIREEARSPIRLRRLAEERGQLGNRSWRYLELCFNSGKHQIQHAEL DVTHHTTTDHTFFQKIKTEYFRMSKRRWFFEPKDVRFVEFSVQNMGELAGIINGFDER NPALPPEELVRQGTTWEYKPRPRGPPVPSNIILHELTSKKSKLHTSTTWSRRMPKKLN TSIYAIPDPNEAAFGWGIHIFEGYNVFLITILISVLALLGSAGCILATALWWTLRDDL NGALALGAALAALLAVLLGWAVSFLQGVNRS LY89DRAFT_679509 MQFSTAITSLFALLTVATAAPAEEKRQGEFFAVQTYYSAGGCTG TADSEATFNEENLCQPIATVLSTVESVSLISVTDAGCVVHYYTNSDCTEGDTVGVIDH CEQASGPFVATNVICS LY89DRAFT_727386 MPEVDALILGYSHLAQLPREKEALHALRKIASLVKPIMRARGWK VGTLAEFYPDQQNLLGINENRGQRICLRLRYPGDKNQFLPLEQVVDTMLHELSHNVIG PHNAEFNALWDQLRKEYEALLSKGYTGEGFLSEGRRLGGSRVSRDEARRIARNAAEKR RTLNAGSGQKLGGRPVPAGADIRRVIVDAIERRSIVERGCGAGGAKNDQEITALADQA TKNGFQTKAEMDEANDRAIAQALWELVQEDEQKEYGASYIPPTQANPTGNGGGSVGPS GSIKREAPSSPPVPVRSKPPPIRQHPNRPVSRLVAENTAKKSKILPTKTATPPRIPSS SKPSPPVAPNPPPPSTEPYLTGWTCPVCTLHNPIGFLACDACTSERPPEITQKIAEAD RKKIVTTQPTGLGSQMWTCSRCTTMTEDKFWACQTCGKIKDRS LY89DRAFT_19248 MAAQEPLVHFYDLSGPKPWSPFCWLTRYALNYKNIPYTVTKVSY PGIGPKCEELFKDMTGLEATVPIIEILQPPYKALNDSTPIALLLNERFTEKDGFKHLK DIEKVDAYAESLGSFGRAIIRWIMFDVYSNSLDKSDGSQEYFKETREPRLGCELKDLL EKKGGGEEKVMQEIRENWTPLQERMKKDDGTSEPTYIDFFDAAHIRWIEAASHEKYEK LLGLYSDDTFTKLMKKVSKYET LY89DRAFT_727388 MALSRDSSTRNYGYGGVMEIGGVPDGKAAGMNASSSFVTTGLQI LSQQWINPLKPAYQFYAITPDGLSYKGATGQKGIQYIVDSGTTLMYVPTADAAAINAL FVPPAQLEQGNWLIECSAKPPQVGVRVNGTVLPINPVDLVYKITENGTTCQSGIQDGG TGPYILGDVFMVNTLSVIDVGNLQMRFSSRQYYA LY89DRAFT_745190 MFSPILFLVLPILAAGFAIPNQKPSYTLHLTRIPSTNKTASHSL RQAIRSFSPTSSSTTPSLSNITSAELGQDFLANITFGSTTRIAIIDTGSSDTWLVQQN FTCVDYTTNLTISPTECHFGLPMPRSPTFSPLPNTNFNISYADGEYLNGQVGLETITF AGITVPKQEIALAYLAGWFGDS LY89DRAFT_571854 MRISSQAVLAVCAALFDFTTAASTFSPARPPAIPIAVKSPYFNT LQFAGSDGGNGGYLYGQWTTFWAGQVTAWTGLIKVDNVTYTWMGDPTNDQTVTQTAFS YTSTRSVFIMDVGGKVEMNITFLSPLTPDDQKRQSLIFSYMDVAVQSMDGAPHDVQLY VDISAEWVAGDHSSVAQWEYGSTSDDIAYHRVWRQTQLEFSQTNDQADWGYWYFATSK SESLSFQSGADVDVRGAFIANGKLANTNDADYRPINQDWPVFGFSVGLGSVTGSVSTL YTLGLTQENAIQFDGATGIVPLTSLWTSYFSSETDALSFFYNDYSTASTTATTLDNKI ASDASTQAGQNYTTIVSLSARQALGSTQLVGNLTKQYLFLKEISSDGNVQTVDVIFPF HPILIYLQPTLVKLMLDPLFENQESGQYPNKYSMHDLGSSYPNATGHPAGNDEQQPLE ECGNMLIMTLAYAQKASDTAYLSQHYAILTQWTQYLIAEALIPANQISTDDFAGSLAN QTNLALKGIIGIGAMAEIAKLTGNTADAANFSSIATSYITQWQTLGIAQDATPPHTTL AYGMNDTHGLLYNLYGDKLLNLGLVPQSVYDMQSAFYPTVNDEFGVPLDTRHNYTKSD WEMWAASLADEGTRDMFIDDLAKFINETPTNMGLTDLYDAQTADWPIDGPHFYSRPVV GGHFALLALMDPAS LY89DRAFT_19377 MTNSTLSIQLQNQTSSSTVYAYITGIDASNGSFVLIQADGHSTY YPQSPSSVGSPLAVDCGIKLGAPGSSTPATIPQMAGGRIWFCINNTLTFLLNPGPGLV EPSVSNPSDPNYSKTWGFCEFTFNSFQLFANITYVDFVSVPIQLSLTNTSGAVQNVPG IPSGGLGTVCSGLQAQNAVDNAGWNQLIVNNSSGQPLRALSPNTGIVMNNNLFSGYYA NYVSQVWAQYASNQLSVNTQAQWGTTAASIQNGLLTFPGVGTFAQPSAADIFSCSTGP FSAASWPQANTAEMGNLTARLAAAFNRSTLLLNANQPDGEVIASYYQNPVTNHYSRIV HATAAGGLGYAFPYDDVAPSGQAGVAGTVSDGNPEVFTITVLDP LY89DRAFT_693065 MANYYGPVPPPIPYGSRPSGITPPRRPSQPSVEASISDLTRKNA VYFPNYRVYRGETPATLNYNCISHVFYAFAHVATDGGVFLSDEWADAQMPVDGATGCL GSFMRLKQEHGYLKLILSIGGGAASQNFASIAASAATRDNFGRSARGLVEASGFDGID IDWEHPSDPEQGRNFLALLAAIRLHLPDDRYLLTAALPAGQWALQNIDLYKAQDYLDL INLMAYDFAGAWSPKAGHHAQLFPGSPEEPSGSAAVDYVISTGFPASKILLGVPVYGR SFLGASGPGRSFKGNGGDEGTFEYKQLPRNGAEEIVNTRVVAAFCTGGDGGFVTYDNP ETVKLKANFCKEKGLGGLFYWTGTADAPPGPRSLISTGFKALHAS LY89DRAFT_19560 MSAGVFSLGPGPKDLARQILEHQAPQYKFGFSPFLLQTYRHGIA PDRPVCKAYLQGHCPLGTACPDRHTSANSSSNFGNLVCKHWLRGLCKKGESCEFLHEY NLRKMPECNFFVKNGYCSNGDECLYLHLDPSSKLPPCPHYEKGFCPLGPSCAQRHIRK DLCLFYLAGFCPDGKTCKNAHPRWPKDLPQPTVKIEKDPEELLEEQVRAAERLQRQEE EEREKWGGGGDRDGGGERGGRGRGRWHGGGGYGGGRNRGGRENRRGRYHG LY89DRAFT_776492 MSADDQAFLDILSSVPNDVKRYSSDVADYVDKHLEKVSNTLRDA LSSSKWIPESARPKPPPPPPRRFEMSVSPSLLVKLQSWVLNHKILTTFIVLAIGGVTY KVIRRKVNRKKRRAKRASNGARLEVVVIAGSPSEPITRSISLDLERRGFIVYIVCNTI EEEVLVQNESRPDIKPLMLDIVDPESARASIERFTIHLQSPHAAFQGAKPHHLSLCSL ILIPSPSYPSSPIATLSPSTLSDLLNTRLLTPILTTQTFLPLLQSSPLQHPYLHTQPK SQQAKKPSVLLLTPSIISSLSPSFHLPESLISTALTTFTQTLTSELLPLDIPVTHLQL GSFDVSSFSPHNKALVPTTQSQRAETLKWDEGTRQAYARNFVAVSSKGAGGVGAIGKG SSLRELNNAVFDAMTSGKAGTVRVGMGSRTYGFVGCWVPRGLVGWMMGVRKVGQNKSE FGRGGDSLVPSRSTSPGSASTGNVHGLRESEYISVYQEDGNHPLPEGEFPEGHAGSYG HDH LY89DRAFT_19463 MASDSNPTIKVLLVPRFGVSQAIAGLRIVLTIGSARVEEGSVLV TSRTTVNQSFIPRGQIEASDQAGALSLQTKISTQDSTISWLVGRSTSGDVTLKYRTLP VSDTGSSTISKATGLYTDQRGLIGSGLAFLPTPPGDQIYRNIVEWDLSQAPAGTRALW TFGEGPAPVGRVGPSSILTESVYMVGAIHSNPPSPAIGSTSDSYGYYWFGDLPPNLEV IKDIHHAFFLKVTAFFEESSSADNPYRSFVLNTGKSKSSGGTSFLRSHIFAYDDQISQ AEDYDLVRRMSYEISHLYVGPSVAAKDVDWLYEGIKNCLSIYMPFRNGFRTGHYFQWT MSTLCLRYYTSPLINLPLDELLKLVPTNAYAREQLEARAWSFVVGTDLKARRMSKLVR PIEDLGMRPLSKMRGDGKPHGIEQWMGMLEPLMGDELTRRYDDFIAGRPALLEVDLYH VRGPGTHYLKQVDQEFLDFGMDRKSFEYGIVMDLKSGSRAEEAGLREGDKLLWSSHQW KCVDHFDAEMEVSVERDGDEKKIKYWPRSHEKAKSWQMIKKDEEEDYVPPPTKT LY89DRAFT_679522 MASVSEADSLRAKGNTFYKSGNLLKAIELYQRAFNLEPSNSAAL GNLSAAQYELGEYKKCVETAERRCPY LY89DRAFT_19491 MFFGGIGDARNLLRTIIDVAEYDRRPHSQKKSYHFTIVDINTCA ITRDMILFMMLDEFSGQEPKSDEALETLSTIFYIYIATLMPHCAFLYFDRMIDKAIQA LESGSQPLKWLYLHEQDIPKYLRILKQWKGEALQVFTVTQVINKVTKQLGQFKDMLQG MAPPLPTGCEQDKSLYFSTAMLTPPEKIMQLHDQEMSKLLKKYQSNPRETVSELKKHA KQHWKFNTTLVDVGFYYSLVDKNEYDIGHDPFQARESFEDKTLPSQPLKPSRLYDYLS PFFQDVANAIKHLRGRIQVEAMLGDFVDTAESIRFGLYHDKDDTSPSSSIITTNSRPR DFPILYDRIHLSNVPDYMGGHLSTFLYAAPLLKPFESSTVESNCLRNTGAWDSVESFL AHYQLIADQTMLRQLTQIKVIFAGDPFWPMGNYTKYRLAGTSLHQPFGELLPRIAFNK WFYGLFFSLASPFNLDLDDWKCIVYSPLTLTIIFRLIAHLRLLGYPSHWLSECLIAII EDKVTSTCRPPRSSPSSIAEVKKEHSLKKLCTAPFKVEMGTLARIFEPLLPFALTSEA IPADDDVYHYTFHLPSHEKNRENAAASAYLALGFWDIRFVPRLGIIALQHDLRALLDP SWGDEVDRDFQGPAYEKFREKGLFLWSTITWDGEKKEASAWMSKSFVDSLVENKFHCG LYRTDNWTSIVPVTTAENVRDAVKMGRKWNRSSNLSSPVQK LY89DRAFT_19567 MFQFLSAFFHRYSLTALLQLFGAILPWLTLKLLNITKCTNIMGS LLMREQSILHFTRSLLFRVPKSCAF LY89DRAFT_634440 MHSKVVIIGSGPAAHTAAVYLARAELKPVLYEGFLANGIAAGGQ LTTTTDVENFPGFPDGIGGSELMDAMRKQSEKFGTNIVTETVSKVDLSSRPFKYWREW SEDEAPDTADAIIIATGASARRLGLPGEDKYWQNGISACAVCDGAVPIFRNKPLVVIG GGDSAAEEAMFLTKYGSHVTVLVRRDVLRASKTMAKRLLANKKITVRFNSVGGEVKGD DKGLMSHMVIKDVKAGKEETIEANGLFYAVGHDPATALFKSQLDTDEEGYVITKPGTS YTSVEGVFAAGDVQDKRYRQAITSAGSGCIAALEAEKFLAEQEDEENTLEAEKGAAKG NNVVVPEYRSNPLL LY89DRAFT_572608 HRSFIFQKKFFWFGTEPVESKALTSYLRGEKQQDLANKNVAWSA STGKGLLYFSKKATDKATPAGIFNLSEVSDISEDGPVSFVFHLGGHKHIFEASTLAER DNWVSVLKAKSAEAKELAPTVTESEEYKKAHADLLPKAPVVAAAATPKEVKEETKAEK AEEKKEAKEEKKEEKKEVKEEKKEARKSRSASRKRTSIFGNFNLGGKKEEKTEAPKED AVVAPTEEATTAAPVEPVAAPETTEAAPVVAEATPASPTEKPAPTKRGSIFGNLKFGQ NKEKKTEAEAPAVPAKDAEPVSETAPVIPAVEASEPLATSVASPATVPTETTEAPVTN GETKATEVPTTKSDKRKSSLPFFTKKEKVASDEEGEKVEKPKSPSPFAKLRGTLKSKT SPKAEKAAEKPAEPAAEAPAASEPTPAPEPVVSEPEPVVPTSTPQVTASA LY89DRAFT_679531 MGPLKLPKVDQKSLLFTCLLKDYDMGFELLKHVFLHHSSAWQLA ATCQEAWDAVCEKVDIWDLTNGHFNNCDKEVSKENTNPLQAGSVSAHLVITPMRRPEG PASYVEQVRNLRLLKLSVLNFGHSLRNIYFHRVPFISINNLSLLIPQMAKLEILGIYN CRLMHLATGLKLLELLKVDRLKGKENSVALDWYPNYHLGPETGAVGTYGVCWDNLNLD TCVGIWSIVKRLVTNAREQGIDLESPHTMFRKWLEKTPCWKVGATLNSFFYPGASHLE IAAMVQYRFSHGNPKWFASSMKYKWDLAELSRDFWCRTCKSVQMGIFFPGWRGVELSS DRQQQRSLPACYGCRLTFLLRRDTDHYKVEKRRIIKTWLMIDDKTWNTDNLRKSIEDY ELLGIEELAANLDEKRKKHMTLSPKNPDPYDRETQERQVPYTDHECSGKQGGRWRSPD VNEWKNEEFAYINNPKEWSMCFSRFHEKYEGHGTFW LY89DRAFT_634443 MFTIPHFRRKWRFPNVLIILMILELGGTVAGLALFGIADPDLFR TRLWTVGANNGFNSSPTEILYAYANHRPIPTIPFVWSQTLTSFNVAISVLSMFILLVK VVMFTLHCWYPILGTITNGIITVLWVVSMYGQMGPDHSDPEHPSNIAWYISKSCTYAD ATGDHHFCVMAKGTFATTVLMMVIFLLNFALGVYSLIPSAAERAASKIDVDDMQMKSS PVSSDGRSDKEWEMKPVPRQSQKVPYTPRTLAFNTLDRQLPLRAGESDGRWGR LY89DRAFT_604977 MLSSVGIIELLDQDERPTFIIDIANSANFTPGGPLQIVFANSAL CAYESILEMVTGKADLDSPVIAVTNDFPEFKAWALSFVKNGESLDICLPSFIYGGVTW TCSTLRKRLRLISGSSSSIVNTATSASSNGALSTSSMLSERHAKAASNRLPRSPLAES SEPADYFGDAAPSLLSTASTSPIQTMLQARYPDSPSFDWTRLPMSAALPRHIQFARSI DWAATPLGPIENWTFDLRAMCNLIMGSPHPAAMYWGDEYIAIYNEAYILLAGQKHPQL MGQSYKTAWAEIWTEIEGVFSNAKESGQSTMKDDDCLFIRRNGFLEESYFSWSIIPLV GEDGSVVGLYNPAFEKTRRKIAERRMLTLREVGEKTAAARDVKGFWGQVIKGLEYNEY DVPFVFLYSVSEESDSDMSSMHSGSLAQAPQCVLEGTLGVPDGHRAAVGPLDLKTSDE AFAPMLRESMKMDKPILLKTEDGTLSTDLIDGLDWRGFGDPCRAAVVCPIHPTTGETI LGFLVMGVNPRRPYDDDYSLFIQLLSRQLATSMASVVLFEEEIRRGQRAARLAALDRQ ELSKQLDLRTQEAVESETKFTRMAEFAPVGMFIANSSGQITYSNDTWWEISRHPRGEN SANTWMDSIQDEDRAGVEEIWKEIVNKKTAVTHEFRFKTPWQDRNGSRGDTWVLMSAY PERDEDGNLKSVFGSITNISQQKWAEDFQKRRMEEAIELKRQQENFIDMTSHEMRNPL SAILQCSDEISTSLTEFKSVDDDSRTNEKLLDVLESNIDAAQTIALCAQHQKRIVDDI LTLSKLDSALLMVTPVAVQPVAVVQRALKMFEGELDTNDIAMEFKMEKSYFDLEIDWV KLDPSRLLQVLINLTTNAIKFTHGQEKRTIIVSVGASKERPEGGNHNVSYFPSRSKRR HAVTEDADWGDGEEIYLHFAVQDTGRGLDDKEKTLLFQRFSQASPRTHVQYGGSGLGL FISRELTELQGGEIGVSSERGVGSTFAFYIKSRKVEDFIPDTPIANALNSLRRNSSNA AVTLESRRNSSGKAIQRANTTGTRRASRQLTPPVTTPTPPIPTSSLDHSTLKILIVED NLVNQRVLHKQLKNLGFQTELANHGGEALDFLKTSYFWSGREKDGVDLAVILMDLEMP VMDGLTCARKIRDYEADGTICRHVPIIAVTANARLEQIETAIAAGMDDVVSKPFRMPE LIPKIEELVTKSNAASTSTASNNNTATSTEPQQGEASGSILKS LY89DRAFT_703476 MAPPPSPASKLRAMLANEKEIIVCPGVYDGFTARLALNAGFEVL YMTGAGTTMSKLGHADLGIATQTDMVSHASMLASLSPSTPLIADADTGYGGPLKDQVV NKRCGHLKNKELVDLDTWISRIRAAVMAREESGGDIVIIARTDALAGQGYEEASKRLR AAVEVGADVAFLEGVRSVEEARRFCGEMSGVPCLYNCVPGGVSPVLSVKEGRDCGFRV VITPTLALGAVFESVSEAYRKLLEEGDTERDGVPVRRLFESCGLEESVEFDVRAGGKL YENGV LY89DRAFT_745230 MGGYAETLLPGSAPPAVPTTAFLGFLALLWIILTREATPLRKIP GPFLASITRLWIVQKQRSFQRPLVDIDLHKKYGPIVRIAPNEVLISSPQAFRTVYGAG SNFRKGDWYIGTSACGWSGDDNLDFLNEQNVEKYRTQRRAVGPAYSEASMKDLEPQID EVLEKDISIMRQRADCYSMTSFSKSKDLVEKNEVDGSIHAIHAAWKYMHVIGYFPLLH RALTAVLHIASSGSTSIIHMINTFFPFIERETRGAKTTSDNPFAFPILNVEARFEARK SSSLDPKSQHVPNDIAGKLFQLQADKGVLKDHWVMNMVMTNFGAGVETTAIVVSTLIN NIVSHPGCQEKVHAELDKARKEGRIGKIPQLREMKEQLPYLNACLMESMRMHSVVGMP LVRVVPEGGVELEGKWLPAGTTVGINPWVLCRDKFLYGEDADEWRPERWLEYSPDKLK YLGMLPYAMAACFSSLTSTETYSVSFGTGARSCPGKYLAQAVYTKMIPMLFQDFEWSY TNPEAKKVLQCTFSVRYMKLMMQWKLREQTA LY89DRAFT_559650 FLVFHDKDYFHHECKRLCREVQNWILHFSEYSDNRACRLTSDID NAKIVVRLNNTMLDGSDVNTYLADRVKRRDVLMSLTMTMMWEFILRRYLFGMAREMRR KLQEIERALREAGPTAAVELWRATTLTLLSKSTSHIKSVDLEAQAVCVAIFEVLCEVL PSPTHQEDHLTNMLTNVVKRAVKLSIEMRTQRAEYTILSPLPDYNSDGDLSSKVVFNA ASMNERDGITGTNEELERQKAIVRIILFPLVVKKGTDDGSGNEE LY89DRAFT_19937 MYALEEYEQVIGACSTAFAVLNQRLESLNLESLDKHNGSSFKAK LNAVWNDDEVGMLRQNIRGQAIAITLLLSTFQADTSAKTYQLLRESKNQAIFQTVSDD ARTLLSKSSRARTFTQRTVDHDVESSESVLGNDVFDFDDLIINSTAYRRAYHRYNSHA AKEKQPVDTKLEESREEYESRACTQLEYHT LY89DRAFT_727406 MSAPEIRLQTSQPDDKPTIFSPISPVSPQASSKSIYTRSDTLTL SDKYSPIEKGSPQSIHSKPWPVRPQPLSKDITGQRWWDTTVDFVTCLIPLPFFMLAAA VVAVNGKEVNNRDLDFLQQAIKGATTLFPICFAAIAGRAAIKYATWKLEQGTTLGALE QLMGSRTVASAITTQVQLRSFNAVGLGLILVWALSPLGGQSILHILFTPTKVTSTPSN ITYFNTRQQSYSAPTGSFANQWFSGFSILFSSSILAPQAVLQSSMDIWGNVKIPYFSS ISSDGEPRDSDGWVQTSANNGTLVYSSIFGIPMTGIGFGNTTLMMESTYMQLTCDNTT TFPIPNATDGTPDFKGFLISQTGPFFSYQNVSDSVPFAIGWQGQDVAQLGTNDPSGFV YPQFCPDCLAPSLTNASFTNGTLVFQEFDGVDNVTSVYCTPSQQYMENQIFCTKDGVT QQCQVTAQRLSVLPHFPSEVTYLSFNEVAMGLTALFANSTPQGKAVNQLQNWILDPTS TVSLISNVNSGSNNDGQTPLAFVSLQDIGDRLGQLINAFIHGSMWNSTSYIIETPLYD IQEMVAGGRNGSFVPATTSDIIAMIQNRTSAFTVTANQVNAAQVYLAFFPWLIIFLLS NLVMLLAAITGVYFSRKTIVPDYLGFVSSLAKESPFIRMPDAGINMDGMDKAKLVKDM KVRLGDISDFDGGSNGRVGKLAFARMEETTKVQRGKLYI LY89DRAFT_604985 MNGAAKAPPSLDAVDYDPIDHLNTIFSHPSTLSSINQTASALQT HQNDLSTTISSLVAAQAYSDDSSLARMQSAKEELAQLFRKIETVRTRAIETEQTITSM TADIKRLDGTKRNLTLSMTALKRLQMLTTAYEQLRGLAKTRQYRECASLLQAVLQLMR HFNSYRSIDQIATLSRNVAELQRELLEQVCEDFEIAFAKGEVSSKRGMLGEACLVMDA LGENARARLVTWYVNTQLREYRSVFRGNDEAGSLDNIGRRYSWFKRMLKTYEDEHAGI FPIQWRVNEVLANAFCEGTRDDFKGILERSMRRPDGGKIDVNLLLSCLQETMDFEHTL EKRFASEPRASIDTLSSVEDKPQTFDRSISEAFEPYLSLWVDSQDRQLAAMIPKYRNQ PMIPADEEFNPQAVIPSSIELFHFYKLTLAQCAKLSTGEKLLDLSKTLAKYLDEYAQQ VLLHFLQVSGGPPLNNIILVLNTADYWHTNTQQLEDNIKKRVDSEFAAKVDFSSQSDA FMGVASAAVLGLVHKVEVNCESSWREMRNTNWSKMESVGDQSSYVAELLRHVNSQAEE ILALVAKQQYARAFCDNLVEHLATTYIANIVQCRPVSEVGAEQMLLDKYVLTKGLTTL LSHSPSASASTTTQAAFVKRVNSSMARLDPLLKTLQVRPSPPEALVQAYLIHIGDRSD TNFRKILELKGIRKQDQPHLVELFGIHRDGKSNDQLVAMSPLLTPLMNAGGIGSGGLG LNAASLGAASGIPNLQTRFDPAGFGEKLFSAARDGVERMGTAGVGGGVGERVGSPLGE EAKATVEGNLKSIGKFFRRDMSGFGGIGGRFGARRDGSMDDTVR LY89DRAFT_679541 MATALIVLDVQTGTVPKVPAATSPTYLPTLSNTISHARTAGVKI IFIRVCFRPSYPEISTSNPMFAKLIDSNMYLEGTPEVEIHPALGCDVSKGDIIVNKRR ISGFAGSDLEVLLRGMRVQKVVLAGLVTSGTVLGTAYEASDRDFGVTVLRDLCKDREE ELNEIVLEKVLAKVAIVQSSEEWLKGLV LY89DRAFT_20083 MATSSSCPLLLPQNRKLRHLQGLYIRNLTLSRPRGHTTDDTALL PIPKSLEHHGLHHAHSSSDLLSSSRPGGLRRRSTNLTPGVRQRRLENVIEERMVDAFF SLHVGEQVVYVSEVVERVTNPCWRDFELEGAGGGITRADTVVLKVWVKRNGVERWGLL LEEEVRLRGLRWVGKGLEGLSEGFGANAVVLRLVDGMYVFDVGGRGGEKEVQKKRREG EKGVMETSSYSALMRLSNLDESIQDALAMREELAGQINRILRGRKVDESPQAEEVVKL ATKYVASEKKMLKASIRRRAELKASIAARSKAIQTGNEVQAKVMEDINNAQDKLSQCR TLLATTTSEIHGQRRRICEELLAIFPIEPTSHPLLFTICGLPLPNSTFEDADEDLIAA ALGYVARLVDMLQYYLSVPLTYPITCYGSRSIIQDLISILQDNQRTFPLYMKGTVRFR FDYAVFLLNKNIECLAESQGLKVLDIRQTLPNLKYLLYVCSAGSSELPARKAGGIRGL ILMREGSRRGSEDSGVGNGEARRALESAGKEGKGGDEVLTVPFGGNGGVRSLRTSGLR ENVR LY89DRAFT_20082 MFARNTTSNLGDLSKDTKLASPPEDSTSALSWSPVADYLAVASW DSKVRIYDVSKGQGVTAIDLNGPALSCDWSKDGKKVVGAGADKTAQLMDLEANTTVQV AVHDAPIRVVRFFEHPNSNGPMIVTGSWDKTVKYWDIRAPTGAPASSLTLHDRVYAMD VRDTLLVIGDADTNIYIVNLNEPAKVFNARNSPLKCQTRTICCYTDATGFAMGSIEGR GAFQWVSDQDFTARSYTFKCHRNPSTTVQSTTDVYSVNCISTHPKWGTFSTAGSDGTY QFWDRDRKCRLKEYPKVGGQISATGFSFDGSKLAYAVGYDWSQGFMGNRPETPNWIGI HHVLEEDLKPRPPPGRR LY89DRAFT_554874 TDNASRLESQSSSATASAAELARTKIALRAALRQFPDFPIPGID FVDILPLFADHSIHTSLVRALELQVKSFNNGVRPDVIIGLDARGFLFGPSLALKIGSS FAPVRKRGKLPGPTEEAPFDKEYGQDFFQIQADAVKKGQTVLVVDDIIATGGSAQAAG KLVTQLGGTLVGYLFILELDFLKGRDKLDAPVITLLSD LY89DRAFT_727412 MARPVDIGYEMPERYGGKEVAWKEEGVIPLAGNNNTWVPPTVQE RRVCGVVRWAFWAVFSCICFLIIGGAIRGVIAGVSSSKKSSSSDSASGSAISGLAATT SYSTSVSSSTSTSTLSNGDVILITSVYTAIQTIKPQTTTSLQATSTTKISTTSSASTS ANAATSSQNATSVTTTFSPGSVTSMSTAAAASKSTQSSSTDIYPLDCPAINGNTVSTT AGTESSSYTISCNTDYTSPSISTVTATSILECFNACAQYTNLQTTTCRAATFNAKLAT GNCFLRSDVTSSFSTGSDNSVAVAVLVQGGVSKGRKRWFHGVVSAE LY89DRAFT_604996 MPSFFDFQQGNETRGPANDSSPLLGRFRAVPDAQRHGTRSHRNS LLGTWTGRGSGWGYGTVFGTNDDDDDDSIEIEDMGRLKRWGRIQRDLWLEPRQAVVAR LVDKWWSRWVLLAVFPAALAVGWCALPIPQYEIDDDDFAEGISLGHKIPGHGAARVEI NFWFFLFVYYGLYNLTALLWVTKVFNIYSLNWWPETLGFPLTVSLIGIVSLAVPIPVY YFTDLRDFTGYNTLWICWTFFTMAMPLMLAFGILVNHERHLGLRQSLSETQRIFTTSW WTGESETVVARSRPRRANVTQNHYDPDAPLEVALASENTNMRERNLALRKRWIPASFM RFIWFCAALYVGMMAYVLGEAYAETYLRTLPHNTIQTIVYVYTWVLTINLLDGLTGWI LGGNEGERVGSYPLGWVFKLYYSLTYQTYVRALYARLRSPQQFILLQVLSSSFLIILF PLSMSRAFHYVLTILGINGQTTQQYQKFCARNIFIRGLSENVSMLAFLGSILVLHYGA NKNVYPYFAFDKEDTPATPPTDGGLPISDGSEYDFGLTFYASMVTWACEMVAAWIVRR IIWYGWKIDVTGEAKQDLGTWPELLPTGVVVIFHVLQNMLFSIARLKFH LY89DRAFT_20381 MAPGADEVVAKSISPYGVARSTVEGKPLSAEEIRKFDAYFRASM YLCLGMLYLRENALLKEPLTVDHLKARLLGHWGSDAGQSFTWMHMNRLIKKYDLDALF VSGPGHGAPGIISQSYLEGVYSEVYPDKAETEEGMRRFFKQFSFPGGIGSHATPETPG SIHEGGELGYSISHAFGTVFDHPNLITVTMVGDGEAETGPLATSWHSTKFLNPITDGA VLPVLHLNGYKINNPTLLARISHEELTSLFIGYGWTPYFVEGSDQESMHQAMAATLEH CVLEIKKYQKQARDSKKAFRPRWPMIVLRSPKGWTAPRKVDGKLLEGFWRAHQVPIPD VATNPEHLKVLENWMKSYKPEEVFDKEGKLISELKELAPTGNARMSANPVGNGGLLRK PLDMPDFRKYALGPIEPGISNLPSMSNMAKLLRDVVAKNMTNFRVFGPDETESNKLGE IYKAGKKVWMADYFEEDLDGGNLAMEGRVMEMLSEHTVEGWLEGYILSGRHGLLNSYE PFIHIIDSMVNQHCKWIEKCLEVEWRVKVASLNILLTATVWRQDHNGFTHQDPGFLDV VANKSPEVVRIYLPPDGNCLLSVTDHCLRSVNYVNVIVADKQDHLQFLDMEEAIEHCT KGLGIWDWASNDQGVEPDVVMASCGDVPTHESLAAVALLREFLPDLKIRFVNVVDLFK LISEVDHPHGLSDREWKSIFTDDKPIIFNFHSYPWLIHRLTYKRPGQLNIHVRGYKEK GNIDTPLELAIRNQTDRYSLAMDAIDRIPTLHNRASGVREKLMNLQIAAKTWAFEHGI DPDYIRNWRWPYPKVSELA LY89DRAFT_713248 MDEQEDDRLRQLTTLTPRRFHISANPPFGIGFLIFGHENEDYHE DNLEEFFNMSDQIRNCKPGIWTSMTRELSDAEPDQPDRECILRWVCEGSIDLAQSVDD WKAYEEATRQGDAAVTISKIVPPGTKWRRHGSYFDDGGICTIVSTEYLTHKAARAIMF GDGDSDEELDFGYYLETLSLNGADIGVGDQEGLTIGGMNFFQDEVGSPPRIAVAEENG QVIAVRFFLGGEDWSSEGTEEGLESIEGDEEGME LY89DRAFT_713249 MSREGDGLHPPSIGMAAARPSLSSNKHNASNFAPLSLPRSIRLL RIVALSPEAGSPSQDPPVLGVFLEQFHLDQSPEYHALSYTWGEACRADIEDTDAPETA STNGCPTILVLENTRSPGEPTSEDKSSQANFRPQRKPYLITQNLQDGLQHLARTVYAG KWFWIDALCIDQENLSEKAIQVALMGEIYSKASKVIIWLGSDTKDLEEVEWLCNDYLI ALSNYYLTFGMEDLRAQTPYSPKLLAHLNLQLPHGDILLCWEKYLEFCRRRRWLSRIW IVQEVALARDIVVECGETSLVWDHLQTLGRLIKALGWQPMTAPSVNKAFGRAICDEVI RLFLVKDDLGAATERLKKRGTVQGELANSFANSPDTSDQDVHLEWYRYLQRLLYTTRP YSATDARDKIFGLLGIAQTVLPVGMPLPIQPDYSEHSTAVSVYTSVSSMLLEKVSRSQ NLSFVEDPGSRIIQGLPSWVPDYSVTLITAPLTLIRHPDHLFDCCPVEGSVITPFTIQ QSTLETEGAVFDHIVAFGPPMWDVLKKSNVKSCLDMCKNIVQPYLDTNQDPSEVLWRT LIADTYASLPAAPSILASFKAWTRSRIAQDLTPDIISTDETGAQVTNVLLNESQIRAQ LSFVQDLHDTIPALSLPTVNEVLDTIRITHRFMLQDFVARYATLDPNDPFPSRSEQRY RIEADAGAYHEALGISAPFRRLFRTERGFLGLGPQSAEVGDGIWLLKDAAVPFVLKAG DEDGTMRLVGECYLHGFMGGRMAQEVGGKVARIRIV LY89DRAFT_634472 MASEKEGTLPFPIPGIDKPCSTWYKTIGDLHSGTTPVVVVHGGP GLTHDYLLQLSELNSLYGIPVVFYDQIGNGKSTHLREKSGDESFWVEELFWRELENLV VGLGIGDSWDLLGHSWGGMMGATFAGRTPSPKGLRKLILGNAPAYMSAWVDAYGRYLE AMDDDVKAAIKKGEETGERESKEYEAAMEKFMAKHCLGEKAPPELAVSFEWAGKDETV SKTMSGSSEFVVTGNMKNWSAVEASKLISVPTLVINGVNEGATDEAIKPFLDNIKGVK YVKFHHSSHMGLYEEKELYLKTVGEWLLEK LY89DRAFT_776516 MTTPYWGQLPPPKVARGNSLRREEGYTTKGNNDLMIDTKMTGDR LSSQSAAPASSRQNRFSTQTDKTEATYSTNSPFVSPIASEFRGEGLAPRPPSFQAGAS APVYNKEAIDRRRRRESRNREEVPPQDLEFIPPAAPDAPRVPPPSSYKEPYTNSASSS SYQAPTRSRSSRRTEGPVSSGKAPAEEYHRQGSRDEPVAEVGRARRTEPANGKARVRQ SIDHTAGQSQSRKGSLADAEVSRRREWASDRSPLQRLELTLDSITKEEKRARVEEAEQ FAREAKAGRVDEKPTQNSVRFRNRPVAKASESTSHSQPQSLPESSLDRNQSTKQKERS PRSGTDERTRPSGSDVSPSNKNQARQESTDVPRKIETATTPKRGASFRERSYIPVATA GVGAAAVAASGLSRSGSNKLKKDPPGDPWLHKRVEAEKKYPEVIVPRKTSVSKTQETT ASATRPSKETAFRSHPQTIKEKELPTLPGDSGQDEDIYNDDEVDAKPVRRGTLSKIEQ LTGHKAPIPAASMPKNDKGIRTERVKVNGVHFNVSPTISDGPTDDVPRQNAKPHPHLS NILHHKQGHPTETGVYTAPRRLDEWKKGGVALLSGAALDLEVNEKTEAEKDKAWWEAG NTTRRRSSVKQRKAEAYDGEYDDSSGMHPDISLTKNCEGCASERQYRQNAVNLRSRHL AGYDRKTKSKYVSEHGVAQAGQPSSEMESLESPSSTAALSLPNQKTIPKIPPVPILKP HLLTCPMRAIRVRPDIAPTRFKPPLFLKSGPMLRYCGLRREKTKTRSPRNAVLPDREI WRGSIMIVTNDSQSSYELAPTLRLFLQPIELLPPPQFDGEELAPEYVDPLAGLPRMGR DGRTLYIRPVDDLEEEKDLSREESDAGLYEMQRTLVDGAADRNPATRRTQYDGEKAGK YKEVRGFRLHAEHGVTFWRFNLEIELREKQQRIAYRINRGPATGFWVPARGEAMNIMF HSCNGFSHDINPNQFCGPDPMWRDVLNTHQTQPFHVMLGGGDQIYNDRVMEETVHFKE WMDIKNPLHKERLPFTNELQQELEEFYLNRYCMWFSQGLFGLAVSQIPMINIFDDHDI IDGFGSYPDDYMSSPVFSGLGMVAFKYYMLFQHQSSIDEGEENEPTWILGTQPGPYIQ ELSRSVFTHLGRSVAFLGLDCRTERMYDEVVSRETYDKVFDRLDREIIKGETKHLIVL VGIPVAYPRMVWLENILTSRVMEPVKALGRAGLLGKKLLNHMDGGVEILDDLDDHWTA RHHKKERNRFIQELQDIAAEKSVRVTILGGDVHLAAIGQFYSNPKLGIAKDRDFRYMP NIVSSAIVNAPPPDTLADLLNKRNKVHHLDADTDEDMIPIFIQDVNGKPRNNKRLLNR RNWCSIRMYDPELSPPPTPQTDGSPSPPPRGGLLRRLSNSRGPSYRPDAAIPSQGTGF WGMRRGSDAPPVSNAGFFARRGSTDAPPLSNPGFFSRRGSTTRRGSVDSQRPGVLTRT LSLTRKDFMPKGLFRRSSKRRSDSGGINGYGDSEDDMSFDDAPPRPGLRGGSGGPEDD GGYFPSLPPPNGRSREVPSDQIPTSIAGAPASKGLQRNAFKRTPTGLSEKKLKRGGDF EINVENSLDICLNVEINPKEPTGATAPYRILVPALWYEDNFDEQQEVVRERRPSAIQR FTSFTKGKGKGKEMVMGGGDGANYSYSSDEEERI LY89DRAFT_21759 MGLYIAASGVEYIINSRNHSGCLQHSKSHDVSRARGYDNAHGTS VPSPWQTSTSYCLLFFYCTEVHMYHAKLDSASWSSIILSHYPCSSKPQKITWCVLVVP NLPNKSAEIYVHYLCCCISCSSSDSFYLLSDLLRANHASANSTFESAIVTGH LY89DRAFT_572892 MRLHSILGLVGLGYLASASNLHARNYEAQDYYVLHLDPTTPPSQ VAVKLGLTHEGPLGELQDHHVFSCHKQEEDVVHTALKERRRRKRSIGGYDVLDGVKLA QKQKLKARMEKRGIIPPRPAGYASPIEERQTPEEAAVAKQASVAAALGIQDPIFNEQW HLFNPVQIGHDVNVTDIWMQGITGHNATVAIVDDGLDMYSDDLKDNYFAEGSYDFNDN QLEPKPVLSDDKHGTRCAGEVSAVKNNVCGVGVAWDSKIAGIRILSKMITDADEAIAM NYAYQKNQIYSCSWGPPDDGRSMDAPGILIKRAMLNAVQNGRGGLGSIYVFASGNGAM NEDNCNFDGYTNSIYSITVGAIDRKGLHPYYSEKCSAQLVVTYSSGSGDAIHTTDVGT NACYSGHGGTSAAAPLAAGIFALVLQIRPDLTWRDMQYLVMQTALPIDLETGEWQTTS IGRKFSHTFGYGKIDTWATVEAAKTFQNVKPQAWYYSPWIHVNQAIPQGDQGLAVSFE VTADMLMAANVERLEHVTVSMNIDHKRRGDLSVDLVSPDKVISHLSVTRRLDTAAEGY NDWTFMSVVHWGESGIGTWTIIVKDTVVNEFNGTFIDWHLKLWGESIDASKATLLPMP SEHDDDNHDLVVSTTTIAGATTSVTPVATPTSLMANPTDHPDRPTKPTGTTDEETSAT ATSSPAATTSASSWLPSFFPTFSSKTQFWIYGAAALILIFCSALGIYLYMARRKRLRN NPRDEWEFDLLEEDETEGLTGGGKKGTKKGGKRRAGELYDAFAAGSDEESEEYLDEGG DEREKKLYESDDEGKHVIGEDEDEEEGDHNEKNQGEHLLRK LY89DRAFT_605010 MSKNYVAGSEWGDSTRSQQSWTCVHKWLETCIQSHKSCSSQPSV ASWKPTRLLDLGPMGAKLLPRLIEHEEIPAGVVYATLSHCWGSAKVFKLQKGSISTAK NQVSFRHLSKTFQDAVEACRRMKIRYLWIDSLCIIQDSSEDWDAEASRMEYVYNHCVI NIAASHALDGGWGLHTDRDPLILQPCPLTVTWDDGKPMDMLCADLDDWIKRFDSEPLS TRGWVVQERLLSPRICHFSGTQIIWECNEAFASESFPLGFTSNDHKFNIRNLRGLTSL TTSSEDHLKWDCWRDVIRHYSSAGLSFERDKLVAISGIIRRMRDVFQTEYLAGLWWKG IEYQLLWAVHREGKNMPTRSSVFIAPTWSWASLNGAEIDLYSHTNTQTTPSLYRGRYL LCRAIDAQVVPASSTTICTDGHIHMQCFLVPAFITPSTFGWYDIAVPIHEKRLGRQPL ISLFTIIDVHMTNRGELNFWLMPILDGLEDKHLEGLLLYSNNHEEGIFRRYGSFRLRG VIDLDNLWKSVFEFEASALEARHSNIGLYDKVWKYQIGSKKEEKYEKCDA LY89DRAFT_663132 MEWAPIIIRSTGINQDGSLSGITEPSKKAQSNLIIETYKRAGLD NTLTGYFEAHGLNLLPQLCKETNLNLTGTGTPLGDPIEARAIGESFRRKRHHRTPLYI GALKSNVGHLEGTAEIAGVIKTVLVLEKGVIPPIANLEKLNPRIDADFFNIKFPTEAV QWRSEGLRRASVNSFGMGGTNCHVILDEAQHYLVKRHMMIGQNNRDVSLTPDSNVDIQ PTQANGNFPHILLPKLLMLSAADEKGNVTNLECLAITEYRCSPDELEKAPDSTKIYLP EFGQPITTALQIALVDLLKSCNVVPNVVVGHSSGEIASAQYRMASIGLSKEDLNIHLE KLGSQSGFYLDLVTISCINSHCNVTISGPEIQVDEVITYCEKEKIFARKLKIGVGYHS PQMQLISTDYCSNLQGLEADAKGGKIHPLMVSSITSEIVSQHELRQADYWVQNMLSPV DFLGAFSRCSKQSSKSIVHKMNREHTKMVSIDFWLEIGPHSTLQGPIRNILASFERTN QVDYSSTLLRGDRSIETLLAAAGKLCCRGVPVHLDRLNQLSAHKQRVLTDLPQYPFDH SIIHTQEARLNREFRLRPYANNELLGTRVIDWNPLEPTWRNVMRLDEMKWLQDHRVNN RIVLPGSGVIAMAIEAVRQLVKGKTVASYEIRDAIFHTPLVFPTETTVRETQMCLRPL SLVGDSDESTWYEYRLHLSKSDGEWEEIGRGSVCANLRHLAHELDTKLQSQVEQLRSF FASESIHCPQEVSPDLLYRSWKGMGLDLKPMVQLLDQIRFSNTGKALSTVQRPLLIEE ATASDGKTSTQDFLVHATSLDALFQLIFASWTRGGTVVDQTMLPTRIDRVHISGTDLD NPNLGPFTAFSKSHEENVVNKRKRVCSVSALSEGAQQMQILLQGLEYTTISNSDPPQE SHDQPSHLCYNMDWIVDLDMMDSQDILQYCSKGIVLYDEPVSWFRDIEFLALAFGFQA IEELRRADRQHIPSVDKYISWMRNLLDQGFSQLEPYSREEWEKKLANTDAMLAISSKM NSTNIGQTFVQVGRSLPRILSGDLDPLHVIYENEKQIRGFFMELNKTSPLWAIFSTYF QALLGKNPCMNILEIGAGTAATTELLLGLLNLDGRSENPHYQSYYLTDIGPSFLEKAR MRFQEDELMKFGVLDIEKDPIMQGFEGEGYDLLVASHVLHATRTLTETLKHCRKLLKP GGKLILIELTAQHHLVPFIFGLLPGWWRSTEDYRQQSPCISETQWNEVLINSGFSGTD VVFQDYKSSECHVWSLMVSTAVSLEPTITPNPIIIIDKHSAFQQQMAKSIFEQLKLEE HLSPSYKIASLDDIETIVAANNSHTIFLNELESSVLRRIQPSQFEALERLLFLSGSFL WVSQGGGISMSPDAGMAQGLCRVSRQENPNLALSILNMEPITGDNLQLKAATIAKAFR HAAINFGHDTFEPEYLGLLHVSRLLQDQAGDAYIKARNMAVGGIQEFGKGSPLKLEIG TVGMLDSLHFIEDETTGDELGQNEVEVEVQAVGVNFMDCLAAMGRLKTDTVGLECAGI VRRVGKNCLEFNTGDRVAMCAIDCYKSIVRAKAELLLKIPDSMSFSEAAALPICFGTA YRCLYELAQVQPGDSVLIHSGSGGTGQAAIQLALLLNAEVFVTVGSDTKKKLLIDLYQ IPEDHIYYSRDTSFAEYIKRITKGRGVDVVLNSLSGGSLAASWECIASFGHFFEIGKR DIELHSSLSMKPFGNNASFTGVDFLAIIQQRPTKAQKILEAVFEFATAGNIHSPFPLQ VHSISEIEKTFRMLQSGNSSGKFVLELKRDAEVSTVLKPKLDYKLSQNATYVIAGGLG GIGRRIATWLVDRGAKNLLMLSRSGVKGHEKAQTLTTDLLKRGVRVETPICDISDPQS LRRVLRTFEDVLPPIKGCFQGAMVLQDSTLNEMSFEQWTAAVKPKVDGLWNLHNILPR DLEFFVLLSSFCGIYGNAGQGNYSAGNTYQDALARYRVALGENAVSLDLGPMLFEGYL AENPQVNERVKNLKVTRPISQDELSGLLDFYCDPSRQTLTEAQAQIVVGIELPARIRA AGSDVPMGLCQPIFRHLHQLEMFTRTTPAIRTSSLDYRDLISSAKSAEEANNIIAGAL KKILSQILGLLEDNIDGNALIDSYGVDSLVTVELRNWLLKEIGADIAVFDIRGATIDN IAHAAAGKSSFRAQDRSNV LY89DRAFT_548631 FAMCIPFQYNWDKKIKGGHCIDQQMFFRWATVPNIVTDLVMFFL PMPAVWGLHLPTSQKIGVTFTFFLGSVYFIRLHAFKDLTWYSVDTYTWTVIETGVYLI ATCLPSLRSLIKPVL LY89DRAFT_21945 MSVTKTILYALISISTMTERISASTRTGPWDRYTLLGFIRTFIP TRMISDFGCALGFSIFDPGSKLCLYPDRNDWKVHSFPDSESEIYLDGPSVKLGVRWRL DSYCGLQSPPQVYGEHIGRWVLCWLALESGNTFSEVYDNDWDVCQHDSI LY89DRAFT_679552 MYAASIQSTTGTLAGYARKMTQNGRPDMEIILEDHNEGKTYTTF DPLSGRVEITAPHEARFDEIRITLEGTVKTFVENLSPHSTKARTTATHNFLRLSMPIR ESDYPQPRIAEAGRTYTFPFNFVVPDQLLPRSCSHACVSDHVRDAHLRLPPSMGGRSF SGQDDLTPEMAKVNYAIKVKIVRTRERDGQEVVLVEGSKKIHIIPAIAEEPPMSVTHL DKDYVLSKTKSLKKGMFSGKLGKITVTAAQPNALVLPAPSASSTMVPGTTMTTVNLRF DPHDSSSQPPRLGGLTTKIKASTFYAARPAMEVPSHFGMVHQFESTRGVYDTSVPLSS RCVEAVTWTRHTPSPAYVRRNSASSTSSDDCSDEIHASPPKENKPYYTAEILLPITLP TSKAWIPTFHTCILSRSYTIDLSLTIHTPGTGVPASTVALHLPVQIAANGNNARRATL TAEEAAAELADADEFLRPRVLEMPSPELVGNSVINAGAELPPSYEDFAVPRRSVVSRG LY89DRAFT_679553 MAAPSATATGSTTTATDPKAFYGYLFEVDKKPTKTLDALLRGIA GYISENIGNKDQKALTPDKLASFYKAVGGNYDSLFVDVPNPSISWIYASIGCQHTLQP TTDDFQPPCVPALTTRGFVRWQSIEILLGPEEHVPFIQNAVRDFNIKHPDTGELFPGP VPKEAFPLVADPEIEKWHDQCAQELRKRATPTESMPDERDFRPEMPPRPKVQATYVHV RPTRPSARPTSEYFPRTTRESVPGGPPPTSARPFYQHVHSATGQPVRPKLSRSPSHRA RQFLAPEDDIATGPRTARTRRRSFPENMTASPTSPPNVEPVRSPMDDPRVRRHSHPRH ARRGSMSSDASSSEDGGPPSPMDTERRRRRRRDYARPPDPDSPQVPPAGVRYSGPAPP VDPRLRPRGRVRVDEEEEVKRRSFPIPIDLSGKLSAPFLLGKRDRAAQAARSNSRNNG NLRWKDLDGPDLWRSSGGSMEEDERPATSGRRSGEYKRREREPRPRMSERRSSHEDGG GGGGRRSHSDRDAVPVSDRRSFRDRERERRYGSPIRGVDGRRYPAH LY89DRAFT_776523 MIRMSDPDIVGALSPPAGVIPDFEHPRDVRYTISLVTQILCLSI VSPVVLLKIYARAKVQKVMYREDWCCLVAWIPFLGYITTNLLFDRFGGGHHQWEVTEE AMSKFLLVSYAGLLVYGPTAFLIKCSLLLISTRLFAPFPRTVFAINLFMLLMLLFYLP MQFVKIMICAPISAFWSSSSSSSSSPSCFDQHNIYLADTIVSVLTDFAVLIIPMPLMW VLHLPLRRRLRVIGLLGAGGLAVAASVARLVMLLRTGDSPDRTITTVDISLLGVAETS IGLICATLPALNVLFSRYVVEGRSKGMDLELGKKRTGSGREGGRVDKDASLLRWTYAE VEVEGSGSDEGRGRESVV LY89DRAFT_703490 MSPGKTRKDLPEYEYPKETTEKLDYADLEALDISKLDQPGGIEE LSAQVLNFINKNGFFYVAGHGLTDEDIRRQYAIAEQFFDLPLEEKTKYLANTAAGDFR GYKARATGEQAGKDNDERYNIPKFTPEHERPHPQLILDHYEEIKTFSLHIHNQILLPL LRIFAHALELDTEYFVNQHRYTAPGLEYLRYMKYHPSPRPLWAIGHTDYNTLTFLFHQ PISGLQVQTPLGWKYIRSSPLSIIVNVADALELLSGGYLKSTVHRVVRPPVDQRESAR LSLIYFARPESQVRLERVRSPLLERLGVKAEGEESLGGVTAEEWARARIAKDHRFRAG LVGSREGEIIAGVKQKYYD LY89DRAFT_634489 MSSEIQKTTAGAEVVSPVASSLSGSSRNKALRHRIREVVWDSLD RSPEERRLIFKLDIFILTWAGFTYFSKNLNSNNLSNAYVSGMKEDLNVVANEYQTFTT MWTIGYVISQIPSQMICTRIRSSLWCPSWELIWVIVTFATAAVKTPHQLYICQFFVGF AEGTFYPAVHTVLGGWYTKRELGKRASIFFASAFVGSMFSGYLQAALYTGMDGTGGLA GWRWLFIFDGVITFPMAMWAYYALPDLPSNTRVKWLKPQEIVLARQRRVDAGKGKDEP MTWLGIKRVLGKWHFWVYTAYYTFFICSENIGGYMNLWLKSLKRYSAPQINTYPTVIN AITIVTTLSYGWTSDALQLRSPIVYFSLTVCFFAAMNLAIWDGVPFGLKWASYYLTGF AQGSGPIFLTMVNEACATDTLERKFILGTTNSVAYAFNAWIPLITYNTTKAPRFLVGN SVTVGLIVCAALTLTLAVYLQLRDA LY89DRAFT_22016 MTRYHNVASLYLGFQKQSSEPFLRFQHKIRSRYHEILSTRIAQV PLVSRLACYPSNVENFTRIVTNLAATQHIFELRFTSPFIHPNPAKDDYVPAIQERKRA VKYSLQSDGYNLVRRDLMRQLGDVTVLRTVHNRLIKGHPPLPLFHALRYDSQAKIAAK SQMTIVKRLNREQAFKEFDKIRKIDATKEFGVLVADSFILIYNQTQEEHDAKIFPTKI RIPFLGSK LY89DRAFT_551177 NIARPRTPRMKDETTNQAPPTPGQRRQPELPRGIYSRPGSLTEE QVGDAIEELIVYQFENRDLLLEALESPKSGITCVGQGNRPIPDGNKALANLGKAVMNL ALMEQLYEYQIPEYNLASVGNHSGFQKWIRPRKPLPPSQQRNVMLMIKDDLRALTGEK DPKRVIARAMRALIGAVYLDGGREAAVDVMRELRLLISTSPLVGPHDE LY89DRAFT_22031 MPEPVSTSRKKTTTMSFKSALLNPKSRRQKKRVHWDASAKDNEY KMQPRTPALAPNKKTATAEKVMAAQRAKLQNGGKSLTSQGSSYRSPSPHPFSKTSWSA LDSQSQSVNRLADRNPPPPPKAAGSSGHAHSNHGQSNPITTRTSTHHQGKVYAPTTSQ SSKIQSQQTHAPKKAGESSTHSQRHPTSANTATKMATHRPQPSVPSQGKAAQSTRHQS QSSVTTDQSHKAVHHREKPAVATAPANTGARRDPKHSGQESSKKRSMAFARVPPAVPD PPERRWVQPPPTPRPARLPTPDLQEIGGRYFCHCDVGHRNQDCMSHRPDATRNANLDM NQMDINLQAAMAQIQADRLAYLEMHGYLN LY89DRAFT_679557 MADFKPVYTKDAAFPAGPYSQAIATPSTIYCSGQIPCDSAGKIL TLESSNISAMTELCIQNLTAVLKAAGSGIEKVVKVNVFLTTMDNFAEMNATYEKFFTH KPARSCVAVYQLPKGVPIEIECIALA LY89DRAFT_22039 MPRASNPLRYLRPILHIPRSRLISQASNGRDMSSSGFAARAQGA GDRNVNAAGGSSGTGAAKGVCGLQDKGGNGGIQGGGAGVKK LY89DRAFT_572138 MRFSSQFLSISLVLLSTFSLITATPVPCTDRTRDLVLQGILDPS ACCSYGVCKGDVNVQGG LY89DRAFT_563580 ITIAPEKTALIVVDMQNFFLDSKCMDHPNGLKAVQPTIAVIEKC REVGIKVIWLNWGLTDQDMETLPAGVARGFMKDILLPSSSSSSKIRSYTGLGSDLGDG KGKCLFAGSWNADIYPPLKDMVKEEDLHCAKNRMSGMWSEEQPLYKTLKGKGVESVLF AGVNTDQCVGGTLVDAYNKGWDCVLVDDCCGTTTTGGGREVFCYNIATSYGFVTDSKS FVAA LY89DRAFT_679560 MYLTLISIVKSIFSSSHSKGVVAPASEENEDHVYIKGNPDDRGP CPGLNALANQGYLPRDGKNLTLAQVETALKNGLHMTPLLATSLTNALKPLLRKDKTFD LADTRRHNVIEHDNSFTRHDLWQGDNYTFQPDMLAAILDDADGGPITLKSLAKSFKRR DREQKAIGAPKLPLKLWFVRVLNIAGSINTAALPKGRVPREVFEQLFAEERWAGVILE NQKTRSVATLLYNAVVLVWYVVTGP LY89DRAFT_727436 MGRTKGVPSQWAPVNTLEDQLASAGLPTSWERTSKSPYPRSCKS CRADLSNLNAKQQQQHHADCMLGTAPDQFDTPNRPYKCPYCHADITNMTVQEQHRHLD NCMPSTARNGFTPRISYNCTFCHTDLKDMSVREQREHMSDCVPSTARNGDTPRAIYNC TFCHTDLANMSIEDRREHMSACLPSTAPNHFAPREIQEEDSFIGTRHHVPSTMSGSLE IPSEAATNASIHQGTGEESLDSSSRLTSPPESPVIAALSERGFVADPEMDDDELDVYD DTIANDENAGNGSQSSGITPYVQNELEYYDFDEITETPAAEHMEREPSEFPQESQDPQ TRSASDGSEAPETKDVGKAHTNESDADLLRPQNPKVKHYGFPKLTEFEDFEQYLANPE EMSLEMLFRRTANVSKSLLAYQKEFKATEQVVKDFAKSKIPNKQKEMEEKARLEAEKE AERWRLYNLYYPNLQALLEASPASENATAGTPEDEAAEANDDEAAEPNEDDAAATADE GGNGAETDVGEAVVVTSNSRKPQYRARAIRDKAEELLKGYPEEPEASAKLLATLFTGK TLAEMIARKNGVNAPPKGAAAKSQLDLIDVPYPNLDQTERAFYATRQKRPIEEDLEMF ELRKMADVYGLPLKPGNSVKAATVELRDRNAVEDTEAVEDPNGRPKRNRNKPTTYETE QSVSQGESSEELPAKRRRIQTQATTTNGSPARARTATETRDGSPAPRTFASGKRIGRP PGSKTKIKPEKSKLQQSHLPPKSPSESETPIPDGAQSHTQDLPANEEAQLQEAAQFLV KKTAKGKDVIIPPKKGQHGGARTKKAKPEVEEPVKPARGVRGKKQPEAAPAKPRKGGR AKKEVTQKTGLPNVDEHEVVQSTEQDDESRFASASTSRPTTSSSGATVTTLGSRRATR QSTREQSKVNTEQAAANEASANQSAPAKGKAKRKRGANEPQIVEPEQQQVAIVAPPAK KRRTKEQEESPVDVAVANGEASAPTSSRQKRKRAPTLTQDSIQVALPDPVEDEEESTP PAPKRRKGGKAAATQPSASDPHGIYESTPPPTKAPKAGGRRKKVVAKPSNIIVLPVNP VLPSDTKKDAESMPAPPKIRIKTGKSKALKQSSTESEYVSGDGEETSRKTRKARPITR RKNGKRTIAEVDSDDETSEPAPKKRVGRKTKTNVATEPETDINELTTDGEVALAVKRT PGRQAKAKASKQAEDGDDEGDDPAPPKKRVGRKAKAAPIQEPDYEMEDDETEAEGVAK AGSRRPSKAKAGKKGKSVDDGKLSETELNAKEQKRLKLSIATKLRWASGKMVGPMQKR QATNEAKKAARLAAKNGTQDSAAPIQPATIAGLSTTIASAPPPATASASKPAPAPLTI SASSSATRQSGRKRKPTSRAMMGLDGADDSDDEDDDDDRPEFRSEYDTFQALSSPGTP ALGKRKPSYFVPPSALVDTSGDDGDDSY LY89DRAFT_605033 MATKKTKAAPTDDELNKLLEGIGEDDSSTQAPPKGASKSTKTTT SQTEQDLLAELENLGAQPTSRPHTPRIQQVKRTTTGTPPPASSRNSEEKASTARKSVD STRSFHTSFTPSATSSELQEAERKAPVAQPAETPAASGGWWGGIFATASAAVKTAEAA VKEIQQNEEAKRWAEQVKGNVGALRGLGDGLRSRALPTFTNILHTLAPPISSHERLQI HITHDFIGYPSLDPLIYSTFSRVMAQVEGGDLLVIQRGHESAARRNSDAGYSGGSAGW SDGPWWRQSNEHRDLGAVKGLVEGTKLVRVSAEAYSTEYFASHGGLELAAQRATENLS ESNPVRSSDIFLAVQAISHDAPEDLFQGPTQEKEEGSVVEEKAKPDELVSFAIYLHDP VHTITFYTLSQSIPAKWIRWLDAPSPLTPASPTSPSQKTSFFGNEGHNYNPYLPEEIA EIIESGGVDPREWVSEWVEEILSLSVGVVAQRYVARRMGVGEGGIGRGKAPMSEILED GGGEMARAGVL LY89DRAFT_22146 MMSLSQQIKNLIRHGKGAKQTPNDDPTTHVSPVNVHQHHQARAH DNAPRQDANHYANTDPNMIAHQAHQVPHGDAYSMADGDNRNRGAQAGNAAAHAAEQKQ KKTGKAVDKEEIARIVAEENESKGKLPRYPGLERWQLIEKMGDGAFSNVYRAKDIEGT AGEVAIKVVRKFEMNSQQGDAHLHPDFKKQPKVVERANILKEVQIMRQLDHPNIVKLV DFSESRQYYYIILELAPGGELFHQIVRLTYFSEDLSRHVITQVAEALEYLHEEKGVVH RDIKPENLLFSPIPFMPSKHPKPKAPEDEDKVDEGEFVKGIGAGGIGKIKIADFGLSK VVWDNQTMTPCGTVGYTAPEIVKDERYSKSVDMWALGCVLYTLLCGFPPFYDESIQVL TEKVARGQYTFLSPWWDDISKPAQDLVSHLLTVDPAKRYTIRQFLDHPWIRGTEEPTY AALDAPPLATPAVERQRQLGGGQLKAFDPTLLESPGAGKRMDFRSPGAVNLREVFDVG YAVHRQEEEAKRRKNFNPGYRGAAAVNGLNPIDDDDMAEEEEYEEDIGVPSKVPKARD ADVHQVEQGLRNTNLNAAAAARVPQQKGYGQHSAAVTAAAKQQVRNRKGAFELNLDGA TLLGRRGQKGPSGLRETTVAQ LY89DRAFT_745281 MQLNVNTASLILFFCSALVAGAPIAANELGLSERADLPPPPQPT SNPPPIPKTNTVEIEDRANKPPPPPKNGNGPARPPPRRSEGIEVEARANPPPPPSPTP APPPPPTNNNNAVRANPPPPPPKGNGNGPARPPPRRSEDIEIEARANPPPPPPSPTPA PPAPPKNNNAVRANPPPPPPSPTPAPPAQEEDLEEDE LY89DRAFT_727440 MQFSTTTLIFLLATAVAASPLPVEFNNDLAIRANPPPQGPPPPA GQNGGNKPAPPANGGNKPANGANQPPAKQGPPKHAIRAGPPPPGPPPQNGGNQQAPPA NAGNKPAPPAANGGNKAPAAQQGPPKHAIRAGPPPPQPPPQNAGNKPAAPANAGNKPA PPAANGANKGPAAQQGPPRNGN LY89DRAFT_679565 MASVDETVTDIEKGTALNPSTSRIEEPAEKDVFTEPDAAVDIDE PLPSDNNAIQWLKRFEHFVGLEARGIHRVHSSEQSTKTTLSFLSIVVLWFSINTAPQN ITLASIGQEVYGLGFVDATLCSVFGAVLGSIPVAYTAGWGPWSGNRTMICARFSMGWW PVKICVLLNLVILIGYSMIDAVVAGQMLSAVSPNGSLTVEVGIIISAIVTWVVTTFGI KIFHHYERYAWIPSTFVLLILAGSAGTKFDTTTPSTVSGATLAGNRLTFFSICLSAAI TYAPGAADFLVYCDPKIANRWKVFFATLIGLSFSFTFTFCLGTGLASGLQNDPTWEAA GAGSGALIVAGFDGLGGFGKFCSVISALSLIANMVAPTYVSGINFQILGRYPAMVPRY IWNTVAVIIFAACALAGRDHLSAIFTNFLALMGYWVVLWIAITLEEEFIFRRRMKPSF VWSDWNKESRLPIGIAAMIAFCIGWAGAVLCMAQFYFIGPLAKLVGDYGGDMGNYVGF AWAALVYPPLRWWELRMFGR LY89DRAFT_713273 MILLRRIVICATLGFCFALQSPKTYHFESEAPRNFTETSTPAAT THEIPTSTGHYRKLPFLSSTQCPHVVDDLEEKETSFKYPELLLNNSKDLTTKDVWTEG LFSPTTKLLVKELLAQAFPDEKPELFDSAFDFAESILPYLV LY89DRAFT_679567 MAIEKRDADFLSVRTKQHKDMSGKGGDPKGKYFRESLPSRVGIR CYTERSIC LY89DRAFT_22368 MKAFHPHYDGRFADKQLGYRERRLALSNLIQTYLSTFADIGVET WLMHGTLLGWWWNRKILPWDSDSDVQVSESSMHYLASYYNMSVFHYKTPRIPEGRDYM LEVNPHYVNREQSDKLNVIDARWVDTTSGLFIDITTARYNYTHPAGPGMLSCKDGHEY RVCENG LY89DRAFT_548278 KPGWKTDVRIVGFEREFLVHDVVLRLNSGFFRSALDGMDSGGGG GTAPAGNGWRHEFVAVDSMGGGWNLERSIERPRTPSPSPDLAPKDQHHAHSEQDLAFS KLLSAFYNKPFSISFFNELVTITHLADHFSALPCLSSALYVALWHSPVLVQEIRTNCK EMLLLAKKLRHALLFREALVHVVSR LY89DRAFT_679568 MPPKKVNGKRKASAPPESKPSTESKKLKISSSDPLRQPHEKAQE AEENGIVLRKYYPHEMSNARAIAYNDDKLPRPIDVLAEALENTKSERENIEVGDAVVH WFKCDLRLKDNKALHLASETAKSKGVPLICIYIVSPQDFEAHLTSPVRVDFILRTLEV VKEDLAALDIPLYVETVGKRKAVEGRILELLQKWGASHLFANVEYEVDELRREARMVQ MCLDNGIVMDVVPDTCVVSPGELASGQGKQYSVYSPWFRAWVKHVHENIKLLDLFETP EKNAESARKRFKDLFESSIPAAPENKKLSEEEKKRFRSMWPPGEHEAQERLRKFCDER LGQYGAHRNFPAEAATSSLSVHFASGTLSARTAIRTARDHNSTKKLDGGNQGIQTWIS EVAWRDFYKHVLAHWPYVCMNKPFKPEYTNIEWEYNQEQFKAWCEGKTGYPIVDAAMR QLNHCGYMHNRCRMIVGSFLAKHLLLDWRMGERYFMEHLIDGDFASNNGGWGFCASTG VDPQPYFRIFNPLLQSEKFDADGEYIRKWVPELKNIEGNAIHDPFGRGKGDIAKKNGY PERIVDHKASRERALSRYKEGLGRDTA LY89DRAFT_634517 MRFSTSLTVLLSLATTSLSAPINKRATASAAVLTVQTYDDFSVS SGVAGNALAEVNAKFPIDTSNLAGVAASDLAIISKAAQVSEDAETGTGGFNDAITAAG GTGTTAGTALQNGKIKNKVLKLQTDVLRIQIEVAQGNTGSQSELAGQQAKLATNVALD TAAAGQTSTAISFSGSD LY89DRAFT_605054 MLAIPDDGGAMPIHRARIFRRLLFAVIASILLYILIAYEPVNLP ALAFAPSSPHQTVYNRRPVYEYTSLYRVKANISFEERLEEQLVNLESEIRSKAEKERD LTAKKRIWQITTPELADVWKSWTAQWRDNNAGWEYKLYTSPPTDLLPLFDSVPEIAEA NQTFLSIREDLTRYLILWYHGGFWTAIDTWDRVAMRDCNPVVQVVEGRNDVSLMIGVD NDEPYLSSGSLKQWGWTRGFGFGQAVVWAPKRFDPILRKAIVRTISHAMRQLSKIGGK VDYSGELSGASMFTDIVLETLSTHLARDHRLRDRDAGLEKRVTWKKFKHLTEVLWLEK EKPESDMPGVAVLPVNVWGSGQSHSRSGPSESDDACVNHVHGWRP LY89DRAFT_634522 MTNYNGLFGLQEQDYEDGNHTYLTQAFLTPSQSIPGVPARYAEE QSQGRALYVGGAPKGWQNEIVRSIFGLWGRVEKLPVLISLSAEETFRWIVMANEGEAA TAMEQLHGRKHANGYLSVSMSLPPGRTLTLVKKELLAELPDFNEYGDPEKTPVPQLHH GHPPVRDTSDEKTPTNNHPTFEFTVEDEDSGEFYDDDIRSPEIPPPSECSPLSTPAKD EPKDGFVTQASSWANIAGTDPNITFIDLKPTRRREGPRLKSVGRIPQVVRTVNQNVAR LVFLFNLPSTITATDITNAIKEGPLVKMQFGFDADTKARYCGVIFQNPRDAADFEAVL DKEKKDSKPDRFRFIVEHARAEQYGLEDTLRAMGPPMWATRRLTIVKGGFFFMFGERQ MRDICEKLVGEDCVQLIWLYNGGNATVVFTDVSAAIKVKKEFDRRAARAHSGEEGTSA VWEGVQTTFSKDPCVAPLELKTKL LY89DRAFT_634525 METRKKPQKRVIKFSIPGMKPNVRLKVFDNVEFHVHKVLLQVHS RFFQKFLDSPEKKIPASEDFAYEWATIIEEDGSWHLVSAQSIPEKELSKVREEQTFES LLRAMYGRPYLLEEVEDLLRLTDIADYYCALLVVSRTVEAALMPAAYHLRNATLFREC LAFILSQWSDIDVRLESQQLINIVHRSQEEISLRMEKLNEEILSNLQVLFEANPETHR AIWDYIRDAATTAKIIFPPRGLLSLPTYYRKLYDCQLDGANEVFSSFQLDELLSKKST FNQELVAGMDQGGEDSFFCAMISTGDLPWDLTEEDW LY89DRAFT_745306 MSASVTSKKRIFKFSAPGLKPDVRIELFDTEEYHLHSVLLKLYS GFFRKFLDSPEKKVPASTSFAYEWVTQLDDDGGWHLVAAQSVQGKTGNLLNKDEQSLQ LDAFQRLIHAIYNKPYTIYTHFLGPLVDLADYYCSLRIVSQTLHQLLMTERRRGFLCD FIEDPCEFLGLAITLRNEILFKDCLCLALGPWSNPAFLKCKDKKLRDICDKARAKIYV EIGTFNERLLNELNDPRKNNQELRTEMLEHSQAVSAISKDPVSGRIRLPLYYRKLSDF VSKARKHPFRHLIIKLLQNDLLLDDGFKAGEGMFEDYFLCNLTMDDQYPWDDTEDW LY89DRAFT_22533 MDPFSVTVGAIALAETANKLASSLTDRYSAFSSAPKEMLEIASH VTMCAGLVNVFAQSIDDRSEDDFPKSFRQDASFLVLQKPDYGDRLKWAFGSHSQKKVA KHQENLKQAQHMIMFMTTCWQFKLPSKPKQPSVGTTGSSIPMGSLQGVLQHMPVQINM KGPESESQTVTYEATLTLKPVEQRPQREEYELEHARKKIEVSSRSSETTNRAKQALEN MRRSPYFSMLLLQPKLERVVQERKYYRAEPASEDEREKMKMEEEKRKQEAEMELEMNF RPKPLSKEAASEDVEDILSQWFDEDPEPDYLDHDHDSLSDLEEVTSEVDPPPLSKGGL KVETPLRPSSAPMPEHPNWDWACDGCERKVSALG LY89DRAFT_572412 MENDKGELVDLYVPRKCSATNRIIKAKDHASVQISVGKVDENGR FTGESQVYALCGFVRAMGESDDSLNRLAQRDGLLKNVWSGSSQR LY89DRAFT_679571 MATEMLILSSSPPRQFASFSLSSSPLPSMEEIVQKRSQVLRTGS RAAPIPQNASASYTTAASLLKSTASLDVINSCALEEDTPETKPAKVSKPRKVPIKKEE DESKSTKKPGKAVKVEAELDNAVVEKKKSKPRTKKVVDNPSEPLEKKSAAPKPRRKKA DKEAGETDIPKEKPVRKPRVKKVDGESQPKLSTGKVTKTSKPTKTKSDSASNSHKVKS ESQELALQKALKRRVGWTPPVPTSKTIGVTTSENILSSGGLGSGEKRSGFADLFGSFG FTKLENSSDPIPVGTDITRKRKLVDLVKTNVTTAAAESPISKEKAPKKKARTLTDQAT SAYTEEEELPAQPAPLLQYFSLQPSERSTSDGFKVPPKPRSKSPVKGSSKVKKGSAEA PILLSPESAMKQVGKQDFVFGTSSQLARGDSPTLLRDLHAAMQASNEEDDSFPDSVFD SSPPVSRRTTGLTTKRNLWSAASRDDSGELLDVPMIDLVDSPAIDNHKPAVPVVPKTP AQLETDIWHDIGTTPAAKPGGDSPKVLGPIEAAIRTELLSSPSGSRGVRSPKAPKVAK VDEPVKLKSPASKPKSPAKGKKVIGPQKPNFAAYTDVQLAKEIASYQFKPIKKREQMI LLLQRCWESKNQAALSNVSTNIPVVPAKISKDVAPPSTQPTEQSPKRARGRPRKDNTI QYLEMDSNMPLSQARTPKKAGKKAGKKVTQETDDISDSDNPATPSPPRRSASQMTPLK LRASESDMSDSPELSPGAAEERLFKNITKAVTSAPRSKDPLNPNWNEKILLYDPIVIE DLTIWLNTGALGKVGWDGEVEPKLVKKWCESKSICCLWRENLRGGARSRY LY89DRAFT_727454 MALSLPRLSLLFVACLSSIASVSGNRYGDKVHWHGRDSSHNLTA REEPSQVEARSTKGFRFLTEATEPFRVKSLPDVDFDVGEMYSGLMPIQMNDTSRALFF VYQPTIGAPVDEITIWLNGGPGCSSLEGFFQENGRFLWQTGTYAPVENAYSWVNLTNV LWVEQPVGTGFSPGKVTATTQEEIAQDFVGFFLNFQKTFGIKKFKIYVAGESYAGRYV PYISAAMIDQHDTNYFDLSGAMTYDPCIGSFDYVQEEVVAVPFVHANNAVLNINSSYL AYLDGLDETCGYADFRNKYLQFPPTKHQPITYFSDENDYACDVFDMAVNAALNVNPCY NIYHVSDACPLQSDVLGFPGLLEYITPGLPVYFDRADVKKAVHAPDTPWVVCSNEPVI IGPPNQGPEEYTGDLSADPIQHVLPKVIEHTNRTLISNAQLDMIIITNGTLLSIQNMT WNGKLGFQQRPSTPIVITLEDLQYEAIFDANGYEGLDDPQGTLGVQHFERGLMWAETW AAGHMQPGYQPRSSYRHLQWLLGHIETL LY89DRAFT_679572 MPQPRTYSLDYGIAALYLAGLIACCFAIYISALLFFPLLTILSA NWLLIITIVSGLIAYFQVVKNPWKNLLYAYLEFRKIDLQVRGFRDRIIFEEIGYRFVT TQRNRWLWELYRILPNFKARPELPPTATTYEYEPICGSRSIRLLKILKWTPTYGVRAE LEEMSLDNTAQYYTAISYCWGDPLQRLKTRIITLKGHDFAVSQNVYDILEHHSSIHYP VYIWIDSICINQEDLLERNQQVTFMREIYQHARNVIMWLGATHDAHLAIFLLNKVYND KCLGMSKETMHDNYGEVRHTRPWLALGKLFRNPYFDRVWVIQEVASASSIIVLYDGKF ISWTMLLQMMKLFSDTHLLLLFGGAHEGNYLVRKKPPAQEIVHGLSMARFQEKIQSGE GATLKDVVTWTTAFEATEPKDQVFALVGITEDRSHPLLVPDYRKSTLDVFRDMTLYLL RSNDPFILAHAGCGHPSSVTDLPSWVPDFTHRIQPITHGTDFKSYAASRNTKASIITQ PTNPNILKVSCSIIDTIAHLGTRDDPYYAYKDPNCTTHLSSFRPTFNKAHEAKALATK HAPDPYHTGQPLSEALWRAAIGDKTLTERPAPAEYATYYEAVASRFSDPAKLKLELQV LEQGLQNPDPAINLAEIFSEDYMEKGKMATKYWAMASLVSVGKTFCVTGKGYIGWVPN GSKIGDSVSLVYGAQTPFVMRRYEGNNESTAGNDQDFLLVGACYLHGMMDGEGSDLSE KRKVKYVVLH LY89DRAFT_572198 MPSILLISPSNEILLLHRVRTSSSFASAHVFPGGNLSTSQDGEI PAPNDPRRHVDGLAYRMGAIRECFEESGILLARRNDGSSDLLDVEESVREQARKDIHS GQVNFGDWVKEMGGTVDTESLIPFTRWITPTNLPKRFTTQMYIYFLPLTQTSRTTNVP SKTVIPTPTSDGGLEHTAALFAPCSTWLSQARRDEVILFPPQYYLMSLLSPFLNPSPF LPEEELQTQRDKVLGFVKGDGGDGKGIPWADKVMSPIGILMRKSDRRSVLGLEKPGPE LKGAGRGGDEKRVVLVKFSKDGPRNVEVRERVEILAEEKEFQKKNPAEKL LY89DRAFT_679574 MGSNDISPPHVPSYVVPVSNALLQAGGGLWTITYILMARQSFKD RTYGMPFFALALNFAWEIVYALYVAEMPLERFVFTVWLLLDCAMVYGLLTSGKEEWNH APSMKKYLGTIFWITTIWCTVGHWAFAKWWLDNDVGQKEGKFYMGRVGPDTTELGFWS ALICQVNLSAASLVMLLVRQHTGGVSWKIWGSRMLGSVFGLYGNYGWEWWMWREAHEY FMSPFAVFMWVTCLVCDLVYPFFFARIRRTEKVLLDGRKAPGSIEIEGKKSR LY89DRAFT_679575 MVRPENIQSTALTLRFKHGKHTVLLFAESSTPFTTIKTDLLEVL HERYPEGLPMGKSKTMTEIPTDIADLALAEPADQYDISKGWTELDIGGDIRETAGSMK LKDGAILSFAFTGDEDTAAEFFVDTPDLDALYGEDA LY89DRAFT_663167 MTNIEDEEAERVKQWRTKQIRLLRLDSRFSLPYPMRLTAATSLS FITGIALGVSHGSQTAGLRFRAENAHRLPTTPTGWYLYHKSKNYVMALAGVREGLKMG AKVSFWTAGFFSIEEMFDRYRGTKDFVNTVIASLSIAGGFSLWNRFPITTAARTARSG LAIGLAYGLAQDAIAAAKGRKPAYVDFIQRRGRRKADVENSQMA LY89DRAFT_572978 MKATPLSILPLSSIVRSLAITSISSSTLLLPPSLYIMAKLAHTN LPILSPDTNPVLQHFLKRTFYRQFCAGENSSEVHKTASNLRSLGFSGVILAYAKEVVL SESQHASLESCGESSAAEQCIREEIIPWANGTMETVKMTRPGDFVALKFTGAGSQALF DLSQETGPSEALREAIDGICSLAEARGVKLLFDAEQAAVQKGIDRWTLQYMQRYNCKT PGHATVYGTYQAYLKATPKVLAGHMKAAREGGFTLGVKLVRGAYLGSDPRHLIHDTKA DTDRAYDGIAESLCRRSYGPILQAVDSEKKFPEVNVVLAGHNIHSVRKVKALRQQQKE RGEQQIELVYAQLQGMADEVSCELVQAGLSEKREKAELDAPKVYKYLVWGTTGECMKY LLRRAQENKDAVQRTRDGRDAMANELWRRMRQTVGLGA LY89DRAFT_727460 MKERNTTKSLNAKSVQSEEALANLNTTMEKSTTLESSHLSSVST TPTPSGAKTVNKNFSLEGDNLPRLAVSCPTSVNKPSNSQETSSAKQTLSQEVTSDTET TMDNGTPLLEFTLFPELPPELRLRIFHFAAIPEPRDVQLTLAKKTVDGKV LY89DRAFT_679577 MNLNWIDPKPISDRDDSTRGVNTPPETIADDFLNDHDPLGTPKL SNSSVPWPGSTFIIRCAITGKVITLVDGKIIIAPPGGRGAIYWACIETKGWYGFREIN SGRFLGHDKNGYLCCRAERQQGWENFCVRMTPEGGYVLLMTHWERLWHVGPKMDKGVE MLVKVGEKMADGMLWEFVKV LY89DRAFT_713290 MHVLTAETTLPDTYLDVLGVDLYVHSKTLKEKSKYFEAFLDSPD KATKPSTRAGYKYTWVSMIDEDGSWYLVAADSKTEPCDLSKLEGNKGEHVSNFYKLVC AMYGAPFDLNSDKDLAPIIELADFYQGKPALSAAIDGLLDRGQLRVTEFTCPFLVGPA LKLRHAKLFRECIVYLAGNWSIRMPYNPRAFGPKIFEIVRNCMKEITRKKEYLDASLK EHLKLYPWLAEYMSAAAACEATDTPAYYNTLWSMIKESTKYDQECLVCALAAMEELLG DKTELRFNKFYRGEELYNDFYLSSVVEDGNLPWDPTQEDW LY89DRAFT_745316 MYGKAYKLRDARVLKIIIELANYYCALPVVSHTLIGALLKGRIE VSDDVRSNFRHSCLYCGSQSTCYQSLMRQMRLPYFHFQINSAMPNFSESASLSLQAAV RPRIKTVQSSTFQKAFKRLSPRQPMASIDSCPSTNDAF LY89DRAFT_713292 MVSTSQYSTWPVITSSSNSSATKPAPSPALDFLTAVATTDVEEY DLHEIGMISMDDVRSMDERMVGKGGFAVVELGKTRENHLVAVKRLRVSARDIQADFES HLRRICLELRILSHEPLRTHPNIVDILGYCLSDIAGYEIPFLALVLEYSSEGNLKTFL KDARHDLPLTTLLALTAQVADGLGVLHQCKICHGDVKTQNALVFKSEDAWTVKLSDFG ESTIGQFDDQSLSIECGFGTPLLNAPELRSGMVLARDLFTIEDAIRTDIFSFGLLTWE VLKRGESYFDKSWCIDLNGSNEIDQMEAYLQRLPHNGLLSKVCDYIQNSLVDTERVES VLCIFKKSLQDDPQKRFSMEEIRRHFEIQNHSMGNNEQQESNGIEDERLCAWSTRDTF LELRDSAFLNGGLGFLTFPLDLQKRVFNDLISMSVSETFTMPSRAHAALCVAECHTVG FGVQHDMKQAVQWVHTASELGSIKAAAWYPRLCTIDNVTLARSSSMMGFEENLSHLTS DTYLSTRVHLQIRIAIQQIRTTFLNWDQQYTWDSSAYMSSIRVFNEWEQDDLSSLHVA ALLGEDEAISKLLCYAKGDELSSRGLTAAHYACIGGRLSTLQLLLNDSAQSAISKAAN PGGITLLHLCIFFSGEDVGKAVSLILAIGVDPRGKVIQPVEWEYHDIRLAGTPLDWAV RIRDKSLVVSLLPFAQDDTCLKLAIRSFFWEIAEVILQYACGAKNIPIKYSQQLSELS IEDMYLLTIERPFGHWLAHGPDHLIALERTVQVCLDHCLMASGSASHRTLSDIVSLAS FEDDFSLLASFVAKLSPEDVKRKNKRGESALEQALTTSEDTEAWRTPLETIINVYTIK ELEERGSISVDDFSYLHLAISSDSLIGTRILLQKGVDVNQRSDGLLGDTPLTLSASSR FSKEFHSLLLEHGAKDDVVDDITGTNLLVHGLMRSQPNEDLLRGVLRNEDLSEINDTL HVTLGYFISGYSLRGAVAEVFKRDDAMEYSGTSRITLDKDELKILWDNLQGISQLKKW ELKRIFGKEQLSLMLRLADLDSTQRPASLDLVVNVGEMNNVLGAEETQHILGQWNAEP AVKSGRSQLAETEDEDGHEDGDASDPDNYNNADQPDQYWKELFKIQLGLPNWVTYINE RNSSGMTLLHSAAYNLHPESIALLLEAGADASIPYVSEGHNAVLPLQIACSSGRTCEW ARVQGTSTEISSLSKHSMDVAAQLLDWHHTRSDGLFQDVNQLHLASRMMLRDVARRLR RDGQCVDVEVHWPGIDNPVTCDVLALQLADDDRLIDISKLITAGYPMNKAQV LY89DRAFT_727466 MTTKSRLEHYRQGYPRLAAFLNLDANFTIFRRFDTLHLRVLLEQ QDRLCELQQQLEDCDDAETIQLNLKSRRQDDNNTRRSVLQQVAAELKDYDKGVLRYQR MMALPRARKSHRRSVHNWVNGNKPVVRSESASLIAAPFTKDYIALNVEDSDRAGFELF FDRLMQSCPRLASYVSHGMAKTIDHNVFILRRTLYERLIKCLVALCIPMGIIFPMILL YWFEKGGGRSAISAIFVLMTSFAICFMTKVTKYNLLLAVVAYAAVLSAFLSNP LY89DRAFT_776560 MSSSHETIISNEISRYNPRRSQRYSTVHVLLIRWKDDDIGVADE VKQLAQLFRDKFNFFVWPYQIPTENSQVELYLHIAQFIQRCGSENDNLIILHYSGHGG RTADLMNSECVWSAKISGGPTVDWAIIQPGILGAGCDVAILLDCCFAGQAVRSRFQHN VEFLAATDKDQFTPTGNLANRPSFTKVLIELLDLVAAESSNITILELHRQMLRKEAGL VKQPFYASLSRSSSVAGIRLARWEPLDATVVKAVSSTPSDREDSVSLYLRLSLFQPMD MEQREALIRWLTRDSPSDIEHIQILQRTISEAQAVGQVSSEILCPKIVAPNVPPQLRI SDDTREEAKRLTRSLTHVLSIPETYQFADLDVRQIIKSISERSDQLLAFMNDCIAGLN EESLDTFRNQDLYGTFELESRIAMRLTLVQDEVMKGAIKLYLDNTTLTDQRLRIGRKE EDSVLVEYWEYETMGNEDVSKSRDQAARISALLIEDKAKAFRILPGLGYVEETIRPRI GFVYRLPSGISTDDYWTLSELIGTVKALPLEVRFQLASTICDAILHLHSIGWFHKAIK SENIIILRNLHNTLREASSHKFYDFDNPYVIGFDCSRPSQTESRLTVDFSVKNNIYRH PERWGTPKPFERKHDIYALGILLLEIGCWRPLPSMDGSRKGFESVKKPERLRDTLLEI VRTKLAHSAGSKYCEAVAFCLEEREWTTEEPWQTQRRVRQKVWQPLKMSI LY89DRAFT_679581 MPCLSIARATVSLIVLQVAIVHAQSGYFPDCPAAVGIENGDFDS GQLAPWSTPSAYTVHPTISVVSPGYYSADALQLEFPAANVTSWYFSQEVGLQCEGSQY STSFSLNWLNFSIQGDPDTNFCHLSVASSYCFQTSPYSTPFPGDYNASNTPGWQNQSY ICTAQKSGYATFNVNIGCLATYTIPAFTWQFTNFDVHLVGNSTPVQSAPPFPLTSSSS TPFQSRSTPSLTPTPTQLSSASSFSSTIISSATATSSNIPTSSGTIPTSSINILTSSM LTNMTTIPISPTVVSTSVTNVLTTSTNPKTSPTTPSLSAADRLSQSSTIYFLLGLTGL IIWSQHTPRIPFCYESHFNTFSYQATP LY89DRAFT_22746 MAASPQVKNPKAGASLLEEAIAAHGGMSTWKKYKFATYNINLSG QYLAHKGFPSNYHPEVKVSTTSARTEFTGLDLERPSDIWVFTPDRVWRQDSSGTELQT RSAPRAAFDTHTRDTKWDQLHLAYFFGYAIQNYTGYPFIFAEPGFSYQELEEHWEPGY VNSASIPESWRVLQVEFPENYPAHTRSQKFYFDREKLWIRRMDYVTDVARGVAAHYVF DHRVFKGIVVPTMRRVVGRDPETGVAAVHGPTSFLLSYFDVDFQE LY89DRAFT_679583 MSRKLELVYGTDLDEAIGDTRKIDMEAVVAVFKEVIMPLTKVVE VEYLMQRLKGTKWE LY89DRAFT_703506 MSSIAQRWEKKVLRSDGLVRLAALVAATTACLGSGTNYAYSAWA PQFSERLRITSAQSNLLGIAGNIGQALSAIPIGLFIDSTGARLAAMIGGVTIAIGYNL IYSAYMQGPEFMGFWMIWCMYFLTGIGSFSTFSAAIKITALNWPHRTGAATSLPLSAF GLSAFVFTTLSMLFQTSRSQILLFIAVGAPFLILVSSPFFLKAKSELPDTAAGQVYTA LEGTEIDGEAEAESSIECSFDRTTENHPEGTVSSERLLLTDSTVLKEFSQELDISIHT LVSTVNFWLLFMIFGLYTGIGSMTINNIGYDATMLWRKDKSEISQPALETQLLFHVSI ISIMSFCGRLISGASSDLFSVVFLVAQLYAMWCSNPRLLWILSVLTGLAYGLLYGVYP ALVTDAFGLKQLSRNYGIISLAPILWSGIFNLNYGRIIDLNSSTIPNGDKKCMQGLHC YTEAYRYTLVATAGGLGLIIWCVRRNNSMQWARRLR LY89DRAFT_693110 MDSDMGNLGFEPSLQIIQEIYHLSRNASKPPNLVPVCSTIPGEL LTPSTVFLKLSAKSKSNFSFLFESAGTTEKTARYSLIGVIKTGSGFGAQTDPLDVLTD ELSQYRVASIPSLEPSPLNGGAVGYLSYDCIKYFEPKTARTLEDNLNIPEALFMLFHT IVVFDHFRSVIKVFTYLQLPPLNSPPNAIRAAYDHAIETLKSTVSLILHPDIPLPHQP PISPNQVYNSNVGRRGYESHVSFLKENVKLGNIIQAVPLNPSPYLFYVSCSDFFLVGA SPELLLKTDGLMPLPRFVGSQSIPGPLRPRIVNHVIAGTIARGQTTAEDEALAQQLLS SLKDRAEHVMLVDLARNDVNRVCDPLTVQVDRLMRIDQAGKAPGIDRFSHVQHLTSEV SGLLRPECSRWDALRSVFPAGTVSGAPKVRAMELISETEGETRGIYGGAVGWFGYDTV LLTPSPSRAGVIESEIVEEGPMDTCIAIRTMLAKAGVVYLQAGGGIVYDSNESEEWME TMNKLAANMRCIELAESRFGGNASYAKTVKELIEEERQKTKKL LY89DRAFT_571625 MKLHTGAKLPPLIGGAIGYVGYNCVKYFEPKTKRDLKDIVQIPE SLFMLFDTIIAVDHFFQQVNVFTYVKAPGTPGAEASLEEAYASAQRIIEDVVSRILVD KVPRPPQGPIQKNLPYESNIGQVGYEAHVSKLKEHICKGDIIQAVPSQRVTRRTSLHP FNVYRRLRTVNPSPYLFFIDCDEFQIIGASPELLVKSEKRRVSVHLTAGTVRLGATTA EDDALADGLKGSIKDRAEHVMLVDLARNDVNRICDPLTVRVDRLIVVRRFSHVQHLTS EVSGILRPNQTRFDAFRSVFPGGTVSGAPKVRAMELISELEKETRGVYGGAVGYFGYN TVSDGAVEEGDMDTCIALRTMVMKKGTVYLQAGGGIVYDSNEYEEYVETMNKLRANMI CIDSAEALFASQS LY89DRAFT_634549 MVSYFTRLAALAAVIPAIFAAPTPAHHLKIRNPEAADVVPDSYI VVYNDDVNSTMIASHVASVSSMLSKRDLTGIGATYDMDMLKGYQVTADASAIAEIAAS PEVAYIEKDAKVYASALTSQTEAPYGLGRISHRNQDSTTYIYDSSAGSGITVYVVDTG IYTSHSQFGGRATFGANYISGSPNTDENGHGTHCSGTIAGSTYGVAKKANLVAVKVLD KNGSGSNSGVISGIQWVGNNAAARSVLSMSLGGSYSSAVNSAVRSAVNNGVTVVVAAG NDNADASNYSPASESTAITVGAIDADDNRASFSNYGSLVDVFGPGVNILSSWIGSTTA TNTISGTSMATPHIAGLAAYLIALEGISTPAAVQSRIKALATSGKVVNSKSANNLIGY NGNGA LY89DRAFT_679585 MTNSLAGQLLLQLFFFAQAVSSTVFHTNCTIPTKTSDFVSGANV RSTLDVLYASLTTIFLCTWTAQHLCLPPSSLANGFFLRIWKATWRKLKWMLVALIMPE YLVGKALGEFVAAYRSSRCEDMQSAAAASKTEWTMTHAYYANMGGVILHSFPSLHTPR PSIIPQMGADHSQDIERSPREGLDSEPQKERFDATPDFLQDQQLTSSSEIRLGKQSRR KHDSPWIIRLLDRLLCNKSGIWRQEHDSWVEQDPPDYDIDDLELPVCLNSAQICLMMK KGLIQQLPSITCDEIEDKSKEDILVKFITLGQVIWFIIQLIARKYNGLPCAQLEIAVL GFALCTFVTYLLWLKKPKDIKIGTTIFTTQDYILDEDTKKRLVWLNLSGFFENSLYEA MEHTPSELMPNDMYSKEAMMFSYEIEKNVWWIVDYQDAGFIIGAVLLGACHCIAWNFQ FPTETERIIWRVASLFTVAAMPIYYLVWFAVSLSGVSASYLGRIVYASYTVCRLFILV ELFRTLAFLPPDAFLATWSGSIPHVS LY89DRAFT_727475 MSTSSFRVRYRMLPSKDDPNAVEVCDAPCDEPQLKRSWLREFLS RETYYGSLLFNIGAFALPALYATLSKLWVANIDSSQVVTTDVYTYIGVIVEVLNDGLP RASWTVMGDKSTRTQQSRISLSYTLIIFQTFFGFILTIIFIASAERLAGAFVPAAVRQ TSLTYVRISSVQALSSAVETAVSNCTRSLDHPDVPLLISCLKFFINIFLDLLIISRFH VGTRAPTVNTQALIRMACDLSSATAGLAYFIYIVVKLQRRSLEPSSKSRPSLASLQTL AIPGKWTFMESALRNAIYLWLISGIVSTGSDYATAWGVFNTIRWGIFMVPVQALQASA LAFIGHAWGEWRAQVGATLRRPKATKKDLLLITHKAWVSCAIALAVEVPVCLFLSFWG IESFAYYLSESESVASTTQHMWKTIDWCYIFYALNAQIGAILLATTTRWYLIQALGSN LLWMLPWAIVVTRINMTPDSAWHYDSIIFGGALVFDFFNVGLVVAIWAWLLMRGRVSL SPVSGNL LY89DRAFT_679587 MDFPSGLNRRYASLAGSLLAGIWLWVAFDRPYVFPEHVPWNIYS NSPQSPSSDVFDFPPLTSPAIKNVCARTQWNASVVFTCDSPIGSFAEIRNSVLHCVRY TIAAGGSLVMPRIVLKEDYGGLLAGNTTGLDHLFDVDHFRNSLDLSCPQLRLYKSVSE IKDKERANGPLPLLPDALLSGKSEGSQGFSVDWRDALNIWLKKYLSEDTKDPVIISLG RTYLHYSIHSDDDNFAQHFGKILKIRSDARSLATTVLLKMSSTYSLPLNPSPSKKGFM GAYLSTAPDPDRLLKEDQNYARFETQSKLYLDYATHSNMSLIYVASNQGIDVPRLFVD AKAQEIDVTAKFDLLKGKDRDYLLEMTTLQREMVDLLVMMNAAHFVGVGYSSFSWNVA LRRHGARKIEQLSEQGQQFNDGLSVIYGTTGGHSEFITSMWP LY89DRAFT_679588 MIYSDAVPSWSRLTNEILRFDLTRRDEGTTKPQCGSGIKGTYNL PAHVFALFLILTLSTLACGFPLVSRRSSKSPAATRFIFISQHFGTGVLIATAFVHLLP TAFVSLTDPCLPDFFSVQYRPLAGLIAMFSALVVVGLEMFLTTRGAGHSHSHDWDALD EEEDAHEHSANGSAKPLRKKVNGRLGKLGLNRPNDIALEDIDASEGLVAGVSPLPVST PDAVGTNNHKYHDENDIDSDLDLDELDPTAEAFNPSPPDRDIEQSAAAPKTLSTEEQQ KKQMLQCMLLEAGILFHSVFIGMAVSVATGPPFVVFLVAIAFHQSFEGLALGSRIAAI NFPRHSIKPWVMVLAYGTTTPIGQAIGLMVQESYDPQSATGLLMVGFMNAISSGLLVF AGLVQLLAEDFLSDKSYGILKGRRRWEAFGAVLAGATLMAIVGAFA LY89DRAFT_22901 MPLGQQSCPRRYRCSVLALMYRSAVHLSYYISSVFLPNFTVCRS ISTNSDLWVLFTMASTDPRYGSLNSAAPEFYPPLPPHPQATWRSDSDHVAHRTVLDRF EEQWRSSQGRVVPIENINSPPLDYARTHSPILPSANAPFYVPRQYESPVYQHRDRQDR ITSPGPEPVYREQNYVQVNQAPRPPVIRPSVPGYQPWQRIPDMERSSDYSRPPFNSPQ AYQDRVAPRPATVPPQQYRQQLQPRHTRPESRSRRHNPYTESRRRRRSTSSQPRHPQK RRYTPPQHNQRQFPSRPSLL LY89DRAFT_679590 MLCRDSFLFLAVLSAAALVDARAAQDITTASSVIASTSASPSAE PTASALPNFCGTGYIGNGKCGWVFASSTRSTYSIIWSCLAVILLCTYKVIRLHTCSAE EHVASWRERPFYSRKPRKLLYMGMTVIAPEGITGIAYAELEASRSVRRQMISRGYRWL PGWPTSQSTGQLTDK LY89DRAFT_679591 MVLAHFICMGGCAIDTSKGRVLIAKRAFVILLKDDEHRDGIVAQ LPSDEDIIDRSKTDTLTIILACAQSLWLVVQCIARKSVGDDISELELSTCGFVLCSLV AYGLWWDKPQDVEHRTVIQMPKTEAADDAVSRALSKALSINIQEYDSHRYIWMTFPWT TLLAPSILYIYSA LY89DRAFT_693115 MTPLPKTYKVAVFEKKDAPLTIKDVELKQPSQGEVLVKVKAVGV CHSDAGVQAGAFGNSFPIIPGHEIVGDIAAVPDTEKRWKVGDRVGGPWHGGHDAVCKQ CQRGQFQMCQNGAVNGVSRDGGYGEYVLLRTEAVVNVPKDVDPVEIAPILCAGITVFN SIRKLKITPGDIVAVQGLGGLGHLAVQYANKMGYRVVALSSGDKKKDFAHKLGAHEYI NTSEDDPAKKLMEMGGASLIVCTAPNPKAISPLTGGLEPGGKLLVLSPCGMVEVNSID LIVKAVSVCGFPSGHALDSEEAIAFTKLHDIHCMVEKFPLKDAQKAFDHMLSGEVRFR SVLVMN LY89DRAFT_22956 MFRVLITPFSPRGEMSPHKQEARWNPVNSGILNSPRSIHHSDQQ GHVILESTPGPLYAHQRRLSGQSPSLPNSAARTRPVTSHRQHENSTMNNSARLDSGLR RNSFEPNHAAHEAGSQQSQRPHSLPSSSPHMGSTRTTRDQAMLRERASAYLIDRRDLE LLSQESTVLDKLPYKTGAEVCGVVVDRRSGKGMVAITGKGYITLNVKTGPDESGDRYQ DIAVQPKQTCMLHGEAVVLFYKRRQIQPEPPPQPPPFPSENHSQLQRPSTASDVDIII RLQMDGSGKYSPAFDKSVLRPNRITTIEFFSWFASQSGHCPPQGPPYLKFTFKDAMPA PTSTEIVRGNEDHFNYMRKDIKIQCEKAKKYMADVKEFVILVTVPGWNTIDEEEEW LY89DRAFT_679594 MAGWMTPPELTPPSSPSATITAPEGQIVAAFSDIIDSTNLWQLD QQLMLDAHTVFYSKVRALAPLYHGYEVKNLGDGFFHTFQSGRDSLRFCLALQKELAAT RWSREIVEFRRAKDVERNIPTSNNRGLTVRMGIHFGSPFESHVDPISKRMDYHGNMVN VAARIMAQADGDEIAVSDAVIMELDDNDVGFDLGATVVVLNRVTTLEKVLAEMGETAF EVLRKGPADNGGRVRLKGVSTPYVVTLIRLKR LY89DRAFT_634565 MRSSILLFLAATLVSAQNATTTKASMPTVTLDYSTVVAAAGNTT AGYYKYQNIRFAAVPTGHLRWVAPEWPPVETEINTGTLASADVDCASTEDCLYMDIWA PANSGNKSLPVMVWTYGGGFIFGSKSQNSPEGLFDLSNEFIFVAYNYRLGLTGLANGP TFLHNGGTSKSAVWDVQQAFLWTKKYIGKFGGNPDDITAVGFSAGASQVLFQMTRFAG RAEQLFNRAYVMSPGFVPGAGHHHAEMFWQNVSSVVGCSPSDLDCMRAVPFSTLNTAA STIESAYNYQFQPRVDGHIIADTYEAQLYQKRFNFSGPVVISHELHEGNSQAWSGVNT TADVSTYLKIFFPAITDDVVDEILSLYPEEDYASPGLRFADMKQSFDLTAHNLALTQA MKNQTWNAMVALGGATHGTDQSYVWYSTYTLSPSNSTTTFSGPPGGGPPGGGFPGAGS VNATVAVMMQKYLMSFVLTGNPNTLWPSDKINWPMYGNSSTAGTQLVFNTTFHLSEDD LASNKSLLWNKALWY LY89DRAFT_605104 MYVYLQILGIIENADVDQIFRILGDVSHTLSKCILIFAIHRNHS TEGVSLITQALYCLVFISRYLDLFMFNTLWNTILKIFYILSSLYILFLMLRVYARTRE RETAWKLGALCLVGSAIGAPICMMIFRRKAYWGFMELLWTFSIILESTCILPQLLLLR QTTVPTVIDSYYLLTLGSYRAFYILNWIWREFDITDRKPDPVSIIFGVLQTAFYIDFA WVYYSRQRVKLRNGGIVDQDDMRNGWLLRTVFGHKAIVPHAEEDEESAPALGDEEDER PNPIRNGGSKWGARGISVSADDGVLAGERERQNQFPGQESGVVNAEDHLDPDAKMRDP DELAKILDDEDDDDDEGVLPGGEGSAAGSGNVWGER LY89DRAFT_727485 MEPCVPRIETAMLQLPVPGIWTGKQYTPQVVADRLWTSMKLCDK HASVFAGDLLQPYYALAFDADASAIGLDESMFVYTAKNFPWLFVAVDDGHRVFALAKS LVTADHHLSLGDWTIEVPGIQLTISCRKLEDRRWWRENVQADMSKLAGVLSLLSQLEQ NGTIIPIEFRS LY89DRAFT_23065 MIIAIPILCLLSTMHISIQANQPQPYQPQPYAQQYYPQQPYSPQ VQAQAQQSASSPWVPLQPAPEPYVFQPPPGRDDLPQPYVFQPPPGEAALPQPYIFQPP PGYDDLPQPRASERPDQTAAGAEFVQTASSMPQPGSPSVPGILTADNVDISRIAGEDP IGFLEFWIECIKRAKEAGLPMPQIQGILMGPPAGRAWPARSQWEKNQEHQQSRPTLNL HADISPTELLRLISGRPVDLVTAEATMDVRLTIKGTTKEPKITEL LY89DRAFT_745349 MEAFERALASGTAKDGRAARVSHGIIVAAVDKSGEYIYKNASGL VSLHPDSPPVQFDNELVMASCTKLITTIAALQCVERGLIGLDESIDKHLPELANSQVI TYDSKSEKESFTLAPAKTQVTLRQLLNHSSGLAYDLGEPALEAWRKSRGETPLSLWAP VVPAFSTPLLFEPGTQWAYGSGIDWVGLLICRLAQRMSLENYLIDNIFAPLNMVSTTF HLWYKPEICQKFLQPAYRLPDGSLIPGILPYPHPPADEYGGNGLLSSMPDYMKVLGDI IKDSPVLLKKETVELMFSPQFEEGSGALQHLKNNQTLFENSAGGHIGNHAINFGLGAL FFTQQMPLTGAPPGTLAWGGLPNMMWFANREKGVAGFYATQLIPQSDFRNTKLAALFQ RSLWKMVNKQDQ LY89DRAFT_727488 MSSAPAVVSPETIVFTGRDLPSPDTSLKVFNKDYRVHACVLKMH SAFFAKSLDSPDKVNHEQGELHTSGLLKWKRRTKAKIAATIPGVSCRAEMRLESRRQR KSG LY89DRAFT_23091 MIESFGVERKNVAKWAGIAGASFSFAQCLTAILWGRASDRFGRK PTILFALFCTMTASLVWGMSRSLPMAIAARALAGACNGNVGIIRTMVAEMVPQKELQP RAFSIMPLVWTIGSIFGPSFGGMFAKPTENLPWLFGGNKFFEKFPFLLPNLVAAALFI VGLTTGVFFLEETLETKKNQTDYGVILGKKFIKWFKAIFRLRRINPQPYRRASESFDE SAASLLRPTSSAGSDVGKFDDESFTPKNTKSAPPRPTMKEVFTRQSIINLAAYTFLAL HSVSFDQLLPIFLHLPPQVPNSDNTKLPFKFSGGFGLRSSRIGTLFTMYGVVGCFIQF LIFPPTARKFGVLNCFKVCAVTFPLVSFVTPYTALIQNSATQQAAIFGIMIVKCFAVI FAFPCSIILLTNSAVSLRILGTLNGFAVSISAIGRAIGPAMTGTVFTWGFERGYMITA WWLLGIIAAIGAIPIWYLVEMPGFSKSDSDSDDDDESLLPTVEEDEEGEARATEILEG DEIDDMNEEALDTVEGPPLSLVKSRKSIDEEGIEGRRSRRGSGLSRLERRMSSPIGVR GGSVGPGGGRRLSNGLAASNMGQGTGGSTFH LY89DRAFT_605107 MGRTIDEKMESHERTPLIATVRVAPRRERYPHSFVRRFCTIALG SSLVAFTGLFLFLVVFQPHHHHHRHDRWAQSEDKLSYKELQQILLDTPDPEKASEWSK YYTSGPHLAGKNYSQVLWTQERWQEFGIQADIAAYDTYINYPLGHRLALYEKTETEGV DSWSVKYEATLEEDILEEDPTTGLENSIPTFHGYSATGNVTAPYVYVNYGTYQDFEDL VAANVSLEGKIALAKYGGIFRGLKVKRAQDLGMVGCVIFTDPGDDGLVTDENGVDTYP SGSARNPSSVQRGSTQFLSVAPGDPTTPGYPSKPGVPRQPVEGSIPSIPSIPLSYAEA IPILKTLNGHGPSPKSFNKAWQENLGLKYKGVEYNVGPSPDHLVLNLVNEQEYVTTPL WNVIGVINGTLSNEVIVIGNHRDAWVAGGAGDPNSGSAALNEVIRSFGAALAKGWKPL RTIVFASWDGEEYGLIGSTEWVEEYIPWLSAANVAYVNVDVATVGPIFKAAASPLLNK VMYEIADLVQSPNQTVEGQTVGDLWNGHISTMGSGSDFTAFQDFAGVPSIDMGFGPDP EAPGDTGGAVYHYHSNYDSYHWMATYGDPGFHYHATVAKLWALFTARLAEAPVVPLNA TDYAIAIQGYISNVESKLDALMSGESADEFSIEARARPTPSLPKGDVDDLKLSFKVLH IAANKLLRAAKRHDAWAAKLATHAEEDLSWWRVIEKWRLRHHIAVVNKKYKFLERQFL HEKGLDGRPWFKHVIFAPGLWTGYAGAVFPGLVEAIDDKDYGNAKKWVGIIEGTIFKA ARSLR LY89DRAFT_663197 MAAATAPLYESLFNHLVLNPRPPGHQDSRIDIIERAIIERVRDA TNKLCSLPDNAHFDALQSLRRSLDTCTRVNAGGRLTRAALLRAFQELDGKDMIIIHVG QQNAGLLVRRDLQNQKNVIFEAFEASPLSEEVLATKSALQWDFPGSAVALSFSDFQNP NFQEELATFFEKASTESIKRFAARTNKAGSFAFESRDTVDPALITQMLMTLLETMGTR VYPPILRKRVRDDVSWSEGGQLPWRRCPFWLVLRVAVERHLSLTLGGEVGRLHYKFLI ILVLSRLLDESLLQIGHDHSTLLKTKVCRRLVKIGTDKENAKPIFQAEYERLFKALMM DLAKSTTFAENQIKLQWDSFKKRIQRPIQTLPKYADERHLVLTLPNSGVYLNQIALER PSFPSQPHSIYTLPMDFKKMRAATKAGTAFAEQYFRLSQLEADLQTKNSIQLSNSNND EACLESSRAIAKYLHQVADAYKHNPEQMSMMILNVMETWMRMDQAAVKSFPLLKDFNP GFPSDILDVLQLTKCQDITRLFTIRTYLRERHALSNGSKTTIFVDPAKGCFAERYYNE SDTGRLQSLHADIDIDAEDKRQNKEEQWRQKTAEFNLLVQRASEASCVYDTDEYGVRT HRRDCRKCILERKVARFNMLAHEHPLPADVVQAKVVVFELLCPGALATYRDTTWRILS TLALLSEPATQEPRVLLRDYSELVPYNKYMSSNFTLASTTKSFLTTHYAHPTFPVGLE DICLPNGLKLGYWDVHWKFWPGRVSQRPRFANHCQLTLPSNSPFAALNSSPVFAADAK GPTTYEVTAGQSKCPPGLNVHEYLSFQSLFSGKSRRWLQVLVELGSTNINFSTEASAA LINFLTLQAGPASADNPLGTVHSVASDEDFCTRLLEQLDHRLDGISFNWRETNSMEML ITIGQWLFEFSADFRGGAADLLLKAREITSSWTRALRADLWNAKDAATLRSCSRYLFW AALLCRRTFSVHEDNRILLSSEGLTSYIHASITLQDNLVADPASLPTVLKNALMRDFK MAHRLRNILKSALLADQACLPAAITSIWPDMQGEQKRRFSNADFMPEQNQWWVQLIVG ATSFSAQQTVHFHLLEGHLLVDHCPIGKLPPEWRQELVLQQLFGTESLQTYPSSMPGM TYMLARIMNNHQIHLGFRNGQMVVRAVYEQRVLELVPPHVFGTATRFDLPSSLLEQCV HWLDVTSGIIEIRPSAHMWKSKEINWQVNIRTRVAQRRNAGRVSRLVDPHSPLFYRVA QTFDFFEERRHLTVFQPEQGRLTVELRRLALSFSVNSRNLLESPQLKAEVDLNQDAGT WYGLDSKLVLREVVINHDPITRYITSTPQRQRIILVPMGQMMYTRNGQHVKVRVENNA DYARYIINDVLKRLECPAEPRLLYLKAQLHAYTSSFMPDPLTGRTGTEEAMHCLRSGY CQPWSPITVGPHRALIYIAHLTPRREYYPKELKVMQTTSWDAQLTTGIQHDGFRTIIN EILAKSDELSTFSSEKIELQALEPKGDQHLTLRGLARRQLYQRSDYWIEPVSIQDQKY QSRDRWRPSHSRANVSECVNLLCTWPASFPTTSDLAGLLQGWTIIGGHTGRFEKILLS DVLNVELGAEWGSLVNLCRDSSTSEMYKLMFLFACMAFRHDANMDALKVLIAFVVFSE LKVLAPPKWPVYTQFRQNQVPRVDYLVQLMKPHLVPYPGDERSTFQLILSHKQRKKFE ALELAHEKKRESDAKSIAELLLKQWPCAEPQFKGFSEPVLVDVEQALVTIRPEWLRLF QNLELSDFISWVQRILNMHRTQLAHSYPLPVDDNIDAPVFPVQTHKTEFPSLQNLLCQ STIRSRHGADFSGPRGKQNLFASSRPLQELRHHNAGPAKTSDKSAMLQVSKNQPYKES QELSDLDRIITLASRSRSMVEQQYANDLSESLKALRAMYQLHPPQQQHLTPASNLQQQ MAQAVQHIQTHLGDLQQSFEQGYPAQWLQLGGLWPVTAPSALLESLRSTATVAFGSGM RETIIQYALAITSVQRLRRIDEAYQKSNNQRMSEEQQNVGHDNWNPSDRPDWLLLEID ANILIRAGQVDVAMATISPNSRSNSVLQMNMGQGKTSCIIPMAAAVLADGTNLHRIVV PKPLLLQTGQSMHARLGGLLGRELRHVPFSRKTPTKSSTIELFLKLNKDMQKSRGIML TLPEHILSFMLSGLQRLSDGRTLEASSMIKVQNWLSQRCRDVLDECDNILALRTQLIY PSGSQKTVDGHPHRWEVAEALLSQVNGHLHNLQRAYPRSIEVIPRGQGGFPVLFFLRK DVEDELIYRLVDDVYHGRTTILPVECTKQDRASIKAFISQAKVEPEVLQSISAMFPEK PAFRRVVYLLRGLLVHRILLMSLKKRWNVQYGLHPNRDPIAVPYHAKGTPSDQAEWGH PDVAILFTCLAFYYDGLNLSHLRQALEHVLKSDDPSQVYDRFVYASKLPDSLREWSAI NVDDDAQLKEVWQHLRYNVVVVDYFLNNFVFPKHAKQFQVKLQASGWDIPLFQAQGGH GASSSSRQPLTTGFSGTNDWKRMLPLTINQHDLDGLSHTNAEVLTYLLHPRNRKYILA ANSQGRHVSEQLLLHMITKERIRVLIDAGAQILEMDNLSLVKAWLDIYEDAPAAVFFD KGNKPIVLYRQGHQVPLLATPFADDLSSVLVYLDEAHTRGTDLKMPSDARGALTLGLG QTKDATVQAAMRLRQLGTTQSITFFAPPEVHHSILDLRKKGDGDKIDSFDVICWLIEQ TCSGIEQLQPLFFSQGNDFCRRTQAVLDNPKFLTDEDDRESCLQSLRQVEQQTLEQLY GPNTKAKSVTTSDNQSSTIAAFAKELKQRRKGFKDTGNAVHGSALQEVEQEREVAYEV EAVREVQKPVHYNPYGFPGLHRDIISFATTGRMAADSAAYMPAFASIKMFALGKKHPI NLDATSNRLYITKEFTRTVNVPTARIYDQFQRPVNWILWSPVSEIAMIVIPEEAELLL RIVKDSPSRATYLLTYAAPITRKMLHFNDLKYYTVPALPADWEAPMWLRIELGIFAGR LYFDYQEYTHVLNFLGVKDESGKLEEAEVDDIGDETDVGDDDDLGDEDEVHSNVSTKE LPIVAPVERKIITRRPLAFMAEWLSVRRKGQDISETPMGFICAGKQLLESHPFFLRAD YEHTRRGVSTVKIGSGNVEDEDEEEEKEMCVDNVYENVYREEDTFDDAELQEVEVKKD EEQK LY89DRAFT_679603 MPHTNGDSKGPAFFGHSLPHTDALKVLDQYEERDGLDIKSLLDS KRHGGLTYNDILVLPGYIGFAASEVVLESPVTKRITLKTPFVSSPMDTVTEHEMAIHM ALQGGLGVIHHNCSADEQAEMVQKVKRFENGFILDPVVLSRNTTVGEVKALKEKWGFG GFPVTENGKLGSKLIGIVTNRDIQFEEDVEQPVSSVMVTDLVTAAAGTTLLEANAILA KSKKGKLPIVDANGNLVSMISRSDLTKNLHFPLASKLPDSKQLICAAAIGTRPEDKIR LQKLVDAGLDIVVLDSSQGNSMYQIEMVKWVKEKFPGLDVIGGNVVTREQAASLIVAG VDGLRIGMGSGSACITQEVMAVGRPQAAAVYNVSSFAARFGVPCMADGGIQNVGHIVK GLALGATTIMMGGLLAGTTESPGTSFVSREGKLVKAYRGMGSIDAMQDKKAGSGGKDS QKNNAGTARYFSEGDSVLVAQGVSGAVAHRGSVTKFVPYLAAGLKHSLQDCGQKSLAE LHESVANGSTRFELRTASAQLEGGVNMESYEKKLYA LY89DRAFT_572021 MDKQRQFLQAYEYLCHIGEAKEWIEDILKRPIPPIVQMEEALRD GVTLAEVVDALYPERRIRIFRHPKLQFRHSDNIAVFFRFLAEVELPDLFRFELIDLYE KKNIPKVIYCIHALSWLLFRKGIVDFRIGNLVGQLEFEHHELEEMQKGLDRAGVNMPS FGNMGADFGVEPTPEPEPVESEEDRIDRELGENELAIIDLQAQIRGAAMRMRLGDSMQ RLWDSEEMLVELQSRIRGDFSRQIAEYRLNMRRFAVNLQSNIRGFFVRQRQSNRESFW KSREGDVLKLQNLIRAKKARDEVRMMKSELRHEGTAVREIQANIRGFLARRSHVAQQK EAKTSAGPVKELQAAIRGMLLRKLVADDHEDLWTEEYSISSIQAFARAMLTRNQMSRT KEALQASAPIWRAIQAVARGNLVRSDIQTTKKELKSHSHQIATLQGFIRAASTRRGVE TILAALQQEEPSILELQHAIRGMLLRKRVAADYEALSSETTKITKLQALARAYLQRQH VGALLDDLHSHTPVIVQLQALSRAVLLRNDVGMVLSELEAEEESIIAIQAAAKACLVR AQFAEKQRFFKENMEKVVKIQSFVRGRLQGEAYKSLTTGKNPPVNTVKNFVHLLNDSD FDFNEEIEFERLRKTVVQQVRQNEMAEQYIDQLDIKIALLVKNKITLDEVVKHQKNFG GHSGNLLVNTTMVSGNQFDLKALNKNSRKKLESYQQLFFTLQTQPQYLARLFKRIREQ GTAEKDCKRIETLMMGLFGYAQKRREEYYLLKLISRSAKEEVDGTKSIQEYLRGNFFW SKLLANYTRSPRDRKYLRELLGPLIHANIIEDPALDLESDPMQIYRSAINNEELRTGR PSQRPLDIPREVAIKDPETRDMFIDHLRDLREISDQFLLALEGLVHKLPYGMRFVCQQ IFEALCQHFPRERQEHLLQVVGNWLWKFYLQPALTAPETMGVVEKQLTPLQKRNLGEV AKVLSQVASGRLFGGENIYLQPLNAYIGDAIQRITEIYSNIISVPDAENTFDIDEFND LYSRTKPTLYIKMGDIFAIHNLIANDLPHVCPNRDDMLREIVQELGSAKNNETEMLGV TSGEINMTLNPKLHDIEDPEAEVKALFMETKRCVLYIIRVQSGPNLMDILVRPISRED DMKWHSLLREEFSQGSNTRGAYSDANTLVDIKSMSYKELKRTALENIMRLEQMGRISR HNFYQDVLNAIALDIRTKSRRRVQRQRELEGVKLTLANLNEKAEWLESQRKSYDNYIE QAMMTLQKKGKKRFLMPFSKQYNHERELERSGRKPKFGSFKYSARTLSDKGVLVSWKG YNDREWDKINLTISCDEVGIFFIEGSRGSIQIPGASAQVPMDSLLAAQFANHQYMDLF EGGMRLNVNLFLHLLYKKFYRTDG LY89DRAFT_776583 MRPQYLLLLPLTNTAAHLINPNVLELRTPTWPEDPSAAPPTTTS PAQILQQCAACQVLGSALAICANITPSFTALQPTAQAKCLCYSSTIWSPNIFDNAVES CANYASTAAPPAYSALSNLENFCADVGDVEKPVTVFTLAPTGNGGPTSGSGYVASPSP AMTGYGATNTAGANTSPASTSGNAGGQGSSPSKTTAATGITITVGGSGSTGTARTGVA RRVIPRENVVWIGFAISLLFLFL LY89DRAFT_727496 MSTIMPQNDPVVFTARGLRLDTRLNVLRQEFHCHSAILKLKSNF FFKFMDSPDKVLNNEGPFKYEWVSSIDDDGTWGLVAADPSKDKLLASWTLKGDTNDEI KAFMNLLYAMYCATVPDEDLP LY89DRAFT_745355 MRKKVIDVYDKENANSVLHSQHALIDVDSDETYALINVWSFYVG QGNWDCPRSPSMEEILFPEREPDVVVKHQTTEETPLLYRNGLPPAACAFPYTEIPGFS ASPYKPVSPSFARANPERWTSDIYIKPGPGPQRRAWVDGRKQMVNPDPQHNSMSITFA NGGQMMTYEQWAAMSPFEADRAGVSGQGPVGTLAVANVPVQVPRHTARQRSDDALMRR VETSVDSSKPPGPVSQELPLPTARPLIAAPRSFKTGKPDTPSGFKGDQTLDAALARLT MTPANASSRASVESGAIAQMPGPVHEFQLPQEDMPAQGISLSQMPGRVHAFQPPPQEG VPAQSFGMPQMPGPVHAMQPPSEQGVPAQDYGLQPQQQQAPSHPLQPSPLIRPQSTPS GIYASGTPRVAPQVQTFGASPVGTYVHAQQTQAAYTPGTPNVASRVQTLGAPLVRNPN QVCNNCDSVGHRYTSIALSHVESVEVRSTRVSEFLAVQPSNAKVPSYHSTQAINAMYY ALQTYALD LY89DRAFT_679607 MSYSRLPNEIIVQFVTLVASSDIDNFARVDRRTYALSLDRLRPR QALKKNAKFIAARFGDSMKPFNSISRGHMRSTNRIYGPDALVHLDYLELNGDLQWLQP MDQATIDYNTWPRLPDAGIPKENLDALVESGRRVGIEFPKAFLTLMASTELMERMFLG GDFFYLGLSLIKCKPEDDNDGGGYVVTFLSDQQGCGYWALYVTPSGYHCVLNTRQGID CWKCMYSDADGRLAGPWDDHPKYQVYEGVPIACDKLDFALAHPNFEAWLAMNYFDAWC RLTLEAGRELAGYQREYLEHFGGVPSNEDENIDITTG LY89DRAFT_545964 SAITSAITSAIPSAYPSDDEEEIVASFKKPFPFLRLPAEIRTKI YAIAFSHCPSTIDLDPSTFRLFSRTQVFSLFNVSRQIYREASHHFFSTHTFRIFPTYP GKFFKTKKPLLARLPARYRTSITTLELRIGPGWNNPPRGWVINDALGLGDCTSARVLK VFVECDPSDGVFAGFRKSEGFYEEFCAELLDGVLKKVPTIKVVEFDAYSSVNRTGDMM AGLGKVVAEHKQVVAWGPERGWDRESDQVWLDAVLMHGAGQ LY89DRAFT_573068 MARHNKPNHGQKKPIDYSRPPGAPPPLRPAGASAAFTAPQQGAR SSDKSGRPEYSKQQDEASPSASPERNTTPQSEGQGAGSINSAPRKGEDPSFSEKQDEF GSASPSENTAPQSTSPSAIDPAESQSHTQPLPDLTRGIPSTLEYETTGSTKSNITPLN LTEAEEPGSSGGRRGRGELPASAYVSSSERKRLRFANYMYAMFTGLSVTGVLYLGRNW ESDEEETAHPDAPSGWAVGLMWNRAKARLGDQLSYYHEPAFKKLLPDPDPIFERPYTL VLSMEDLLIHSEWTREHGWRMAKRPGVDYFLRYLSQYYELVIFTSQPWGMAEPVIRKL DPYHIVTWPLFREATKYENGQYVKDLSYLNRDLSKVIILDTKPEHVSKQPENAIVLKP WEGDVQDKELVSLIPFLEYIHTMAFSDVRKAIASFEGQHIPTEFAKREAIARKKFQEQ IEEEKKKRPKHSGVGFLGNALGIKHQSMMMDPTEQTPAEAFAQGKMLQDQARERGQRN YELLEREIRENGEKWLKEEALLEEKAKEEATKAMKTGFTGWFGGPGK LY89DRAFT_713319 MSHSSTNTNPLSNPSLALFGYLFDCSLLEGDQHRLQHEYTMSVE ERAAVKKHLSETFRAMVDTATEMLQNNCVCTTCGERPLQSGSRHCNLTGEAEELWEEL VEKVIAIEGQLYKVDLGHISDLKPMFEGVQAAYNASKVARDCARQNN LY89DRAFT_551685 QHMEFSIEDILDMHRHWITKLYVEDGKTDVEIVGLLRERGFIVT WVSPSAFLSSLTTDQINTSPSLYWRVDFVTCHHGPDEAHEVETTLCHVPNGPTPLETY TEDEQSHEMLALGLERDRVPRPS LY89DRAFT_634591 MDRLNRMLASAGNMGGLNSAAPGSDNTALIDNSETVYISSLALL KMLRHGRAGVPMEVMGLMLGEFVDDFTVRVVDVFAMPQSGTGVSVEAVDPVFQTKMMD MLRQTGRPETVVGWYHSHPGFGCWLSSVDINTQQSFEQLTPRAVAVVVDPIQSVKGKV VIDAFRLINPQSLMLGQEPRQSTSNLGHLNKPSIQALIHGLNRHYYSIGINYRKTALE ENMLMNLHKHVWTEGLQMDDFRLEGSKNKDRLKQLVGLADGYEKRVKEETELTKDQLK TRYVGKVDPKKHLEDVGQQLIEDNIVSVSRQMIDKEASAPKEKNGANGHANGDAMDLE DEL LY89DRAFT_679613 MTSSFAPLDPPAGDGPSSKKRVAYFYDSDVGNYAYVAGHPMKPH RIRLAHSLIMNYGTYNKMEIYRAKPATRHEMTQFHTDEYIDFLQKVTPDNMDSFSKEQ GKYNVGDDCPVFDGLFEFCGISAGGSMEGAARLNRGKCDIAINWAGGLHHAKKSEASG FCYVNDIVLGIIELLRFKKRVLYIDIDVHHGDGVEEAFYTTDRVMTVSFHKYGEYFPG TGELRDIGVGQGKNYAVNFPLRDGIDDVTYKSIFEPVIKAVMDYYQPEAVVLQCGGDS LSGDRLGCFNLSMRGHANCVSYVKSFNLPTLVLGGGGYTMRNVARTWAYETGVLVGQE LDRILPFNEYYEYYGPDYELDVRASNMENANSRDYLEKIKTQVIENLKKTAHAPSVQL TEVPRTTLAGTTDEDDDILDDEDEDMNKDVRTTKRRWDQRVTRDDELDESEDEEESKA NGVVAAKEGPKRRNVSAGPDVEMGSPSPVPEAGSSKVPEPEPTEQLEVEMEKAPETAP VEKSSAAVESSSTDVTMADAPTEAVEPETKTEPGTTAEE LY89DRAFT_23335 MYNVSIFPRRHASRGVFPPIIGRQDSRRRTRHYDEVSTKDHPAW ICQSTLQTTIFHPSHSDRQQSSESNHQHSEPRALAGHVSKRTDRKVNALPPPPKRKIN IQSNDKDSEEPEEKRDGHGDGDREDNTLLQGSGAEESDEEFESAEEDLSEEIWDEETR RQIQAEAQAELEKQNARAEARIFRPSQFLDGPGWITLESGSGYQLLAEDHKRVWRVTG PASGSYTRMIRINLVQLRNAGWIWDDVNRGWNRDFWKAQIFCTEVMEWKSFHRAYVGP PFCAQVFRSLCV LY89DRAFT_679616 MAKISRGAPGGKLKMTLGLPVGAVMNCCDNSGARNLYIISVKGI GARLNRMPAGGVGDMVMATVKKGKPELRKKVMPAVIVRQSKPWRRTDGVFLYFEDNAG VIVNPKGEMKGSAITGPVGKEAAELWPRIASNSGVVM LY89DRAFT_23441 MLTIFIFISLFSNVLSSIVTYDWEATWVYASPDDFARPVIGING KFPCPNIDVNLGDRIIINFSNKLVNETTSLHFHGLFQTGTSAMDGPVGVNQCPVYPEQ TLVYDFVVDQVGTFWYHAHNGGSYMDGLRGAIIIHDPSPPFEFDEDVTITLSDWYQDE IPGLVNYFESQANEDDGGAEPIPNSAVMQDTQNVTFPVKPGKTYLMRFINIGGFVGTY VSIDDHTMSIVEIDGIYVEPKKADELYLSVAQRYAVLVTMKSSTDKNYAISVTLDTAM FDSIPPWANPDVFGWLVYDSKKPLPTPVPLRTYNTIDDTTLVPVDHMGALTKVDHQII MTFNFEDDIGYNRAIINNITYIGPTVPTLFTVMSAPAKDVMNPIIYGQVNPSVLKHND VIEIVLINQDTGSHPWHLHGHAFQVIERSAVGVDYDPDNVIVPKIPMRRDTLSVMTGG YAVLRFKADNPGVFLFHCHIEWHVEAGLVATIIEAPDVVAATQGIPHDHFKSCEEQGI PTTGNAAGNTKNYTDMTGLVTQFETDNWG LY89DRAFT_745371 MRSPRSPRSPTPRQGSRISAHIDSPGETGGTSDSSFLLSNFALR RCSFCASRNSTYLLRLILPERRWVLFDLQKAHEVVQQRAAALAKSAGYSTTALDGETH VAVSGHSDPVPVSESELCDSCSQLLPQREQPTNNQNSVCILCTRRLPEYVSVVLDEDK SISIMHTASLMENSKYFSATLNQGWLEVNTGVFHLEDTSSISWAIFTHFIESDNRLDE NADLQWIEELELVRGELTQDEFPQADKTEITSDESDIVIDRLVDCYLLGEYIQSPRFC NSIADLLNYRFRDFLHREDLLPLWPMHKAFSGIRGSGMQRLMADLMEFALSEKTLSLA VRKGYVCEAATAEIALSSFRARERKMSERAPWLKHQCIYHDHPDGGFKAPCDDMLEVH DCTGEQVWESPGWDNFRDGVGNW LY89DRAFT_703527 MGRNTKKRTQTWWKEAVVYEIYPASFKDSNDDGIGDIPGIVSKL DYLRDLGVDVIHICPHYQSPQVDMGYDISNYEEIHEPYGTVEDVQTLIDAAHGLGMKI IFDLVINHSSNMHKWFQESRSSKSSPKRDWYFWRPPKIDADGNRHPPNNWRSHFTVPA WTWDEVTQEYYLHIYAPEMPDFNWENKQTREAIYNTSITFWLKRGIDGFRIDTVNKYS KNVSFPDAEITNPKEETQPAWRHYNHGPRIHEFLGEMKDIFDQYSALTVGELSSFPRT KEGVMEFVSSRTGPLNMVFNFDISDLGQKRKPGQKGPVPFDVQPFKQEMSRWQTFASD PEGWITLYLENHDAPRSLSRFGRDFSLEDQTRVGKMLAMIMATMTGTLFLYQGQEIGM SNLPRSWPIDEYKDIRSVNMYKRAQQTCAGKPECLARARDDLWKTARDHARLPMQWNM GPNSGFTSEGVTPWMRVHDDWEQHNVELQLGNSSSLLEFWKRILKLRKEYKELFIYGA YKLVESQEEDLFIFVKEGPERKSLTVVNFSNSPKKWEGPSDILGVGSRLLLGTTGGKE GDVLNAWEGRVYLKSS LY89DRAFT_713327 MQYSTILLAVFPIMALAAPTTMSKRQINEDIMTAALNWQQDTGF VSSYLDYAVSTFPNQPPNLLSNGAQALAAEQNEVLHKQILDNYFIYFTDTPNQNVINA NAVLTSGNPSTFMSVVNNLQDISQPMRECVACH LY89DRAFT_573259 MRSAPEPASSNSCEKQTHIDAPRKSSTEYLDGVRGLASFIVFIF HFTHMFYPSTNTGYGVSQHPSIWQLPIIRFVYSGAAMVSIFFVVSGYVLTHRYIQKMR RHEFGALYSSLTSLTFRRALRLFLPALGSCVLAFVCASIGVLAVPKKINHKPFRHGVS ALFRYIDQESNPWTWDQYMEGFYNPQLWSIALEYRGSMVVFLAVLGLARSRTCVRMAV ESAIITHAFLHKRWDVALFMAGMLIAEMDVFVSNSTALKSIVRRRSTKALLMTIMVAG VWLSGYPRDHGLESLGYGFLERVWPYGGYRRRFWLAIASIMIVAPMPYLPFIQTLFNT RLIKYLGKISFALYLVHGLGNRTIGLWLLNLTGSMFGKDGYWANVLIFAVSFVLYTPI IVWWSDMFWRAVDLPSANFAKWIEGKCASRAPT LY89DRAFT_571875 MDQLPEVIRIPFEEAVDDVELEGWEDDWFSSATYDYERKLAEPK LDFVYNWVNGSEDEFKTIRHKYELVSPLNDADGKWISQHSINRYRDWDELRYSFRSVD RYARNITNKIQILTNSVRDNIKSEGETESLRPQQPTWLKDDDAVRQHVEILSQEDFFD GTASECLPSFDSLSIETQIYNTPSNVDQLVALSDDMSLGAPHSAADFFSPLFGPMLGF KSNHYNTKSIVKSDVPTFGEKPHLYYTSWLLNHRFGERERKAQAHFSHSISRRVMREA MASFPSPALRGACERFRGESKYQIYPWYTAFHYSIERFREALLWSFVMTRSDINGDGY LDWSERQVLLDAIRPGRQAMAREDASTPAKPAEGRDRMFYQLPSIHKQAGLQPPLSNV KVLWTSLDGPETIRDVKCYNFHIDSCFAESFDSPLSDASYLNPDFSTANIFAQLSRRE PRCGDCLIKFMLSTVQRGLEPLLPPKTLHRERETVVKALLKYQHTVIEPDAMFVMVKD AEQAETELLDRTIKLNKHVGQWCFNDDVMTDDGDAVAKVNRVVQTMFKTLFPTKSRWE K LY89DRAFT_572142 MKFQSVATAALICVVTASPVPDRAVLVPRLNTARLDAARAGAAR LDTRQDGTDTSNDLVDGICREVTFIFARGSLEAGNMGSIVGPQVCTDLQSTLSTDTVA CQGVGSPYNATLADNFLPQNTSPTDIGAATSMFDLANTQCPDTLIVAGGYSQGSAVMD GSIQALPAALMAKIKGVVLFGFTRNEQDGGRIPNYPTNETKVYCADGDLVCNDTLIIT PAHLTYGVDAPDAAAFLVSALGL LY89DRAFT_727513 MSSSIQRSDISPSLHSSPPATPCRATDPLSDALNILSPGDSLNT NPSSQLSTPIESRPVSEIGRPSSPPPNTTGGAIDNTSSMKPNSKAIDICCSGLTGIII KNNENAEPTIESCDEASQKLTNILKINVEGEVFQMRRMAAELHSGYFKLALLPTSPWV ENWTGTFDLAGFNPVTFRIFQSWVEEGMQMFLTT LY89DRAFT_663219 MFFKTAALAAILCSRLASCQQTMFGYGELVYTVTVSTTVGGTFG STGDGIMSTAFAGLYNQISVSSTTDTAEVTTTADGFLAYVTAVTEAGSFADDDVALWG SIISAVSAIDSAAGHYAGAVASIYFENTDDEPADVGTITYDMDEDVE LY89DRAFT_605144 MLCNLCQNIQFRRYLELTREEKIELLTNWDEWPPDAYHEDEVLS NDAGLVGIDESFYFHHRNLESLRKAADDGCHFCYQIFYGLLETTVLDHNVKNSSESLY LNLQPPCLEKPEREHLYHGDLDVRLGADSLGSMRLRYLDDFVKFSPSSHNMEHNSSTG SSTNLNLASSWLYDCANYHEHCCHKPQMKSILPHRVLDLRDRGNARKVFLSVGEDRVG SYAALSYCWGKSESKPKYLTTKENLKQQQKAVDTTTLPKSFTDAIVVCQHLGIRFLWI DSICIIQDDKSDWKTQSERMGDIYSNATLTISAAIGEDSHSGLFSDRDPRTTYPCTLN FQYPNEDGVITKGAFLTCRDSYWPKTAHLDTRGWTFQEKYLSPRTLHFHETEMSWTCA SSIASEGLPMSLQPLTNKSDFDKYIKVDTNRALPTQIEMVQKYHWWYQTMEIYSHRNF TFESDRLMALAGLASAFQCSDDEFLYGLWKSDLVHGLAWRFDGQQKEIAAETSSMSPI PTWSWASRPGNIITYSNSDLPPGVFMELNESITSYYIPEGSLKHTFIEVLGVEPMPQA TNSSSLSTFTGRSLRLRGLVIRISLTESSMAYDSSSWSTKTSRTLFRDAFTLKNRKCK RFLGDVFYDEPVVKGDNLYCLPLSVLPLAAHKVSMRPNDANAQTQRPFGDIAIDKLVS MQNMSLELEGRTLPLMTWCAGLISCCRMERKDTLSCLLLKIVDAEEMRFRRVGYVEIM DGRLFEGLAPITLEIR LY89DRAFT_558800 GNSSAEARVLGCEFDIVGSTWLPTACLDKTTSDEFEAWLSSEER NPPWPFFADDSAESWIPDREALSELSNTVVYTTFEYHLGHCAFTWRRMHRSMGNRSWP SVWTTPFDHTIHCTNMLL LY89DRAFT_605148 MTYSPAQHLLEYEERVFQLGFYPDNTLYQGQPSPELDERWLELY RMGISRVPKETAKNFANKTVLFPHDEEKNYVIELDVFHQLHCLNMIRQALHPDYYTPH MPGADGEDELLGFHHIDHCVDTIRQSLMCSVDVSVLTWEWNEKRQQNLEKGAIVHTCR NFDKVRDWAREHAIDQWFDLWHHEVNDPLDESTWVDGYNGQ LY89DRAFT_679625 MKFTLSQLSVALLVVGATALPAKPAMEYRSEVDVANPDTKPSTR AAWGKRSEVDVANPDTKPSTRAAWGKRSEVDVANPDTKPSTRAAWGKREEVDVANPDT KPSTRAAWGKREEVDVANPDTKPSTRAAWGKRSEVDVANPDTKPSTRAAWGKRSEVDV ANPDTKPSTRAAWGKRSEVDVANPDTKPSTRAAWGKRSEVDVANPDTKPSTRAAWGKR SEVDVANPDTKPSTRAAWGKRSEVDERSEVDVANPDTKPSTRAAWGKRSEVDVANPDT KPSTRAAWGK LY89DRAFT_634614 MASVPVSGVSSATVLLNNGVSMPKVHLGVYQSTNRTKNAVKWAL QAGYRAIDCAETYGNEKEVGAAIISYLKANPELSRDEIWFTTKLRVNTSYDVTRSSLK GSIKRTKLGYVDLYLLHTPSGGREKRLECWRALEDALIEGEVRSIGVSNYGIKHLQEL LDSKPRVIPAVNQIEVHPFNTHSNLTAFCQKHGIVVQAYSPLVQGRRMDHPTIVELSK KHKVSQAQILLRWGIQKGFVVLPKSTNQERIIANADIWEFEIEETDMERLDGLDEGLV TEWDLLDTE LY89DRAFT_561115 SPCGESPTEAISKNCVFEVMSFSWLPRVCHDSELEEEFLMGDWH WWSYKSTGASTGGSYELHEVPLTDVATGLHDGLHVTWGYHITHCVFMWRKLQRMAVGG GVIDGYIGNTNHTQHCQELLV LY89DRAFT_745382 MISRTWTWSVLLMVTVLFLYYQLISTTRNVPAPVHNLQPGHSTS SSEPSCINIWRDLDDEEVVSVLALLHSPAAGLNLTSVKESTFSDNFVFVTELLRPNKT DSVAFLDHQTAPPDRYARVTIKRNSAEVARIEEYMVGPLPVSYTTVIQPLSFIYNSGR SETPFLGADVTALEGWMESIAESMEDVVLDLLLHGVPIPHHLTLKDFVAASNDQIWFE EGRAMRWVSFSQISDANTLLPQGLYFKADTTGRDPEKWRVVMWLYNDIVYASGEELRN AWRSPDFVKLPGNLDGDWTRLEPEQHMNNQYSHNEPPKLTQTGKGRVEVDKKNSFASW MGFEFYLSFSQVTALSLYDIRLDGERVMYELGIQEAVAHYAGNDPVQSGTSFLDSLFA LGGDVTELIPGFDCPSYATFLDTKHHRMEKTFHYKNAICIFEAPSDHPLQRHSRGSWV TSFSNSVLIVRYITVVGNYDYLIDYIFYLDGSIEGAYWSNNKRYGYHVHDFLSSSIHD HILNFKADLDIAGSKNTIAVVSVAPKEVDYPWSSKPRNTMVLEKSHIFTEDEASLNWP ANGASMYVIMNHQEKNAYGESRGYRIMPGTGMGSPVHLTVKNSSNLLNSAKWTEHDFF VTKQKDTEPRSASPQNALTPEDPLVRFDSFLDGESLVEEDLVIWFNLGNHHVPHSGDI PNTLMTTSASSVMFSPHNYHNRDRSRALTKGVRIDLKEEGFLDSEEELVQ LY89DRAFT_634620 MGFDILKGYTKLPRYIDADDSEEKLSVTTEVEIASARCTLKVFI FLTVANVLLFAISIYNFEIRSCKISSAHEASHSGSNPAHDSTPYSTFLDTVDLNLQPH TFNGRLRDNTSIYRMPPSSEVDEAWDYISTEGLEVMTVSSSDILHSFKDPSISVQAPQ SWNRGPDAYIVQIDVFHQIHCLNELRKEIYHDYYYPDQQPDELHREHKNHCIHMILQN LMCHADLEIITHNWVHNDLIPEPKTRPFPDFNVVKQCRDFDGILDWATQNAVKNLPGK WNDLRIPPGTRVVPGDGYA LY89DRAFT_23759 MSRLNPHSQAVRPLSFTYSDDLAFTGRGSPSPSPRQVPDESSRL AHPTPSPRWSGTITPEFTSVDDSRNGSNENNEKKKGPLVTIEYKGTRALTGLSDISKS ATGERPKVAIKPGLRNNWKKIAPHIVAASITVAVCQLSFRDVYWMDLRPPNQLVALNL TQGGALNALQLAAKLHELIILASISSIVLHAVQHHLNGVNGLPLGMITNAFELGSGQF LRRGSFWSSLWRVDPVTGKRSRWLSFWLLSLFSTILVTLAGPSSAIAVIPTLGYFDLH HPFNEIILPYFIFNETMPLWPTALTNASLNAIGTACNDPTNLPSQNVCPAGGFRDTYD WAEAIWFGDSDAGTNISFPDPTGTTQRIITAQSCNSTYSGRASAIGINAFISSALTTY WVFLQRNARGVALTASQPRISVDSATDTFAPRVEVICNSYNNAAFDAQNPDNQTTMMF PVFGDGDPIPVPDEYYKYARPMTAQNFTFVELQRTDPLTPSLGAVVVIPIIAESINGS YYQSTENVACSIYSQWIPVDVWYEPTVNNVVSYDVAANLNDTCLNIANDPTSTRQPIS NTIDAVYAAAINEPIAFTNGDVPALLAMYQRYIFNDSTFIPNGIDFRAPIPGIATLNL TLGLATETPLQGQRQRGKLIATVIAGAVGDGLARVAGNGQLPYSGSAFLLPDLTADGS LQGRFPLSTAIGGDDIPLNATAGAEKDWLSLNPTFQRYGYGYHWRGSRTTQFGISVLL IHIAVAIVHTIVVISNVTGKHGGLPCAPENIPEMVALAINSRSSARLRNTCAGIGKSR TWGEPLAVRETSEGHLEFVVGGREMMEFEVPKVGVAYGALPEGSGGEEMRKRWVDVCR QVSFYLDIFI LY89DRAFT_634622 MSLLIKILATLGSLTLASQTLKLLTFLKFWLRPSTLSLYHYPLS SQPPWAFITGSSDGIGLGLALELAHSGFNILLHGRNPTKLSAAQSTILAECPNIQVKY IVADVCLPGDQMLQKIQEIKDQVKDLHVTILVNNVGGPAPGMVPLYKTMQQTQDWEID GQIAMNIRFTCHLTSALIPLLPNPALILNIGSVSDVGLPYIAMYSGAKGFVDSWTRAL SREMRAENRGIEVLCIRPMKVTGTSFRKEKGEWGMPDSRTFAKGTLGRVGGGRVVTEG YWVHSIRVGMIDLLPEWILMKHIVKTMKEEAEKDKKRE LY89DRAFT_634626 MEASQPRRVIIVGAGFTGLVAAKTYLQINPAADITIIDKEDGIG GVWSASRIYPGLTYEVPTPLLNFTDFDMCKELGKEMWEDVSGYEVNEFLVKYAEKHNL TKLCRLSTEVLKIERDGRGWKVLVRPVDQPNASPESLFCEKLIMATGITSVPRTPHWD MTKFDGFHFHAKQMGKRHKELVSEDVKNVTIIGGHKSALEAVGTCAQAGKSVEWLVKA DGGGPTWLMPAKNPNGSSLAKMSTVRMMAALSPSVYRKPNWLDRFLHSQRWWLGNWLL TSFWTFMTKTIKGDRYNKSPNMKKLEPHPKSMFWFVPGGTIVHDRDAETIRLIDEEKF VHVNRAELVSAQGRTVTLSSGKTIPCDGIIFCIGWELSIPPLFPPPLANDLGLPVDFS IPSTQENEYWEALDTTSESDVLARYPILRNPPSEIHVPKSTLTPYRHFRSMIPPKLAA RNDNSLVFIGNWANGRVQITAELASLYAIAYLENLMPPSTQALLSDEEAMNRDIAHVD AFRRKRYLNCFPFRISIFDTPEFDDALMRDLGLRADRKRMRMRGGWRDCWVLKAWCRE WFEGYFASDYAGIVEEFLEGVERRKEGKVL LY89DRAFT_605164 MADTEMELDAPLSPEHKNDDVPSLKNSNMTTHSNATAVRSIEGW IVIVTNVHEEASEEDLNDLFAEFGEIKNLHLNLDRRTGYVKGYALIEYPTLDEARKAI DGAHNTKLLDQTIEVDFAFVRPPPGGAGGKGRGGGRPPAKGRARSRSRSPGGREEVIE LY89DRAFT_679632 MFGTNRLLIWLLLASSLALAKEQQPLQDDREKPLPSKSNPDTLP ALLDALDVLQANYFEVWQGIWPKAIDWTSAVIGTYLSSALITLSTSYPALSSSKIADN TVNKYFSNLIGAYFGQDAFALRQEAYDDMLWVVLGWLESIKFIHEHSSLHYGTDNESR LAGPWYGEQWVPAFAHRARIFFDMASQGWDTSLCNGGMIWNPNLVPYKNAITNELYIA ASISMYLYFPGDDNTSPFGVTTPPTLMIVDGPGRPHHPKYLAAAIEAYKWLGDSNMTD AKGLYVDGYHISGWSRERPKNGSNTRCDARNEMVYTYNQGVLLSGQRGLYQATGARSY LEDGHQLVSDVIAATGWDLKRGKVLEEDKPGHRLGKWRGLGRSGIMEEACDAEGYCSQ DGQTFKGIFFHHLTLFCERLPDHLVLPGELFLSKGENDIPGGPREVQKWHDENCARYG PWIKHNARAALSTLDAEGKFGMWWGAPPHSTAEPDVNVELPSKAVDYRNLGVPHEWKN KRRYARETNEDDVHEDDNEGKIRIRDLNDRGRGRTVETQGGGVSVLRAMWELVDTR LY89DRAFT_745391 MSPIIFKKAGHNPDLYFHVFNQDFFVNSVVLKMNCRFFRTFLDP SGGKKPHSTLPEFSSEWFTRIEDVVEGKKFRSWSLIFEKFLCAVFGRSYHLQNAVELA TLIDMARYYGALPTVTETICAAVLRSSTFAESMFKDPHSVLASAKTLRNYMLFKDSLI LSLGPWSAPKYRDFADVELFRAADSLHSSICASLDETQRNIFQAMAKGNQTSQIQYER YQITPVLPAYYRLCLEINFVPEEMGSEIRDHIKPIFINHLHLMPHVTAGSSKFEDYFL CIEVPDHILPWDPKEEEWYI LY89DRAFT_605172 MATTNGTKPTTHPLIINNKPHSTKASFPVHSPATGDLLHHFSSA SIQDTDLAIKSAHEAYPAWKRLPPNQKRDIFLKAADLMTARLPELKKSMVEETGAAPG WADFNLGLAPEILRDVAGRLSSISGSIPQTSREGVSALIYKEPYGTILAIAPWNAPYI LGIRAIIYPLAAGNTVILKAPEFSPMCSQGIVSALHDAGLPPGVLNLIAHQPSDAAAV TKHLIESPIIKKVNFTGSTAVGKIIAELAGRNLKPVLLELGGKAPAIVWEDADLELAA KECVMGAFLHAGQICMSTERVIVHESVVEKFEEEFKKAVKAFAPEGGDAPVLINAAGV QKNQRLLKDAVGKGAKVIHGDHEAGEGAKMMPVIVKGVNKDMDLFYTESFGPTVSLMT IKTEQEALDLANDTEYGLSSAIFTKDLGRALRMSREIESGAVHINGMTVHDETALPHG GMKASGYGRFGASGLDEWVRTKTVTFKD LY89DRAFT_776608 MSADSTYKKMAIPQYTLEEIAKHNTPDDLWIIISGSVYDVTAYI QKHPGGVEALHSVAGTDATKGFRKNKNHLHIATQRDLRNFMIGVVAKPEKGKWSFGLG WLFGIKPGVTKGSGTEAKK LY89DRAFT_679634 MVFIKALTIVAACLSLADATPLNKKRQLAFDYNDDKVRGVNLGG WFVLEPWITPSIFDQWANGGGVVDEYTYTQALGPTEAFNRLNQHWATWITQDDFNEIA SYGLNHVRIPIGYWAVNPQPGDPYVQGQLTYLDQAIGWARSAGLKVMIDLHGAPGSQN GFDNSGRYGAIDWQSGDNVAQTLTAIQDLANRYASQTDVVTAIELLNEPGNWALDMSQ VKQFYYNGWGNVRSDNAYTAVVIHDAFLDIESYWNGFMNSASGVNDVILDTHIYQIFS DGEVAMKPCEHVQTACAAGPNLAGTDKWTIVGEWTGAQTDCAKWLNGLGKGARYDGTL SGSTGYYGDCQSKYEGTVDGMLDVDKVNLAYYVEAQLDAYEQHSGWIFWAWKTESAPE WHFQNLTRAGLIPQPITSRKYANQCQTSSCLIPGN LY89DRAFT_23953 MSSAPKRPLVKGPLQPPQSQSKSTSYAQRPTSKNEVFITSGKEQ PTPVIEFNSQATPQPFLDLTIIPKRIQAEKQHRAEQDKKTQASASSQSRH LY89DRAFT_23896 MEGGQGAQSGGATSPTTSIRPGTGNSYKRKRSGAAEFESSPGSG GEENEDEGSTRRQPGVKRACNECRQQKLRCDVVQDPFTTCSRCSRLKLECKIEHNFKR VGKRSKHAEMEKQIDRLRRNLQRAQAQGFMVDDDEEMDSPIANGVYSRNNQSFMGSDE AVSSLLHLKQSGSYNLPRIVHELENVGLTEDTIAHLFNEFWCYYHPYLPFLDPNQSSE QYFQQSPLLFWVIIVVASRRYTVDPGLLTSLSGPFTRLLWQTIGEVPNNHFVVKALCL ICTWPLPTSTTSTDPTHILCGVMMKAATGIGLHRPSHTQDFSRVNVDLNKEQLHDRVT TWAVCNIVAQSIGTGYGQPASTLYDWTLAVRPGDEGPFKLSPELEARLTIERFCDKVS KEMYSNASDPRGVAGDEIRSMLTRVYRREFNEMQVGILSRRDISPIINLHLKAAGLHL RLSAFFDSPTTVGYMDDLMGLWRATVAFLDLVFEMETTNGQGLNSNFLRYATYYILQM IIAACFTLLKLLSTFFAREIDFERGRQLFHRTINAIRSMSIINNDLPWRLAELMVQMY NGARIEQRSQLQQMRDNGNGNGHERPTAVDDSLQLKVRCRMSMSLVFDSTWRWREEFQ AQGRGSIEAAMKNPTNPDSAAESSASSTHMDSTLMAPTQTSAHQLQNQLLSAANTATG SLTPNQNHGLPSGMMGGVGYSESNYEVFDPLNWMLDGLVDFPYSYAAVQGLEANSLV LY89DRAFT_23902 MWLSLGVSVAFPTILRTEQPNPRRHLRFGIRSSEFWHLDSLFRT NCLLCKTELPPCLLQKRIGVNCASRGGHQELRSHAGTVHVSIRKVCSETFDTARPILG NAP LY89DRAFT_23986 MSSNNQTSIPTTAESLYTYTVVRSQQPQDLTPYSAVSSFNLPAR PDTNLYTPISITSSPVIPVKANTTMDSAVHIKMSPEMSPTSYTMFPEEEDFSPRFSGP MLMKAKTSYSAGLHISLGGDSLGPDSPNFCGSPPSPYFGSFGVSAQPKHSTSPPSAHL SPRMQPTSSQSENDLLLYQRASIHNSSYRPNIQAPVLIAPNPAIRRPAFGSYRQNSLP SNRSPPSHSLQHTIFSQDDALNSSRGRKGQRKAEPSYNDYHTIVTTPELREELNDQEL RILDLRYKDGLQWKEVASVYSKEHTKKTAVAALQMRRRRLMERISAKAYEIASCSPNT AKWEPICRQLQRYAQRRSSRESAEHQRKWEVHSPYGSHYDVQPKRERTASDLTVESYD QDSWSDGRESTGHSLHDSDHGGLLSALSSATMDDVRSRATSDASMQLHMQQQQQQQQQ QQQHQQQQQQQQQRQQHQNILYAQQQVQQQQNNWGPRQNS LY89DRAFT_745392 MPPQRLKVACAGLGRMGKRHALHFLNRTPRAELVAASSPDDAEI QWARQHLEPFGVRLYKDYDEMIKQEGLQAVVIASVTTVHAEQAIKAIDANLHVLCEKP LSTSVEVSQSVVDAAKKKPNLKVMCGFSRRFDASYRDAHDKMDGGRIGRPSIFRSQTC DMLDPTGFFVAYAEFSGGIFVDCSIHDIDLALWFFGQDSQVKSVVASGITAVQPELRK HQDRDNAVGIVEFYGGQIAYLFASRMMAAGQDDATEIIGTEGKLQVNAQPMSNLVNIY EAGGVRREIPPHYYGRFENAFVTEANEFTACCLDDGKLPMKLTGAVQAVKIGCALQEA LVTGKKIWFDEVGRRSEKALL LY89DRAFT_571771 MDPVQDRDGLALEDLSDNIDKVNVLKDQMKTEKTPNLDTEKDKS KFRQYEDACDRVKDFYREQHEKQTVAYNLKARNDFKSKTRAEMTIWEAMEKLNTLIDE SDPDTSLSQIEHLLQSAEAIRRDGKPRWMQLTGLIHDLGKLLFFYGAEGQWDVVGDTF PVGCAFDKRIIYPDTFKGNPDHDHKIYGTKNGIYTPGCGMDNIMLSWGHDEYLYHIVK DQSTLPAEALAMIRYHSFYPWHSAGAYMEFMDEHDAAMLEAVRAFNPYDLYSKSDEVP SVKKLKPYYLELIDEFFPQKVIKW LY89DRAFT_634645 MDDQKVDTLHVEQLSSNSLDKNSEIYEDLDSIEKTQSGKYAWLV AITAGVGGLLFGYDTGIISAVLVYLNDDLGKVLNASEKELITSITSGGAFIGAVAAGL TADRFGRKGAIYMGCFLFIIGAILQASAYSLAQMTVGRLVVGFGVGSAAMIVPLYIAE VAPAKYRGRMIGLDNMSITGGQLISYGIGAALAKVPHGWRAMVALGAVPALILCCLLP FCPESPRQLIYHDKHDEAAVVIQKIFPQGTQEQVRQKVQHIAGHVAEAKALKEGKGDL WLLKQLYVVPGNLRALIAACGLMAISQLGGFNSLMYYSSTLFALVGFANPVAVGTIIA ATNFFFTWVNLMVVDRFGRRRILLSTMWGMALFLALAAVAFHWIPINHDLTLKTTKIG WPAYVVLACMIIYVGFYSSGMGNTAWLSSEFFPMEVRAYGTMMLTCSCWGSNIIVAST FLTQMENTTPSGAFGFYAAICFFGWIAVYFCYPEVKGMTLEDIREIFKHGFGVKYARQ LQKEAKLKRKMENTSA LY89DRAFT_679640 MPQSSPQSRTPDNRQDDNDLNAVAAKSTFGKLRFRHGSSARYDS DFAKDQQWVRTTGKICTEFVDELPELEEIYSTSSSSKPKDASASGITTPRNLPAPSPG GSIAVTSTVSDDLTPWTLPPLYAGTQVVTSPIKRRRTNDTGSPFHSSNYIGADQGSRS LSFHETNQSVGEYPSNRGEDAIDSLLRAADFSEQESNQSVNLPDHPSRDVGSSFAVEV QPDTPGVWPHASVQEACLMRYFIDELACWFDLCDPERHFALIVPQRAKHCPALLNAIF TASARHLCHLDQYRKDNAVEYLEKRLADLHIETAVEYHSRCIEHLVSVSDDPEAAFDE NLLVASIILRFYEEVDAPLNGGDWETGLRGTQVFIEAQASAGPQSSLQRAAFRVACRQ EVYMAFIKQRPFGMPLNFDDYRSLEPTDDHTWAHRVVVHCADVLMYCYGEHPSNHLDY DSLMEYHRSWDDLRPKSFEPIFERPPDLHRGEVWPELWFLSDCHVTAVQHFDLSKILL TVYDPRIPRLGPSHRAAARRIEAEVNQIIKRLCGVAISNRRAPPAMNTACMAIAMCGD QFTDPREQQGILDVLVYTDTKHAWPTKEIQDRLKDAWNWTSPRM LY89DRAFT_24065 MFGIILFALTAFLLFTYFLVWPLVEYFRDPKGLRKYPNFNFLSG ITDLSFSYEAHKGFRSLALLEAHKKSPVVRIGPNSLSYSDLSAIKDIYGHNTKCVKDV FYDTLAGTHHHLADATDKLDHQRKRRILSSAYALKNLEGWEHKVADKTSRFIIAADAR CTQPLKKGMVPAPQDLTFDYRAYSNFFTLDAIADIGLSERLGFLDQGHDLVTAETMDG KLYKANYRECLHATARAQSILVWAYSWFPFLTKISKLVSSDFRRMWKLNEGWNDIVWH RATQRLARYRAGEKLDDFFSALMEDKNGNPNGMEWGEIVAEISIMMNAGSDTTAIGMC NAMYLLLANPACLSKLRAELDAVIEPSEVVIPYDKVRHLPYLRACLDEALRLFPPSTF GLPRRTPPEGAPILGDFISGDTSVSISAYVAHRDQNVFPEPEKYRPERWLGDDAKELQ AAFITFSTGARGCIGRNISYLEQTVLLASVVHRFEFALPHENWVPDRVEAFNLSPGPM PLKVWRRFEDVE LY89DRAFT_703542 MESVPRVVFWGSSDDFPTPSPITFYLRDKPDPYLHVWFEKPQQI EANRREPETRDIAVKLEELKKYLVIFWGSQSGTAEGFANRLVRDFRGRFSLDALAADL ADYDPESIANIPESKFAIFIISTYGDGDPSDNATHFLSWLGSNRTTRFSNLRYAAFGL GNKNYKFYNRIIDVVTESLDSIGATPLLPVGKADDSKGTTDEDFTEWKHALFAMFCER LGFKERPNQYEPALRVVEDTSLEAIDLHIGEPVNIQTKKGHAPISPIRPLPIKDARRL LSTTTRNCLHLELDTNDFPELKYKTGDHLAVWPSNPSSDVQQLANCLGLQERLDIPLL MQSLDPSIQVKVPSPTTWDALLQYYLEICAPVSRDTVTALAHFAPSETAKATLKGLGG DKDVYQEYCSKTHVTLGRLLEAVSPGIGSWSNLPLAFVIESLPTLSPRYYSISSSSIV SPKQISITVATSTEASLNQSLSIPGVTTNYLSAIEQDKRQKFTGSVHNLSGPSNILEN RAKLFAHIRTSKFRLPTIPKHPIIMIASGSGIAPFRGFLAERARMAAIGREVGKNLLF FGCRSEEDFLYRDELQELPRSNSDTEIVTAFSRAGERKVYVQDRVEERDEEVVSLLEQ NAYFYICGSAAMARDVTARLGKCLMKRKGWSDNELRGWSESIKKAHRWQEDVWG LY89DRAFT_571748 MDFLDTLTDSNGLPTAVVTMKLDTLTNSFGRPTATVTEFLEVLR DPNGSPTQTLTMQWVILTDSIGRPTATVEQDFNTQPTQVPKGLFAIPVEPANYFIVSF LPVLIAIVISVLIQIINRSLKNMLPFFALSKSRGALARDSLCMAPGGLTGPFHSVRLL FRFGELVSLFCDILVFLAAVLVTISSEAVGVKLEGSCKMNSFSGCSMYFDLFKGPSRA AESLMVAIGVIIACIGVSLYRIRSRVAFSPWSIASTAALLSGETAKLLQPLRQDSKQK IDTAQIVKHLEGRKFALGFYLGDHGGMEYGIIISYQDPLGVARAVGKSAGVAPSKDHA KNSRKEHRRKCFSKQFNFDYGVDTLFLTLLCGLLILVVYYDAVQLNAKTNSFERFIDS QTFGVRSVFSGFGVIISFFWDNMFSRVMKMECYKSLSRFPRRSNTSILASSNITVFLG LWRALWDRNLFAGIVAFTGILSKVTPLLLSNIPFRITQTWETHKVCAWMTVAILAFMI VVLVWCFLEEARWPYMPLDPNTIAGSMYYLCDSPILGDFEGTSRLSQKELKARLKGIG KDYRFGEMVGVSGQSRIGIYRHRATDISRGKPVQRAASVSTAPETQLSAPSRSRTTRT I LY89DRAFT_679642 MNKKPRFLTKVLGGGPPPSAATNESFDTSNLESPQLRPSASQNT VYSAGAPIACLDRSSNGQRAVIAGSKVFKILRIDGSTITEDVDLRAAITSYATTHDHS AATSDQLNIRTVKWSHSSLDSTIVTASGNGRITIYDLNRGGEGFEVVRIQDHVRQVHK LAICPHKDNWLLSASQDGTVRFFDIRTPQPDRNGTTFGARRTFKCNADAVRDVKWSPS DGVEFACCTDQGVVQKWDIRKPTAPVLKIQAHTNSCRSISWHPDGDHLVSGGADQMCK VWDMSKKADRNQKPSYSFTTPAPISRVTWRPPCWSATAQGKRAAQITVAYDDSNSAKN QTASVHVWDLARSAMPFKEIEQWDSAPTAMLWNSRDLLWSVDKEGNFTQTDVAFVPKL IDRRSLSTFAFSPNGDILMLLEERQAPRRSRPSITTPEVSPGFSHHGSGPLLSVSRSD SEEDVVGSFLGPRQRKGHRRRNSGRSGHTLSTTPPSAPGIADNKVMSLDEAVKVTGTY KPQQVMAIGHAPGAIKRSTYQYFSNRYLLQMSKHFSDDDSPDMDVRIACITESYAETA EDVGYFRLAQTWRLLGFTMQLLLSRRAEYHRQSRLAVPEPSKPPSRQEQEKESILPSA TQSEVGEETPRKIHHGGHSKLDSPLYRGAMSLIAEDVESTSNVATPIVRPARDHIPIE TRDMHTPIPADEDDLTLPEAAHPKTPSPIPVPGTKQSPEQPSSSVEGYDFYGMESFSP ALDFVAPKRKPPLRLDYHEQESHPPRIQPQRHDSGESFQMFSTSGDSQNKFMSSDGSD SHSFRREDSLALRDRVHEWESSQSPHVKHRASIDSNAPTHSDSSLGGHTPDSTDIERA ASNGVPFNPSAPPVFRIQEASVPSAPEAQRLRSPPITGTASPMSFDKASETPSQDPNI IASDFYPWPTDPPFLISPIDPAVLVQRTIDFEVQTSALHASAIILLFRFLLPPSAVDD LQASAVLRQYHHRLTSMKLFTEAATLRNLCVPAYPHVFATAQEDVKIGFFCTSCNKPI ENDPNIPDSMWRCPRCGENIAPCAVCLSRELDTSILYEGLAEEELKLPTWFLCPGCGH GGHTTCMLAWHLAEGEAFSEGCCPLEGCLHPCLPGKWRDERAEEKRVAKEREMDALIK EGQRLGIKGGRSVRRDQREVGQSRAVEGVRVALGITGLSGSGSIERKKSVKLVAPGEE LY89DRAFT_571940 MMAWKPLRVSASAGAHLPPLLISTNFTNDSYTIYLSDLTSIWSE SLERAAIIRRSREESTSIDPSDAAQFKIFRDKIKLGLEGGKDTTLALSILADPSRPSL VLNVTVNLPGGLPPLDWPIRLAAGPQCMLTGQLIKPLLQAQHERIQEANSLVDILKDK DHVIQKLLDKLESQGTELSQVFPQAAGKVGRKVDRKKAAERVKGLAQFDVGSWKEGLD YAQSPDTTQLIANIFGASADALPLRGGDEISEEDTEEWWDNIKGITVNLVTGKISTRG PSKASRRTTPLSKIPPPLPKQESTNDDDAFQTQATPPRSSNAKVSAPKAKVETSSDDD DLDAPSQRSTKRSKIPDSFPKSPSPHLKAPSLKVVKKFGNLGGEKAAPAPSPPTKEDE STDDEEPIPLPKSTKKASPQVIVPDDEVTENDDEHTSPPPKAAPQARSPSPEPVPKPQ KKFGKIGGKKDTPPPPTPELEPDDEPEPSPPPKAATPPATKAKKPKFGQIGGKKKTSE STPVPEESVATSPAPKAGIKRKLGAIGHKAVKAEPSSQNPEEDIRGRAPTKEETPPPR ETSEDRADRKREQLKRELEEKAKAPVKKKRKF LY89DRAFT_727542 MSSEYQSVQQDVVAAMEENRISQRSFEQKHLLPSTPAFEPLSID FETALSGNVSPVSTTSRPNVVHSVHGDDFGNALHQMASSSHTSIDEEEQSEKGKDTRG STTALLYSPFFLRKSVLMIFALACLVMIIVLGILYAVSHHNHGLGSPSENLHLLWKYG PTAVMHSKDAGAEESVLLDYVDPMGIISLFKSVRAAHWPVSLAITGGLLLKLAIIFSS GLLDLEVIQVQETSPDLMADYQFDTNNYSLSSIDGAPYSNWYAIQNFNLTYPVGTTPT QAYQFFNLSSPPKNFDLSGLSMSGIANQFSAHLTCQPLLDRLNYSYTFDVEQNLIPYN GVQVNLTNNDNLGYYMLDIASPSCNAPLQVETQYFGSMYLVNCSTGLTTISWNGLAEM QTRDKSQDQVIFAFRGLVQNYTQLTAVLCQPSYNLGNSQISLPYSQEILPPDIGTGTN GKFADLSALDVLDAFRAAVELQPPDLKQDFENSPLGDYFFTVLNVTDHHTSNWVDPAI LSQNISNIYSSFTAQIARRVFTVSSNSSIQGTITGDSNRLMVQSLSMALIVAALSITM FVATALTFVAPRGTTSRDPSTIAGLASIVSQSPDFMQFMLGKGQLDRSSLLDHTKHSR YRTNMDVGGEDPQFKVDVVEFGEKEPKTLPPSSETNIKWWKPYSTTIVNKVLIVALLS MFITLLEILYRLSQRRDGLASVPDNDYVKLAWSYVPVTAVVILGMLLGGFDFTMRIIQ PYLALRKGNASAATSVLDDQLNRLAPSRLWSAMRTIQFTAIASTTAVLLVHVLTIAVS GLYVAELKPQIIPNITISQLDALDGSQLVNDSATIPSAWNDGFAVTINRESLPGLVL LY89DRAFT_727543 MAEVSYTTYGQPMPDVKGLVIQTPSLPQCGNVNFNFTQTQYSFA SGFVGQVRYGSTDEGLMSSVNSTCPQFVMIYGYIDPEISKNITNANISVAWCNPFYQR LDVNVSYLLPNYTIQSAIADENTAVVQALPDIWPITVQSFTDLPTSSNNGAGSDTDPF FQSLLMSDPNPLPLSNISRNDKASDVLSSMESAYQILSAQIANIYLRSVPLSPTPALS GSLTDNSRLRLVQSPIPTRILQGVLAVILFCMIVVFITSWKSDRVLPSSPTSIAAVAS LLAGAKLLECFPAGSEWLSNEELRKLEVFGGKEGFSLRWWGEEGNDDGVRFGVDKEGL GNDI LY89DRAFT_727544 MILQLVTAALALLGSYLFMRVRHTRLKQYATFPQLRPSLILGHL GIMGGFVRSGKPKGHPDLALAAMNEALGRPPLMFVDLRPAGPPMVVVRSHEVAEQISK ASERFPHSLPKMSEVYEHMIYMTGPTSILSSQGEDWKLLRKRYNAGFAPQYLLAFLPR ILERSFTFLGHLDDLVASCQSFSLVNLTRNLTFDIIGSVVMDCNFGAQNKNQRTDFTN TYQALLRTYEGEQIDLPWYFTPRVEWRRRQLAGRVRETLRTVVGDAFNKRNHQTAPNK SRSILSLSLQDVDVLTDRAIDEACDQLSTFLFAGHDTTSILLSWMFYELYRTPHVLRA LHDELNSLFGLDSNPSVVRDILLSDRGHDILNRMTYTSAIIKETLRLWPPAGTVRTLP LGTHLKIETSNGEYMLEEGAVIYSCATMIHRDPEVYADTANDFVPERWLPNVANQIPI SAWRGFERGPRNCIGQELATLEARIVVALIARRYDFSKDGIGEITLDEARKPILDVKG RFKVAEEMYQTRELVSKPVDGMMMRVKRH LY89DRAFT_703545 MTFKSHFLNVALCATTAFLFFVTIHYLITVINFHMAAKKSNKSN SPPLAPHMIPLFGNLPLRFIWDAHKFVCSSRYAFGCSYPIRVKLMFQEFYIVQGASNV LALYKNPTLSAFFLHGYLLTHVFSLPSSAVSTYYRDNSGDIEKPFEDSSVDPHNRVEF LSRSTFLRLLSGPGYAPLRARFEHNIAQRLQSLSVSQDWTPIGNLMDIFHHQVTGAVI DSLCGTFLLNQHPEFTRDLWALDSNVTTFFVKTPRFLASGVYKNREKLRTWGQNFSPE CVDADNDDPYWGTKFFRDRHDMFLKMDGFDVDAVASEELAFIWATNTNSIISAFWTTL EVFKDQQLLDQVRAEVESCKKADSTVDLRFDVSKLLTQPLLQSVYAETLRLRVNGFLL RRSDRTDLDINGWKIPKNRLCVTSSTPGHMDPNIWCTGTNMHHPTNEFWAGRFLKENR STGEVEFSFKGTEGSWVPFGTGSHSCPGRNFAKVVALFVVAQMVSRYDCEVLADNASM KMGSKSVGFGTLRPVGKIPVRIRRRPA LY89DRAFT_745434 MNESTIDFWNIPAGRPPPGVVPNFVDPQTIRPVLTIGIYVLLPL MLSFVVARIYTRAFVTRMFGVDDCDTILHGRIKTDIQYECIVLNLKPISPLGRHLWDI PVAAITNQYLIAMSLVASPAALMFVKISILVFNYRIFQAELWARYAMWVGITVVTAFY LTNIIVVLAVCTPGHGETWLSKAEYGSCAPTEIKLAHAQGIFGFISDLYIVAIPLWIV SHLRLPTKRKAGVMVVFLTGFLLCEVCFGLIASCMPAITVSLKTLVTNIVSSWHSAKK YSNTLLSRPDNSGAEQLKDWSPEVPNAKMSGLRTFIRRVQRTNGQSVAVSELATLGTM NTEVEQDYHQQLRKMQGVDVERGS LY89DRAFT_745436 MASKGSIAAKTRDWTFFIVIGSLFLGTFLVALDTTIIGTATPAI SSAFHDLGDIGWYGSGYFLTLTALQPSFGKIFIILDVKSVYVFCILVFEAGSIVCATA SSSVIFIIGRSIQGCGAAGILQGALLIVTKAVSLEKRPLYLGIVISAFALCVKIGPLL GGVFTEHVTWRWCFWINVPTGAIVMILIMVFLKLERSSEKVSSSSTWYQKVKELDLVG TVLIIASICCLLLALQRGGQTVPWNSPSTVGLFVGSGLMFILFMLIQWKGGDHATLPF SILLRRSIISGALYLFAMAMPTYVYFYYIPIYFQSIKGFSPLRSGIDFLALAIPQIGF TVLSGWLASRFGYYTPYLICGTAISVIGSGLFTMLNVDTRLGTWVAYFLVIAFGTGIS ANHPYTAVQAVLDETSVPIGNAIMQFAFFLGGALGLCIAETIFINTLRSEVTRNIPDV PVDQVISAGAYGLATLASYSPETLLLLKEAYTYAIQRVFLFALVAGALAFLFSLGFEH RNLRQVAKEREQINLSASQDDLTNQ LY89DRAFT_605205 MSFSSEEYTYTPFENTTEIRLLTIHAGKAEDPVTCSLDVWDLEN EPIYEALSYCWGPGNSETMVLNGILFRLRENLWSALWHLREQGKDRLIWADAVCINQK DIPERNSQIRLMKDIYKRATRVIAWLGTSSEDSDV LY89DRAFT_727551 MFSKFGPEIRCRIWHFSLPGPRALCPGVRSTPSGEYETNRDYRK LFFPGKHQAPNPAALSVCRESRQIALQWYRLCFGTQNIYADLNIDILFFGPWDVTDFG TFWEWDEYKSGGPGGLTNIIHKTLQPEVAADLKKVQRLAYRYLDGWAEYDDTHGEETH MNGGGDELRKQLGRFESLKEVLLSHGPDNTDDLRNTRRRRWVSNMLSQRMKVKILIHT TFSPNSERKTSSLPSERRTFLQRSRHEVSQKLSLLSNVFPMYQNMLIRDPTPG LY89DRAFT_634670 MRFQLTSLLALATVSIASPTWQAFEDWAKGAGGKSAPDHTSPRP SISCHPKSPHVPPPSPPPRNKVCYVQSHNDGVTDDSTYILSALHSCNNGGHVVFREGI KYTIGTALDLTFLEHIDIDIQSYIQFTNDTTYWQANSFRFIFQNVTSFFKLGGNDVNI YGGGTIDGNGQVWYDLYASNIYTLRPVLIGIDGLHNSILSNLVLRYSPEYYHFVANAS NVVFDNINIAGASKSVNVAKNTDGWDTYRSTDITIQNSVINNGDDCVSFKPNSTNMLV QNLWCNGSHGISVGSLGQYVGEYDIVENIYVFNASMHNTTDGARIKVWPNTPSALSGD LQGGGGSGKVNNITYDTMLIDKVDYAIEVDQCYGQSNLTLCLEYPSPLTITDIVFKNF NGKTTSKYQPEIGTFACSSSAVCNNIVASNINVVSPNGTDLAYCLNVNETALDVTCST LYKGFN LY89DRAFT_556607 YAKLIYRALMSAPNHSMVLQEIYQWFRDNTAKGASDGKGWMNSI RHNLSMNA LY89DRAFT_727554 MDPGRKAMIAGRGSPARDITAPRRDQNNRISKSSRPTGSGSRTP DFRPPRNNDAFEDEQTRKWVSEEDSFVLKQAKKKADIRVREGRAKPIDWLAVILRVID PDRDLLDDDEEEVQHEVVDPEGVFEGLNDAQFIELENDINSYLALEKNKKNQDYWKTM QIICNDRRQKLKPMGPEGRAVNSVSADIDKLLGPKTYEQLEALEKSIRAKLRSNENID FDYWEQLLRSLLIWKAKAKLKKVYQSVLDSRLDTLRKQQEEDAQGVREKMQELLGGPL RVSEEGAEQSTDVSEVFRPQSDFKYSQIYDPEPLLKLHAEDKSSEVIDESVFLQKVVA ERRKVLKLGYIPLKQQAAEKGASSTSKVVSIATTSAAPPGTQRFSTAPNEDFSQATKA LYEREVARGVDEDEEIFTGEEAVATADQPQWADKYRPRKPRYFNRVQMGYEWNKYNQT HYDHDNPPPKVVQGYKFNIFYPDLIDKSKAPTFKIIREHGRKRGESFAPAGEEDTCLI RFIAGPPYEDIAFRIIDKEWDYSAKRDRGFKSSFDKGILQLHFQYKKIYYRK LY89DRAFT_776627 MAILSGCPGVEVCVQSVDDGGAQDLQEYDDDGGWTYRKYSHLPE GQRISKYVESKAGAQFRIRIILKNPFRLTSQSVTFKASVDGHGIAQASCTADYFYRAS GYYMELITARLDRISGTEITSRPLKFNNLKKVDDTDSTRVRQDSRAVIGIGEIVISVF RTLQRDPILPPPLYRSTVYNPIEEVAEKALKGKAISHGVAYGEQQIVTRHIKETVDVD GYNNPLGVFIFKYRSMEDLKIELIIPRSPSPQPAIAPLNLRGNLPAARRERLANLKRE IEEIKAEEEDSQPSGSKRRRTGAAGSSGRAYKTSWTATGQVVVDLTDD LY89DRAFT_663261 MRRNRGGPSSPFRTNGAPIPDSLSLIRSFNIETNPTRPVRPSPL TASTIPDMPLDLVDRIRSFPLFLSAPDSFLAAIGKHLRPQVHSPHDHILTEGDEAKAM YWLVRGAVAVTSRDGEATYAELRPGAFFGEIGILMDVPRTATIIARTKCLLVVLKKED LRTELPNFPEMEQAIMEEAQERLTILNKKRKESGFGPKLVSTSLPSRGGKLLAREAAP GEVSMGDVGKIEKGTVINTKKRKSPSPQGIEDPAAGSALGSGFVNVRRTLKELPLFST LPPEILHFLGLSAQPKTYPPFTDIILQGSPGSEIYFIVRGEVEIIHETTNGQSFSHAN HGHPSYIRPRLKQGQYFGEVASLALAPRRTATVRSITTVECLLISGEVLEELWRRCPP DIKKQVERTAKTRMEHQDEDVHMIDVDAPSINDLELEDRVTTPIRTSLPQVTFTPSKP ASPHKPSRAEDKEVLEPLDPDPFLSVDMDNMRARSRRGSYAPPVPDTPPPEEQKVQSG PKTRSRTQTPTPTKSPLAMTPPDSSFRPKRPRTQRRPSQYNRGVLPDPILILIFSYLD IYQLMRLRSVSLHWSKLLTTSPKICKTLDLSLYNRQVTNKVLTDIICPFVGKRPETID ISNCFHITDEGFSALSQQSGSNVHAWKMKSVWDITANAVLEMANNAKQLEEIDLSNCR KVSDNLLARIVGWVVTEPAPPQQNTRSKALQQPNPAAPPVGTVVGCPNLKRLTLSYCK HVTDRSMAHLAVHAHSRLQSIDLTRCTTITDGGFQHWSIYKFSRLERLILADCTYLTD NAIVYLTNAAKGLRELDLSFCCALSDTATEVLSLGCPRLRSLKLSFCGSAVSDSSLRG IGLHLLELRELSVRGCVRVTGTGVEAVVEGCM LY89DRAFT_727557 MPSAKRIRTSSNPDSRDESSPTRVHTDDLVWPHIFPYNRPWPEP ESYKAGPGMIKKLQSSILKLRIGRELKVVEVHKGILTTAAEYFEKMFNGHHAEASTQS AQFPEDDPAAWGLLIGWCYTEFLPTLHAVETEEGIDTISAEEGLTRIKLCCLAAKYNM MLLHTIAVDSLVKFLGKDYGVKPKLPWDMFRSYCLYVYGYSIESWKSTGSSYDCKKML ELAMEIPDLMSDFSRAIQEQRESTSHTGNIHPSDIDPCEFHIHPKSSDIECPRAFGGK PGSLPWPYLSARLHYMSQNHALKGQSIRNVVEVEDEIGCSRGDIINAVDSLIWQRANI AWLDRPNCFALLPPEEKKGTNSGKQSK LY89DRAFT_24242 MSFHPIIGKNLTSREEVCSRCEFGNQPRQTRQKKVRAHVILADW CLQGHLEPHRHCGWFLFSVIGVVVSWHFSGSAGLELAHVQFKHSKNDREPALVAMSHA VERIRRFIYNRSTRIAEMANDSNLLENGCRNYNYEKRKEVEQDLCDDGNEAKSMVIFS KEGKISLASRLSARHQEKEETFPDHIRRFGGFENPDIIWR LY89DRAFT_24224 MSLVRQRSTDPASSEEPATKKPKTVPEFPWPWNDPPETDTYIDK IKSPILDIRVGPDAKLFQVHKRVLTDKADFFGKMFDGQFIEAAKKAADFPEDDEAAWE ELIAWSYGAFFHVFLPCDRRRVNLDAKEALAFFNRIKLCCLAEKYNIIRLYNLGVDSL IDFLWQGPSTMPILSWDVFQVCCRYAYEHSAEHTGLRHLFSTYFCYLLSCPKEDCEST YDSQQMMSLASDIPDLMSDFFKITQQSSGPDSTKPDNIDPCVFHMHIRPANEECPRAL GGCSSFKWPRTEAQVHYSVQGMYEPDMSQGCRVMRLAEVIGVATKDVISAVDSLIKDV ANVEWLDEGHNVDLINPKKWQKIMEHEQEE LY89DRAFT_24253 MALSEKDSEDKVDFPEDGEDAWKELIDWCHTGSIKPLEQSDRDA ASDNSTSRRSCWIRLKLCCLADKYGITLLHNLAMDTIIDYFKGCGSSPFMDFDNFSVW SSYVYDNTPEQSGTRDFIAYYFYYELTYKVPFSFRGEGKRTPYAIDDLDELSHTIPDL QKRLFSLVRQAAIKHFWLLSPCTFHNHSTPGVMWPESLECPISLTDPVGKWPEECFRI QYYIQDRTLKGTELFDVRQVATELKYMNDGSFNSQLERLNRAKVITWVAPDTSFKLTP PSNEIG LY89DRAFT_663265 MAFVMRQPFKLSTTLVVASKTAFKSAPVRSFHSSRPAANFFTSR TTAPTSTLVKARNAFRQSRTYQQPAATVPNGGNLMQKLLVGGALFGGTFIAIQLIFNR ETREDGGMPPYERAYLNDTFMHTGLGVGIIGLSARAMFQSGFMYRMMATNPWVVMIGG LGLSIGTMMGTRAVSPDNYIPKYGLWTAFNVTQGALLAPLLFMAPPALIARAGLYTIA MMGSISFVGATAKQEKYLYLGGPLLAGVALVAVSGFAPLILPATAVRTLAFTENIWLY GGLAVFGGFTLYDVQKVLHHARLAERGLIRKDAVNESISLELDFLNIFIRMVQILMMQ QGRRK LY89DRAFT_679651 MPAFLNRLLRPFSTSTMLGLAPGEVAPITSPEGAQKATIAAGCF WGVEHMYRKEFKNKGLYDARVGYIGGNTDSPSYRAVCSGRTGHAEALQVTYDPSQITY RQLLEFFYKMHDPTTSNRQGPDSGSQYRSGIFYHNAEQESIAREVTKLANEKWWKGKI VTEILPAGEWWDAEAYHQKYLDVNPGGYECPSHFLRNFPALE LY89DRAFT_703551 MIKPEERFFSDGGGYFGAAENPNTEAFLNVWDWDQLRMVKLKGT AKIFPPDEGHEIQILAQFADYLSPEVRAVTLDDDGLITGVSTDPDEDETIFVPYFPFA TVPSLADCRIIQYSKLQELDRLGPGVDLSSYKDESGNPQTVAFEFNSWWKPVRMQMAW DELHLLKSLPPHPNLLRLDRVVLEDVESRVIGFTTKYIPGGTLDIQNIPFRFEWLQQL TQVVDFLNLELGIMHQDIALRNLLIDPDTHKLVLFDFNFAARGKDRLIDGRDDVSGVV FALYELITNDTHFTSIPSVGSKYRHDPMGDMERYLNAPNQLTWPDLPTPLEYNVPYEV GKTLDGEPNLVTGVRTRRVAMELGQYCFHWERPRQSRLLKKMKEAENSM LY89DRAFT_679652 MSAMQDTTATAQAGETAYENTKGQGVSHATDSSVPESVQRKVPA SVEDNLPDSVHDTGSNSKTGKVSHATGDSKVPLKVQEALPAKVEKIVPNSIHDTRGAL LY89DRAFT_713366 MERQPRRRRRPALACLDCKRRKIKCDRNNPCGHCISTRSQCTYA IWSKENESVVRHPPPQSSGSTTTPSVYASSPTAQYLGIGTNLTSTDSASLEHQSWSGI LEAARQNDIQDNPGSNNTSSLEVTNDEPDLWSLLQRIQKLKSSSPSSSIHELSENGRD ILARQAGLHDSQIVLNKTRMMGWSHWMGIAQEFTPIITCFTKACSKEKHSSHQGTETE SLIFEMGDLLHRCKTVARVLKIGRPSRHLTGPDLGVAMPSREVADTMAALYFESFEST HRILHVPTFWTEYRRYWSHPETVTTGLRLKILLVIGIGSSLCEHGDSGHEFRNMVHQW IYAAQTWLSGPLEKDRLNITGIQIHCLTILARQIFSIGGDLVWMSMGSLVHRAMQMGL HRDPKNLPAISLLRAEVRRRLWATILEMIVQSSLDSAMPPRISFDEFDTEAPSNLNDN EIHESIIALQSHPKESYTTTSIQIMLLEALPTRLQVLQLLNGLHSELSFLDVLKLSSE ITEICQSCTSFMKKHSHSGVTAFHRNLTDYLLRRFLIPLHCPFASKARTNPLFHYSLK ISLDTAMAIISPEPDERFSRIMAIGGGLFREGFRYACSVLSLELIAQAEAQQLDGTLH RNSHYRHILKQAVKNMISFSSERIQQAETNIKAHMFLSMVLAQVEAMEAGKSYEIEIA QSGRDSVKYCLEMLQARTAAASLRSPSDADFRPTILDVEQDIYGLDLDFEFFLPDAGF S LY89DRAFT_776636 MDILADAAAAKQMQTQDSIVQELALGNTGDDDPDGKQIFGGNDP NSPLNPHSEKFNARAWGVNFTRIAHKHGKKFRQVGLCFQSLNVFGYGTPTDFQKDVGT VWLALPGMVRRFFSSTSGQTRINILHQFDGLLRPGEMLCVLGPPSSGCSTFLKTISGE TDGIFVDENSYFNYQGISTKEMHTAHRGDAIYTAENDVHFPQLTVRETLTFSARARCQ AELPQGISREQYCEHLRDVVMAMYGISHTINSKVGDQYTRGVSGGERKRVTIAEATLA NAPFQCWDNSTRGLDSANAIEFCKTLRLQSEIFGQTCAVSMYQAPQSAYDLFDKAMII YEGHQIYFGPASKAKDYFINLGFICPTRQTTPDFLTSMTFPAERIHDPETNPPRTSDE FAIAWKTSPEYRALQTEIDTYKMQHPIGGPDAVTFRKLKKAFQAKGQRVSSPYTLTYA QQVRLCTWRGFRRLKADPWQTVGMMIGNTMLALILSSLFYNLGTTTSSFYGRSVILFI AILFNAFASIIEILTLYAQRPIVEKHARYAFHHPSAESYASVLVDLPSKILNIVSFNL VFYFMSNLNREPGNFFFYLLVVFLLVMAMSGIFRFIASFSRTEQQAMIPASIMMLALL IFTGFIIPVDYMLGWCRWINFLSPVGYGYEALMVNEFHGREFHCSGYIPDYATVNMDN IACNAVGALAGQSFVNGDHYINSAYSYYHSHKWRNVAIILAMAIINHLAYFISSEYVT AKKSKGEVLVFRRGFLPDSAKPGSDVEKALSIPVAVAEKSKSSFSINERSFQNFKSVF HWRNVCYDIKIKGKSRRILDHVDGWVKPGTLTALMGVSGAGKTTLLDCLANRRTGVGI ITGEMLVNGMVRDESFQRKTGYAMQQDIHLETSTVREALRFSALLRQSSSIPKKEKLA YVDEIIQLLGMEDYGDAVIGVLGEGLNVEQRKRLTIGVELVAKPPLLLFADEPTSGLD SQTSWAILDLLQKLSKAGQSILCTIHQPSAMLFQRFDRLLLLAEGGRTVYFGDIGTNS HILTNYFERNGAPPCPISANPAEWMLEAIGAAPGCCSDNDWHEIWRSSPEYQIVQHEL ANLENPSTHRPFDQIHDRNSYDEFAAPLWQQFLLVTQRVFQQSWRNPSYIYSKLILCI SSSLFIGLVFLNAPLTIQGLQNQMFSIFEISSIFGQLVDQQMPHFVTQRSLYEVRERP SKTYSWKIFMLSEIISEIPWNTLASVFMWALFYYPVGFYKNAEDAGQAIERGGLMWLL FWQFLLFMSTFAHMCISFADTADEGGNVANFLFVLIFFFCGVLATPDSMPRFWIFLYR ASPLSYWVSAVLSTGIANVEVTCASNEYTIVNPPNGQTCGDYMADYISRAGGYLLNPE AMIDCSYCKIKETNQFLSAIGSDYGDRWRNFGIMWAFILFNIVAALSLYWLVRVPKGK KKKL LY89DRAFT_745460 GIKLLRTCKQLREEGAAVLYGSNTFDFSTQHAPGQPILYDEPHR IPGYLTEDGEYPTSEQILQSIENLFVNDQTQFKQPKFLWRDPLTKFMTKTGRYNAGLI KSIRLNGTFKDGTYYECPLAQTLPMYALILQHACQSLRKVTLQAHLRREPWPHRADYN EGEPDKEIIDDIVGRFVESLPQLSQLCLGFRGDFHGDEMSPTDKEKEKDESVFGEALR WVDIVKKRAGNETITFPDAARRGGDYELYLEPKGSGSEAGISEVFEAGGEHSS LY89DRAFT_745461 MATLIERFQALGPSISRVLSASGSAGAAIGVLHHGKVIHTAGYG FRDVDPELKMDEETVVSFFLLTNAMTASTVGVLVKENSVSGLALGRFLDQRSLKPLGM TRMSVVHPEGEGGEENISEGYVALSDGSTTRIERPFAGDDKIMFGAMGVNSCVKDLLT IYGVLLHAAADQFSGGTTVMKDSLFKQIQTVFSAHTPTGNPTLLNRSYGFGWHRSQLP NQVGASGENKRLILSMPIIARGTNSTTVLYHDGNSTASQNWIILVPEAHSAIIVLTNT MANCDASNWIACMLLDTLLDSPDKNDFEDLSIIAAKKNVDMWKELHSSLEKGQKPGTT PKPLGRYVGKYWNNFGNWYLEVYLEADELRMAFQSDREYVHALRHYHNDIFTLLLMQD ENAEIGRYPSTGPGPWLLKFVVEEDTIKGMNWNSNGVEMNIFRKVVLLFSSLYFQRNL Q LY89DRAFT_634693 MHHLFHLAAILAWAGAVACEALAPINEDFDAYSHDDVDVCSSGA VNYTILAQKLSSTAQIILPSSNLFEAITERWSNLSTPVANVVVVPRTEQDVVETVKFA NWCSLPFLTTNGYHGSITTLGRMTEGIEIHLSQLSSVTVSADGTTATIGGGVISRNLT DALWAAGKQTVTGTCECVSYLGPGLGGGHGWLQGHHGLVSDQFVSWNVVLASGSLITV DENSELFWGMKGAGQNFGIVTSMTSKIYDLVYPNYAMETLIFGGDQVEAVYEVANEQW LTNNKTMPVDLINWSYWYYDPTTDADKPVIAFYLIQEGVEAVDVAYTQPFLDIGPISN SSLNGTYLDLAAWTGIALDSGPCSKTGNANPRFPIYLKSYNSTAQALVYELFKQATTN ASTPFSNALFMFEGYSQQGVKALGDDASAFAYRADNLLVAPLLTYASTGLASDEVAYK LGNQIRQILYEGSGETTLNTYVNYAYGDETATAWYGAEAWRQERLQSLKEEFDPSGKF SFYAPIA LY89DRAFT_634695 MSESAAAIAAATIAFNTELWTLYSFGVLITVFRTFARVKMVGLK GLRADDFMVWVAILIYTAQSTLAYTAVNHGQGLANNGMTDAERAELSPDSTEYHLRVF GSKIQIVGWTTYVCLICTLKMAVLVFYIRLMEGLSNYWRIRIWIGFGLVGATFMASFI TIYAGCQPFSKYWQINPDPGNACQGAVARPIVWVTFASSVITDIYLIMVPLPMLWGTS LRLVKKLAATFVLGAGVFVLVCSLLKTVFVIVDPVHGAQLAGEWGTREAFVSVVTTNL PMIFPLLKTWLKPLFGSALSSERTNTKHPSGFRTIGDGGGGSSGPGRSRKPTHVTDNL SFSESEERIVGDIKMNNLEVYAGPAAPDRRPSKGIMVSNEFKMVEDEASQHGDHNAKI VQDTW LY89DRAFT_634700 MVEVQYPRVRASKPKTRTGCATCKTRRVKCDEAKPHCNRCRKFG VECDGYPSLAPKTRTKKALIVPKQDQVLMPRPPALTRLLPSASAGYQSEIEYLCFKTF QERAATELSGYFDTNIWRRTILQVCHEEEFARHAVVAIGALHTTMELVQSNVYSSPSS EVLNSLGTTHHRVALLHYDRALKLMGELTLRDEESALRCVLLSCLLTTCFENYIGNQD NALAAAQKGVDVLTECLTTIEWCKPVEDLKRIQTRILDDSDNDLLSTFARLEASIIMF DHNRRSPRKLEPLRLQNFPPFPDFPDHFKDVREARMYTDVLARKALIWRDANLQKWNY SNLDFGTVDTEDKSWRIEQFSRRAQREFQHCVKCKGQWVKAFIPFYEATRSFPGSKEY LGASILMIQYVSTNLMTHLPGQNLETYYDRFREDMGTLVDLSRELLESYPVTTSRKAV YTFDDAVVMGLFLVATRSRDGNLRRQAIHLLVKYPRREGLWDGTMASTVASWLMNQEE KGIKVGDFVPEAARLRIVMLNPKFAERYVTVRCSELLDGSSERIEMPDVVLTW LY89DRAFT_634702 MSSQIKNILIIGAGGKLGPVILSTFAKDPHFITTILSRDSSTST FPAGTKVVKIADDYPEPELLKAFKGQDAIISTIATASAKQQEVFIDVAIKAGVKRFVP SDFGSDVRNEKARALLPSFLQGKFDTVEYLKGKEGQGLTWTSFVTGPFFDLAMKFGYL GIDINGHKVTLYDNGTTTWSTTTLATIGLAVKNAMLAPEQTANKYMFIDSFTVTQKDV FAAFEKATGKKWEVTCADLAIDKKEGLEKMAKGDFTGAGQLIRYINLVGGHGGNYMEY EGGDNELLSLPKESLDEVVARFV LY89DRAFT_605243 MAFNIEVPGEAAPLTPQQVYLALQSAGSSQQLSIQTGTQQLQTW ETQKGYYSLLQAVYLDKSLPSEIRYLAIIQLKNGIDKYWRKTATNAISAEEKAAMRSN ILEGGIGEVENNLALQNALVLAKVVRIDFPLEWPDVLTSLIKILRAANHSNQLHLRRG MLMLLQVIKELSTARLRTSQTRLQSVTPEIVFLLSEIYNEKVAIWLSFLNGNGEDEGG AMDAMENSLFAIKIPRRLLISGYEYPHHSTDVQQLWEHSQLQFGQFLDMTTREPPIIV SPAKDFVEKHLLQFSKLHTLMSNRHPASFALLPSSLDLVRAYWGLVAKFGESYGSETQ DFSAKALRKDDSMKDARPVMEKLCLKGLNIMRACVKMVFSPAQSFKYRSAEVKQEQQD AAALVKSQLLTDQLVGEMASTIVTKFFIFRQVDLEEWEEDPDEWKIREEGGGDTWEFE VRPCSEKLFMDLVINYKHLLVEPLLSFFQSVAGTGQSNVVTKDAVYTAMGLSASVVHH SFDFDSFLTSTLVNDVQQTGPGYKVLRRRIAILLGQWITIKISEANRPLVYQIFQHLL KTEDETNDQVVRVTAARQFKAVVDDFSFDGEKFLPYAPDILGRIMALIQEVENTETKM AILDTIRMIALRLESSISQFSDQIVSILPGLWEASGEEHLLKQAILTLLSTIVTAMKE QSQRYHPMVLPLIQRAVEVGSEMQVYLMDEALDLWCTILAQTPAPATPEIISLAESAF PLLEIGSDTLRSVLAIVESYILLAPEAMLGNVVRLRILSYMTSLLGVTKRELAGLVAT IVENMIRAAEALGGSNGVTQIAKDLHECGYTERVFEGLRDAWEAHQTTGPGRRYPKLD DVVETDYFTILARIALADPSVFVSIMGSVGNVDEVWRWLNTEWFRHFDCMANIDRQKL SCLALTRLLELPPPMTPVIFERLQDYFAMWTSVIGEMIGGRDDGGDNLIWLPSEGNEY EGPEDVRKRLHSASDPVHTIHTYDFVKERLSQVVAVCGGEDAFQRDWAVNVDKDVLAG FQNLGTKTDQSSAFWRQQD LY89DRAFT_572817 MGRDFSGTVAEVGSSVTNYTKGDEVFGLLFQAFGQGTFSEYINI NPASNPIAKKPNCFTHEQAASIPLVALTAYACLDWLPPQTGSQRRVIIRGASGGTGMW LVQLAKVSYDCHVTAICSSKNAAFVKDLGADEVIDYTSQNIVSTLEEQLAANGQSDLI VDCVGGTELLDSYERILHKQGAYVTIVGDKTDVKTLGGPVTYFNTPAQVIRFIKGWIW GPRYACVSLLTKSEYLEKIVGLAERGEVRTEVQEVIEGAFDERQGWKRATKAMEDKRT RGKIVLAIP LY89DRAFT_679662 MNSITNEFVSGRPSPRDNADNLSMFFDFGTPNQNQNPSDSMRVL SIGQGQEPVQRGGIRVSLACVPCRSRHVKCGAEMPNCSRCLQDDKPCFYAKSRRGMRD RNVPRKRASLREAGKGSPGSSSSTAQSLPSHNSRELHRAASSTESYHAASDASDSPSS SSPRPRPSHKVPSPRRLLELYYSSFHKAHPFLLPRYYFLSRLQSDPESLKHLLPIMHY LGSLFLPDMASSELRAAALREIELVNLPPNGFTVQALLLTAIAVHSQDELVHARAILD KAIYLALDLRMNSRTFANMERDPVMAESWRRTYWFLYMTDALFAAIRRAPNFLLFTVD ATVELPCEECDYDGIIPRPRTLDEYEARDFEDEEVVFSSFTYLIDLTRITGAILGFDH FPRKEMEIAVNNADAMLVNWKLHLPKEKQSVIDKNEEVDEILFQAQNLLQILLVFIHR PLSRLFHSPIEKISQCAPPPMPHQMTGEEDKTYWLHTKKTLEAAEAAINLYALPCPII NHTPLGICGLALSTLANLSACAYVLNGVEWYRTRDRIRLGLGGLKAMGEIWQISKRTE TEVKQIARSVFAMARPQDAKNIDNAFAFQGIETSTITPDIQALDVGWEELGQLEYFNT WDGMSGSGMNGISI LY89DRAFT_571651 MTSNDFALAQQRLAARRQAREAEAQSRIVAQQEASRAAEQLQRL PYPLGRLGHAGISVWDSIQGREGTRPAFRVGQVDAELLDEELLELLKGQVGEALKYFG SHLQDDWSAEVMLALRAVLFKLTVWDHDATYGAALQNLKFTDARNKGPVLISPSRWQK ALYGLFTVGGRYGWTKWENWLVDNDNGYDQPSPLFRKLSRLSDSISTVHSTAAFASFL VFLVNGRYRTLLDRILRLRLAPPTSQVSREVSFEYLNRQLVWHAFTEFLLFVLPLVGI SRWRRWLARAWRKTKSVMQSGGEEEELVKAGEFAFLPERTCAICYQDQNTTSTSEAEI IAASGSSGVIGSAQTDITNPYETIPCGCIYCFVCLAGRLEAEEGQGWTCLRCGEEVKE CKPWSGDVLEEATKSTTSAKTVGFSEPKDMEEVESRPEEDVGEPKARDLQQSRENDSS SDSSQLGDAEAFDEEEDGIIEDDD LY89DRAFT_24427 MWDQFSPTPSHVAYLSLSLFLIVYALFSQYIRNHLHLSEPPLAV LYGIILGPAVLSILIPKQWGVKDEDDEIVQEMTRVIVAIQCFAVGIELPKEYFKRHWK SVLYFLGPIMAFSWAITALFAYFIFKTSIPAALIIGACLSPTDPVLAASVLAKSHFSE RVPSRLKHLLSAESACNDGVSFPFLYIGIVALKSTNAGEALKNFVLITILWQCIVGLT LGIVIGHCANKLLRFSDNNNAISKPSFVVFYLLLALLCVGVGSTLGSDDFLVAFGAGV GFGHDGWFSKKTHELPFPAILDMMLNSALFVFFGALIPWKEFVPRDITPNCGVWQLVL FLILVLLFRRIPIVLAMKRFVPDIRTYREALFCGHFGPMGVGALFLAMEAREELEPPA SFPYGKGHRSASYSDLDQAIALVWPVVCFVVVGSTFVHGLSTVAISVGGSFARKRGER APLLGQETDGLEAMDHEDGNGDSEPEVSGSDC LY89DRAFT_776647 MAPSTKKQKTSDAPPTPPPPPPPALVSLPAPISFGRTADHSSLS IMVFDQQYLCHSQFLRTHSEFFRRILSTNRGGTFDRKDRLSVRYYFVTKLTSPHTWEL CLRPMEGVFDLSAFKGDIVHDQEAFHNVLKALYYQPYIIKSVAQLETMVDIAQRLNLL PILSRSFDSVIWRSYAFIDEMPKHGRKLLNLAYKLRNHLLFRDALCHAAGAWGPAADN TVLDNPELIRIVDKERIQISIMVSEAQSKVTEIIANEESTEEKRGVNEVKLWKITKGG SYPGTPSWARQVFETGIYDCSELLRSNLLTDNGFNKAGVDECKDRFLCAWIDTADLPW DLTQIDWV LY89DRAFT_573119 MAPPPSRKQKNDDVKSPIVFTCPGFKPDARLMVFDQEFQVLSPM LKLNSAFFRKFFDSPDKAPTNKASSRIGLGSFTTFKYDWITIVDAALLAISIPEDELQ TQLESLRGDRKSSGIYDLIVAFEKLLCAIFARPYQLQDTEQLLLMVDLADYYHALPIL SRTLDGALINSPIFCQDIGNYCTELFVAAAKLRNALLFRECLIWVVGPHRTPKYEDLS DQKLRLVARCAHGDISTKDSDNFEAQSDELFKDMGQHHSEHGEGLIDYAWWFRDLNDK CLLHEHDLLGLLDNKLVLDNMIHTAGGVRFQDHFFCATISDEELPWDVNKTDW LY89DRAFT_679665 MDSFSGSSSAAKIVNDENKKQKYSPPTGKPQKANRNIMLEKFQA NVKPSVVQYTYISKPFFFYGSLMDPLRLQEVLQLPAPPVLKPARVRSYKIMLWGQYPA LVDGPSNSYVDGMSYVVETEKQQKMLEHYETDVYSVAGIRISIEGKEVFGRTFMWADD PIELIEGTWSLEEWKNGAEEEMASHFRRLSSVTRSSTSKFLFENCLVTRLGSWTKIQG SSKSVNERVL LY89DRAFT_776649 MMLSEELRSEVPVSPYEFCEAAFELRNALLFRESLIWIVGPHSN PNFEQFKARGYDDKLESIARCAYGKLAVKVNNALSTVLMAALREINTPVYTASNQLVG VIADLMAEVTADDPSNSKVRLKLPEFLRQIFRNSPLIANLTKDRCGGLLDSKLVIDKG TYQVGVDDARRGRGGLEDCNDRFFCAEIDDEDLPWDINETEW LY89DRAFT_24540 MDDPATMISPPSSPSEVTWQVAFFALVPLALGTMTQPVGRVFNT APELRFWFAVFTYLLPWRCTLLFLRVTTDHCLEPTWSWRHFKAELAYRVRDEKWDEEP TKEVEKAALGRWALILLGGIPCQTIKLVGMRGIPWTQTLAIMYFLSLLFGEVLNILAE TSFRTPRIAPSLECLPSETNLTCLNVFVAGCNILQVMVISTPRTIPIFPSTEYFGLFT ICNGLLTMPAILVFAGFRQDLVREPEPNSSSLVSILSLGLANSAALYVIT LY89DRAFT_634722 MPWRWQGLLCSRPHSAGTTITSTWLVLALFFAACAHAMTPARIA ELRQETVDMFYHGYNNYMDVAFPEDELRPLSCTPLTRDDSSPHNVQLNDVLGNYSLTL IDSLSTLAILASAPPDRDATAANALQDFQDGVVALVQQYGDGTKGPAGKGLRAQGFDV DSKVQVFETVIRGVGGLVSAHLFAAGDLPILGYNPKRLARPSKFRLQKGEIPAIPWPN GLKYNGQLLRLALDLAERLLPAFYTKTGMPYPRVNLRHGIPFYVNSPLHQGAGADDQN DGGPEITETCSAGAGSLVLEFTVLSRLSGDTRFEQLAKRAFWSVWSRRSPIGLMGAGI DAESGQWIGHYAGIGAGTDSFFEYALKSHILLSGHEQPNLTAQFKEPHPAWLDPNTIY TPLTEDQNSPEAFLAAWHEAHAAVKRHLYSGMHHPHYVNVHLLTGSPQAYWIDSLGAY YPGLLVLAGEVEEAIETSLLYTALWTRYKALPERWSVTDGKVWSGFHWWPGRPEFIES TYHLYRATRDPWYLHVGEMVLEDIKSRCWTACGWSGLADVHTGEKSDRMESFFLGETA KYLYLLFDPDHPLNQLDAAYVFTTEGHPLIIPKEKSSPVIRKKAPKWKDVGTYSGLEY TNTCPAPPTPVPFTVSGIAARTDIFHAANLMGLDRIPSRHAIIGGTSRFKNEAADVQS DYTYYPWTLPENLMPVNGTCDRLPIKPTTTIEFPTNNDQVVPLNGFNPQFVNTALIRV QDGVYVSSLSGLKLGLIWESPSQYRSIDGPLNDAWRVFSINGQPLGRDEQILIPRELV ADLADPWFSRIRDTIMLDIILQLHHEPVVNTTGTGNDILPEPELKEEHHERSPIVLEG TNPGGLAHLTRKLSSIYASFTSSLTASLHPPSGTSLPESGVAQAYHAVAITAIAPTGI GAAPIVDVQEAPDPFQGPRVDSLSWSRIFFAGEACTKKLPDAAATRYDVIVMRRGGCM FTEKLANIPSFTPTAHGLKLVIIISDENESANGDNLIRPLLDKAQVTPSGLLRHNQIS MVMVGGGDATEALFKRTRSVGIRRRYHVESKGIVVGNIAVV LY89DRAFT_634724 MAATDPGASSISVTVRVRPFTIREAAQITKCEDGTLFLGDGSLA GAPTPKLGQKGIRPVVKVIDDKCLVFDPPEDNPVQRFSRSVVSNMGKRVKDQTFGFDR VFDENTTQGEVYEATARGLLDSVLEGYNATVFAYGATGCGKTHTITGTAQQPGIIFLT MQELFEKISERSDEKHTEVSLSYLEIYNETIRDLLVPGGSKQGLMLREDANQAVSVAG LSSHHPHDVQEVMDMIVKGNEYRTVSPTEANATSSRSHAVLQINVAQKDRNADVNEPH TMATLSIIDLAGSERASATKNRGERLLEGANINKSLLALGSCINALCDPRKKNHVPYR NSKLTRLLKFSLGGNCKTVMIVCVSPSSAHFDETQNTLRYANRAKNIQTKVTRNVYNV NRHVKDFLVKIDEQMALINELRAQQKDFEGVAFVKYRKQSEKRDGIAREGILRIRAAF DNSAAEREEKANNMKKLSAIQRRISILSSWIAAFDTICDTREEGDMPTSLAAMRKTAV GIQLELENSRQHYHQRLEKQNWERAVDSALQNSIRLLTEVDGSADGLDVSSLTREAEL LKANAARDAYLEVLEQDKGGDSQIVQVLLSAQFDIISSLNDILSMSEEEAVQHAKTIF KRLLQSCSEAAAQVVKPDGSMKIVEAFPPTKRGTPKRKKTVNLTESQPRPVPVMPAFV AAAPQVYSSPMKSSPRRRKVMGKKGLSYTPKKKSQRSVRWRDDAEAGNLAEFEKTPQK PADTPEVSSIEDSVVLPKLPAYLAEEVSNDSLGSSPIPAAPEPSFEIKPKVNRFQAGF LSKRTDGSPPPPTITNLSSSDNDQSPLRAINASNAANRSSLHQVQTANETPTDSGNNS SSESENGSWTVDKSDSAKIGQALKRSGSISRASNAHDSIRGRRARSPPASVMAGSPPN ENMFTAGHARRMVTSQKEGSFTSSVLSPRTAPIMKHNRRTTIDVSRQTSGSMSSGPVR LTGTIGSTPKQRESVAGLTGKGSWR LY89DRAFT_24616 MIFHGSASQSSQFCHGYIKREDYSVPLYATPTVPDVGAVRRDTG FAESQNRGLVYRTASSISRSIEQQNFTASSAAMPSQKRPAPDANGTPVKHIKAEHPEE FSNAVKKRLASSTRTGQACDRCKVRKIRCDGLPGGCSPCLQNNTECRTTDRITGRATS RGYVEGLEQQNRDLQHRIRELEQRLIQGGAADIKPTNGYHDAGGQVFEYNPPSVSAPT WNSSQSQSSMTAQQQETNMFRALPAFRAGCTGGNYLGVSPGNSNLSSIKGTALSILGM EIDIADFDSVDMDEPDSSVFHPQLYNKSYQAFLQSALNINPRIEKVDLPQRDDGLTYA AWYFRVLNPYMPLLHKPTFMKLLTRCYDDPSFVPTTAETVMMHMVFAIMFFQYAARNW EDPVQQASLTHQSNMHYHYSLSKFYQLSCSHTVQDVQALTLICMHLRNFPKPGASWIL TQTTMALAIELGLHRSAKRWAPDSIPNPLDVEMRKRTFWTLLAIHVTLSGKLGRPMVF RMEDFDVEILEPVDDELLSENGLDTSRPGKCLHHIGLHAMRIIPLFVELYSTIYAVRR QPETYIATINSLESKLLTWRDNLPGDLVKGEAGQNEQEGRVFALYSQMWILEFRLLLR HPSVSMTTDASFNAESMRICVESSRQMLGVVMQLQKFKSLDTTWYNSAVYVMAITTTL FSQWDKRGETSAADLAALREEMDKWLDIMGDVGALLGSGNRLREAVRVVTNGTLGLLS QSLLAKSSSYVQTNALSDSKSPSQRSNSASTYSNNNSNKSNPHVYAFETPPTSNGNAT TNSTYIPTETQISHQQTPYPAATQYSTYPEQASSATLAYTPQETSHTYSNYPTNSDPV EAPLLAAFAAQASQVQANGGNWQRSSNTSATQSSWQQWTNTLAGNLEPQDCFSASALV QLGGRGHPESNGNSGQGSGMGDMNTNQAAVNMDHGSTHLGAQVNGGMGPAWPLNIFET S LY89DRAFT_679669 MALLQTVQLEHLPQSHSIHIALYRNLQNAAFLQQQLLEGNTDYE YALIDASVIVSKIHALAAVYRAVNDLLANRLRSRNVHSEIVFSLSPNNNISESFRRFG IQPTTTSLLLIKVSTPTSETSPSDLSSRLSTQIQGDAVPFTDEEIGTMTDLGRVRKIY KLNVPGGGGKKKDGNTAEERREMEMLILGAMALRGATN LY89DRAFT_727585 MENIQSAKAFIDQSPENNDVTAGANMQLSGTQRSEVSDYLTAIE KKDPDFFAYNEDTWKIVEPGTMASGNFIEGGSDISVMVRPPKHQYNRVFTVFEKLTIV FDMPMALRRLERLAYANDAYVRLLSINSDLIYTEVVDAFAPTDDHSIYNEVIEPFART NNEPRAWQSLQKFEDEYVCRDLNTLIYNANVLREHLTRDATESVDPWSNITFDVVIYA AEKCREEKWNVVTLALAPVVKMPYEIGFYTFKPAHEYLDWAGQPTYKKLHNEDTEKGH GEGKLEMVMKSKKEFDEFDASSIHVDTEIGADDPAVH LY89DRAFT_663291 MRCGTNHHAFTFDDSDVDSEIHSGPHFQNPSSQEIQETSANPII EEDLPPKLTSPFHNFNDPKWKVYSKEHWDMLPAGKISDDGSIRTDDVVKPKSVTIEWP KRKLFPASFTMFAQLPPEIRRKIWNDTLPEPRILVLKLRHGSPTYLPIFDDIIGEWPF AGLQHLWACRESWHAFTERYHQIDTFDKYHLQFDASFIRKTAWIDGRRDTLVLDASVL IKRFDIDLSRIQNLAISNEKAFHWFKTSVFAYIRKRCPLLKRFQIVLGRPDEHNWQVS AGDSLRLVRVDDDIKYCEIDSKEELEDDVRFSQYYSAFARESEFLECLRTAEEVHTRF KSHCAANKEWKNIEFQVSLLAVEEDNCNMWYVESVAVMPKPQILIDVSFRVEKPIVPC LDTTLEFLGMEDWDFNVKCDRGGAIHCPYDGIKELFQTDVNNDPIRDLTKTSIKDFGR DGEGDFGAMFSVPEDLD LY89DRAFT_727587 MDFNASMTHLVDNSAFSTSDPAMFSQDRATDEAPELEAQVTVPV PNAFSAVADPGIALPDIPYYRHEKREKYQLVSKTQQCFDDLSRKDPDWLHYSPDKWKI VPRGSLASGKFIKGGIVYSKMVWPSKRSADNFSKFVDLPIELRLLIWKHALPKPRVVQ FTYSQREDGSIEWKLLHGGDFFGKLHLLTCQESALVFKEHYTNMLTKPSPEFARDTPS SDNEPVATINPDHPVRYMDLKEDTLLIEGLNYMRLRGMGISLDISEVRNLAVSSDFGP TFQVDHWFFSQAAWIITPQLKKLTLVFGKPCCHEEPGTDLRLVKIDENFRELEFVCDE SDERTGDDSRITALIRDGTDAMIAAQFEAAKSKNLSERIDHFKQVFPPIQLMEHPKGP MSFDVALLATEPRKELWCTDLLFLAPIVPLPLDIQFYTLKQSMKYPHSRLTFKTGCKI NVPVKCERGGALYSRYDGIEELFKQGKKKEEKVTDSNYSVAMERVEDMSRFDLSERCL SIMEDA LY89DRAFT_727588 MADNVLSPEVALVTEETPSQNSKMPQSEELAIQTSALHLWQGAQ NDAEHVQPKINEAQNGQHAEQQVRVPTNRKLKSSAEQERRLGLRLPSMADFLAVMTKN PEWRVYNPEFWKILPPSEALGPVALAFMSQTCLVPWPKAAVQAEPTFTPFPRLPAELR LKIWECALPDARVVPFRRRSHKVGIERPLYTLDSNERRLTGAHLACWESWTVFLEHYQ KIKLWKGDFRVSDGKYNFAQGYFDMKRDTLFITHALLHSLRSILDLRLLQHIAVGVYK RVGSDGMPLWYLATCMCPELVSYTYVPTGRTRVQSSKASLREYRLIEADDLKYTLCDK TTDFHDLSKFQSTDDNEGFLNQYLRIVPFIQQQIDETIEQFRKSPDFRIPAFKICVLM LQEPANHGRWLVQKLDVAPVDKREHPLGVTFHTYKPIVRDVYSMLCLKDAVASYRVFY MKPDGALVSCYDDVREIFDEDKLVDTKKESEGVLQLIRLKDY LY89DRAFT_776653 MSAEPNSVAPLTAEEIAEIAEYERIVKFAEDIVAGRHPRIKIDV SLLANCPLSGPPAIASPLPATAPIPSYISNAPAVKIDYGVNYYKVLGLQSGVKHDSIL RGYKHKKEQFGPNSEVGRANRQAGGLNASHAEGTWRKIRKAY LY89DRAFT_679670 MPRVFFITGTSTGFGFHLVEEVLAHGDIAVATARKPESLNFKGT NDKNFLALKLDVTKNENIDSAFKSALDKFGRIDVVVNNAGYGLSGVFEELTEQQVRTQ MEVNFFGLTRVTKKAMEVMREQKPSGGLIQQVTSIGGQRGVPTFSIYCASKWAVEGFT EALSHEVKPEWGIKFTCVEPGGFRTDWAGRSMSFPEKRHPAYDHIDAKKQMGQRHGTQ AGDPPKGAKAMYELAVMKDPPLRVVIGSDAYKAILGKIEAYGENYKKYEKISNSTDVD GYKPPS LY89DRAFT_634736 MNPSNGLRFLRPYLQKEVCAVSKSAYLRFFSTSRARCHRGEKIS TANAHNGSLRVVGDPRKLRERIDELKKAGALEWPRIKSDNETLRIADFEEKFKHIGIN QKLPDELVRINGRLKGFRVAGSKLVFLDLVQDGVSTQVLLEKKSLNPVHDVDPKAFKN FYHLIRRGDIVSAYGVPHRTSSGQLSVVAHELPRILSPSLTLLPSKLDDRETRIRNRH VDLLVNHEVAQTLKLRSHMIQHMRDFLLKDGFLEVQTPLISDKASGAVAKPFTTVATE FSEKQLALRIAPEIWLKRLVIGGMDRVFEIGPAFRNEGLDATHNPEFTTCEFYKSFAD LEELIVMTENMLSGMAKLVISLQETSLEALPKLDPNLFVMPFRRISFIPAIEESLGEK LPDLSSADAEERLVALFTKHSLPFPSSPTLPRLLDKLASIFIEPSCTSPTFITHHPAC MAPLSKSFLDHQSRQLVSARAELFIQNREIANMYEEENSPFEQREKFVQQLKWKDEEN RASSGVDESYLEALEWGLPPTGGWGCGVDRLVMLFSGAKRISDVLAFGSLRNVVNLGR DGADVVGKEKGKESEADEKVDV LY89DRAFT_605279 MSMFGLGRPQPSSAEKIAAAEQEMDLVTDMFNKLQQACLKKCIP REYREGEINKGEGVCIDRCAAKFFDVQLKVSELLQAEAQAKGASGGGFGIGGM LY89DRAFT_572193 YLEEDPSTVVIERLGKLTGYELYIVEQWSCSRVHPTFVITTYTG DPNHVVMVGVLGVPADEDLWSPRLRVYFKAISQYHARPKETPLGMLMVTNLSSFPSAL TVIPVPDGDLRKHREDYIVNENLKRLGCSGRSGMSLSAPAGATQAKFFQLYKVSDRIP LYGAVIELVKLCQVALMIFGKLEQEYADGLLCDVTEKAVNDWWTEIGSEYYNVEPTDG ILGPTTVSALLGMLMGARNRLNYYGAPVAKDAFDVYSLKRGIAYFQKSQKMEKTRRLD RHTLDRLHRVTAKAAAGEGWAVPKAVKSTVAELSGKGGEMLGNMVGRDKAGIGDIETL DIDRFVSLVHGERSKWLWYGKPRRSGNVGVYGKSDPEGENLCFTKDDQGGYIWSHNRS HSIPPEDEKESPGVVYTNQPPGSATSMLDSPFERDFQLRKNVFKSVTGKMNDARSGFG RIKDAVGLRGHASKHSKDETMDPGYVTPNSSATLGLSTGTPASPAMMNKVFTWKETPG QYHNAIPKIKEAPSAAASSTPVQDSESPHSESRVNLPTVVLSEEDKKEAEAEAKWAEQ VKEIRRDVVVNDPSIAGSIYGDGDLEGPVLEALRDPNNFQTLLHRRHSLSGAFPMVEK ARNEAWWPRQMSFTDAEEAILGWEPISVLNDDGEEADTWSALKKQQLIAEDYIRLYEK IADLQDEIGPWVVEKVDAIDGLDNQAAEDQEQFQTLYYQLTEQYQAVKQSSQDVLTDE RSHVMESMKDIEVLGAKLEYEINALVSKVQDVEDGVSQFERQVDDLESRANELEAQLS KESWPHWLVRSITGIGTGPNIIAPRRNP LY89DRAFT_679672 MKQGFDKVTDDLKKVYKGQAAYGKALDKHFPVRALPTEYDALAS HPSLINKAIAMHFLREGQFSVATTFLDEALHSPPHPSPTPGTPNPATDDSGNLSSEQS QALQEKFSDMYRILRELGQRNLHPAIEWARLNSTELENRGSNLEFELSKLQYLWLFQG PSVNGLPDDYNNGLSGALTYAKTNFPRHQQRFSKDCQQLITAILYRSNLHNSPYQRLF NTDDAWAEVAQSFTREFCSLLGLSPSSPLYIACTAGAIALPTLLKLASIVKVKRTEWT TQNELPVEIALPRSMIFHAIFVCPVSKEQTTETNPPMMMPCGHVVAKESLQRLSKGGR FKCPYCPSESLMREAREVVL LY89DRAFT_727595 MEVDNDDDVLQTLAEGKSMDYEQWPALLPRLLTRLEKIVKDDFP PPSAPSSQPIPSSPPAQSTTGDPSSQDSTQSTNKENAPPNTISTLPPEIQTLLKSITS TLNSIFSKNPPHTIQRFAELLLYPKQHYRTLASYLHALDRVVHVTSGAHIFPLPPAIP DPSSLSLLSNGTAGVDPLSISWSNPASTPAASTLGSDESLGGALLTPITWLNRNGAGT HSPMEGEVKTESTEMIEGPNGPGGIETVSVSVNGISSTTASDPDLAGLRAEGGITQGE LLRQEQRAGVVPAAQLHGTRGDTDGHGEDDEMPHARGPEEMTMEDMGPQRDGSGTERS GPGVGMQGIDIEAAVGRKLEVQKEDDEDSEEGESKGKEVEPPTTPKREAEDELAGEGK RLKSASEPEPDSEPKEEEKEDQEMETVDADGDPKISEECVEEI LY89DRAFT_727596 MYRPNTKATWAFMITTTIQAAIVLAIEAYIFAKFQESLEPGVKA TSESKTIPTYLTLFIFGFLYQLVLVYDSLRLKNTIQVIGLCMYNGGMLIYASIQYDQI HKVINTLTNPTDGSPVQLIPGSDVWQDIQPYLTAVPVIIAFFTVVMSGIAWKLYDEFA WTIYKHISADLRMKRRFLTYQIYIALLKFDFFFFLGFTVQFLVIVTGLADAEYGLTIA AIPITVLILFMAAFWTRRENKIGMIGTIFLFFCGLAYFIFKLARMYQPSHEQNYLPVR KSLTSFAVITIVLLVLTIVIASVCTANFDKGLKPHIMKRKIGDNEAEKMDNMTELPDL KHGPVSSRMTID LY89DRAFT_745486 PGGVPSSGGQQIALASYIPPLGDPDAWNRLIAYPVDKVSVLVAN VVNGPDTTINKSWQKIIQEASASGKKIIGYVRTGYLGVSWQQDTTRLGSLNLADWASQ IEQDVDLWYSLYPGMIGGIFFDEGWNDCGPDNLYANLYANLYQYISDNTKRKYPGAFT VLNPGANMPQCFENSADTLLTFESNYLQYTTAYVPNPWTASDTRKFWHIIHSVAEADV ATVAALARERGAGFVHITNGVPDNPYNILPDDAYMQEYNSAVSGGTVQIAAPNPFPGG IPASSAPGSLAVTSFEYTSVALSWVPAANAVGYRVYLDDAMALNLPSSMIAVTVGNLS PGSAHTFAVTAVGGDGSESGKSNSVAQSTNKTPGSGDVLNVTVAPAAASTTYRAEIVV PYAYVRLYIHQGADELDQDCDWVNAPGWPVNFRDDAYVCTHYMVEEDNLYKYTGTIAS NTTDAPWTWSKIGAVDVQQSGYFSLGRSRLGPRRWILGTR LY89DRAFT_545417 GMEWATSPSVSLTFAQSSIETFCNGINSQSEATYSVPNTVDTEL FLGAISQTGDPSCTTPSTFSTSDCTTALSNIINNCDTSSTSRKYGGVSATNCLA LY89DRAFT_703571 MTLTLPASYSTTPYPDILVSHHPPSSPTPTPIIIVTLNRPQAQN AFTDAMASSLSSLFPVLSLDPRVKCIVLTGSGTRAFCAGADLSSSPLDYSTDTPQTHR DGGGQVALAIHNCSKPVIAAITGSAVGVGITMTLPCSIRIVSENAKIGFVFARRGIVM EAASSFFLPRLVGWGKAVHLCTTGGVYRAGDKLFDGLFGEVLPQKRVLSRALEVAEEV VRNTSVVSTKLMRDLMWRGPKSAEATHLLDSKILLELFSGEDKKEGIDAFLEKRDANF EGTMERNAPSVWPWWELVDTKVPERVRDVKPKL LY89DRAFT_24902 MVMKEAVKTDKAPPPMPFLSQAIKCGGMVYCSGSVGMDPTTSKL VEGSVADRTEQCLKNLAAVLEAAGSSIDNAVKVNVFLINMDNFAAMNKVYEKFFHKDP KPCRTCVAVHQLPLGTDVEIELTAHQ LY89DRAFT_24903 MSGQGADAAAPPASPSTFTDWVFGMISTPNPRNSASSTTNPYYG AQKKNEDDPRFHAAQALTTIDTIPVPAQWTDDHPHLLQRNVSAVLESLARTEREEKII ASQVAQLKARSDRAAQREIVTIDNDEITVDHTYNPIGGLDLNRNLRGTRGGTGSKKPP GGGGGGGEGDDDDDDDDDDDDDSEGSSPPRNPKKRKFDEGKDKINESELYNNLVDRKA KKTKRASHFETQPEVNLVDLNPQPIRLEYEPHSLQDPSDEWFTDAFSKLYKQAERFVV DFYGIHDLDRGVFFEPWTCGVSSEFISYAEGVAQPDPAVGGWDKILRSTEQRQWFIMG ILMRIIQRKIFDQELFGQNESERNLLHSLDQALNKREGFSRQALRAEAVRTVIGRSPV TENFYKEVTKLTAQVALLLNPLTNYLYAQSPPDKLKNPNRVDVYQALHNLISNAAYLS IAVRLSPTIFYFVPVSPGTAYDPEDHMNFSASSFTNSKQTVIDAYTVAAKAFARRMKP LKREKDRLKRLRLEDTVKGRKAAIDFERMSRTAPLHPGDSHRALCGISSWPVITRFKP GGDGDDDLDTSCAYLPDKDGFRIYQISKGGAVFYYGFDRDSAGTAGRPERTRLRHWVR QKEAAEGNKAKGGVLGTVVGVAALLGAAGVGMLLKERGLEEVTGLLVEGVNSLW LY89DRAFT_572669 MPPNGNDTSMTGMLDESMLDEYDNVPMSLDSNTLDLGNSHKSYT PLSSAIPHTSADASEERSLVAHNLGDSPMAGVGAGPLPTGFGAQSTLSGSTLTEFTKR RNWSQKVVEELKDFLHILTPDGRIVYISPSGEPLTGYAREELIGTFMSTHIHPDDDAI FVREFNESIATGNPMRFFYRFKKKDKSYTIFESHGHPHLSSEASQFGLASSAASFCKG VFMMARPYPTKNAALLDSFLEHKIENERLRKRIEDLKREEAEEAEESRKNWAKKQEGG SIHPSEQTDTIDSASTPMHHTMTNPDAFAMPPPAKPSAATQALTRQNLEDVNAGNRPD SITDKMARYEGATHIDTIEMLTGLRYQDGERSRGISTGEHSPALIRGDAGIAIPIDKE ARAGDKKKKIKMPDEYVCTDCGTLDSPEWRKGPSGPKTLCNACGLRWAKKEKKRPGPL PPPSLPLGNSTSSS LY89DRAFT_776665 MSSIHQLSILGVRSFDNRGLGQTIEFKAPLTLIVGYNGSGKTTI IESLKYAATGEFPPNAAKGGAFIHDPKLTLDPEVLARVKMSFYNAQGHQLVIVRRVSC TLNNNKKTYTQKTLDSSLVIKTDGGERQSISGKRLQMDKAVPQYMGVSKAILENVIFC HQEESLWPMSEPSKLKVKFDEIFEAQRYTKAIDSLVKLKKKYASSLKVLENEGKHSKE KNNKAKRVSLKIKSLSEGILAKNDELTILDRDIAEALKLYEEKTRLHNASLAIVKELQ IKQEREVSIQYTLDTLQTHLEMRDDSTAELESTLAEFDERMLQYNQQASSFQQQYKDI QDSQTTSRSQLSKKQVEKGRHDAQKKAHEDNLQSRAEIVRDAAQSHSLRGYEGGLDED QIAEFIARVKLMNVQKDNELSGLRDTTQKEARQHQESITKIENRKSGHSHDKESAKQQ IKVNDKMIRERQSEKDAIHMDEGKKAILDASYQDSKELLENIQSAYELAGWDTKLARQ KDSLSELEGELTRLGQELMQRTKTMNETAALELARKETQQAQSALQSMISTYGEQLNK VVGKDWTPESLQRKYEVALEQIEDRLTEKKKLKERADKVYDEHNIKLEMLKSDLIKKD AEIESCKAAVLASIKSSDGKPITAVDEYLVELHALEADREEAQKDLNSASEVSGFYRK ALDTVDKQNCCRLCERTFVNQKEKLSAADKLKKLLEKFVKEKLEEDLKNINAELKEAQ KVHPRYDIWKQLVEVDIPAIKQEIQAMEEKSEPLISRCEKQDDIVSNEESYKRDVSLL RNTVADITKYCADVTKQNDRVTLLSSQQNLTGSSLTLSEIEDQQATCGSQIKTAKANV EKITADRDAAKTEISARERQVATLLNEVNSAQYQLEKKQALSDAIKNLQASNLEQNKA IKHASKNLELIEPELSTARALRDDALTRGERKADVVRADKNKLSQTVNKLDLIETSID NYLASGAQSKLAACDRAIEALDQDLKRYEKELQDLTTKSNRIKGLIADSSVTKRNIQE NIKYRKSLKDLEDIQRQVNELQARDTHDEAKKLTKEARAAETRWQVLKSKKEALCAQI AAEDRQYKEAMLEWDQEYAGAAQKWREDNVKVQTTHAAVEDLQKCTQALDRAIIQFHT MKMEEVNSIAAELWRSTYQGTDVDTIMIKSEDDQTTTTASNTRKYNYRLVMVKQDAEL DMRARCSAGQKVLASIIIRLALAESFGANCGVIALDEPTTNLDTDNIKALARSLNQII KNRKHQKNFQLIIITHDEAFIREMKCREFTDEYWRVYRNADQNSEIKKQDLSVIDDD LY89DRAFT_727603 MSSSTIRDKEELKSGTGAHFAAILRPLGSSSGSDTHLSLVKKEC LASWSWKDDMNPTIYVPGSPAIWGSPNSRSPPQNIVTRSPPFYAVFKSLRVRQGLDFD FSSLSIVSNRNSMTKILHYALGYGRDTWRIDIDVLGETVMFSRWGLDNTKGLRDWSSG KEVEKGCTYLPHGVNTTSHHRLHHYSIGEVDFHMYHEADAYTGGPPATRTEDLVLTIE PAPSIFRPFDASLGVPSFQVVQNLAKQDVKVEVRGSIVKDAKVIEIIPQLDGASLDLA HRMPKQWLTNCKRCYVGIHNHHGQVEHVDIYPMPPLYESWEKLHQDELRIFVDTLKQI KQAVLHRTGKRPGSGGKFALIGHCFGDEGEKKQLWLYDRMGDGVRLPAGVEEKLKIAV LYSR LY89DRAFT_713396 MEPFPKRQRLYSPVGPAFPQSFDSEEVYYDEDDFVVEEEEEDEQ VEDALPDLDPESDFQQKRARLDYKLKSTFESIFEKYGKDFDGVGDEIDLATGEIVVNN GHILEMLDERDAGDVNLAHSVPTELTEESEELPSSVLQEMSDMDEEDDEDDSDEGSED EMMEDDLILRGFAQAVRFKRPSPELVSLREQVVRPQKPRNVHAPPPFMPNTQKKALPS RSEILAQFGPQLGPQIVDYVSKQSIPDKTPIEPAWRAPKLPAFESRKREVKKPIILPP EAERSPSPEEATSIWALPQQRGRRKGTHVSTSAKFGESSHISKQQERYAPDHIGIGTH DQASGSRRTRNGFTEEEDQIILDWVNRVRRKGEILNDHSWKELEAIHPSHKWQTWKQH YKRHFTYLLSNSTEESEVSVSEPSVNSLYVGPQVAPARTNFGSHNPAQHSTRPNRIRR PAQKDSRIISWSEAVDALESLDPDLHADMLKDAGISKYSNLNFSRSSVTTTKQDVKPA NTKAVPRQTTAPSPKVTPRKIIDLTGDFSDDEVAPTPEQHLIPGAPCPHAECRAYSNI LYRLQRRDDEQLSEMCLHLFRVHHTTPFPCGETSCPRKGEEGYFMQADLVKHVRKFHP HAGALQRLRGRVDSELLDLNVKLTTPPNDLSYRPVSQPRDSDFMSPTKFPSVRGPSSS HQRSSFSDTDRTPRAPTTTFGASHSTRMTSVSSMRVHHPSAMKTSVEEEAEGNNEQYL DYSDGLSMQAYRSTINSSGGLGSQLVYESPDLGASSSRHSKGTNIDAGNSLLSPDTAT AASLDPPLSFFDDRRSSIVSMQPEHFAEPSEVKQPTTVIKETPDPSSPLAQLPSQAQV MMPSSPLEFAPNPMMPPSQPTTTMQRNILDPAYEFSDEEGHVEFAPTGPSVKSSIQMG STHAPMAVPSMGPLSTTLDNAKATKSPTTTFAKKLNRVVKPSSHVSFTTPSAQKAIRQ SILRMALDAEDFDELSLDKDDVVLLSSQPRKTALPETQFFVKQESVMNTPRRVSGPGT KKRRFSALRDGSSPDELAVEGSSPSTIAPIARKTTIKVEDESSLPELPALPNNRTEQQ IRRSSQAGVPLSQITPSVSRRQIPIRTSIPLLNLTPSRRAPDSKEIRDSATEESSPPS STLNPPRRERARRQKVADSSSSPLADLLTPTRKRSIAESLKVEQVTIVVKTPGGTFRS CGDDGFTCGRSFCFRCGSQDVPSNA LY89DRAFT_776667 MPIYCQKCRTPLKLDSSLEDLNPAAFDLLVGATSQQYPKNTFSR PPYPEGRRKLYEQVSKNAGPPTIRRNLASGHRSDTAPPSLGNPAMSFVMLTESQVVPP SVVVKSPEDTNPGNNRHDKVVALDGQDEGKAMSQDMERASRLFEILSARSDIDHPVCT ECTDMLVAGLQHRLEAATKERDAYVSFLKQVNAEIPTEEEVQESKDALAKARKEEEAA IEELKKLEAEKAAVDEEIAQLEEEARSLDIEEEKFWRDRNAFAMKLAEFQNIRDSVNL QYDHDTQLLEKLQRTNVYNDTFCISHDGKFGTINGLRLGRLSNVPVDWPEINAAWGHT VLLLQTVADRLGYKFQGYELQPMGSTSRIIRYETPNPSSSRNSPRAGKKHILELYSSG DMPLGLTFMHRRFDIAMEAFLECMRQLGAFVEAESAKSSESGRGLALPYKIDGAMIGD VSIKLGIAQDDAWSRACKFTLTCCKFLLAHASNVNAASGRRGV LY89DRAFT_727606 MARVYADVNQTMPRSYWDYDSVNITWGVLENYEVVRKIGRGKYS EVFEGINVVNYQKCVIKVLKPVKKKKIKREIKILQNLSGGPNIVALLDVVRDQQVNQS SPQYDPAAAPKAGEGKSARDGYVSPDDETNDSYFMQSKTPSLIFEYVNNTDFRSLYPK FVDYDVRYYIYELLKALDFCHSKGIMHRDVKPHNVMIDHEQRKLRLIDWGLAEFYHQG TEYNVRVASRYFKGPELLVDFQEYDYSLDMWSLGAMFASMIFRKEPFFHGNSNSDQLV KIAKVLGTEDLFDYLDKYEIELDAQYDDILGRFPKKNWHSFVNSENQRFVTNEAIDFL DKLLRYDHQERLTAKEAMAHPFFAPVREAEQRNRLAGPIQTEAS LY89DRAFT_24970 MLYDLNVPWSPSQDSAQLQRTISFLSEAGYSALALNHTIKSPLP PQITNPIPTTLPFTLPPKTTLLRRCTLTISDPSLNHRLPNLASAYDILALRPTSEKAF LSACQSLTEHSIISLDMTQRFPFHFKPKPFMTAVNRGIRFEICYAQATMGDAGSRRNF ISNCLGILRATSGRGLIVSSEATSVLGVRAPADVLNLLSVWGLGKDRGLEALDVNPRG VVMNEGLKRSGFRGVVDIIDGGDKPTPKEKNTPTSNGAGKKGKRKATSGSQQLSSEAP LSKRAAKKARLAAVNPEPDTSPPPPATELDTPSEIKTTTNG LY89DRAFT_727608 MRNFLSTSILVVAGLSSLVQCDSAFAQPVRRDGLADLLGALGAL DGAGKGGNAGAKQGGGNANAEKTVTVTKAVTAAAGTGGAGSRSNATCAAGGAEKTVTI EKTVTVNAASAGGTGGAAANGLQLSFVTMTVFGTGPAPTITVTPLPQMITQEVTVTVN NAAAGASVAGVSPPPGMVAGTGTTAVIVKTSNPAAGATASVGASPAAASVAGVSPPPG MVAGTGTTAVIVKTSNAAAGNTASIGAAPAVGSPGALAQGTGTIVAGGACNCQCLCGA GSFPNVAAMSAPPAAAGTTLATVASPAAAGNSGTFTSAAIQKTTAAGASAAAVSGTSS VATSSVAAANPAAANSATLSSAAIQKTTAAASAQAAGATTSSEASSLLLSVTAPAGAA AAQVAKPSSSDPINISTFSLTSSLVLGSLASAKAKP LY89DRAFT_713401 MEVHVLDNYYLAITLLITIGYQLFFFSIAFSLKFDKLTDFAGGT NFVVLAIITLAFSGHHNARQIVCSLFIMVWGARLSAFLLFRILKTGKDDRFDDKRDKF FPFLGFWVFQMIWVWTVSLPVTVLNSPNVTQYPQPAFGTGRDIAGVILYAIGMIMESV SDVQKYLFKARQSDKSAICDKGFFSWTRHPNYFGEIIIQFGIYMIAVSPAANGYVKGQ AYKALYATILGPFFLTILLMFVSGLTLQERPGAKKRYEKNNHWEEYSRYLNRTSILIP FPPQLYEKMPTILKRTIFLEFPIYVFDPAKHSDAGKGQSGAEEGNAHKPSTSTDNRQS GDRLVNNQ LY89DRAFT_25017 MNVQCQCGAIRFQTPLPKPLAVYICHCDECRRQSSSAFGCSAIF PKFELPGKRGKGKEGGGQRGLSCYSRPTSSGHQLNCYFCTNCGTRLIHSTPGKDVVSV KGGCIEGLDWSTARHIWCKRAMIPIPEGVERDEEEPADETYGDMSMSDGGDDRRSRAR LVEGSTICPELKIESSQAKDREKVTLKRGEEEDEIRG LY89DRAFT_663314 MTTKPLAGKVALITGGSKGIGRALSLRLAASGANVVVNYSSDST SANETISAISAEHAFAVKADVGSVSDVGRLVDATIERFGKLDIVVACAGIMPLSELEK LTEEEFDRVMSVNVKGPLFLTQKAIPHMTSGGRIILFSTTLNAASTVTPNYLAYCTSK GAIDQMTRILSKDLARKGILVNAIAPGPTATDLFMKGKNEQVLKMIAGFNPQGRIGSP EEIADVAAFLAGEGSKWVTGQVIRVNGGMA LY89DRAFT_679685 MDAKQVDIQLKALQKAVAEKQPTANVVAILETLKKDVVPTEELL RTTKAGIVVSKQKANPNKDISRLAAEIVSKWKQVVQGSQKKAALAKSSAGSPMKTASS PAPSNEPKTFKGDKSKRKWENEGVDTKRTGIPTRDACIGLLYNGLAYMSDETPTRLIL RAVEIEQAAFDNFKGDTPDYRAKLRSLFQNLKNASNKELGPRVMSGDIKPARFVTMTH EELKSAERREEDEKLMKENLKMAQVPMAEKSISDALKCGKCGQKKVSYSQAQTRSADE PMTTFCECTVCGNRWKFS LY89DRAFT_776674 MSLNDHPKAYGTAAVAVAFAAGILLTLGFKDLYPDLERRYQRRR GGPSSSADSRPASTYLAPVKLEDHTRSARQSIVGVPVPPVPEGIEGCIGNTPLFKIKS LSEATGCEIFAKAEFLNGAGGSPKDRVALNMIQMAEEEGLLTPGRGDTIYEGTVGSTG ISLATLARAKGYKAYICMPNDQSKEKSDLLHHLGATVERVTPAPITSTEHFVNLARTR AREHTASNEDESKGFFADQFESLANYRAHFKTTGPEIWHQTGGQLDAFVAGAGTGGTI SGISLFLKQEMGMGRDLKVVLADPEGSGLYNKVKHGVMFSSTEKEGTRRRQQVDTIVE GIGINRLTENFEAGRELIDDAVKVTDLQAMKMARWLVEKDGIFVGSSSAVNCVAAVFT ALQMPKDSRIVTILCDSGTRHLSKFWKSVGEMGLEEEHEQTNLLALLGIKDPR LY89DRAFT_571707 MEEYQKYPMVTADQLRGRRERPRRVKMLTRDFIEDSLYNPSYGY FSKQVVIFTPGEPFDFNNLQDEPEFHRLLGQRYTEFEDKLDAIEPNETRQLWHTPTEL FRPYYGEAIARYLVANYKLSQYPYHDLIIYEMGAGNGTLMLNILDYIRDAEPSVYDRT KFKIIEISSNLAKLQASQLLRTAASRGHSSKVEIINKSIFEWTQVVSSPCFFLAMEVF DNFAHDSIRYDPVTEEPLQGTVLIDSSGEFYEFFLPTIDPVASRFLRVRHAATGGHYP TPLPQSKILRKLRAKMPFSPNLSDPEYIPTRLMQFFDILAKYFPSHRLLTSDFHDLPD TIRGVNAPVVQTRYQRRTVPVTTPYVHQGYFDILFPTDFNVMELMYRAITGKLTRVLT HEEFLRRWAYVEETETKNGENPLLSWYKNASVLTTV LY89DRAFT_727614 MPSERTQQQSMQEPCQTLEYATLVCQLYQSLFPHQESWRFQLRI ILSIMDQFLGPDIPQEQRKSNNEVLIESGLIEEILKFLDYFRDRERFLQSQCPVELGE CETLDQVIQVLHRPKILESIMLVKSFVFLDDRLHFPSIWRRLWGGSVEALHMNMQSIT QPQAREGEENRDDGNDNWKSSLPSHPKPSGFDRDVWLLREPDTFDILGSILYPGVFDA YISDNRQASRDASCSDPSRNTQMEWLPSERQGSTERPHLVDGTELCLPIRRRSSPTRQ GSRRRRASNRALTRGPPLGRHHRRILAAQAAQQTQSRVIFLRALIRRTELERRSRTGH LKGKDRSMVQYIL LY89DRAFT_713406 MANSKYEYVKAFEQPDLLLPNTWIVVRIDGRGFHKFSNKYAFEK PNDRRALDLMNAAAKAVMNELPDIVIAYGISDEYSFVFHKSCVLFERRSSKLVTTIVS TFTAYYVHLWSTFFPDMSLSPPLPSFDGRAVQYPSIQNLRDYMSWRQVDCHINNLYNT TFWALIQVGGMDAKSAEKELAGSLAADKNEILFSRFKINYNNEPEIYKKGSVVLRDYE LVEPGTASEVIHEDVAKPGEEVELSKTQEEKDRKRRAKARITVQHVDIIKDEFWERRP WLLSNKPGKIPKEP LY89DRAFT_679688 MDAHALLTSQGWRGTGNSLHPTSNTIGLSRPLLVSKKQNTLGIG KKQHKTSDMWWMNAFDSSLKGLDTTKEGTVVQTVTSGGLDMVSKAGAKWVGSKGGLYA SFVRGESLSGTITPVESTESEEPAKKKRRREVEMGEKEEESKEERRARKATKRAAKAA EDKSEESRESPDTKESKEERRARKAAKRALLEEEAKASESASTEDTAEQDSVKPETKE QRKERKRLKKLAQKAEQDTVDTEDSKKKKKKRRKEE LY89DRAFT_663321 MPYLPYEEPSITTILSLTSLLILLNVTRYILDRLLYCGIIAEIL IGIIWGLPVGGTAWLSAGMQETIQAFGYLGLIGLVYEGGLSTDLDLLHKNAGMSISVA TVGLIMPIALSFLLLLFPFSSSSGTSYPTPLAAFSAGASLCSTSLGTTFAILSSASMQ QTRIGTVLVGAAMMDDVVGLVMVNIVTTLGAGGMGSWSIARPIVASFGLLLIVLAISP YLLKPCWLFLARQLNPVESGDERTSNSKSSFQRIAVRVVSGVPHLSFLLCTAVLVVFV TIAAFIDASILFAAFIAGGMVSYLWNSQHKQEVARDDRPFDAQMHMYERYYKSSMEYI LVPFFFVSIGFSIPITDMFSGQVVWKGIVYSILMIIAKGTVSLVIYSDYLIKVWHSRS KTWASPRNTNIPLRSIRYPQTDNSTQESVSIPHERRKAPHADAMLVGFAMIARGEIGF LITSLSQSSGTLTLKGSSSGGGESIFLVIIWAVVLCTITGPVAVGIIVRRQRRQQIET QDLAQIHDYCR LY89DRAFT_776679 MPLKLHELTTDAEFPPIHTVEVAAFNDPFFGFFETFKGPSLDEF CARQLEWHKGDPSSRWIYVTDEETGEVIAGTQWNIFEQNPYAKEAEPMAPYWLPEGAF KDVASQVLYQFLSNRTAKMKEPHILNNFCFVHPKHRRRGAGRLMMQWGADKADELGLP AYVEATDDGLQLYKEYGFKVESELSLDPSVENPSEDFIKTEAE LY89DRAFT_745532 MEESTNPCFESSSNDSNPNSNCGSPLSSHSSTYDDVKEVPSLSL SPMAWNGEDLKPKDYVFELRDHDVAAISEAVVHFKNIGVPAEKVGPETFPLPVELAPR LGNIASSLYNGIGVAVRRGIDPMMYNDFDKALVFAGVSSYVCSQRGTDDFASIIYTIV NIQLTVTQGHIRDATHDHVPEAAKGVGLAGSKIPVALSFHADRFAGDILALFVVDQGS EVGGGEQFLSSFWRIYQELLVTAPDVIKTLEAEARPWEIADPITHEAGVSFAPALFYA AEKPMMQLVHAVLTGGPQLPRPDHLPSLSAAQHHALRVLEKTAQKFSHKLDRQDGDIQ FVNNLSIMHARAAYEGDELSSRHLLRMFLRDPKNAWHCSGKHREAFDKVFSPDRQQEF VVKDLDPWFKIQGKNGHG LY89DRAFT_727620 MHGLEENLHQPEEQTQEYWELLHPSAVSSDPPYAESEAFEIISS RAASTLSSVPSGQQSLRTPSSGRFDSITASPSPFPRATPSESSLPDQSLRPSSLRPRL SVPVSIAPNPKQLATFKSNHLVLGNRIQKARGKPSVEDRRKADMVRKIGACIRCRLQK GDCDDGNPCNSCSKVASSVRSYYIPCYREDLHSTSLVRHKFKSYRWTGNANECRNVQL KWILPGSVPIELSELTLLIACRQYQPEAKDDTTSYHFSVADEEVAIELPPFAAYETSE VVSTVGDLLNSKRSAMELWLASRHPGEIAALIYREALRYKGKYGSDVLSLAFKLECGA VMSQGWATITGKETLGVSLEDFAKYGNRSEYQKYDFSRAVDRPAPEAIEHQIDVAILS GLKGDQKVLVSKIKKMIFAKKASGTSKPWFELFLTFYTLLSNLEFVHAGSIAYMISKQ KTSLEERVSHISKQQIEAYENTAGNLLMHFRAILRGFLPFQLVREGKIEELSKREGLD DESVCFLRNLVQVLDKESNSAAVLNDTRTVLGANTKPGRWTGQLLQESTSPQKEISTA SGGTHFLIDLR LY89DRAFT_727621 MNTADGNSSSLNSYTTFNIGVNSIWKKIYVFIRPDVGGKGDLSL LLGLPWLHDVDAKFNIRESKIEIGDRGVGENIVTLQRPIFIPSEKHKLILHPKPLGRQ PGGKIPIKIPRMKRKESARPDSSSSSDENYTDTNSYSTNKNRKTHKPVTT LY89DRAFT_25322 MTSGAKHCIRSGNATPDNMPTISYNDLFSSDNSGAPTPSSGSSA VANNIELGSSGYCVGAHGTVIDTFIAQAKKCKRTNKGDSEVGEMETRAASSSKKAPKK EGVGKAVRRLRQIAGKEGLGPRDYKELAKRLTCEMNLLDLMQISPDARTALRELGMPV KVAKTKSSEKAEKTSPPAEATQSQTQKSSSSTVDVRLAKVDSVEKEVPILTVGTPIVL SIHPDDKAFKVPVTFKVRY LY89DRAFT_663326 MHRAGPSMVRSIYPPWNNESEKAIVAVCSVRLPPDSSRIGQFQP EGPSPPPLQPDPENLNRDRDPRADKLFDQYTAEPEARLLHSKLEISTQVTHPAYWSRG HASKLAKWALEVADIDQVAAGVAAATMGVPFFSHLGFKLDELIEVKGYERHPESIKVW IGTREVFDAKPEL LY89DRAFT_679693 MIEQVQKRQKEEQDSNPIWSRVEAKVLDAQVLDGIEDAFISHIT GTMVYNLVSNGRQALEAAHRVLQPGGIIGMTLGAGAEWMEMMAQAAKKIRGETAPVYQ FPKGYGTVEGIRAEFETVGFSAECVELIESFMDVSDPKPLVDMFIRGKNPGAMFFVAD YTEAELDAYVEEVLRLIEEKYPELPRKLKGLMIVAVGKKLG LY89DRAFT_713415 MASVVEAQRKTLENTIKQGEPLLGLVGSDSEFDSDSQSESDSKS YGGNLGHKSRMYDNMILSDCTNSLNAPALSSTAELIEEEEKEKWEEAEPYDSQGLSYS SPIRVRSGAWVSGNEGIHSSTRPLLYPRAFLMKLRQQKSISSSEQLPISMTPITPNSA TFMVDPSTQEDEEEGLLDTKRSKMVSSLSFLIRREKGTTALLFTDSLKVLVPVEGPYS SQLSLASLPATAPHLVCIAGGVGIAPILPVLRARANASTGSTRLYLGARSKGLLLTCG PEYLQRESTSLDIQVRIGKRWDMEELVWREAGCYEPGSYGNGADTLIIVCGPASMIDD VRWAVVEANKRCKRGIGRLLDESFG LY89DRAFT_713416 MSIFSYVRSIYALDTIDTRFTSSSSTPYRATIDARNEFPNAYSK RDDSVPGAGVKTDYSGRPIAQPSKWKTPEFYFYYVVFIITVPYMFWVAYDVSRPSDPN YHKYEHLLSPGWVPGRKIDVSDHQYHDFRGNIPYLAILLTFHPILRKIYNSLRAQPTR NGSPRQNGGTTYVSAADAEARLELRASFDFGFAMIFLTTLHGFSAVKVIIILYGNYCV ATRLPRAWVPWATWIFNISILFANELAGGYKFAKMVEYFSPLEAGSVGSMWHTWGAWL DSYGGIMSRWEVLFNLTVLRLISFNMDYYWSLNMRSGSPIEKKQLDPANLSERDRVSI PAAAKDYSFRNYIAYAIYAPLYLTGPIITFNDYISQLKYPPASIENSRTIKYAIRFLL CLLAMELVLHFDYCVAISKGDPNWSDYTPAQLSLLSYFNLHVLWLKLLLPWRFFRLWS LIDGIDPPENMLRCLSDNPSTVRFWRSWHKSFNRWIIRYLYVPLGGSHGNDWISKART ILNFGVVFTFVALWHDISLNLLIWGWLIVLFMMPEVLAGMLFPRKKWENHLTAYRVLC GIGVVGNLMMMMIANLVGFAVGVDGLKSIIHGIFRDYSGLAFLVTASSVLFVGIQVMF EVREAEMRKGIFLKC LY89DRAFT_25366 MFKLISATPSPYARKVRIVLAEKGIPFELITEVPWDNTTKTPQH NPLEKLPVLILEDGSSVYESHYILEYIEAKFLGKHPMLSDDIDERLFAKKVEVVADGV CDAFVLCFFEKQRAQQSEEWKARQMRKVDGGFRALAEWVGERDYIVGDKFGLADVATG SVCGYFDVRCPEYPWRSYYPNLAKYMDKLNNRKSFKDTVPVPQKISDKIV LY89DRAFT_776688 MTASYKAQVLPSAEERDCPNETERITVCDTTSPEINSTSAPSWP ATFHEFRFGPLSKDPKWSRFSPEHWEIVPDDMMMNGQLVREGQNCSDAGGMDWMVKAK KPPTFTCFGQLPTELRLLIWKFALPQPRVVQLAEVTSYSSGFLHQGHHFGREHLSTCW EARLVFLEHYHHVSHLQRSSWQPIEGISCKEGQHINDLNTRTRYIDAIRDTLVISIDF VLEDDDSDWRWQLDVSRIQTLGIFDAHKLDDVGLGHTIWPRMEARCPALKNFSLVLGS PQDSDSDWKDSSHLRLVEVDENLRSLQISPYTFSEEHEATWAFSHESAIQPYLTGAAL VRERFEHNKEENGAGREGINLQVAFLSVEGWNDWWDHEVWTVAPKPRAPYEIFKYAFK PILP LY89DRAFT_679696 MEFKAVGLEDMIVLCDEDGEIHSHYDGIKELLDSEDDTYREDRG SGRKIIRQECRFVEVDD LY89DRAFT_25384 MKVLLSLLLIAGFHLQYVAAATWYFLRWNTPNSASQFQRFSMQM TVPNLQKKGTYYLWPGLQDTANTGVYQSVLDGRSGTWWIGSGWCCSNPNLPWGDGFNV DAPDVVNITMTHTPAPNNWTSTVEYGTHTATNTFPLGNKNFNQAILAIELTGVTWDFG ELVWENVVMVMNTTSTSWCTKAPENYNSATKYTSTGGIATISGNEVTCTIEKIVMEGP ATARLGEGYVIEGDGS LY89DRAFT_703593 MDHDDFDNVSWQNNPAAQGPASSIASPRGVSEDEGPGNTNGKQY AAVGGQLGSNADALDLAGVGEGVLECTVTNPLKENEGTKDAYVSYLVTTNTTFPSFQK PTTSVRRRFTDFVFLYKTLSKEYPACAVPPLPDKHKMEYVRGDRFGPDFTSRRANSLH RFLARLTLHPVLRRSALLIIFLESSDWNATMRSRPQRGNSGADQGATGVFDNFTDTFI NAFTKVHKPDKRFIEVREKSDKLDEDLGHVEKIIARVARREGDLETDYKDLAEQFQKL INLEPGVEQSVHAFAASVEDTSLAMRNLKEHTDQDYLGSLRDMQAYSSAVKNLLKARE QKQLDFEQLTEYLTKSTSDRDVLASSHGAGAHIGASGFLARKVEDLRGVDHEQSRRER LRRIEVRIEELTTGVEEAKKTTEAFDEEVVREVQDFERIKRIEFKTQFGAFADAHVDF YASTIENWSRYVQEMENLGAPIA LY89DRAFT_605354 MSASSRIIRHASQTSLLSGARAYPRSGPSSAYTAYLRRNARQLP SHIPALAILIPRRGVSTDTHTSGGPPGGPPPGFNAEEAKKPLKDVKISSNAPSKAAAT QAEKHEIEPVPSDIATAVPKTDATEALTLSELAGAKTAAEKKEAKKEEKKLTLMQKIK KEAAHYWDGTKLLATEVRISTRLAVKMAAGYELSRREHRQLQRTVQDIARLVPFSVFV LVPFAELLLPVALKLFPNMLPSTYEGQMSKDKKASSLRATRKDVSNFLRNTLKETGLP LSPANAQKEEFAQFFRKVRASGETPSPQEVINVCKIFKDDLTLDNLSRPQLVGMCRYM NLNTFGTDMMLRYQIRHRMRQIKRDDRAISFEGVDSLSVPELQVACQSRGLRTHGVSP GRLRDDLTAWLDLRLKHGVPSTLLVLSNAFMYAQGKAESEFHTQIDALTGVLSSIPEE LFHEIELEVHNAEGAATNKQRLEVLKEQQELIEEENEQDEENKASGRATPRDDEDIDE KEERRLQAASNEAEKDQVSEAVAAEKEGAEVQKQEAAAKEKSA LY89DRAFT_25412 MRLSRAARIILVGAPGVGKGTQAERLLQRFPQLSAISSGDLLRD NVKNQTPLGIKAESAIKSGALVPDAMILRLILHELKTRGWLSAPAGTSPLTLSSTSAS ASMQDYDTDSFVEQPSLASYPTPPQTSDDPSASFILDGFPRTAGQATQLDNLIPINLV VSLRTPFEVILERIAGRWIHAPSGRIYNTTFNAPKVPGKDDITGEPLTKRSDDDEETW KHRLKKFEETSEPLLEHYSRKGVLWEVSGNSSDEISPKLYAEFERRFAASN LY89DRAFT_634822 MEKSGIDVSNQTPRRGLRTPTRMHISLLLSLAFLSWIVYQRYPT EVQYGHQYGHVVKFTDAERPAEEFAWTKITPTKHLEYTPCYGKFECARLDVPMDYNST ASDASRVAVAIIRYPAPVPLIHPQYGGPILLNPGGPGGSGVNLALSWAETISKIVNPP LNSSAYGSTDAKFFDIVSWDPRGVNNTTPHVECFPDNFSHDVFKLQMESEGFGSIEAK SNMWARSNALSSGCSENASEIIHHMNTATVVADMVEIIERHGEWRSKQTEIWVDTPSW KQSTAELHCDHPFARKPILERTRYRKGEEKLQYWGFSYGTLLGATFSALQPHRVGRVI IDGVCDSTDYYKTGWLSNLRDTDKIMDKFYSYCSTGGNEKCPLNTGSLSPEEIQAVVE NIVSSVKDDPISVPGTASRGPDIITYSDVMNLIKDVVYTPLKLFPIQATLLTDVAYGN GSAFADYKAKHHEPSCPLNNCEKGAQPCVVPTERVGVGILCSDGESIQDWTKKDWFDR VETLVGQSKWMGEYWSSITMNCASWKGRPKWSVKPEDITGNTSHPILLIGNTLDPVTP LYNAFLMQKKFPGSGVLTQDSEGHCTLASPSLCTVKAIRNYFQTGALPAPGTVCQPEE LPLLGKVSRDISTLSEVDRELLRIIEGIKDLDGPRFF LY89DRAFT_605365 MFSPTQSNAAAPASMESTPSAPSDSNHAPNVSPVQTSLERKASH SSPHGLNARSCVTCRRRKVKCDKQNPCSNCTKAGSPCVFPAPGRAPRRPRQGGKVVSE REAELLKRLRRLEGVVEELSGQVEVEAVKHSPSSDNSSSVQKDNESENASNHKSNTVR VVGMDEGSGNRRTWIARSWKLGAGPPKSAYGPEEVERGVGRLVLDEGKSRYVASPFWA SISEEVDEIRDMLHYQEFDSDSDAPAAPSNVVTEPDHQSFIMGYNSSDVDLKSLHPLP SQIPFYWQTFLENVQPLVKIMHTPTMNKVIKEVQNNLDSLSRSTEALMFSIYFATITS MNANEVMTNFGIRKETLLKQYRFGVEQALARAGFLNTNEIVTVQAFVLFLVCVRRHDD TRFVWSLTGLALRISQSLGLHRDGTKFRLSPFDTEMRRRLWWQVCILDTRASEDHGSD PSILDYSFDTEYPSNINDDDLDPDATDPPQPRQGVSEMTFCLIRYEICNLTRKITYTP PGQTPCRLSGKILTIEDKERLVREVADHLEKTYLQYCEDAGPLYWVAATVARLIIAKM SLIIYHPLTHPGKLNSLSQDIRDRLFMASIEIIEYSRVLESEASTKQWGWLFHTYIQW HAIAYILGELCIRPNSTIVERAWRIIDLVFTEWNGAANTMTHTKTGMLWQPMRRLLAK AMRKREENIQSANNDTDNNGLGIPRMYMRPPPEAYSRPSPCPGNIARDRLLERENQHT TAAADMSNGNVLEGLNDPMSNAPMYSTAEAPAPIMPPQVVGGTDTGMDNLITDFQPMQ PWVMDENANNGNNGNMPGFNPYFPGIGAGIVDLDVEDMADVSWEGWDEMVRDYLMEQD QAAPDGARGPTLGGMGQWW LY89DRAFT_663338 MVGPNSTRAATRLAAAAAGASTSNSNDRNNAPPAPKLAKPLRPT LTPKEKNRLERKKREARKKELREFKARKQRELEEEAIRARNIGRPLLPLKHGYPQYSV KASFRSPNPKSSFAGHINNNNMKEAQRLFQVRLRYGRFNGHFVVYVEPKKECQIGSPR IMRLCEEAVEFLKFWDSRQSVMTSSVSLKDHFFAWKPDHKTTQSSIYRYNLDDVDLDE VYKQAVLNRPPNPQPAEKSSRSKSHNHKGPVDMDEIDSTSVLGRLNKPARVKKRRRPK KKAKAATRGGEDRTDAESTLGPVDVGDATQDQVENEDLVQDTNGLDADENIDPNLDTV HDQPIDAQSTGDSNKPAPIDDENESLTEEEMTARRKSQNAYYRGLLAEVNAKKKQEKA FWDDFHGKERKREPEDAGQDPNSQFISRKRAKNKDGYHNGLPWKQPKDKDKPQKRDRV HVHDCRDHAPHGLCGAPASASPALVPASLHNIEENQDPPRQQHPTGEHNSRKRNFNAF EDREEGEIYDDPVPSSTNAPSRDEWPVSRKRRRDESEGDRNNDWNFDSYYDYGQPGFL SASFIPRKRARNF LY89DRAFT_679703 MSGIGEASLVLGIISSIISIIDATKQIYEAVEDEAGLPTNFKKS ATKLPLIAKLLEDAERYINNLGAESIKAAFIPSLEDCKVQAKLLQELFEKVMPKEGDS RRDRYMKAARTIGKGGRVESLVKGILDDLQLLATTFPEVTTPRGKDQLAKAIEEVIGM EPSLPDGFEEARAFAHFGGGAQNNNTGGGSQYNNNSTGNQNNGPGQQYIGTNHIVNNQ NVTIDEIDRSCLRTLRCPDTLAVKNRLKESKDKLLHESIDWILQDPQYLSWRDRDDVC LLWIKGGAGKGKTMMSIGLVERLSLPQDESTAVTYFFCQNANYELNTLEAIIKGLILQ LVNQQKELKESLRCRWDTINKRFDEDITWWRTLWNIFLEMLHRCKCQKVYVIVDALDE CQDDGMADLLKLIVRTGLDQPSKIKWLLTSRPLDSAEQELLTGSDQVGVSLELNQKHL SEAVKIYIASKAIELDRRNHYGLALRQRVETELAAKAEDTYLWVSLVCKRLESMSNDK ALATIQDFPPGLPAFYRRISDQLNEGESAVVKGCMRLLKAMMLAYRPLNVEEVASVTG LSDELVAIEAWVDRCASFVKRRGTDIEFVHQSARDYLAGKDGQSILDSYEHYRHGEMA LSCLSHLSQRLKPNLVDLPRPDSTKELVKRNGLVASVDYAATFWVQHLEGAKRTTLIR NALTEQGKVSIFLCTKLLEWLECLSLLDKLPRAIEAFKTLTDIADLTKNPFLSIVVQD AIRFLLRHYQTLATWPLQAYSSAIVFSPQTSIVRRRNLDKVPTWLRKLPQVEDAWASL IQTLTGHSGYVWAVAFSPDGKQIASGSWDKTIKLWDATTGDLQRTLAGHSGYVWAVAF SPDGKQIASGSEDKTIKLWDTTTGDLQRTLTGHSELVWDIAFSPDGKQIASGSEDKTI KLWDVTTGDLQRTFAGHSDGVSAIAFSPDGKQIASGGDKTFKLWDATTGDLQRTLAGH SDLVIAVAFSPDGKQIASGSGDKTIKLWDATTGDLQRTLTGHSELVWDIAFSPNGKQI ASGSEDKTIKLWDAITGDLQRTLASHSKPVSAIAFSPDGKQIASGSWDETIKLWDAIT SDLQQTLAGHSGYVWAVAFSPDGKQIASGSWDIKLWDATTGDLQRTLVNNSDLVWAIA FSLDGKQIASGSKDKTIKLWDAITGDLQRTLAGHSDGVSAVAFSPDGKQIASGSGDKT IKLWDATTGDLQRTLASHSAPVSAIAFSPDGKQIASGSLDETIRLWDVTKSLKVSKFL SGTFRSHVKFRKSREIKTSDAVSFLRFSTDGRNLATNLGEIKIDENISTSGQSSDFES LRAVQGENQWVYYGLVPVFLLPTDFQLQCYDVRGDQVAMGFGNGRVLSLNIDRRSLNT IEMGIPRM LY89DRAFT_573362 MLSHPRLERSCVLALGLVASVIAAPCDLYSSGGTPCIAAHSTTR ALYSAYSGSLYQVKRGSDNTTTNITPLSAGGVANAAAQDTFCASTTCLITIIYDQSGK GNHLTQAPPGGFSGPDLNGYDNLASATGAPVTLNGQKAYGVFMSPGTGYRNDAASGTA TGDGSEGMYAVLDGTHYNGACCYDYGNAEVSNKDTGNGHMEAIYFGTSTSWGTGAGSG PWIMADMENGLFSGESVTNNAADLSITSRFVTAIIKGEPNQWAIRAGNSASGALSTYY SGVRPAASGYNPMSKEGAIILGIGGDNSNGAQGTFYEGVMTSGYPSDATENSVQANIV AAGYATTSLTSGPALTVGSSISFHVTTPGYTTRYIAHTGTTVNTQVVNSSSTTALQQQ ASWIVVAGLANSACYSFESVDTAGSYIRHYNFALLLNTNDGTKQFHEDATFCPIAGLS GEGNSIRSWSYPTRYFRHYANVGYAASNGGVQTFDAPASFNNDVTFLVVDGFA LY89DRAFT_776697 MLLTPHFCLLCCPTIDGSILLHCAESFIPLHTEIKQIYRSKMAN HQDELWSPVTTDYDFWQTNDDFSTFSSQQEIGREPTRRTSSNQINNFESTRTYSWSTN NASVPRTVEVFSALDLEALGCNDAGLELQTLLHRDLTATNNEDIGSAALREAQFRPPP EEEPEYTIRREILNETPRSNAGPTPEEWEAKKPEIYALYVEQRWSCREVMEKMADRGF YATVPMYKRRFSKWDWPTYRTRSKGSRGNPSIRRAGRATRKTTSNLSLAQHDELTHVG VCNTLAAFKSPPSSFAYVSTINPRFMLLPGSDETLKIILHDVKRLYSREISQGNWKVT NRCEVEEDVHDNLLAGIGTALRNLESLGDEIGFLGIRKAFMTLEGVVSRCGLFSLPAI WESFLRLILKKRPDIAQSFLTQAIQLAVKFGHEQHHEFVQVLVNLQKIQKTAPEQLQK VVCEAYRSCIDHLKSMLSVDHLTTLQLWSDFVVYLDRSSVNEAKAAVKAFRKLIKKSE KDNGIDDDYTLELLGLTLYLLQSTPSMADEAEIVALDMLVRVNRRTEKGEKLKGALLI TWKDLKHTLGDFCHAKGEDSMAITHLEEYLEHGVEDDRDIIALEKLEEWHTLLENHER ASYMRRWRETSVREISQEGYKIPREKEPSNDESEFEDGDGESENAGSSEATVVDQAET RDSKVGERADVGEDGEEEDDENVQVEMQLFREQEEHRERALALLQK LY89DRAFT_703599 MLSTTLWALLLSAGADAILDRRTAVGDCLTSASVPQVLPGSPAY NLTIKPFNLRIPFTPAAVVQPTTVAQVQAAVSCGAKLNIPVSPKGGGHSYASHGLGGE DGHLVVDMKYFTSVEVDSTTQIASIGTGARLGNIAQSLYSQGQRAFSHGTCPGVGVAG HVVGGGYGYISHTHGLALDNLVSATVVLANSTIVNASTTENSDLFWAIRGAGASFGII TEYRFQTFAAPNSNTVFSYTINPSSASQAAKIHSALQSYANSTSMPAEMNMRLFISPG SFNLEGIYYGSQSAFQSAVSPLLSQLGIGYGQVQTMGWIAGLSNFAYMSLSTPIDYDI HETFFSKSLMTVDLTDAAFTAFWNYWSSTARGVNRDWYLIIDLHGGPTSAISKVPDNA TSYAHRNALLKYEFYDRVDSGSYPSNGFSFLNGWVSSILNAMPTTNFGMYINYADTSL TMAQAHSSYWLTHYPMLSQIKKAYDPKQVFSNPQAITSS LY89DRAFT_634832 MLFHALGLGLLSALVQTGSAANIFVSHYTGVVYTLTLTGSTLTQ NSTVTLGGQPSWMTFNSTDRTLYVADETGSGSASVWSVAAATNGGLKQSGKASAPLGA VANVQYGGGNYLASAHYQTSIISTHKLPLSGSALQTFSLTMSARGPNSRQDAPHPHET IVDPTEQFILAPDLGADLIRIYRIDASTGKLIACTPYTDTPGTGPRHATFWGKNVLYV GNELANSVHAFTVSYPDGGCLTLTKFQTITTMPGGKAAPSGTKVGEVRVKDNSLYASN RRDLSFSPNDSMAAFSLDTSGTMTFQAITTSGGTYPRTFQINKAGDMVVIGDQTTANV VVVKRDVATGALGPQIASMRIGVAGHAENDDGLSAVLWDE LY89DRAFT_713431 MFCSFVQLQLLVLALCSLVVARRLSQGDGLTVPAQRPMLHSRPA TVISNEVKSDSPKDVSTSGRDATIKLFPPSPLAMRYSFSFGCKESEFNTITLETDVCL SGDYYLNHNMLISEAPLCKDGSTPTMSYYRARGCVGSPQFESTTKPIPDYCLWGGIAP KYWSLIFRCGSETSTVQGADKHEVAVPPASRQPTQVYEGILSLCAFERTHRRIRPSRS MVNVDSCIPMNGHNITIKREAVCPNGKRAQWARFETANCNGGHLSQKYGLIDIHDADI GVDKCLSSSASADKDKIRSVAFWCEGIKLVPPKKSALKWGTFGEQACSAGGSTPKFLS HGYKPLQPDTCAPKDRGVALRTFTISQPAVCENGTRAALALYKDDFCSGEPDRFLNVQ FNTMNKCLSFEGVQSWAFYCEGVKIQRSLRVMSPPRPPSLGAQRISTGEQLEAKLKAQ ATQTGFLVGRPSSAPARPAPVIASDFGKAKPLPDFQINAHAPECVSGCANRVQTIGLN TRYRYSAFSCNKNMETTVKYYVPGICTALNSPFGIQSPGICPNGKPALVALYQDRSCQ GKPDKFGSVDTNSTNQCFAFDEMKGWTFWCEDVEPGDVSSLKDIGTKVEEVNNGVEGW AKVIIYLVCAVVLALGVWFWLGAVILHVARELFPWPFEAVENGRIVLGEEKP LY89DRAFT_679709 MPVVERDLTYFVLPPSHSSLTPGSELDSFVLISGPKTRFPGFEW KLEFPFPNAYRILLTGPDRPRPPHDNVNAPAKFCSFKLLSLDKENCHAVFAFLSPPSG TKLQLMLSWSYQIFSEVWEVGESEGDDRLILSDLSARSYALTEHGVIRHWKFDRTRLH LGLGEKAAPIDLTGRSFTLHATDAAYYDAYRTDPLYKHTPFLISTPRLNEDGQQDLTY ALFHATNSIATWDVGAEIDYPSGGLSKRFVQDWGGLEEWVMVGKGVEGVVKTFAEMTG KPRLVGRDWFGYLGSTMLLSDKENAQELLEEWPKMCRKHDIPCSAMHLSSGYTADEDS NNRWVFHMNKRRYPDFKQMTKVLHEAGMKLVPNVKPYMLLSHPAYKRLKESDGLFYDP IFKGPSQQNLWSSGEGVSGDGSWVDLTASAARKWWSQGVQSLIDLGVDGMWDDNSEYF TRDDELLFANEFDHNREVMLEGKVKTGLMGRITANEMMNKVSHETLQAANPERRTFIL TRSGNPAAFKYACSTWSGDNLTSWHNMRGSQHIQLNSAMSLMQNTGADVGGFGGDTPT PELFTRWVQLGVTHSRFCIHSGSYDTHGNEKLSTPWMYPEMLPIIREHIKWRYLVLPF LNNLMWQSHLHAVPPNAPLFYGPFSTDPVLYTDRILEGFDAWMGVGQILTAPQLFEGG LTRDVYFPKASLDDKSLYFDLHAPFGKHRAGEWTTVATPIEHGGMLAREGAMIPIGKD KATVTAVSGPARTHSDGVDLIFESDGGQVDLDDWRGLMLFPGRKGKLYTGEWIEDDGI SAIPGTCTFSVSYCGTEDSVEVNVSVEENRFKPLWEGKLHIVLPFGDNRVVPKAKQTT WKGRDVWALDLPHV LY89DRAFT_727643 MKDTEELLLKGLSPDQPRHLHLHQIPVSFTKPSIWKLNKDVRGN KHILSGYRTISPSVADSLCTISQWHNETINIFTHLLGSVLFLLAPFYFYTYHYISSPN SQPIDILLFTTYSFGVSACFGFSTSYHLVRNHSAAYAKFFNELDHLGIILLMWTASLP SIYYGLICDPFLQALYWILMTALAAACTAATLSPNFAFPVWRTALYATLGMSSLVFVT HGVLLYGLEIQTQRMALKFMGWMAVLNFTGAAIYVIRFPERWHPYRFDFIGASHQIFH VLIVSAALVHFWGLLGSFEHIRGVENFCGA LY89DRAFT_25621 MAPILKDFRILAAEKQLQRSNSIPKDWLVSSNTLRHATNLLEVP ITCDILGEIECEITSNYDATSLLQKLNSGVWSAEQVTVAFCKRAAIAQQLVNCLTEIF FDKAIERARQLDRKRQATPSKALPPLWGLPISLKDSFQVLGTDTSTGLGCYVNEPAEE NSALAALLLDLGAVLYCKTNLPQSIMTADSDNNIFGRTLNPRNTALTAGGSTGGEGAL IALRGSLLGVGTDICGSVRVPSVCNGLYGFRASVGLVPHGGVRDLNVPGTDGVRSTAG PLATSIRDCSLFLKSIMQAKTWKYDKTAVSLSWQNLHVNERLRIGLVEDDGMYTPSPP VRRGLKAAAGLLRRSGHVEIVPLVLPDVKEHYEDQLRYCSLSGSDYYIEQFARTGEPI VPSLEAIGLLTMKGTSLQGFFDLNVRRAAAAKQYLNLFRDNNLDAILMPPAPHTAVPL DTWTTATYTALWNFLDYPAVVIPVGKVQESDVSDDVSNAKYGVEDAKVYSLYTGPELY KDAPICVQVVGYRHADETLANTARLLDSIINGTRL LY89DRAFT_25815 MATSRGQSRKVRTACDRCYELKERCTRTSITIECKRCQRLDIIC STVRPVKRAGRRPQHRKQSLLRTTPSCQSSNAAADAVNIAMWLQSVSDLNQKEKELLT FLLGRPETLDCYVVGSSFQAAEQRSLMAPLPAALPVLKDAYLAYAGALKLSQPSNVTE VDKEIGLRYASSAMTTLMSLPVATFQDANLCLTLGTVLALYVYSAVGVGMADICHYCL STTSSFIKTAGSDTEMDSWQSFLVLLEIMDCVVHRRRPTLRIQVQTLESVDRHLGLCV PLLPYYYDLCVISHTLRSSADTSYSVHIQKQLDGIHQAIEEWQPSHGDLVDKFEAAEV VNLLAQAKVYRLAGLLISHRLRYVFGQQDRQADTWSKEIMMELELARKFTKQSIRCVT MPFIAAAIEIRDPSARLKALKNVDEYVDQFTPVVQEATRTFLERVWHERDVKITTCWF DSLSKPCVVLNSIDAACFG LY89DRAFT_572894 MAKWRYNRFEAFQFGNDLWDPSHRFETSWLLRPWVLFGVRALIS LYAFTVTFFIIGWEATDHDGNTIHDVRKSFSFFTVLCYWGICFYFLVAALHTFTYALN GGTPLLNRLPRPLQALHYLFWSTITTFPFLVTIVYWAILFSSFATTFALWSNISQHAL NSAFGLFEIIFTRINPAPWIHLLWLIVLLALYCGLAYTTVATKHYYVYSFLNPNPPND VLDSNGKKTNIGGVGKGAVVGYVFGIAVAIVIIFCLSRLLVWGRKWLTEKRLGMRGKF YAGREMGMGDVELEVQRAWEK LY89DRAFT_572309 MGQNRVDTMKEVQVPLHPIPSMQEAFAESMLEANEATTPNVPKN RPGNAAARRKLLLDQETSEETHAGRWKQRPGQQYHELWKLMAQISFGVYLLMKGMAKD DDQVMNILQGHVDEVDEFLETTLEDFDLAQEDIEERLKFLKLPLENIIIFDAMLEDRN FRLQIVSGNERIEHVITRTATAMNDALKDVQQGLDACKEFTIYLAEEEKNAIWMKERP DMQKVFDAMKGNVEGWYKAYVSLQTKGNHLGVALVQLGSIVAEMDRRAGEISRKSRFS TTPTSIPIASPPASPPQSKEMRQSMLKNLPSDPNLITPAIRATLPAFQLVQDRERTPS ESSSEVEPPEPDFILKPRTYSPAPSPKPPAVEEAPPELTKRSSLRKRFSMKRKETPAD VPIKVEPPEDDYWGPRQRVMSSAARERAREISIHEQQKRQRESAMNERYERPREISID HRPRETSLNTPPSRGLDSAYCSDFEKTSSPSAAPPPNIPLYQTHSNTSRPFHQNPIPS PLSDKQFFRPVQASPNSPLQRPWTAGPSNPLHMHSNSSTSNLSQRGNYHAHTPSQLGN RRGAPSAMGMSVMSDMTMMTDAETGKKVKKKRSALGWLKKAFSLSEEEKRAFEERRVL AEREREREIRVREQERRRWVDGKRIR LY89DRAFT_713437 MGAMDPCPNCFQQCFTCSENPELGCAICTHLQNQHWQHFHTPFA PGILTTDFIYSSEDIVLNDVAFGESAHQGFPNNLLQLELPSATSTEVPAVRRRHWTND ENRDFEQWITNNPKPTKPQKVEFATSHQYLTLSQVQNKINNWRNGRNGRNVQNQTVSN TGADREGLLNPPQGLEFSISAPSCTTNSAMPITQGTVATVDTFSVPSELFDNMRSISP VSSILRYIDSPELEADRDAIERAFKTASDECLRADHVPSPCMRLPSLSHQGSSEKLSY ADPYLATVQPRLRQDQSRSRPCERCIEQGLSCSPSLPCQQCDEAEIPCSNCLRPVSAV NIYPHNHGHADLQRRSTHPLQLSPTQLERLGSQMCGTDVSPRSQSGRSVGSARTSRSG VSTTYSARYSIKLNRRFSATNRRHSEVEIQPAKKIKPIPPTSISRMKRSTSTPATSKP RNMPSNSSAVNVTRLSLTTPNSQSVRMNDENANFSRPSVSSTNLTRDPKPSDRKPGIK YDGRANYFMCPDGNCWEIFDDLEEVKVHVRNNHFRSYCTFCRHAFANMNDWRSHENQH AVKKFGKGHGGLLWLCGICSIFGLAEHRRYQHIQQHWKDGQRMDARAGDPKMLPLSKE NFKALENMEGDLFELNAEYLLSKFGDPWSSPGEDRDHSITLPGQRPYLSSSPKVRVPS RHPASTPLETLMSRPILHGLFASLRRRLPMFRTREK LY89DRAFT_572803 MLLGTESEQQQQRKHHRYLGLWRKAHTITGLITLFASFILIIIG ASKLYLLLFGSSTSNSPSFPLKELADKQVCGTHLSERITKIPNIVHYVWFLKDPTSLH LDFKFFITAYSAYLYFQPDKIYYHTDASFELFERARRSGSEWTQRLLSLPNVEYHYVD APSVTTKGIPIEKFEHKSDFTRMQVLHEYGGIYMDTDAIPLRDIADLRESGFANVVGG AIGLTMHHSGFINNGVMMAAPGSALMKIYMRAADQFFDGRWETASVNLLTDVANRLSA VPHEVLILQPKAFAPVSWEYADQVRLFQPHFEMPAGNEIWGSTSTNMTTCDDMLSSLI EKESFGGEDWEMDFSSSYVLHAFDGKHIPGWDNKVDLNYILARQSNYARAVYPAIAHA ISSGVLGPY LY89DRAFT_745587 MYIYDEILRIYINTSPLMVSIRVLQAVRDIDPPVQLSWDDHGFV CGVSHDVAMQLTKELGMRMLWVHEFMQLAHQHHRVALRYLHLAQPGWFNLDEIDHDGL PTTLSPTNQPGLWKFWSPESTEHVCGAVRSFVTSSGTCSLDLGIPIFAKHPKIMLREC YEKLEPPVPSPLCTIWPKYEKLIHLRDTLSLQRFLKELDISKISISIEDYQDDFLYNR GKERLIDLIDKRRLLEREATNLEIIHEAQLLSMLCSPPDDQAFFVIGHARPDADSVVS SVFEAMRRHLVYPNHACLPWSKSIPREVEHILGPEVTGLMSKISPPRRNNSIVLVDCH QADPKYQMGVRAIIDHHILNGKQFPYYMALSHEVSWSTTIQVYVKILGSGLDLSPGMA KTLLEATRLEAEPSLIPRMSETDQLAIARLESIAGYGVAATYEELMSIMLNTAEIKEL FYKDYRQTSYGFSVIKSNKSNDFGAIAEAKNRTYHLPLTVVKEVVYAEDFSGVCLENI SLVINPVFHDKGFKNALQKIVTVACQHFHGKECLFVEGDSITLKDIESQTPRLLLMPL IETIVNEHMRFRYAASINRYISLGFYSGSQEHYGSPGDEAIVKSGLSFFDKVYREMET GCDSSALKSLQHDRYVKLLDTFISGSNLVTHGTNAPQKVDIQAARPALIRASEADEVT GLPSTLHSPDNYGNNSLWRYWSSDAVENVATRGHIFVMDQTSIDLKVRPDERTKQLTF RPVYKDIPDLKVEVEDDGSGKWVKVNVSPRLFFICG LY89DRAFT_26119 MSQPTESNFDPSTSSLLKIGSLDPGVTYVERTAVRAILQNPLNK RLAIIHVKKGNYYKLPGGGIEPNEDHSIAVAREILEETGCMISMDIGTCLATCEEYRN DLHQISYCYVTKMIEDTGRPELTELEASEGLSHRWVSVNEAMEVMQDSVPTSELGKFI KERDLFFVEKFADIF LY89DRAFT_26160 MSHAQSARIGRMHLSNLPPEIWSLVAEQIHSPQTFIQLIQVCRT FHSIFVPFLHRSHSLLLSRKPAHDWLSWPIPAYLKHAPQGVQGE LY89DRAFT_776708 MYHGEQMNKIYGGFVANLIKQMPVLQSFRWRDIAFQDIFETALI LRNNRLLTSLKDCKTLKHLFLQFGSQYIADGMGADNCWTKLRGFRNLTSLELYHFFGD ETRLIRDIVILLSDSPHLKCLGLGKAHDADCDGTPEVIITDGKYRFFKKLCKRYASRQ KKNTPPLNLETLKLGHGMFLKPSGTRDTGNYLAKLVKLDKLKVLHLFNSLLLEHEDSD IEETVIDWSLFADCHSIRQLSVSRFTTDVIDWLRGGGNTVQELLVADHYSMYDTDLHH FSLLNLAGLSMLYVREVFVKKMGEEDEWEDIDSDTDAFGSDDDEDMSVDVEPSEEKSE IVDKSKMTVLDRLPDGGTQLSRLALSLEFEDQWARFSTHLPKLKLLTELRIDPKSARG GRYPRETASLWAGVERDVDIAKRYVQLARSLCPSLQYAQIRDWAWQITVPPTVVLGEG EDIYHQIELRELGFDEKTSIELFSYHNFTNQSGLLGLDDYHEPLSEEESRKMDLQMEE IDAALREGRAVRRLFGEGPDIPLPIFD LY89DRAFT_605392 MTAPQTLEGLKSGQYKGATRVKISSGLTDFPREILDLFDTLEIL DLSGNPLSSLPKDISRLHKLKIAFFSDCNFTVFPKELSQCRSLEMIAFKGNHMTTIPE GAFPRKLRWLILTNNEIASLPTSIGQCHRLQKCMLAGNRLTSLPGEMSHCRKLGLLRL SSNQLTSLPHWLFELPELSFLSFAGNPCASSFEDNPVLDDITWSNLAVHQLLGEGASG IISKSTWKREADEKHVAIKLFKGEVTSDGSPADEMNACITAGQHPNLIDPIGKIHGHP EKRGLVLELIPPHYKNLGLPPTLDTCTRDSFHPETAFSVENCKSILLGIAAAATHLHE RGIAHGDLYAHNILIDDAGHALLGDFGAATIYRNDHEKAEMLERMEVFAFGHLVEDLL GLVVRRIDADDILSEKDAYSIEGLNLLHWKCTNPVVKERPSFAEVYEELSAL LY89DRAFT_569843 SRRTTVARACVLCQRRKVKCNGLHPCYNCCASKQECIYSERRRR TNTTDRRRSGTGTLLQDYQIVFNRLLGQVPVKSLVDLPRESLVDLIVSDGTSVQRLSS VSPHGLPRPDPSPVSSSAETEAAHRLEALEERPPDGFEWDESSDQLWEIVVDDVNGLG LAPNKKASFLGLASISVAVKVLLKALPSPVVQDLTSTNGKNRDHGWFDSSPAAGQFAK SQDVSYREGQRLIDAYFTHVHVFVPMIQEQSFRATYLANERKDSPWLALLHMVFAMGS IASSTSDCDQDIYYYQRARQHLGLESLGSGHMETLQALTLMGGLYLHYRNRPNLASAL LGAALRIACSLGLHREFPSPSDTSRGIDREINRRTWWSIHILDSWGSTTLGRPQTSDE NRVEIPKNMMDDRFGDAPPTQPTICSPLIHSIEFCKILSRIQRRLQMCSFLAFKEISI LDQMLVSWFESLPSFMKPPNPCPPELHDVRTVLSWRYLNMRIILHRAVILDTTERHLS FFNLGVEEQEAVKKCRDLAAESIYSISTEWRPTKMSGWNAVWFLFQAVLIPLMALAVE SEEHEDYHKWQEQVVLVIQLCGEMDRWSLVGRKTQHAVQRLYNASK LY89DRAFT_727654 MPFEIFFKLSVVASLSLTTSAVTIQSSVLLGNVTSTNTENIRDL GFSGVIGDVALNSYGDTLICGDGSAKDRYYQTPPCNLLHANSAAYSDPDPRNVTDFNL DANGNAQIFCGYSEAELAAAPESSYGMGITNVIAQSGSTTQGILYFLKNFRPNGQDHI VGGGAAIVDVTGSYPTCNRTSDHWWDCTKEPQYGDHSQVLADDGYIYVYGGANATIFY DGVYLTRVPHDSQQDFSQYEYWNGTQFTMDRIYYPNETQAVLGNGATQGMITWNSYLQ SYLYVYTYGAEVRGKTSPKPEGPWGSMDEYFSMFNATEFAFCYSPSQQTRYDTSGKTL VISYTGYPNIIQAIKVEFERSHERSFDNESLSFYAHLLSTERDKFHS LY89DRAFT_745597 MLFSIFFVLVLVAVIDTVHAESCKTIQYAFPAGTGVNDSRAEAV KAAYVRSWNEYAGYCFGNDSILPVSHGCRDDLDGFGATIIDGMDTAIIMGLTDIVARQ LEHAATVDFSRSSSVLVELFDMNIRYIAGLLSAHDLLTSGLFPNPYNQSHMDALITQA ATLATNLKPCFETGTGLPATYVNYTTKQPVAGFPLTIGNTTYLNVTNTAQAGTLMLEW YRLSDKTGDSSFRDLAAKAESWLLKPNPPPRYLNLVGTALDTNTGHFLTFDGGWKSGV DSYLEYLVKTHIYNPADDIENTWKSFWITAVESTIEYIALHPYEHPEITFLSELDNDG NIMWSMDDYSCFAGGNLMLGGTYFDRQDIVALGKAAAESCHVTYNTTVTGLGPLTFAW YNASNLAYDAQYNNNFTTRAYAEKNGYFIELAQYNSFPESTESIFYGWRITGDTKWQD YNWGIFQALNTTRTENAPYWEISDVNVPYGGEPYDYLSSYYFAETLKYLYLTFTEPDI VSLDRFSFNTECHPMLIQAGTCAVEEAKRKGEL LY89DRAFT_727656 MKILTLALLLQCSYAGLIKRSGGISYIFGFGDSYTARCFNISGV QPSQFNPMGNPPDDRCTSSDGPNWIEYLTTTYNNSLILTYDFAVSGGAIPNSLVDQVM FQYEPMYSHSNHTWTGDNTIFMIWIGINDITILSSLYQNMSDFNVTLPPRFDQYFSLM ENLYNTGARKFMFINMPPLDRTPMIQNYTTPIIQNFAQGVSLFNDVLLPQYVDWFQGN HSNIQTTIYDAHSAFNTILDDAPAYGFANNSCFNSTDCFWWNNYHPEGAVHQRLAKQM VTNLTPLGW LY89DRAFT_745600 MFLCSRLLLSFSALAHAAPFLQRDNTIPLSVDLGYTKYEGQVFN VGVGIKQWLGMRFAAPPTGELRWRAPVDPVVNNTVQSAKNFGPVCIGVGQTIGPELNE DCLFINIFSPANATENSKLPVWFYIQGGGYSGDTNNNYNGTDIINRSGGNLVFAQINY RVGAFGFLASERVRDDGDLNVGFLDQRKALEWVQKYISKFGGDPGHVAIHGDSAGAGS VAMHLVAYGGRDDHLFAGGVGESVFFPTQRKVSELEFQFKNFLKDTGCASASDSMACL RSKDLLTLQNASSTGHPYPGAASPPIFPYSPCIDGDLLKDYPNILFAEGKFVKVPVMI GDDTDEGTGFAANASSPQEVSTFMHNNYPGLTHSDLLQINKIYPLMPPLPKHAAYFPS AEKAYGEVTFICPGILISDSVSKYYGPTQVWNYRYNVLEADNVENGLGVPHVFETPAI FGLGLSGAQYGEGTSYANYNSAVVPEVMDYWIHFVRYLNPNSVEEKSTGPYWSTWVSG NDRQRIVIQTNMTRMETVPRNQRERCQFWQELTSHTET LY89DRAFT_713443 MRGRERSSQAGAAAKAEGLGAFRLVTAQNSTNTSVDWSQYVQPF LGTEGTVPGTAFNGGNCFPGAVLPFGSVKLGPDTTSFNTSIGQNAGYTPDGNVTGFSM THVSGTGGGPVYGVVSQMPLVSLDGVNVLDNLTYMEPRQGNDTATVGYYKSIFQNGIT TELSATSNAGIFQYTMPGVASSHILVDLSHYLPSNGGGYQSQFYANGKITVSDSGQQY QGWGIYKGAFSEIPAYQIYYCAEFDTEPMLWQTFSGIYTDPYWPADLNAEPTFSNSST INGGPQSYLFGDRVGAVFSFASNASTIRSKIGISWKSVEMACQFKGQEIPNWNLTEVA QAAKNSWNQDILSTITTTDLSNTTRLEMFYTGLYHTTLMPTDKSGQNPHWQTDEPTYD DYYTFWDTFRCTNSLLLLILPARAAGIIRSAIDIWRHEVFMPDGRSGFYNGQVQGGSN ADNVLADAYIKNLDYGINWTAGYQAMLTDAELTPYNTWDAGDPTSSVKEGRGALPDWL SLGWISPDYSRSVSRTVEYALNDFSVAQVAAGLDPTSYQKYLNRSANWQYIWQHNLTS YNFTGFLAPLYSNGTRDPNFQPGVCGEGCEATSYTYEALPWEYSWTVPFDMQTLISFM GGANTTESRLDAMFIPGLGSAVGVNGGNGVGTTLYNPGNEPSFSTPLLYNYLPQRQYK TVLRTRQTVNQYYNNGRSGLPGNSDSGAIDSWMMWQMLGLYPVVTQPVYLIGSPWFSN ISMHVGSEKYLVISAENLSDDSYYVQSLKVNGQGWNQSWIHHDIIKNGGTLEFVLGSA PAMWDIGTLPPSPGHIVANKTF LY89DRAFT_776712 MMAPSSILSAFCFFLLGNRSLTNAQFNNYNTTANGTLPVYSSDT VPQITENSTDNDPNYIAPYFPLLGFKEYAGNPILAPNPANNWESAYLYNPSAIVVDGT IWLLYRAQNSTRTSSIGLAWSKDGYNFTRYNNPVVNATEPYEHVGGCEDPRVIRVNGT FYLTYTGYDLHTARLCLATSTDLVNWTKYGPMLPNVTDVVYNWQEPLVSWVPRQGWSK SGAILNERQPDGTYHMHFGDSFLYFANSTDLIHWNVTYDQPPYTPKLNVWEQALMESA APPVKTRDGMWLKFYNGVATGLGGYTPSQYSTGQMLIDPVNNPYGPPIARLETPILQP TSVHEIEGQVDNVVFSEGLVQFKGQWFLYFGQGDAFLGVATAPVQP LY89DRAFT_745602 MTFAVLAFLGFGASNTASISSKENRSPPFIACNGGAGRVAVRKA QYHWGWDWGPILMTAGPWRPVWLEMYHARVADLWAQLELDRNLQAATGTVHARVEGKV DFVTFALTLRGEVIWQNVVRVENDCASVETYLEKPQLWYPHGYGSQPLYELSAEISTD DITLDKVTKHIGLRRSELVQNNDCHGKSFYFRVNGVDVFCGGNCWIPADNFIPRITKD KYRKWLQMMVDGGQVMTRVWGGGVFEEEIFYDLCDELGILVWQDFMFACASYPVWPEF LDSVREEATQNLRRLRHHSSIVMFAGSNEDYQVRESFGLEYDFNDKDPSSWLKTNYPA RYIFEYLLPLIMAQELPNVPYWPSSPYSEGKPTSDPLTGDIHQWNVFESLGGRFVGEF GMEEFPHIQTIRYFVESSSELHPQSHTFDFHNKADGHERRMATYLVENFKTTANLEAY IHLTQLIQSEALMYAYRRWRKEWGGNRKCGGALWQMNDVWLCTSWAIVDYFLRKKPAY YTISRCLAPIAIGIQRQHHEWSVSHARPANNLLFSVWIVSNKTKKFIADVKLRFISVD TGKEVRNTIIRKGVTTLENGTTEVLSGVIDTRSGEPLVLAARISIDGVCLSRDTDWPQ PFKYLSSNDRGVIITTIGLVFEERDGVLLSDNALDIVPGDEQIVEAKGLQTASKSLSW LYLCQED LY89DRAFT_776713 MASQTLTSSMQPTDVYGGDEVSAIILDPGFSSVRAGFAGEDTPK SFVSSYYGVNEGGQVFGDDAIHNPLAGLDIRNPMSKEGIVEDWDTATRLWEYAITSRL TSFKQADPRNNGLNDDLKDLDVEMDGVEEGEKPLEEHPLLMTETAWNSPKNRERAIEI AMESWGCPAFWLARNSVLAAFGAGKATALVVDVGASTASVLAIHDGLILKKSIQKSHL AGNWLSSQIRTLFATSEPKVELVPHYTIMSKTPVDAGAPAQATYRTYEKEVTDSFRAL EEERVLTEFKESVVQVWTGPGRLNSPMQAGMTNLEYAKSQPGRVFEMPDGYNQMWGGE RFTVAEGMWDERAALPVAGEQPVQKGQTISEMVKASVNAVDMDLRSQLLQNIVVTGGT TLVNGFTDRLNNELTVMYPSARIKIQAAGLTAERRFGSWIGGSILGSLGTFHQMWISK KEFEEFGSSVVEKRCK LY89DRAFT_605405 MPNISLYPLSIKEELQKQFVGKSIKDVAGPAAVLDLSKVQNNCN RMLEAVETLKFGWRAHIKTHKTTELTRLQVGSGAGPVNIMVSTVMEAEHVAPLLLEYK GAGRAVNLLYAFPVTPSAVERLSLITKALGSGGLSLMIDHPAQLSSAVAIYKSSSIAP QIFLKIDMGTHRAGVPPQTEACAQLISSILSLESSGTVELLGLYAHAGQSYNSSNRAD ALDLLRQEFESLLVTAQAVQSVSPNKDLVLSVGATPTTTSVRNLLIDNEDTPVEEAKA IASLRGTFQAIRDLKCSLEMHAGVYPTLDLQQLSTHALPTEGPHAMLTWNDLALTIVA ELVSLYPGRGKDGSAEALVGSGTLALGREPCKAYSGWGIMTPWNRPEARMPISGPEKY VGWQVGRISQEHGILVWNGQGITPEPLEVGQKVRIWPNHACIAGAGFGWYLIVDETRK GKEDEIIDVWPRWRGW LY89DRAFT_634867 MAEHDGGKSWEEEKLEDDRLMEQILANPEQSGQNEGLFDVNRPL DLGDKADDAQDFEDISDDDLPDEEEATGSKDDDGLGLTDDVDMGLDDDDDLFGDNQPS TPYDHFDEEDDTQANGVTDPSHINGALTLPPVDPAEAVANLRALNFPEHAPTTHQDDI PADYEGDDDFIRQNWPSYEKESAINLTELFPPRQAHFIPKAPVKPPKPVNPTKVSLDL APDQEKVFRAAGPAKSDKNKWSLEAEAKGLVAIVEESSEEADSEDEFDFSPQDRDEEL GGRSMIDIEIACADWESNINPQVPTPPPEENIEPMDAWEQEFLGPSLKGKEKAKDDFT ITPIYPVPNFDNFEQLTAQVAKRVVLDLNDPLLLVDTLEPSQATKRRRLDKRGNFKGV GAGSFASTLSARFNMSNDEAYEALKENHQSKVRATLGNISVEHSLPAQKLQYPFYVVK MEPKKLREYHRPYLQMKGFNQVLTFSKPGMRKRKAVKNLPTTEIFKESKDLSLADHYS SATLLEYSEEHPTVLSNFGMGNRIINYYRRKDADDAERPNPEDKVGDATVLLPEDKSP FANFGMVDPGETVRAIHNQMYRAPIFKHEPKGTDFLVIRSQTGKEGANYHIRNIDNLF VVGQQFPNMEIPGPHSRKGHLKIQEITRHISGSTDMQNRQKLKEFMTYDKADKVWRVK SGEPLPDEATIRAMVKPEEVCLIDAMQVGSQHLEDAGYVAGEEDEANEREDQSLEQNL APWRTTKAFIEASADKAMLQLHGEGDPSGRGSAFSFIKTSMKGGYLEAIAHGPMASAA ARVAKDPKANNGHTYNVKAQQSIYNEAIRRIWDQQKSELSNVHEPEAINGEMNGGDNS GHGVANTPAPFDDDNASVFSVTSRQGKVMRITRQYEDEHGEVREEIEEVTDPKVWLRY EKLRREKQGAEIE LY89DRAFT_26322 MGRRKIEIKAIKDDRNRSVTFLKRKGGLFKKAHELSVLCSVDVA VIIFGNNKKLYEYSSSDIGEILTRYQYYGGANEHKGPADFSGKGKMDDDDDDDDGSGP SHHGSVEPQMMPPQFNQAFQHVRHSTPSASPPIPNGVFPHHPQQRQHTPQPQPGSRPS SRNAPRRTSSNLVPQQHPHPPPSNGGYAFMPNPPLYNPHNGPNLPHAHGLPSHMQAPQ MQAPQGIPQQGPQYPNYGPPQPQQQQQQQHPHPQQVQQLYAEEQRRSSMPPAFPQQER PQSRPEPSPPQPQQQPLPQPSPPQHEEPQPAPQQMLEPVKRMSVKSRSIFTPIDESRS ILSQHWASSTSNSEPARTEPPTSNSRSQSVDTGSVTRIKSSNSPPPPARAQTHNKNRN VSMSSMPDNFTPPSRTNSASIGGAKRPVLKVQIPDEPSPSNGGSATADSASSPRSGTN ATGSHSRRNGRNNSDSHSSGVVLPPPSPSASALLSAGASGPPNPFARPMPVSQPSQSN SNNGNMIDTPVSALPSRFMTNEFLPSPSSFYPDWNFRGNDSNTLPSPLNFATPVVGTG PSFLREDSGGRENGNGTKRKTPETEGGSDGGDAKRMKHD LY89DRAFT_663370 MVYAYGLGRVTRNELMTLEQMIGMRDAHISLRHEPGTPWAPYLQ FFHDKHGWSPNRPLIDLFGQRMRDDGAFFWMNWYPGMNDDWRPGPGYRPPVQGQVWEM DTRVIRYGQ LY89DRAFT_745612 MQSEIQEAIMRTRVEKWLSSISEAEIVPDATNAPQTFTYDLFHI DFGELEPESQASIPGIEIWDWCGKWCESVNDADDEASLSDERSTPDSDWDTSFYHSAY SHYESDDSSSDSYSGSKYSESDSKFEPTLTMTCVVL LY89DRAFT_727668 MLLLFLSVCIAAVFATACSPPNVKVTVNNGSPFQVPIFKDCNYG SPAPTTPSNAIPASATNASADYTQFVDSTGATLDKDSTGELLEFFGQAVSLQVLLSQT LCTVTDTSNDVVVIQDDDESLDGDGTALSVSNYRCIIDLN LY89DRAFT_663373 MEDTNPELEQFRQQWRAEVSARQNDTHKGLKSSKATRRPPPITS LSSSNVQLPAVNEEEDHAPQVFSGYDGSADREEHAESSKAVDQEPQSALDHYEKAVER ENQGSLGDSLNLYRKAFRMDHQVDRKYRNKHFPPSSFVSKPINPNPSNAPVTVPNPAH HSLDGPPQSIKQLIAGFASLSIESAPPAIEGTPAPPCPLVDLPEEILVHVLKEVAILD VASFVRLAQVCKRLAYLVATEEQIWKRVCLGSEVGFGAMHYQWQREVLGGPLKEDIPD LGDGEDDAHEPLPKEAITEALIVSAYSSSWHTMFRLRPRIRFNGFYISTVNYIRPGQA SASQVTWNSPVHIVTYYRYLRFFRDGTVISLLTTDEPADVVHHLTKELQELHRGGLAP HLPSITMQNALRGRWRLSHPDDNPDADLKDAEGDLFVETEGANAKYLYRMKLSLRSVG KGAKNNKLVWQGFWNYNMLTDDTGEFTLRNDKAFFWSRVKSYGNGA LY89DRAFT_679724 MSGHWDRDGRTDDFATTFAKEGSFGGQGHDRIHGDDPIPGESAT IGMSGDNDDVGVDITATQKMISAMSGSLLTSLLVTPLDVVRVRLQSQPTPSPLTSLRG SVNSLQALKDLPPNLGVTACCREVFFATNNAEYCMAAPAQSISAAECAVEETQRRTFN STFDGLKKIARNEGFTTLWRGLSPTLAMTVPGNIIYFTGYDWLRFNSKSPINRALSDN YAPLVAGASARILAAGIVSPIEMFRTRMQASRSTGGAHFAETIKGVTDMVAAHGYTSL WRGLTLTLWRDVPFSGIYWWGYESIRGALTEARERGRGRSLSSEGSKTRAKVRTRSQS RENHTATFTDSFIAGASSGAVASVLTMPFDVGKTRRQVFVDPGTQAGVERALAPEEKS MPRFLWHIFRTEGISGLFKGWIPRTLKVAPACAIMISSYEVGKRAFRSTNERAEQKRT AI LY89DRAFT_605421 MSLKRKAADAPTGDAKKPKANGSITSFFGPPKTVSTTAKVSGTG TEKIEPAPAAFKFDKEAWVKKLTAEQKELLKLEIDTLHESWLKELKDEVTSKEFLELK KFLKREQDSGQKVFPPMEDVYSWSRHTPLNTVKAVIIGQDPYHNLNQAHGLCFSVRAP TPAPPSLKNVYIALKKDYPSFNPPPKNGGLLTPWADRGVLLLNTCLTVRAHEANSHAN RGWERFTQKVIDIVAQKRMKGVVFLAWGTPAGKRVTKVDRKKHLVLQSVHPSPLSAQR GWFDCGHFKKTNEWLVQRYGEGGEIDWNLDVKAVEAGV LY89DRAFT_745616 MVKDNETVIQEFNELVNMSASELEQWLKSEDSTSSGWSKDDGSG ETVGHDSGRKIIEILKKNPKKDPEGYEQDDIDHMRKVVAYCKRHLAQEEKAKKDTDSK SYKSLKNWGHDALKA LY89DRAFT_26374 MPSFFSRLKGKDGPAKVKKGAQPAAITEPPKPRWEDAWTRKSVE PEEVQELLHGCTAELKSRALDMPFLLLPFRPTSDPSAARTFVRHFFDRSQRLYGEGLA QELRLTEPMVLCSVVKWCWSRLPGGVVGWEAYELFRTGESDSNMARDSFTTFIPLSVE SDARNKIIFDFFDLLSATAAHAKSNGMGGRKLSRYAGWWAFDHIDTGNGFEGGYKGWA HAADATSHLFFAYLRSLGPTKGVSGISTLPMSLQKLVQETEYPPERPYLLQSTTMKVV MIVDAVSPTPFSLLRRANHFQYRDDDRALQEFAEYEDPVKALTEECRRVLRSISSANQ SQVSNSKESTGLTDASWSRFEDIGFSAGFDEHDEDDESNFVKRRPQGLRTTPHSREMG MGRPTTPSWADFLSSGFVDEAKNGPAPLLLPPDKILPPIDTRGRSSQSHRPRLESNQL EPGELASITKFDLDDSFWWVWISSLAGEEYPERKAAFGRCALVETIIPGGRWLVMEEM VKGAAPVPEEGAYIAEKKSFWGRSKKNKAITRRKSTGKHALEQNPDLRPQFKTNQSGG VSKTSIGPDQHARIQAAAIQLQRRQREQEAQEHNTRRGRSDMDAISMKTSSVFTLQPV IMNEASPAMKWANKYDKDAIREAYLANTNTGRGLGEATMQTNGHAKSQDTLRPTANLE RENSYNSYDRDRELPAVPSPSGPSVPSQAYGPPSPAPFPPTPAADDEEEAHVQLSEKA AEVALPEDTHPMERKPVPAPVVMEPLPRVEQPTPEPYRPSGEQSGSSPESKKHNKLKK KEPASGGFRKMFGRNKNRQSTVPPNAPEVLNGNQGLQPGGAGLGRRFSALRKKSPSNT PPEPVVEPPRPISEDDITPVASPHQDYERSYDPSVQDSLSRVDTNDAHEARQAFSNFD QGPLEDVPAFVPEDSPRESEDDAAPPNISRVRTEDGAELTQQVSPAQDRWAQIRKNAA ERAAQRQSEEQSRGGYSQKTDGEDGETSGEETIESRVARIKARVAELTGNMETSGSPT STSSYRPARRQ LY89DRAFT_713453 MSFLERGGENIVPLLELRNKMDSSTTDKKERPRSATTMSILAPE KRRISPRFIGVLKRAVPLLVHVPPMVISVGVLTLTFRQAFWGPPGDNTNAVLNSLQFA AQLHTSLIAVSLAAILLHYVHTCLRASSGAPLGFLSSNFQLHSLTYVLSSEFRALGYR YVLIFFPLFLLGMLAGPASAITMLPRLQFWSINKLWTGTGNLDFRVFIGANETMLYPS VLTAGNSPAECAGLNASLLGECPGYGMKYWLQQDDLFPIYQGPAQINKSIEETNSFGE PFLRYMVGQTSFVNQGNQDVYLTSTLSKFLASALVGYGNVLYEIGVQAQQIFGEQTND VTIQSEDTSLLARYDLSFAGGGKTVSTRKPLVEVECAGYAPNATSLTLQHSRMVWPPF TSDPIDSADWEVQASDYSSLSSDLNSTVVNSSFIDISQFGTVAPSLGAFFATPAIFAT SSFSAPRSGNLSLFTCTIDARWMPTTAFWDSSSGTAAVFDSNPNPNAAIGVDADLSGL HPYALDTVSITPSFSSLLDVPFSDSIIDPQPTNRTILDTLGQKCLDSNTFINSTSGTR LFNQGTVMSLTSCLEVALSIYLADAMSRLQDSIPIYLVASGHLTDLASGEGFPEDVYY VQDFYAEFPGSTEMIVNQTTGQSQLAEAKYQVLGISLQDFEDDSRFLEMKFAASRWGY GYGFQESRLIFVGVVVLLLHVVLCLGFMGWVLVMGDRGRAWESIGELVVVGMKSGALG GKDAVEEEREGKKWKDRYVVEEVVIGGESSGIEGKKEMVLRKVGKREKDEDYDSFSHA QWEPR LY89DRAFT_26441 MYSLGCLTITELCQFESMIDRRNFQRAITGTEIPWEPYIEEFLR DSGWTWAPSPSLLHEFLMRIDLEGPVFYSSWYEGCHADWRPPPGSEAPAPRRQ LY89DRAFT_26448 MNPHTPRILVIGAGSQGHAYAEPITRLGLGEIVGVCEPISWKRK DFGRKYIWGISSRSPLPHEEFEDWKDLIEYEKKRRERLGAGEIKEGDAEFKGVDAVFV CVLDELHIHIVKALAPLGLHVMCEKPLATSLHDCIDIQATLTKEWEILGKKTIFGIGH VLRYSPHNILLRKLVREDKVVGDVVSVEHTEPIGWWHMAHSFVRGNWRRQDMTAPSLL TKSCHDIDFLMWLLCSPGAKKGSMPHLPSLISSTGSLKQFRKARKPRGAGKITNCLSC PIESNCLYSSKGIYVDRLLNPDDLDWPLKSIVPEIEDLYTLQGKDIAKNRLLEVLAED YTSDVPDSEVKQRSWYGRCVWECDNDVCDDQVVIITWEDDPLKSSDTLPQAGTTGDVG HLDERGAKTAIFHMIAPTEKICERRGRVYGTTGEITYDSDTISVHSFASGQTVRHPTS VAGKGHGGGDEAMAEKFCRAVQAVTSGEMTADVAQRYWLGCDIEEVVRSHLVVFAAEK ARLERVVVDWKEFTDEVAVAE LY89DRAFT_573182 MASTLRRLRGSAKNEEEIVDSARPNDVSDEKSISRADIDPVYLD DDGEEHYKLPPETAEDFVTEVIHARDDPTLNPWTFRTFFLGIGLASFAAILATIYYFK PQTVVVSTVFLAVISYVLGEAMATFIPRKTWLGRFLNPHPFNSKEHAAIIIMGSAAAN APAAIELLSVQKLFYNEEPSAAVGIFLVFASQCLGYGIAGLLRRTLVYPTKMLFPNNL PMVSLIEALHGEKSQVKKKLRVFYIGFLVLFFYEIIPEFIMPTLVGISIFCLAERDSI LFTNLFGGSNGNEGLGIMGLSFDWQYISNPSPLWYPLQTLFNSFVGYVLCVGVFTGVY YGNIWRAQDFPFLSQLLFTGASNGTNFVQYNQTAILNANGEVDPVLLAQEGLPYFAVT FALYILATNLSITATFTHICLWNWDDIKSALDFISLSNIIHLLSPWRWNWRFWTAKDS RSGIADTEDDPHFRLMMQYKDAPDWWYGIVLVICVALGFTMMYLTNSTLPWWAFFVAC GLSCICILFFGAQYAMTGYQYNTQPVIQMIGGYLHPGYPKANMFFVVFGYNSVWQGQL LLSDLKFGQYTHLSPRATFTMQIGGTIVGSIFSYILMSSITTNQRDILLSIEGSNIWS GQAPQNYNSQAIAWGGLAKDLFSAGGIYQWVNFAFLIGFTLPVPFWIAHKYFPKMRWD YWNTAIIANFIGLLYVGINSVTMPWFYVGVISQFYMRKYRPNWFIKYNYILSAAMDGG TQVLVFILSFAVFGGAGNPVTFPPYWGNNFNQGNLDYCMLNPYIGS LY89DRAFT_679731 MGGGHSRPSRPAKTHAQQEQERFARAQREARRKKQQRENQERKR EEQREKEEWLNTHTRLGYEERKWGLAGWWRLPFFIWWKERQAWNQELERRRRENGVPF GRMTAATGHRATGGNSEEMPLREHQD LY89DRAFT_26481 MSKMEVLVLGLCRTGTMSMKLALEELGYSRVYHFFEIPDNASHS QFWIEAFDKNYGNEIQSQEKSSPDWHELFQDYNAVTDVPAVCFAPELMAAFPNAKIIL TTRSPESWLQSMKSTIHALHSSRFNRVSLLLSDKETKKLFQLLNTIIKYYFRGDVPKY GLEAFEEHNALIKKLALDANRDLLEFRLGDDWEPLCRFLGKPIPEIDFPHVNDSDSFR RSFGLGWNLHSLI LY89DRAFT_713457 MRWGALITAAAFISPGVNAAGATLRFSCSQLVVERLDPLINPGL MGSPHLHQVVGGDAFNVTMDQDLPTTASCTSCTPTEDFSNYWTAVLFFKAKNGTYHRV KTMGNPLGFGTASGGMTVYYLSSGKVTAFKPGFRMTVGDPTFRTAAQESKYSSLIYTC LATASTRSGSTTGFPKAPCKVGIMVSIRFPTCWDGKNLDSPDHQSHTAYPSGASGGNP GAGDKCPSTHPVSIPQVFFETYWDTSPFNDKSMWPDNGDQPFTWSMGDATGYASHGDY VFGWKGDALQRAMDANCNSDLFSNSINCPTLKTQSLAQANTCNKPVQMKEQLDGWMPS MPGDVVVH LY89DRAFT_572010 MKCSIGLSFVGGYLAGIASASPTTASSSSTAVPTPHYRISPIDG SIIALPTKDQLAFQDREYGVLIHFEMGTYLDIDGCNNVPSLVPDLTLFDPALLNTDQW MDSITALGAKYATLVAKHNCGFTTWPSQVKFQTRDNTTISYNYTIAQSPVAGEDVVKM FTASAEKYGVGHGLYYSTVVNNFLNVQKSEVNDTSWAAGQVRITNETYDTIVVDQLTE LWSNYGSLTEIWFDGGYSATQQATYIDMLAELQPDAVIFNACDVTSGDCLTENSVRWV GTESGEAPIENWSTGITNDGGNSTSPYFSPSVCDTTLQTSDRWFYGIDQPLRSIQELI AVYHLSVGRNCLLELDISPDRSGLILASHAARYKQLGDFISSCYDSPVNAPHTQNSSS DDGGYTLTFDYATSIDRIVLMEDQTNGQVIRSYSVFAKIIDDDGVSDGTLDVPWMQVA NGTSIGHKRIEIFDEAVTVIEVRVNTTFVDTPVWRSVSVHLCDVIPANTTGWEFTP LY89DRAFT_679735 MDTEKQLEAERQAAAAGPGADGGTTTIEGQTAEHHTQNLESSSL SSKNVPNGSLDTSIEESAIPPPTSTETKNGAPASTNPEDSRTKFQTTVIMISLCMSTF LAALDITIITTALPTISEHFHSNAGYTWIGSAYLLANAASTPSWGKFSDIWGRKPIIL VAQGVFFVGSLIAALSVNIGMLIVARAIQGIGGGGLIILTNICIGDLFSMRNRGAYYG IIGMVWAFASGVGPILGGVFTEKVSWRWCFYINLPCTGSVFLVLVFFLHLHNPRTPVW EGLKAVDWAGSLTIVGGTLMLLLGLEFGGVTYPWASAKVICLIIFGIVVAGLFVLNEW KFARYPVMPLRLFKQSSNIASLGVCFCHGFVFIAGTYYLPLYFQAVLGATPLLSGVYL LPFVMALSFTSGITGVFIKKTGKYLPPIYFGLTIMTLGNGLFINLPLTEGWAKIIIYQ IVAGIGVGPLFQSPLIALQSLIPPKDIATATATFGFTRNISTAISVVIGSVVFQNEMQ KRHSSLVASLGPTLANQLGGGNAGASVGIVTALPPAQREIARRAFWESLRIMWILYVA FAALGLFISLFIKSNKLSKEHQTVKTGLAEEERARKERAELKKAGKEQVRADKEAEKA GNLVDNGDVAGAKV LY89DRAFT_26512 MSQQRTTIHALHTALKNTSKPSIAMSSHNALSAKLASQAGFNAI WASGFELSASHALPDASILPWTAHLDVVRSISSTVSIPVIADIDTGFGNAVNVAYTIP QFEQAGAAAVVIEDKTFPKDSSLRSQGRQKLVSIEEFQGKIAAAKASSEMVIIARTEA LIADLGEEEAMKRGEAYVEAGADAVLIHSKKKTPDEILSFCRKWKGAVPLVIVPTAYP SISFKEVGELGKVGLIICGNHAVRAAVSGMRGAFKSILEDGGIAGVEGSIASVEETFE LQGDEEMREIERKFLR LY89DRAFT_727685 MRFEYDCYYEDASLKRPRTRSPDPISAKVPSAVGVSSANVDADS GDIQKEEVNSGTAFAQALIAKFNNAGSDSGNLAWNLGIGAHDAGFARPPISSIISENE MLRLSRIYFSTIHELYGFLDVADFNQRVSDRWRIPDFDDHYDAVFCGVAALGSLFSGG NPCLKEVKLVQLAKDTLETSGLASRPPQTHVIARMIFRTLYLRCTSQPHAAWMSSCMT IHSIECIEDRETGESSVQEIEIRNRIFLIARIQNTWIANEYGRSKLDIRGVSYPLPNL PAGDGLADLFHLFQISGLLDPDNHSEASDFEQGIADLVEFRSPRDAITLSQCNLGLAL YRRLRIISPQIPQEISGNIISLGIAGLEAVNRLVIARQPWWHVANVPFQFVCISLAMD TPKALRSLENSIKTLEVVAQYFPKGGMEEASRIATLLVWLARKRKQEDMLLLDTILEN RSAPASSMDLSQLWEQTQPYSKQMQGSSYSNLADVANDDWDALLMVDWLALSNHEYRG EGWNNGRFEDSTSLVAPLELSFKVIVVGATVAIVAIIVVFGPVLPVLPAVAVVAFITD IAVIVIIIMVGLLITLIAILALVVFIAFITIITAIATIVITLVALLLTPLLTLFTLHL IPLISHQLPIIQIVPSIRTPTMPLTLQLTKRMTTTPPIIFPQPTFGARESGT LY89DRAFT_679739 MQSILQYRRLKKDIGNRLKHDNDAEAEKRNSGSSARTPTTSDDD SKDIVLRNGERVNLPGVRKHNHDGKDVFLVDFGGSDDQLNPKKWPHPRKYITVAIVGM TGFLVGWVSSIDSAVVQQARMEFGVSEVAESLATGLYLIAFGVGSLIAAPLSETVGRN PVYLATLSLLMIFIMASGLAPNIGAQLAFRFIAGLFGCTPLTTFGGSMADMFYPMQRT YIFPICCSLSFLGPFLAPMVGAFIGQSSHISWRWTEWVSLILAGLITGAIFLFVPETY APILLKWKAKNLRTITGDDRFMAEVELSQESFPKRVLISCRRPFRMFFTEIMVLLFTM YLVIVYIVLFGFLTGYEFIFGDVYDLSQGSTGLAFIGMNVGFLIASAIVPYIYVKYKR ASEKVDGDVAPEDRLWYAMYGAPWLPISLFWMAWTDYASVSYWSPLVASVTFGFSVQA IFISTYQYLIDSYEEYAASALVSATFMRYLAAGVMVVVSIPMYKNLGVHWSLTLLGCI SMLLTPVPYVFYKYGNVIRERQKG LY89DRAFT_634912 MLEYERQADERAREAELMAEERERMLEEMARISKEQDRIAQEEY EMRQYYEAEALKHAEEVRLHQEEVRRHQERVREHERRVRDHEASSEAFAKVIAMPAKP GRE LY89DRAFT_663391 MGGIGAVAEPLVVIALLVGGTWINRDFEPGRRRRPNDTRRISND ATYRDIEEDGEARSTSPSLLVTQEPEWRTRTLGAWGIKKEVTTPNTRRFRGYFLSRLL EKFPFLVECWYWALIYWVYQLGRAATAVWIVEGTVFAARKHALQVIAMEEKLHMFFEL PIQRFFMQNQFIMTWINRTYSFIHIPGSIAFLIWLFYYTNTRNRLDESQRGKDIGEVK GSPAGPRLYESRRRTMAFCNLLAFVIFTAWPCMPPRLLSADNTQDEAGKLARSYGFVD TVHGPGGEGSIWTDNRFTNKFAAMPSLHFGYSLLIGLTIATIPLNPSHESSSSFILPF FNRSHPSLAPKITLPSWPRMLCIGVGTFYPSLILLAIIATANHFILDAVAGSLVCGVA WWGNDVLLNLLVVEDWFLWVVRIHKPERVVVDVDEDDFMGKGVLRR LY89DRAFT_26626 MKSQKKECLHIWFPIIKFVLLFSDLPVLLETEAEPHVKRRSVLF CFFSQLLWLCTFQVVDSNDSNDPCWW LY89DRAFT_693246 MSRQLPQRRMSSIHKETKPNEKHPQFGHLPLSTSGPQDCALTGS ALLNTPYFNKGAAFPSNERKQFKLTGLLPQDVHNLDQQVKRAYQQYSSRQDALAKNTF MTSLAEQNQVLYFRLIQDHLKEMFSIIYTPTEGDAIQNYSRLFRRPEGCFLNINDIGR VHEDLAQWGGPEDIDYIVVTDGEEILGIGDQGVGGILISVAKLVLTTLCAGIHPNRTL PVVLDCGTDNEELLNDELYLGLRKPRTRGKVYDEFVDTFVKSARELYPKAYIHFEDFG LPNARRILDRYRPEIPCFNDDVQGTGCVTLAAMMAGLHVSDLKLADMRMVVFGSGTAG TGIADQVRDAIAADSGKSKEDAAKQIWCVDKPGLLLKSQGDKLTHAQVPYAREDSDWS GKEHSNLLSVIKEVKPHVLIGTSTRPKAFTEEIVKEMASHVDRPIIFPLSNPTRLHEA DPKDINQWTKGKALIATGSPFPPVKYEGKEYEVAECNNSTCFPGIGLGCVLSRSKLLT DKMLVAAVKALAAQSPALKDPDKGLLPDVVNVREISVHIARAVIKQAVEEGVATEEGI PTNDEDLEEWIREQMWDPAYRPLKLVDKQTANKHAKGQSGTKGQKGDL LY89DRAFT_776739 MVGKKKRGHPDIEELLARPWCYYCERDFDDLKILISHQKAKHFK CERCGRRLNTAGGLSVHMNQVHKETLTSVDNSLPNRQGLEVEIFGMEGIPEDVAQAHN QRIIAGFYQAEAERRAATGNPGPGAQAGGQPKKPKFESPADLKKRLAEHKARKAEQAA GGSSGGNTPGAQNSPLGQSPGSFNASPFPPPQSGYTAPQAAGSYGSFSQEQYTQPTPA YQQSYQQAPFSGPPGSSFPPQYSPAQSFQAGQSFPPGNGFQQGFQQGQFGAGSPPGSF NGYQGQPSQTPPHGGGLPSRPPSLPAAPGLPQRPSFGAPAVAPYQMQQMHQGPPQSGW QGNGWKGQDQNSAMSSAYPPHSQGYGDYSTNASSVDDLVSGAAREADDIDEIIRMAEA GIKPPKKGERVSTPVQALETTATPTPAPDIKPEAVEKTEVPDKPEQNEKKSKKEKQTK MFYSDNELSPEERMAKMPRYAFVPEGNTETALVNATTLPGVAGKVDT LY89DRAFT_693248 MKALSVFIAVASLCSSVSALGQNATVTTQSGAGSLMLAGHGQSG QILLSANDWFGVLRAAEDLAGDFGKVTGKNLTLGHWSSGASKRDAGAEKRASGWASPA VGNGTHPGGSWVDGNGPNSSVHDVVQTQGSSNNAFYVFNPTTNEINYTVGPAQNFTGP TLITMSESKAITTVIIAGTIGKSDVINSMISSEKLDVSSITGNWESFISQVIDSPVPG VDKAMVIAGSDMRGTIYGLYDVSEQIGVSPWYWWADVPPKSQDALYANGVKKVQKSPS VKYRGIFINDEQPALTNWINNNYAPGKYGPGFNHYFYSHVFELLLRLRANYFWPAQWA SMFNVDDTANQPLADAYGIVMGTSHTEPMMRATNEWNNFGTQYGGNGQWAFNSNNASI IPFFTYGTQRAKPYASNSLFTVAMRGSGDTSIGLSDAQAIVVLEATIKAQRQIFTEVF NGTNVSDIPQMWCLYKEVQGYYENEGLTVPDDVTLLWTDDNWGNIRRLPVGNETIRSG GAGVYYHIDYVGDPRDYKWINTIQLSKTVEQMQLASARQANQIWLLNVGDLKPLEIPI NHFLDLAYDTSMWGYSSVPTWLQLWATREFDSEHAPAIVSILDRYGMYSARRKYELLD PSFYSVINYNEADAILAQWKQLASDAQEVYDDLDDDHQAAYYEMILQPVLGGQAIQQI YIQAAKNAQYVEQKRSSANGVAMDVLEWFTEDHTLTQRYHDLLDGKWNHILDQTHLGY YGYWQQPMRNTAPPLSFVQNLETSLAGNLGIGVEASNATVSGDDAYHANSGDTLTLPP MDPYGPQTRWIDVFSRGLAGCQWTLASAQPYVIVSPSTGFTGGDNGTDTRAYVSIDWT KAPAAPNTTMVTINITSGCGSAWGNYPHPTVVVPVTNVAVPSNFTGFIESDKHISIEA EHTTMNTAVNGVSYMTLPSYGRTLSGVTLTPVTAPSQPVGTGPVLQYDIYTFTNVSNA NITLLLSPSLNQKGNADPLKYGIAVDNETPQVIQPIGSYTGGNMPAGWGGAVSDGVWG MSASGNTTTTRHNLSQTGKHTLKIWCVDPGIVVQKIIIDLGGVRYSYLGPPESFRAGV DQIGTYDGTNFAGVKVSDVI LY89DRAFT_713469 MTFLETSAVTAEFRRRQRERRERGGLRNRRHQRRGDDDEAKDAG DTKASSDDDDSPSQDESSKSSSQTRTSTSSLTSLSKSSQSLASASTTTSTLSQVTTTP VSKTSVALTSLRTSTSLQTTPLPVVTTPSATALPASTRSRQSSSTLSSEIATSQTTGP GISSKQSPNEAGSNKETVTILAVTGAIVGILALLALIFYIRRKFKRPKIREEEREARA YAARSIWGNSSTTSNALSHTTMEQFVPPITMGRSQNANSNGAGNDAVDRRECGPIFLV TESCIWAVAWGQG LY89DRAFT_776742 MFFLCVLRYALYILVPLSLILSSYLYLYPVFHLCAFPSPNQDAK SSYFNTILQHTPFSSEDSKSVAQFRLLALGDPQLEGDSSIDFVESTTFPHFSKFFDDA FGSKHGPLQSVRHGLHDLVDFYLDDIPKALEVYRKRLDHIGNDYYLGHIYRTLHWWTK PSHVTVLGDLVGSQWIDDEEFESRGWRFWNRVFRGGIKVAEELTSQPAENFEGAMILG EEKEEWKRRIINVAGNHDIGYAGDLDQGRMARFTRMFGKANYEMRFNLPVNTTEEDHP VPELRIIVLNDMNLDTPAGVKELQDETYNFLNSIITTSQDVTRAAHFTIVLTHIPLFK EAGVCVDGPFFDFFDGEFGNGVKEQNHLSMDASKGFLEGIFGMSGNTDVPGHGNGRHG VILNGHDHEGCNVYHYINQSSDPERVWKSTTYPSALATGITEEMLIPGLREVTVRSMM GDFGGNAGLMSLWFDEEEWDWHFEFVNCKLGTQHIWWLVHILDLITLGVGFVYGILVV LQSSPWNLIDKKVADGNSGKQNGILYEKKGNGHAGFSTDAQPVKLNGGVHQTVAGKGS LRKKKSKATMNGLSSNGQKLLRVVFLPDYKYRVQEHVRCSQKSSDPGSPGVSATFTFG TTSINAFKRQTPRAKNGDSNRKAWEQQDLAVLKNVLFNTTQTMSGLPRYPKALVPLTS EEPVVCKHENPTISRVSLYELPAEVLMIIGELLGPVSASSLALCNRTVLWKLGNRHFK RLARDHPLNYPILDSRRAVNNAVTRTRSNLERLLKMLDKESKDVIYCYYCKRLHRPEH TAASVPGYGGTSRSFVPCAYVHRYQYPYEAVHPMFSFALARMVMKLHRAGLDYLKYLQ TIPRTRIDYKETHTYLRSIEFRIAPIEGGHLILRTQHWYLVPIYRFNLYRITICPHKK DLTLLFDLRQSAKIWPHTVRYLEVAHPPTRNTDMKKYLCPACLSEYQIDSKRMSDETL AICVTVWQDFGTCRAPFDARWKRLSLANQTASRNAYITTGIMSQYFELWRPSDEYLSG PIRELFTLARPEIGLGQCHR LY89DRAFT_605468 MPLLDKLSAMRGEKTNVEASEKLPENVAPVAAAAASKEAPPSYA VTDPISESTGPSEAELNAAFASLNISSTPKEFPDADTCLAHLKLLSAFHGLKEDVGYT DGLFNLWDARCEMVENRDETLAKMREKRWALYIARAVERFEEWWLKVLVNMEPSKRLE GKEMVATNADFVSFTSRGRPQQWTAAMLPPIDVLMVWHSFMLNPRNYEEDCVRFGLKD LWATGMPWIAVNAAIDTSFHYNVPEEAKTKFTQATRRHWNNAEDSLMKMVHCPRCSQQ LDIPWTTCCQSEKTPAKEVADMNGQGFGDRDLSFFCLKCGSEVNHDLLRVTKFKKDTE NLIMRDWPLGGTILSPEIGAPVAPTTIEWNTYPYTFPNRLVGLELRAQVIELVNNGNP TMNDIKELIEGAIKDKSVVKKVNSKSVFESGVLKRQERLAIRKMMSRYWENPSIFALE LGGAVIRQSVFVDKMYQIDWLHSPAARQTMDRLLQKYSRFIHIIATYPSNTAVPTLDV DLGWHTHQLSTKSYFDYTMVKCKKYIDHDDKMDEDALSSGFEWTSKTYEKLFNEVYSE CTCWYCEAIRSKHISRSNKIFGTSKHEKVLNNFYDSGAAKLCPPDNSAHISSHNAVKV VESEARTAVYAALRRRRELELEEAYKKACKRAQAKGRPIPPRQDYYYGAWGYPYLMYG PWMSYGMMGGVYYAGDPCVMPMGAGMAGNCAAGTCSGGVGAGGCG LY89DRAFT_663397 MASLGVLRESDDFELSLALQNNSALQRALQEGGKTDETRQSLIV LACRTIQSCLGPGVKLDPDPSLANTNWSQSCWLTPKCIVYPRSAKDVSRTIKILGLLH TPFSVRCGGLSPTPEHSSIADGVLIDLRELGGIEISADGRIASVGPGARWSDVFEALD PHGLTVVGPRLPNVGIGGFILGGGLSFFSGEYGLAADKVENFEIVLSDGLIVDANSAE HPDLFWALKGGGSNFGIVTRFDLSTVPIRDIWYSMSTYAITEVPEVLLAFEQWQLRSA DPKSAIFLRMGLDSCTITLVYSSPAKRPPCFNVFYDIDVMEYLILPTNGTVVSLTTAL AELFPHNPARHDHRAASRKNDLGLYSAVEAYWVWRKETLALRDSTRADATFMIQHVPK TLIEKGIEDGSNPLGLTAVPQQWWAIVVDWDSAKDDNLVRESLRDITKRWTDLAKEQG THLDFEFMNNASGDQNPLRSYGETNLQRLRDISMKYDKAQVFQLLQKGGFLLSRA LY89DRAFT_727697 MVAAPPKTILETFEKFELLPVELKTEIWGYVSSFHRRRLLQVVY DTDNYSWQIRSDSLCPDPISQVNQEARKKYTAFLDVAILPHRDIIFVSDPKFNLRPLQ NAFFTTDNIQLLQHVSLAADVWQGMRHTNHEFPAISLSLAGVLRKFTALTDFYLAVSE EDESEIETASNGYEGEWIDDEDFTWDDRLRELSEAGQLDPTEIAELRLREERRANEPQ QDGFLSTIYTLPPLERHENGDLTRTGRADKHLRSLEEEIYIEMTEKPHSTKQGILRLV PIYADSFIAEHAPYFRKDVQLVFRREQATHPDWEPPAIHIRYLEEGEMLSDDEEDVDN WDEIENDEEDEVGQLIDFGAS LY89DRAFT_27655 MSTSSDNDMRPKVILITGCSYGGIGEALTMQLYRMGHRVFATMR SLSESAVFMPHQTDANVPSLTWLPLDVTDEESIKKCVANLTSMNGGRLDILINNAGIG YSAPLLDADMAQAKKVFDVNYFGVLAVTQAFAPMLIESKGRVVNISSIAEFSPVPWLG VYNSSKAALGMFSDTLRLELQPFGVKVINVLTGGIKSNMNDNNVKAAIGPESFYYPNK TEIERIIRGEVSLKHQTSRELFAAKVAKHILSKNPSAQLLAGEKSTSMWLLSKVGGTI WGLFLPRMFGLKNLKPNVEETMARRDEKEELVRAAAGEPFVPLPHIDPDSSLSWSSEP DSTM LY89DRAFT_727699 MLFSNKSLITFSTLLTAVFADYNGTPDGHKHQRWNETETTTVET HVKLVTVVISKAVATTCVTTGTESESGSVSTIPASSLTQSGSLSVISPSSVSTYSGPG SSYSLSESGTVSSSISTGPSSISVSSSTTYTWGNYSSVSTSSVSSSKPYEVSSSATSS SKVTGSVSSVSSSSSTLSESLSSVLSSSSSSSSPLSSSSATSKVSQTSKSTVGTSSAS KSSLTSSQSSSLSYSKSVSSFVSKSSTTASESSSSMSSSSQTSSSIVSKSSSQSSSTL SISSKISSSSSKSSSSSLTASSSVGKTSSSSSSSVTSSSKTSSSSSSSLTSSSKSSSS SLSSSSKSSSVTSTSKSSSSSSSKSSSVSSTSSTLSSSSSSSKTSSISSSTSSSSITS SKSSTSSKSSSTSSSSTSSATPSPTTCSQYWMESIKHQGIAPFNAAPGSYQVFRNVKD FGAVGDGVTDDTVAINNAISSGNRCAPGSCGSSTTTPAIVYFPNGTYLVSNPGIIDYY YTQIIGNPIPGCMPTIKAASTFSTRWLIDGDQYQAGGAFGYGPTNVFWRQIRNFIIDI TAIPATFQVAAIHWPTAQATSLQNIVFQMSQASGNQHQGLFIESGSGGFMTDLIFNGG AQGLFLANQQFTMRNLTFNNAATAIMQGFDWSWTYKGISINNCQVGIDMTSASGGNQS VGAIVVIDSDITNTPVGVSTIHTLTNNAPATGGSLILENVRLKNVPTAVQGTGNSVLL AGTRGSTTIAAWGQGHKYGASGPVNFQGPFTPTARVPGLLSGSNYYSRSKPQYANLPV SAFLSVRSAGAAGDGKTDDSAALQAVLYKAVSSGQVVYFDSGDYLVTTTIYFPPGVKV TGEAYPVILSSGSYFADITNTKPVVQVGKAGDTGTIEWSDMIVSTQGAQAGAILIEWN LASTAAGMWDVHTRIGGFAGSNLQLAECPVTNTTTITAANVPKQCIAAFMSMHVTSSA TGLYMENCWLWTADHDVEDPKETQITIYSGRGLLIESAEGLNWLYGTAVEHHSLYQYS LANTADLFMGFIQTETAYYQPNPDATVPFPTNAAYSDPTYVAGQSGWGLHVSQSHNVT VYGQGLYSFFSNNNVDCSNQGNGETCQTKIFSVDTYSAASIAVYGYSTVGTTNMITLG GADIAVYSDNLDGFVDTIALFREY LY89DRAFT_776748 MSLIDDEPSSVIQSKGPAILLPAEAIGNVVTKTNILISSHRDFL TNLPQGDVDITTPAQSLITPPPSLNPRYASPLPQIIGVLPECSSAVSSASSVGAASVA SLSSSLISVSSTFSQSIASLNAVVQSANSASSSANSALLSVRISASSAISSANSTAAS AIAGSTRATSALSSISSSASSAIAAAQSSASQSVLSANNALSSIQSSANAQAISLNSA VASANALASDAKATATFAIAQAQASISSLSQQASATVAASRLAAMNTTKFALAITFAI LGSSIISILAYYLISRYRQRRREARQLALKEKIMKVRHNSDESEGAGPSLSDFPMPVG RNTWSRGKSMDERRTWTRDGPDARSTVSTEMPIQGARKTWAPNVPRTPGRASAEFPFA QGGDRARTWGGKDESRTVTANDMDEDGNTAIMSPARPGMIRKNTLTYDPEHPERPPKF TTWLEDSFRAVSPFPRIGEVNAAQPEVKRTTMRRSEAPGGGVGMAI LY89DRAFT_727701 MFHNAETKPPVKQRVFTNLGAGCGLLLALLVALSSPAWYPLLAL YNFLSPDFEESLIKGYKRPVIGLKLCWRCKALKYRLWEKTRHHPNYSRLRSSAASGCW LCQAIDYQWGVALQDHNQPPVLTDPSELRMGKTPHLLLWFVDHTITASGYLDDLRGSD YYQYIYGPQPRALQEDPSSRPTFDLIDGWLSLCNRSHHCYQGEHSFPTRVVDVSGSSP RLVIGDGIDAPYITLSHCWGNVQPLITTRETLDDRLEEITFQSLPELFQDAVFITRQL GIRYLWIDSLCIVQDCEDDWAREASKMGNVYRHAYLTIFALDAPNSHHRILSCRPRAA EKDISVEEVELLAVGDKHAIFKQSPLCHRAWALQERLLSPRVLFYSKTEIFWECLACT AREGSKRIKAYRPSEYSYTSYECPDVKNCLIIPRGDSPSMPLSPPSDWYIIVVEYTRC YLTKPTDKLPALSGLAAIFQANTGYSYMAGLWQEDFRDGLLWYVDYTQNQVRHTGTEN PGPSWSWVSSCRPVLYVTVTGSRSSARYAPHRDPKLVGSISTQKKTSNPMGEVLYDSV TVEADFEILELLNDGLGISICDSTGTKVTLFLDDCNAVHQPGTQCLGLFLTTRDVHSP NYGRDNDVGSRFSGISWTYFLVIVQDPKRSGCWNRIGLARCSESRRLFLQDTERMAFE LV LY89DRAFT_663403 MKTSFADLIFLLFTSACAFPTGPKLSAMVANHEMRAMEPPGWGG GVPGGYDPPPKGDGPQPQPKPTISPARIITPTPTGQPGWGNGPGNDKPPTYSPPPSPT GWGDEPGNGKQPQFSPPPTPTGDQPGRGDGPEHQKPSKHSDHPSPTKWPVNGKPPTYS PPPHGDSGKDWPGSGNKTGHFPPGHGKNRTHHGNNDGWKSKAGWWA LY89DRAFT_27709 MNANWSFTIGVVLNSNLVWFTTRHWIYRSHAWKQTHATLASKVF FWSLYHLPTSHFHPRLAPFRARSLRSTWLS LY89DRAFT_727703 MAQNSLTLPATLVYEGREYSRSGIKPFILTIELVEVVPFDEDDT SEDLPEPAGYGPQQVVFGGVLYFLESTPPHEIEDSVLRTVEKDVALQQAQVLQPQPQP LQAAPPPRLANQHFPANRGFSTGPTNRAMPARARVTTAKKLPYLFDIPASQQAGIQVI TPSQSNPVVRIVNGKRMRARWTNEHTQYLDELIWLGMLLTNRRLEVRDFQAVTEALHR RFRGTPDYTIRGWNTVHSYATRRPTYPKFVNHVLSLP LY89DRAFT_713478 MADANFSTLEAVNPGYEPFRQGKPLPTDSGKQVVTEEAGKYVVP VVPYSDGIETTPVQKYPTEVQYHEPPIYDKQPNGRNRKWLWIGGAICLIVVLAAVLGG VLGSRKSSKSSTSTPSSTPSSNATLQHQIAAVAFPSNDINNTRVYYQANDGTLVEAAN SASNTTWGFTSIGHPEKLDSSLAAAVSRPGFPLEISVYYTDNNYLLQDIIYNSTTNEW NSGTISGSNYITHPNSSLSATYNQCRRCANTTIVAYQDINGFVQVANLTSSGWTLTQL KFDAYTGTGLALQPFYRAGSQDQINLYHQTSDLNITLGSWDPTLSNTGVDGWSDTEQV YNVGVAGTPLAAASSYTNVTSGFESWIQMLQLSTTGIEVNTWSGNINDWLDQYNHPSP MANSSVNVKEYDSIAVTAIGSAFAVVKSSGNDSIQSWQVSDDLLDWTSTGTVAVWA LY89DRAFT_572905 MSKCIKYHLYSPPNQSKHSDQKLAPTRYGVVIFPGFEVLDVFGP LEAFNALSLSYQLNLTLIAKTLDPVTSKPQSAAMNLFNSTFGESIVPTHTFDTAPDLD VLLVPGGLGTRAPSAQLESLIAFIKERFPKLKYLITVCTGAGLAARAGVLDGKHATTN KKAWVSTTAWGPKVRWVAQARWVQDGNVFTSSGVSAGIDVTMAFIDTVYGNTTATSVA NYMEYERHPNSTDDPFAPLYGLTDANNSTNQK LY89DRAFT_679752 MAANIPAKLKAADLTRFIVRASQLEAVKPVVAYWCEYWIVNQIL AKGLHNGDPETLQYTTTLMDKLELIKAENATNDAIVDDAAGQAYVEHFGLETFFRADR AVKANVVTKQTADTFQAAATFLELVNIWGTPDAETQSKIKYAKWNAVRIVRAIREGKD PNESNPKPDPVQEEVLPELDPNDPEVQQLEGPSKPQPASVEDVPDEQDKVEARIARQS SIDQSLHPSAEVSARGSPGNFEPYPRDGFPYTAVQDDNVSPLEPSPNERTGSVGGGYF PEVPTFTSQNQEPTLPTAPPDVLNLPQQPSAPPGFRAPEDFESFPPPTLPDDPPMPPQ DFYRQSPPAQQPHVPPPQVTAYTPPVQQSPYQPPHQQPPPQFQPPPQQYQPPPQQYQP PPQRHIPAPAPAQAPAPIRKDQLITDDMSIAKAQKHARWAISALNFEDAETAVKELRA ALQTLGAS LY89DRAFT_605486 MVYTTAIVAALLAALPVNAGLYPKSSKVVQVDAKSYDRLIAQSN YTSIVEFYAPWCGHCKNLQPAYEKAAINLLGLAKVAAFDCDDESNKAFCGGMGVKGFP TLKIVKPGKTPGKPIVEDYNGERTAKGIVDAVIDKIPNHVKRVEDKNLEAWLEEANET AKAILFTDKGKTSALLKSIAIDFKGSISVAQIRNTDKEKASLELFGVSKFPTLILLPG GKEAEGIVYDGEMKKDPMVAFLSQAASPNPDPAPAKVKLPKSKDSKKSKAKAKESFEE ASKTHASEEGKTNKATATDETLVEEATESPSPEVETEKPVDLPVPAPAIGILETETEL EAECLGSRTGTCILALLPSTPDEIAATAVGSLSEIAHRHKQHQRKLFPFYVLPEKNTG YKQIKDALALSDMAIIAVNGRRGWWRTIPVTGDKISDKDVTEEAIENWVDSIRLGEGA KQKLPEGLIPEEPEETTTEEESTSSKTPAPSEEPIVVEEVKVEEKTEQKPIDDHDEL LY89DRAFT_634949 MPLDTSTYSLALLRLDGRRWNELRRLNAQMRTQAAADGSSYLEM GNTKVICTVTGPAEPKGGRQGGSGEKADIQVSISIAGFSGVDRKKTGRNDKRVSEMQN TITEAFSQSLFTNLYPHSTISLSIHVLSQDGALLSACINASTLALIDAGIPMRDYICA CTAGSTSSYGSNDEQADPLLDLNNVEEQELPFLTVATLGASDAVSVLVMETRVQGGRL EGMLAVGVDGCKQIREILDQVVKERGKKILDGSQL LY89DRAFT_727709 MAFSITYLLAITFAFVSLSLTASIPGLKATTDPAIFSGYGSNYT SGKMTFNGTIHGVDFEMNGTAQEIYARFTASYPEIVALHPPEKQNDTYAAFDNNPVNL DKRSKMLPPLCIPVAGQNWQWTLYSPIDAGIKYLKNLQGGCAVGARSCVRISCSYNGA IYLCNDNNYAIAPSCYYLATYAEDISRDCWIRWHWKAYVGGQEFDTDNYNVVVRLDVD GKQTREAE LY89DRAFT_679754 MALLGQTWTLTRKNVLITLNRHAFATSLRAWFLPIGFMIFLSYA RNLFVPPSNYGIGSPHQIRTLEEGLAASGGGRDTMAFVNSGFAGGDIDRVINTLAESA NGKKVVRLSDESQLLDTCRSSLRGSTPCYGAIVFISSPTEGSGGLWNYTLRADGSFGT KIDVNKDTNDAEIYLLPLQRAVDYAIAGLNTTIDEAAIPTSVLEYPYTSITQAQRNTN IRVNYMGAIINILGVAFLIGMVGICYHLTGFIASERELGMSQLIEAMMPNLRRWQPQV ARILSYHLAFDMIYAPGWIIMGVVLGVGVFAATSMAIVIIYHLLAGLSLASFSILGAS FFKKAQLSGITLALVTLLLGVVSQVIKIGDSGTVAVLSLLFVPCNYVFFIIFMARYER QKLATDLIRAAPDNPWRLPGIVLWVFLILQIFVYPLLGALVERYLYGTASSGRSVVGN LGVTNSSETVKLQNFTKHYQPNWFRRRFAWMTKTPKATVVAVNDLTLGARKGQICVLL GSNGSGKSTTLDAIAGLNTVTSGTITVDGTGGLGIAPQKNVLWDELTVEEHIRIFNRL KSTGQMDTKETLRSLITAIDLDRKINARAKTLSGGQKRKLQLGMMFTGGSAVCCVDEV SSGLDPLSRRKIWDILLAERGARTIILTTHFLDEADLLADQIAILSKGTLRAQGSSVE LKNRLGGGYGIHLQTGPGHENVPDIEGVTRHVTFGQTMYSATTSIQAAQIIRRLEAEN LTDYQLSGPTIEDVFLQLADEVCLEDSSTSTVDRESPVSEKSEKQVATTTTIAGLDLH TGRPIGFFRQAWVLFRKRFTILRRNYLPYTAALLVPIIAAGLVTLFLKNFHAVGCAPA DGVSVSDVEALYTQVHYDLIVGPSSSFSNATLESLYAPLLPIVPPQATIQRKLHMVGT LTDFNDYITRNFANVTPAGFFLDGAQTTLAYQGNGDIYTAVFGQNIMDVMLTNISIGT QYASFDIPWAPGTGKALQLVVYFGLAMAAYPGFFSLYPTVERTRNIRGLEYSNGVRIL PLWLAYLSFDFLIVVVSSALCTAIFAAASSAWYHVGYLFLIFMLFGMASILLSYVVSL FAKTQLSAYAFAAAGQAVMFLIYLIGYLCTLTYAPVNKIDSYLIIVHFTISLVTPMGS LIRSLFLALNIFSTTCSGKEIAPYPGGILQYGGPILYLIIQVFVLFAILLWYDSGSVL AWYRRIRKIAPINEQALVVDEEVAEELLRVTSSNDGLRVLHLTKIFGHVLAVDNLTFG IKRGEVFALLGPNGAGKSTTISLIRGDIQPSKNGGSIFVENIDISRHRAQARSHLGVC PQYDAMDQMTVVEHLRFYARVRGVSDIEHNVREVIKAVGLQAFSSRMAAKLSGGNKRK LSLGIALIGNPTVLLLDEPSSGMDAAAKRVMWKTLAAIVPGRSLLLTTHSMEEADALA DRAGIMAKRMLALGTSDYLRKKHGNAYYVHLVMKSAPHTTETEIQAIRSWVLKEFPGA VIEDKTYHGQIRFSVPAQEDENKVKVIEVTEDVITGGKEIKGPDGVGSLIMILEDYRE KLGLEHYSVSPTTLDQVFLSIVQKHDVEEEGYSRPKGDNHWLKDFRNFMKR LY89DRAFT_548228 PKRGLAYKSAKYVQNFQAPGSQVSWAYNWASDMDPTYPSYLEFV PMLWGNSTGHTSSWVSNVNKALARGSSHLLAFNEPDNCGGGACMTPQSAVDAYRTYMN PFAGKAYLGAPAVSNGPTGLPWLTQFLELCTGCHIDFVPIHWYDKATNEAYFKSYIGQ AVAVAESLRLSITEFNGAGTEAQQEAFLTTVLPWLDSQTYILQYAWFWCDPTSKMGAL VDSSANPTKLGNVYAYTGF LY89DRAFT_572704 QMAPNNTTSNSPLLDLPLELQYEIISHLPADFPGKFNLRRTCRF FYNLVPKPTHPELLVIERQPYCKIRNLLACKLCLRLRQRSNFGDLMLHQYPAWGKTCP EDRFCVDCGVKKVDHWPPRYTKGSVVKVMGKTHVVCLKCGKIGVCASTCKPTVKGHCV GCFTFGNVSTAIVSISSQFANG LY89DRAFT_703638 MASIIYQALNTTRDTANMITNHPYYPLEVEIASYLANEWSVPML LSMFAGLCALVLFGTVCVVDKVHKNLPAREKAAIWWFVLSGAIHLFFEGYFSLNHTRM GPAQDLFGQLWKEYALSDSRYLTSDPFVLCMETVTAFTWGPLCFVVASFITTSHPLRH PLQIIVCVGQIYGLILYYATSMFDHFYMGVTYSRPEFLYFWVYYFFMNFIWMVFPGML LVSSVRRIAKAFRALDNLEATSKGANGKATNGKANGHSKKDS LY89DRAFT_713486 MRTGSDKLSKSSKRDTAALEVQMKDLVSAITYSTRCGITASPFS RVAMHQSFHSVLAAILTVGTQFATSSPMHCPDNPACSTEPRALYLMSNNANNSILAVN VAANGMLSGGTSIGTGGSGGNLISTMTGKPSQPDALSVQDSVVVAGQYLFNVNAGSGT VSMFLINPYDPTNLALVGEPKSSNGNFPTSLAVSLELSTVCVANTGSPAGVACATFNT YQLGEFDDLRMLDVGQSDPPTGPTPGVGDILFDATSSSLVVMVKGNGTEFTGYAAVYP VDVTGTVSSTCSKTTPNGTMALFGATLMPYTKSKIYTSDAGFGAVVLDLNNLTIPLSK TVVPGQIASCWAKISPYGTGFITDVAVNRLIETDLYSGAILSQYYPPNENVGMSDFQI AGTSIWTLSANNGSVPAVVTVFDISGGAGSAQMTQVYEVTGAGPNSMGLVIY LY89DRAFT_727715 MGDPFVYLAEWQVLLCTSCGYCLRPGRDVWVRHLRQAPHLLRGA SLKALVELFASYVLRAAEAEPGQLPTEVISGLRLLDGFQCLTCSAYLTRDYKAMQRHV SKAHQQKLALHEKSPLWRDGATGAIDASTKSLDSGEADFFKQLDKDAVTTEEDAKAEA NIVHGFDSHRSAVVPWLRRTGIEEHTRGLKKDEMHASFLAPRNADDEPELFLMLEVMD EIFTEAHS LY89DRAFT_634964 MLSVKPYTKTWKEPGNYNSCLSSVIWVVQLIIFHASACLEKAEL GDTLERIEQYCGQFLKQDTETPMGEILGWRLLLFTVSKEVVGSHQAQWDVDEKVLTYK DVDLHIDHVPRLLLSEFQQAQHLLYDELMFGAPNLPRMRAWALKDNLDADAFGWFFG LY89DRAFT_571753 WRLAAQERAVTTVISWTKQVVVIIATGEGKSLLFMLPCILPDAR VTILVLPLVSLRGDLLRRVRELGIDHLV LY89DRAFT_573636 MARTKQTARKSTGGKAPRKQLASKAARKSAPSTGGVKKPHRYKP GTVALREIRRYQKSTELLIRKLPFQRLVREIAQDFKSDLRFQSSAIGALQESVEAYLV SLFEDTNLCAIHAKRVTIQSKDIQLARRLRGERS LY89DRAFT_161104 MGTSGMENGSRAQTNGVLAVTASQTSGTSSRSAGVKSSNPKLKV IIRRLAPSLTETEFTTILGEQWRPGKGQIDWFLYKPGKDSKDPSKPSRPSRAYMHLTN EGYLHALYETVQEAVFEDAHTTFTSPCLIGPPSVEFAPYGRTPGGRRRIDARAGTIDQ DPEFMAFLEGLANPTSTKEVSADALTDNTSGKQDKVTTTPLVQFLKDKKANKNKDAAV KLAKKQETQLKNKSSKDSPSSSVEDGKKKSKDVKVDKSLEKAAKEAVRILNREAAAKS GVSELTQSSEATTSQASTPPKLDVTKVPGRQRSAVVAAHIRMLQRDLGLTPAQAHRQV RRDTADAQKAERAAAAAEKAASETKESSASQPQAMASSSRPPSTQSRKVRGKAIATTE PQLNKSSTTSSAAPPAPVVLLRKPDTQQSSQVTLPSTHPPKPTPSVPASVARKSQTAV AVPSSGATQAFVKHANPSQGVTEALLKEAMEKYGGVSMVEIDKRKGFAYVDFVDTDGL KNAMAANPISVAQGTVQVMQRKGTALPPEKKPPHQAPQALSRGGSRGGRGGTIRRGGR GGLGGRGGGSAANTSETKAPSAAPTGPAVK LY89DRAFT_161032 MPAPNVPKPGPAKLSKNAGLEEWLEEAKQCHYLPEAIMKQLCEM VKECLMEESNIQPVSTPVTICGDIHGQFYDLLELFRIAGGMPGETNVQKPTTQTTIIS SDDIEPPTEITNPKLKKKIRSSDSGIESASGEDDDEEEERGRPRTAGASSRGGSAGGD SAIGVNEISGSGNQNFIFLGDFVDRGYFSLETFTLLMCLKAMYPDKITLVRGNHESRQ ITQVYGFYEECQQKYGNASVWKSCCQVFDFLVLAAIVDGEVLCVHGGLSPEIRTVDQI RVVARAQEIPHEGAFCDLVWSDPEDVETWAVSPRGAGWLFGDKVATEFNHVNGLKLIA RAHQLVNEGYKYHFSNKSVVTVWSAPNYCYRCGNVASIMNVGEDLNPKFSIFSAVPED QRAVPAGRRGAGEYFL LY89DRAFT_727721 MSSKRVHYERGTKGGSHSQRSSRDSGIGSASDRASLGTGVDDTA FSYQETQNQRRNIDALQEALDAAYATIKQLESSNKKLSDSLADSNKENRQLKKEKGGL LDKVEELLVDLKEERKANDRLRRETSPRSGTRTTPPRVEAPRHRSDTSSSGRRASVVY DMPPLAPQPPPNPFAPLNTRLPPVTFTQSPSVTYAPTTMSYTAPVYAPISPPSVRSHY SSSSSSSSHTKNDGKYHLQPL LY89DRAFT_161057 MSRTYIERDSRGRERLVLSRTGSYRRSSSQGRIPLRDLLDEAEV REEALTAEVRSLQLQLSESKRSEWHLQNLRIEHQKVVNEHYGCRHMQAQLEAQGREVR KVEALLAQEEDRNDKLMNKNERLEEKIRLMKRGSREGEGLREGYEQKVLEVEVLRQRL VERDVEIRDAAVRLRLAETRLVDKNETIIYLKDYLRSKGFRVD LY89DRAFT_634978 MPSFDPASLSSLSGKVYLVTGGNAGIGYETILHLARKDAKVYMG CRSSTKGNAAIASIHGLVPHADVQLLILDHMDLTSVVSAANELKTKENRLHGLVNNAG IMAVPFETSKDGYESQWQTNYLAHFLLTHHLLPLMLATAKVSTPGDVRIVNVTSGGHA TFAPRAGIDFEDTNQTRGGVWSRYGQSKLANVLHAKELNRFYGPDGTKKSEGQIWTAA VHPGHIYTDLSKNAQFAGPLSKPVAATLNCLGVFIPADKGSFTSVFCAASQDMKADMS GEYFVPLGKVGKPSKHANNPDMAEKLWQWTEAEFSKKNFM LY89DRAFT_776768 MSRSNSTADYSMKYESPYEVDNPQSLPKPRKRRNSNQESPEKRQ RIDDDDGRPLVTPEFAALVSQTAISVGGSSAQFLAANHGTRADMANLGQNGAVEDAVA YDPYYNMRILSLPILESLSVQILSTLTEGSYYTTVNGIADQTSEFYQAHATLVSLFDQ TKKIYSTDATFLSAQKLGIADPEHKNLIHMTNVATYVASVFGGTLGLGDLDENFLETF APEGAVLDDEPSKLLLNLKTQMYLSSVSQEEQEDAKEDLLERYLSPGALRTTLADRHL HIPLSESEVRFLDECKERVDYLTRSANNIETTQRLMTEFPWEGFLIQLREYIHKEPRT EPYVIRHALPKPSTPSQTPNGYQADQSGNHFITDDFTAQINSAAQTALSRIGVNNQGP LDNGQAQPQNNGYSVHTNAHTHQPESTKALYDKARQAAAAKSNPGNARIRPGMPSQRR PWSTAEENALMAGLDQVKGPHWSQILGLYGSEGSINNVLKDRNQVQLKDKARNIKLFF LKSDFEVPSYLQQVTGELKTRAPTQAARREAEERARLASDDERARLDGIGILAGGMQN QDKPDSQTSSPSRSMSLPEDGPEEFQVVEPENEQSSMPTSQFEPDEQLRQALLGVTQT ILAGSS LY89DRAFT_634981 MAPVALSTVLATLFAIAVAQTEQITWSAITFTYHGEKVPDLYPS PYYLTPYGANQLLNAGQIVRDRHISPPENGSLITGAAVINGISTAAIDNTQLYILGTD DTVISQSMQAFMQGLYPPREAPSFDQYDVMADGTLQQYPLDGYQYPVMSAVSELDFNY IYVAGNTGCTTNDILTVETLDSDPFIQEVENTESFYTALNSSIFSGLPIDILNYGNAW DLYEYALFEYTHNGTIANSSEFTTTDLELLYSLASAQQWTFNTPSEDATIQAMAGRTY ASKVLEQFSHNIASSGSTDKLSLLFGSFEPFLGFFALSNLATGPSAGRFNSLPLHGST MTFELFSFATPSDGNLSTPFPDVGDLRVRFLYRNGTGDSDTLIEYALFGRGNSEADMS WTDFVQGMGEFSLNDLVDWCTECNTASLFCEALEDSQSTNSSSSSTANSSGSSRISPT IGGVIGAAVTVALMIIVAAALLLFGFRLEHRGNGAKGGDLGVLKRSGSGNGGFKGAER LASDTDLRLKSGAGATVVRHERVGSWELNESPTDRKHASLDKEIESGGITSTADYGRH SEDGLEHVNPVKPLDQV LY89DRAFT_161533 MTSITLSTPSTGKYTQPTGLFINNEWVKGVDGKTFETINPSTEE VICSVHEATEKDVDIAVAAARKAFEGSWRKETPENRGKLLLNLSNLIEKNIDLLAAVE SLDNGKAFAMAKGDVGMVAGCLRYYGGWADKIEGKVIDTNSDTFAYTRQEPIGVCGQI IPWNFPLLMWAWKIGPAVATGNTIVLKTAEQTPLSALVAANFIKEAGFPPGVINIISG FGKVAGAAISSHMDIDKVAFTGSTVVGRQIMKAAAGSNLKKVTLELGGKSPNIIFNDA DIENAISWVNFGIFFNHGQCCCAGSRVYVQSGIYDKFIQRFKERATANKVGDPFAADT FQGPQVSQLQYDRIMGYIQEGKKEGATVVTGGERHGDKGYFIQPTIFSNVTEDMKIMQ EEIFGPVCSISKFETEEEVIKVGNSTTYGLAAAVHTSNLNTAIRVSNNLRAGTVWVNQ YNMLHHQIPFGGYKESGIGRELGEAALANYTQTKSVSIRLGDALFG LY89DRAFT_679768 MPWNTEKSMLSPNSLGADLSCSDLEYMIQQYIYQAGHAILTSLP SFHRVPIPRMPSRTTIDTPNGKIPIVKPLSAFTRLSPSVGFYEPPKSLVRSGLTDDSS PTIILLCSWMNAKPKNVDYYTRNYMSLYPTARIIHVTINTTQFIFQSEAQRRKDMMIA VSTLLARDEKSERIFVHCISNGGGKRGYNIAGAYRSVTGKALPAQAMIFDSGPGLPRF KRDVYSLMVPTQKMNWLPWLFYATVSVATCSMMFVSVYWTPLWFWYDLVWGPTYGCSD TTLVDEISMRGYIYSKEDLAIDWRDVEAHAAAAEEKGYKVSKKLIHGAHHAQLFKGKD GEEDYWGFVKEIWAKGTRATE LY89DRAFT_161570 MAAAASTFNPGSSIAPLPTPFWFSSGTGGSAPASCQATIIDPAE SSDTYCCNGLLIDITQPILGSLSAQQHPFYFDNLRCCSADPDVALGSVTSCSTGSAAM LATSVAVVTSSNSSGVQIGMASTSTSTSTILSTSDVTTTATAASSSTSGSGGRKLRAG KTIYLFTILSLVLALVP LY89DRAFT_703647 MQELISNVSAYVEKHMSNYDGSHDFHHILRVVGLAHRIHDEMTI PITGNDTKLPILDRDVITLSALLHDVGDRKYVKEGEDAQTMVRDVLLNFGASYELAER VQTICLGVSYSSEIKNLDFAADLISRYPELACVQDADRIDAIGATGIGRLFTYGGAKT KRSMDGSINIMDEKLFKLEDMMKTASGKILARERTRRLREFHSWWEEEMDVACPHSGP IFQRH LY89DRAFT_161635 MDNSIYYPTTQASQQMNGLGRENQESMVMVRASEVVLQKRSVSN RWADPKVEAVSQALRGRGQCEDFWTSGPYSPDSRSKSGRKPRAPGCSRILCRRLDNRA GTTLLGGVEQTGLQRGARRGVLMLYLLFRRRPTKPVVSL LY89DRAFT_605531 MPPRSGADTIRILIATDSHVGYAERDPVRKDDSWTSFDEVMQLA KTQDVDMILLAGDLFHDNAPSRKAMFQVMRSLRQNCLGDKPCELEFLSDATDVLGTAH VNYEDPDMNISIPVFSIHGNHDDPSGEGHYCSLDLLQVSGLLNYFGKVPETDKIDIKP VLLQKGQTKLALYGLSNVRDERLFRTFRDKMVKFYTPGTQKNDWFNLMAVHQNHHAHT ETSYLPENFLPDFMDLIIWGHEHECLIDPRLNPEMMFQVMQPGSSIATSLVPGEAVSK HVAIINVTGKDFTVEKHRIKSVRPFITRELVLATDPRFKALANVKNNRVRLVDKLTSV VNELIQEATDDWLSIQDPDDMPDEVPLPLVRLKVEYSAPDGGRFDLDNPQRFSNGFAS KVANVTDVVHFYKKKVGTKRDKIESHMPADDILAALNNDNIKVGKLVHQYLDAQTLKI IPQKPFGDAITDYVEKDDKFVMDAFVNDSLTTQIQEMLTMLGTEEDEDLEPFMDSIRA KQETAFAAGQWKKPKKKGKLRPKPQGWDDALGEWEDQPGAFDEDGGDDENEDEEEEDD DDGASIVSAAKKKPASKRAPAKKAPAKAKAPAKAKAPAKAPAKTTAKGRKKVAEPSDD EDDDDDVVMLDSPPPKAQPKRAAAARGRQTQINFTQSQVKTSTAKELSDDEISDDEDA FEPAPAVASKRRK LY89DRAFT_693273 MIPTRVLLARSVWKGMLLVVIGISRAPGQKVTPIRTQARSATIL PNFVGLVFQVHNGKIYNDVLITEDMVGHKLGEFSATRKRFTYKQSKNK LY89DRAFT_605539 MANAESPDPSKDLVPVNNADEEVDPLAPAPEPKLPVRKDASLKE FLAKMDDYAPIIPDAVTNYYLTKAGLPPPPQTDPRLARLLALATQKFIADIAADAYQY SRIRSSNSSNANNPMGNLGAAAGFPVPGQPSAAPGSKEQPGRGGPLGIQRPGYGGGGQ GGSQNRTVLTMEDLGMAVGEYGVNVKRGEFYR LY89DRAFT_703651 MADRRPEVQEMSRAKRPKLEESDPTENPYLVHMYEDQQVGSYSN GHSNGSSAPTGLSKFKRHATTALQAHVAEDGPNNPFNNAPLSKQYFNILRTRRDLPVH KQRQEFLDMFHSTQILVFVGETGSGKTTQIPQFVLFDDLPHFNSKLVACTQPRRVAAM SVAQRVANEMDVKLGEEVGYSIRFEDVTGPKTILKYMTDGMLLREAMHDHNLARYSCI ILDEAHERTLATDILMGLLKEVALRRPDLKIVIMSATLDAQKFQRYFNNAPLLAVPGR THPVEIFYTPEPERDYVEAALRTVLQIHATEPEGDILLFLTGEEEIEDACRKISLEAD EMIREADAGPLKVYPLYGTLPPAQQQRIFEPAPPPARPGGRPGRKVIVGTNIAETSLT IDGIVYVVDPGFSKQKVYNPRIRVESLLVSPISKASAQQRAGRAGRTRPGKCFRLYTE VAFKKELIEQTYPEILRSNLANTVLELKKLGIDDLVHFDLMDPPAPETLMRALEELNY LACLDDDGNLTTLGQLASEFPLDPALAVMLISSPEFYCSNEILSLTALLSVPQIFVRP ASAKKRADEMKALFAHPDGDHLTMLNVYHAFKGAVAQANPKQWCHEHFLSLRALQSAD NVRQQLKRIMEKSEVELLSTPFEDKDYYTNIRRALVAGFFMQVAKKDPSGKTYKTVKD DQSVLLHPSTVLGVDSDWVVYNEFVLTTKNYVRTVTSVKPEWLLDIAPTYYDLETFAK GEIKTALQRTMDKVKRRQAMKGGR LY89DRAFT_727735 MSGSGGYYKYRCKYWLTYNCPHWVWVNGAPCAHCLADGRDSDVT TMPGSFRISREVYVPQLENGSLHYTIMEIIAASDFDSGWAVKDLPTQAFPTATGPSAV NLTAMERVDAPRNPKSGFQAPADGGSWPGSGVSLE LY89DRAFT_162151 MTKKVEGHQTERRRGVESSRESDYQSLPDAPGKQESSRLHQWAL RRRDSSDRSSQPHSAPTDNSAQRSENFQKFYRAVVSPTHVRVTAGGRIVPNTRATAPP PEWNNNDNNSGFDLANQTSVPESNDLQSAPWFPHAQLNSGFAPLTPNTFAPPYSLLPQ GNLLPMPSMASYPQPSHPGFENGSALANMHADAGGNVVQPGLIKISPPAQFDQSRPFM YNGQWVFPVGAPQSNVSHPVSMLGNPGFFPSQQMSAAGFMQNSFPVPMTSMPNSMVFS TGQQSEGIPAMPPSMPMTGMVLNPEALKSHVSGMRSQLKNIEDQIFNNKGQADQLFME HQRTFLLAQINNIEAMIEYQRARNVKGTNGSGNAHGGSASISHGSLTETSTPNTQAVE LEAKQPVVVSSSMATSKKVEMNGYAPAAPGKDGTKARTEPSSKSRLTMAAALAPPFQP RPRTIADNNIQPPFIEPSPSPDYIAMLDADPRMQAEVMARLKAIAVKNGNGQTSAAND KYLTFSFGPPLPEKPFKKRAQPMQRSSTYHGPTKFAPEETFAMPPGSVPYLVGTLPYG VSATFAKAKDFIYGRPLTNEELRARFLYWGRAPRSVQSGLPKFDGKDFYPPSPVKGKV SLVAPNAEVGQVPPRVVTPVNNQNPNFDNLFASPWYPGYKTPSPPPGTLNGGFVTKGP IPAQPVFTNRSQTSEAWGTSYSDGSAGASNAVGPHAEAAYHQRTSHYNTASSAKASEQ DFSTLFLERGAPGYKSPSPIKDDIKETVIYYTKQGVDGYAPVTPQNPETPDTEGDEDK VCTPDSWKVEPENQSTPLNNAHPGLSGSPRSQVSSSTIEINLGSQANTTDPEQTYEER VDNFRHTEQQTLFLQNILKKEAPMVGSALSGTISSATAQGYLPPYRGSAAASLAPAVM NMQHTDSDGENGGSKRDSKHFSGPAQAMATSFLPENRPFNSERIPRAAPTSEAESYMR YLTTKEENDKRIIEQGWNANTTGVGPITGSDW LY89DRAFT_574444 MSSNKHANGHSPFPQKLKNFFRINSLGSNDEKKEGSMKSESKSS FRQSKFFPGSGRNRSTTVASEGNALDDGVSPTAHANPYFVHQGPPALRHHNEGSVPPS PPDTPTLKVEAVSGANDQATIASKEELARKLRRVASAPNAQGLFSKGKGSGDRPATAE LGKEPLALAQNASTSMLSLVDAKTNGGTLGIPAQDGLGALQPPNLRNVMAFRRTYSSN SIKVRNVEVGPGSFDKIKLIGKGDVGKVYLVREKKSSRLYAMKVLSKKEMIKRNKIKR ALAEQEILATSNHPFIVTLYHSFQSEDHLYLCMEYCSGGEFFRALQTRPGKCIPEDDA RFYAAEVTAALEYLHLMGFIYRDLKPENILLHQSGHIMLSDFDLSKQSDPGGKPTMIL GRNGANTNSLPTIDTKSCIANFRTNSFVGTEEYIAPEVIKGCGHTSAVDWWTLGILIY EMLFGTTPFKGKNRNATFANILRDDVPFPEHSGSPQISNLCKSLIRKLLIKDENRRLG ARAGASDVKGHPFFRTTQWALIRHMKPPMIPHQGRGIDTVNFRNVKESESVDISGSRA LPLPKGVPLDSGLATPAGEVVDPFEEFNSVTLHHDGEEHQTEDQNNGRYDN LY89DRAFT_713507 MAIPTPQKTVLLLIDIQAGFAQNPYWGTTRSNPSFEPNASLLLT TYRKTLLPSPNHKIIHVHHSSIHPTSPLHPSSPGMQPAAYALPLPSEEPIYYKSVNSA FIGTPLSALLETHFGATGGRLYVAGLTTDHCVSTSIRMAANLYAADGRDEQGKVIEGR KGEVVLIEDATAAWAKGDWDAETVHKVHVASLNGEFARVAKTGDVIGEWEALKGVT LY89DRAFT_635005 MTKSLHHTERHGSAQLEKSFSKLSMVAMTFAILNTWIALAGSIG IVMPSGSSVAFLYGFIFCVLCNLCLAASLGELASAWPTAGGQYHYAHALAKENWKKSI SFWVGWTSIAGWLTLVTTEGFFAAQFISAGAVIGSNGTYQIKQWRTFLIFLAILTFTT LSNLYGNKILAKWNDGALYWSILAVVVISITILATSDKNDASFVFTDFNNDTGWSDGT AWILGLLQSALSLIGFDAACHMTEEMPSPSRDTPRAIVYAILVGGVTGVAFILIILFC LTDIDTVLQTSTNMPITELIHQATGSRAAAVILTVMLAICFINGTNGCVTSASRLLFA MARDKGTPFSDFFSTINPRTHVPSRTIIFCFEFNLLFGLLYLGPTVAFNAYVASTTVF LNVSYAMPVLILVLRGRGILDRETKAFSLGKYGYFANCVGIIFVGVTSVFFCFPAALP TTSSNMNYVSAVLGIFVILLTGIWLSYGKQYRGPEFSIILGGGSTQPQSIEEVVVASE GKNRSEKTSTTEE LY89DRAFT_573987 MTQTVRVGAVQAEPAWLDLQGGVNKTIELIKKAGEDGVNVLGFP EVWLTGYPWAIWVNSVVENTELIHKYMANSMVKASPEMDRIRAAAKDANVFVVLGYSE RDGASLYIAQSFINTEGEIVLHRRKIKPTHVERSIWGDGQADSLTSVVDTPYGKVGAL NCWEHLQPLLRYYEYCQGVQIHVAAWPPMFDLPDPKKLKWPFHETNNANQLCSQFLAI EGQAFVLVATQVLTEGNLEKLNLIDSGVCQTPGGGFTMIYGPDGKPLCEPWPPGKEGI MQADIDLRDIDYAKGMIDTVGHYSRPDLLSLNVNPIAAKPFHLK LY89DRAFT_162264 MLAVKRVEKSPKGCWTCKRRKIGCDRSLPACSNCIRTQRACEGY GIKLSWPDENSDGRRANPIKDSCSSEHFFSSFPAGQIYFLNTADEDLTQTVKKPPPIA ITRWQSSDPSRISFHPPTSLPFGYENVTNNQESLVLAYFTDVLSRMVTTIDDARNGFR TILLPMALSETNHATSGLRQAMFAISAYHLWGHDTAVKYKLSAIRHLSKSFQDGEDAI LPQFATSMMLCIGDVFDSVDGSWTKHLNAAKAICERIPRHGNHGDDVFFLQTLLEYHD VLKGFSTGRYPDFAVQSTVAGGTLAMPRIGKESTTIIGALGCSRELMNIIALITHLHS LVPLKPELMTLATLIQARLETLTQSPLFVPDTNAGIQDTTRVMSTAELYRLASLIYLH TTVLPLPRSSPQLQSLVSQSLALLETFAVCTSPWPLFVTAIEVNNDVDRVRVLCVLET MRRIRRIGNVDVLQKVVEVVWKQVDLKADDIGNGNEARLDWREFFDMRDRLPSFI LY89DRAFT_776784 MPEPLQSAWNRLQSREEPGLATRDNGQTITTSDGLFLFPLRIGS PQQMLNLIFDTGSGDFWVWSWLMPDTQTSGRNYYNGSNSSTATRWQGQSFGVDYSAGS LHGLVWQDAVWVDNIGVGGNPIECAQDVAPFFLNLEGADGVLGLSNAFNDSESPAPQQ TWLTWVLPRLPASVFTVALSPKSMGTIDFGFIDSSKYIGTIAYTPVTIIPGTTGGFWA FNWTGFAIGDQKFNYTNIQVMVDTGGNISQLPQSILKKFFAQVKGAYQQSDGGWNFPC ASAVPNLTFGVGNSRIVISGKNFIFNPLADGINCYSAVQGTSDGSYVYMNVPFLESIF VVHDYGAMRMGFANRTWT LY89DRAFT_605552 MGSAIPPNPSRIAILGKENIIVDFDLWRNFVADDLLSNIPSSTY VLITDTNLYPLYVPTFEQSFNALASKLATKPRLLTYTIPPGESSKGRETKAEIEDWML SKQCTRDTVIVACGGGVIGDMIGYVAATFMRGVRFVQVPTTLLAACDSSIGGKTAIDT PLGKNLIGAFWQPERIYIDLRFFETLPVREFINGMAEVVKTAAIWDEEEFAALEDNAS LIMATIRAKPKDSSTRLDPIRDILKRIVLGSAKVKAHVVSADEREGGLRNLLNFGHSI GHAYEAILTPQVLHGEAVAIGMVKEAELARHLGVLKPGAVARLVKCISSYGLPTSLQD RNIQKLTAGKLCPVDTLLEKMGVDKKNDGKKKKIVLLSAIGKTFEPKASVVEDRAIRV VLSDSIIVSPGVPKSLKVEVAPPGSKSVSNRALVLAALGTGPCRIKNLLHSDDTEFML TAIGKLGGATYSWEDAGEVLVVQGKGGDLRASPSELYIGNAGTASRFLTTVVSLCKPS SVSSTILTGNARMKVRPIGPLVDSLRANGVAIKYLEKEHSLPLDVPASGGFIGGEIKL AATVSSQYVSSLLMCAPYAKRPVTLRLVGGKPISQPYIDMTTAMMASFGIQVVRSETE EHTYHIPQGIYQNPPEYTVESDASSATYPLAVAAISGTTCTIPNIGSKSLQGDARFAI EVLRPMGCTVVQSDYSTTVTGPAPGQLKAIEEVDMEPMTDAFLTASVLAAVAKGTTRI RGIANQRVKECNRIAAMKDQLAKFGVTCRELEDGIEIDGIPHTSLSDPADGVYCYDDH RVAMSFSVLAVAASKPVLITERECVGKTWPGWWDILSLSFNINLAGKESTYRKPPSTT ALSSKSIFIIGMRGAGKTTAGAWASKILNRPQIDLDVELERVVGMTIPQLIKAKGWEG FRDAELALLKQVITEKPKGWIFACGGGVVEIEEARRLLFNHHKNGGIVLLVHRDTEQV MEYLQIDKTRPAYVEDMMGVYLRRKPWFQECSNFQYHSKSGESGALSLAREDFARFLS LISGDSAHFDEIRAKKHSFFVSLTMPNISDAAELLPIVTVGSDAVEVRVDLLEDPNSE NGIPTVEFLSVQIAHLRSIVALPLIFTVRTVSQGGRFPDNAQEEALKLYKAAVRMGME YIDLEIAFPDELLLSVTEAKGFSKIIASHHDPRGQLSWKNGGWVQYYNRALQYGDVIK LVGSAKKMEDNFDLAKFKSTMVAAHDVPMIAINMGTLGKLSRILNGFMTPVSHPALPF KAAPGQLSAAEIRQGLSLLGEIEPKLFYLFGKPISASRSPALHNTLFAQSGLPHEYSR FQTDVATDVVEIIRSPEFGGASVTIPLKLDIIPLLDDITDAAKCIGAVNTIVPVVGEP GRRPRLIGENTDWLGMTHSLNSAAYTTVSSGSPGSGLVIGGGGTARAAIYALQSLGHS PIYIVSRTPSKLSVMIATFPAEFNILSLTQVEAAEKIEDVPRVAIGTIPADKPIEQNM REVLAALLRHPKADTSQQRTLLEMAYKPSQTALMQMAKDAGWVTVPGLEVLSAQGWYQ VSLFATIDSPSD LY89DRAFT_574248 MGQDGLTKQQNGDAELMPAPEFNHSVGPSITSVASHDSPSTPPS YEEERQKNGETSIFDSWLAEYLLHCQNQVYRSSVPKLDRTMTDVYADELYNPSFQITS AAPTSTPSIAVSPQNDVFSQRLQAATSQHLSANTQTPLTIPSRERSPFRQGSPLAPSG NSFGNQSPNVRFGTATHMREQQKLENDARALQQQLERTSPEHTTPKTISPKDVDLVYH ESEEDSKTPLFPPQQNQRPSYQKQHLMAHETTESDDNTSQRSYGSMATSRRESSSAYS NSSVPQQSSFNFAPPSVPGSVRVPQQYPFVPQARQQPSNLSNVTEEFPATLTSMESSS SEYAPESDIKKPEGATANSGGYSCTYHGCTLRFESPQKLQRHKREGHRNSASISGSNA DEAGMTSRNSQAGPHKCERINPSTNKPCNTIFSRPYDLTRHEDTIHNARKQKVHCPIC TEEKSFSRNDALTRHLRVVHPEHADLSKSRRRGARD LY89DRAFT_663444 MQKGKNGVHHLLRRRVPVTWQVWLDAFSSPSSSLPLWAIASAPK RLVGVTRPARSAPIRARSQSDLQELVPASVGKRGKACACASIRMSRLQPSRVCLSLQQ AKVAEEPRTNQVIPAGMSNITNLMPRGGEDSFLEKAFTSLGMGVLRVFHFIQHAKELL YRVLAVVGSEDHVIVNPYRPVSILLGDFSGFALSVGASRTFWVMQEVTWFARVWARSG KWNAGGHAAAVK LY89DRAFT_679786 MSSKPASPLRQAWYRWKSLKLPWRKRFLVGLDLQGNTFWEFRDT LSSHQHRMRRIVQYPSTTQYSEIRISPQWHQWLRHTRKDPPSLTEQSQDLVRQRNLKV LAAEADARWAAKPSFLDTPERSQPLPTLELKDQGGYTQSAESEDEKDVRSAISGGLED IPQTPTETLKPAEVESEVKSKIRENTTQDTSRRHETSKPVTEPADDPWKQARGGPSEG WQPKAWDGNLAATRR LY89DRAFT_536933 LDHIIILIPYTTLLDPPAWITDNFTLSPGGRHADNKTENKLICF QDGSYIELISFVNDDPKNREGHWWGSKSFGIIDFAFTDSSGDAEIQYSELAKRLQELN AKEGQSKFEYAEPVAGGRKRPDGVDVKWKVTFPVLNDGRQRGEFPFFCHDVTARKLRV PLEEKNVSHPSGAVGIKEM LY89DRAFT_605563 MAFINFIVWLAVCTSAIAVPESTLPIIDLGYALNQATLNSSCPY PLLTFSNIRFGAPPVGDLRFAAPIPPQTVNTTVNNGQQTVICPQATPTWASTAYAIVD GLTAAISSATSTTASSATASATSKSSIPAPAAGTSEDCLFLDVVVPQKIYDSSYAIEQ RALPTNQSGELSESKGVPVLVWLYGGGYIFGDKQSQANPSTLVANSLADGHEGVIYVA PNYRLGLFGWQASSAFEAEGGTTNAGLFDQRLALEWIQKYISLFGGDPSRVTLMGESA GAGSIFHHITAPASYNTSSLFTKGITQSPALEPITDAQKTATYDETLLVASKLANTSI TTLEQLRALPFELLYEVNFYIVATSYYGTFTFGPAIDGSYVPALPGMRLLNGSFDHNI SIIAARNENEGIFFTNPTLQNSSAFDSLVQNNLPDATPETIAYITNVLYPPVFNGSYP YLTQFERGALFTQEFTITCNSYFLAKAFAGTESGKAWKYIFAVPPGLHSDDIAYTFFN GDTSTLNLGGGVVNATIANVLQDFIVNFAESGQPAASGYPHFPKYEEGRVYNLNVTEL GKVIEDPDDNPRCNYWQAASWL LY89DRAFT_776790 MSRPIELTLENLIPRHSGALPRELVELAGSLLAQSRNQCSNLRA EEEVARLYACANLACERLKTTLNLPPIESRPPIPPAAYSKLLKYFDSKLKSSAGRARA QRSAKASRSTPAKSLPQRGTPSKEQSFKSFSATTPTKLTAAISYRTPKKGLKYGSRSD NEKKIPKWIVPAVRFLCKEMGTPKAIPHINAGVETLLTLPCPKLDVEPIVTEEKGNGK IPALIASIWYFVVIQMRGKAMRGVETKARQEMVRDVLERAREDEWVLGRVGKGEDEWR GWQVVKGTDVLVWNKEIALRGWKEMDWFSNVPEGSGVEGEAEDSDEEMDEVVQKDGED EDVEEAFGGRGGRKPDTMHQRKYDYLSAKNRAEYKEWKEGILAMVKEIRSKNEDTAMT DV LY89DRAFT_727749 MQYHTPSDQKAQEWVDRTFCCEYGFYEQDFAVLQKTVMTAKQGH DSDNAFLLSHAVVEDGAELVKHSQIMMYVDHQVADGIGTRIIFGKFLTLLASELGSAP STTKTKIKWEDSGQNLTQPWICCMNEHQTLSGPEYEATAARNREIILNQLKHNPGLPL IQTPQPLTQETYFHTISAEKTTALLQAIKYVVSPSSNITHLGHAAMVLALARTLPTSC RTLCSPCWLNGRRYLHFSVESYVPICQSFAPVFFPEISLSADAGKEEVRDVLVEVCRV ATIEYGKIKARKSMLPECVVLFEELGEGMARRNRELETEQKDKTVNADVNKSTDERET ADPFFLSDGITEQYISHSYPSRPSLSNPSPNPTFTVNDVQFAAHAEKNLIVRMSSWRG KTTISGEWRGCDFDRGMIVRFLEDVVGIMLSIVDD LY89DRAFT_162613 MQTRRARFSQFLVSFLPRSLGLLIKCAVTSLNLGSYIMISTFDS SQSCNECLASFLRSEAFRKEPEWDRDVKIYSSTVNEAQEL LY89DRAFT_162814 MVQVTSPTSLAIDSPQGRKLFVFDKVFDEDVSQEGIWEYLVEST NSFVQGYNVSMLAYGQSGAGKSYTMGTSGPLEQGNLEVMGVIPRAATALFEKLEGPKP NNRNSMSGLRTPTRYSANSAAMMSRNVEKNWTLKATYVEIYNEQLRDLLVPEGVPFGE RGSVTIREDVKGHILLTGLHQVEINSVEDLMNALNFGSMIRQTDSTAINAKSSRSHAV FSLNLVQRKSKYQTAQGAEQKRFSVPLEAMTGADTMVTIDSKLHFVDLAGSERLKNTG AQGERAKEGISINAGLASLGKVISQLSSRQAGSHVSYRDSKLTRLLQDSLGGNAITYM IACVTPAEFHLSETLNTVQYAQRARAIQSKPRIQQVSDESDKHALIERLKAEVAFLRE QIRSTERGGDRRSHATTERPERQNEREVELQNQLLDTQENYTALSQRHAKLISEMAKA RDSELADNQAFEDTLGDSATERLKRSNSFAEAVEQVVLEYEKTIQTLEQSLSSTRSSL SNCETTLLEKETKCAYVETMNQQLQSRLQKLIDRESSTENYLHDLEAKLDGHTSGEEK NSAIVMELRKEIARVRENEASCEDYISTLEERLAEADQDAELMQREIDRLEHVVERQR SLGKLDNLLYELDHIQQDGKNAEVEAGVNGKRAIFDHSRQQSLASRRSHKDVILETEE GEDDVAPAVSSEEVHRTEADGPVDNGESIEAEDAKNSLEPAGNHYPPQSPAQSKFVED KLENVTQELFDLRVEHESTINEFDLLSAKPPRFNLVYIITDRDRAHFFFSRREGERAK GWRTLFILAIALIGIVLSWGVTQYYGTL LY89DRAFT_776793 MYSLYFTAALAILPTIILAAPHNISCPATPSPLPKEASFDVVST LPDPFLYLDGKTRVKTKEEWFACRQPEIMHFLEEYQYGYYPDHSLETVTATRSGKTVN VVVAVGSTKATIAATVNLPTGASASSPVPVVISIGGMDNAAYLAKGIAVVTFDYSAVA SDSNSKTGSFWTVYKGRDVGTLLAWAWGFHRVLDGLIIAAPEIDSKRVGVTGCSRLGK AALAAGLFDQRITVTMPMSSGVQGLGPYRYHALSGQDETLENSKSGAPWWSDSTLGTF VNNSERLPYDAHTIAAAIAPRALIIDQGRSDPYTNSKGTAIVVYPAVKLVYDFVGLNG TTLGAGQPKSQIGMALRDGGHCDNSGYSNVLPFVQEVFFGTATTRNYDDLTPWTAMTT AYPWSTSIPS LY89DRAFT_574121 MATADKKKNIIFFHPDLGIGGAERLIIDAAVGLKNRGHKVIIFT SHCDPKHCFDEARDGTLDVRVRGNWLIPPSLFSRFSIICAILRQFHLILWTYFTSEIT LLNPDAFVVDQLSAGLPWLAYFYPETRILFYCHFPDLLLAQGRSAWWKRIYRIPFDFI EQWSMSFADSVAVNSGFTKGIVGQVWPELATKKDLQIVHPCVDVRPKKTENIDEPVAT WRNKKILLSINRFERKKDIGLAINAYAGLGKNGREGSRLVCAGGYDHRVQENVLYHKE LVSLAESLGLKTATTKTIVTALNVPDDIDVLFLLSVPNTLKEMLLNSACLLVYTPSNE HFGIVPLEAMLAGVPVLAANSGGPLETVLDGKTGWLIDPTHLERWTATMDKVLHNMSD ADLKKMGAAGAQRVKKEFSDTKMAERLDSIISGMARSNRRSAQELSSFVMTLGVILLD ITYYYALQNKHIKRKLGKLLLPPFALTQQTMVLLISRPPVRGSTRQISLLVKNLRQLR DPSRCFSRTFSTEMSTSPKYEAVVVGAGPAGVAAVGNLLEQKKEPILWVDDVMKGGRL NKYYREVPSNTRVKRFMSYAEGVKAFRDVAKETPKPNAYTHLKDLDQEATCHIAEAAD LCIMLTEGLDESKGVHKHLGKVSNASWSDSGKWSIKVKSSAEAPSTVSSNMLVLCTGS SPTTGLLPVSHLEEIGLDPALNPPLLSRILSKDSPLTIAVIGASHSAILVLRNLYYLA SSTHPSLLIKWFTRHPLRYAEERGDWIYRDNTGLKGDVAVWAQENLEEDRLPSSDVSK YLEKVSTTRERELEDYVVHLKDCTHVVQAIGFHADEIPILDREGEKLQLKFNHQTGGF EDPDGKQIKGLYGAGIAFPEQVTDPEGNVDYAVGLAKFMKFLTRVVPKWTS LY89DRAFT_605576 MSSNPLFTLSIPNSSGGTITCTSPSLKVYLLTFTSPPDNRLLTP FCQTLLLALDILEFSHPHGVLITTSGIQKFYSNGLDLEHSSSTPRFFPNSLFALFKRL LTYPMPTIALINGHAFAGGFMLSMYHDYRVFNPSRGFLCLNELDLGVPLKPPMSSIFR QKLVPAVYKTMVLEAKRFSAKEALESGIVDVLGGLDETTRFIGERKLSEKAKTGVYGL LKAEMFRETLGYIDGYETEEKRDKEDLEKDEKRKEEGVRKVAEWERSASKAKL LY89DRAFT_635037 MDHAWLDSLSEDWVSQHPSSGSPAPSLPSMVNSISSSTRATSSR IPRYNPQKQAWTAANEGANSPLSERSLNENNVPLSQRSQRQPSKLREVTNGDRGRRLS RTLSASTTHSVQYHTVQHKSHSLSPRKDSQETPEWRRRLIHGEVAYGEQRDLFSAAGL ETIFQPPPANSPTKLPTREDDEDHSVMPSSPPVFDSQGVSVEGEHHEERPERHQQPRT MKYRLADSDGSEFSANDLSRGSSFQVTARSVRGNEEMDMQSEPPSEMESSVLQDRNVT NWPSRTASGQSDVRNEELSPIFISRHNTIDGKIDYAALNLPAEELQRRLENIREESPD QSVADQQDISEQQADMTVETEDFAHNGRFVNLRRGGQSQEGSFQRRMLSPSSLPAIDE SAMLPEESMQASTPKHLSNIKKTRSSNEYQKLPQAQNSPPIPQTPSTSPNKPIQKEQQ SSSGSPLKLFGTYDTFTNQKLLRRLSQFEGDFEDAESTGPENNEDFVPQSSADRISEN SQVQQRASAKLNSFGQGDLDGFQFSEEVSYDSSRSHDQDEDKENMSLPLLDPESRNTF RFHLEPSPALEEEAGKRLQRTPLKDPTPKRRRTLQKFENETSEEDESLALDSLRETHQ QMQSVIGRKRKDARHGDDQQAANPKVLAMRQILRPRTPTPSQRSSQHERPPLAEIDPY LEQARLLQLEKIAKIQAELDTPNVLKFSTAFGISQQMQNESRKGSVTTQDFLDEAKKI MAGIRDKVRPRSGLTSLEESESENDKNQSPGVEHTEAGLEDSYQESTKEPFSRPPSRD GKPIPRVPMKQEDPVLLDHLRKYQEMSDVDGIMASSMKSLALAKATISGRGADRVSED AIPQPSDQFLNQEHITESDPPNIRISENPDPQRKRKHSTSSIPKDDPFGDIPDLSVDE TQERQRIKSVNAKRREDARVAQQLQDEEAHTVTSGSQPVSQEQGNPTSYLDGHASSEP SKLTQMRSSSATTEPTTRLSSWGEENNPAPSETLHRAEVVKAQHKIERIERRKEVVEE VEKEISILEDRVDPITPSRRRNVTITFSSPVASVIRPTIYEDENSSQPEAEFASDSED DSSEGSVVVTKNRNRKATTTIRSAMRSATHLQSLGGHTFSTRPVSRIDERDEDSTNAE NEVRDRSSISIVVSAAGPSRREASMILATPRPSHEIGTLTLTPLSDFTVHHADQSLGL DVSYMAQNQRYVMGDEKKLKKKTLSHSIKTLIEKLTEVEPYEPFWEHLKRLDLKNKAL TNLHKLNEFCGGLEDVDVSHNRLSQLEGIPTTTRHLRVTHNCLTELTAWGHLNNLQYI DVSNNDLESLSAFRFLAHLRDLTADNNNITSLDGVGQLDGLLKLSLRRNSIRACDFAG TKLQRLTELDLASNEISDIGNIQELQGLTTLNLEDNRLADLSTGGSDAVWPLRDLRLS GNELTTINVARFPNLRLLYLDRNRLGDITGLSRTRNLETLSIREQADGSILDLSFLNE IVEVRKLFLSGNYLGTFEPEVEFMNLQYLELANCGLNSLPSDFGLMVANVRVLNLNFN ALKDLKPLLGIRRLKKLHLAGNRILRLRTTARVLRNFPSLTLADIRGNPLTVGFYPPM EETRLVLLGGDNDADASDPFVLGRADRDRDEKYVRRLDMQTRMLRRTFEILVLGDCPQ LRIFDGLDVDMSVVVRKDSTWQALVNAGVLRLPSLEGSAPAEQREDDLALEAIHEEQA VRKSFGSSTETCVETCAETYTEERMEKTVRTHVEISSKSLTEASAATRDEKAVEERWP AEDSFA LY89DRAFT_663455 MSAFPFSTTQKAQLQIHGLQGHPRKTWTCESNPRQPPYGSSEPI EKALKKLFSRKHKTGHKDTEKRETAEVFWPLDLLPLDCTNSRILTWGYDSKVSHFFGG ASNQSNITAHAQNLLAGLKRSRLDCPGRSILFVAHSLGEPMLLDIYSSTFAITFLGTP HFGSNKAGIAEVARRMASVSGFDTTDKNIRALQVDSTELELIHELFLQIYDCKERPFQ VITFQEAKGIAGINYLKLNEKVVEPFSSRIGNEPVQTINANHMSMCRFPSRDDEGYKQ VVGEVTIQLSGIQSKKNQDVTEGNKEMPHVYTGFSSPSTASAVHSLTDAERNSAEYKS SLPARVEGTCQWILSNYKNLDWNMQDKTCLLWIIGYPGSGKTILSAYLLECLGSGDHS PNSRSTTLCYFFCDEKIETQRDGRAIIRSLIHQLLMRRRSLIKYVKAAYDVAGPQFDQ SFHGLWKIFIAIARDKRVGPLNVLIDAIDECEQITREEFLRDIVDLINHSQDDIQQDL QLSSIPRLKVSPVELSANQSPSITQGVSSNSRNSYQKSLVGSLRRLVAEMLIAQACVS YLSLDDFRRDFVSQDQQKTKVEESPISPVSKSMEGEALSFWDMVDLGEDALFRDPSAT EAEACAFIETQHPFYDYSARHWAQHFSSAYMISPQTVRNAVIELSDVTSRQGLNWFRY YWLYAETELAFPRDFVLVVTASYFGHLTSLDSLLPEGMYIEPQLGGRAIYWGARMGHH AVVDKLLEVRASPDISIINGQTALTAAVQFNRLNVVKSLLKEEGLLSESDEYRVNHAP MQGRTPLSIAAGNGLVEIVRELLRHSRIQPDIADFDQWTPLFWSTSGNHLDVLQLLII DGRMPINHVDKSGRNVLSWAASAGEPELVKYLLSLDHLRADEPDRHGRTALSWAAGNG HLETTMIIRRSQRIHASIKDNDGRNALSWACRGGHYQVIEYLIKHDRKGVDEEDVDSW TPLAWALFSQAPRTVQVLLDSGLVDVNKKDRNGRSALSFAAGYGYLEVVQILLRVRGI EIDSEDNDGRTPLSHVARHKDVVKALEQAGE LY89DRAFT_163025 MAPYSNINSREDLENESFYRTPAYGPEDIICPGSDIEETPEETR RKRLRYEAQARRYTRGHRPILLSASLRGPFSKESGWTNPWGCQRRKRVQDGRQKGLEA TISSRAESQHSWRCDESSAMPTWERELSDLRQRISHKEYGISIRSTSQEKETAHGMGY ANPAEVLAWQARAGAVERIAVGDRSVHPDHRKEQISGEQSMITSTQDRPTFKVSEVGE SSEAQAADSMINHYMKTPHAGNDSDFTRGTKRASDSQWLMGSYVSKRARWDGPVHSSP TPAPESIALRDRERRYASAGSGQEKGIINPRQPKLGALRSLSERAPRNPTIKEALQHT PIFSAQVENQMINHSNPTGSGFSSMPVSFTSPQAVADQEMDKLQGLPQEPRSGSRTSS KRTPKKTSRNIIDLSSNLEQDDLIAITPCPKIHGTPTTSGSNLRMKASSATLPKLPRS GDDNFTALGDDSFVSEVAPSSRNLEKFHFKKRKRRTKSTEHDKSTVDNIQDVAPDITS TREEVCIPVNVANPKHTGPDELQDLFNNEGSQDVEHLDLKHGSSDQEDAGDVDDLDDM EDSRLLEAPEDLEITTGLGSKENSICDQSAQQIDPVEGAEGKNKPTTYSEPQLLLAQN EEPAPINNEADGSWNLGEMSFLRAVLRAADSTNNTPSNKQDSIPTQGRPLLSLNEAEN SSRHSDYLAKFEFGASHDVPDSSYQRSSSLPLPAQTCKASDHSVEEESRYSDHHSHTK VDFSHILKSNSSLEKLPRKDSPSPEDTSFQSPGDENSQESDQFTESKTNPNQLNAASS PHGTFPPTSIPLTKPSQDPNDFSTQSYNTTPFKLQRSVRPPHSKRIMKLSDFKAQSSG PCEAISNEKQDTMELIQLELSQICPSSRPQSVDLSERGNDDEDGDSFEQLNEANVGVE DRDPPTRVRGRDSNESSVDHSESFCESVESQLEGQTAPPGEQSVEVQPEQLEAPAPDD TPAALMEPGATGTVANDVTQEKINSDKGSEEPSETSWEGCGPQSPWATEENEIIIVHA PENKDQSEAPHSVESDLEISLLEDNVLEADNELDISSEWQRLEGPQTPENSGSKSFNG PIPLTETPKPEEMISLEALTSTQLLVDAATKNPWTSSRKRPTSLKATKRVSFGNLSSH DGEDSQPIVVKFGAASPPPPERPSQEDVFNSGTTSVPKFQKHFKRQFKKLLPDNVASP VNSSPALAAQAEAFIAADRETSIEQEFSTNYKTPTRHLKPRSETDSNTAWRQQSEADI PLPSYSRFERSPSPPVNASLAGFDMEAALGEAGNFLEDWSVDSELKKAKESGTSRGQK SNGYRRRRLFGLV LY89DRAFT_703664 MSSSPIEPENAASTASVRPGQGHKNSRDGKLPKTTQWNPPPRTK SASLLTQALATTYEVDEGSSTASTPLPSYIHQLHSESSTLQTETPSKEAQHHNGMTGG KETAENMTMVASLSAGNLRASLTLGNTPTSPSTAFDMNDVSAMLAHHRDFLGTTQIRG TSLERTAKEKRVQELPAGLYSTNPGDTGMIVESLPPRTPTSPDSISSNPPTDGMRAQY RSWRDVRPGIAAEKTWSIGGQGRDPGHGGQVEKSIGEALAGVEPNSRSRKASHSLGLF KEGLPDDKSNSRDSSNASRLRDVFTHSRALSAVGSSGLQQGQETNTRRPRGIESPSRP DWRSPSNSPFEDERPSSKYGLDSIMNPTDGLAPDTGYFDMSHSIETVTEEQIRKMPPN LLADIRKHHNLTPGATKGSSFSGSIPVPTSEKLQNRTNAEDQMAKSHLETEDDLYDGP SLSHVKSTDEGNDSGEEHVFRALFVPHQSNHQVQDVTGQALKADARTPAVKPSVEVTS QQWLEEHNIPSRDSQEKIEADTLSTPHIASTQDFSQETVTSSYHAETDPSTASTTDTA LIKTEPITNGHSQYVHDHQLEVTEPLFAIELKPYRHQVGGHTTMWKFSKRAVCKLLNN RENEFYERIEHYHPKLLSFMPRYIGVLNVTYRKERRRSTRNGDNSTITASQEPISNSS RDQIVAQTTEDSSKANGHASVSQQSAPRMISQSLDAATQPIPTVTFADNRHIIPSNFL IPRPHLSETHYRAHSDSIASSTSARFSNSSIQPDDGIHSAQSTTTQNPWGKTNVNKQL RNEVFKDAFLAPVPIQPHKKPASLIRPLPSRPGATLRPSNSESSLKAAQQSQLVASTP QEDSIRKKAMKSAAEKVQEATDQSAKQTGADENPERTDANGDTTSAAEAEFDGRAGTS APETEMAYAEGSSSGRRQRRYSSGGLRRKPTEVAESRGDLKYFEEADDAGYKGDVEDD VFSMDLELSKLNPSHPAHKVKEAPPTNDYRDTEPGTPVSTGDNLSTNGTLNMDLPRPV NPKEARARPDSRSEYFLLLEDLTCDMKRPCVMDLKMGTRQYGVEANEKKQKSQRRKCA ETTSKQLGVRVCGVQVWDVSKHDYVFQDKYSGRNLKAGHEFQEALTRFLYNGLDYSSV LRHIPAILEELSQLELLVQSLAGYRFYAASLLMVYDGDVEDPDTMGPKEREERLKGRN IAVKMADFANCVTKEDFHADERPCPPRHPDLPDNGFLRGLRSLRRYFMMIERDICLKM GIDSAEFKIGPNEFSLDGVDEDDEGNISY LY89DRAFT_727761 MPAEVIDLVSSPDPEAKVIHKPKPTVDQPTKNTTFEPSWGWNRL SSSEAETLPLPKPATSKPATVLTNSTANRTTKPLMSFAKDAHEFIDLLDSDDDASKSD LPPPKRRRVSSSPQARPAKTTVPKAGGFKRSVSNIESSTKVAGSSKSAAASNPKITKT NTQFEDEIVFTSSPDYLAEAARKRKEKRRQSRESDDDSNDNDDHDLPALNAIRNYNDK SKSGRSKTTNTYPIDEDSEDGSGLAHRKKKDNVASKFRLEGFDDLELSSEPEASESEP VPSRNVKNPSKKSKVSSQSALDKYNAEKAKEKAGKDKKDKAAEKAAAKEAEREKKKLE REEKARQKEKDAEIAKANQRRTDKKVSIKEMIVDMPSCINAKLKDQVRTYLESKEATY NEVESTLPVMKWRRNIDREYNEEKAMWDAVPKRIISEDHVMCILLAKELVDLVTGEEG QDLDAHVLRLKAKFDSCKIIYLIEGYNSWFRKNRLAKERQFQAAVRPQEPPTASQRRK KKDDEYVHEDLIEDAMLKLHVVHGTLIHHTEAWNATAEWVLNFTEQISLKPDKLKNQT LDTTFCMESGQVKTGEDSTDIYVKMLQSMKRITQPIAWGIVSEFPTVQLLVKGLEENG PLALAECRKAANGNGSFQDGKIGPSISRRVHKVFQERDASSTEV LY89DRAFT_727762 MGSLASDHYIAPEIVELSGSPREIGFEHGRRLAGKIHSQIEVYT AMFRQTSKLDWPAVRGIAEEYRQTIEKKTPDLHAEMEGIAEGASVDVLDIVALNARSE IALGSFSDGCTSVGWKTESEGVFLSQNWDWTARVKKNLAFMSIEQVGKPKIWMVTEAG IVGKIGFNSSSVGVCLNAIRAKPSDPIKLPIHIVLRLFLESTSASSAISNLKSLGGVA SSCHILIADPSGPTGLELSPKGDTHIPPNEKGIVCHTNHFLTNKFITEPPWLAGSPIR LDRANKLTAELAEGGKAVTEERLRDHVFSDTFNAPQAICCQEDPIRPIETRSSTLFNI ITRFKKGEAPSAEVVWGRPGSGEEGPVLKMPW LY89DRAFT_663460 MVRTSSFLAVLATASLALATAPSCSLTQKCPSDTPCCSQYGQCG VGAYCLGGCDPRSSFSLGSCTPEPVCKSQTYTFENLDRVQENTVYLGDASKADWVASG QPVSYQNNVLLTMAPDSVGTLLASTTYMWYGNVKATFKTSRGQGVVTAFILLSDVKDE IDYEFVGVDLEDAQSNYYFQGIPNYDNELNISLSDTFNNYHTYEIDWTPDAITWLVDG QIGRTKKRSDTWNATSNQWMFPQTPARVQLSLWPAGLASNGQGTVDWAGGLVDWNSAD IQANGYYYATFESVTVSCYNATSSPGTNTGVSYTYNGYTGTNDTVVDGTDATVLSSFL ATGTNMTLGAVASGSSSGTQPSTTAETVPGLTGGDPGVDSHSSSTTAGGSTETATQAS SAPSSSSSSSSGFSQGNSGSTKSGAEKLGVGQEQLKGSIFAGIVALMAMMAL LY89DRAFT_693293 MSKRGAERTEPESSTATSPAQSHSATADPSVKVETSSPPGSRNK RRASGTGPSVPTMSGSSSATGAAGAGEESSMASPTMGPPAKKSRTNTPWTPAEEQRLK TMRDAGNSWADIAKTFPSRTEGSVKKHWYKDMHYAEFAEDESQALLNAIKEYETNKWK EIGKKVGKPAKACEQYAKEHFAGKI LY89DRAFT_163083 MRGDHLNAAPRYWREQHHDSISDSRRGRRKIELGLFAGQWDRRY RSMSLEDPSGDWTISCQGTNIYKCIRLLPSTGSHLCSSLVTVLPKRIWRLASDHARAE MVPALARENGLHSRDELQNAANMANAGVFDVRLEISDNFHSVRDTPCTEEHCRRNMFH TLGGGGETRLISFYWK LY89DRAFT_693294 MSTPTQMNTSAHVAQSNYSPVDAINRHDFGVQKNRKQASTGGGR AWSEDEEVYLLQTRLQKMPYKHIAAHLKKTELACRLHYHQLSHGSNRRKRTNSVTSSN AGSVMHSPVMQASMPSPINEHAMQPVTPPTYSYSPQSPVHVQLPSASTLLHRSGSNSP PRTLAHPVAILPKPSPPRRALSDSAAPLRLDCDVATNQLNISNVDKERLRHIYEAHRA SFWGVIAAEYGAGASPLLLEETWKRGIATNAPPTPCVSPDTQTIRSGAYQGYNTKPMH QLPTPVQENKSNATSISALLGIDASPRSPKEREMIKRMEERRETRDIVMAGVEMGQRC E LY89DRAFT_573771 MSCTFRRLYSSSSAASTASQFLSKSQSLPPYKQTQLLDANQLQR FSATLSRTELSRTVPENGTPLPACWHLAYFTPAQVEEELGADGTDTTFNPPRPFTRRM WAGGELEWIGGDKNRLKVGQEVTETTKLTSAEGKKTRAGEEMVVVGVEKTFENEHGVA LIDRRNWIFRPEITIPQAPASRPPEVPLPDGKHTRDFTQTPVTLFRFSALTFNGHKIH YNREWCREVEGHRDLVVHGPLNLINMVNFWRDIRGGEVFPKKITYRATSPLYAGEKYR VVMDEEHDKVTGVKIVDSFGNTSMVGRIESI LY89DRAFT_635062 MKLSLLWPTLLVPAATALTVDTTSAESLKTAASTVAQGLMKFYT GNNPGDTPGTLPPPYFWWEGGGMFMTMVDYWSKTNDSTYNDIVEQALLFQTGPDNDYM PPNQTKDEGNDDQGFWGMAAMLAAETNFQNPPKGQPQWLALAQAVFNEMASRWDATTC GGGLRWQIFTFNNGFTYKNSIANGCFFNLASRLARYTGNATYAQWAETIWDWESSIGL IDSSYNIFDGSSDVQNCTVITKLQWTYNAGIYLHGAANMYNYTSGQSLWQGRVDGILK ASSIFFTNDIMEEQACENVNTCDTDQLSFKAYFTQWLAATSILAPFSAGTITPWLAAS ATAAGLQCGTGTCGFKWTAGATYDGTTGVGQQMSALGAVQSALVQVKGEAVAVPVTNS TGGTSQGDASAGITQPSTGMMDATTPATTGEKVASGFLTLAVVGGVIGGSVFMVLES LY89DRAFT_574047 MLLKKQNIERDGSGTITLFPEEPEDMWHAYNLIAVNDLLRAFAI RRVTTESSTGTTKSERVHTLLTIRVTGLDFDPQAGQLHVSGRVTEENKWVRVGAFHTL DIELRRDFTLEKNEGWDSVSLDVVKEAIRQDKEGVVPAVVMQEGIANICLITEHQTVL KQRVETGIPKKRSGRSADHDKGMVRFYETLLDTLKRHIDLAQKRPLLLASPGFTAAGF KKYIIDDATRRGEKAIMANKDNFLVVHSSSGHLHSLNEVLKSSEVLNKLKDTKYARET RYMDDFMALLRQDDGRAWYGPTEVEKAVEKGAVGRGGGVLLINNSLFRSQEIGVRKRW VALVDKVKEEGGEARVLSSDHESGKRLEGLGGIAAILTFPLEDLDDEEGEGEAVENGV DHEAVI LY89DRAFT_679810 MAPITINSTVRMNSGFEIPLLGYGVYQTPADVCEDVTKLSFQAG YRHVDSAAAYRNEAPCGKAIRESGIPREKIFFTSKIPARSLSYENTKAQVEKTLKETG LDYIDLMLIHAPYGGRNARKGAWKGLVEAVEEGKIRSIGISNYGVQHLDEMEEYIQEL EKERGGKGKGGVISVGQYELHPWLPRPDIVQWLQKREVVVEAYSPLVRGERMEEKVFE PLTKKHGKTAAQILMRWSLQKGFVPLPKSVTPSRIVENADVYDFELTPEEMKTLETTE YAPVCWDPTVAPLEQ LY89DRAFT_776812 MTGRQKTFELVPTTLVKQNASRPPMTSKAAKKAYQQAQRGTKIS KAEQRRRDAAELERIRKEHEKERNAAKTKAARDKKATKALAEREARKKLGLPEPSRFV RASQPTISRFVRGDSNEKRSWQQMEDVEEEEEDEISDDDDNAGSIPKDKLQPPAKRVA VDHDSEDEFGDFPTLSQSALEKIDSSAASLRDGFSLPPSPEKKQNWDECKPYSLDASQ EPPFKKKTEDRLLFEKENLAELVTTKLLSEAAEAASRSYASEPAALVQSAPILGPLKP ANNELSPSPLNLNRCNTEGQNSKRVTETRNAPQSNSRPALLERSTNMPPPRLLLKKPS MSFATPPVKPLISRQNLNTVTSRSAPYMPPSSTQAFLENHLDDFFPSPSQQVRELLED VDDIPSNTQIAQELGPQKPMINNRFEDLICTQDLVLTPQDLEEIITPSRAPPPKTARE LKAGQPKFLLPPRPTSRDKPRFFQEKEEDLCQAALDASKIATCTGLKGQYSGEAFVPL TAQNAPNAQNAQNAQIAQIAPPAHQNPRARRFFEEKEEDILQAALHESKISAPKHVKS ASSKGPPSLRKEQSATDYGDFDVGDFFEDEEQKMLEKAIGESKKLAGLETQKVLLKET PRPQQTKRTLKRVKSTATDYGDDEFSGCSQELLALC LY89DRAFT_776813 MEQQKFLELLKSVQVPDTEQVKAATTELRKIYYPHPESLLWLLH ILTSHDVPEIRQQAAVEALRLISKHWVALPADQKPAIRQQLLDSTLAEEKPLVRHSTA RVIAAIAGIDLEDGEWGSLPSLLVQASTSKKVSHREVGVYILFSLLEVAGESFEDKIP GLFSLFNTTIKDPESSEVRTNTLLCLSRVAMLIQPDEDPENLARFIEIFPSMVAVLKS AVDENNEDRAMQAFEVFQTLLGCESALINKHFKDLLTFMIDLAANTDIPDESRSQALS FLMQCARYRKMKIQGIRDMGENLTLKSMQIATEIDDDEDEDEVTPHKSALGLLDLLAT SLPPRQVIVPLLKALPQYVNSENPKYRQAGILALGMCVEGAPDFIATQLDNLMPIVLK LLNDPEISVRGAALNGVARLADDLAEELCKHHTELVPALLKNLDAASVQATSEADQEK NLDILKASCSALDSVTEGIEKDVMITYIPELIPRLGRLLGHPDLHVKASAAGAMGSIA GSAEDAFLPYFETTMKALSEYVTIKDSTDELDLRGTVCDAMGSMATAVGPVAFQPYVQ PLMQASEEALHLGHPRLRETSYILWSTLAKVYEEEFTPFLEGVVKGLMESLNQEEDDL EVELGEEAKDLLGQEVIIAGKKIKVASATDVPEDLDEMGDDEDDGEWDDLSAVTAVAM EKEVAVEVLGDVLSHTRKNFVPYFEKAVEVTVGLVEHSYEGVRKAAIGTLWRAYACLW GLMEDHTGEKWTPGLPLKSQPSEELLKLGEVAAAATLSVWDDEVDRAVVTDINRNIAA TLKLCGPAILTQENFLERTTSVLAAIITKTHPCQQDMGDDDDHEGMEEDESSEYDWLV IDTALDVIIGLSKALGEQFGEIWKIYVKPIMKFASSPTTYERSTAVGVIAECTRNMGS SVTPYTSQLLKLLLHRLSDEDPETKSNAAYATGLLLYHSTDSSAYLPSYNTILSKLEP LLQTSHARTLDNASGCVCRMIMAHQDKVPVDDILPVLVDLLPLKEDYEENEPIFDCIA GLYHHQNQTILSLTPRFIPVFQAVLGEPREQLDDATRAKVEATVKFIQSKGLA LY89DRAFT_163492 MASSEWYRDNLLISTNPSLIQPAAVNAAFDSDMMHWVKAIDETL LKKMLDNSLCFGVYELPSSSSDIAGRAGPRQIGLARIVTD LY89DRAFT_663470 MSHFHGGFYSTGSALYPDWFPQWLLDYCLVHSAIIVSGEYRLLP ESSGSDIFDDVSDFYEWMRKELQPELSKLVPGIEADLSKVAVQGPSAGGTLAVQSGFF QPRGFIKAVIATFPGLNVGAKRNNPILGLPMVPPSVLEDHLKNMKPGTIVTSVESLER FQVALSIAQQTRTKDFYGSDEKLYPFKVLEKTENASYMLVLHGDNDTVVAVKGSIDFT EAMKNKFGDDNIDLVIRPGCEHGFDKDVALEEPWLKSALVKATELWLGASEA LY89DRAFT_635073 MAAPATEAELKADAEKNSTTSRFFYRQLCLTPILPQNVSLKGKT AIVTGANQGIGLETSRQLLDLGLSKLIIAVRDESKGKTARKNLSQGRSLADDAIEVWK LDMLSYESITAFVERVKTLERLDIVILNAGIMKQTFEVTSSTGHEETIQVNYLSTALL AILLLPIFNVRAKGRVSANDAPEAHLVWVQTEVAGWAKFKEKDSTPLLAALDKPETFN IMDRYGTSKLLGQLFVTELAKRAHGQFMQECIVQAKAAFVYQDDGGRVTQQLWKETMA ELSFAGAEDIVRELSK LY89DRAFT_679813 MFLSAAGGTITTALSALFFYLSRNQDAYQKLAHEIRSNFTSSTE IRGTALAGCTYLRACIDEAMRLSPPAPGILWRDLAPDDDESQPFVVDGHVVPPHTIVG VNTYSLHHNEDYFPDAFAYRPERWLASSDFSPEEKKVMREAFAPFSVGPRGCAGKAMA YLECSLVMARTLWYFDFESTAGELGEVGAGKVGLEDGRERRGEFQLYDTFSASHDGPY LTFVARGDFWKDL LY89DRAFT_679814 MIEQVDIFSRNLLSKSQSSTPVNMSVHTRRLGLNIAGLLAFGYD LCLQTSDENHFMETVMDTGTFFSNLWLHYPPGRRFRLAFLMLSPL LY89DRAFT_573506 MTRPLNNHADPVERTSSPYPVGQLGPKSQLLASRTGNYHSTSLR LPNMVTVNTVNKTALHPGGVQPVKEHTELEEELHEKAHIDYDRVAIVPNPSVAALYED ALVYETGSAITSTGALTAYSGAKTGRSPLDKRIVKEPGSENDIWWGPVNKPMTPDVWR INRERAIDYLNTRNRIYVVDGFAGWDEKYRIKVRVVCARAYHALFMRNMLIRPERKDL EHFHPDYTIYNAGSFPANRYTEGMTSGTSVAINFSEKEMVILGTEYAGEMKKGVFTVL FYEMPVKHNVLTLHSSANEGKNGDVTVFFGLSGTGKTTLSADPNRALIGDDEHCWSDR GVFNIEGGCYAKCIGLSAEKEPDIFGAIKFGSVLENVVFSPETRLVDYDDATLTENTR CAYPIEYIANAKIPCLSTNHPSNIILLTCDARGVLPPISKLNSAQTMFHFISGYTSKM AGTEDGVTEPQATFSSCFAQPFLALHPMRYAKMLADKIEHHKANAWLLNTGWVGAGAT TGGKRCPLKYTRAILDAIHSGELAKVEYETYETFNLAVPKTCTGVPSELLNPRNAWSA GHDSFKEEVTKLGGLFVENFKKYQDEATEDVIKAGPAVKV LY89DRAFT_163523 MPSEHLQSQSHSQMRPALAVGHRSNSSVNNETDSPAGTPPQHGR TKSAKHVVGAGRTHARVPSSKGLHKLTKGHAAEGSHTDLKKLGKQHQSSSSTNLKKNS SHVSLKRNRSSADVQKRPRSAHGHDKRPASVHFEIGDQEDAWEEASNPSSASPALSRS ASRSAVSSGQSSTKPSASNSRPQSPPHSPLPPPASSSKTVVTPAANANGGNEKQTSRH SADAKVITERLLQRTHSHHNTTKMSLTTATPDGHSPESLTKSQSSTLNGTPQTSSNNE VVSRFVGGSGTPSENSPFLHNRHKSDVSPKANVEEVKRAQSMGNLTRRDSDEESALAP RSRKSSQSSNYYPPQQSRTQQKLWLQRASSNMEPAQMAPGVALNGLSGMHGGFGGTSL VGGAGYDGRDPRIKLQLEKTGLEYLVVRRYQDPVGKSLKRLAQLPGAEKNRRIPPQRT ESSGASRYGLSQSLQSRGRGGKDKSSPANGVGSYDGQAGSHEDAGISDRGNEDDGVGA ILQSMWQKSFDLSASAD LY89DRAFT_703677 MDGDWEEVGRLLLPPSGPQGITQPSSTFAFDTSQELLWEGNQFG RVWSFYSTELQKYTSFKCGDGPVRQLLFHEKGIIALCPRSVHMALRRGPPIWHIVHEE MKELACMSFTSRGNTEILVAGLQDRMFTIDVEKGTITRQIPSSDHYTIMKRSRYICAA TGTGEIHLLDPKTFELQETKFKAHALRINDMDAQHDFVVTCGYAMRQGQIPMLDPMVN AFDLKNLKQMHSVSFPSGAAYVRLHPRLSSTIIIVSSYGQMHEVDLLNPDSSKFKQAN VLSYISMVDMAPSGEALVLADTDCNLHLWGSRAKIRFAEISTPVEFADPEETAAQVDW NTETPLSSVGMPYYRENLLSAWPSHLIFDVGAPPPKFDQHFMSKLNTASFGYYGRVPT NVRRNQVEDTRALEKAPINLKTPKFLSEKARETTNDTAGERRISDVAEAIGAVGLKAE VPLMYGNVEIKYSKFGIDDFDFGYYNRTTYSGLETHISNSYANSLLQVLHYTPLIRNL ALQHTASICVNDMCLLCEMGFLFDMLEKAQGSVCQATNLLKTFSNHPLAAQHRLLEED APGSSLTEMLQKLNRFFLERMAYDWRNLTPHNDSFSQLMATPMKTTVRCTVCRNDHVR LTPSFVNELIYPLPKLMVRNARTPRPSFSQILKASIEHDETSRGWCIHCQRHQPLATR KAIHNAPAVLLLNAAVKSPEAKQFWSIPGWLPEEIGVIIEQGQFFCYEGEDLRLHLER RHHPVEVYSLIGIAAEIDSGQHQKPHLVSLINVAHSQPVAPGESQWHLFNDFLVHSMR TEEALAFHPTWKLPSVIAYQAKRYVNKIDHSWRQHLDTTLLYFDHNHNPGEKTYRTLT PQEAPREGTIIALDTEFVAIRQPEIEVNADGARETIRPKVHALARVSVVRGSGEEEGR PFIDDYIISKEPIVDYLTSYSGIIQADLDPKLSKHNLIPLKVAYKKLWILLNLGCKFL GHGLKQDFRVTNIHIPRSQIIDTIEIFYKKEFRRKLSLAFLAWKVLNEDIQQGTHDSI EDARTALKLYKKYLEFQDAGILETMLQDIYKEGSLVQFKPPPQKSLKDGSLIERTETP PITSDGHLAGTPVPASGPTTPVRRPLGLAPGSSSTFSGGWTPGKGGALGGSPLR LY89DRAFT_635081 MNFYNLAFISLVSVCGLLTWRQYHGREKRLEEKSLMETSITPRL KAEANQFTRLFLTVYCLVMGADWLQGPYVYSLYKDQFGLEETVVAALFTTGFLSGGIS GYFVGQFADRYGRKMACLIFCVTYSMSCFSTLVPRSPVLFLGRIFGGLSTSLMFSAFE SWMVTEYHKRQLDKAGTSLNSLFGIMTTLNSVVAILAGVSSEWLVQVTNTKRAPFMAS AGLLIVAFWIILGCWTENYGDSHHSPTSPSSFSSSTQAKSALQIVFTDQRILTLGLAS CFFEGSMYLFVFFWTPALKAAHSQKSSSSSPASLPFGTIFAAFMASVMVGSLLFNLLI STHRLISPTRLLTIIFATASSMLLIPVLTRSETLTFWSFCIFEACVGMYWPSVGSLKG RVIEDGVRARIYGMLRIPLNVFVVVALGLIKEGEGHRNAVFVGCSGLLVLTSGIFHHF VGEG LY89DRAFT_635085 MSDYEVTLVNDNSKQEFYVRFKGPEETPFQGGLWKVHVELPDQY PYKSPSIGFVNRIFHPNIDELSGSVCLDVINQTWSPMFDMINIFEVFLPQLLRYPNPT DPLNGEAAALLMREPKSYEAKVKEYVAKYASKEAADEAGAESDDDDDMSSVGSFGDDE DEEPAGQMEEV LY89DRAFT_574336 MGAPGLNQRKKVTINSLRSLYKKGEPITVLTAHDFPSAHVAEAA GMEIILVGDSLAMVALGMEDTSEVLVEEMLLHCRSVSRAAKSAFTVGDMPMGSYEIAP EQALETAIRFVKEGRVQGIKLEGGIEMAPTIKKITSAGIPVLGHVGLTPQRQNALGGF RVQGKTSAGALKVLEDALAVQEAGCFATVLEAVPQEVATLVTKKLSIPTIGIGAGNGC SGQVLVQVDMTGNFPPGRFIPKFVKKYGDVWSESLRAIEAYRDETKSRAYPAPEHTYP ISKEELAEFERVIGANDV LY89DRAFT_574349 MSSPSESPSRSSTPSDNTNAIPDAGLQEPDLPLTLASSMILTSL PRDAASALSAAGEFPKPKVTVHFKAVGSAPSVRNQVCKISSTQRFEAVVSYLRRTLQV GPTDSVFLYVNSSFAPALDEIVGNLHRCFKDSNDRLIVSYSMTPAFG LY89DRAFT_679820 MSVEHSTLERPESFSQVVRAKDDLRFKFSHTQAAKGLGLNAEEQ ESLRTNFELYAVKDQAIPYWTETSVMKFLRQQLPPDLTYHVVDAAPILYRSVLRLASY PYLLEPVQLLTLDALRTAVVILCRRPLWASRDRDMSDFWFERLERKHRRLLFQSLMDR IPTIQYIARTEKDDEDLNDVLDALERDDYSVWNADTSNDLEEPLVSTETRLPSSNSGE LSGVIPRYEFRSWLRLVLLFRLENESDVQWRRKLEAVTDSVLDSFSEHDTGHLGKDIT WQEFDRTTTNATPLLHIGISRMFEPLIRHSNITSRAFSMPGLSQLFSSFGYFPGVTSK TSQQLPPQELTHPILCQLAIFLPLIDVREHTMLSRAEFVEYANERIYPGLMLLSGLGI TSQSSDAISHVIYGAFFGALPDTGAPFDRAGQVCIFQLAPVHRVFVAARAPRTPDALD LTFSKEVARRGFKVELVTDKSEMVELIIEDGMKNASFAQWGSHQDEGSDRTLIGETRN EDEDMKSNELFGDFKIEDMKLLGFPNQNKQ LY89DRAFT_547068 ATVSVSAADLQFGQPVHETHPHLLRAGEITPGITAQEYSDRRSR LAASLPEKGVAILASSNTKYRSGAVFYEFHQEPNFFYLTGFNEPEAVAVIQKVGPKSS ADYTFHLFLRPKDAKAEQWDGARTGEQAALDVFNADESGDVNHLHTLLPPLIAGASEV YTDIAKCSGFGRFFRDQDAEPNDFQKMIKGSKVKALKPLIHELRIIKSEAEITNMRMA GKFSGRAFTNAMRQQWTREKDLGAFLDYNFKIGGCDTTAYVPVIAGGKNALSIHYVRN YDVLQNHEMVLVDAGGEYGGYIADITRSWPISGKFSDPQRDLYEAILRVQRSSISLCR ESANMTLDKIHQITEHGLKEQLKSLGFDVNGNAMDILFPHHVGHYIGLDVHDCPGYAR SVPLKTGHCVTVEPGIYVPDDERWPKHFRGLGIRIEDSVCVQDDSPLILTTEAVKEVV DIEALRD LY89DRAFT_663481 MSGRRLPLSSNPNAANSPFRAVANAASKQKRSYATVQREEAYGQ PPPAKKQMLASHHQDLLKTPPRQQSTQSSLEGRVYTRKSNTSQQSSFERQSAAVSEKP QQVVTKAEKTSGENLETIRAWQKHYRKIFPTFVFWFEGVPADVRTKYSKLVIELGARE EKFFSNAVTHVVTTRNIPPEHQVSAEAVTSSTNDSQSQNSQPQTINPSLLDRSSESTN AQSDFGTKAKFTFEAPGGRRLASNAQDAEVRRNQGRNADVLYKARELGMKIWAFEKLQ RITRVMLEGDTSSQTSHGHNTRSNATPANNPRAGHDADLSQLLRNERIHGPSDRDPTV ATKEITLFKGPFIYIHDIDERQRPIMVREYSKVANKEDGDWPQFRSVANGKCPFIEEV DYSRREVEKEKEIIRLQKQQEKEKAMVPRTRAAAAFEAAKMQPPRPVTGKRPLADLDN GSNRSASVSSRQANPFAPNKSSMALKTDPEASSRGNQNAFVSRAGAGRLFGGEPVASG LQPSNLTSAIRSQMISSTAAQPGAKAGTSKELHGLQRKVLEKNSGGPGSHGLASSHRM TDVSATARDDAPARVVKKQDKLALIEENVNPSEADENARKTEAARKVKAVQQRKLEKR DPKPGYCENCQDKFEDFEEHVLSRKHRRFAERVENWKELDSLLNQLARPLRDSQDGYD Y LY89DRAFT_679823 MCSCVAHRYPILSLLGPTLFHDQFIGLKKNGQGLLISNLLPAAM RQALVVSAP LY89DRAFT_713548 MALTGWVRPRHAPGATAAWLMAPSVSGSQVEAHGFGMVCCMGRT CRAPGAPSHTEVWHGKEARRFLRRTRDCHRRICTPLSTDCNATGSLHEVLYHAEPTGP LLQRMSQKFYEMEEYLGTQRPCYICQQSAIGCPQS LY89DRAFT_163971 MGNKESSICFNDEVSLNHFRLLRVVGKGAFGKVRIVERKDTNLQ FALKYIRKDEVVRSESVRNILRERRMLEHLNYQFICNLRYSFQDIEYMYLVVDLMNGG DLRFHISRKTFTEEAVRFWIAELGCALRYIHKQGIIHRDIKPDNVLLDSEGHIHLADF NVASDFTPGKPLTSKSGTLAYLAPEVYKGHGYSCGADWWSLGVLFYECIYNKRPFEGS TQTTLAAQITKAAPKFPITDPAVSMPCLHAISSALEEDPAKRMGSASFHSFTDNPFFR AIDFEALERKEVEPVFVPSSEKTNFDATYDLEELLLEEAPLEARARRQKPREQLKDDA TDKEIREDELYKMIESQFTPFDYTTAAYERYVGAIDPNSTNSGPSTDWTQPAPMGQDP EGSVAGSASRSISRRSTSRRRKNSRSQPPSLSGSPPLPGQQPPLPQGQQAGQAASSKP SGLRQVSNQGAQANVPLSPYQPSYSRLQRPTGTRKESVGGGMQVVLGETGSWSELAKH DTTLPADAKLEVAAKPTGMLSFLGRKKGRGHSPKPQERGILGKEGARVVIASGD LY89DRAFT_693311 MDSQSKGTINPSFTLSRRQEELLFAALNSNNKISNIDTSNLQSS EIISMAPGSFTESPLQAPGSGTLNGFEESPFIDYDYEFDADGSFDYDFSNDSQGQMIG KLPGTSSDGDADTHDKRSHPDDDEEEEGGGKRREGDEKSSKKPGRKPLTSEPTSKRKA QNRAAQRAFRERKEKHLKDLETKVEDLQKASESANHENSILRAQIEKMSMELREYRKR LSLTGGVNRSSSQNGGLPPYLAGKGLTNGAANNPNDVNFQFEFPRFGRLPGPASATAT VTNGNRSSSSPSLSTQQTPSPIERGQISPRNQSMQFTAGNASVASLTQTPANIDGGDM SSLSGLFSPSLLDSVGKTPPFDFFANNSTNNVSNGSRSSTDSAQNGSTGHNTSYSSPS ASSNSNHGASSSCGTSPEPTMQSPVYNKPLDSTLTTIGEENSAVSTEGELTFCDKLNM ACGNPNNPIPRTMSEPSPPGNFENNNFDVNGIDWFAQQNGNQFDPQLFGDYREPQENI LAGEAFSDTFFRDAFALPEFNSPFNIAPSPVPPKKDLVAQIDEKQNEDDEVVPGEDTT QMLNCNTIWDRLQACPKVKDGEFDLDLLCKDLQKKAKCSETGAVVNESDFNKIMKSYV EQKATEKANKA LY89DRAFT_574497 MDLAYDHIQEEALSPDEPSAKEEKKPETTINADFQEAYKAISSS PWGARLGGFFGSVVKQGESVYKEAQKELTEVGQEASQGFTDLRSSIIKRTRGLSLAQT QATGSGEKATDAEGERELSTYEALKESEGVLARLRSEAAKRLKEIEKAEDAADEALLK FGTNIRNFLRDAVTIASPSAESGKGSTVLFESKDASGKRVIHTTRFDAQLHVIHSSLD SFTKDPVTEEYEPWTKTFNVESKTEDISKDLENFGELRTSMEKLVPDTVTYEDFWKRY YFLRHSIETAEARRRELLKGAAAEAEEEVGWDEDSEDEGAGKATKAKTEVVRPASTES STTLHPAIQAPDHALLKPEESRKSHDEKSQADSDGSYDVVGAASGAPSRAPGSPKDSR KGEDSEEEDWE LY89DRAFT_727789 MAFATHLPGPMEVSSALTPAKCQAQFELGITLSLFFWPSLSLAV TNNWGGPDSSDKREWFAQTTIDLLNENPDADGEWLETFLLQVMLDEFEVNVDDESGYE VAEQILRLRRDCGKGDFKEVEEMKSKWDNKGGKEDMGKLFENKERNEEDDETDASEDD DEDEDVDMEEAPQLVRVKEPVVPEVDEDGFTKVTKKKR LY89DRAFT_776829 MVFNTATVGGAVTPAVISTFLSHYLNRKPLAQKPTAHISYDEGL HLIRKFLIYASHHTIEDIQRFTSQWVPHPHWVKVDELEIPEEHISKAAQAIQEQLGHH GIQKVGGKTWWQWRRPGSEMKAEWIEMRADYHERKRMNDPGKRVMLYVHGGAYFFGSV DEHRYQMQRHARKLKARVFAPRYRLAPQFPFPCGLHDCIAAYLYLLTVQDPTTIILAG DSAGGGMVLSMLVIMRDRGIPLPAGAILISPWVDLTHSFPSVAGNNPLDYIPPHGFHQ RPSASWPPPNSDDLLAIEEGIVQKMAHNGHASAPMESEADAVQGFHVDHHPEAGMQND DASRNTHPASRPTANTVPGSGHDLSIMIDGKLVEIKDQIQMYATNQLISHPLVSPVLQ PSLGGLPPLLIQTGGGEMLRDEQIYLAHKAANPSKYPPGDAFLDEIPHDHSREQIARW KPTDVQLQVWDDLCHVAPTLSFTRPAKYMYRSIAQFGAWALARAQKTEIEILDDDDVS IISQSDSENDGQVETEKEKAQAQARRQATGQVGKAGDPLPAFKNHMIRQRVDRHGNIF HLEPASELPGCNMATDEIGVIKAGPVRKWMAAKRKWDTKYASAKRSVQKKRAKEMAQG YQELGNGEVPPPSALAGRRKTGEDLKEEKKSRSMGMGLWALWGSKHDEKALKNEQDAD KAPETAVASSLNGAGARPLHDTKTNKSQTLGGGGRLEASRSRSRRRTVVDEHQTETPD DVDENTSAATLLALKNAKVGEVGDDHLAPEFAANSANPAILVRTPTNEDTEYDSKRPK AAGISLPFNIKGHQATASMTTLTSAVGVPPTADVRTEGVLSSGVSQNAADREATASIN GAPAVNDKVKGKEPDIEPSTIHKGINDSATTANESDGMVVENSEVGTAERPILETFIT AATDLPTVSKA LY89DRAFT_693315 MFDHTPLQPFEHNIKDAPEARTRRPDMASFFSQLSQVETDSPTH MNDHAIPTPVDVAATYNLLRLQYESLIQSAPPEFDTHVLEELISDIRSSMDSPPEEVR GVPQSYLDELERVPKKSLKKTDKCPICADAFLDDPYPLVVVLPCHSTHMFDLECVGPW LRLNGTCPLDRKELMKKKKEEKVVPRERNRNQEPGEKKDAKKEEVDRYDDEEEFDDMY A LY89DRAFT_574355 MSKEFTYSDVSSHSAKKDLFLVIHDKVYDSSSFVDEHPGGEEVL LDVGGQDATEAFEDVGHSDEAREILEGLLVGTLKRQHGDPAPKISTTTTTTSTSSGNT GMGIGLYAIILLGGALAFGAYKYVQSQEGKA LY89DRAFT_679828 MASQLATVSSFVEGAPPGELADVIADIKALTIDTPNLVTQLGPA FEKYNEEQFATVKLPGSSQPVIVSSHNSLGGGRYYDVESSTSFAFDHSTQKTSSAQSH VLESSNSDLVKSVLKTLGSHVKEHFPNASYGVYPIENDSKIAIVVVANKYSPNNFWNG RWRSLYTFSPSASSLTGSIKVDVHYYEDGNVRLLTTKPVSASISPASATSIVREITVA EKKYQEELNKGFNNLSEGAFKGLRRQLPITRQKIEWDKIAGYRLGQDIGGGTSKR LY89DRAFT_679829 MRITPRIIARSLATPISPKNARFPRLSRQRPYSTHPPAARLNIP TDFSTTPLLAHSSQSALQNTELDAEVRNGTTKRMNLFQSINDALSIALAADESVILFG EDVAFGGVFRCSMGLAENYGSERVFNTPLSEQGIVGFGIGAAAEGMKAVAEIQFADYI YPAFDQLVNEAAKFRYRDGNEGRHVGGLTVRMPCGGVGHGALYHSQSPESLFSHIPGL RVIMPRSPIQAKGLLLSAIASNDPCIFMEPKALYRAAVEQVPTGSYTLPLSKAEVLKE GSDLTIVSYGHPLYTCSAAIEKAEKDLGISIELIDLRTVYPWDKECVLASVRKTGRCM VVHESMINAGIGAEVAASIQEDKETFLRLEAPVIRVAGWSVHMALMFERFNIPDVARV YDTIKRTVEY LY89DRAFT_703690 MPRDSERRGSERPRAGERSHHHERKRRTRKPRHQTSSSQSGSQL LSADALAHLDRLNHHRPVQTEEITPKKTRRKRPREFEDERVVVVEKSRREHKRKSRRA VSGVLLEEGDGRTLRGMRGGDRRDKYDRYDRYEKDESYEEEPPKSKRKWLIIGGIVVL LIVIIVVAVVVSQKKNSKSSSSSASSESGTPSNSNLDGISESSIPAAAKGTYLDPFSW YDTTDFNVTYTNVTVGGLPIMGLNSTWDDSVSANSNVPPISTAWGSYADRPARGVNLG GWLSLEPFITPSLFNYASDLGIIDEWTLTTHLGASAAKSTLEKHYATFVGEQTFKDIA DAGLDHVRIPFSYWAVTTYDSDPYVFRVSWRYLLRGIEWARKYGLRINLDLHGLPGSQ NGWNHSGRQGTIGWLNGTDGSLNANRSLEIHDQLSQFFAQDRYKNILAFYGLANEPKM TALVVSDVLSWTTSAYEIIHGNGIDAYVVFGDGFLGLSNWQGKLTGYSNLVLDAHQYV IFNVNQIDFNHTEKISYACTDWTSQSEASMSTTTGFGPTIFAEWSQADTDCAVNLNNV GVGNRWTGTYDFGDTADQVLSPDCPTKNSQCECTDANADASSYSSTYKQFLLMFAEAQ MTSFETGWGWWYWTWVTEDATQWSYQLGLAAGILPAKAYARDFNCSSTIPSFSGLPEY Y LY89DRAFT_679831 MSGNELVRIDTNILPKAKLIVVFCGLAFALLICFIDQNSIGIAL PTIGADLNAATTIPWAGTSSLIANTVFQVLYGRLSDIVGRKVVFLSAVGLLALGDLLC SFAKTGPQLYAFRGIAGVGTGGITALAMMIVSDVVTLENRGKYQGILGSCVGMGNAIG PFLAAAFIQTATWRALFWFICPMAVLSGIMVLFTLPPSKVHGDLKTKVRAIDYYGILF SSSAILLLLIPVSGGGTYFDWNSPMVISMLILGGICMVLFVVVEWKFAVMPMMPLPLF KNPAISAIMIQNLLFGVVYYSHLYYLPIYYQNVRGFTPLLSAALIIPFVAGQSTFSIL SGQYVSRNKRYGEVIWTGYALWTLGAGLVLLFNRTTPRWKMVVILIVEGAGVGNVFQP TLVAAQAHSLKRDRAVVISVRNFLRSMGGALGLAISSAIFSNSLKKSLKSATAVPEDV KTGILAAILRVPDLSELSSVQKEEVLESYMDASRSVFYIWAPFIGVCLILCFLIKDKG LTRPEEKQEVEDTPEESSGSLAGANVESDVEMQNQEAPKKE LY89DRAFT_776835 MKFIRSVVVFNALLDACITATDTTTYVGVRYEGHTDIVLYTYGE CYPYQLPTGENAQLAVWCRPSQCYDYVSPYCLGSPDIPPPPLPGLPFVPGEFLPDPDG VVELIGGATVCDAP LY89DRAFT_164617 MSNDDFSPQGFTRDRPSGPQRGASLQISTSRQGDGRSMSQSSGL YSALPPSTPYRTSSGPLPSPSTPQHTTATRSSTLDTPRSAGYNDKSQWFSDSSKNGTK SPTSPKTKRRSDSSMIDKRISPDPKTNVYTQCGRHSDQWLFGGFPFDNIKKALERDKK E LY89DRAFT_605656 MSVSRPARCLLSAPPVPSLRPRIRCQNLHSSPSHRERRRPKFPS VKASDMGLISTPKTPGELGKLFKPYTFEEKKALAKKYTPEQLEAIEAGEKAINPSHLG RRGVIRSDMGTLPYIEDFSRTRPLLDNQQKYKGPIDPNIRFMTPGESHAAMANTWQEY GNEKKAWESLQSKKPKKESISGMGEELGLNKSGIYVPEERLDEMKTSDREPWFVGTDG KFIPRNQTPMAFAPGLPRRFSDEEDAAIEKDEEDVDPRDPDGIYNRLIKSSGLTLDEI LNYNVKILVRHRVVNQTRLGKIASVYCLAIAGNGNGRLGIGEAKGQEAEETQANARIA AIRAMQPIPRYEERTIFGEVDAKVSAVELKLMSRPPGFGLRCQHLIFEMARAAGIHDL AAKVPRSRNKMNTVKAAYKAMLTQRIPDEIARGRGKKLVDVRKVYYGGRV LY89DRAFT_776838 MEPSDPNTTLSIPANEELSPLEQDVLDEYERLAENMKKLASLLD TMAGKPTAEILDGLRQLERKTSLVFTLLKASVYSIVLQQEIYSGDQGGGSQDG LY89DRAFT_635115 MSWSPNKITSAVTAVEMEQPAAEIQLVHVPDNVETATTVNGRGP SSTSPNSDDAGPESQSQSQNERGTSITSSRPDQNRERATVPSACVQCRSKHLKCDGLN PCTRCSSNSFECVYVRSRRGFKGPRRNGALGKAASVVGTIDRSCPLVHPNGAPIVRTT PNVPSGLATPPDHRLMTLPRAVDLPLFDISQELVSFEPKPLPSGLNLRERCIEAFFYH FYPAHPFILSRNSFYALRKEKPELLVHLEACMRYVGSFYVPQAPMETLEEAAERSVYD PKYPKDGFKVQAMLILAIGLDGRTYQEKALQVLLDAQDIALELGMNKRDYAFMNGGGS DVLSESWRRTWWELYIVDGMIAGVHQKSTFRMKEIPADVALPCEEKEFASGQIPIPHS IEDFDDESFDGRDFYYSSFTYRIAAIRNLGRVLSSRQIMMPDVPTIERIDAYLVNWRL HLPESKKDFVNSDGQLDEMLFQAHMITEATTILLHREHSELDSTVARNVTSCAPHKPI VPGQSYNVHAAKTIQAAQDISKLIQLPVPLVKHTHFFTCVVTLASIVHLSCWSVLMPG IHDDDLKQQLRLNTGALKSLWQIWPSAGKAFDQVKGVAREIYANKKHAAEVGFWSNLS DDEVMRSMIEDQTIMEELQLLN LY89DRAFT_164657 MSDTSAAQTRFEPESTYKVLPQDAFNVDIEQQTLDEGHLLNDTV RNYSWSGVSVIVKDHKTKEPKIILHNIDGIVGAGEICALMGPSGCGKTTLLNVLAHRD AATGAKVEGNTLVNGTSPSTGAFRRMSSFVEQEDALIGSLTVRETMHFAARLAHKNAL SKTERIRRIDGLLDSFGLRNQAHSIIGTPIRKGISGGQKRRLSVASQLITAPKMLFLD EPTSGLDSAASFEVVSFIKEVAKRNNLIVIASIHQPSTSTFQMFDKLLLLSAGKSHYF GQVDGVAPHFESMGYAMPFHTNPAEFLLEMMNTDFAADQSSAIARLDEMQKFWAGSDS AVELSKRVGSMPAETLVDIKPSKSNLPVVVMSLVHRSFIKSYRDIVAYGIRIAMYVGL AVMMGTVWLRLKADQADIQPVTNAIFFGSAFMSFMAVAYIPAFLEDRATYIKERANGL YGPTAFMIGNFLIGLPYLFIISLLFSVVAYWLSNFRPTAGAFFTWVMWLFLDLVAAES LVVFISSLFPIFVVSLALTAFANGLWMSVDGFMVSPKILNVFWRYVFHYIDYQTYVFQ GMMVNEFAYRNYTCGSDCQCMFQTDLSSECLVPGQAVLDQYDYHTGNTGKWVGILLAI VLGYRLLGWLVLWARK LY89DRAFT_679837 MDLSLNLEGTHVLITGGTGFIGSATVDALLAAGANVTSLDLRPP PPTTTASSFSYISCDISSEDALTKAFALASEKHGPIACCIALASLDLSVLHHHESLAD MVVEQWRRTHKINVEGTFLTARTWLRQLREYSKVDQAKELKNVSLIIVGSESGHFGER GNADYASGKSAVQGGFVRSLMGDVARIWPGARVNAVAPGPVDTPQFKNECAANPDQLW LDAQATVALKQPVPPESVAKSILFLASENWSGSITGQILNVDSGKQGKVMWMQKEC LY89DRAFT_679838 MSYLKLPLPPSTVDDGEYILVDDPSSDETSGMGDFKSVNPDDTL YDDDSSSDDSCDFDNISTTTSIIDDFEPDLEDIESDPQVIEAAKQAIGLSNVNTYQFQ LFIHFNMLQALFICSGEKVRNEIRKCMHNLMASYPTLFGEVFRSLRREISCLGKQTKD LEAETRNLKQDNNSLKVLVDVQEEVLVARHKKDIQNIRNSANRELLTLKNKKDMEIEK VRTIARMEGEVLRKEVHTLKSTNHTFDAKIASAKHIDHGSSIADTKTASPFQPGQDIS LQYRTDNTLKCGDENQSESKAMNFGTEKEVHDCMAHLSQADIYKAHERVCKQISELQG QLELQHLHKAKLVEKSQETVRDMNIAVAQLHSSRTESAQRQETIRNQNKRDTERIEEL QKAIEAYQEVNLLGVGRDYYQQRFEQSLSNLDGRVC LY89DRAFT_574463 MADSDSPTYPKLPRNTVNRYGKPRGKYDYETIHKIVNSVQVLHV SFPAPNSDPFPAMIPMLGFMASFTDPNASLTEPLDLYLHGYISSRLMKLGNTSLSDEE GLPLTIAATHLDGLVLALTPNHHSYNYRSAIMHGYATPVTDADEKMWAMEKITNGVID NRWENSRIPPTKTEMTSTQILKVRVVDASAKVRTGNPGDDRADLKNEELRSKVWIGVV PTYLKYGEPIPGAENRVAKVCRFVHGLKSVLICATGS LY89DRAFT_693326 MSGTAPGIEKYAASSGSSETSDILDLKDDEGWEDAEPDQEDTQF ISLLGDEVFTDIEAMLNHCKEKYEFDFLEIRQRLGLDFYGNIKLVNYIRSQVHSGQKV TSNISRNDFDDEKYLKPILEDDALLFSLDELPEVMEDVQSVDKGKGVDVESGALVARV SELEEELRKMQLQFDNYRATVSETLDERWNERSPSGPSKPDGEAKEEKRDDDTHYFSS YSYNDIHETMLKDTVRTDAYRDFIYNHKSLFAGKTVLDVGCGTGILSMFCAKAGAEKV IAVDNSAIIDKARENIFSNGFADKITCLRGKVEEVTLPVDKVDIIVSEWMGYCLLYEA MLDSVIWARDKYLKPDGLMVPSHMNMWVAPVADPDYIADHIAFWRDVYGFDMKAMQAG IHEDAQVLDMPNSTLCAEPFPFLQLSLHTTTVKDLVFKRKWQAKLKQDIDALDGFIIW FDSFFMPSRDDVVPEDARAEEWAKAEKMGIAFTTGPGGKVTHWKQGVMLIDNTKAIPT SRKEGEELSGELEYAVPEDNSRALNVGLTWIFGEEKDVSQTWRMR LY89DRAFT_776844 MSFLFGRRPRASTVDLPKQAKELMVKLDGPVGTTKAEELAKTLS QMKLVLQGTQEIESSPEQVYALVTGLIQEDLLYLLAVNLWRLPFESRKDAQVIFSYVL RFRPHTASPKSEPLALSYVINNRPEVLVELCNGYDHKESATPAGTVLREVLKSDTAAA ILLYDDPSDAGPGPKGISGINPELKQSGNGVFWKFFKWIDQGSFEVSADAFTTFRELL TRHKQLVAQYLSTNFDLFFDKYNNILVKSESYVTKRQSIKLLGEILLDRANYTVMTAY VDRGEHLKICMNLLRDERKMVQYEGFHVFKVFVANPHKSIAVQKILLINRERLLTFLK HFLEDRTEDEQFIDEREFLIKQIKNMPPAPVEPTQKPALLGSQSR LY89DRAFT_679841 MQPTVQYRPFPQQVPQRSPAAAAARRGIGPMVSGQHPQATLTAA QAAQQRDAQREASERAKMRSRKPTDKNIPEGVEECIIGDGVQRYRELRELERKLDSTM MRKRLDVQDAVNRTVKRYRTLRVWISNTVEDQPWQADTLDVDAFDFSTNIDSSYRVKI EGRLLDEEEDDLDSDDSDDEDEVANGDAMDEDGKEKPKKSKLPTKQYKLSHFFKSMTV DFDRGKTKDVMDQSVEWKKPAVATNATNLPNAADFDQLEFKRGGDENMNITINLVRDE TPERFKLSPALAEVLDTDVATRAEAVMGIWEYVKAMGLQEDDEKRSFDCDERLKAVLV RDKGYIPYLPDAIIPHLTSLPPIKLPYTVRVDKEFHENPQPTIYDVQVQIDDPLKAAL TSYLTNPTYAHNLREIITLNDQLGLLVQKIGNSKSKHTFFDQLSKNPTEFIAKWLSSQ KRDLEIIAGEGVRGGGEDVTGDDWRRGGQESIWASDNVRESVNLLVGQRPKL LY89DRAFT_679843 MDVSKVEDFEKLRSKIEKDFGGKIDLLVLNAGIGLKGTWEEPSY FHKIMDVNLFGVIHGISTLLPFVTSHSSASSPSSIIITGSKQGITNPPGNPAYNASKA AVKTLTEHLSFDLSKSSPTTTVHLLVPGWTFTGLSGNAPFASGAEKKEKPAGAWSPEQ VVEYLEAKMAEGKFYVICPDNDVSEEMDKKRMLWDREDLVKGRPPLTRWREDYKVEAE EWMKKTKI LY89DRAFT_573701 MQAGVPSRESSHRDRSYSQNTPLPIGNGPLPPRRSSRGMGGGHT DTIPMPPNGSSPRYDLEGNVVPSSEEWKDRGAAVGMKEEYDANGKLVLRQVKKGVRDF VFGRTLGEGSYSTVLLATDRQTLKEYAVKILDKKHIIKEKKIKYVNIEKDTLNRLIEH PGIVRLYYTFQDSSSLYYVLDVASGGELLGVLKKIGSFDEECTRFYGAQILDAIEHMH NRGVIHRDLKPENVLLDDQMHIKITDFGTAKLLPDPRAPRDPTKAYDITGAEEESTRA KSFVGTAEYVSPELLTDKNACKASDLWAFGCIIYQLLTGRPPFKAGNEYQTFQKIVNL EYEFPPGFPPAARDLVERLLVLDPSRRLSIEHIKNHEFFDGIQWGRGLWRMKAPRLKP YIPPTQEPGLIKLNGYGSAPQPIATSRAPPAVAPSNGNSRPQPRVITELPPPTQLDIE WSPVLTRNNERILKLGNLMVMSTPLPHSPNSRNGEHGEAHEKKGLSRFFGGSTTKKRQ RLVMVTSSARVIMAAAGGEEKKTKTEISLLASECSWRTQIDAKGQEVWCVDTRGTHYT FEDSKTSITTGDPSKSSAQEWIESIERAKDMALSQSLVGSYSSDTGFDMASSVSSPSS TLHGNSIYPEGFNVTDRSGRNHLTKSQASLGEEQIEKKKNHRFSKRQSKNGLSTPF LY89DRAFT_727811 MLRCPPTTIVLGQRDLLEYDRRKKHRRELDADTVTMTRELSCVA VDNPPRAFGPSHRWDEADLLKPFSVSSEETSILSPTSEPDVVETTSSLLHDTIEADFS IENPNRSPEPQSSPMKNDFDYGGFVESPMLQNDDTPRRSSPFLAPEDSSTPQHPDARR IRNRPLPRSPLFLSQNATSPDGRVTTQLTPRVLSRVASHNSPGIIFAQPPRRPPRSSP RTLRHQTNSFSFDSSERASAAYEQERVISNSTDDTPHPSEDIRLHDELRGSSLQSSRV SSGVAISHETQGDIAIFDSEQEIHFTTLLSLSSPSVLPLPPPFSSVSRRTSNAESLPS TTNEHLSQDPTISPARSHASTPNRDRARIPWPQRSLNASPVAETSETNESPPSAGRIG NFINRIRNASYRARSPLHRNASSDVPTATLTTDQSRTPGTANPQTPSRNYRVYNDALS PDTQPQTPANLPEARHQSRYHASYTAPVTRNAARIAVSSISSTHRVGSRGPDRQHALY TPVRAARRPDSPSGMRDEGFEGLYGGRENGDEEQLWVEGVRFNNAETRLWGERDARNN GGALRETPEPEDWRVGRRN LY89DRAFT_693331 MSRQWQTERPRATTKTSLLGNPKLTRNATNVSALNAAIWDSPRH DDDSTSSSSDNETDDDAEGNKKHGRRGRGKKDRGYSRFNVGNENFHTRGRVRKDGRLT ISVNETNNRGYLAKALGATFGHFGPFATDEMASVHQHHLGREQPSRASSESTITSDIP RPRLNIVVMVIGSRGDIQPFLKLGKNLKEYGHRVRIATHPAFKDFVQNDSGLEFFSVG GNPAELMAFMVKNPGMIPTMDTLKKGEVGRRRDQMAEMFEGFWRACINATDDEKDVSN LKMMGEKAPFIADAIIANPPSFAHIHCAERLGIPLHLMFTFPYTPTQAFPHPLANVKK SNVDPGYANFMSYPLVEMMTWQGLGDLINNFRVKTLGLEPVSTLWAPGQLYRLKVPYT YLWSPGLIPKPKDWGPEIDIAGFVFLDLASSFEPPEDLVKFLDTGEPPVYIGFGSIVV DDPDKFTKMIFEAVEKAGVRALVSKGWGGLGDDDNTPDNIYMLENTPHDWLFPKVSAV VHHGGAGTTAIGLKCGKPTMIVPFFGDQQFWGAMIGEAGAGAKPIPYKSLTADKLAEG IKQCLTDEARKAAEKIASDIEAEGDGAKNAVISFHRSLVLRGQQSMRCSMLEDRVAVW NLKNTSLRLSALAAELLVEKKKITWKQLRLIRHNEWNDFEGPGEPLTGGATAIMGTVT GIATGIGSVPFKIAKSSKKRARHEEKKQRKSQEASRRRSGEKMDNGKQHQDDKQNGKP ISGNSQDQTDSTQDKEQKVSHRPDISTTRSRGTGSAASDPGMGKHTIQGEPVQQKHDA ENEHKQRDNDDDDDDSELSDDPEENAIEEMAHNVGSGVGKTAEAIARSPMDLSLAIAQ GFHNAPRLYGDSTVRRPTRITGVKSGFKAAGEEFAFGIYDGVTGIVRQPYTGARDNGA FGFVKGVGMGLTGFVLKDLAAIIGPVGYTFKGFHKQLLKHKQPTNFIRKARILEGQRD LNELDEKAQKEVMDAVCHGWSVVQQIWAVMEEEKRASGLGGRLRVMRERKTWRANGAF ENVTMAERALEAKRNGESLEGVFAQQRVELANSQRPRKNVVKDLEQGNGNEVDADAQH IQKNGKARAPTKETPDILGRA LY89DRAFT_635139 MAPDRGTSRSPDYQSIPTPPSNSQHEDTDENVEERQRRRSEARR FIAHHASEGQNFTIRGILVGLFVGLVICFSNMYFGLQTGWVSSMSMPSSLIGFAFFKT LSKHLDLPFTPVENVLVQTVAGSMGTMPLGCGFVGVMPALNYMLKPEEGGPLFLSLWK LVLWALGLCFFGVVFAVPLRKQVIIREKLKFPSGTATALMIGVLHGRETITDTTVSQT TSSNARPEEEPAEAYTADEAADVEEVNKMQSSNWKANVKLLIISFVISGLYTLATYFF PILRNLPVFGWTLAESWLWTLNPSLAYVGQGIIMGPATTTHMLLGAIVGWGILSPLAK NRGWAPGPVDDWEKGSKGWIVWVSLAIMLADSVISLGYIAFAPIVTHGGEYLGDARRK IMKRDWKGLLHVGPTQNRVGYAQIRGGEEVLTPLEETEPVSRDSNFSQEEEVKDLPEP DAPQEHLVNNRTVWIGMILSVVFCVGTIRIVFGALVPIYATIIAVLMALVLSIMGVRA LGETDLNPVSGISKLAQLFFALIIPQSNKNSVLINLIAGAVSEAGALQAGDLMQDLKT GHLLGAAPNAQFWGQIIGSAVGAVVSALIYRLYTSVYEVPGDLFQVPTGFVWIFTARL VTGKGLPYMAWQWALGAAVIFTATTILRIVGTGKKWHPYIPGGIAVAVGMYNVPSFTL ARAIGGFVNWYWKAYRKRDETPIVVLASGLILGEGVVSIVNLLLASASVPHL LY89DRAFT_703703 MRAVLPGKPQASLFGVCTSYWDGRRLIAYISGNAFVVLKAHNEI LQTIYDDSDEQLEAIAIDEASGKIATCAGSSIRIYKPYGQGEDALQWSLQHTFTVDAA AGANSTTTLSWGLAEELLVGNTWLSLHSTVDTPTTLWKKELANAVKFANFSYDSAYIA SNGRYDRLVKIWRRLSFGSEDTRFDFSYLPHPTTVTNMHWRRPYNVDQTLDNVLYTIC ADNVLRIWAATNPHGLQLLQLWAKIDLKESIQPRDLPISNPSSLRFAFIIDGRDFMLA TEDSVQARGSEDDKNDHALSHLIEVANRSPEICVVLDECGHMSAWGLENVGCKSRQTT NIFNVAHVDGLVLELPKTLEDASNYVQFYNYCDKSSGGLKLLVHHFDGKIEFLESDVM TLFDSSPRHDRFRSLAIWTGHSASIKKIVRNVSGRAVVSRTDGNESIVWKHLDVEDGT SVIRQSLIPEKDHIHRICVMRKGNFVIFLHHHRISLWDTRSFSGKVLASCDYAVAGKA LCILMLPEVQKGGPVAHVATITSKMKGIVWEIGLPRRRESVIDTNGYQGPTIREFCRF DLGHADDLSYVLPVDPAGSPPVISGFLDTFARDIAISYTHSGLLRSWTARIDLDAVKV EWLETCSVETGISEPALASGSSIRKAALVNSNRSELTIWDVRGAQLEYTQNYESQDTI QDLDWTSTPDDQSILAVGFRFRVLLLAQMRYDYLNKGPAWASIREFNIRDLTPHPIGD STWLGGGNLIIGAGNQLFVFDKEVDHSAPVVADLGLPHRKTPWDLFEVVSRLNGPLPV YHPQFLGQCTLAGKTLAVQHVLLALHKILKYYVEGDTIDNHLGLELEEFYIPNESTSL AAASRANRSFGALEDDDEDDEAVTESVAAAINEKLTKIALPQLSRQEQIHLADIVECI AIVEKQRRSMDDNAARYMLFFRQHLLHRGRSNEVLLSWREINWAYHSNSQDILVDMVS HQFHGKLLWENARESGMFMWMTDLNALKAQFEVIARNEYTKSDMKNPIDCSLFYLALK KKTVLQGLWRMAGWNREQSATLKLLANNFQDKKWKTAAMKNAYALLGKHRHEYAAAFF LLADCLKDAVNVILNQLKDLQLAIAVTRVYEGERGPVLKELLEEKVLPLAAQEGNRWL ASWAFWMLHRRDMAVRALISPVYTLLETPQSPDMQARLFLTDDPALVVLYSQLRQMTL QTLRGASKVTPRVEWAFVLHNARLYDRMGCDLLALDLVRNWEFLLPAPPTFRNLDAPD PRAMMRRRSSLVVADLPMPKLPLDMKSGHKPPPSVFEEPETNSLLDNFGF LY89DRAFT_635143 MPHPERPPSNVGQYADNPDPYYSNEDVEILHNIVKLAQELLPNL PERERLPTNALFNAYYDILPRAGINADHDSRYARILFKIGGLRGPGTLYDKFEEILSR MGIEIQFASEASDEDSHFEDSHTESSIISTNIPSEEGNLPERESEIPEISEPSVQVPD VEGNSQSETSELSVEVPDNEGHSDSEITEISEPSVKVPETDEDSESEISQPSVPMPDP QEFAREMARAKLRQWKERALELQRRRKAMEQVAVDHDKEALLQSALDAWRDRAEEKRR AAEARLEEDRRATEARMEEESQFAEARMEEERQAAEARVEEEQEAAREEYYRKLEIRA GKARDLLLMKRAFSMWADASDELKCRMAKIGELVAKKNSQMSTAYEEIDRREVYEESP DIDPQIPTTYEDNDRREEEAYEQNPDVDSEIPRTGDEIGALVDRRTILSEALTVWRQN ARYREMKKRVDDRLKAQVLRAWVLKERDKLAQNILRGKRLRMAMEAMKSKQQVMTEQT REREEIARSFAIRRSLNLVLRQWYSRMETQQRNETAAVDFYAPRLAGGVMTQWSNQTQ HIQHLEQRSQDARYYFLASRTLKIWKAATEASKREKRKAAYIQVRRNAKINLARGVLK KWQSKVQPIMKLQAQAQEISENKTAILADEVFHRWRGRNEELAELESIARQHVLRKFF RVWKERLKALQELSMEATRKYQEEEQSKVLKKWNLQMLQQRAQLHYAAEIQERNAKRN FRKMFEYWRGKAADRPPEMSVPPGKSIPLRMSIPPDISVPPDISIPPGMSNPLGMSIP PDISVPPDISVPPGMSIPLGMSIPPAMSVPPDISVPPGMSIPLGMSIPPAMSVPPDIS IPPDISVPPNIAVPGYLATPSKKARVSAAAMRYSTSTTPKVALSTPIERRLRAQWQ LY89DRAFT_727815 MPSRTRPIERFAQAVAKCSAEASVYGKCIVADYNSIHKDKCLTE FLRLKDCYLVVAKKS LY89DRAFT_776852 MSIDFPKEEENVLRRWKEIKAFERQVKLSASRPLYTFYDGPPFA TGLPHYGHLLASTIKDIIPRYWSMKGFHVERRFGWDTHGLPIEHEIDKKLGISGKDAV MKLGLAKYNEECRAIVMRFSAEWRETIDRLGRWIDFDNDYKTMDPNFMESEWWVFKRI FDKGAVYQGYRVMPYSTALTTALSNFEANQNYQDITDPAVVVSFPLLEDPSTSLLAWT TTPWTLPSHTGLAAHPNFEYIKIHDEASGKNYILLEKLLGTLYKDPKKAKFKILEKIK GSEMLDWKYEPLFDYFYEEFKDYGFKVLNATYVTDDSGVGIVHQAPAFGEEDYNVAME AGTISEQRPPPNPVDERGHFTSKVRDFAGQHVKAADKAIIKYLKGTGRLVVDSQIRHS YPMCYRSDTPLIYRAVPSWFIRIPEIVPQMLKNIEGSHWVPSFVKEKRFASWIANARD WNVSRNRYWGTPIPLWVSDDLEEKVCIGSIAELKELSGYKGDITDLHRDKIDHITIPS KMGKGQLKRVEEVFDCWFESGSMPYASQHYPFENEEKFAKSFPGDFIAEGLDQTRGWF YTLLVLGTHLFGVSPFKNCVVNGIVLAEDGKKMSKRLKNYPDPNIVMSKYGSDALRLY LINSPVVRAEPLRFKESGVKEVVAKVLLPLWNSYKFFEGQVTLLKKIENVEYCFDPAA EATNTNVMDRWILASCQSLLKFVNEEMAAYRLYTVVPRLLDLIDNTTNWYIRFNRKRL KGELGLEDTQHALNTLFEVLFTLVKGLAPFTPFITDTIYQRLLPHIPKNLQGEDPRSV HFLAFPDVREELFDAEIERRVGRMQRVIELARVSRERRTVGLKTPLKTLVVIHPDPVY LEDVRSLEGYITEELNVRDLLLSSDEAKYNVQYSVSADWPVLGKKLKKDVQKVKKALP SLSSEQVHQFVLQKTMVVDGITLEEGDLVVKRGLKDDESSKNLETNTDDDVLTILDAE IYPELADEGLAREIINRVQRLRKKAGLQPTDDVKMEYKVLSDPDDIGLAKAFTGQAQT IEKALRRPIDHHVVTEVDGAIPDKPQEGVILEEEQEVQKAVFLLRLLKL LY89DRAFT_679850 MFAIATTPAKQSVGETITTLSGRLASATLLEDRRAAILGLRSFA KEYPASVASDALRGLIGSLSKDGEDVDTVKVTLETLLMLFNPNENSPEASEEIALWLA DEFTQRQDNITLLLDFLDTQDFYSRLYSLQLLSAILSSRTERTEECIFIAPLGISRLV AVLDDKRDAIRNEALSLLTYLTPTSTELQKRVAFEDAFDRIFNIISSEGSLLQGDRVV EDCLILLANLLRLNVSNQSFFREAGCVPKVAKLLGDTVKEQSTEGEIADWAKVQRNRN IYALLAVLRLFLVTGGLGTQANQASFWQHGVLYQVLHLAFSRSTEMQIKAEALITCAD LIRGNPSLQEGFSQFRVTSVLDEPLEEGHENGQQNGIPQVYVIDGLLDLTLSVPLMGA FDCRLAACECIKAYFYNHPVIRKHFLRRAIDGHNAGADETANVLTTLLQPLDVQASGD PYRYWFAAVILFHLLFEDANAKALAMKVTEGDASEGEEEVTCIQTLTGNLVVGVQKNV DERVLVAYLMLLCGWLFEDPDGVNDFLGEGSNLQSLVQAAMGNVGDGTIVQGVCALLL GIIYEFSTKDSPVPRATIHPIIMTQMGRERYIDKITKFRSHTLLRDFEVFPQKLGSAV AGGLPEVYFDATFVDFVKDNSSRLLRAIDRDPGMEIPVITNGVQKGISREMVDSLRSQ LAEKSNALQKAEEDLVSLGRQLGQEQADHRKAKEMAAVELARIKHVNDALQRHYEEDL KKLRSEQTRAAQDRQQQLEQVQKTAELNSERTRKRTDAEIADLQSTIRKLESDLEKAN KNHVQDLQTAHEEYTTSKTDLAARLQRSAEKTKDLEARIPAADLKVAKAEASLLEKEQ EKQSVQGELDDLLMVFGDLEDKVKQYKERLQQLGENVSDGEEEEDGDEEDGVD LY89DRAFT_165027 MPKSSHIHKSMLLRGVEFAKPALTQSDIEVTKSKAARSGRAHGG VPLQGDGRARNSFNYSNQSQNGNQQRGSRNGYNNQNGHNNQNQFPVPPPGWQPPPPGM AGFARGPPPPPPPGTYGSWPPAPHQYSQPPATYGYTKPRQGPPGNGEFNDRRRGGDYR GDSYRGQRDDSYRGNQGQYRGR LY89DRAFT_635153 MNGGPGDLVITTLVDRLTTRLPHRTGSPSYEFSRDEIVLLSRST LLQISINRTAEVLKSLCHLLEDLSEPYKDKGIQSHPIHVLNSELYVLELLAECCEIRD SGEEGDKSESASSGSAQDSKHTSPADDYGQKRRASRNRLLLRNDPPEPLEEELAIRLI DAVKLFSRPISESYVLPAANILDDVFKGIRMGEPGEPDNATTNGHLNGTEVSKLLLDM TDAIEAYTRGILEYVSFSNWSQVLDCLRSALHQAAHQPPGNAAQSNVLADDDRNALAT IRLISSFWVDSRKLSFVIQELCGSFLHLRKPFQTTVAIVLPLLITRWLERNPEEFRDL HTSQERLDGGPETLFDMTNTMFDGGRRKALLFPFQTSLLFLLPDVFEVASHMRENKSG GISKKVTFLEMLRKALRNRNETAIYCLTSVLRVARHFPPESEAALLSYALDIQEEVKE AVFRRYIPGMDTANIDSSLMTAAFVSLAHLNFENCVETLAPLCLAPNTPQDFKIAIIS ACSHFARQSNAEEYQPLFAQVAEFVRAQLKAAALRPRDSYPNEQYTLMKPLDVTTSGD IIYNILLFLDASPLTLFLGAPDNGPEWTLFFEDIFASFTTYLVLDDERIRYMTNVVAR RIMTNGSVTLWHKSKSLGSRTFKYNFWKSTSIVLMTVAEKLITMNNEKGTLTFIHEYL ESRLTLLKNIEELTEVAEDMPERAAACTKLETAFLVSICSTDISVCQLVTKCIALFCQ EAHLVDGSSGVAKSLTPTLRNLDVFQEISTREFRFTGLVAFQKRVRSLLRQIQHPTAG ILTAWETVFDAWFKLSKQIFSRTTDSLEEKSLVEWRNYSGFLASLGGACISDQALAPE EAGLAGLKWIDRLSPESYDDTLLSRYMKQSIQLLASNNVRIREATRETLSTELSPPLY FPLFETLESELGVLFDSPRTNTSLSIESRVIFAEQAAALLKSIVERLGGPAEVGAALT IDIGGLTLNFAKFLDDVTEGASILRVKIKICQLCETVTQKKELLNLRHDVRIRNQLLE VIFGWIARPGSPKDVPPAGARLEEMSRLQRDLDRACLKALADLTYRLPLQPAEGQTDA DTSDLKSQMFHTYFNRFLSLLNFENTEIGRTEVRLAATISDETMTMPELAISALSNLL SANIDVGLKHSLGIGYHEDLDIRTAFVKVLCNILIQGAEFNNLSDAAVNERYDELLEL LINDMALTIALCDACPSTEVDEMTISLLNIFDSRGLGFVLLEALIEHEVEETENEAEL LRRNCVATKMLSVYAKWKGAAYLKATLQKVLERLVLTSKDLDLELDPARTTSAEELQK NALQLRVVTKVFIDDICNSAVHIPVSFRKICSIISATVMRRFPEAKFTAVGAFIFLRF FCPAIVAPDAEGLITSAPSKEMRRGLLLIAKVVQNLANNVLFGAKEPYMFPLNDFLTQ NIYRVTTFLREISVSPNMIEPNVESESFDFGSCVALHRFLYDHWDHVRQKIVLRERRG ATRSLVEISKGQIPILESLRKLITNLGPPPMDVSWNRPAISSNSPPSYSRFQHFMLRN AGRNTESLVSTRAVYDGGESKDGLPMICIILRNIDTETTDYELLLFGYLKIASRMWHR PFGILIDATCYNGQNEPQDALFRKLDLLTPTELSKQLSRVYVYNMNSAFRKCFRRILR LAAKSEKSAFHPKNVDYHLIGSLQDLQAHFHLSQLHLPKETISVVTDTRYVFQPIIRL SKTKGKIEVVIKVGSQFVQVTTTKKQEVVPGLRLHATVNDIFRLSEVDEAPTSIQTED DSAFGLRTENGKIVMYFTSPRKPDVLQAIRGAKAKYGKELRTLKSFERLVRPQDVPGT LLNIALTNMASGDQVLRLAAYNLLCALCRAFKFAADSKFMSAKELCVPLNPSRFIIDI SQQLARSEPQLTADFLNEFFVGWESFPYSQRPLSLAYMAPWLPGLRTNLIPTDADSDK AREKVAAIFRRLIEVAISDVALSTTLEQTIWPAINNDEIYTDIFLDELIKASLGFGID DERTEILGSIIASLGTITIRGKLLSRLRKALNRTSLRPTRQLPENTVWGEICVLLRLC LSTSFDSGAQSQLYLPELFHLVTMLANTGSTDVKLMVHRLLVNTIHAICTTFNLDESK LSRLKVLLLSLSEPRNDPLFNIPSRDGVSISSLQDTGIPALIATEALAVLLAEISTIA APTTDMSNAWRSRWMSLVASTAFQSNPAIQPRAFTVMGCLAREDVDDDLLYQVLVALR SSIGRFTDDNDSEMLIAIVTSLTKMMDKLPSASRYGMQMFWLALSLVRLVPISLFNCS ALFLDAVLSNIATSGEFKGGRMVPTLLQGRVPLEDAALQLDEIYGIHFNIENFHFALC ATLVKGLTDSVTKGTAIRVLSTFLEITSASAPPESRFPKDLSCLPYLGILMSRAMTPE EAKENLWLAGWTAPDDDVTSENVLEMVDLQIVKDKELLLNAAIGIVDFSYLEDVVQNR ALLWLNRIALKRPTVILHLCGPVLRVLDDVLISCQNAVTLESAHQLLRTLTSNPKFAG GVDTAEMLEDVLDGIGFGGLWRSSTFHTANELERQCTILTDRLIEVG LY89DRAFT_635158 MSYGESKEMAKGLSSSSPVEVDGGAFGDINASFWNRIKGRATLQ VSESRMVPGTRWSNKDLDPIEPEYQTWRTYNFVTYWISDAFAVSNWRIGSSLIAIGLS WKLALVAVAIGNFLTALVVTYNGIIGARLHIPFTIQARSAFGFYFAYVMIIFRVIISI FWYGIGTYAGAECVQSMIYAIWPRFRNIPNQLPESANITTGFMICYFIHFLICLPFHY IPPHQVRWFFNFKSIITPIAGFAIIGWIVSSTGGGSDIFSQGNAVHGSNLGWAFMNGV YAMVGNFATLGVNMNDFARYSKKPNSPYVQLIVIPIVFIIMMLFGIIGANGSKLLYGE LLWDPLLIINNWTSRGGRAAAFFCATAFLIASIGVNISANSISVATDLTALFPKHISI RRGQYVCAVIGAWAMTPWNILVSAESLINFMSGYTIWLAPISGILIADYWIVHKEFVS VPDMYRPDGIYAYNRYGTNWRALVAFVVGFAPLLPGFAASVNANVDVGSGATSFYYLG YFYGFLVAGGLHVLLSRMFPARATMLHKREVVNPSF LY89DRAFT_679854 MDHHPIPTSIATGAHLSITVDELMHLRLVALGSIPPSLIIRGGT ILCLHTSELLERDVIIYGRYIAAITPWGHFPAGPEEIDARGKFVSPGFIDTHIHVEYT KLVPGELARLSIPRGTTTILADANCIANVFGGLGMDFMGTTTTPLRIFRQVSHKIPGN GPDIELGGTSVSTEELCFRVSQPEAATLGESNPFSLDRASAEKQAAALHAGKRITGHT ALLENEPLWAYSAGGIGDDHNAHQTKDVIERLRLGMMLTVMSGSMNSNIQWVFEDIPA LKGGLGHISFCADDKLAEDLDREGHIDHHVRTAIQLGVDILAAYRMATLNAALYYRLD HLIGSVTPAKLADLLILDSLEEARPTIVIMNGKVVAQNYKPTFDNTDLIPHFTLNSIH IDPSYLNPSTFRVAPKEPDHAWVQAMEMYDGYFKRAFHARLPVSDKNSVESDVSRDIL KVVVIDRHHATKNHGISFVRGFGLRKGAIACTTNCENQNLVVIGTSDQEIADAVSAII TIGGGFVAVADGQILGSVRLDVAGCMSSEPWEMVRDDSIACVEAVHSILGSRMKSPFM IMSFVGLVAVPDLGLTEKGLVDCRTQGLMDVVLEGKPEDGVERGATERPVKICCRCPS HAHDIHRMMDVANSIV LY89DRAFT_754697 MSIIEASPQGTSYRPVVPINHDVRQEVTIYFDEELCNGAITLLT DLITTGASSPGPQNPIYAPAPFHIELASALLIHPRHTNQAPAHDRIELASQAITFLRN LLAIVGPLNANLAEAFSFSGQSNRSSRRSRNALELDDGSSSSENEDGSEKIRGVIANR GRIRNCAKDFWHMVGWAFNCSVRYPKRWKYWKVWLDFMLDVLDADWNERQSSGLDSGV QNSLLVKYLSEANGRSSAMKRVVRAAFADGSVESLREFPEVFTNETKELKIHNGQKRK RETPIERKFGGYQDEEAESELTDQTSQDEDCIPENDPWLGGPESVTLRQRVITLLSRV ADAQPEHFCDCKSLYDAVFSSIKPLPLPAFSLLLSSSRSSHLVLEAYVSLTQVILLPL LPSSAPRPHEISNEDRDDLTQDVLERCYLPFPALTSYASDNAKVSILVENLMRLLFRE GVLCHTPTLDAAVKAGIVARENKSKVDKRKKDNGVKGKEEQNDLLHLKASGQRLKSLL SWIEEHDST LY89DRAFT_713578 MKIHFTATTLFAVAALAVSTSSSECNNDNCARAVTGTQLGVSHP STARADCSSFFQKTISPLVTIRVTATVATMSMIIPAKRQIPTPGIPFYARPSTITITT NVTATLTQKTTSSISSSSTAPSCTNTTTDPQNCGTCGNVCSSGVCENGSCTASSCVAK TCGSFQNCNDNDNCFCFSSTRPLPDNGFCGANALCDESTLCTTDADCGPGTTGNICSV DTCCSQQPKAQPGICLQASCGNPATKLKMMARARFGRTAAY LY89DRAFT_605706 MTSSAKRRLAALSEQLVNPIADQGKFEDIPVLKRIAPASNGPRV KDKVVIVTGTNSPLGIGRASAHQFAQNGARAVYICDFNDSNLVTHKRELASLYPDVEV HTRQFDASDEAAVKAVVDDAVQRYGRLDIFFANAGIIGQPKIFSEITAEQFLNTLKTN VVSVHLAAKYGAQAMMLTSAEKKYPSGSIVATASVAGLRSNAGSSDYSASKSGVISLA QTLSYQLSGTGIRVNAICPGVIETGMTAVMYEAARAKGTEKKIGQLNPLRRGAHADEV ARVALFLGSDESSYVNGQAWAVDGGLSAGHPFVPGKLG LY89DRAFT_574347 MEPDAASASLQRTASHHSNASGKSSRSQTVRVKPRKYASYMSSS ASSISDKSLTSFPSFSPESPKDNPLIPRSVGESANGTRKTSDPASSIVESLLTSSPLQ LNRTGLFDDAPLSTQHVPGSIHHANDDHIERLIARNGAVALVRQLAEDLAQRDAQMAA LRRRTEERERALRKIVLECGLSNLDLETRLRAIEGERKVSVANANDVEVTTANAGLED MMNDAMNENVVLSDLELMNNDATIRAAANLKALEDRPINTQKGWKDYIWGGTSRKSSR SSSINGDAVNGADVRIGGPVTARKSVLQNGVFQPPESTKRPSRGSSQHSGNTAGAYRE RKPSGLATLALKLVAGSTLTGRDAESTTSNRGRANSTGTAPAHRAPSTASARTTMSAR TVPVKPAPRAIPIGRRPTASAAANTTRAQQERWDTMGESPQKSGDGSSDNYGPVEMDQ ILPLEAQPPTITQIYNNPYNQDFLTDRFGFIYDQRRKKRQREAAEKIQKTKRGRVEML SSGRSGVSVDDSEIMEDSRPDTPTSLEGDEGKPAKRWQDYLKIATFPTELLSHTPSGA VPAFEVMEGGEVPRSPGITSEERGFLPSASTVPIQPAVTVTSEDALISKPLATQTPPS ELPQEDTEPVKLLLKQLGEVHDSLQREKTVRWNDFLRKVRAERRRDGEAAKAALAADT RSQKPITVMPEATLTDGEMIGVAGLGNKGKVGRAKWKEFKTLVLGGIPVAYRAKIWAE CSGAATLRIPGYYDDLIARSEADDDPSIVAQIEMDINRTLTDNIFFRKGPGVGKLNEV LLAYSRRNIEVGYCQGMNLITACLLLIMPTAEDAFWVLTSIIENILPRGYYDHSLLAS RADQQVLRQYVSEILPKLSAHLDDLSIELEALTFQWFLSVFTDCLSAEALFRVWDVVF CTNDGSTFLFEVALALLKLNESQLLQCSTPAGIYTYINHQMTNHAISIDGLIHASEGL RKVVKRDEVETRRTKAIEAEKDLIKQREARNAARRAERIAAASAALEVQHEESEASSP ASEELSVVEAELTTREPLPIEEEEAILEMD LY89DRAFT_727825 MDATAQEDIRAQFHRIPVQRKLELLTVFLTGCFIYLYCLMKMLN TIPAIKRNPFFFRLVIAVGIFARWFNNPTMYGWVLGFEEGHPGDLLFFLLVIFSLLLH LLKSWAVIHAMMHWESLPGRLFVMYVWFFRPFKYII LY89DRAFT_776861 MNEPLLSSLCTICHIDPPKYTCPRCSAQTCSLRCAKRHKLWASC NGVRDPTVFKPISEVATPSGIDHDYNFLHGIETQIQRSEKVLIEDLGIVEAEELERAR KGVDEREFQQRHAEDQAKGEVQITKVLKEKNIRVIKAPKGMRRNQENTTNWNRKHRCM NWQVEWIRAPNGERTLYKALDKHSLGDAFDIMFEEERKLNMSKEEKTAEKKRKAADRS AKSPKKARLEENAISEVSTLQNPETGAWNVTPIYSIPELVYTEPPIPEKHRDYHFYIH RPLTPASYPKVLAPLDTSKSLSDLLRNRDLLEFPTIHVLDEGPGRLPSTFMLENDYLK AIGQKGHRQDIDTEMSGMVDEESTDELSDSDEDSDSSGLIFVVIALWSFIQFAFLTWL GIAFHEWRIGYRWRIILSLAANLLVFEAAGQSFGNIPVKYLGVFGKWLPLFYDLMVEL AGAAIFVMFWWKALVWSWYAFGRREPYVFDPRRERIMVVWEESDGDEWKVDVTQYVPV KAVYTEEELSAARALALDHFIDDLEYRNQRMEEGLKVARPWYEACTSESV LY89DRAFT_727827 MPAPAQVPVLSFEPRSGQPISNVSVGLDATILRSWRDGSEYGLA DPNFPYFAMEIQISGWRSLQNIILARYTGGKLKKDALYQLKGRFFVDTTSREAGKSYF HVDEAIRFQGPGTIRSFKKPQFMMVGEVVQVQDTSLLLKWVTRDPYRRDMVYDQMAVM NLEKPLTEKEREKVRDQLCCLEGKMESVDHRSDWICTGIRLC LY89DRAFT_679862 MRLQRCCCLLVLRLGLLVGKPSGVPGFENVEAGGRLVLVQPVAD WRFILSRGQWISGKHYCSNPIEIRLGEERRGERGFSHCNAEPKREQMFDSP LY89DRAFT_165300 MGPSLNNHSSFVRPRTGDREGRPGTRDQTDQNLIIPSRTSSLHS RITQPIPSTLAIKPQQRTPKTLTHAYMVCGVGREPSQWVKAPAPAQGKIGHMKGAVGQ FWLPEILGSSPRLEQDNEIARALHAAMRACFPHDVEICTGRSQPHCVHHSFVLQQDSS HTLYGIALRVWSRADEKRAETIRDLRRRTESDFYDSPDETYWIPYCLSFLSRYPLYNL LGDYLRGMWIHWNKATNLFHAEEVSRILSFPAPRLNDLVRIDMKDYALCYQFPSSPTG FQNFAMWPLFSCLSIPNIVGVIEAALSPTRRIIFVSHYPAMLTVAAETIRYCVRVYEW SGLYVPVVHARHAKELVQEPGPYILGITAECRTLFTAPTDALVVDLDRNFVLTSSPPT ALTAGQRTKMVNRMTQALNGDVTPSGVPQHLRSAYGGGKLVPAGQIIVMRGEVESIQD PEWWNQDSVMAVMDHVCEKMGRNTGMKAVFGGAVKKPLMTKVSMRHLNEIVRERNQYS RDALEAWQDFINLKGRMDTELGKVTKRNNFLVEELESWKQQFLKFQAFAEQLTKETQD LKVKIENHKRENRRLTSLIDQQKDDAARLTTRLSGTEKQRDDALEALVLQQEIAEELE RERKRNKKELSALQHTNVTILRQRDEAQRVVLHLRALISGQTHHMEHIVRTLGKSPEL VEYIEGGYDDEAEDLEDIAEEGKENGEESTALVERTSSRSNRYSKVHGEDMTPEMEAR LTNGLRNSKRYSQMSIVDVADRHLRDKTDAIAHIIRNISEQCAAAVEGLQLAHDAEVE AEEQALKEARGHHRSSNLSTATASDDGQSNGGSENGDDKYLNPSGRASSIPPTPDLIH NRSSTSMSIASTTTTPERSSQQYNIGTDIPTKIVEDSDEEGRSENEAINNNDVITKGG MISRPAGARLSALGGH LY89DRAFT_776864 MPPSDTLTAAEFLLMAKSCAHKITVHEREPISTSRFEQGAEEAG IAFDNYIQTGRRSTSAALQPMTVQEKKQFDENRSIFESVANLAPSFTEACKILDITEA VIAAAWLIQKCRTIGGGTLTDGDVVGKKAEILEALVFMNTRRKADGEFGPALPTIVVC APKSIPNWQNAIQKLLPKYLCHHYTVKEDQQPWTKETLEQNSSSSGDPSYVIYITNYE SLLHRHGEGKEDFSGCFSLMIVDDIPNLGAPDSQTWKTVSNIKPRYWVFTPRAPMRNW YEQLPSMLGLLCPDSLWDDMKVDKELNPFDLPPTDPRAVLLATPYAVKKFLHPYIDDT TIRRNMLTRLYGQVMLKRTYGTKANDVSVAKEILTAKPHNFYIDSNPDGKQKMAEILT ENTNELIYASEGRTLLVDGRKLRTLVLSTTWLPLVALGDGTVQEYRTDGATLRGFLND TYNSFGDEVMGFNPKNVDNLTLIRVLFTQSPKLDALCRSYYEAILQKKTLVVWVEYLL EQLFITLVLKFLGANVESIYPDLSDNEKFEMSRKCQDPSLSLHLITTYRATGPGFHFH SKNHISIHLTRSIHELMEVQSASDLLSVSKGDGQFVQIFILNGSWDDHGLRALLETSF SDFYAVDREERENLRVIPKDQW LY89DRAFT_574149 MPRFNPFGSVTGATSATLFEIRLDNEIIVLRGAESEASSQLLKG VVVLCLPAALKVEDVHLRMIGQLKIGWNDQRVTPTGISNNKVDKTTEIFSHRWAPFVG GGAPGSSSRGSLLPAGNYEWPFELVIPGHMAESVEGLHDSHIMYKLKATVARGKLAYD LHAYKPVRIVRTLDPSALELAHAMTVENVWPNKIEYQLVIPQKAIIFGTAIDIEMRFT SLLKGLRIGQIKCQLLEAQEFTLAGATAHTERCYKHSRDVDTWTFELGDEHYHDMLDD NGQDGYTLKEKMPLPKSLSRCMQDVDVHGIKIRHKVKFNIALHNPDGHVSELRATLPV TIFISPNMPLTADGQLLDQTPLSTQTTDVVQHAPPLYGEHVLDQLYADVDQSGMMTPA PQSGMNTPFYAQSRAGSHENLASLNQEAPHPNGAVPPAALSTRLQNLNMSSRNNSFRR LHASSGTNTPHPQPHTEGPDGYFDSPGHSAPNSNPLSRRTSEEDNHGASNITSGQHTP EHIDYSDLGDLTKVPSYRTAVKTPARGMSYNDALPNYEAAVSAPPSPVRTFSSPTTAG SGDESTNGYMNGAGERRSVNGNPLASMGFTPIHPPAPAHIVPSHAGEGDERRRLHILQ TRGRAH LY89DRAFT_165383 MAFSFYYALAALVVVVGVVLQKIVNHIRVARFKKQHGCKPEFRI PQRERIIGYGLYQTQLKASKEKNMTTVSRQRYLDYGNTWSGQMMGQKFFNTIEPENLK HFLATDFKDFGLGRRQEAFGPLLGQGIFTTDGAQWEHSRALVRPNFTKSQVADLDTFE SHIQRLVTKIPRDGSTIDLQPLFFQLTLDSATEFLFGESVNSLTSPAGSEQEIFGRCF DYAQGQLGQRSRLGKLVHVFPDKEFDQACKTVHRFVDNIVFRALERSQPKDAEKSIDG KGGKERYVFLTELMNSTRDPKQLRDELLNILLAGRDTTASLLSNTFHVLARRPDIWSK LKAEVDQLNGEKPDYDTLRNMKYLKYLLNESLRLYPVVPGNARFANRDTILPRGGGPD GLSPIFVPKGDIVAWSTFSMHRRFDIYGPDALEFRPERWAPEENLRPGWGYLPFNGGP RICVGQQFALTEASYTTVRLLQEFGGLEDRDGSEWCEQLSLTCASGRGVKVALVPRVG LY89DRAFT_165482 MVSENLCCLSCEEEKVKYSTKDLLPLAIYNAISRVMSYAFSVAL LLLLLLLLFFFLLVLLVLVKILQKSTTQLLNKSFFSSNKFILDFVHLTGGIW LY89DRAFT_679867 MDDHYFHFDTAAPMRLADTQDMSNCHKPSRQFDSNAVNCISPGL AGGLSGMWPSSYAFPAKELPDWTLPMAPFGVTGSDFTTSPVSTATHGLFTASFSDGHN PFDASFGGFAYPESQHQLSYDIPNAQLPVQTPSMGLTSPVSQFNTTVCHQPQPKRYNE DFGRDATQARPIDLLEHRAISLAPPRKRRRTAESPHVEVEDIPTREESATRCSSPLST NDVEQDICSPNRRDHSAAKTSTPVLAEERDITEKAQQKSSNGSRVLDKEKERHKHVEM KYRKQMKDRFEELLAALPLQVVNIDTDGKSGVVFQKKIRRGKVLDLAKEHI LY89DRAFT_713589 MSICRSGAQATFPKQIIPILYYLGDYRLRVQNCVREWRSRSSQF HEERRPSKTFGSILLSDGNNKILAVVCDCKVSSGLSTLAKAISAHHLDPGFLLQVFQT GRVPRNRQAAGSIGVLVCDQNGYRRIVRLSRCCCEEQKNSSQTPRKLCLCLIIATNSV AQSRITQLSLLPTVSHRNRALVYSFHYQVIGLKRIRESCISSSKTRANLV LY89DRAFT_165704 MSTTKISEEFKIQRVFPIRIRGPGSTNDTTGVPPPKSDDEDQSD DKPSPPPCLVRHDSQEDDGFSDDPPKVATSNKLETTAPSAGRFVSNTFNLAPVEGSRN PEVEADQKVYRCEDEPIHIPGAIQSFGALIAIRENKDGNFIVRVVSENAQSITGLLPE ALFELRCVTDLLIKSDKKEFKSRVRSMREREQDSRTNPDVFSLSLTSLMGAPLSCYIA MHWSAESDLVICEFELMKDIFNPRHPPDDGFPEDPVAVVETEATVEERMLSTTTKSKP LHAVQVARETERQIGSMELFHVLCEIQNQLAVQTNLQDLLDVIVGLVYELTSFHRVMV YQFDETNSGTVVSEIVDNRASMDIYRGLKFPSSDIPKQARDLYLINKIRVLYDRDQPT ARLICRTREDAATPLDLTHSYLRAMSPIHIKYLENMGVRATMSISLTVDDKLWGLIST HTYGAGMRVSLPVRELCRALGDLASTNIEKLIYSTRIKARKPLSSTPPKNSPSAYIAA SSGDLLNMFGADFGFLAIKGEARTIGKLFAYNEAIVLLQYIRQKSFKTIFSTNCITKD CPEIDYPQKFQVISGMLVIPLALSGSDFLIFFRKGQTQEVQWAGNPYEKKRRVGNYLE PRASFRRWSERVVGRSREWTEDQVESAAVLSTLYGRFIEVWRQKEAIVQKNRMTRILI RNAGHEVRTPLNSIINYLEVALEEVLDERARQHLQRSLQASKSLVFQVNDLLNLTEAE DSEFDVHEDNVDLRVMLTEVIVSFKSASARPELEIRLEDDSKVPTAVRTDPSMLRQVI SNLVANAIEHSSGKLVTVGLEYHSSSDSNTMVEMFFKDDGKGMSEQELDSLFQDFEQV LDDEENQATGLYEGGRAPIPISLGLGLAMTARFVRLNCGQIAISSEPGKGTKVSVKIP FRKAIQDPNKDVEPPGGKSLPTPPMLTPDSAPGAVGLPSHTTPGILERAQSLDTILPL RQQYNSALRELTPGPESLVSVAHAVSLTTAPTFDPNTGRYPFPTVSTNYQRVNVLVAE DNPLNSRLLETRLTRRGHDVRVTVDGRSCAEVFKGSPDAFDVILMDLQMPLVDGNAST RLIRAHESDHLSKTGTPLPTSPNCASYGRIPIIAVSASLSEQSCGEYVDTGFDGWILK PIDFTRLEMIIAAVMDEGIRKELLYGRTQWGLGGWFRLRAGDAAVC LY89DRAFT_635180 MYNSLSLLALVVPIALAAPAPAPAAVAVPHPMITPRASLTDRIP SKVQERGVVSSIESSIEGLGSYLTSVLGTYPSYVASGVPNFFQDFPTGSAVESSLGIS DSDLAASPTQALNIPGYANWTDQGWNLRFHGNIYKQPNISESKLDDLANVFLVGTSIQ ALPPAEQTQARNLTAEIYVVQQGNVNVTFNIEPAASQGTDGTASGSGAVTPGGGAQNI TLVGETTVEGDFDQFVPLANVSGPGGYLMAGNETTSIQRVNVYTNGTDTGNATSYLVP PAGLTIVSDIDDILRITQIYEPAQGLLNSFAKAYVPWMNMPDIYANWSKSIPDFHFHY LTTTPEQVTRNYMDFIYKTYPGGSFDTRPLNFSDVSETLSIRKFLLEKIFQTYPERKF ILIADTSNSDVMKDYPAMATEFPGQVQCIFLRNTTATDPGDKFPYDTSGFQGLNQSMY MFFLVPDDLTNLDIVNGQCYNSTIPQNVTFSEQGLPFGLSKKSAAGRVDVGWNGVAVA AFAMVFGALFGVL LY89DRAFT_663530 MTIQRGSLSKPNSPAPIQKKPTILCLHGGGTNHTIFKIQTIRIQ RALATTFDFLFIDAPFEAPPGPGVMPIFEGCGPFYRWTKPGVPDDLPEETKKLLAETL ADPTRNFIGIMGFSQGAKCAAGLVLDQQLKAKTIPKGQQFKFGVFLNAVYPPLVSGMT DEEKGELINLPSLHVIGTVDPFNEESHALYGEHFNKRTARKVEFELGHKLPTSEKETA RIVGEINRLYRETSGRPVGKAR LY89DRAFT_713593 MVEFCRLIFFFITKDLRPQYEEYDVSQFVNVKSASGYAVYGDNQ HDDSAAINAILAANANCKITYFPQGIYKVTQTIYIPPGSRIVGDVLSVISGIGSNFYN PANPQPIVQVGRPGDVGVAEISDMLFSVADVLQGATIVEVNMAASSLGSVSFHNAHIR VGGTADTIVNQNCGNADTSDCKAAFMMPHVTSSAQPYIENMWGWTVDHSLDGGPNQNI ATGRGALIESTKGAWLQTETAYWQGYGTAEQAPSPWTANSTIGGPTFSDCAGSGDSGN AQFYMGWASHIYSSSNIVIHGSALWVFFNDITDGSYSNAGCPQYNNVRQENAVYVDKA SELFWYNLLTKSTTNMVWDNGVVTAAQVNNPGGWEGSVPGVIAAYLRDSGISSEQ LY89DRAFT_165778 MNMNPFRGQWDSTGSPDMLSLSPQDIKATRKYHKDTIKSLGKQI IHLKERDRSQLTLDALQKHDEKFSALKAQKKDYERHLPTLVKHNPKWSLASRAESSGW RSDPNGWQMDWGLATLIKNVTSPNRLPEWEFTKGEIALGIANVIAEEFPIVFPSSLTT ISDVSLQSEELVIKYGRTTGWTLGKVNGCDSYHFDWQADTVTSRSLSIVGLNNIPFSR AGDSGSMILNRKGEAVALLFAGNLLSKESVNGLAFAVSMEATIADIKRRTPVIDMKLL S LY89DRAFT_679873 MAQYNSRRAEPPLVDKILQSGKEAFDPTLSPDARRSNAQSLLAL LDGTSTLSLMPVLNLLIQPGRVPEELHAILISTLARLPLRPRGVQHTIEFVLSVHPST SNAAGGTGRGSSISHEALNASSRLLSSPPAGMSAQEWFDGIAPQLFSLLQGEGEPGMD KAAAYIIGFGILGRKQFGAPGMPGWNAFVEPVIGSIDPLLSKANSIGPTPKDPIEFIG SRRVLVPAQELARSLSILSTLVTSHPHPSLSKRLLRPVLLPLWYLSSWEPSKEESLTA YRKPATVLLKVVLQLFPTTTELKPKGLKNGLLSSILQNLTSKGRTESGRLSWTYASDH DGIRIDEVDPKDDVQLESIDGKVNAFIELLNSIPELESDISDLFMVLCRNWFATNANS SKPLIFMQMQPEDSLKDVQDRLVEAKLIQQMISKCPNKLVGDSIQVLELINQVFSDFL SADPGKENEAGVSLSLLNIVLTAPSFRPNTEVDALMDSIKVSLDKIGKMTQLEISATA RNLLLFLTYRNSMEDPGTEPLSTPTSRQVEDRKTYSLGMSYLTATDSPPPVRAQGLEL ITTLIRANSSILDIPALLVLFSSLLQDDEEYIYLKAIQSLDQLSQKHPKAVMKDLIDR YVDPTEEYDLDQRLRLGEALHHVMKSNYLALTGELSQSVCEGLLFIASRRGYRPKSER QQAKKNKLKRKQNAAAEEAWGGDVPQLDEVLEAETQADEEILSQIVGGWESKRGMEDV RIRTSALAILGSAIEANVEGVGSKIVSTALDLSIHILTLEPEPEKGILRRSAILLIMS FIRALDSASRERKKLGFGLVGQSLEDVQRILEYVEASDNDGLVKQHARDVIEGLQAWQ MNILIPPQKEDTELKELAGLSITPRGLEDSTGRIRPRIEEIEER LY89DRAFT_679875 MSETPIQAAPPTYDSNTGTTNEKHEYMHVGPEQQAYQQNGPQPS YPQQTYQQPQQSYPPQDAPKQGVPQNNAPRNNYQMATPLASLQQGPAPVDCPICGVRE MTRTEFVSGGKGCV LY89DRAFT_727840 MSAEKAGLPGSHPVTMPTRNFSMSSAASDDAVPTTDPKDTSSLL EERLRAWKHAVGYLEDYVSATEKVQKAHAKEYEKVLKTIQNPLKEGHHFDQSLGGIAG LFENMRVNTQGLANTHLETEKNLKGSVLPILDRLHKEIKNKSKELASGASKGAKEVEK ARNTTQKHIELLGQHTAGFGSTGGKMSAHDDPYVVHRGVVHRLGKQVMEENNNRHDLI SVQQNFQTFEVHIIEVIQQAMASFQQFVGGQAQKVEQLYADMLANAQQVPPDMEWKGF VDRNGSLLIDPNSPERTVEGISFPNMDHKSTKPLIEGTLERKSRNKLSFSGYSSGYYV VTPSKYLHEFKDNDNIKRDPQPEMSIYLPDAVIGATNGEKFNVKGKDVSKGISSKLSG SSEIHFKAHTASDAEKWFEVIRSVAGSAPAMSEPTSPVSPVDTKHVSQPPQYAENTAA SPVAAEKQPAPLQTHGVTGGETVASPVAATPTTAATDHAIPTTGTAVDADKV LY89DRAFT_574388 MAPRNLVARLISLFLFVNASIAQSCWKNTTCSGPTDTAFPGTWE SNIFAPSSRTVSPASILSSEGAFISSFQGSAKLSGNGSQLVFDFGIEVGGLVTLDYYA TGQGSIGLAFTESKNWVGEWSDSSNGEFKGPDGALYAVFNTSGKYSYTMPDIRLRGGF RYLTLFLVSNGTATVDINDINLTIGFQPTWSNLRAYQGYFHSNDDELNKIWYSGAYTL QTNAVPVNTGRHVPFLITGWQNDGVLGPGDTIIVDGAKRDRAVWPGDMGIAVPSTFVS IGDLSPVKNALQVMYDYQNPTTGAFPEAGPPLLQLGSDTYHMWTMIGTFNYFLYSNDT AFLQENWAKYLLAMDYIYGKVTYPSGLLNVTGLRDWARWQTGYNGSEPQMILYRTLIT GSDLAVWAEDNTGLNATWNTRAANLKTAINQYCYDDAYGAFKDNATATTLHPQDANSM AVVFDVVDTDRAESISTKLLDNWTPIGAVTPELPGNISPFISGYEIQAHFSIGQTARA LDLIRRCWGWYLNNPLGSQSTVIEGYLQNGTFGYRSTRGYDYDASYVSHSHGWSSGPT AALTTYVLGLSVTGRAGSTWKLAPQFGNLTNVEGGFTTGLGKYQASWETKEDGYALSY NVPDGTIGELVLPLLNAGELPRIMIDGQPVPQSMNPEIVGDAVVLSSAQGGSHEIVVQ LY89DRAFT_165998 MKTSSRPRGEIGGSFASRRGHVPQLSISDTNHHVTEAIGDMYGD DDYSKRDSRPLSFITSPLNDSIEAKTFVTSPLHESSGPGGSYLDVGSSRPSPNTNGKT GKKAHTSPAMLQSPRSTSFDKGTLSPTLSLRDRNVAETANAQFPLNDIDYESNPAAVA QELNNLQALRRMSMDVGNTSDPDLPSFQGASFMPSIAPTGDDDEDDPSRLFWVPARVH PELAPMEFKTFLEKRVQSIKRRSGEQASLSPDSLERSGSGSSLRRKKSMLSRQIDNDG GRGAVGYKDGAEQLERKKSLSTQQTPELKISDLKELESLVRDPTKMQQLSLDTSNRMN GEMPGNEDMPILPQAPGIGLRRSTRTTYRKGSLRKGERVPFSKRAGAMNMKADTDGEE SPASSPIDGRPPIGFPLTRVQSEPTSTENFSRPTRGARRLQNLPQTSPSDSGTEGSGK DDKLGQAEAKPTREAAPQIPQPGNLPRSSTFPRNDTPVPRIIETPPPPEAVEAPPPRQ AHQFPERKSSQAPVPLHHQVVPEPPARSSRRPTLDRQNSSNPQTIPRAAAPQQTLNDM AQHPSPLPGSSASTDTLTFIPTLEEKKHERKSKKEKDDVETSASSKKPSWGWFKGSDE KEKKDKKREEDHKKTKPKVVVDKAHDNTRLDVLQSTMDAGVPRGRESLLLDRESIDLK LQDERKKESSRKSGGEHKKEKDSLFSSFFSSSKKKGDRDSGGKKGSSLRTLSPEPPPR QLKPDIDYSWTRFSILEERAIYRMAHIKLANPRRALYSQVLLSNFMYAYLAKVQQMHP QMQIPQSALQKKQEAERRQKEQEQQLRQQQQQQQPAESGEQYRYDYHQYAEQQQTPKS EGVNYVDDSQIYDYDHQAESSHSQSSNRPQSRASQHNQENGYGDQGQYGRQGKDYYPY GHSDDHDQDQEDEADDMW LY89DRAFT_679880 MDPPSGFESTTPGTILRIRKAPGNLTDIIANSSTAYNILYRTTD SQYKPTWAVATLFLPSVITPNYGEYFLSYQIPYDNANVDGSSSYVIYEPGVTPTDISA GLGRGWHVLTGDYEGPLASFSAGVMSGHAVLDGVRAVLSGSCAETFGLLPEVKYALSG YSGGSIASEFAAELQKDYAPELKFVGVAIGGTVPNITSVRDTVDGTVSAGLLPSWLVG VTSQFPLARKYLVSVLKKTGPYNATTFFSVAASGDIFTDLTVFEFQNISNYFVDGFAD LNDPLVQAVVKSDNTMGDHGLPTMPLFVYKAIHDELSPVVDTDELVDRYCELGASIWY RRNTVGTHGSESAAENPIAFEFLESVFAGTYSIDGCKIETVTNPAPSQVVVGI LY89DRAFT_166208 MFRAQQNAFDDVVAKATDENLTSENWEYIMDVCDKVTGEDSGAK DAVASMIKRLAHRNANVQLYTLELANALSQNCGPKMHRELASRAFTDALLRLASDRNT HQQVKAKILERMQEWAEMFKDPDLGIMKDQYYKLKTQNPNLHPPSAPQKSRLTDLDRQ KEEEELQMALQLSIRDGSPKAPANASQAASGPSQAQPAPVQQPMPSGTTAATVSRVRA LFDFHATDPDELTFRKGDIIAVLESVYKDWWKGLLRGQTGIFPLNYVEKLADPTPEEL QREAQMEAEVFAEIKNVEKLLTLLSTSTSDLNVRDNEEITKLYHSTLAIRPKLIELIG KYSQRKDDFTQLNERFIKSCRDYEHLLDASMGAQPTYGRYGGGYPPQAAPPQNDPGRY YSPNPQQDQPYPPQPLRTGPSPFYVVAQQHPPQGGQEPQGPPGQQAYPPRDNTPRIPS NQQPPPSQSPPPNQYTGPQPQTGHRPESTYSNPQELATSIYNSPIGNRRDSTYSNSLY SQEDPYSAGGSGTGQPPLAQQQYSAYAPQRQPSYDAPPAPSGAAPPAPSGPIYPSIPG DARQTLPSQGQYKPYPGTAENVAAPSAPPGGPADFYRQSTAY LY89DRAFT_663540 MAPPPPSQSSQSSATKSVTTTKLLASESPVPGTTVLATPSSSPP PESASAAAPPIVRIKFRLIHNPKPKPKPKTDKRKKRATTTKPAPKKKAKVQRRKKKAP RGPNPSQLILSVSDIGVMPFVRTSRNRRLGLEGQTLAGWDGDFVEESVWAGDRVWGAG ETETFPVFDEEEAMRLIRARAEI LY89DRAFT_713602 MVSAVRIAPTRAVRAMNLLRTVQYTHPPTCPCHGNPNHHHHHKP SNGLLAHAKRNLQRNYATPVDLSRQKEYAFEMAASSIRFGPGVTKEVGMDFKNMGAKR VCVVTDSTVRNLDAMKQVIEGLTREGVEFTIYDGCRVEPKDSSIKHAIDFAKPYQPDA FLAVGGGSVIDTAKLMNLYTCYPNAEFLDFVNAPLGKGLPIDKPLKPLIAVPTTAGTG SETTGTAIFDLVSKRAKTGIAHRNLKPTLGICDPLNTRTMPSAVHASSGLDVLCHSLE SWTAIPYFERIPRPQNPINRPAYQGANPISDIFSLQALRSTVQYLPRAVKDPEDHEAQ SQMLLAATLAGVGFGNAGVHLCHGMSYPISGQNPGYKHAGYQVETPIIPHGVSVAVSA PAVFRFTGPSNPERHLAAAEAFGVDISNVKRESAGEVLGEALTKFLADLGDQPKGLKD LGFKREHLDDLVEGTIPQARVLMLAPGLAEEVNEEREQLKQLFENAMEH LY89DRAFT_573792 MNSNSRTASDAPGYNETDEGSGDSGVMATGPSRDAVKKMDQIIQ NFHTKAAIVVLQTRMSLPFMINKDGSKKVNRWFQIETDDTSSFREELSLWRSCGGYQD RPLPLIIEIYLDTSSLTSSQSLVIVDDQGKRWDVLDALNRSSGSNDGGTRKRKTEVVL ERWKFELKDLPGERIYDFGSTLPTVYKMCIVFFRTLYTTTKLLPAWKFAKSMGKSNSN NSLRISCRILTDDAQPNRFDALTHPLYENGGAVTSECPLGTTETPVGQLSGVVTYRND CSFRVDDSEALLSSRFMGADEHFFQPSLGVKAKDRHGIPKATEVGSLPAHRQHFDNPE PIQAYGSLSTFHGDAPPRGSSPISALRANKTMGSDTSSPPAGSVPKTRPLQTSRASLI SMEGITMARRPSVSFQPFKAGSLSSSPGRVTPVQNPGDMLPPPSPQSLPRTSGISALT QARNRSSLTAGMPATLRGGPVVPDTTVASMSSSPRPTPLSKYSSSFGHRRGRSSFGGA SRLLDDDQGSSGKQSLSSSVQPGSGILTEAGAGASSDSLQTDDDNISEFLKLLDSKKN LQSFEPSGEASTKRTSAQLSKFQSMRESHNALTESMSSSTMLHRSSSSSSRQLSSVPP MVAATSMSASSSPGKPVSPHTPHTPAIPSRLSANSIADYAEPRRLSYRSRTAPEVPLE DVADDDQTTELGTNAIDIPTSPRTYYPHARRSSSVAQQHRAIAVEEDLGDLPFGVHRS ISLGADDREPPSLSALLGLGQAADNPTSPTSESPSRLLQPAPHILEPKAMTHEALTSL EAHETGNTQAPRGQSMSYRPRLGRVGGRGTTPPTGSSSLIERASGSGTSERAGGRYSF TRPVGAYEADDELLFQMSEIGRDSSRKSLEENRGGGNVGPSDRRYDVHRGGDSGSSSR RGSSHRGGW LY89DRAFT_635209 MSHLLWKYYHNGDIDKFRHLLSNGSNSAAHTLKGYGGIGGNAHS MGSHVGSLGTSPRSAVKHRKVSGQPGTTSSAKGASTTLGRAEINSRDYGGLTILHRAA SSTTENATSFAMALLEHPAIDLYIQDTENGWTALHRALYFGNITLARAIIEKDAREPT SQMGNAGQRAISSVIKVKDYEGNSPFDVYNATIARRSLQAIPDASGSDDGSDDAASSI AGTNPEGHLIHGSIDGDEVFAWGSSRNHGLGFKDQDDRQHPEKITLKRPDHLLFRFYR EYVESVAHGDTALKLPPTPKSVSELPILISNRPIVIQDVAVSKLHSAILTTDPESNLY MCGFGPGGRLGTGDETTRFSYTPIEEGALSGKKVIKVALGQNHSLAVTSEGSLLSWGT NTHGQLGYTLPRPALKDEEPVCATPRQIFGPLKREIIIGVTASAIHSVAHTSTSLFTW GKNEGQLGLMDSDSRSLEVQPIPRKVAASLFKSSINMVSAINTATIVLLANHTVCVFT NYGYNIVKFPLYEGFTNYHLQSNALTTRYESGSNHISHITAGGDTIGALSSRGDLFTV TVRGIPTTSATSTTNPSKIKDSLSPPQRVWSLRKGNWDGIKSVGITENGSVIVCTQAG AVWRRIKRAKIKDAFMGTGNLNRKDFKFQRVPGLTKVAAVRSTPFGVYAAIRKDCDVT RTQVLVAEQTLWNDMAPLLSIRDLEASEPPQEEEDTETPRYWTPALPKGHFEPLKRAV LTSPDLESDVAQHLLGENLEGYDVEIGTSSSDVFIPVHGFILARSSVLRKLLKDHASG TVSIPEMLTIPASDTLAVSSSGKLKTRIIFQGLDFITIVNFVVYLYTDSIIDVWHFIR HCPRMSFRYRQVRVELMKTAGQLKLSKLESAVRLMTESERRMDADLAIALQDPNFFND GDVIIELDGSELIAHSALLCQRCSFFESLFHGRAGGQWLAGRRGSGDDVVRIDLKHVQ PDTFHLVLNYLYADFGTELFDNVVSADIDEFSDLVLDVMGVANELMLDRLSQICQQVI GRFVTTRNVCQLLNHIAPCSVTGFKDAALEYLCLQLESMLENHLLTDLDEDLLLELDE VVRANQLNCLPFAKSGRAELLLHERHPGLAEDILEERQRRMKDMNFRANMKDDESKLS TSYRTRIGSLDDLMSASPTQERSRRKSKAVRNAPFSPSLRPKDSTIDLMFDMDEDETL AVGSPISPVLRPTTDERSPASPKLAWDEPEPRSLPDDEILSPPLRTPPPGVRPTQVQA TSSPKTWSSPVFPSSKLDMREIMAQTSTSRTSNLSMSISAEKAKNEAVGKQAKAKMSQ KERKKQQQQTMQQMIAQPQISLDKGDGKAASPWQTATVGPKTSLKDVLGEPQPSPSDI SSKHLESPAASRSITPRRTASPDTRFSGQQRSVSNSNIGKGKSAASSSRPLTQPQTAK SAPIVPHSKSYTTPAMKAEPSLQLSMADIIGQQRREQEVIKEAVAKRSLQEIQEEQAF QEWWDQESQRAQEEEAARAKNAGSGSGRGGKSGGRGKRGARGRGGRGRGDSGQGQDRG RGRGQEKGSST LY89DRAFT_713605 MSTLVPHMCSENSLNSLLEPIMCCSEEDCTYSFGPACESCTLIL KIREQRRQNLSTDHHSNKHSPKFDVNATGQLFFDGTSNDTSTKAAEDFNADLDSEFQI SETRSFDAELPSSASSNLQTFSYPYTDTTSTTQPNSTETSISQTLDPWLSTLFDHTLA GHISGTAFVGGAEEQNPTENACPLCSKPVGDPRDQHLLRL LY89DRAFT_166356 MPLVKIDIIKGIRTPQEIKKLADVIQQVMLEKFNAPPRDRYQII TQHEPYEIICEDTNLNFQRTDKLVFIQIFQQGRDAETKQAVYKELQRRLEVDCGVPGT DLIISCSRNEREDWSFGMGRAQFLTGDL LY89DRAFT_573978 MPPKQDTLPKNWPPILPYLRAPSYSKSLTPTYLSALRTKPQDIP VIPTNTPRGPCQLVRITPITSPSHPTNGQSGLFATRDLKPATFILQYIGEIHATPSNS DPDPHAESNYDLSLDREHGIGIDADKRGNEARFINDYRGVAERPNAEFREVWDERRKE RGMGVWVLPEGKSGKGRGIRRGEEILVSYGRGFWGARREGEV LY89DRAFT_679884 MPSLLDSIGNFISSIFNAIMAVFSSIIAVFQSILNTILGVIQST FSAMGTMISGLAQTFEGLVKFLLSKFNIPSEGEEANEGSIGNILVIGVVVGGLFLYGV YQQKNGRPVTAAPAKKTS LY89DRAFT_693360 MLIKGEKYACEACVRGHRVSNCQHSDRPLQHINKKGRPVSQCTH CRTLRKSRSAHVRCDCGGEKAHNKGACTHDSGDSQDNCCCSHGARCSCALKKEHLDPV PESDSDETSSSSATTNEKRRPRALTAQSETGLTIFTNGHHKPIHKHNNMAHKCGLPYV VPRAHSIHGPSPAGMANRSVDNLPHTSTIDALHSDSHIKDSMVSAQQEQRMVKSEHGS PLLSPISNLDQLNGQLPPLDLSSIPADFYSLQNIDGFSSIPDHEQPMFSAGLSSASID WSHYDGLDFNSDNFGASSYSQAPSFTGFDFSSIDQPALTTTSTSGEISEVEDFVPLND NTGSRPSLLNQQYGSDFDTSDFGGDIDGYRLSTASSYIGMPQAQMLASNNLDALDMDS FLKGAATSNGFPTGNHGLPMTNYSEEGKVGQTSSPFDEGTNYQLLDDEDTFWMNGTYP SSGIPQNTGRSEMPEENVWAQ LY89DRAFT_166528 MQSYPDPNAGAGAGGAPFSYSTSGQQQSGVPNPDELQMNAQLAR LEPVMNASPGGNMASESQDPRGSSQGNVNHQYEHGLPHAGQMQSLHGPMDQMGAYDGS PDGSMAPRKRSKVSRACDECRRKKIRCDATGESDNEQCSNCKRVGTNCQFSRVPMKRG PSKGYIKELADRLNTLESAVHAGEVPMNFLHQESPTQRRPSEEYSPPPNPETRKRGHS AVSNDYTPSRPANWNSPETRHVPHPPPATYQPQAGFFKDSDYASNGLQQPSSWKMAPE QHLQDASTFVVTQDVNSEYAHGWDESIIDGYYQHIHPTFKILQNDKSKLRVRLDVCPG SLKAAFYEAIQVAVRSLPASSQRPAELPRVRRAAQLLHSARLENFPPSLSTDLICLQA MILLAIATADSPAIILRPQPTSPKSSLADAIEVAYAMRLHQYKPQALGEDHDSDDKIA RRLWYTLVSLDRWYAASTGRPALIPDSIVIVWPEDQFMLGESFYHIARKFSLPEVDFG NLNTLGMSLVLEHTSRVLSPRPDLPPMNFNPPVYGSILRGELERLRESFSGSLFPPTQ APVLHICFWHMRILIELTLEESTPTAMADAVLNLVTQLNRHVGVFSPLIHYCLALAAL TLIELLEYEETRNDAELALKSLLNTRVAYSSWDTPVREMITNRLQRPSSASLGMSSSA AESSKHAAVASQSLQRLADLATATGGREVGGGEDRNEGEKGTIPAAASTPASARNLYP YYSNLRRVVGDGILNAFMIGGESAR LY89DRAFT_635218 MAPVYKIAVIQLYPKPLDQKGNHAKAVTFLREAAAQGCDLAVLP EYHLTSWVPESPEFIPLCAEYKTYLEAYCQLAKELKMNIVPGTIVEKHTSDTSPDELH NVAYFISSTGSILSSYTKKNLWHPERPHLTSSSHTPHAAFDTPLGKCGMLICWDLAFP EAFRELIAGGAKTIIIPTFWNLSDCNEAGLKANPLSEKLFLESTLVSRTFENTCMVVF ANAGGPEGTRIEKGGFLGLSQVAVPFKGALGKLGQGEGMSVVEVDMQVLEDAEENYRV REDMGRSGWHYEYSLKRDVRTG LY89DRAFT_574090 MQLFSDAGSERSNDIRNSENASGTRTTNTPSVGSRSGADQDSIT GLVTARFKHIITAEGHAVITGRDGETLQRCEDEPIHIPGAVQSFGLLMALEEKEEGQL LVRVVSENSHRMIGYHPQELFKLTSFTDILSEEQTDNLLDHVDFIRDEEADPATNGPE VFTISIKPPKSGKRNQKLWCAMHINPAHPDLIICEFELDDDQVNPLTPPSSDTPEPPE DTLDSQPTQEEYAESTNIGSKPLRVLRSARKRKGEAAAMEVFNIMSQVQEQLASAPNL DKFLKILVGVVKELTGFHRVMIYQFDAGFNGRVVTELVDPRATKDLYKGLNFPASDIP KQARDLYKVNKVRLLYDRDLETARLVCRSVEDLETPLDLSFSYLRAMSPIHIKYLANM AVRASMSISINAFNELWGLIACHSYGHKGMRVSFPIRKMCRLVGDTASRNIERLSYAS RLQARKLINTVPTEANPSGYIIASSDDLLKLFDADFGLLSIKGETKILGKLDQSQEAL AMLEYLRLRKITSVITSQDFREDFPDLRYPPGFDVIAGLLLVPLSVGGSDFIVFFRRG QVKEVKWAGNPYEKFIKEGTEGYLEPRKSFKTWSETVVGKCREWTEEQVETAAVLCLV YGKFIEVWRQKEAALQSSQLTRLLLANSAHEVRTPLNAIINYLELALEGALDQETRDN LAKSHSASKSLIYVINDLLDLTKTEEGQDLIKDEVFDLSATIREATDSFRSEAKRKGI EYDVIEQPGVPQLVHGDQRRVRQAIANITANAMQHTTSGWIRVEVWLQEVQEDRATVE IVVQDSGAGMSNEKLDALFRDLEQVSTDGDYLFDETPDDSVAKLPPNKDNRTLGLGLA MVARIVRNMDGQLRLKSEENKGSRFVIQLPFALPNEDASTEDKSGRPKTASVVPSVAT PPPAATEGEVTLVDKVSSLRVDGVVRKRSLEEIASMRSHRSGSSNGSNASKKSDVDRL IDAISTPLAVGEAESEERSLQRSASKGSSTHSRKSAGSYGGQSSVRASADRPGHLTRS RSYGAPEHLRTNLEGPSGSEFVTDNKTLLKAVRMPDEFMESPTVEYPHSASRVLFDIP DKQGKTNAHRESTSSRPKTPNAEHLKILVAEDDPVNSKIIQKRLEKIGHEVHHTVNGE DCASAYGEKPAFFDVVLMDMQMPIVDGLTSTKMIRSHEKSHPDSRLSPRASANGRVPI FAVSASLVERERQTYINAGFDGWVLKPIDFKRLNVLLEGIVVENTRNSCLYHPGGWEK GGWFHRNQPSILRASTFPSEKTPVQLPPGREVSQPDMDSLNSSESGSVTPRMEPMEPR KPLIHDERLNAQSEAENKLGVQGMEDNSVEETPAIEDRQVEDVTDKTQE LY89DRAFT_166636 MSSFLGLEGYHVFITGAAGGIGSQAVKEFLDQGCKVTAHDLHPI TSLPSSHQLFTVNGDISSEPSIEKCIAQAVAHHGPINILIANAGITDESHSYPIWQLP LPLWQKTYTNNIQGTFLTIKHFLLSASAQQTAHSGQEIENLAIVVTGSETGKFGQAGH IEYASGKAGLQYGLVRGVKNEIVRLNSKARINAVAPGWVDTPLIEGRLDDPNEMWTEA QATVPLRKIAKPEDVARTMAFLASHRAAGHITGECISVDGGMEGRVVWKRDEILEPST SELTKREESVPIPQTLPPPKRNIKVLLSIDFDAISGFLGTGASSTTNMSDYSSGYFSG QVGVPRLLKLFKKHRISSDVTWFIPGHSMETFPTQTKMILDSGAEIGCHGYAHEGGSQ MTETQERDVITRCVELATNLTGKRPRGWRAPLYQLRENTVKCLEEQGFLYGTFLSLSP LSPLQGRTDEAKDSSLTHHDSQPYFLPQTPAIQPIDFSPHVQASTWMKPLPPPSPPND KTLVELPANWYMEDMTPMQFLPAAPNSHGYVPTSSILSMWQSRFEYLYHESSDDDERG GHFIFPLVLHPDTSGMAHVIGMIDQMITWLKGWREEVRFCRYEDVAREWKARQKI LY89DRAFT_679892 MATASASETRLETPRKESTGVLGMLKNLISPTKKPTPTKVVPQE DQIMEESDDVPEEEEMANEPPQETLAPLPPANSEESEQDSPIALMPPVREYLPRKNTY DVDESDEHEKVSPRKRAKHMSKSKAPLVMAKTSKGLVPGKQHQTRGKGSVLSVPTVNG RGTSSRKFPVRERIDEEAVTEPSTPRKGRGRPKKNAASIRASEVQETEAVVEDTRNVD GILLDPGPENPANIPTTIQRVHSKRNPESLSQMMADYSEGEEAPAASGEDQEHFDQEE NDNGEENEENGSGEEEDELLDDAEDHLIKIRLLRDMLSIANQVGHKDVNGEWRWQEVA GNIKDRRETPLTEPGKRIKKKVEDLIRQCRLLEEAQESDPSIPDLEAGISSRLVTLGE ELEGMRKPGLEIPEDEMTLDEMTLIKETLLDTYFNIVPGLLKVIVAYAEAKLDRGSME DHDLAEFQDLCRWLFDLASSALKHPPRLQPKAAARSKGISYRISQPTRSVLAQLGPFL QKLDKELASRQRAEEAAERRRLAPGLAKKREEEEARMRTERRRALNQLHREQWAALER IQNDPERQAWRRVTSSNPQKDALRSSYRSSTNSSQRNPEQEIVDEDDPFSEDDRRQAE EPSRSSARDAREQERIRREERLREQEKIRLEDEARWEQERARERKELHKLQHKVFQER LRERFDEPFWGQVLYKDIVRAEEARALSQSRSRSQTIEQNGHAGEDDNRADNDPDAES DDPFADEDAPKPQKVFDYKRGDHLNNAKPVSDQEKDLFVEMMMHGHGDPNRYQRAAQR LQRTMDEIFAMAKDFQEAMDAEHEKGNINEECDKWTYSVWQAPNSEAT LY89DRAFT_166776 MDTPTSIQQPQGQLASSSLPILDPAQAHTFIKPVKRIHEGQDVP AFLTSQAYRDIGIFVMQLNIAVCPRKTDVSNRNQTWALDSPMSHSEPVRKLQALLQSI DSIIEEAPPDTGPRRFGNVAFRKWYELLEGRVRTLLETHLPKPVLEFSTNLDGGVSVL DELIPYLLGGFGSAQRLDYGTGHELSFLAFLGCIWKLGGFAKEVSINGDVERSIVIGV IEPYLRVVRRLILTYTLEPAGSHGVWGLDDHSFLPYIFGSSQYSPAIKDGDAMPLEGS LSGTPNPGDITKKNIVDRERKHNMYFSAVGFINDVKTGPFWEHSPMLFDISGVPSGWG KINKGMIKMYNAEVLSKFPVVQHFPFGSLFSWNQDPLATQATTTVHMASQPASRSSSA RNSTTPSSSDATKAPWAAGRVGPATSQPSSGVTPLRAPWATDGGSGRTAGGASMPPPW SDGPTRAPWANQKPSKD LY89DRAFT_679895 MPGVPPNALSELKAKFKKLLSKKSKKTEDKPAETKPAETSTNGA APTETAPPAAEPAPVAAPIETKAAEPAAPVTEAPATTATEEVKPAVEPAKTEETLTLD STPAPVVEEAKKEEPAATPAPIAAA LY89DRAFT_727859 MKSVTLFAVLASLPIVFAQSSSSSVAAAAAVSSSTYLPPSSVAS VPLPSISPVGNYYYAGCYLEPPNARMLPYLVVAADNMTLEMCSSNCAGTYQYWGVEYG RECWCGYTLAAGTVETTTDQCNIPCGGLPTEMCGSHNGMSLYSSLSSIAPPYVPPPPP TPKIPQMAGPYTSLGCVTEASNYQRALTSFEVVNANMTNDICATACAGYTYFGTEYSN ECKKYPRCS LY89DRAFT_663554 MLSHKLNCKFPPQVSTGEPTLLPAQVTVHAAVPTKELEDVIKVD KTTDFVDDDEDPIIITDEEYDKGLIEDVETTSLTEDDEDGIRVDETLGLIEDDENPSS DTEGEDGIKVDETTGKFEEDEAPTVIKVDVRTGSIEEDEVPGLIENAEDVIEVDGTIS MLEDEDPTTVAAGEDWIEADDTTGFALEDKDGVGLGMTREDEDEDNNREDVWMTKDEE DIDRYGKLVETTNTNDDEDRYGVSGSVVDETGDGVGPGMR LY89DRAFT_727861 MADVAAPEIPHQEDVPSDAPSADDTTGITSEVEAETETEKEAPV KEGTNGLKKENVPPKRGIRPGVSSTATKPTASSATRTTTGGLSKPPTRAPLGSSVRKP PSSATAPTSASAGHRSQASQASLGSGDEKKKTLGTAPRRTSLAPTGAHGSPAKHAETA AEKRTSAAPSATRKPATSISSATPKPVSKPLSSSTTTRPTPSASTTASRSVPMSKPVP TSAVAEAKKRLSTIAGSPSTTKPVAPRLASHATTPSKEVEELKAKLADSEIRVEELKS EITASQEKLLELGKQVEEEAKKVSEAETNIKTEHDQMIEKLRAQHKADIEELQARLAE AETAKTLASESSLRAIEEAQQAASAKGASEIAEALEKLKSEHTSALEALSAELAQAKE AHTLTLSGTSEKETEIASLKSQIAEDNQKLEALQADHQSTLEDLQKSLAEEHESTLSS LKTAHAAELAKGNTDATSVYENQIAELTQKHESTASDLQTRLDEAIAAQAALEASHKE KYDAQSADSATALSKLEEEIANLKAKAETDSEALAKSSKEIEDLLAQTESAQQQLSSA NEGYDVLQKKVAALEAKNAGDEEAAAAAQTELASTRQEIASLQQMMDTFDAESKSKDE MHSKIKNELATTEKHLEDKAKEIDLLQEKHQKELKTISQDYEAEIDSLQGNSGIREEY EALKVKHEELVQSLSEATSTHASEIEGLTTKHEQLLKAHSDTAAAQAQELAALKATNE ELVKSHGDSSASSAKDLETLKSAQAELIKSHEDALSTSAKEIEALKAAHDELTKTHND AASTHAQQLATLKATHEELMGSHTATLEGQIKEIAQLKQDLAIATAALDEKEVAHQNA LEELKSTHAKNLDEAHDRAITAGHAAHASELEQLQANHAEAIAKLKSDHSEAVAAALL NAEKVQATETGLQAEIAKANAALTEAQTELEGLKQDLAHEKMAKATAQAELETALNKK PDTSEVDALREELQTLKTQHQASLMTAQQESAKATEEHLATKSALQTAKAELDRQKAE SESDYKDMHESLTQLVEEANKKAEDAEARLKEAEAHLKVKDAELAEAKTKAAPPAESK VSGLAASKYADESESGEAATAPVPEVAEAKASVTLQQLDQMNEDLRQDNLRSLESITE TSSSAVAV LY89DRAFT_776894 MKFSQVLVLASLPVNALSSPSNWFRGVAQPDLISEDVNAPIESP IEQSSRFKPSELLDFSARKPEAYTNAIVVLKNLEQKPICHRTAAQLLMDNCHGIEEND ASSDQWGSAHIQRHHVESFANGLTMCDMERARFVTPEACSPFGSSALCRASRDKHSQL GITQAQVTDCLEALGGDERSWSTWLSNRDKAVTICRAARLDIEKDQAILVHKQLVELM QQFTSGVDDDLTRLREHLKASSRFAKSFVDDLTNQAENGKAKLNSAFETVSEDIKGVD SVVKSILSSGTDVLHMFKLSMQTVLQGNAEMASEQEQALAVATDAFQQRVGGINNAVG QTEASVLVIQDALQQLVPMVVALHERQNALEEKAQSALSAVMNATELLQSHTQHLQQA SIKASGINDDLDKAVAIAQTWQENLRVSGSMPDWVFRAGTPMAALSLGNFGIARSTGG NIGLAGAGFVVGESLVVLRHVQWPNLFNLCTMNLFRTSSSQPQQQVNMSSLPPKALSE MSPSSSSTYQTNTDEVHIDMV LY89DRAFT_679898 MLSTARSKGLERAIASAPTAPIPEFLLPGFPVRSSRSFSASTCR TSQIGRAPLSIPPEVNFNILPPPVRKNGRASPLATRPTVEIEGPLGKMSMTIAPFVKI DYDTAQRKAYVSVEDREFKKQREMWGTTRAYLHNHILGVSEGHTAILRLVGVGYRATV ENTATTVEPEFEGQKFVVLKVGYSHPIEMPIPQGVKASTPQPTRILLEGCEKEVLLQF AAKIRMWRKPEPYKGKGIFVNGETIKLKAKKIK LY89DRAFT_574474 MASYSKELEVAQLAVQRAAILTKKVFHEKAKGTISKDDASPVTI GDFGAQALIIHAVKKNFPEDQVVGEEEASSLRDNQKLRDQIWALVNGTKLSDSEAEKV LGGPIESMDAMLDAIDAGNSAGGNKGRIWALDPIDGTKGFLRGGQYAVCLALMVDGDV KVGVLGCPNLPVDDSAPLTAESGIDQTDAEGKGVLFSAVSGHGATSRPLGIAGLGKSQ PIQMKEVKDLGQATFCESVEAGHSSHGDQFEIANKLGVTKPSVRMDSQAKYGSIARGA GDIYLRLPVRADYQEKIWDHAAGDLIVREAGGEVTDTLGRRLDFSKGRTLAENKGVVA APVAVHGQVLSAVKEVLAAKK LY89DRAFT_635239 MNATKRKFNALINGIGNKSTTTLASKEVNNVPDNNGDSQTKKRR VSDRSSITSSIDMPSATRKPLATMKHKKAALSTTAAATEPPKYAPWDREAFLKRLKSF SNLTDWTPKPARVNEVEWAKRGWVCQKLERVRCCLCNVEILVKLNRKEVEGKEQPVYI AEKIGALKSSSLEEDADSCVEEALVDKYVELIVSSHDEGCLWRKRGCDDSIFKLPLNH TGTTFRNLRERYDEICKRSENLPYKFNMRTPQGFDLDVVLANLPPNFFSSPTDATPDA SLPPADVNKVALLMALFGWQGHTHDRLGAQMGSVSCHACFRVLGLWIFKSKEVNAAGE EVVGPTMSCLDVVKEHREYCPWQNAISQNGKGPVKTSTTGMAGWGIILRILHNDHLLR SRRESPLGKIRHSVTENAIETDSALGTDVGDEDAMSIRDEEDKQRWARLRRVKSLFET KGGKKLTREKSKSRS LY89DRAFT_679900 MVNERSPAKAPLRPVAPNEQPQSQSDGSSKPLPQPDLFSSRPPP SSVPQFGQPTIPHSPLNRGPSKQSSMFIQPTTRPELHRDADRPAHPNLQAMKDATRKI MQPLMPRAPAEYNQPVRAPVPQATSYTTTAAAPAQMYGSMGSTYGGFNTVNSGPTYKG YNYVDLTMNGYRDPVPDPYTFVDPKKAEEDLKALLEGVIEDDEDVPRTRLRKKKKQIE ADDLAKKFKKFNVDDDGEEEEEEGKLAVASEDEEEEEDDGSVEGVKVKLLPHQIEGLE WMRGRELGTGKKGARVPKGGILADDMGLGKTLQSVSLILTNPKPTDEALIAKRKLPSG VEKTTLVVAPLALIRQWELEIKDKVLSSHSLRVCVHHGPSRTKRFQDLKKYDVVVTTY QILVSEWGNSSTDDDGLKVGCFGLHWYRVILDEAHTIKNRNAKASLACCALRTQYRWC LTGTPMQNNLDELQSLIKFLRISPYNDLKEWKDAIDRPMKNGRGDIAIQRLRAVLKIF MKRRTKDILKKEGALNPGGKPSAPGEGNMTGFKITERRIEKVFAEFSPEESDFYARLE ARTDASIEQMMSGKVNYASALVMLLRLRQACNHPKLVGGKLAKDSEALAVESTATQKA SKASNNDIDDMADMFGAMGMASKKCEVCQLELGDEVIAQGGIRCLDCEADLDRVTNPK KVRKEKKKRKHEKKPKMQIQRKLRNRAIIEDSDDEGEEQEGSWVVPEGQRGALTLGKA GGIDDENAEGGGEWLNSDDDDTLPTLDNLRQHKSKTSGKKVIALDSDSEDAESASEDD SNTGSEDEDSAVEDEFESSSEESKLATMVSSTKIRHLMEILDKEAAQHKFIVFSQFTS MLDLVEPFLRQKGFKYTRYDGKMKNDLREASLNKLRNDANCRVLLCSLKCGSLGLNLT AATRVVILEPFWNPFVEEQAIDRVHRLTQKIDVIVYKITIKNSVEERILDLQEKKREL ANQTIEGGKGGAGKLGMKEILQLFRRDAEHAPPNPGNEKYDLGKKPRILKEVSTSSGA SSREGSERRITPPMMRPSSSIAKENPVYGRRW LY89DRAFT_679901 MDSFTLFPQLLPELRLLIWKYALLHPRLILIYKHATRTTPPHTH VRRTVISPLLSASRESRREALKHYIQPSLLPTTPTLFPPLNTQLPFPVGPGTDILYIS GHDRPPRRRTPVTWTLTHLLSELDSCSPSFAPVRHLAIDLALLLYTPFSITPKFHIPY GIWKFVICDLRILETLIVVRSCGNCEEEKWGVDQVEGKLEQARRRFLDFWEGMRDGDD EEGGVAQEIERLSGWKMPFVEVLELEELVARCWV LY89DRAFT_776899 MSPTSILRLTSRQCLRTQARSIRPQVQGIRPFSRTTLAAYPRKG SEDKDSINTEATEYSKSGTDDASAKQEEAAFDPNTTDPKQEKKVAGEGEDGNPLEVSP ANPEVSKPRGEQEGGAQNAEKNKSSGGGSLNKAGKA LY89DRAFT_663561 MASTAIVGSTGLVGANILSTLLTLPSISSVHSISRRAPSSTDPK LHPLVSAETSQWSTQFSSITPPPSILFSALGTTKGLAGSVEAQRKIDYDLNLAIAQAA KAAGVKVYVLISTGGANAKSFIPYPKMKGELEESVKELGFEHTIILRPGLLVGNRNDS RFVEGVFRKVAGAMGSVANVLKDVWAQDADVVGKAAVAAGLQALEGGKPKVWEIGQAE IIKLGRTEWKA LY89DRAFT_605791 MGDPMDLDSPTNALKRKADTDIKYPLKSTSPKGGEVLSSIWNTD DNSSSFRKFPQKSSSLPFCQPIDYTSISGPTYLTAQTLIQQVAYALSDKIFSYSPESF DLDVAVKEWAASNSKNANGYTTQVAPMQTRSGAGAIALGYMFSKDFDLTKRHIPQSLL ASSSSLHSLRAALDQLSLLYSVANPFVAHVAAVDYAPGSSRALVTDYATALTVAEELG LGLVSSSSAYEAQHMSLFSTILANVLPTIHIYDGVNVGRDTLRVIDVLNQSGLSSAYT NVLKELEKLSKRADAETKVTQLLNAFNNELGTAYNLFEYRGHNNAEIVLVVFGSVESS LAGQVAEKLATDGSKVGVLNVRVYRPFAEDAFLNALPASVRSIAVLGQVLDQATVADE STHSSLYEDVLAAVVFSEKWHTKPAIVDVKYSRAETFTPSSVAAIFNQLTGKPTETVE KIELLGAGQAEQYTFWDLDDSEAAVAPVALGKLFSTNAAANVITSQTHDNFVQGGTIR TDIRSSRKSIEAAYPVDEADVAYVGEEKLLKDIGIVKNIKNGGKLILKLPGVKDEDLE KKLSIPVRNEIKFRGIELFLLDPALSAAVEKDSGVETLAVELAFLKVAHPESYEVAGQ KLAALGGDVTARKALVAEISGDLEKILRQIEIPESWAEVEVDKEAPALPATIKSSSFT GFEKEETEAPSLLRNWESAAKGLVFKEAYGTKTALRPDLTTKTYEITVQENRRLTPLT YDRNIFHIEFDLGTSGLTYNIGEALGIHAENDVDEVIQFMGFYGLNAEDVVEVPSRDD PNVLDTRTVFQSLVQNIDIFGKPPKRFYEALAEFASDENEKKELLTLGGPEGANEFKR RAEVDTITYADILLEFKSAHPSFHDIARIVSPMKRREYSIASSQMVNPTTVALMIVVV SWVDPKGRDRFGQATRYLSKLPIGAKVTASVKPSVMKLPVKDTAPLIMAGLGTGLAPF RAFVQYRAMQKAQGKEIGSILLYMGSRHQREEYLYGEEWEAYQDAGVITLLGRAFSRD QPQKIYIQDRMRQTIQDIIKAYIHEEGAFYLCGPTWPVPDVTEVLEEAIKRDAVAKGG KKVDSRKEIERLKEELRYVLEVY LY89DRAFT_166950 MPYFWDFRTVLDVDPENDRTCVGTAQKGERCCNPINRADRATAG LLLDQMDRSKSFKSSIDELEILAELLLCKPVHNNHKAKAYLSQVDTITAEWTIIVEEE YRLMRKRKGKVRAEKAKKELLRMAENARHMKAELEEERADIKSHKSSKYEQGARQKSD QKEVAGLEDPFVSATASAKSSTIIYNPIQSNGGGRQKVKKSETVVKVEAVSIKDPEPR VVLKTNTGLPTPDQTPEDSKDHTEQPKVQDKTPSKAPTKLRDEKTNPSLTPPLSTKVI RQTTEFTFEYGPGLDTQKNLKNKLEGLKTVTEQTWSFPVFNDTKEQEEAISTNPSPLK QLHSPTALDAQIARIPLGAKSVSSHNNLKTKKAKQAEYEFGNQENTPFKSFNFESSAL GSMLANGVFSKDTPPPPPILGPLFAFDHSPERNSSRSGSKKEDSPLPETQSTSMSPPD SNSPVIMKAQPVQESESNSMPQQWPNTTKSNENNYIHQDTDNASTTDIEATTPTTIIE QPALFNPPSPPKSYTPSKPLPPPRKQPSPPPTFGTALIIPPSAPYKTHLPSRRSQYMS PPKPASEDSYTTDLQIWERITAAEKPLPGLPWEPVKRGGGSVGLGLGIDSGTTVDEQN DDQDVGCLGSRRLRVLVRRAFGRQ LY89DRAFT_573823 MAPARPPKAKPENPIDAAVAAWLLDLPADLTDTQTKNVDSIVSV VPKRWIVYSPMVLLPAGNPKLKWWEIAESSDATMKAERDRLWRLILNAIGKREGKGVL THLAVNSGIPLHNPLNVGGDYSESENILRTPDGLVMLYGDFGPSLSPSQAPTSKDFED AFWVSTKQNGITQIWAPRYTMFSRGNVKEKARILDFPRSSQEFTSRTLSQELLSKNIA VDLYAGIGYFVFSYIKLGLKKVIGWELNPWSIEGLRRGALANGWTVKIVRQSEKLELG HENIIVVAEDNRFALQRLQGLESSFLKGIRHINCGLLPTSDMSWTMASEMIDDGWLHL HENVSVEDVQTRASEIEKMFRGWLSERRDARSADVEHIELVKTFAPGVWHCVFDVHVT AYSKMAKQELQ LY89DRAFT_167008 MQGHLEHNRQEPETSISSPAHMLSLDTVNDCPVCTPLDSPEFQF SQPLYSPAFGPSLVTAWSPSPMEAAELQLLADNIIPSISQPADIMWQTNSLENTTLNP TVQISTDFEDGISYCNNDNKDNAPCNESSQQNSYRLSWSSSSEQSNSCPTIFKAHTAH TRMTTAANGNGNGSREYLDMDSASHRSCTRRRNSTSKARSSSGSEARKSFSLDGTAKH NMTEKRYRSRLNDKFGTLLSTLPQSLVADITTTNANPEHGEKRVSKAEVLILAKEHIR ALEQAAKTLEEENQALVEDAEKLGSALLRSNGRE LY89DRAFT_679907 MSDSVTPVGLAVPTKKPTTNGVSEEPKDTTHTASQRYLSTRGGS YGLSFEEAVLKGLAADGGLFIPEEIPALPRDWESKWMNLSFSELALEVLSLYISPSEI PTADLKEIINRSYSTFRAPETTPLITLDEKENLYLLELFHGPTFAFKDVALQLLGNLF EYFLVRRNQGKTGRERHHLTVVGATSGDTGSAAIYGLRGKKDVSVFILHPKGRVSPIQ EAQMTTVLDANVHNLAVEGTFDDCQDTVKALFADPKINETLNLGAVNSINWARILAQT TYYFHSYFALTKTPSYKTNHKVRFVVPTGNFGDILAGYFAKRMGLPSDKLIVATNEND ILDRFWKTGRYEKKPVKGEAAEGGIAADGVKAHEDGVKETLSPAMDILVSSNFERLLW FLAYEYAAGAGMDDEWNKKQAGQEVEVWLKELKTKGGFEVNPDILKLAQNGFESERVS DEQTIETIKHFYATEATNGATNGSGSKGGYVLDPHSAIGVAASLRSIKRTTPTETHHI SLATAHPAKFANAVDLALKSEASFSFDSVLPEEFVGLEKKERRVRVVPKGAGWEGVRE IVKEEVAAELEGV LY89DRAFT_703740 MAQNIYDSENFFKNYIQLPRQVKGLAGTPEWSALKSLLPDPKGT QFLDLGCGFGWVCRWSRENGSVAAHGVDVSEKMLCKARSFPTDSAITYTRADLETFEL PPNTYDIAFSSLSFHYLKNLPSLIQQIYKTLTPGGALVFSVEHPVYTAPQDPKVVPGS EYQTIWSLASYLDEGPRISNWLADGVVKQHRTISTYATILLEAGFALAALEEWGPTQE QIKASPHTAFSFLLLKAVKAK LY89DRAFT_635258 MMGPLTSYHEWLHVADTALPQNMFGYLLQLCLSPLRTQPFTLEP YDNPKEIAKCGQHIGKRNFLPKLPEREGPRPTMLKWMAPHRQADQRSDDAMHELLAEA IDELVVKHAAHVVVRTSVLEKSGEAILIADNIDTPHAIAKQNKREIAHVHTDGGKGDY SLHMCLSPSDCKEVIEKKWGERMTLAGTLVPNNYLLIYTPRTKEEVEIVKTIIEGAIV FMTGARELVQ LY89DRAFT_167207 MDGRGLTSVPGYYIQHGLFKTRALTGRRRTGDPLKSVANFKPLN SQTATHTPTTFDPNLSCDRFPIAHLRFAKTQSSHSPHGTTIAVDRNNSYICAQQPGIF EKRRPRGLCSLAPRSRRGCLSFLFQQHRQVSAPRHQHGVGGWGSSGWPSLTLEDSKQT SPEAENFWGPNLRACGIYSHAIPAKG LY89DRAFT_167216 MATIALQRPIPPARSTSPHPLTPTLSLDSINTSAACPVPIPNKH LPICPPGPAPAEKPDTPPQSPPTKELTLQSRSLLYPPDKYKQKSLGATTIYEINADGV AAALDHIAGQPLPDPSQVFPWFHGLHPSNHIQQAFFIARRRNLRKTPKCLRGITVVKA GGDLSCSRLKGAIAPEEFLQQAVTGSTFREIDPKEGFSVRNFQIQAAKSAMVSDILVY GDDKIEAEKLANDIAVAQQALREAHEEKGHELCRYNTFVCTSEFQEFEERYPDLVATD SRGQMTGKVMDFFHQERIEMCTMTKASEIHHNIWMGPTPDPSIDPDLLTSDERYDIFI ECNDLGRLNYTALQAIAEGSVSDQPAYLEFPSSGSIMPPTWSQIEADGILETCKWLYN LSHGILPADETAQENDAEGDSPMPFSSPEPTKIRKRKILIHCTDGYTESTLLGLSYYT YATGLPVPSAWLDLHISKRRNFFAYPSDVALLTSIAPRLLSESPVHTEKSLSDITEMV KEEPEWIRNMDGSLPSRVTDYMYLGNLGHANNPDLLRQMGIRQILSVGETASWRDGEL ETWGKENVMVVQRVQDNGVDPLTDEFERCLEFIDQGKAKGTATLVHCRVGVSRSATIC IAEVMRTMGLSFPRAYCFVRARRLNVIIQPHLRFSYELLKWEEKLRQETHGDGFRREL EWPEIAREVAAMNKPYAR LY89DRAFT_703743 MKFNIDDLPVLFPYPRIYPEQYAYMCDLKKTLDAGGHSVLEMPS GTGKTISLLSLIVAYQMYYPEKRKLIYCSRTMSEIEKALAELKALMKYRSDELGYVED FRGMGLTSRKNLCLHPSVKREKSGTVVDARCRSLTAGFVKEKKDRGEDVQVCIYHDNL DLLEPHNLIPTGVWTFDGIMKYGEQHKQCPYFTARRMMSFCNVIIYSYHYLLDPKIAE RVSKELSKDCIVVFDEAHNIDNVCIESLSTDITEDSLRRATRGAQNLETKISEMKESD SEKLQNEYAKLVEGLRDQDEAREEDAFMSNPALPDDLLKEAVPGNIRRAEHFVAFLKR FIEYLKTRMKVRQVISETPPSFLAHLKEYTFIEKKPLRFCAERLTSLVRTLELTNVED YQPLQEVATFATLVATYEKGFLLILEPYESDTAEVPNPVLHFTCLDAAIAIKPVFDRF SSVIITSGTISPLEMYPKMLNFTTVVQESYPMTLARRSFLPMIVTRGSDQVHISSGFQ VRNEPSVVRNYGHLLTELSKVTPDGMVVFFPSYLYMESIISMWQGMGILDEVWKYKLI LVETPDAQETSLALETYRTACCNGRGAILLCVARGKVSEGIDFDHQYGRTVLCIGVPF QYTESRILKARLEFLRETYRIRENDFLSFDAMRHAAQCLGRVLRGKDDYGIMVLADRR FLKKRNQLPKWINQAILESEVNLSTDMAVGTAKKFLRGMAQPFKASDQEGISTWSLKD LEAHKEKADEEIIRALREAQENPEGKEQIGDGMQVDPDDFGIDEDDEAAMMEIDA LY89DRAFT_635262 MDRGKSPILAPRHDDSSRVGKPQSAAEKLASLKARVAAAVGSSK AKTGINITPHPATVSARGGLNVDLHPALQDLGQYKLSKTIAPKFATSIGNARPQPEKP LPKTKKQLDLSGPSAEETRANPYFDNSLGGQTATLKNRHSRQLVFNQKGKYIQQANAL RRQAALEAMKKRIAESSRKIGIDEDLDTEKNYIVEAPPEIEWWDEGLVDGKSYDVIGN EKMLKIDSVDSIITEYIQHPVLLEPPQEKNMPAPKPMFLTSKEQAKLRRQRRMADLKE QQAKIRLGLEPAPPPKVKKGNLMRVLGEEAVKDPTAVEARVNREIEARHQAHVEMNED RKLTKEQRHEKLAANQEKDAAKGIHVMVFKINNLANGSHRFKIAKNAEQMALTGICIM HPRFNLVIVEGGEHSIRQYKKLMMNRIDWTENSPTRIKEGTKAEALQDWLKAEDEKGE LKDMTLNKCVLVFEGEQKSRAFRKWGSKVCETDSAARDALTRAKMENFWALAKSMT LY89DRAFT_573930 MNISQPVPSAIGSVDFGFLSPAEIKKLSVKRIENPQTFDTLLHP VPGGLYDPALGAWGDHFCTTCNLNGIGCPGHVGHIDLPAPVYHPTFMDQLLRLLRSKC AYCHHLKMSRKEVNRYMCKLRLVQHGLLGAAEEIDNIEIIEKKEDAASGEDSDDGEES AMDVIIRRRNAFVKKSIKAAKATAWEWKREKNEGVAEARRTVVKAFLKAITASKACGN CNGISPTFRKDRYVKIFEKALSVKDKHKMAQGDFRAINAVEILSSGKKGNGYADFDEG IADIDLDSNEEDDEGEGQTLDVNGDVVMGEASATTTSKPKVAPLAQRYISPMEVKASL TLLFEKEQEILSLVYNSRSTTKKATKVSPDMFFLQTLLVPPNKYRPEARTGDGEISEA QQNSLYKAILKGCETMAHIYNDISNPDRVVDNPGMRKRDIYDLHESWCQLQDYVNSLI DRDRNPIQGAAGKKNEDGIKQKLEKKEGLFRKNMMGKRVNFAARSVISPDPNIETNEI GVPPVFAKKLTFPEPVTSHNFKDMQQAVINGPDKWPGAAAIENENGQVISLRTKTQDE RLALANQLLASSNSSASGARNKKVHRHLTNGDVVLMNRQPTLHKPSIMGHRARVLPGE KTIRMHYANCNTYNADFDGDEMNMHFPQTEPARAEALQIADTDHQYLSATAGKPLRGL IQDHISVSVWMCNRDTFFDRAAYQQLIYNCLRPENGHIVGERIETVPPAILKPVARWT GKQVITTILKNITPVDCAPLTLTSKSQTPSNRWGDKSEEGIVHFKGGEFVTGILDKSQ IGPSGGGFVHSIHEVYGPTAAGKVLSILGRLLTKFLHMRAFTCGMDDLRLTPEGEEMR REKLARAEKLGLEVASKYVTLSDQNPSDTDPELLSRLEDVLRDDTKQAGLDTMMNKQS GYLSSDITMACLPGGLVKQFPKNQMQNMTVSGAKGSAVNANLISCNLGQQVLEGRRVP VMVSGKSLPCFRPFETHIRAGGYVVNRFLTGIRPQEYYFHAMAGREGLIDTAVKTSRS GYLQRCLIKGMEGLKVEYDTSVRDSDGSMVQFLYGEDGLDSTKQKHLQDFKFLLENIT SELAQLNYGDPRYATIFDEKDVIVKRMKKAVKHAKANDNNGPDPVLADFNPGKYGYAT SEKFFEALTDYLKSNPDGLVKDKEHPRGVGVRKALEPVLMAKYLKSVVEPGEAVGIVA GQSVGEPSTQMTLNTFHLAGHSAKNVTLGIPRLREIVMTASNHISTPTMTLRLNPELT EEDGKRFAKGISILSLAEVLDEATVQERIGKGTAYSQAKIYEIHVKLFPSKEYTETYA ISISDVLTTLEKKFAPKLQQFTRKALGKKKTEKGLKSAANTAAVPEIGKSVGTIEEAG ASVEREVNEDDDDDDGDDDATNDKQRANRAEAVSYAANDEDDDRVQAQMQKEAEPEED DEDMEDEGIGGSPREPTSDDEEDQVRKSHAHSQVVSAAVEERETRVMKSCNDIVRFRF DEENGEWCDITVEYDAEVPKVLMLTIVEDALRQSLIQQIPNIGGCIFVPDAEVKDSNG KTINAPVVHTEGVNLTAMQAYASFINPNTIYTNDIAAMLTNYGVEACRGAIVQELSGV FGGHGISVDNRHLNLIADHMTRGGGFSPFNRNGMRGSVSPFMKMSFETTVGFLADAVT DGDWDDLSNPSSRIVIGRMSKVGTGAFDVLTQVPTRKGDEEV LY89DRAFT_679911 MSTDKITFLMNWHATPYHAPVYLAQKMGFFADEGIKVALLEPND PSDVTEIIGSGKVDLGFKAMIHTLAAKARGFPVTSIGSLLDEPFTGVVYLKGSGVTTD FKSLKEKRIGYVGEFGKIQIDELTKYYGMVPSDYQAIRCGMNVSQAIIEGTIDAGIGL ENVQMVELEEWLAGQGRPRDDVQMLRIDELAELGCCCFCSILYIANDKFLAENPDKIR KFLTAVKRATDYVLASPTEAYKTYITIKPEMASVVNTKIFERSYAYFSKDLKNVQRDW EKVTKYGKRLGVLQEDFSPNYTNKFLGWELEGESADPTGDQKRMVKLQQEVANKGGFQ RLDVKIAA LY89DRAFT_167347 MTTSTPPNQLEYQDEISNESQLPSVEYEPKQIFEDSKEQIESPI EDGDGEDELGRWNKPRINVYRYLAALYSFIVMGMNDAAYGALIPYLEPYYNVNYTVIS LVFLAPFIGYTAAALLNDKIHMHFGQLGVATIAPTCKVIAYVVTCVHPPYPVLPIIFM LSGFGNGLEDGGWNAWIGNMESANELLGFLHGFYGLGATISPLIATAMVTKGNLQWFE FYYLMVGLATLELILCATFFRKATGAAHRAANPLNNGSSSHARTREALRNPITWVCAF FLLFYVGVEVSLGGWLVTFMLKIRHGQQFASGLVVTGFWLGLTLGRITLGFVTGKIGE KLAIAAYLLICMALELCFWLVPNFVASAVFAGWLGFFLGPLFPAAIVVATKILPRRLH VSAIGFAAAVGGGGAAVLPFAVGAIAQAKGVQVLQPIVLALLAIILALWCLLPGGFKK GALEESSRERDVARENGTLEAGRMNRTIGRLRKKLGLVPKRS LY89DRAFT_727882 MKKPNNTTPPASGAKPTNPSTKSKSQVQDDSIMGTNNSSIVSKR SVERLYYPNEQFFRHFVKKFQRRSPLINRGYWLRMKAIDQVVRQFLELDTEKPKVVIN LGCGYDPLPWQCLTRYKSHCQRTKFVDIDYRDLMLKKRNVVENAEDLKSLLTNVKVSE GDVLLQSDQYLQIGCDLRDLESLNRVLPSVIDLERSLVLFTAEVSITYMNVEAADALI FWASKLPQARFCLLEQLLPDGKDHPFSQTMMAHFNKLGTPLRAVEKYPTTSAQKSRFH SLGWPNVAVCNLWRLWSLPDFVSSTDREHLNGVEPFDEWEEFSLFGCHYFLLVADTEQ SFARPLLAETEIGRKVPSPEPKLKLNVTFEEHPKHHGCRRFAAAMPLASHQRTVDDVG IFGGMGLMTRLNSYDVYSSRSAEHVQQKSLGRSFARPVSRMCHTLTDLGDTGAILVGG RTSPDAGLTDCWLYHKWLDTWERVDDLPQPMYRHQAVNLGDGRVLVSTGRIDSRTITT DYLIWSRRAGWIKCAYGEGDLPLPAYGVTFGICSPLEDFHSSTSMFGILAGGMTSDSR MPQTSWRWDLKLPDGLADQQPIISFQRLEGFESHADVARFGASVVSHQGHTYVLGGII KDEILSSFKEVCTFRLHDCAGSFSEVLLSSLSGSPRPLMVGITAVSIEETLLLIGGSA VCFSFGTFWNQGCFNLRSQSEIDKSYPVAMPWKYVRTMEANALGENLRVPTSEAAHSQ SSLKSLPRIRVSSPGDFEKLVYSSLPMILEGLDIGSCTTKWTAEYLKDKIGVDREIIV HQATTEHMNFTTKNFEYVPRKFGEFIDQIESGEKLYLRSLSSEKPSEKAADISQDFPT IAEDFGLPPELQMVLANAHSSPLRISGPVNMWLHYDVMANVLCQIRGSKRLLLFPPTD FKHFRFEPGASSSPVNVFDKLKDGHIPGVHPHEALLQPGDVLFLPPLWLHTASPTSGW SISANVFFRNLSSGYAAGKDVYGNRDLQAYEKGRQDIAKIATSFGKLPTDIRDFYLQR LVDEFKQKAGGC LY89DRAFT_663575 MAMNFVTFNQDYSCLAVGTSRGFRIYHTDPFSKIFSSDDGNVAL IEMLFSTSLVALILSPRHLVIQNTKRSSIICELTFPSAVLAVRLNRKRLAVVLEEEIY LYDISNMSLLHTIQTSPNPNAICALAPSSENCYLAYPLPKAREDSGDKRPAHAPPPSP LVPPTSGDVLIFDTIKLEALNVVEAHRAPLSCIALNNDGTLLATASETGTIIRVFSLP KGTKLYQFRRGTYPSTIYSMSFNLSSTLLCVSSTTDTVHIFRLGPPPTALRPMSPKSP DDRWTRSRSYDSGNESPTTGTSPGSDNGESPAVTRKASGTFGSMIRRSSQLMGKNVAG IVGGYLPQAVTEMWEPMRDFAFIKIPKSVGTNASGGPLRSVVAMLNSSPQVMVVTSDG GFYVFSIDLENGGEGVLVKQYSVLEGDDKLDQSAIDYTN LY89DRAFT_167423 MFDANVNHPSRARRRSRSSLMQMRMQTRCELAAEITKQHQSCVL VKSRYRYRQHQKRQRPRSSPAAKLLQRCSLIPVSVPKSQFLAVLRSFITHAVPYQKMP QAGPHPLQPGRSFTVSTQRLQAPKNGGRMSLSRVAGCHQGRREGLEKVSLACLQGSGR SK LY89DRAFT_167467 MELTPQSARSPTSPYPYSSNPQPLYEYPSPAQSDSRYRSTDSLQ GLGLYSCPMSSSAVENVSVTSIPSPAGSTNWSNAALQHRDTPRSSHSTPNLLQAEYDH FAPFHSTTQVPYSHDIYSAHTAEVNTVLPASPADSCNTSERSSFSSAPVSEIFTQAGS IHSFTPRIKMEDHPDFVGHDTMMMSSPQLSHTIMVSSAGPYAGSLDATFYDQPPMGWP KMEYGNPPDLPGISSLPIPHYDRRSESQERGTSGSQAQRRPNGVPRTRTRRLTSKEDA NFQCHVKGCGKLFGRSYNFKAHMETHDASREYPFPCPVKDCNKKFVRKTDLQRHHQSV HMKQRNHRCDYCSRFFARKDTLRRHMEDGCSKRFDIETVDFRPQSYSNTSDHHNMRIP ARSSVDTHHASRQASYSSGTHYTPPPHSVGSSTSRSNGGSGGPQTHDSSLTSAPGGSS YLERQEYHLGQESGGGGHEAVWSN LY89DRAFT_679914 MEIRFGHSGCWVAARRGAKRGSGVIGQLVKHGSKHGDSKLLLDL ARLGGALYCTFSMFFF LY89DRAFT_167493 MPILRPLPSLLSRSVQQGLLACLREPTPTSLSLSSLAPHTNLYL NTSKIATNSDLYVQQSISLSCLLLAAVQFIHPASQPAFPLAMMGQQPAASQPASQHFP IPKQHSTPNLVPSLTTREPSMHMQPV LY89DRAFT_167529 MSLDARCSMLDTCTPTLGNALQPSTPTRAVPMAQQQLSRFGVQH RPEAGACTFIISGQRPLVLRRPAKHCLDLVRLVASLASCGYRRALILAFVYVHHLYAV PSDRRVERHSWNCRSKSGSRPRCLSSPCAAAQDFFSAGSFTTLGNTSRRTTQDLPSSM KKSFSLREALKGTQEQSRQGVQNGKVSWNMSRGTAAKQSPCTRKA LY89DRAFT_679918 MSAVPKIKLYVDTVSPFGYEAYWILRHDPVFSKCEIEYVPIFLG GVMKACGNPTPISIKNKGTWIGTERLRWAKAFNVPMKKDIPPNFPPMTLTIMRGMCAL TLLHPGKEGQGMLIRCLDRLFEAYWVEHRRTFEKDVLAEVLTEVVGKEETEKILAMVP KEGKELLAKNSDLAFKDGAFGLPWFMATNSNGETEAFWGVDHLGQVAQHLGIEKPQMG GWKALL LY89DRAFT_605829 MSRLAHTYGPTCNLGPSVAFTGSKGDEEIPALKAHYFYSSPQPI DDPLSVIPIPTGADAKSANHPPRPFSAYDNKALEDAWVSVTLGKDKKSLFRRKSQSPS RKAVASGNAAVAAGTTVTADVGRSIKDAAHSGAAGSAKLVGVGANVISSTAASSEPEK DKKGHSGVSTSAGVGTSSAKKSSPTKDADEDTKAAAASTSNDTGNQHSTADPECHPSN AYCAELSEGHETGDGEEVVGQDGEASYSKKQDRKEKRSKASRKGKSSNTSQGEGAASE VEASGSQGNPYNPNCEDPHHVSMDSGIAACCAEFEKGTKSDHAPCITNEGTTDSSPAS NQRSGTETSQVRKDKSKQNKKSPWAEVGEAQGDAADDDSMDEVGTLDGHDSRGVMKGK LRKTGNLSSEVQIPEPNAENLPAQADTGTTGQPFVKLASRSPETSAAEDMETDIMAEG EPSTHAGNSKKRHQRESTDSETVQVSGCKAHKNNKSQGEVPVGVSRLHMVTLPTLQMK PIYWSPVHDIAAVTRGTWFYKDTMYPVEPPVANQLELGYCELRPWSQTWCDELSSAIE VGAIGEEKIVHRLWPKEVEHQGKSRKTPEHILYTDPYCAAKCFQGEAAAEGSIDPDEP EKKPTEAKTVTKKYSSAQVIYKDAQNAFILKPTLQPSAYYGRKPLAKIRKGVTVGIHV VRGFDWKLWDKLHPTKKTGTTSKVEQNAPVAGDADVSKGTACEACKAQEHRQKVTDLV LVIHGIGQKLSERMESFHFTHAINGFRRSINAELANDAVHKALRPELGGVMVLPINWR SNLSFEDGGPKKDGDKEVETPDFNLKDITPSSIPAVRSIISDVMLDIPFYMSHHKPKM IRAAISEANRVYQLWCKNNPEFQVEGRVHVIAHSLGSAMALEILSKQPTVVPKIDPHS KKINVKHFDFNTTNLFLCGSPAGFFLLLDKGRLIPRKAQGKPGADGHDDVDKAIVGEA GTFGCLAVDNIYNIMHVNDPIAYRLNATVDPQYAASLKHAQVPSATTGFFESIGNAVR SMTPGVATPQDLAIGQVQKPGAVTRLPSQLEMEVHDFTREEIAEKKFYLVNDCGQVDW VLTSGGGPLEIQYINMLSAHSSYWGSPDFIRFIVIEVGRKPGRNNVLPNMKVAKIGRK DIGKKA LY89DRAFT_167594 MNVPGQAQQAEWDEDKCRNYIIRTLDWIPRNFGYQDGLYFLHTH LQLAFGDENGHLATGVLEELIELDGIPPFPEALFQRWKYAYRRRNEVLELPHTPPTRT INRPVVPTQRAIEHRLLTSPQTEHGNRTTNSRASRLSPSPTRLLETRGDHARAIEYTS YVSRKGKKPRILSAPTQEEVQKMLDDPQFGRGGRIQSMRYVHTPVTNNTASEGQLSPG ALIYNTSKTSIPPKSENLVTREHTQLAVQSNCGFLKDLVDYLRKAARSGPASAQSQST QEVEEDELNFQKYIREIFRAENATPPFDFQKHLEEMHWPSEVEIPNANFARKYRLVPP GQSLPIEVLNGSRQWTLNEEETKTLNRLWQQARREMNELSDGEERVTGRLCQRFFQFY ADRYNAPLTTSLFHDSGLDFAWGNKSMEGWYESEPSLASIVAHDTPYETQSDLSYHTR GNNRRKGNLAVNITRNWQLGSRGTSEGPSNWHSAQSHPSLDDFRNELLNEEKTSTTDS RSVREEASKEGLNQRRHLRSDSGSLEDLFRRSLVESHLQNSLQEVALDSGSLEGQFRH SLVESQRQHALQEVASDSGSLEDLFRHSLVESQPQNSLQEVPSDSSSLRIPLPNTIPD SHLLGNQDLNSRHLLCLPRTTYLQSHPQSTDQDDSAELFILPRTTYDPGTPRPETNTW SNSDNMGRLSSLFKTDNVGGSQSRSWKKWLPWSRKTDEESRVDSPTRSPSWSSSSLSV TSPATPAPRESRKSGDPRKAGSSAIETWRQEVSVNTRGIETYTPTGTPAKFKSPSYSR DRRTSTSSGATWVTETASVIQWSPTSVTARVPAPPARTTPRSRPADFDSMYDASPVGS EAGEETAPVASTRFGPYVVPSIPRGVFEPRGNTTFSISEDPFTGATVASARVEIPPGS FSARGPSHKSPRAHLLIPRTRRVARNGILEIQPVRNQVPDTPTRGLRNVARIGSARAG DVQGNPNGRLEIQPVRTQEPDTPTRGLRNVARIGTTRSGDRAGGGQENPNNISRVLQW REKRMGLDGASDDFGDFGDFAGFGEFCSPLANVDNGNHNHNTISQDNGYEITICSNVN TTNSKMDANRKSASSSNSANPPPLRINKQSKQVRIAESQQQLSDTSPHKQSTIFPPRT SSMRNTGDSPVADSVRRREAAHIRQHSDATSGRPSPLSSYSYRGHYLSDASGSRPSTE ETARSTLSSFKGHYISGSTDIIGNFVPIDSQAMAAPTAAPQANCNRGNLDALGQVKIS SNPYQFEPDTPLPARHHSHQTTFTDFISYPHDSELAPSPQVFYLKKSPSTGNAIYNGR KPTPMVPLSSKPGARGLQRCESSPNVSSPAVFKVQYQRSASPDLAARFGEIVDPTTPI RRGRSPPREERRGRSCEEDRTPVPANNQRYFGELRSESPFRAPTANEHIQSYLRESRP ESPLRVRTSNDHIQSYMREPRPESPFRTPSRDVADHYGASPSTTSRYGPRSPTKTLRD VVEHDEVSLDSPDLTRSPKKRSRSPMKKMFGEHGWLGRSPAEAPENIRQNQKAAAASG KEKMSMMGKLKTKLGEFAEKADLSPIGRGRNSNDTPAKISVLSVSLGPPEQARILMEV ELMLVHTANSFLMNQFSQGRMAVDSIKKTVDAWKARGRPTVIEFMYDQSTQRDLVAIN QQNFRFHGERAGDSVRINSMLYSWKLVASQMSIRTFCDADTIILKLLFDIESILELLG ARDPLLTRLQQIRATANERMRLARLKKERDSTQDVPMGRERTWHSNSAESSDGPSIRE SMDDPYGGLKLVPDTYRGFN LY89DRAFT_605831 MDKSMTPRERVGNTASSSTNYDIVIIGAGPVGLLLSTCLARWGY KIKHIDNRPEPTKTGRADGIQPRSLDLLRNMGLKPAIMAHEPAKVYEVAFWDPSSNGI HRTGTWASCPSFIDARYPFTTLLHQGLIERVFISDLEKNGVTIQRPWTIKGFKTDEKV DSEYPVEVDLEHVDGKGKETVRAKYLFSGEGARSFVRDQLKIGIKHKDPIAHVWGVMD GVVKTDFPDIKMKCTIHSDHGSIMVIPRENDMVRLYIQIASSTDKDWNPRKSATEEEV QSSAKRILQPYNIEWERVEWYSVYPIGQGIADKYTLDHRVFMGGDACHTHSPKAGQGM NTAFLDALNLAWKIHHVEAGFADRSILETYESERKLVAENLLNFDAKYAALFSQKAPA AKDVAAATEQKSGDAEENQFIKTFKESCEFTSGYGVAYDPNALNWSASHPASSNIINP KGNKLRTGRILINSNVTRVVDANVVHLEQEIPVNGSFRVYVFAGNPSTTGQALRDLAE NLKKKNSFFSSYLRPDIDSVSHHERHNPHSHFFSLCTIFASKRAEIEISRDVPDILAR YRDHVYADDIWDQRVPDAKAAAHAKMGLDREKGGVIVVRPDGYVGIVSSLVEGSGTVD ALNEYFAAFVTKRLGERRAQL LY89DRAFT_776920 MARPLKRKADHQLTRSTAEEPDQESNTMYPFQATLSLVSNICRS IYNPVYNYFFTTPAEMAPVSPAECSEDPMKMKYESATLPVTEKRQRRSKLPRSKSVVD EYRRAVRGAADVTHCKDDEEARKHLDKFWEPPELGYSKPKPKPYKATKPPPTPDSLPQ GRGPERRHSDSSFSPGASTNSHVSPDTRSKSKGFISSWGHAPATPESLPQDDMPSTAA KGGLVEALESLFLGKGLDFGPKPSERKLAELAEGQRIRELKAEEERTARQREKIRRVI QDAKHRRLARQFPSKALVEPLVTKWDTIVSNAQYGHHDKTITTSLEGTELRSKDFNTL LGQRSWLNDEIINTYIEWIVVAANEAASAEAKAFGETPSPVPKFIAHNSFFYVNLRNK GPSSTDRLMKRKKAPGASLMEVDTVFVPICQGAHWTIGVVRPVAKTIEYFDSMGGSPA EFIRQMRGWLKHQLGSKYREEEWTTPNTKCAVQTNGYDCGVFVCTNAFCVASGIDTSC YKQEDMTPQRRCIAAILINRGFKEDFQWGRQGLLPIPEYLDWGEGGIVEALEKLSHCG QDHLNSFKCGTGVQLRHESRHAGLQSVG LY89DRAFT_703753 MANKKARQRISYVLPLANSPGGHRLGVNGLAVDSEQSILYSGGR DGAICAWNLNLDLNSSIPSENPFDSPEDPLEDATKDSSPKKTSTTFRAQTQAHTHWVN DIVLAQDNAALVSASSDLTVRVWRPLSNESEAPQTIGQHADYVKCLATPGPQADWVAS GGLDRRICLWDLSGAGKKLEIEVGDEEKSEKGSVYALSVCRSMLASGGPESIVRLWDP RSGKRVTKFVGHTDNIRDILINETGDTIMTASSDQTVKVWSVTAGRCMHTLTMHNDSV WSLYSDDPQLGIFYSSDRSGLVVKTDVRGTLGEMDDGLALAVAQENEGVNKVIACGDY IWTATSSSSINRWTNVDTGADIQLPEAYRHHRASSAASRPRHLSPPIANGEAKKEIPA QAVLRISNTASFPTAAVRESDAATTYSSLSNIRKGSEVIVDPDIGVIIPIQALPEETI EGQHGLVKHKLLNDRRRALTLDTAGDVLLWDLLGCVPVQSFGKKHLEDVEPEINTLEA VAPWCSIDTRTGRLAVVLEEYNCFDAEMYADELHLDEPVEFREDQRINLGKWVLRHIF SNLIDEMIKRDEIFRKELNDNIKKNGQRNNAPTSIQMPTSAWPEVGGSAMTPRANGTY PMTPGMGIGVATPAPLNHLPGVPEDGAPLDKKLSQASRDSGEKSGDYFSSTAISTEPT PKPAATPGEQAADDKPPKSPSDADKDTNGKDGNQFFGKKFRMGMSFGSKKLGRSASVN TDKPVVVDEKVEEGSETSESGEKEKEVDDSFFGIVQKIRNEYDKTVLENPEQPVETGI TPSLPNETPVLKPPPMTTVIIQEETSGGSADLYRGTVATVGEDANLIVDRAPMWLGDL LLRNRIPIKEPVKVSFVLQPWQELLPSIAGPDGNSRLNANRMLRVKKILAYVAERIEP APETPDPNALKPEEYLELYCYDQKLPISMSLATLRAHVWKGGADVMLYYKSNGRKPIK YEKKLETASSPTTPAAQATPNV LY89DRAFT_679925 MATQTSPAFTTALLSGALAGTTVDLSLYPLDTLKTRLQSSSGFL ASGGFTGIYRGVGSAIIGSAPGAALFFSTYEYTKSFLSARRHATGTNGENGDWTAPIE HMLAASLGEVAACAVRVPTEVVKQRAQAGQYPSSLLTLQAILAQHRTIGVSGVWRELY RGWSVTIMREVPFTVIQFPLWESMKEYRRRTSGKESISAFESGVFGSLAGAIAAGTTT PLDVLKTRMMLAKEKTAMIPLLRQILKDSGPRAFFAGIGPRILWISAGGAIFLGSYQW AYNSLSGESRR LY89DRAFT_713643 MGSISEALNSSARRITIVEFAKSLSRVLGFGKSSYNAWQRSNPI GLAISGGVDSMALAALCSNVQNASHLKQEIPADEHQHLRSLSFKAFIVDHQVRRGSFE EAKAVSNVLQGIGIKAEILKIEWPPEHSANTSNFESLARKYRFRLLGNACKENGIKSL LLAHHEDDQVETILMRLIAGHRRTGLLGIKEEGGIPECYGMHGIYESGDLKSPAFGKP ASSRTLSKRQLQIESGGIKIYRPMLGFSKARLIATCQDEGIRWFEDHTNTDPTLTKRN AIRHMYNTHVVPTALSKPALLGLSRRIQHSILQEDAVVESLLAKCNIKDFNTRVGTVT VRFPAGNEILVASEGSGVDCGRIAAQLLRRVLFLVTPLEHIEISSLPGAVEQIFPGLS ESEERLLLAKPLTVASVYLQPLNVRASEQEQEHPPMQASEIAQQGQTQWLLSRQLPYS FSYDSLTITIPPPRSIEDVSWTPWTLYDGRYWIRIQNLHPDKIITVRLFEELDLKLFR QSLDKHIRRKLNLALKNEAGGHIRWTLPAIVSRFRGTRDEHVLALPTLGFQVHSAKSV VDWEVRYKKVDLGGVLMDPPVECPADGRHPMI LY89DRAFT_693389 MQASSWLLFALLSNVAVSSTLPRQLQWSSKTYGPDGPWHAVSIY LGSNKQPLDLYPGNTWHSNILGSSICTDVVPCYAQQAGVYNPSASTSALTFTFVGDIE QVDWTSGAMPLTGTHAVQFDTAIVPAPDLVAPATIPNLSLQVIPNAYYTLPNGTTYPP EVGTLALGAESVNQTYPVVDSVSNFNASLISGYLYEAGQLASNSYGLHIGSVALGIPP SALVGGYDQSRVLGVVTAQSYQLYNLPIDLLDIGIGVAEGGSPFADASFSGLLAQGNS SIGSSIPVLIEATIPYLYLPGSTCDAIAAQLPVTFNSDYDLYFWNITAPNYTRIVTSP AYLSFTFRLNQSNSQNMTIKVPFSLLNLTLQAPLINTPTQYFPCRPLTDAGSYTLGRA FLQAAFVGVNWQTDLNGVWFLAQAPGPNTPSTAIVTSIGFSDTNLTTSSNQWIDSWKD SWTVLDEGTSGTGGGSSGTNGTTPGNQAVSKSKSLSSGAIAGIVIGVVVLIAAVGALA YFCFRRRNHIVQLKGRSVELVQSGPGGTQCY LY89DRAFT_727895 MEIPESQNSRDARIEALWKKLDPQSKGRLDVNGLRKGLQKIDHP LKNANDMLQDVIKAMDKNGDEVIEYDEFRTFVEETEKELLSLFHSIDRDHNGKLDKGE LRAAFKRAGLAVPNSKLDQFFGEVDGNHDGFITFEEWRNFLLFLPTHANPGLKAVLSY YSSTVTLNAEGDTAISEETLEGLGNSSFPDHTSIFFANLSTLLFGALFKIAEPPSKSN RTTFDSSPELLPPHNQPTLPSSTDNMEHVHAHFIEGTRDAAAADAVKVLAPTTKEAIE EGVEAIKKKSLLTEILPDPGYFAAGAVAGIVSRTSTAPLDRLKVYLIASVGNAKGSID AVKKGDAAAAVRHIGQPLIDACKDLWRNGGIRNLFAGNGLNVLKVMPESAIKFGSYEA AKRGLAKVEGHENPQNINPYSKFVAGGVGGVISQLCVYPMDTLKFRMQCESVAGGPTG NKLIIATAKKMIQEGALRTSYRGLTMGLVGMFPYSAIDLGTFEFLKARLARYNAKVLG CHEEDAMPGSFATGCIGAFSGAFGATLVYPINLLRTRLQAQGTILHPPTYDGIWDVAQ KTVKNEGFRGLFKGITPNLLKVVPAVSITYVVYENSKKIMHLK LY89DRAFT_727896 MAANYWESTQRRHWQFTRQQLEDLRKKLEDEDQNLVQMYPLPQV RHLSIYFNQQVSRIGKRLGVRQQAMATAQLYIRRFYSKVEIRRTNPYLVIATAVYLAC KMEECPHHIRLVASEGRTLWPGMYFFSNDTSKLGECEFFLISEMSSQMIVHHPYRSLT SLQGLFSLTQEESALAWSIINDHYMTDLPLLFAPHIIAIMAILLSLVLRPNTTGIQSA SGSAGTIANAAQTALGSAGQFKPTGEKQGSTPRTKVQKLANWLVESSIDIEAIVDCIQ EIISFYEVQEQYNEKLTREQINRFVKARGLDK LY89DRAFT_167887 MSAFYHYNTHQHPTSANAQVANSSHHSGRGRRAPRLSQNSHKQF RSVRKDEEAPLVTNFRQRFEAGRSFDLDDDMEFCPNLLTESDMVSIHSSSSDRSSLSS GSPASSPQSHQVAPDSGFTLNSNSSPYIPSYQSQPTTLKLHQPAATRVRNAIPIVNPS TGISMPSPQPHSVSPARMTLGRRW LY89DRAFT_167959 MSFLLRTSRLSIAAAARPAVPLSTRGFMSSSVRALKEDDHTENQ GEHNERHKQDQLQKQKEGKGHWKPELASNSEEAVAADRQAGSDSIEEMQKKTADHAEK KHKHGTSQDPGF LY89DRAFT_727899 MANPNQYFLLADHIKLSLLERQRAISLNLEPTSQDGHISRSLDS LREGLENVARERIRLQDAGDSAASTTLQETEIQLQKQYDDLASQFRGHPTSTASATLA HPNDPALSDDFEHATDRPPNTSSSSFLKKSLRGVSGGPVAPSPKSVRFSDSPLVQEEE DARAALFPYRDDPSGPPDQSNLDNQQIHAYHSRVMAEQDEALDRLGESIGRQRELSIQ IGDELDEHVQMLDEADRHVDRHQSRLDKARKNLGTIARKAKDNMQMTIIVILIIILVL LIIILK LY89DRAFT_713648 MPPPNSPVQPNDVSAPALIPDSSLPTPHFREDDEDIEDDAIRTI NYHPFDVKEEFDERFDQIKPFVRPLPSAYIEAPQARFHGPILDTDGQPSQNIDTLRLV HKIDFSALDYLSHVDQNLMCSICRMAFYKPVMARRCLHLFCRSCLDQAIKHNGAVCPI DRRSLELPPDVSSENVAGSFVKAPHAIRNQVDALIVQCPACLDQVVRSEVESHLANEC PEALMSCPGKNNVENCQYQILRKDLTARCLHYIGRCDDCGEKVLMIDMRLHKRYDCKE RMTSCALCGTEYNKFDAQDHHDECPEIEASCKWVDFGCTHRMVKRSSLQSHEDNCNFR FIGNQIEMLTTQMSHLKVQLKDRQRISDSRIGVLESTTRETEKNVRRFTRAQNEDYAT LMGRNPLSGLSEDVDPVVTTSDYHIMSLLERQTNRIDRMEANIHEIEASVRASEARST TTIFNEIIPIKNEVMELRSSQQTNAMHTRWLMQLRLAENRRRGTTVGSNAGGGGESNN SSPIVPHRSSDSLHQPPRL LY89DRAFT_727901 MSDDEADADLLDFLRQHFNKASIAPKIPETQVLEGAEYVYNNSI DVALDYQSTKQAAAMIYEQMQKKEYSTKTWSSHELHPKAKDESTVAFIFTMDLLNFSF WSELDDEERFAVEYKGKKWTGYWSLVAALQRALDEDIPITCSDFWQNEDECTEEVLKH VFRSATEEQIPLFQERMYCLREAGQILYEKYQCSFTNCITAADGSAAALVNLLAEDFP CFNDVVRFENRKSVRLLKRAQICVADLWAAFEGEDYGRFEDIDKITMFADYRIPQILN TLGCLWYAPTLETAIQHKRIIESGHSWEIQLRGCSIWCVELIRREILRNHPDAKINAI LIDFFLYDTMKERETAGQDEVPHHRTRSIWY LY89DRAFT_635307 MSRDYFNTQSLGVPLNRMVKEARILMVGAGGIGCELLKNLVLAG FGEVHIVDLDTIDLSNLNRQFLFRHEHIKKSKALVAKDAAHKFNPRVKLEAHHANIKD PQFNVDWFKGFTIVFNALDNLDARRHVNKMCIAADIPLIESGTTGFNGQVQVIKKGVA ACYDCTPKETPKTFPVCTIRSTPSQPIHCIVWGKSYLLSEIFGASEDDSPEMDHSEDS ENAQEIEKLRQEAQALKNIREAMGTDSFPQLLFDKVYKDDVVRLRSMEEMWKTRRRPE PLDYATVIEKASDAEARKDKILKDGQRVWSLEENVVVFRDSLSRLSRRMAEMKSSGEV SAEPVITFDKDDEDTLDFVTAGANLRSIVFGIETKSRFDIKQMAGNIIPAIATTNAIV AGLCVLQSYKVLRGQFGSTKEVFLSPFASERLLASDRLRAPNPDCPVCSPAQTRVLID MSRATLGDLVDDFLKMELGYGEEIVVNHGDNLLYDLDETENLAKKLSELGIKGDSFLT IIDDNDDDPKGPRVNLILNVQES LY89DRAFT_754782 MHALLYHSSYRRCLARIACSRCHGSHLSFKRQFSRSAFLNGHSA HNPEPIAPSLKGKSCIITGASRGIGAEIARRFAKEGVECILVGRNEKSLYGIKDELIV GDEMEHRVVVGDVGDMDFWKGMKKEVYQKKVHILVNAAGVTHYSPLFITSPSLLEEVL RTNLMGTMMACRTVGKNMMASRGGCIINVASLLGMKGGKGSAAYAASKAGVIGLTRAL AAELGEKNVRVNVIVPGYVETDMTEGMSSDARSEAINAIPLKRFGQPSEIAHAAVFLA ANTYANNCVLNLDGGLSAI LY89DRAFT_727904 MLEDVFGAVGRFFLHVYKTLLNRHSLFGLLRHILINMTFILIWI TTFKNAWRIDASIRPLIDVNYLEEADRRVFDLSFEGIFIQCVVSALGYAWMYALTRRS KLSILAALPPFLLNILYAGTHSLCSALDVFAWLSYGVIHFISPFLAAFWLWLFASPGV VSVFAWSFGIQNCLGIITHLSFPSAAPWYGDQYGYPLPPGNYSMPGSAAGLVRVDAVL GTHIYANAFKASPLVFGAFPSLHGAFSCCCFFFIARYSRKGSFMLGFYVLWQWFSTMY LRHHWRIDLLGGLLYSAFAFSFFYRSLGRIDRAYATGVSGGNGWQRLFEGTRLQFWFD HRPEKGYMAVMESSENGESGRTSIEVVMRERSEGDLEAAWVEDLGATWKTREPRVSPV LY89DRAFT_663595 MASSSQNQGLSKYVTLISLDGFEFVVLREAACISKAIAKMLNTQ SNFMEAKDGRCVFGEINGIVLEKVAEYFYYNYKNRNKEDVPDMEIPPELCLELLMAAD YLDT LY89DRAFT_663596 MKSYASLIVLSLLATTQASVLSTTNIATVLAGATSQSSLTIPAD AMAAIAKLGTGGVNASTLTAADKAAIASCTTTAQANNTASKAGLGGGTGSAGNIAFVT NKITTHACESAGQQISGTGSDTDINLLISNVGVLNANTDAAGIASKRAVVFSG LY89DRAFT_679936 MGQNYKEISKIALKRREAAIPKEYLLPESALKDLPRDLTTIPRS SGHFTPEELEIIESNAEDILIKIREKQSTSLDVTKAFCKAAIVAQQLTNCLTEILFPE ALARAKFLDGHLEKTGQVIGPLHGLPISLKDCMITPPHPSSIGMACYANEATTDETVL VSVLKKLGAVFYVKTNVPTAMMMMETINHVWGETRGAYHSGTSCGGSSGGEGVLLAMK GSPIGVGTDIGGSIRIPSAFNGLYGLKPTFGRFPIYGTKSGISGQDFIYSNNGPMARS LDIIKLYCQAVLSSEVSPWYLDPKCVAVPWRGDVIQPKGRKLRFGIISDNDGEYSVHP PIIRGLEMTKKALITAGHEVFEWEPFNHPEMAKEVNSSFYTLGAAAILDLTLKHDEPV YGSMKNYEEYFKKGEHGTLGPTRLREMIIKRNALQKAYMDKWTGTAGDGKEAMDGIIV ASSPWTAPRLGLTQKTFCVNFTAVYNLLDYPICTFPVTFADKNIDKERSGWKPLNEKD AEVQADYDAEFYHGTPVTLQCVGRRMEDEKVLEMTGIIADVLKAHS LY89DRAFT_679938 MPPTRRTRHSTGPAAKGAQKTLSFGNSKTIKSASTKDLKDIKDI KPEPLEQKLDLGHISSAPAVEQQAKVELSREATAEEVRARKVSDAQIKRYWREREAER KSRRVHQEELSVEEKVLRLWDMSSQFGPAIGIARMKRWVRANKLGLQPPIEVLAVLLK EEDKGNTKIERAHVDELMSSKFVVGEA LY89DRAFT_168577 MPSAVANGAATNGTAATPAPASLSANDNIRRFAAPSRPLSPRAE HTLFHEKTRCFVYGLQPRAVQGMLDFDFICKRKTPSVAGIIYTFGGQFVSKMYWGTSE TLLPVYQDVDKAMAKHTDVDTVVNFASSRSVYQSTMELMEFPQIKSIAIIAEGVPERR AREILHVAKKKGITIIGPATVGGIKPGCFKIGNTGGMMDNIVASKLYRKGSVGYVSKS GGMSNELNNIIANTTDGVYEGVAIGGDRYPSTTFIDHLLRYEADPECKILMLLGEVGG VEEYRVIEAVKNGVITKPIVAWAIGTCASMFKTEVQFGHAGSFANSQLETAAVKNKSM KEAGFFVPDTFEDMPALLATVYQRLVKDGTINPQPEPIVPKIPIDYSWAQELGLIRKP AAFISTISDDRGQELLYAGMPISDVFKEDIGIGGVMSLLWFRRRLPDYASKFLEMVLM LTADHGPAVSGAMNTIITTRAGKDLISALVSGLLTIGSRFGGALDGAAEEFTKAFDKG LSPRDFVDTMRKQNKLIPGIGHKVKSRNNPDLRVELVKEFVKKRFPSCKMLDYALAVE SVTTSKKDNLILNVDGAVAVCFVDLMRNCGAFSAEEAEDYLQMGVLNGLFVLGRSIGL IAHYLDQKRLRTGLYRHPWDDITYLLPSLSNGAPGAEGRVEVQM LY89DRAFT_679941 MAAKSILEADGKAILNYHLTRAPVIKPSPLPKQATHNPPPKLAS LYFPEDQDVNTILDQAETSYPWLLIPGSKFVAKPDQLIKRRGKSGLLALNKSWPEAKA WIAERAGKAQKVEHTVGVLRQFLVEPFVPHPAGTEYYININSQREGDWILFTHEGGVD VGDVDAKAEKLLIPVDLEEYPSNYKIATTLLKKVPKGVHNILVDFISRLYAVYVDCQF TYLEINPLVVVPREEADSDAQSADVYFLDLAAKLDQTAEFECGVKWAVARSPAALGMA VVKATDNKVNIDAGPPMEFPAPFGREMSKEEAYIAELDAKTGASLKLTILNSKGRVWT LVAGGGASVVYADAIASSGFADELANYGEYSGAPTETQTFHYARTVLDLMLRAPLYPE GKVLFIGGGIANFTNVASTFKGVIRALREVAPQLIEHKVQIWVRRAGPNYQEGLKNIK SVGQELKLDMHVYGPEMHVSGIVPLALVPGRLEQSKVKEFSG LY89DRAFT_635327 MFQSIQFAILSLFVATTFAVETVVINGSDFVNSVTGNRLQILGV AYQPGGSSGYDPASGVDPLSDGSVCLRDAALMQRLGVNAIRVYNVDPDLNHDMCASIF NAVGIYMLIDVNSPLAGQSIDRSAPWDSYDSSYLNRTFAVVEAFKSYPNTLLFFAGNE VVNDLPTGGTVPPYMRAVTRDLKHYIAKHSTRSIPVGYSAADVRDVLLDTWNYLQCTT TGDDTDPSRVDLFALNSYSWCGDSTYQTSTYDVLTADFSNTSVPVFFSEYGCNQPSPR VFTEVPVLYGPLMTPVLSGGLVYEFTQETSNYGLVNVNSNGSAELLVDYDTLQKQFNT LNVTMLQGEKAANTTVVAPTCASSLIVNQGFANNFTIPAVPSGAQDLIDNGISPAPVG KLVTVTSTKVTQQVQQSNGQVMTGLAITPLADDESNNPSGASPSSSSTTTSSAPAATT SKKGGAGNVKSNWSVLLGVVSLSVLYTSFI LY89DRAFT_713660 MQLLWSRAAQARSSCRCSSCLHTATTLARRTTTAASKRRLKISD VFTACYSTILATAAFADAKVKEERRKEWDRLIEEVKTPSKRGKNAGKGLDGDSKPEID DWEVQKSHGSTIEVAEVPFSSYKPVKDLSSTTRYSPLQDHSLDAWGLPPRTKVPPVGE KLQSLDWKLRNSTAERIVARDVDSIHQSGLDREGQFIDDMFDADLWNREPRTPLHIEK YEEMVMNLVNKILQESKVFSSSIQPEGYRALKIQQQLSEMAQRFVALDIGVSRVPTFN YDDIDAVNDQRKMLHHSIWALCFSASRRQTDINVTVAKICYNLLISTAPPSITTYNIL LNEFLNLKRLDLAQIVVDSFFHESRFKPNKRTIRLILDHYRAKSDFEGFHDVIQRMRG TKGDMRIHMRQLFFLSEFPVKTWALNNNVIHRNGFLRQKAPRSRPVFNSLILGSLEFQ SIRGAVRYARAALREGHAISAETLCAVIKRIVDKLDAYAGLSLLRAILAQWEDGSIVA ITVYSKDLRYQINQLLLLCSINPTKPSSRTLPPRVSSTAISNLLWRMQLGTIADAIER SANFLLQLDACLGMSGLDFSYTEIQPYCATQDTAPQRLEWAAQISRKYTRIEKARRNR TKQAERQGWRFRLQVLEKMVDEAPKSMLPLEAEILEMHVVTRKIELQSLLVKTIPMDL SAAEKYVRALTTSSARPPLSAEYPMFQVSKSQNLVQDPISYLETKLFNSTETEWHRRL MRELHTTDSPSRRKALTMQRIEHIRHLLDRYLDPEAGQHVMALRSTVQKEPEELANPD SKSTQWPSKKRLPGETRIDHDGRRRQERLQEAKQSSRTQHESDKKQAATQVLSLEDEQ TSVPTVGLEERKTSLPPAGLLPLDPFSKRIDLAVG LY89DRAFT_635329 MLSLTLTADLSGVTELRPDDTADNPFWYTFKVQCTSCRENHSNN VSVSRFEQNEMSGSRGEANFVWKCQFCKRESSATIKAAPIAYQQASPPTRQKILEIDC RGLEFTEFHPEGEWLATGLESGTKFTAIDLSEGDWFDYDEKAGDEFMPINPRPMLQNL VNEEVVIRLKWGQTEYKGRLVSIDSYMNIQLSGAEEWIDQEMTSVLGQVLIRCNNVLW IQGANQNNGNGDTKMDG LY89DRAFT_679945 MSSLQQPVGAEADPESPVDDYMPTFFIGHHESKRPLPVTDKVLR QAQDVGYDMLTSPITSPHFHTRVLTLISSYLSAVALVEDSDDSIKNIPPAPIIPPLDP VDTPLTPGDTVGQIIAHSSPWIDLCSVDPLIANISRQVLNIEIAYASFCGVGNIIIPG PRSYTSGSTSNDGLIQYARAIQEALTIAPYLQMAIHIPMYGNEEAKDLTGDLKPFAKN YESTNKEKDIDLFETWDAWNLIRTVCKYNSRLSVALALPRRLPIESLQARWFAEPLRL LSFTPSTFLKNSGGHPVLGKSHQALITRYMRLKQPPWLLLRDVGPIPGLDDPMALNPT ADGFPSPSVVIDASRSVSPTPAEAAEIKLKDTHKSKHKLYKDAAAHLIYLRYLQRNQP ARTSIEKFGSGYQDYLQSPLQPLTDNLESVTYEVFEKDPVKYDWYERAIAQALSDWAQ HGKPTSSSSGAVVIAVCGSGRGPLVTRALQASETTGVAVEIWAVEKNPNAYVLLQRHN EEDWGRVVNVVKSDMRAWKGPLRSAVSHIGQAITTSGTEPVSQYGKVDIIVSELLGSF ADNELSPECLDGVQHVLAPQFGISIPSSYTAHLTPIMAPQLHADISRRSLTDPTATET PYVVMLHAIDYLANSVPEHPRIQQAWEFVHPLPASTLAIAEARRSGGVSGGGGGSMSG GDGANEHNTRSARLRFVCKDRGVVNGLAGYFETVLYDGGEAGKVELSTRPDNMAAKSK DMISWFPIFFPLKNPLYFPDDSELEVSIWRQTDDRKVWYEWLVEAYVMVGPDKRMRLG WSEMGTSRKVGCLM LY89DRAFT_693405 MEIGVTEAVQKHVSRHVVPPKPISQRKLDFEHSRPRWLREMAAE AIGVFFYVYPGIASQASFFLNGTEPVFGSLFQIGWAYAIGIAFAIITCGSTSGGHFNP AITICFAVWQGFPWSKVPRYIFAQIFGSFLAGLLLVGQYYPQLSALAAELRAKGVSPN SLGGPGSVLCSFPGPTQTNYGYLFFIEFFVDSFIGIVIWSVLDPANPFIVPASAPFVI GLAYANMVWGFANVTISTNLARDLGTRIVAAIFYGGDAFGDYSAISILVNVPATLFAT LVYEIILRDSFAIIAKGHNVHEE LY89DRAFT_693406 MGKLSKYTGQLPFGRGKQGSPNDTEGSHHEKQQKYVGPYENTPI PRVTIHSFIMGVFVSMGGFIFGYDTGQISGFLGMAEFQQRFGQRHSDGTYYFSNVRSG LIVALLSIGTLMGALIGGPVADRIGRKWSIFAWCLMLHVGLIVQMTSANHKWYQIVVG RWIAGLGVGALSLLVPMYQSESAPKQIRGALISTYQLFITLGIFVANCINFGTEKKTS TASWRIPMGISFVWVAILGFGIIFFPESPRYDYRHGRVDQAKRTMIKLYGVPDNHRVV AEELAEIKEKHDEELLHANQKWWEIFTAPRMPYRLALGITLQALQQLTGANYFFYYGT VIFKSTGINNSYVTQMILGGVNFGTTFLGLYVVEHFGRRKSLIFGACWMFVCFMTFAS VGHFALDRNVPQNTPHAGKAMIVFACFFILGFASTWGPIIWTICAELYPSRYRSTAMG MSTASNWFWNFLLAFFTPFIVGDIDFRYGYIFAGCLFLCAATVYFFVIEGQGRTLEEI DTMYILHVDPRKSTQWIAPPPEELITTERIARGEVAGTGADLEASASARARAKETAPG NYLQYLASSENPLTFM LY89DRAFT_635345 MEPGEYLTNVLSSNEFASIKYEYFELHNLSTWSARRSLQRVEAV WRQEGKLAYCDPYRDGLWVFERSSNPASDYQVKEGELLSPGVVEVQGIALVQRDRGIY EPASLARSKSAIPNAVNTPTSSASPSSSQDNALRNTQALNARSTQTNALLNVNQEPPT PSPGIKSERTPSQKDVHEYFVSAVLGSVAYLLVRESGLVPLNSRTLILNASKSLRPSL LKSGNTSCNTIELATLDVSLTSLGSLVVKAITDPAPGLQALVSRSSLLDWAEQVTSGT ALWLAPSGIAARFHSLPDEDRHPSTSSIARLQDSPGTQRNSGFNSLSVKSWQSKCLEW LSVKGLDPILLEAGGWVFVQVHGGQSPYSTSDYESNPMLEDLTVVPWPALLCFQPSNS RNLDHESRKGTDSASRDPISFSEEWFKGRDERASVSNKRLKERQIAEAQSSEQAAVDA RAMQSHLYSPVALRRGSNAGAMYPTPPDAPHQIVGATPTFDGTVSTPGNQHPNAQVDT GQPPQTSNDVDTEMWSSGKKEQAPATTHYHEHEADNDNLFGDLGGDLLFGNDITDADF SFFDQPDEVGFDQKQASPTPVGPPVSKENIPSDTGLVGDNSHAELSPKPDLSEPEPSP AAVESNQIDSIMENADGAFIPDTPKDARIDTSTKLPSSTANAPFDKEAVFKLLVKAHS DDQAHGQPRRTSQFNKIDFETSLQAVNEKYDSNGLFSFKLPKSRPHEDFSQLPQTKYL NGRRKTQDRTSEMGHIARILMERSSLNQPATDEPMDYLVDTNFASPISEQDDSSHTTD NPTLPQRVGMKRKWEESNGDEITSSFDALAMDLERSVSTPQSATGSQLPLLDADPADW SLTTYFTSVEPDVQSTILSDHERIATAQILADQAVSATLKFPGVPGSELVQHKASSTR KLIQKLVKTTKCCLKEINPCTMRALIDIQGIPVLSQGLRLAQRPMPNPRGPNNVDGTR SNNPFAIPPPQLEIRRSDSKLSILPAAISFWENLGLGPAKGAKDVSAVCIWPSFSGVQ ANANTFLDQMRSIYESSRLGNHDRLVTKDLHNGLLPFAVDLQQQNRVQQMASLRDMTG KLSKVLSALAVEEKNLVVYFVYPPDNNALLVQICSAFQHLFNLYRKSLSEKKINVSNE LVLQLIPLDFISSSTSIAVPLPSQYFRLALEVYDRCVDFASSSSSPAIMLEQPLPRNI DFKLNANPSASLLQENTCLHVAYAQSIDDRWITAAWTDNRGTQQMTASYCLGRKNEPI STAFSVIAHEIWETTLDFISSKKIHWRIMIARVGVMDPSEIDFWTGLAATESDAQISI TLITVQTDPSLRLLPAPVTIGPNGNSTNSNVTPVSTPQAFQPSVVSPDNAPTPVRENT YSAAAVEGQPEPDSDARLIDYTDQNWGIVLSHRLNNSNSLMELNLALISGYLVKRGGT NSDDPPIVMEVNVVHSEVLGNPRTFHESLLREILGYYRGLGTLARVRGMVDAVRDVRP WHIAAAEKAVKALYMLM LY89DRAFT_574049 MKVSNPSEVPVYTISGASTARPLPDWLARQRKRSLKNDPEYANR VELLQDFEFEEASSCIRVSEDGEWVMSTGTYKPQIHTHYLPHLSLSFARHTTALNHSF VLLSSDYTKSLHLQTDRRLEFHTAGGCHYQTRIPRYGRDLQYDRHSAEALIPAVGVNG DGSGEVYRLNLEIGRFMKPYQIDVGGDDLTTAGAGSLQGGIKTGSVNVAAVAEDSHNL LAFGTSIGTVEFWDPRSKARIGILAGQEGEITALDFDRSGLSLATGSSEGLVQIFDLR RPVPILTKDQGYGFPIKILLHMTTSSQAKKILSADKRIIKLWDEANGKPWTSVEPAVD LNHVAWCRNSGMLLTANEGKQQHAFFIPQLGPAPKWCSFLDNMVEEMAEEAPAETYDN YKFSTLPELKALNLGHLVGTTNLLRPYMHGYFVASRLYDEARLIANPYIWDEERTKRV KEKVEKERASRIRGGKKVKVNQNLVDKMLKRQERRQKVDEDMGVLGDSRFSKLFEDEA FTVDERSREFQALNPSTKVGEASNGHRADSDESDGSGSEDDGEIMAKPKTKPQPEMRI SSSSYKKSGHQRQDKPLGSRVQGAGRISKNKGEVVGERSVTFAPSAKKKGKEPEKSEP ARKTRRDEGRRSASGNVFRRL LY89DRAFT_168980 MSRGAIIAIIVVAVFVCVFGIVSAILFYLAKKRDWKVRESIRRS ARKVASALTPRSSRFPKDVHSRRGLTKIDEVPTTPRNRSADVEKGNTKQMTFELTSPP KKSRWERKAGR LY89DRAFT_169006 MAVWKHHEQATVKLEGALKDSKELRLAVQNFDEVSKQKQDLITV NSKLLADKELCEQNLKALETQLQEAKLQHSNEQASLAAEIADLHADAKQNLKSYQLLQ NELNERLTELQREKHNSEKLLKNLDEQTAKWGQLLELAKQIPKDTAEVLNGEDGKLAT ILSSKNTTQEKIEEMTSMIEELVNCERELPPTLVKLVEDVALRLEGEKQLSDGNGNIL RDTAATISQELQEGLNQLRLDKEAEIRLKSKIAELEQVRESLNADKSARDTEVDNLLR QLNETERELSSCRSDLSNKTNELTVALNAPRDDPVLQSKISELEKINNLLDDETKKMS QELSKAKEELSSIRKTAANKEEEKNVLAQTLISAQQTIKNFEQEMAKTLALKDEEHKK KYQDLARNGESQKATIKMKLEAEVKTLESRVTERNTEIDSLKEQLQRLPSEANQRTAD LEAEVASYKEQILQLIPQLKRLEQDKPSNWDSVGFSGELRSAQNELADLRLLFQSATT ETTRILEVATKEQRAIEDALRRFDESQKKISAGAASQSLEQPNLNARDGISRSDRRLD SSSIMLSSSRISGPKHTKFASTEDDAGEKFWTPTLLPRGPVERLGGASIHSVQQQNHA LDSHALRVAPRKNNWIESSTRFANKPDINTLQPPGKNHDSDMLQVTADRTPISYESSP LSDLSSMFDDFGSTNDQVEVHKEYETRSKRDNGLAAPRSAFAQQTVLDLTQQSSSIGE IQGSNHQPTHSVMAKANALSHAEESHRRRNAQLPKSALKKPIRSSDILPQPSMASEGR GDSARETGFRNNKTMGPPQRTDRPLVNFKGVVSGNSARSMTSVGRESSSALTYGTSDA SHFFDLQHSPPMDAPKRNRSKRLASARLESDKTKVSRISFPSRLSSQSVIADSQADSQ DSEYN LY89DRAFT_727921 MAKKAKSRTIAVRLVSMALTGYYKTMIRPRLHRPLSMLKYDPVG TFDFITSYNGK LY89DRAFT_727922 MSTRELNRKRAKRPKVKTGCQTCKIRRVKCDETRPECLRCQKFG RGYCDGYESAKPEAPERKAILPKAVSIIHKPSPKRDPVIQVFKNQDEFSCFRLYCEEV APQLSYSNRSVWHQLLLQAGQHQPFIRHAIIAIGALNRSMRPLEDLRTSPAPATRKPK SPPVASDGLITTGMDAFALEHYGKFLQGAKSNITVTKVQGRRMAMIACLLVVCIENMQ FHYENALSHAHKGLLLLDELRDSTPGTREPDGLSSPVPDAVEDELVQQFNRMELQVMA MYDARSGHEHRKLKQEGYLSVKDMPQRFTSLEQARLYLDLIMRRTFHFMASALADDQS AYLRFGDYTPDSTSDKGSPSPNPPIDISSWLRNAPDELSVEQEIYAAENRRWAQAYEP IFRAASQNLDRIESVYALLLKLQSLAITVRCAGHLSKTELVYDDFMPEFKQIVSLSKT LLTHPHADKFFSEGKFCFDMGLIYPLMTPAMSCRDRILRREAISLLGIRPWREAQWSS EPSVDVATFLMDIEEEGIEAEYIPEWARARLSGVDADMEAKSLRLQCIRGVGKHAVHK EQTRHYDAFQ LY89DRAFT_679953 MASQSPSLEQLQNDWKHMFQNNLPEAATSKSPSQPKWPVHVDHC FARIILDSVVGIDVPWMQKINSPAYKNMSEQQLRDSIDLGQKIWSGETNLVELNNRSL ELRGKGKGMSGKGSGKVGKSDGSPSKRKREEQDDEAVAKRMKKEEESSNSEEKEEDKS PYFKDKKPKKLQEEKEDFTPWLKKIALSDKTSFQKKVLTTLCQVPRGKYTTYGAMAEH LSSAPRAVGNALRNNPFAPDVPCHRVLASGGGLGGFHGSWGRKGEEGLHDGKKRKLLR EEGVRFDGKGKVVGAPWTGFI LY89DRAFT_679954 MASISLSVAFLCAQGESFFTPPPLPLPPPPFGYLPPHPPPYRGA PVTYSSPYVIHSPAYVYPQPYQVLQRVQTVQTIQTVEVIQTIRPFQPVQMVQALQTVQ TTSQVQQTYRYRLYSMRPMQPYGFPQWAY LY89DRAFT_679956 MTLRMLTVLATVLSNWLYIDGGQIVAFGSETAGDGPNYQTIAID LSKDFNTSLINEASYVVTEKSTVIAPMRQPDLYHDPQSNLLYSLGGSAYPLGASSATG WTNSSQQTQLWAFTPKDNGTADWDLQAIGSTASFPFSEVVAGALTATSPTNHYILGGY SNSDNWGLSQLLSYNFANGSWTNQSISLSQKYYISGRGQYIPSFGQQGVVLFFGGTWP TNGDPTSTDALAGLDRVLVYDIQSNTLFNPQQTTSPPLNRINFCSVGAGNNASANSSY EIFVFGGTTGVSQTAATNLTLSKVYILTMPAFQWIETNVTATIWRALHTCSVIGNRQM ISIGGIPDQDQLPANWFDPWTNGMQIFDMTELFWTDSYNASAAEYEAPDVVKQFYSTN NRYPASWVDPKLADIFQTSTTDSGNSTSSGSSTTSSSSAPASHTSKTGPVVGGIVGGV AVLGIVAGSLVWYLKRKRQLRHSIASPTWQPGSDKVVVDLYMKEQQPPAELLVQEDAR HELETRPSELEGESTFIAKPENSAK LY89DRAFT_663614 MSSPRPHDFWIQREGARNGEWHWLAADANDVAEYSGSLWRQVKR DQITASSRVELHDGVTEDALKIIISSIPSKDDDGDLPALSISDRDPESGFEELLSLAI ACWKYDCAIPPTCKKFAKDFHRNWTVWYGHDFFEGASGVDVAKAINWMFIALVFEWDR IFRNTSRYVVVRYKPNDNNNNLPQDFQELVGRTRNRKMQQAYSFVQKSWMSFYDPTNE MLNKIHAHFLGKFGIQLQDPLPDDMNKQANPDILLVEMAAVVKSNEGEGPRLPQSPLV YDGPGLGIQSHGTGRQGLFAPVAASVGRDLMQKAKKAMKSGHTARKPSSSSSEDSWKK TQSLEKSMNDFRTKSLDGIPFLDKDYLKLRNKHIKPSVSAVVPKIKRQ LY89DRAFT_679957 MPRDFEFTLPVAFNDTTLNISAQAFKASNPNDFYSGGDLAWMIV ASALVLLMVPGLGLFYSGISERCSALSMMWLSMMTTSLIGVQWFLWGYSITFSGPHNL WGGSYDITLSNDISIPWNTGPGPKIPQLLHCFYQGMFACFTGAVVSGAAVRKGRPLFF LVFIFIWATLVYDPIARSSWNPVGWSNYWKGNGGVFDFAGGTVVHIVSATTSAVYSIF CKWRRQIFRLKPRLSKADEALLNRDFKPHNVVNVVLGTALLWIGWFGFNGGSALGANL RGVSACVSTHLAACAGGVMGSLLDYVASFKKDERTGQFTDNAGKFSIVGFCNGAVAGL VAVTPAAGYIPYWSGPIFGIVGSIFVASFQSISKRYFDTNDIFVVHGVAGWVGMLLTA GLARPDIAALDGYSVIINHGWEQLGIQISDALVGMCWSGLISFLILLVMEAIVLSFRH LFSIPEPAFQLILDRDILIDHEIDDAYSFDFVAANALLLRMSKERELGSMVATRNYGQ VVGSGGGVIGELPGSERERAFVSGGEDTSVIEGVEEEDDLRSDASSR LY89DRAFT_573742 MSAGHYSRHRAMSMSSGQSSKGHSRLATLAEITSTLPPTEVARN FEPCAATASLFLYAQGNSVVCAHHDTLTIERRFSRHTEEIQLLAVDNVSDRGAGRLVV SYDAGQTAIVWDCMTGDELARFASYENLTVAAWMRNGNVAFGIKSQGNVILFEPTTSE HISARTIDQIPITALAPAADCRTYAIGFSNGSLLIAALQPRFTILHNLTTSRGPSPIV SLAWHASSSRQKSDMLATQTSDGDLRVWSVAKSPTSSDTAKVVRVLKRSGGESFQSGP NWLGWSKNGRIVQFSEGETSSWDVRTKHVTYESVPTLEHVKGLALYGPGATLFTLGRN NTAQQFDLNSPPQLVANVQHPANLLPPSPPVSIEEQKKQDASASVSGSELSSVPINID VSESDEDHMSPLARIAREMDKLEAERAEPPDRSGTLSPVSTRSRASTTSRSSAGSRHR EYRDYPKHTSIASRTNMSEATTMSIGSTLHSREPSVASRDSFSQSSVSSASHTSGRSR PRGSRLRQEVLRSPDQDSSKVIDLFTYTKARLSDIPYRHPQVLDNTHLTNDDLRRQML STIFGWDGEAQDLVQDELNRHTLGSPNRVLLTKWLGDIDTDIMATSSESMTSSDWMLL ALSGIGGHASQTKVARAYVQRLLEKGDVHTAATIMIGMGDQNDAIEIYVSHKRYMEAL ILTCLVFPHDWSRQAELIRKWGEWAVQHSQQQLAIRCFSCTGSESSEPWASPSAQALT FTQSIPELLSPPLSPPGARGPQRSIAKTSALKLITSFGDKAGKSKFFGLGENEKTPLG GGVTPIAESALSPGGDNANTAFLRPSHRSVYNTPASARTATPGGFSRQRLPSIGEMPT DVLPLRVQEPARLPTPGDSGSDLEKGARQARAHDKFVSQPETMQLSSATYVPIGRAAT ASPMMEKNKRTANPLPSPSPDSFTKLKRDARKRNGSRDRKPDGLQIQWPPMESIITGD YMTSPEPSATSSKYRPDTARSIADSMDSFSTAGPARSPMQSERSYRSRGDASPMVTGR SIDQYISSLEAAQHHADKQRRPSSRERNDNSKRDRSRSRKPKARDASEDRGRTAGRYI KPAKRSPTSPVPMSPEDLRGLGAIGYNENDVASSDNGRRTRNQSQSRVGSVRSSSRVR RVSPDPPARRQAGSRAGSRAPSRNNSRRPSPDGRLMVIDTSRGRSAGREGSVMRSPSS PLPMSPQAKFYQDNDDDEDLRRAHEDQQRFRSRHRSTSRMRERKPRGTSTVRDSPSPE RRRERSSSRRPGERESSRTRGGEASPHPKRERPPHTRSISDQKFGEANQMKDERTIKR EQAARDLEERRKSLARRPSAPPIVHPEELSRLSPVSYRGEPSPEFLRSSTYPAAKQPS RSQTTSPANLNTSESYLKQNSTTTPQIGLPATPRAMRHPKYDPDGKDIPEVPQIPERY EAAPPAPPSWGSLNAAALAYNDNLDTLGPLPKTTYSSAPRRVPPRSASAPIPEEPYKK SQPLPAGLPTHPAFHAALPPSNRRKTQDPNNMRGSPLASPRKIIPGESQPGTLGYEAR GNSPVYTISRPEILSGIDETIEASKQPLNPSNDNLTPPPPPPPPVPPILKELQHLAMP PPPPPAPLYRPGSTPNTNSMVSGVSQGSGVSLGSGVIEIVMDDDEKVVPAPTQAEMSP PQPAFARTSSVSHSRGRSENDKTMAGRFSRATERLRSASRGRNGPPPQLDRTRSPPVQ EISPYESVPNSWSRPGPPSVNSVSTGNTTERHPRDVAAAMRDMEGGMI LY89DRAFT_727928 MSEVTSDIDIIFLECYSTAPMEIPSSTSRSRPQSPSCAYPSWPR RASLSSNSSEEEHQSSSFIISDEELFPDVFDEAEQDCTPPATPHSSRSPASPSMLCDM VVENGSLMRQLMAEEKAKKERRRRRSSASKKSRSGSGTSKHMSPIMEVGE LY89DRAFT_679961 MEAERKAPPIPKGDRGHGGKKRSFQSGQWAKRSKSRKEKPKSEG SNEEVLIADVRALFAKQKLSQTSTIAATNNAPESIDNSTADQVESAPAENGEPMDNDE KPELPEKFSEVEVEVLEISSTGDGLAKHAGSDQIYIVPFSTPGDVVKAKVIKHFEAEH YTLADFVSVVKAGPLRDDTRVNCKYFSTCSGCQFQMMDYPSQLAHKKTIVAKAYKNFS QLPPELIPLIGETIGSPLQYGYRTKLTPHFDAPPGARRDRNKTFEKVPEIGFMAKNRR ITLDIEDCPIGTDAVRMGMKRERLKVVEELAKYRKGATILLRESTFRVPSNDNRASEE LPDSIKTATEAYTDFKSCVTDSNATSTEYIDDFVFTNIAGSFFQNNNSILPSFTQYIR DHIMPPAPSSTEAPIKYLIDAYSGSGLFTITLSSLFKTSMGIDISGPSITSAKDNAKL NNLPESQCTFMAADAPELFKSVKYPKDETVVVIDPPRKGCDESFLSQLMNFGPRRVVY VSCNVHTQARDVGVLVRGNENGGARYKIESLRGFDFFPQTGHVEGVAVLSRVEPEQEA AEAQ LY89DRAFT_679962 MATSGPTPPKSSYGPSTDIQEFHDVLKSSKRIMALCGAGLSAAS GLGTFRGAGGMWRNHKATALATPEAFERDPGLVWLFYSYRRHMALQAKPNAGHYALVE ASKRMRSFLTMSQNVDGLSQRAKHPRDQLKLLHGSLFDIKCFSCDYIELNNFDDPFHP LLAIDSDEDARLAAAANNGESTKVKTKPILPKNLPHCPSCKTGLLRPGVVWFGEPLPE DTVAEIDSFIDEDKVDLMLVIGTTATVYPAAGYVDEARKKGARVAVVNMDGLDGELGA ASNLKRGDFLFQGDAAKILPEILKPLIGELKIPETEGV LY89DRAFT_713678 MSDLNSWEDDPAAQDENLSRQTQQMNLNNQQQQQQGGSFRPGAQ SFQPGAQSFQPGQNFQQYGGGYDQYGQNYYNNQGGQGYGGYPQYGQQSGGYNQYQQGG YNAGYNQGGYNQQYGQQYPGYAQQPQAATTAQQPLRQTPTIAKRPAEGSSSSADLNKP ITVKDGAPKVLSIGGDAPKAKAKVLSIGAPTAASTKKDSAATAASKAPAEAGAKVTAA KAIEKTEKSTASDSGKTSPTPSSGRSSPSRAAAKIPAREVDAVEKEQAADVDDETLKE IYGKEHVNIIFIGHVDAGKSTLGGAILVLSGMVDERTLEKYKKEAKDMGRESWWLSWA LDLTKEERSKGKTVEVGRAFFETEKRRYSILDAPGHKTYVPSMIGGASQADVGILVIS ARKGEYETGFEKGGQTREHAMLAKTQGVNKLVVVINKMDDPTVEWSEKRYTECTTKLA QFLKGTGYNLKTDVFFMPIAAQQLMGLKDRIPPGVCSFYDGPSLLEYLDGMAALERKV NAPFMMPVNGKYRDMGTLVEGKIEAGVIKKNMSLVMMPNRDKVEVAALYGETEDETNM AQCGDQVRVRLRGIEEEDILPGFVLCSPKRLVHCVSAFEAQIRILDLKSILSAGFNCV LHVHSAIEEVTFAALLHTLQKGTGRRSKKAPTHAKRGDSIIARMEVIGGAGSVCVERF EDYPQLGRFTLRDQGQTIAIGKITKLIKAEDDAQAA LY89DRAFT_703782 MDIVLGKITQHAMNYAIRAGIGITASYTIKQTSRLLRTVDDNAD YQELRDLQEILNSNIKILSPAIDMVEIISARGNSSLESAVSMTRSLRWEIQSLGIRLA KAAEAEEASRKRKTRAISKAVHHAEIRLIMQDIKKLLARIEKEVPLINLAITASGAKI STTMPATVSPSRMMQAGTYISENNLAYFRNPDTAVQAGPTFTLSLYMLFAGHSNRVHE DAENMREMTWKEVIHKARVKLMRIPMYSTQDQSSTQILPSIEQNEDFIRGNGKHNEYA YHLEVVEDLDDDRVHSFGEDEAQPGPYGDVKLAGIRDFIPIHQISKIFYADTGKILGI GDEGQANSPVLLLKRDINALPPRKMMQQSELGLDLYEQEEEDQDFEKQTEVESENDSQ DDIDEQIRRESAVCLPLETSTDLAADENHWRLPADLDPEWLALEVYVEPEESDSEDEQ DEQDNINDDSAYISHRPSSSGEEPNGEALANGLAHLHLDQESPHNQSPARRTRSPSHN LDSFQPSDESPYGPIISSLSLLEMLIRLASLQQFTQAPHLCARDEILTFFLEDSSTTG AGKDGEERRRTRRAARQKVGFDPYDESPVKRHGEDYQYQNQGSRGNTPYDEYDHRSDY PMNSPRWDREPSMLSQRTPEPWMRMRDRNGSGSRSRRGTPEIMSSPISPYRPQQRKAV RPLNRVQAERGVGKGSPLGRGMSVETDSTLGTSPGSPTLVDRDGKL LY89DRAFT_663622 MARGKKGKTNKAVESSHSAGNTSSSQVGAHSTQHNVLPLRISNN TATAAIAKSTKRRVERAMSDSQHASGSGDGIEPQMASMENDRPAKKLKLTAGVLRDWA FIGVLIDEPTLEVFARFASDDRVWAKAVPKEGAPDIGNINHVNMSKVELSTKVIQHYG QDTTNVPKNDIVRNFLSANLVYDPPYLEVGTRSGLNSSPVYAKVIRNGKYRSLLFFLE KNTFDIEKSINTDQIKFGLDYEKATLKNTTDYINDLFKMKYKSVVTGPPNPIIPRPAA DGPRNEPRPAPGLAPPALNIGPSNEPRPAPQQAPPAVKVGPMDMGLTNLQVSMANLRQ AGELYEKNITAQDIVIGGLKKTVADQDIAIADLERRVALDEKLRAEQEKRLAEGNKTI KKHEATISNLEVSLKEAGETVTNIRNAINKHQDNGNDEEEPSTNKGKAVAGSSKKKTA K LY89DRAFT_605911 MGKPKGVAQQIAEFEVPAVTDFDPEEDAPAENSDDSAFDSSEDD LAGTEHYAKVGKSKLRNPAEVLLGPEYSGARVTRDALDEESGEEDDENAHSEFTSSEE GEQEEQSGQYADPENVAIDMDEEDGDIDSDGALGESDLERFKDFTFRGSSKPRSLNGG RSRPVAADFMSDSDDEGVALNGSLPEEEEEESDEDMMSIPDQSEEQVESDEASNIEKS RTSEASSDENESQSGEEDEESENETEDSDDDADEKKSRRAELRKIMSEEQKTVVATIS QAAKADAEKGNAVKEQRKAFDSLLGVRMVLQKALVATNTMAVTEERDSEEAANQPYEA AEEAAIKLWNTLDSIRHDLQKTSTGSKSGQKRKREIDSAAPSSAIWERMQGLEVAAID NRQRTLEKWSSKVKGTAAMPVSRKLNPTANQQSITSVLQDQLASSDHLIQRTKIPRSC APVQRDSKVTEDPNIYDDGNFYQMLLKELVDQRRVESLANPVNSAGKTMQWTAVKEAK TRKVVDTKASKGRKMRFTVHEKLQNFMAPEDRRNWESHAIDGFFGSLLGQKMTLGEED SEEETEGNNGVPLEEEGLMLFRS LY89DRAFT_169684 MALEQIDTTMKPRSFSTLRCLQHENPLGLPRTGSIPRMQRGLPE RRKIKDVKKVIAVSSAKGGVGKSTIAVNLALAFARRGLRSGILDTDIFGPSIPTLLNL SGEPRLSPNNQLIPLSNYGVKSMSMGYLVGDQAPVVWRGLMVMKALQQLLHEVDWGGL DVLVLDMPPGTGDTQLTITQQIVLDGAVIVSTPQDIALKDAVKGINMFKKIKTPILGM IQNMSLFTCPHCHNSTHIFGAQSGVTKACEKHGIKFLGDIPLHASICDDADRGKPTVV SEPESDRAKAFMAIAEEVGTKIELFGM LY89DRAFT_693416 MSSEITALENDIKEYKLQLEAVQLGLQADPDSAELQELKTELEQ VISLTEATIAELKPASVQAVVPEKAAEPVKEKWSRENHPAFKKTAAAEEAETTTTFKV NDMVLAKWHSGDKGFYPARITSITGSSTSPVYIVKFKNYDTTETLRAKDIKPIANANK RKADGTPVVASLPPPPPVNTNVISAAADINPELAQQTKREPSKVSDGPVRPAKVPKKI KANKELEAGKSKWQDFAQKGKFGKTAKKESMFRTPEGVHGRVGFTGSGQSMRKDPTRS RHIYQTNGDDEF LY89DRAFT_679968 MQGFNMGRYVPPDQEGLMSGNQLHGKHALGARANKISQGILTVR FEMPFPIWCTHCPKPTIIGQGVRFNAEKKKVGNYFSSAIYSFRMKHTACGGWIEIRTD PKNTAYVVTEGARKRDLGEDKVEEGDIKIMTKEEREAMRNNAFASLEGKIEDKQRLEY SKKRLEELQDLSEQMWDDPYEQNKRLRRSFREGRKQREKEAGVTVALQDKMSLGLDLL PEHEDDARRAALIDYGEVDAERVTDKAISKPLFKIEEPALKSRSKLKASKASRALKAE ELARKKKENIASEIRGNTRAAMDPFLADSRAAKSDSKPFLAGVKRKQSQLEEDAPITT AGVNLVDYDSD LY89DRAFT_169715 MCTYIQKQKSNTHLDSDIIVTGLSGKAVLMLCNSQDWVKLDTNP AGMGTRLRIEYSAQVEHDRSDHLINAVLESTGDLRLETRGKVASLKNGKKIRAHMILM TLSYIMLLMPSFATLTSSELVM LY89DRAFT_169714 MYLQRDVTPPAIGTDGMSPVGPVSTSTANNGPVILAVTGAFTGF AALVVIMRIYVRAFMLKTVGADDWIMMVAMLASAAVYACFVAEVDLGVGHHMSDPTLV SNLEKIFHWFFYHGLLNVFGISTVKVSIGFFLLRLVQGTMYKRILVAWITFLVIFGLA SCGTIAFDCTPISAAWDLSLLADPNTRCFSTSVFRSIALVNGAINAFTDLAFALLPLP IIIPLKINLRTKISLICILSLGYFAFAASIVKEVLLSSFFTDPDPFFGYTFQIWNDIE LNVGILATCLPALRPLFAWLFETASALKATSLRRTGLGSNANNKIYRLKDGHNMDPMP SRSTTALSQRGYRITVIGGPKVSEDGEPIFDRRPSLASSGPMSKLEQSITEADSEKDY EDQPMHFDRRFRVRSPTDREFDFQSRRLGILRTTEVMVER LY89DRAFT_693418 MSKLPTSPLGKNGPLVPKMGLGCMGLSIWYGEGDIPDETRLKFL DGAHELGETFWITSDRYGDNEALLGKWFKRTNLRSSIFLVTKFGYVPGNPLDFRVLRT DPEYVKQACARSLQVLGVDKIDLYMAHRIDDVTPIEKTVEAMVELKKEGKIDYMGFSE ISAASLRRACAVHPITAVEVEYSPFALDIESEQINLLKTCRELGVAVIAYSPLGRGLL TGQYRSLEDLDPKDARRIMPRFSAENFPKNLKLVEDFERLAKKKDCTSGQLDLAWLMA QGSDIFPIPGTKRVERLKENLGALDVKLTEEEVKEIRTSVNAAGIYGARYPDAHLAVC FRDSPPLV LY89DRAFT_727941 MESSTPQVDDMAQHEAPTKKRRPTEKRKLQNRIAQRKYRENLKS RLETLERQAAEIADQQNTTVPEPESRFQPQVNDPPASALEENSFDFLLDIDPENDANS FLPTDSEAFEIPNYPVFPNMESIFDNPVEAESSTPSKDRDNGNPSAPTPLDAEFEYDF YINQLSNENPLPSDPPNQFSQVRERPGYSPWGPGHVWSGGKSPAKTIDNFVRMTPDAG TISKMTIKPPNRPPASDGSLEACIKLAPTFASELVRQLRLDDSKENHSLVRTAIARGY NIRDVFLAGLSSLDKQETLRPRKGFDLYNNTLTLVPTSTLQAYLSNAMAMKLPIHGLK NETFQSPFYQPEALAAGNMAALEAIWNEIPKALRPTRGQITVPHHPWMDMIPFPTLRD RALTLSALNPPIIDIDDFKNDVFMNCGMFCWRTGGTGGSGQPWDMRSWEAEPWFLKKW WILVGGETGEVWEQTQWWRALRGKSKVEMDGAAK LY89DRAFT_776969 MAMEAGPWISLGLKGANKIIDKHFERVPHKYLYSDTYKPKALKK RRDRKNSQDQNNSESEEEEEVVQERSLGPESDTEEVLRDKDVVLGRDMGPPMPRDGSL PGGAYSQESPYIQPPFPMYSHESPRARPQYMPEQAIPPIGVAGGYYPPPPSEHFVNSP YDNRRSRGRRDSWDDEDDYYSDSYRQPRRPKPVTRRSSSYHGPRGSGRGRDDSDSEDN RYAALVSKRGKTPSGSEHVDKARHTAHRYKVKDEIEGSFTKSKAGLGGAAVGAVVAGW AANKAQIGYTKDGGKKDPNSLVTLLGAAVGGLAVNALVEKYEESKRDTDDKQDKYNDK WGKDGDTKSEGGRSQKSQRRKGDKDRDYGSDGGRSQRSRRRRDSAYGSDGYD LY89DRAFT_558425 WWLDVQNPTEQELRILCSAFRVHPLTFEDIATQESQEKIVDYNT YYFASVWSYHVVEVSEGNAYEPCTIYTVVFPSGALSFSFSTTVHAMNVLERIELLKGV VAVRSDWIFYAFVDNIVDSFGPSIMEIDLEVSEIEEAVYVTREGDMQDFLLRIDGVRK RVSALTRLLTGKIKVLSSFEKHHCMDVSSDEDVKPGHNLKLYVNDVQDHIIGYIQSLN QSESLLSRSQSNYIAQASYETLEGRTRVNDFMALLTTVSMILTLLNFVAGMFGMNVN LY89DRAFT_573491 MTCAAFAAVAIAHGGAHQKPLQVDPDADWATRHMAEEHHIGNFD PTSFFTLHDYDDNGFWDKSEILKTYGMTDPSAKDTPQEKKDEIIREIMKLMDTNNNGI VEKEEWTAFTQERKGTLPDFQTGPGHHWDMEMEYEIHHWEKYHDENTKEEDLIHPEDI EHFRLHDEMEDEAERVAKLDKMSIVEQNIPAKFRKDV LY89DRAFT_679973 MDPKPQILINGASIAGPVLAHFLSQSHISITILERSPNLRTTGQ QVDIRGSGLTVIQRMGLEEAVRSRTTEEAGLQFVTGNGKPIATFPVDKETGMSFSSDI EILRGELAKVIYEKTVKSPDIEYIFGDYVTAFSDTGKKVTVTLASGKEREFDLVIGAD GMTSKTRRLAFPRIQDPLKPLGQYMAFFTIPYQASDGTFARWYNAPGGRTILLRPDKA GCTRAYFAIMSSKPEGYEKMSEEEQKKMWRNLFQDAGWEAERVLEGMEKSEDWYMQEI AQIKMPTWHEGRVGLVGDAAYCPSPISGMGTSLAIVGAYVLAGEIVKNGNGRDFEKAF EGYEERMRGYVDKAQKLPPGAPGFANPQTRIGISILNGVLGFVSWSGLATLFSKLSLG ATKERDDLPEYAF LY89DRAFT_727946 MTTSPTSPHRPRRESRFTEGSETTFPPSSSSPGATPPTNDDHLL FTILSEMDEHERRRRRRQRGNSNSSVESFASIESPSSSKEREGRRRSVVFAREESSGS VGGSGMGGRRSLDERPREREGIKGLVKGRLRALTTGERRKDVKPYPGT LY89DRAFT_170043 MADNNSEMGSLPPPELPIEGTSTEKAPTNPKRPFQEQTPAGDDE VDDPDFVGPQSAHPAKKKVRKYRLLDDAFTYIGTHVKEPLVEVLARIGKDGKIWTRAV QKGDSRQLSNWSDLVSSNGRVKFENIKIDSRVIGDFAGLIDETYLDERVKLVKDFLNS DKSRTTTSRERVELPPPPPPPPPPVYEPSRIQVGTDRVSKQPVSAIMIHGKGDHGPYV RFSRVGLHVGYEYKDIEFDQEYWRGSINASKKYIKTLFKVKDDSRQGSQSQRRELEEV DDASEQSSDDEVEQPIQDGLGTLKPSNLVLSDGFEDAFASLDKSYNASIDRLRREAGR QDSVIGEREGEIEGLRSDIEELSNANSKHQETIAEHELTIRTHDAVIEELSLARQQEA ETSSALLRQRNEIISNLEARVKELTLGGGSNSGHTAKTDNEAALKSQVKELEAKLKAA ESANMTQAWKIASFSGESEDSKNTIALRLQHEVDIRDDKIAGLEQELQDLRAENGQSQ FPHPLLPLPIFTREFDLHGLRFEDGFVKVPEAFAKASLFKERNGAKFTGENNILWQKT RMLQDNIIVAGTPLDRIETIAGARYACYEEGGKQKRLRLPDQTSLVLYDQKYCIEWEI LKETDPEEVDYSF LY89DRAFT_776975 MSGTYKPTEHGGLKEDGTPDKRVGTGEFAQGKVDPVEAGKQGGQ TGGSSGGSSSGGSGAGEFAHGKVDPVEAGKKGGSS LY89DRAFT_170055 MQLDHARTSDPTPDLGVREDKASRHGQAVESNPVWAITSPRRSE RMKATNSEVSYNDHDQEDDGPKQEEQVSQPSKKSEIHENWTCIGSHSEYNSLLLYAGL TTEKNRPGPVLRVKAFVGDFETDIDREIRKKVKLKSWVPMSKVVLNKSLFSDYEGWGR RLTPRRGTLYGNTLRSSSRVKQTLVTKIELLLLFWVLLV LY89DRAFT_170105 MMLKPLQITPNSHSSHPIRVYLFLIGSASIVPPHRFKSHLLTSN SSRNMSTSSYSIFSPSTSNDSTPVKQEPGNSPSQYTTESDEEEGDEVYEGTQRSAKRT RIERAEETREVVIGHHIDEPSLVVYATFTKAGHVVFKARKSEASLAVRNRWHQLIQSS KHAVHRQNVVFDRVALKGNDPENLTLKEVKELETEYAIAKIRGTAGREVVDGVASNSQ RRVTTMGGGTVDSPAALSGGKLLAAVKHHKDTGAALARVAREHDTESSKKIRAMQRVI DDQAKELAKREKVVSPANLSQIDTDTFMIGNKVYNKIPADMPNAGLYMEKGGRVKHGY QEKVVLSEYSSYKTGEVFETVVFKDTEFLKTASGEYVQKQEDLVEIDGKTYVRRRVYV SYDSDEE LY89DRAFT_713695 MRAEEQKSKGIWQEPLVEARNLRMERLKKNTREEMAAYASTSGE GDVKGKGGQIDLVGEMSPNDKKVLDEAEERLRQETANETPEGRQKRVARLRAENARKR EKLKTEILQKNTESVRRS LY89DRAFT_663642 MSTRNPGKTAQKRIHQQRDQSDDDEERQESEDEAPEQKKQKKQK KTTEPVTDDKVDLLVGTWDTAKQNSSKDIPVYAYLNDNQRVRFRYHKEDQYALEITIP TAPIYRSIQFKRSISDRMKPDGVKIIKDLLLAQNGSGSVVDPNEVHANEAETNEEEHL SEAEDEISTSELSANAEKQGEIKASSEHSLAILFESLKTVGDIVKKLVSDQNESIEAL RLEIEGIKQGEN LY89DRAFT_679978 MASNTHEEPKPDGAAFDDFFESLGKKAEHLSVEDKNGVPPTNDQ FESTEDDDGEPKIVDEIESLCMNCHENGITKLLLTRIPFFREIILMSFYCPHCNFKNS EIQSAGEIQQKGSHYELRLTTKEDFARQVVKSDTCVVKFIELDIEVPAGRGQLTNVEG LLSMILEDLELQQPERKEQIPEVWAKIEEIVQKGRNMIKGESFPFRVSLDDPAGNSWI EPDQKDGVGKWSKVEYARTPEQNEALGLGSGEEEEQKPEPAVPGQPTAASFETDDIIP NEVYSFPATCPGCTKYCVTHMKMVDIPHFKQVIIMSTVCDQCGYRSNEVKTGGEVPEK GKKITLKVEGPIDLARDILKSESCALECPELSLSVNPGTLGGRFTTVEGLLTQVRDDL HQQIFDIDDSNDAGGDSLPSESKQTWKAFFDGLDHAIKGERKFTVILKDPLASSYVQN LCSPNEDPQIETEEYERTEEEKEDLGLADMKTEGYVDEAGEKKKEDAADEAQRIEESN G LY89DRAFT_776979 MSSTTRPPATSSTNAPSGEKSYFEQQREVLLKEIGVSFEHVLAN INKLNRSLEGVIAVGNEFSSVEALWSQFENVMAKDPDAEAETHAGEGEEAEQDEETEI REHGNGQ LY89DRAFT_573873 MSEKYEAPRRKPTRREQSARSSEGTVSTMMSNSTGRESSATHVT EGPAYSKKIVVVGDGGCGKTCLLISYSQGYFPEKYVPTVFENYITYPTHQASGKTLEL ALWDTAGQEEYDRLRPLSYPETDLLFVCFAIDCPNSLENVLDKWYPEVLHFCPYTPLV LVGLKSDLRTKRTCIDLLKTQGLTPVTQEQGLSVARKMGARYMECSSKEMVGVDEIFE EAINTVVANDRSNQRQEPEPSSGGQTQYPVVRKKKRNCRFL LY89DRAFT_635391 MSSTPNGSGLELEKFPDAPPKIAINAPADTPDAGLRSLDHYKRK LPQWRYDLRQQLLPLIRWETPYLAWMQDKMRSPALDSYFAITANLGTHTFFMVVLPIL FWCGHTSLGRGMVHILASGVFFTGFIKDMVSLPRPLSPPLQRITMSGSAALEYGFPST HSANAVSVAVYALFTIHSPDCQLQQSTKLILEIVSYSYAFSIILGRLYCGMHGFLDVI VGSIIGAGISVIECVYGAEIDRYLHSSSWLAPATIALVIIVLIRIHPEPADDCPCFDD SVAFAGVMIGIEIGGWHYASGNWAWDIPVPATVPFSLQHMGWVVVIARVLIGVLVIFA WREVMKPAMLKFLPHLFRIIEQYGLSLPRKFFMPASEYKNIPSRLKVDNVMPSVSDLP GLLTSIRHPGRGRAVSVGPQSAADAYETLAYREKRRRDSLTNTGEMESGAQSPRSTVA AQADYFSVPGKVDKEGAVSQVSGISAPLGLLATGNLPTPAQSRVGSYEQMMGQGHVLM TPSTPPNGLVDDAEDENVEIFVGQQNELGEKEMFSRLEKPRVRYDVEVVTKLVVYTGI GWLAVEFNPIIFEVLGLGMGNHRPF LY89DRAFT_574207 MSVTLHTNLGDIKIEVFCESVPKTAENFLALCASGYYNGSAFHR LIPNFMIQTGAPPSQPKGGVSIWEEPFEDEISPALRHNARGIVSMANKGPATNGSQFF ICFDKAPHLDGKNTVFGHVLGDDSLATLAKMEKIEVDKKNRPKEEIKIESITMHANPL AG LY89DRAFT_170220 MAAFVRVSGPPNSNFLVGYPGISATLPRIEGKVEIRPLTGISAP VAISLVRICLQRRETIHPSAESIAKKHLGTPRRETTDVVGKELLLFRCPAGRESESVV LMDLPFVLFIPFGRGGEESNRRIPPASLQLPSRTAETFYELVVTVQQGQEQKKFAFPV PIQRYDTLSTFGMYNRPEAAEKTSDHLVTLGISLPRWSYGPLDPVSVYIKLSPNPDWM NKAKKVMIQKITIGIEEEITYNPEGDEPTKKINRIAKHTQTVGVKMPEAGYFTNLGLV FPSKELRDPEGIIRRGKPAFPMYSVNSFTTTGTLYKIEFFLIIKAHMSAARDIVLRQP IVVCPLDQQDCKEEMDAIEQAAKDASHVDPHNPMLPACTIIRANDKDALKALGWTMVG GQKKMVIE LY89DRAFT_679984 MEPLSISRSESLSTEEMDGLSVLESPEPRSDTPLNDTHNSLSTD TEKGIVVEAPAIGFAESSATMPGQEEEDDEEVVTPFHKRKRASVNYNLDENDYKLVRG SPHIEPPAKRTKQSQKIRGVIIGVWRDSDQPDDADKHVIYGFIDIHDRLRTRIYGMNR RGEELIANAPTGAGGCWVTFERVIFDAHLKGLNSAEIKEYVKIRSEMKPETTAEERHE ADTKAVLKAKAYVAEHEGASPGLKPVVHRNSLGRTGLHRHSLPRQALHKTPSFQAVNA ADMQTPKASPFSESKPSGVLLGYWADSDEPRLEDKHAVYGVLSGTDCFRVKVQRVTRD GRFVDGNFPVGAGALWLHYDKVVLEPHIAHLNRLEVKEYCRIRQREQENRESDKERKT NELKAAQRAQQVVAEHGTNGIAADQNGSPDFEPRHSSRSEHRLSARNQAEADAAAEKM RKDKAEARERQNEKTRKEVAMAEAVIQEAAQMELKHNLKKLNKVWIAQQQATEPTRAS SISGSMVTENEVRYHNGIKYERKQNGPFQGKLVSQAQIFSIDGEDYVEYRVLTKPSFF LY89DRAFT_635400 MADVDEDAALRSSQPTANDETELGDEFQDFRFLAALTAKSGGQL PKRGEKDFEPHGTKHQDGILSASRQAMHDALSYTRVHTSKQYNRAFYYGEEGLPRDEV IREDWRQGLDDDHVILVESDKGPHFKTMGKTNTGKRDPGIWLLPEEALYLVERGNLDL WWPSRSSYTSVVPDEDNTDMKEKVDTQDEVDEGFPMSLQAAFSMLIGQDGERGKVSLE RYTVYAHLKRMGYAILRASDWDVTQPGKPQSHQVELISEPTSAFSWLFGKFFAEKEIQ HSQYGPLVKPGMYRSYNSIYRQIAVIPRHKPNPNPSAPAPIPNDPYRVVFLLWKPSRL PTFAKSNPGLPDFRVAITDARSTCVPSLGQISSLLESTPWAPPKGSEFEGQGKVYQRL KNGWRNVVLAVIDQGVISYLRLGEVAFGDERMYERFDAGGNSGSKRGGGAGRGGRGRG KGRR LY89DRAFT_663651 MDAGLLRHYAAPYAYNYRGNLYRQWWRFLNSKDQEGNPVLSDLD IRRVASKYTTNWNPTGKIRIRSADRQAFVDNAIAAAPDDILAHKKDRRVALPDGSNCK YGCVFCWRRFPRKDNRDAHERSAYQEGLNPEAICNLLQETHGLTASPWTLESRIETWR LRQKVDLGPYFSEIKGAYEQGVIPSEIRKPIYNKCKAMISAKVLNEHMQQWGLHVRAP QAKPERIESRTGEVEIVQATAMRKEVVKLGVEEPDISLLKTLVDTGSSDLRKRKFEGQ AVNAVAQIGEISAITSAGEKKAWSGGILSCTDPDDIEQDNDGAWAGWTGFPPRLQQLT PLLNGLRHGLISSAIKNGAGVYLKRRNEQNSSGTTF LY89DRAFT_170547 MKFGRNLPRNQVPEWSSAYINYKGLKKLIKAAVATVKAGQDADL AEFFFSLDRNLEDVDDFYNKKFADASRRLKLLQDRYGTSKQALEALDRNEIDELIDAL LELRGQLRKLQWFGEVNRRGFVKITKKLDKKVENVCTQQRYLASKVDPRPFATNAALT TAMKTINDWLSVLGETKSKDDAQSVHSAHSIKRVSSRSILDLPNGLLDTVDQAIRNDD VPILQETLAEANFDPEEPAMQKLFVNLLQRSISCKSKRTIAYLLKRIKSLDEADDINE RNCLHRLVISIGRAKSAITGEQTSIALLPVDADETRYLTPAASPPLGPATSNLKESNL LSLSDESVKLLTYVLDHLEPSQRIALKTRDSYGRYPLHYAAQFGFVVICELVMKYMQQ WGQFDVSHGIDAQEWQDREGLAPLHLSVIGGHPLTTQALLNGENWQGPNDRKVAMRKD ISKSSAVLALATKSNFTRIVKLLVDAGVDINWQDETGETALHVAARFGHADCAKILLE GTPDQKADFELTEKTFSWTPLHVACVDGHLSIVELLVAAGSDLRKLDASGWTGREHAA LRGHMAIAERLAQETPDEPDSESSVSTASSSPPRMTSMEASRSQTNNVRSIESVKTFG HRYLTKESMVLVSLGSMDMRKDIPAVKLDRIPIEEAHSTQLDTALSVIVSASGAQGEP TIIDLPVQDNISTEPMVFTAIDASKVKLYFDIVPTYSGSQEHKVGRGVAILSSIKQAI GLKRMNLQGDVSVPIMSTNLEVIGIVNFNFLIITPFSHPNMSITKNQTYWKKMSSTMV IGHRGLGKNAVSNRSLQLGENTIQSFLTASNLGANYVEFDVQLTKDLVPVIYHDFLVS ETGIDAPVHTLTLEQFLHVNDTTPRVSRPPSPPKENPQFRVVRGADRQRSLSLGPMMP ELDMAERMKHTRDFKEKGYKANTRGNFIQAPFTTLEEMFVKLPEDVGFNIEMKYPMLH ESEEHDMDTYAVELNSFVDTVLTKVYDLGKNRKIIFSSFNPDICLLLSFKQPSIPVLF LTDAGVSPVGDIRASSLQEAIRFASRWNLLGIVSAAEPLCNSPRLVRVVKESGLVCVS YGTLNNDPITVQQQVKEGIDAVIVDSVLKIRNGLTKNAAKTPEPVASWPSEKLDNGVN GVNGPVVV LY89DRAFT_754922 MNPALQEVQGLRIAVEGCGHGTLNAIYAAVDKACEARAWDGVDL LIIGGDFQAVRNASDLTVMSCPVKYREIGDFHAYYAGTTKAPYLTIFVGGNHEASSHL WELYYGGWVAPNIYYMGAANVVRVGGVRIAGMSGIWKGYNFNKPHHERLPYSQDDIKS IYHIREIDTRKLLQLKTQVDIGISHDWPRAIERHGNEKALYRWKPDFEQESKDGTLGS VAAAHVMDRLRPPYWFAAHMHCKFPAIKTYDGAPAPKDGSFESTPATAKPETTNGGSS GVMNGTAPTHNSDEIDLDLDDDAEKPPPTKGGVASTNTDEIMLDDDDDDVVIDTESSA VPTDVRAQLPAAFARPPPPTARAQPGQPIPATIPNKVVRFLALDKCLPGRKFLQLLEV QPHLTLTAPEGSTTRQKAKFEYDPEWLAITRVFALDIVLGDKNAKPPHDPGEAHYLPR IEKELEWVNENVVKAGKLEIPENFVLTAPPFVVGSPEIVQEGPVEYDNPQMQQFCDLI GIENKFTATQEERDERMRNGPPPAEERRNGGFGRGGRGGGGRGGGRGRGRGRGRGGRG RVW LY89DRAFT_679986 MVVCPVLEQPSRRKSQKISRQSLRHFLTSFIFSTPPHNAYSRHV ITSSGFEIEVMASPELSAHLRYLNESAHLLATAAPETSRHLMSRHNALLFANQIEVEG TQRKSACGACGALVVPGWEGTLESHIERSKVSKKRKPVERTVQKRAMVYECGICGKKT WETV LY89DRAFT_170555 MSESRNKRISSKVSTVPRDYATPPRARQTQAMTFGSPLPRTARA QSLAINRGRGGIHTPEQESTTRETVYRSVSASMSTPRKRSQVDRKLTNSDEKKNLQRE PLTKKQARKADQLYGDSEQPDKWMLDSGMNFGIHVGHHINWHDVQIEANINSRGDLII RAPKSLTPEEVVAQAWPNEFPCDTHQDAPNVAYRDVKIKNAIAKYYKGDLNKATYETK RNLLLEYLTATHEGRAVNHITEESYGTRPIRDYRVGTHRRHGLSVYAFLSNGVIHFRI HEEDNKKLVLPKKAVDFDDVKFLPEYGQGTPKETKEYIFKRLINHAESPELGGTFSRE HQPSCSPRLQDEYDDLHSNYTLSSQAILGQEEDDGLFDALQNFEQTGKLLKNEVLKRE GALQEHHIDLTREKERLVLLEQSLMQRQNVLEVQEKLGLDRKEVLAEKKRLNALGKTL EENDRVRKRELDEKAAALVKEENRLQSLKTSMDKRDEQLVNDRAKQDKQVEKSRESLL ERKNQLDKRDAAIEQKESAQAEKEKALELRERAIKNQEQELSKKEESNRRRAEQVDKQ EAALKKKALMVSNKESTNGAIARLKADYTAKIKQKDAEIAVYKDPYRLRIDSETTFSR SFHKIPDDQPNGGMYLEKAHASVAGKGDFTHMKFDHFRIVQFPAIKVEGELVKEGYEY DGHKYSVYRNEGKIKLVQDFEPGMLRQQDNRLVISWNCLKEQGEPSFTI LY89DRAFT_574374 METLKAMFVKPDPQTQVRKCNQLIRQNTRKLDRDIANMKLAENK ARTLIIQTNRRAQRNPAQAKQAEKDIRIFARELVRTRRQHNRLVTSKAQLNSVSMQVT EAFAVRKIEGSIRSSVGIMKDVNSLVRLPELTGTMRELSQELVKAGIIEEMVGDSLPD ELEEEDEEAESEVDKVLGEILSDKMSKVGATPTTPTPVQQEPVAEDEDEEDAEAMLDQ MRGRLEALKS LY89DRAFT_727965 MSSAATPEVLWAQRSSKTEAAKNFIYLTISVPDVQPANLKLQLK PQGLTFSGHSDSLKRDYHVELEFYAEIDEKESKINHTAKNVEMVLRKKELKEEFWPRL LKDAKKVHFLKTDFDKWVDEDEQNEAPEDDLGGMGGMGGMPGMGDMSSMMGGGGDFGG IDFSKLGGGADAGDEEGEEEDDDDDDEMPELEDEEKEGEASSSKPKIEEL LY89DRAFT_170525 MKMSTSLERVSALLTRAMSLSNAHIVTRTVPSHATGSSSISVPN HTAAKNTATDAANFSRLGACLTALPVDEKYILPNLLACPITRHVSMIHPSHLKTSNNA KAEVEAEAQRKMRREVHLRWYRGVSEIRYDQCTTAAKAD LY89DRAFT_679990 MSIASSTQSTTPIQSPQLPTIWQNIPFKIRKCQDGGEHRGNLEI VTWDSVSELGEPMGWGACLKEESEDLKQKFEVEMKGDFKNFYDYWPQGYRWTCCGLPG GYEWGCDSHFPGECKCDNCRYGYKLPKGFLEERNKSAHAKGLTLGEGNINGKEFH LY89DRAFT_170654 MVDSATISSSLSYGELLKENQQLRLRLNSQTRKLPQNPLKRVEV GNVTGSFEKDLFDAVKHSARVSTVTGESMSSIQVSIVLQDFCHTARYGCLGSILRSTI LPRVGVRVFLAKRSSTRDYRWEQITLACYIFQLSEPLFYLYQGDFLRNMDLKSVQAIH TWYYVQQCGRCDVPYVFMGLWNQNRKRPGLRF LY89DRAFT_754934 MSLTLPSSTRAPGQPWPHIDQSPHRTGLQCVQGILNFAPNGPED GGLVVMKGSHALCEEFFRAHDVTGRKTWGPDDWIGFEESETQWFEEKGCKVMKVCAEP GDLILWDSRTVHYNVRPRSQNLLALI LY89DRAFT_727968 MADPKIDHCYEKLRLAFSQITADNIRSRWGDYIPWNLKPIIDAA AKHETSKKVIKNLTWQEVWEKLQEEDPAIKLWETEKKSVTGTPPEERCNVAYVSNIDI ALAQFHNVVVAQYLVRDKNAITNGAIQFESETDKELMLRAFNMFEKRFFTDLSALVIG GQTRILRCVASEDEWKKAKFVYEKRLRGEEKDEGKIVKSCRVVCHRVKGITRNDPQEE ALRLELISAATAFEEAELTKKEAKDRHEKARIRFQDATLAYEKMEADKALADAIADQM DVS LY89DRAFT_727969 MDMDMVTAVATSSTSMVMSMATSTAAASAATTAMAMSMGGSTGC KLSMLLNWNTIDACFLFSAFHIRSSFNFFLACLGSFLLVISLELLRRFQREFDRHLQA RKSVRQEKEYVLPEEMEEKLLDKGGEGGDLRKERKERLVVVMLEQILRGLIHMVQFSV SYCIMLLFMYSNGYIITSIIFGALVGFALFTRDTLHSPTDDIDDREKACC LY89DRAFT_776994 MQEDKSKQHTDPCPRFAIIARCLELLLPFLCIWIWTLDPKGASR KLLWSEGASRLLNSDPSNAIYFYSNQTETPEVPYIWSEKLANANVMVIMGTSIIVVLR AAFHTSNRFNTKRIDLTLNIILLPLWIACLVGQQSSDYSDPHHRSRFPWYLVSSCSSA HLGARSACRNAQLTFAFTLLMALLYGTWVMKTIVSEIRDFINRRRYQQIIELP LY89DRAFT_713711 MHSRSPRYILRSHGSNFDRVIPNPTTPQQLGAEPDLNFIVTTPP SLPSASSRTSSRAGNKSKVVKKSSSRKKAETSLASPPRKRGSRETSTLIDDNTDTRSY STSTMTSSPGIQDSEYGFQQQDQRSFQAPPMQYLPPMMHDDYLQYQGMGNIGPQTGMG YDFPPQQQQYQGPSPDYSSRIEDVRRAPSEQDQEGEGTEVRSQYIVASPDSIWRAAPQ LY89DRAFT_574252 MPPKKVDKGAGGGKKPSAAKIVEDKTFGMKNKKGAQTQKQIERM TQSAKAGGTPEEKKRQAEKAQKEREKAASEAAKKEAMELFKPVQVQKVPFGVDPKTVL CQFYKKGHCEKGRKCKFSHDLNVERKTEKKDLYQDTREAEEEKKKQETSNDWDEEKLR QVVLSKKGNQKTTTDKVCKFFIEAVEEGKYGWFWTCPNDGDKCMYQHKLPPGFVLKTK EQRAAEKALMDKSPLKTLTLEDFLESERHKLTGTLTPVTPETFAKWKAERMDKKAAEA QAAAAKEATGRAMFEKGDWEFSGDEEDDDEGGDEDDDAWNMEKLRQETEALREKKEAE RLAKTGQAPVTNGDNGVKESIEPDDDDGQQEGEAS LY89DRAFT_679993 MISDDELYRLAIFLGSIAMLLIILYHFLEINSVEEPATDSKGKV TTGQAEVKAASIGR LY89DRAFT_703801 MSGKLDKSLDEIISTQRRSSVRGRGTRRTRRPGASKPVAVAPVG GIKKNPRQAKGAAKAVPTGPSGGNTEGRILVSGFPKDITEPMIKEYFGKTIGPVKRVE LSYGPGGQSRGIATIMFARSEMATKAVNTCNGIPVDGKPIKVEMIIDANLAKAIPAPK GLSERITQPKSQPKSAANTKKTETATRGKAARGRGGKAARNARPAKKTAEELDSEMVD YWNNGAAATEGEGAANGAGQAAANGDANMDDDIL LY89DRAFT_170687 MLGLRIGGWRRLVSRPCEALVHHSFVMVVGLRKGDVIAHAWSFW GRCEVLIGGSCRFVVLFVGVFFFEFLWGEEGWGYVREVYLRGTIEGSGSEEMPA LY89DRAFT_574208 LKMRLSVWATSLSLFTLTFASPFPVAVSTLQDRDLIERNTPLNE FLTILLDNIPDISGDISDVVGVLTDFEALLADLTGEQTTYNELGSSACAEYTVIFARG TTEPGNVGILVGPPFFEALESAVGSGNLVIQGVNDYSASIAGYIEGGDPTGSAEMATQ IESAYSLCPNTKLIASGYSQGGQIIHNAIGELPADVASWISKVVIFGDPETDDGQALP NVDASKVDTYCHVGDDICLNGDLILPPHLTYAENAAAAAAFVVS LY89DRAFT_170996 MGIKGLQNVWGKKGDADVAITPAVERADDHLEAPTGLEQSKQSS LKARYSRFKVIFFESKAVRISCIVLFVALLALLVVVLAYTQTRRRRPITTHPSTAFVH TPIQPASYPLAVRSPYLSTWIPGGLVANLPYASPQFWTGATLGWSIMARVDNITYSLM GVPQPANGTLAAKVLKGEFTSTHTYFTLDAGGSNLTLDFLSPVSPSNYLRQSLPFSYL TITASSTNGSTVQLYTELDDSWTGQDADTTWNFTSTTNTSMFSMSAVGTATYSQQNQQ ALWGDVILASRPSNSSTLSIQAGGRAAVRQAFASSGSLTGTTPDWTANGVIGMAHDLG NVQGDEAVTFAVGYVREDAINYLGRPYTGYYRATYPNTIDAASYFLNDLSAAEEESLR LDSDVVSKSTATAGSNYSDIVSLSMRQAYGGIDLVIPANTTNTSQVLAFIKEISSDGN VNTLDVIFPAFPIYWAMDPEWIRLLLDPILQYLATGAWKRAWVIHDIGSNYPNATGHD NQDAEQMPVEETGNLMMLAYAYVLASNNTAWADQYMTLFQTYADYLVSHSLNISLQLS TNDAAGPLVNETNLAVKGALGLKAFGLLSGMSNYSSLGDAHANLLYTQGLATDAAKTH FALTYPSAPSTWKVTFNLYPDVLLNLSTFPTAAYKMEDTFYPTIRASGGVALDDRQWW AKSDWNIWAAGTASASGSNTTRDMMVNDIWAFASNGMNSAPFSDRWVVRAAGAPRPVG REFGLRARPTVGGHFALLALKGMRYLNW LY89DRAFT_727977 MDFDHPPDPGSSFSGGAEDQGFDDTMDVDSPSRIAKTTSSRSPH DVAEDSNLIKEEAADGSVSMQESDTTADSEQNAPALIPEASHTPVPSTEAPDEAECRT GETSLMHENDVKQEVKTEDEPELSPPKNHANNKDKAPEDNSRVQSVDLTGDTDSEAEI DLTGQDSDEDDDFEFISSRALSPGKPEGSSMQAEDVEMQDGLESDDQRAPFVSGDENE NGSGGDSDGLFVAGEDPADDNGAGPSRSKFKEPKKKQSRRGPAKMPSDYHERQHDDAR KNGRTKTVWPRVGEHMRSGVKKNTNRKSSNKQARGTDVQQLLLAQYATHDFAPQNEEE DVDGDELDDLDPSLASILKTTKKDRFTQLLANSADIDLRRTKATIKDLKELCKSFGLG RMKAVGKRHWQLKGMTAVIPHHQMMGAAWMAKQELSRNGPRGGLLADTMASYNGLGKT VQIITLCVANPPPPSTPSEQRQILIIVPPGILEQWENEIENMAGDVFKRVMIYKSSDK IKAVYIDDSDIILTSYAQVFKSCPFPDRKSLAWLRKQNRHDEANGLPEWIESHIQEGG LLHNRYWYRIVVDEFHIAKNHASKISLALNALKGKYRWAVTGTPIWNRLEELFPYFRF LKDDSVTEDYETFKNKYCDPKNKPGIKKLAKKVERISLRRTMFDRILGRRILKLPRAT VETIGVKFTAQERILYRDVEERFRELINESLPELDERRNLTFLVSQLTRIRQLVTHPA LIDREIKAIFTLEELKKLMKKFAEVGHELADRAKGWIKEKALEPRPTAEELAAEKNVL VCEVCRDIPEDPHIIDKKNCKHLFCETCIWGAVQVQIGGGAEGPSCPECEGPFQETDI KAVPDQKRFKKHVKVMTKTLKGRDSSGFIPASLETKWLEDHDAGKVSFLPSAKLTAVV EKTKEWLRAAPDDKIIIFAQFRMAQAIIGRELGKLGVGFLYYSGDMDLKQRNKAVKEM KENPNLKVLVAGLKCGGQGLNLTFANRIISVDLWWNSAIEAQAFGRAYRMGQLKETHF VRFVIRGSVDGRLRKYKLIAEGLNEQDLSPEQALGLFGRVVKDADGHVIRVEADYEDD DENDAMGGLGGT LY89DRAFT_663668 MDDDLIELGGTKYIAGTTPVGALSYSTNFQSEESPTRIPITIIN AVSDEASFGPWIKSRLEELNVMDDAISAPFTEHVIIKTFGNEPEYFTMEAVFDGYWLD SSDEIPCDGPYFLEGRTLYKAYRLYEDEYNAFVCGVVQSEKEPFRDKKYIPVPSRCYS QVLNEQKPLSGKRIAVKDIYDLRGLPTSAGCKAYGAHHGPSKDTAECIKVLLDQGAVI VAKAKTVQFASGMASADWTADKCPTNPRGDGQLDTGCSSAGSAAAIAGYEWLDTTIGS DSLGSMTGPAAACGVFGLRPSLGTLSNVGAVPVSERLIMAVTWTRLAILAVRSQSFRK WEALGSGLWRLPVSIVKKPVTILVPRESQVSYNDTNISAWKDFLQDLEAYCGVSQTST VAHIQLHDCWKNASQFLEAYSEDAFGKLEIDPLIRYKWYALAFPLKAPKLIKVFRSFL ERKIFTERTIMVLPGGAPDVRFRDEKTSEDQYNMWQGFGLQNTTYSVLGGLPAVNIPG PYHSKLSGDVAQQPVSVMVLGSRGSDIWLIQHLEKALREDRRHVHVGSDTF LY89DRAFT_679999 MKTPEELLALADINPELEEIIKKYGPIPSLTADSDIPKIRQQLI TRKRQMTALTESAEKKEKYVEEDRQIPVRDGTTIAVRIHKPKNPAKDGCPIFVVYHGG GFCLGGLDSEVLLCRRFTELGGIAVNVDYRLAPEHPFPVPPQDAYDALKWTAENFTQL GGNPKKGFLVGGISAGANFTAVVTHLYRDDKLSPPLTGAYLSIPACMAAELCPEKYKS VWLSREQNKDAPILNNDSMGLFEKLYNPDPKSKYRTPMLFKSHKDLPATYFQICGMDP LRDEAFIYEDILREENGIKTKVDVYPGLPHGFWSYFPEAEFSKKLQEDCIGGMKWLLE QSS LY89DRAFT_171160 MLLLQFDSRRCPGVSGTLKKLLTPDSRFASRDLFTFVRRELNSF SNPQSSKKKNTHQVPPKMGKSRPDKKGRDEKKAKEKKQADEALTKVIGARVEKGGRES GHSVSFGGLALRPKRGKKRSLGKRTRTFAEQWKFQTERSFRRQKKERREKKERREKEE GEEGLWEESDVEEEEEEDDENLRWGVPDPDSEDDGAGGDLGGGVGGGGGIGAAEAVTV LY89DRAFT_754960 MGSVVNKRRGVATGNNDDEDLLFGQAFDAFDFKTLKRILNIYHK KTKPEETARELFERLKRLEVELEARDRSTIRQSLENEEVLTRKMLRDGPRANPNLQNK MSNTGANNSLVEESKVFCSICATDVLASEVPASHPGCLDVTVAGKLYACKTCIERHIK THQVKPFLSGDTLLKYARYVELRPIRHHSNFTWCLNLKCGHGQIHHKGLAEPKVICKK CSHATCFKHQKPWHAKLSCEQYDKSLSQGDRAAQSDSLEETKKSSAPCPTCKTQVEKI GGCSNVYCLCGTQFNWDAAMSTPK LY89DRAFT_635429 MTTTEIETLRLDSTTSNSADQRKYVYLAGVGVTHSIGIHLHNYV AKSLGLPWTFINQECPTVEDVMAYFRAPTFAGGVVTMPYKKSIMPFLDELDPLAITLG ACNNVYLTKEGKLRGTNTDWRGIKGCLLSGTLTGTNEGKGKPALVIGAGGASRAAVYA LFTELECGEIYVVNRDAKEVEDLLEDSKAYASGRQPKLRHVNSVADAEGLQSPFYIVG TVPDFEARTEEELKARAVLATFLQKEEKGVLLDMCFKPRNTRILQMGKKHGWRTVEGT DVIGFQQEEQWRLWAGAGENGRKAVPMDEVWQISRKAAEESLAINF LY89DRAFT_635431 MPAKNILVLNGPNLNLLGTREPHIYGSETLSDVESKCKAQASAS GASLSFYQTNHEGALVDRIQAARTEGVDAIVINPAAFTHYSIALRDALLAVSIPFVEV HISNIHSREEWRNKSWLSDKAVAVICGLGTFGYKAAVEYCLEHIKEKSKL LY89DRAFT_680000 MASNGEKVNTLPDTSHLEGVNYLFGHPIAHSLSPLLHQTVYDNL GLKWSQIPLDSTDIPNFLGLTKDPKFYGASVTMPHKVAIIPHLDEITQEGKDIGACNT IFFKEKDGKRIMCGTNTDCFGVRDAFLQNLPAGTDQELYRGRPGLVIGGGGACRSAVY ALHKWLGAGPIYIVNRDVSEVEAVLSDCKKAGFGDSLMHVKSAEQAKTLAAPGAIVAC IPNFPPKTAEEIEARKVIEIFLKKDEKGAILEMCYHPTPFTEIAEISKKEGWQVILGT EAMIYQGLEQDRHWTGKGLADLPSKQVHHAIAQALAAHQK LY89DRAFT_680001 MSFKPAIASMSLGRAWVHKLPYKLDQAQANNFQGIEVFFEDLEY LAREQSGLSASESPSPEAQKEAARTIKKLCDDRNLEIIGLQPFMHYEGLLDRKEHAAR IEMLRVWFELAKILGTDIIQIPGNFLGRDKITDDLDVVVGDLQEVADMGLKETPVIKF AYENLCWSTYFDTWEKVWDLVERIDRPNFGMCLDTFNIAGRVYADPASPSGKTPDADE DMKRSMEDLVKKVDVKKVFYIQVVDAEKMQTPLVEGHAFYAADQPARMSWSRNARLFA FEEGGYLPVLDVTKAIIEGLNYRGWVSMELFSRTMSEPGKEVPISHARRAKGAWEKLL TEIARWYIT LY89DRAFT_605984 MAGKTKGHAARPKLLFGLKHSQHRTAKMQHILNYYQKRVGVRAT KKKLLTLLGALQKEVSSEEAAGIQEWLQSNSDSATALKFILDDITDVDTAKTKGKAKV KSKAKAKFEVEREPSPPRHALRECSVCFEELPEASFPEQKLTSTCNHEPTTCRTCLAT AVTTQIADAAWDQISCPECPETLPYDVKSLLAAFRTIPGFTMCLGPGCGSGQIHGGGD EEPIMTCKTCEFKTCFAHKMPWHTGQTCAQYDAARRERMEQESASDALIAQIAKACPN PECGHAIEKNHGCDHMTCIQCNYQFCWICFAPWLNIMRHGNHRHDPACKYHTVN LY89DRAFT_713725 MHGHMKVVKPIGYEMKSKLESEDNSDSESVSSGSSPTFHPNDWQ SIRKTQKSFYLVLPIAFFASFSMAITNPSTLFAYATLLCKDALACQKEEENSYSGTFA ASTAVTNLCGILVLGILPLLRRMSTKAPLYFWLISRAHSVGFLMLGVYFDNLWIALCG RVFEGFAKDNILQLCLNAIFVHAPGYNQVSQFFALSLALFMLGQALGSSVAGIFSDFR TSFVLAIGMFALCIIYLFLAIPENKLAGSTREITDGEPSDHESMGRPSLIRNFVVSVR SLFGEPALLPLQFTLLLYFGGASYTLSAVMVYASLRFQFTVKENGWLVSTAATSGSIY LFGILFILRKICHSKADAKAFVWSSRKRPPPDFWFGIASLALFALAVPCVGLAYHGWH LYPIIAVTAMGFSTPTFIRTYAVSLVSDGTQAISSLAFMEASGALISPLILGAVQSKI GVKTVFVVASGMVIVGTLSLLGSAFWRGFGRRKSAIRAIDSVDYQAL LY89DRAFT_573888 MAFCVYCGKCFTRKEHLERHLVSHTNITPHRCLSCHLPFSRRLD LLKRHCAAYHGQTLTDSIEATSTVKARTAIACKSCAKAKTGCDKKVPCSRCVTKQIQC EPRYLRRGMGGNKNQQPTTPPKDGDNTNNNSSNESGSPKESAPDTLKEAEVFDSTEFF GSSPTKNESSVQEQMEISFVEPLQKFSNDFQGDINILDAEAQISEFTSWNDFAFDQEM YSTDNILPGNDLDSYNLSDQMETIKTPASNLVTPALTICTSPSINKTSFLQEVSPNQA RLSINNANFIDRWSPHDFQVIIAAEDAWPIACCNAQIFSGVCPRTASFHLKSLQQVCD NENVWTSFDTSFSQMDANDVEIVPLSPGTREKIVAITQSFLHKVLATQMGELHGRSGQ SEPSRFLILPPPNILESFISNYSRNLAVYFSLLPGGRLNINELMVDSQSSTLLVILMI AQGSTSVSSAEAKYLAMGLTEICRLSLFEAIDRNTGLSADFVMLHCAFLFVLLGAWGG DKWHMNVAVGHTPMLILMLKYAGMLEPQRFMISFSSAPGLELDWRAWQEYESRNRLVY NWVSVDQELSLFHDTYPILATMDIETPLPDSEHLWVANNADDWFKAFTESHSYDMDHV ASASLSTRPLPSLHALFQLFSSGNLAYQQVQPSPLYLKLLLHPLHALTCHLRHALACD AEVARLGRESRAISRAITLMKIEELESLLQKWYDLNAAQEHSDPSNPVTQGNYILFHL ISLNTVTSMPEIEKFARSGPFDELSGEFMLRYNKCVYQTTEALYHAGQVLRVLQIMPK ISRPPWWPAALYRATIILWIESMRRSRDVSALHGRIGPLRAINSSFPNQHQGKGHSWN VDEVLVLSRSDGTNTFLDSPKEVLGHCVGFLDDPLATGIANGIRRKLETLARNWHGEI LY89DRAFT_173855 MSGKAPSTRLSTAEAILAAYNSLSTSALEPLLDSSFTKEILPKS LGEPTSDRESYLKQSEAFPLMFSSSIITPREIFEDDTRNAVVVYGGMKAEFVGGKGVI ENEFVMVMFMSEDGKKVVKMLDFRGK LY89DRAFT_574077 MAFFLQFLKSQLWVRLPIPSDDYSEQVIAITGANTGLGFESARH FLRLGAANVILCVRTRAKGESAADELVRSLHVSRTRIEVWEVDLASFASIEAFGEKLK SLKRLDVFVQNAGILTTKFARVEGCESHIAINVVSAAYLSVLALQVLQRSSIENGAKG RMVFVGSDLHLIAKFKEKNESGSLLKALNSEALADMNDRYKVSKLLLLYFVRDLAVKN PYNAPSVSDLKLNMPSVVIDYITPGACDTSIFRDDVHWIQQAIINVAVRLIARTAEEG SRTILSAVSPSVGRAEHGAFLMDCKVVA LY89DRAFT_171447 MQVFCLASLLTLAAAAPASLSATYDYVIVGGGTAGLTIAVRLAE NPSLNIAIVEAGDFYENVNGNNSAVPGYDVFASVAGVTDWGLITTPQPTLNNRTLAYD SAKVVGGSSMTNLMAFHRSTVDAFALWAKTVGDDSYTWDNIEAYYKKPAKYVPPNTKL RAANASVPTVPPTTYSAEGGPLEATFPNFAYAGASYLGPAFEELGVAELENLWSGELI GWQYSPFAISSDQQRSGATQYLKYATARGFKNLKLYKTTLAKKILFNGNKTATGVVVE VNGTSFTLGAKREVIVSSGVFRSPQLLLVSGIGPSALLKANNIPVIADRPGVGQNLQD HPFINIVNKVSIPTGSRLLDPSIALAEETDYKTNRTGMYTNPNADSFGWVKLPPSYVA NMSAAAQAHLASYPADWPDIEIVLVEAAFAAGADYLMASCQVQSFISTGTVTINSSDT SNPPILNTNTIGNPIDHDIALGSLKYMRAFFSTASFNRINIEDVVPGAAVQTDEEMLE WLYANIGTGFHGTSTCSMGKSTDPKAVVDSHGSVIGVNRLRVMDASAFPFVPPGHPTA LVYALAEKIADDIKAGL LY89DRAFT_680007 MGFFLEFLWRQLFVWLPTPNYDFSGQTVIITGSNTGLGREAARH IVRLGAAKVILAVRTPAKGEAAAAEILESTKKTSSIIEVWELNLSSSASVKAFAKRAQ GLARLDAVILNAGILTTQFALVEGEESSIVVNVVHNTLLALSLLPKLRESAAKTGLRG RIAFVGSDLQYLAKFAEGETPGNTFDVLRVEEKADMDDRYKVSKLLLACAVRAISAES PVSDKSNVIITYLTPGACKSDLFRDPMGGFQALALAIGKTIIGRSTEVGSRTLVNAVR PNQDVKVHGQFLMDGKVASAGPKINSPEGKKLEEKFHKELYDKLEQLSPGVTKI LY89DRAFT_755008 MLELLSSLSAIPILPLILISTVFYLVGISVYRLYLHPLSKFPGP KLAALTLWYEIYYDVYLDGQYQFHIKELHKTYGPIIRINPFELHINDPSFYEEVYASA PRKRDRSEWVMRATGFSDAFFATVPHELHKVRRAAVAPFFSKANVRKLQPVLDKVIDG MFGQLRLFLGSRQVVRLDHMTSAYANVVDIVMEYSFARSENRITKPDFDPGYHEAIAA GSYANFPIKHFYWFFKPLLSLPEFILDNILTNKRQIVKGQAIAAMTDANTMSTKSSTA HPTIFHDILSSKLPASEKSVTRLTHEAHTIIAGGTFSTAWPMTLAIYHMLKQPLLLRR LKDELAAAIPDASTTHHADLHTFEALPFLTAVVKESLRLGYGTTHRLARIATEEDITY TDASSPELSKKYTIPRGTPMGMSTLLLHHIESSFPNSYAFNPERWLGADAARSEKYLV SFSRGTRMCPGINLAYAEMYLIVGRLFRSFGSEQVYDASDVGRLRLFQTTDYDVETAH DRMFAMQHLDSKGIRAEVLPN LY89DRAFT_680011 MPEVKIICGGVGFGAFGTEPQVEELMDVLEKAGVHNIDTARIYA GGNGERLLGNVKAGSRFTIDTKVGGGFQPGSLKKGELEKNAAASLEMLGMDKVDVFYI HAPEYNIPLSESLSSINALHLSGKFARFGLSNCPASYVREIYNHCKEHNYVLPSVYQG QYSPITRKQETDLFPTLRELGMSFYAYSPLAGGFLTKTRNALLDGGREAGRFDQSLPR LSPFLKEYRDMFDKPAYVEILGEWERAAAMAGCGKAELAYRWVRYNSGLKGELGDGMI IGPGNMGQLKGTLEGFERGPLSEEVCEAIEGVWKSVEKEAPLDCFNG LY89DRAFT_727996 MSTISSFFGNMTLPSPAAFSMGEAATKGNLGGGLDFLLEKMESR PFQITTSVLSVVLLYFVFGLFSPQKMKATPYVGKESDPSFHGALKEGYSKFKDSLFKI PAPHHTVHIIPPKFMNEVKNLPDTKLSFQGQVKDRFSGQYTGLGVNDVLVNAVKVDVT KNMDHIIKEFEDEMPFALNTTFGELKDWTEIPFYHKGAEIVGYVAGRIFVGHPLGRND KWQPLTIQSMASLATSDELWEYPEMFHGLVHYFLSHVKAVKQYLVDGAKVLTPIVESR RKVAASGTKGPKPADMTQWIMDQSSEKDRYDVAHIVETQMMGSVVAIHTTAMAISQVM YDLIQYPEHIAPLREEWKRVLGEHNGQFNKSSLSQLEKLDSFLKESQRHKPTGSITLN RRINQEIKLSNGIVLEKGIHVGVASAALGFDPEIYPDPHKFDGFRFYKLRQIPGNEKK WHFATAAGDQLFWGYGKDACPGRFFASNLMKIMTIEFLEKYDFQFRDGVRPPDVIRDF RTIPNPVFPILMKSRKA LY89DRAFT_755018 MTPVPLFLIVLVALVALEHVRRRRARLPKGTRWLPGPPGLPFIG RVWDIPRQHSYKKFKAWSDQYGPIYQMNIFGEHHVWLASDKIAKDLLVTRSGVYSDRP MIKNLEDNVNTFADVWRRQRRFVHQTMAKSTSMKHLNLPYIEAKKYLAELMDSPSDYQ YLMEKYTGRVISRLAFGDVRHYAEVTTNSHALLGAISPAANLSNIIPQLRLLPMWLSP WKKSERARHDREREFFVRMYTLAKSDYDNGTLKESYMSQFFEGKEKSGADDIEGAYII GMIGLAGILTTASAFMTYILAICLHPEWQEKVQKELDEVCGDRMPEPSDSPKLPVLRA VIKEIIRWRPVTPSSIPHETVEDDIYDGYFIPKGSQVHPNQWAITRETSVYPDPETFN PQRWLDPSFPSYQEPLTQYPSIKNFTTFGYGRRICMGMDLVEDELLVGIGGMAWACNI RKKRDENGREIPVSATDYSSLLISRPKQMDFDLTPRDTKRGQLVKFNYAKALETAEII RDSSY LY89DRAFT_175368 MLLISEMGLLWSSVYFFQACVLAPFLCLRTLKSQLLQILYNRFL PHTKKTCSLAFTKKMYHRRNLTYKFCPSEWRPDLAVSLCTDNERWKILNALESFTSHD LY89DRAFT_703815 MSVSKPKDDLNSVERVGLLTDVHLEPMHQNGKMKDVEDREELND QNATIGPRGWKFWAVFMSVVFTQMLMTLGSNITSTALPTIIDDIGTSDQFVWIINAFS LSSTIIQPPIGQIADIFGRKIPTIISVLNFSLGSAICGISTNLTLMVIGRAVQGLGAG GIFVLADLITSDLVPLRERPKFLGLLLAGSAVSLIAGPFLGGIITQYLSWRWIFFLNV PIGGFIIVLLWLFLNLKHQQHRTWKHAIKEIDFLGTIVFSAAAIAMMLALASSDGFWT SKAYTLSFVLGCLGLILFGVLQNSRFCPSPIMPLRLFNLASSLVFMITFLSSTILMLV PYFLAIYFQAVLQDSPTEAGVDLLATVVVLLPAAMVIGGLVSKTGHYRIAHISSFALT AISMAGFMLTDDHTATMNWIVLSVLGAIGMGALIPTALPAAQAPLPDSDAAAVTAAFS FFRSFGLLWGFSIPAFMFNAEVENAITVVQDEHIAGMLLNGGAFGNARSEFIAGLSEP ARTQVIEVFIRSLRVVWKGAFAISLFGLALAFLETEKKLRTTLETEFQIDDSPWKNST RPVDSEIALTRSPSQETIISEYKDSDSPSTS LY89DRAFT_693452 MSPRTSQEYSPLTKDISHGEITAPQQQQSHGCTRPTIRRSWLTL TNAEKKNYIDAVKCLSTKPSYMVPNTTLHDDFTWIHIKDGNFQHFAAGNLPWHRMHLH LYEQALRNECGYDGYLIYWDWETEYLDPYSSNIFDPETGFGGNGDTSGPYVDQTHGDK LDQNRCVLNGPFKDLTVAWYAAFPLEGPMDVRSVYHCLTRSFAPNFKDLKAISGTFTD IAFSPARLEEIMQTDDYNTFNFAMEVLHTWLPKAVLGDFATLSATNDPLFYPHLANID RLWWKWQQKDGQKNMMKYGGPAVLNTEIPSSLDDPMPFPTFPGMPRTLQAKHLMKTES EMFCYRY LY89DRAFT_728000 MVLSTYSALSTLLLVSPVAIVLYVLGGAVYRLYLSPLAKFPGPK LAALTQWYEFYYDVVLEGQYTFHIKTLHEKYGPIIRINPWELHVYSPHFHEELYAGSN KIRDKWAFFTKKADAEQSTFSTTSHAPHRKRRAALNPFFSTASVNTLQPVISQKVQQF LDRIRSFRDSGEVLVISDAFAAFANDVVNEYSFSNSQNRVAHPTFDPDFHHAAINGLK RGWTLKHFNFILRLRAWIPEHIAVRIHRSTREAIYIRSKMYAQVQKVMAAPEGTYLKG GHKTIFHEILGSSLPPNEKSVARLAQEAFTIIPAGTISTSWTLSVGTYHILASPTIMS KLRAELSAAYPDADTQLSLVELTKLDYLTGCILEALRLSYGISTRNQRIAPEEDMMFT DPDTGKKWSIPRGTPTGMSTSLIHHDETIFPNSHEFVPERWLDRKERERLGKYMVSFG RGTRSCLGINLAYAELYLLMANLFRLFGGNGEYGKMIEKGASEGTLALFQTDERDVRM AHDMGVAVPWENTKGVRVKVLK LY89DRAFT_728001 MECEQCNTQEQTLVTLSQIAAGLLNFYVAAFSSYSIAELEGEAP QSVYSTSHATPAASSHRLITLAPDLSPKDTHTSSSSSASSPPSTSLSRICIPSQMTLG ESQLDASESRILAEQLLADCLLGLDASLGDLETAIDDILHNDHAWQTSGRLVATKALV VETMNRLAKAIGQLRFEAASFGN LY89DRAFT_680019 MGRRRNSQPVKSLTGDNIPRSSALQIDRPKDNGSSSETSRSNTM PDVTSPHFQTQHADIMQLEFDCNELNDFFYTYPSIETSSCQSPSSLNGNSNNEDPWAH LDIDFTNASLISQSLQTQQQELDQLMTDCSSGLVPLETDHQDTDGELSNCGADQFEHG KTPSSTNLSIKGDATNTHSSHGSSTSLYKSEIKTRNLQHLSSAVVPFTSGHQRGSSNH QDCTCYNSVLRNLASSNQDNRGSAFLAADIVLKIEHETQSILTELMKCRSCSQDGSAL LLSFINVSKTLDLLESTASLEMKPQRRASVSSSRAAAQIRTSQAIDARNVASAKRCSL QVGSFEVMDGGRSRFLRKIFQSRLKQLQMRLRSLHLGLGNPPQDCITRAGLVMVTGIL RRLQTFTGRLELWDEQS LY89DRAFT_176871 MGLLQNPDDSRPKHASHSMGCYYRFFRLLCTSYYEVLSVVSTTV INTLPTGTQLPLTIIAAGVIVEAYIDVVPAYTTATYSTPSGDYGGYPSDNSSSPHQYF FAHLGFAVSIVVTIVIALSGCCFLTCLLSCCLGSSPRPASPRPTPHTSSYSNVAAPIP QDMPGGSLSPDPAGRVWNNNFPTTPAAGLIPQMPSEQVQVENRQTRRKLAKPKEKGIE PQAKVKEVKPRIGNAADDRIGELERMRLAVEDGRRKQNERGMAVRSAREKELAEKVPA GKDLLHWTIKEVNCSKVVDGSAENMFDLGPKYSDKEGKGKAVDVDKRITLSTTFLKMG KVAHFSAVPDTSWENIHDFGPLYMKPKFKVQMKGRKFPVVD LY89DRAFT_574266 MSKKLVIGLDFGTTFSGVAFCDDVAGAKGTANVQLIQSWPGLAS MANTEKVPSRIAYGLPPNSTILWGNLIKPNTKAKVYVMMKLRLDERLNKSNQLRRLLE LLSIDGLGFNDSDEDEDGPPEYPGKEPVDVVADYLTEIRKHVFSDLAKIYGSTLSTLN KELVITVPAVWSEHAKDLTVKAVAKAKFDVSKISLVTEPEAAAIYTLKDMKEGAGKDQ IQITPSIPSNNISKLRLQVGDSFVLSDAGGGTVDLISYHVTQVHPVLKVEEAAIGSGD KCGASYVDKEFLTWLEKKLGSEAFRKIPQAKTRHGSVLMTAFETAKMYFEGKPEEFEV PLPKERGIEDDEKGIEDQYPGMLRLLILDSSDLIAIFDPCVNRILELIDGQVAAVLKS GNRKPKARALITLDNVGGFGRNEYLYSKIVEYCKDRNMLTSRPSFPWSAVARGAVCRG LEGPQAGLVAVRLARKHYGTYASERFNPRKHLAQDMYTDQYEGTKYARGQMTWLVSKG ERLPDLTPRTASISCGCQFRTGEDRSFAAVLVGCDEDDAPQRYAHKDAYNICRVSANL NDVPDSKFPRCRSGLNSEEYMVAEFKLEATFADDRINWKVIFHGKEYGATSISYSS LY89DRAFT_713742 MQSISQNQLHENDMGTAASLVHGPVPRPPLSGPASHSTAQSRSK RSHLYDEHESPANTTVRHRNALETSSREEVEELKTELDSVNRRLYKAEANVQKLEMEK LESLDRFQPVFDDHIIAKIKSVEQKLNTLVSFLVKCSATTDISDLGTTLAKYTWFNRF SRKLIHIDCNNRVVLRKALRSVTWMFLHHELFSTPFLCFGSEVGEQLSNVFATLYEEP HLNAESVKWRCLTVKQLEASKICQSDDITCRQLHEKFQLRMGNMRYNITEAGLFKEKS KKMFEAAIQLAKLFASQRAIFELFDPPKELWFKAVDSEYCTNVNSTDEKDDQVERSGV VAFIVSPALRKRGDGKGDNLNESTLLTNAFVHLALEHPMKESFVADSNVLHDT LY89DRAFT_680024 MVSLRSGKVLEESNKQPTKPATLPRAPVSKKRKAEEAESTENEK ENLTPAAAVEPTVSKNNATPPPPEPKEYERTPGRIGPIKKKDFSIEPNIKPFKGPPGK KVRKTFEEKEEEYAQFARENEGHCFHELHVCYAKGPNGSPTYDKSGFELDYQKVARWM NPSAYNKTAMVKGMDRAVNRAQNEQEQMAEIFYEKGEAPKGTEYFQAVGMWKDRVSKD LNVPWHKIGVAHFREWEKKGFKRVRKGEYLIVPIEQRERMTRLLSGASLRK LY89DRAFT_680025 MADVKQEALQKENVADEAARGVAIDQDRDTFLPRPSDDPNDPLN WPMYLKVIILLQVCVLAAIGTLNTAIINPAYDPLSKEFHITKVRASYQTTVVIALNGV GPFLWIPLANVYGRRPVYLFTTLLGFASALGSAYAKNFTQLIVARVFNGLWPAAMALG PATVVDLFFYHQRGRAMGIFTVILTTGAHIAPIVGGLIGQYLGWRWTFKFAAILDGFM LLLIVFCLPETLYIRDQSRLTRTFSEREIAFSPKTYISRLKPYSTFPELELRWNQFII PSLKMAMYPSVIFPALYYAAQYGFASILPAVTVASIFSEQFGWNTLEIGLAYGGALSI GGILGEFAAGIVLDTIIKRARKNFAGENPPPEIRLKAIWTGALLLPAGLLIYGFTLQY HVFWFAPLFGMGLSCFGLQVIATTCYTYSIDSYRAESSETSQLFNFIRQTFGFTYAFY VVDLCEKIGYQWAFFMFMCFGSVLAFAPIVALMFKGQEWREKLGEPRNVNALDSDEMQ ARQVVVDKLDEDRKVDEV LY89DRAFT_176977 MASPPHMRAPQLTPIPEHAPRLVATAATFYPSSTAKLDMTAAIP TPAPGLHISFYDLPDAVPAIFSTCMQSRPKRKLVAPYPQTMVRSDLGLDVQRKVKALW ERNPVEARKIIGIQEWFDLYRYFDAVDLWVEGPIFCYSVIFRLAEMNRELNDEQPSII REFAREWVDANSKRLVNVAEHIDVITLLTPEECQSQDFQLLTEIEIKTLKFELNKARS ILLEEHRRLAMMNAPTHPTQSVYPQYPHPSQGPLGLRPDHSVPQSYQHFMDGQRNLHG GVDNASRDHRPRAWSNATQQGRGSSRFNNMGQQPKATPRSTEPIYVLTEQRQRGASAA DMTSRRYSIPTRPRTFSNTSRTSFYNNARDYQARRTVSDEVSSRPFNPGKVTSVDKPY YQHSRGPSGSSTAVAYPYGPGPGTQTPMSQPEEDLGIEGYESRVHTNQSATIFYTGPK RKLDEQPARTVFLSGAPEEMFLDHTLRDMMECCGSVETISHLRNRGGTAFVLFHHESA VKTAIRMWNGYEIVPGGKLAVRPPEKRERGYSMSNISKKTGYSQFRASPGRYVGHGHT RNFSANMRSEPSFRAAVPEALENRHVQAHFTPLQDIQNISPKNKNALHKALDRPFFDP STAPSTPKKENSPLATPSKSRGQTPKAKKTFNHPKRNSQQNSRQPTPLGSPMKPIVER TFGFPNGTLEKEQLRQNVLRKNNSDNDFAEHPTSTPVPVSEHVPAPQPLTQQQPNKCV AKVVQKPESSTKTGSDIEPGPASIGRLAEAAPKKSKSKSKKKGNGGHLKTAGNASFLT DSSSETIISPVSNENDSISGNPSTNTSFSTTSSRQQSFTNPKSRKSRVQSEDAEIHAT GQADTRKPRDNVGASIRASKDSRNEASENVDKKKVEATTDEGLMIASKAKNKTQKPSK RTTTFGESIRQPSQSSHSKQESTSSLTSNHSQRKADVMAAEKEIRSSMPSELPSVVAN ADVEADHTPMPSTIPSTSGTEVKKVNNQAVSVAVPSPVINSNQKIATHRPAPITVPSP VASISKSQASASSSSPAQDLQTSKSLPEMLKSPKRLDEQEWPSLNPVKSPVAAIADGK APTPLRAPLMGLLGKTTNDKSPELQKATKSTFPPVAVPRAFQTRSPS LY89DRAFT_693456 MFRFHKTLDVITLFHKASSPASLRVHTLLKQVSANASEHATEDQ ASDHSHQTKPNRPQFELDVTEEPPTQDQLKSILEYVGARNAGTIIKGARDEADAMKKL KESSENFQRPVTVDWSNGKAVAGANESEILKMIESLPKH LY89DRAFT_777032 MPPLILHNVPDEELYVGEDGVQRPYAMLFPSNDGNPSLARARRV VPETGSFGKSTRRSRSRTGTPAAKREDPTIAAGDAIFSQFFAQKSAEASELPQRRGSV SASMSQPNLSLNAPLAQIDGNAGTSSRFTKEPHKEPTEVILRGFLSTQQYAAIREFER IGGRICEDYPRDPPIEQRRFKADLRDPAALRRKPMTVEEKAKALKFAGGEHWIKVTFE SAEAAEIAIESSPQTILGHLVYAELYRGVPPTQDEAIPTVGKTRTPKKTAQSLGTASG FNTQQATRTSSTLPRSFATPSMREVGRGSSSISPPESQTSSHTLDTATMASTSSSATM TGTAQNSEELLFCRRIPTAKRIQLLPAEQALLPQESFSKRVMSKIPLVSWFSTDIIGS AVPRTDQGEFDWAKATLYWKLVWMIDSCTGWFDVFGNDKED LY89DRAFT_703822 MATTSRPPSPSNLPPVPASPTYSYASTANPIPSSFNLPLPPPPR PPHAVLNKSDLEASQIAYADLLTTAKSYRLALAALSIAASTFGSALEACARLKEARSE SMPPSGVDSMTNSFAAKGVCTADNLLAASGVHQLIANHQQILSETVYRSFEVPLLHEL DQWQRRMEEEEDAYQREAKAMSKEIRKMEKEGLRLHKQRKRDVSKFREHLVQLTGKLD ALTGLSGGHSLGLLRDCQEMSQGIVECSAGLVRAEVDIFEALARKGWNGGGLDELLEK GRDLFASDDLGAADAHPNHGAKIFSILPQNRSILAGDTGEGLQPKLTHHSRSDSLLVD GMPYQSLAGAVSGPRDTDVMSMFSERDVPRISEEEEAEDKDPDGGLESTSAQTIVPIE VTATEDTTAVEEEDGEAAKPEDRTESEQGGSERRERRWSVTDDGVVSD LY89DRAFT_554748 MPPPSALMIPAVKKHTATVIMAHGLGDSGAGWVSLAENWRRRSK FDEVKFIFPNAPTIPITVNMGMRMPGWYDIVRTRPAALDFADLQAGQDEVGILRSRDY FQSLIKTEIDAGIPSDRIVIGGFSQGGAMSIFSGITSQTKLGGIFGLSCYLLLHSKFK ELVPADGPNRETPIFMGHGDSDPLVRPQFGQMTAHFLKQEGFKVDLKMYRGLEHSATP EEIDDVERYLNER LY89DRAFT_693460 MTRTPVYFLSHGGPNIMYEKDYPVYPTLQKIGKEITQKVKPKAI VVFSAHWQGEPNVIEINTSENTELIYDFYGFPANYYKETFPNKGSPEVAQKVTDALTK AGIKTQGLRRGLDHGVWASFKVAFNEETNPLTVPVVQVSLYANEDPAKHIALGKAVQS LRDENICLIMSGMAVHNLRDMRFGMNGVQPYAKSFDEALRAAVEAPIEERDGKLIKLL WRKDARPAHPTFEHLLPIHMGAGAAGGDRGKRLWTLAEGSFSWAQFRFGDVPAEA LY89DRAFT_574007 MEPKIAPVESKISEPSEDEKLSTAQLDALAAQATEAQHHLPPLQ ALKAYWPAVFWSVMVSMCVIMEGYDAILIGNFFAYPTFAKKYGSYDASTNTYQLTAAW QAGLSDSSGVGAFFGILANGYLVAWFGPKRVLLGALLVLTCFIAMTFSAPTIGILCAG EFLCGIPWGIFATIAPSYASEVLPLSLRVYLTSYTNMCFIIGQLIAAGVLDGLVQIDN EWSYRAAFALQWFWPAFLFPALLFMPESPWHLVRTGRLDEAEKSLVRLQAKTASVSPK ETLAMIIHTNDLEQELTVGTSYFDCFRGFELRRTEIACIAFAGQMFAGAPFAYNSTYF FQQIGFSTAQTYNLNVGGTALALVGTICSWIFVMPNVGRRKTYLYGMITLTTILLLIG ILNVKTDVKSVATAQASLTLVWTFIFQLSVGQLGWAIPAEIGSTRLRQKTVCLARNAY YIVNVVANVLEPYFMNPTEWNLKGYTGFFWGGLAFCTAVWTFFRLPETKGRTFEEMDM LFAKGVNARKFQDVKLDGVESQQGRLAEKSTEV LY89DRAFT_728015 MAQSSAPDDPTNGIYNQSITNTEDDGNNKDNQPISLIARINTLP KELRLKILKVEMEYSIVEDCLDGTQRPSIFAALKVNPDQTLYNEFLEEHNRSHAVVHI HNEEDFNKRKMKDLLQIKHLTIIYPLTFRAQKLTIQNKVETLFLDYRYSHNDIKKLSK QLHEQGYPNNNLYNDYIDKKSANIGPTNVLDVLKFLIAANQKSSGLRKLIVRTTGEKV KLVAILSSGLKLEPQKRFHKGFVYYICKKPNDSILTWDPRVSGSYYDEKLNSGI LY89DRAFT_680032 MRTYDDTFSGEKIYPGKGKLYVRGDSKIFRFQNGKTESLFLQRK NPRRIAWTVLFRRQHKKGISEEVAKKRSRRTVKSQRAIVGASLDVIKERRTQRPEARS AARLEAIKAGKEKRAAAQSAKKAEKAKSAAGAAKGQATRLTSKQGAKGAPKKVQATSR LY89DRAFT_635493 MVFTPESIPSGAVASLKDDSGKLWTPLYNHKCSFPPEIFRQVML NLIRNPNINSNHLFRADISFDQPYCEPFSNSSDLPARITHVTGFDLKTVMEGSISIHY SFFESEPRSVKLERTAQHLLAVLYKHGQGLASGYVKRVQHDLILPQATVQNTYARLKA KYARKLVTGWVETTDPAKHVFEDLGIAAFLIELWAEMFKDQDFPGFVDIGCGNGLLVH ILLEEGYVGWGFDARRRKSWATFSQNVQDNLKEMVLIPSIISTQPLMDETRDCRNDTS QITASAEMQNVGIQDGQFPKNTFIISNHADELTPWTPILATLSECSFMMIPCCSHALS GIRFRAPSPKTSGPPTSAYASLVAWVTKLATDCGWNVEKEMLRIPSTRNTALIGRHRS APFDSTDLPALVNENGGAAGWEENALKLVKGAARGH LY89DRAFT_177109 MSRLKTIGQVTAAAGGAISCTVLVRRLSSQQSETSSELLCWVIL PFLSRIKASRDINTNSRAASPSIAEPHHASSRSLWVVAACLTVASCFQAEIGTIGLLP ALTPLLLIAEKQSWCPIRSPRLSDLGQSSSFIWGTAFVASLAVLTAPDRDSLGLILSV VPLACLVLVYIAFTPRSESTPYLPRIDIEEAILTLSNRVVVFLILALSLQMFAFGLSS TGIMSMLLLGFTKAFSWYFMIRMARKSSWSIATTIVTFSMVSTHDFSMLSSDTMALLQ VIIAFLLLGQVIYMLPKQDRANSILWIFILVPLVPYLANIAAIRESHSLARVSFGRLR KHPVESLVQQAKTDFKQMLQRESKNYTAAYQEYERRYMMKPPPGFDAWYDFATSNESP IIDEFDVIFDMISPYWKLSGHEVTKAMSDTQNVLDVDLWMCTFVGEDTKFHCSHPSRT FDRNIELMFNTLMVDLSGYLPNVTFLVNHLDEPRILIPPHLLQRRALYDNGDFKVMDL SRQPTWTKVTKFCTSQSHNESTIPEHTVETFGLPFVANRSSTIDVCQHSEYSAMHGLF IKPTSFSLIEGLVPVLSTGSLSTMGDLLIPSPAYIEPGFRYNGAHDVDWDQKRNNLYW AGSTTGGFASDDSWRQYHRQRFVKMGQNLEKQNRYLRENDGVVSSKKSSFLNGRLFDV AFTRIFQCERKFCRDQRAYFKSKSWADKDRALGSRLVFDLDGNGISGRYYKLLASKST PLKQTLLREWHDDRLVPWVHYVPVSQSLEELPELIFYLTSTEFGRQRAKEIAEQGREW FEHAFRDVDLSIYVYRLLLELARLQDPERRAS LY89DRAFT_177118 MDCSINLSGIDMNDPETVAVYTRLVRFQSDIFSEEIAFGDPDSS LRRIIHDLAHRLGLEFEFSLLTRCARVTRPIPANPYYTSKDQVFTPSTLNGCDTAGYP VWFYAGAQTGEIDFVAETIVSEARSPATFAYPGLDLSSSKVDNTSLSTTSTSNYASYY GSIDTSPALGLLAGPTSSATSTGKIDHPRAFNNSSVKRSNSAASTCSKNQETVFDARA GQSVSSRSSPSVRRGTMDSTSRESMKAVKAVGACWRCKYLRKTCETSDPCEACPKPSQ KSTNTNWGLVGCRRGPFADHLPVIRLCTGTGAKTTIDQDVRSQGESMREGQNSPAVNS DNSFWKAQTKERNDDIESIKAADDPEKKFFELIFGHAESIKCWKPLHRLQ LY89DRAFT_177184 MGCSSIFSLGFIVSIRIQERGFRPAASSLNKRSSLTASKNNLIT LALDCLRNCLELLRLTNSVCNHKFVHQDCDKSACKIDCVLSAEKCIKLFVDELSCVFF KKDNIRSKEGWWLSAFYSLCIQGFVRRALIQLNPESCTDDETNQYLYLAIRLFGASSG TYDPLTRDYATCSEGSDNSMAGNFKAAQLSLHQYKWTSSEITGSVNYLKRLFEDKGDC LKPRRMTVQTLGWTADTQLLKLYKFNVPVGQEPYFDLRPQWPVSPETPQRVYLDPPPI NQTRNYSCRYPGCTGKVRVFGRPANLIRHYKNVHLDPDIKDFFACDHRNCGRSFNPFS RKDHLRDHFRDYHKEPIGNAKGEKSMRNTPKWLEVQSWLNDRVYKANWWRCGKCLCRI MISDRATSATLARLLVNPRWSN LY89DRAFT_573931 MIVRRWSSPSRILVIAGCLCLLYCVLFVSWSREAWKEVGVDHVA QEDQEKDEARWRRDVGNQTLGFQKLFVINMPSRTDRRDATTLAFATSNIAIYFIEGVK GDSIPEEALPPGDSAESIKLSKGIKGSWRSHMNALQQIVYQNLTTAMIFEDDVDWDIR LRAQLNTFAIASRFLSNPSFSNDLPRYSIQTYPNPETEESIHNDSSPYPISPTLYSLP ISSLPLSSLPPSSSSSTPYGDPSTWDVLWLGHCGVGFPRPSSTDTSPSTANILLTHSP DPTVPSPNHLRAHPFGPLDALSASHDPHTRVYHRGSGGALCTVAYAVSQRGARRLLAE FGVKRWGRIWDVELGSWCASQGLCITVQPPIFAHHHPAGGESNIGGLGGGYARAVETK YVRWSVRMNLERLVWGKGEGELVDQWPDEAE LY89DRAFT_728021 MANTLNGLPNEILHNIFKYLDPRDLGRIFRVSKQLKKFMTDKVL FKEVYCYLLDGPVNAFKPAGFDYKEELIKFCIARRILQSDKKVEDKRNDIVQISKYLT EACYISTPKESQTIQRMKNWFDDNRGYPRKQRDNIEAFLCQSSTFFRARHIENTLPNL TLEERQASAKLHVFYGVPLFLPRTRKWHTTYPYAVSVVYDLRNYTEETKWGPYLSDGQ ASVDWEKMEAVMLVLGHNMHAFCRQTHGMFPKVWSVPFGGIAPNSYVDDGRFNKDKKE ERVFTGWEGMAEEVRRPLPKGFEDPYNITGTWTRVVCFLDFHDLFAYNFTTGQPEPDQ ARPALNTTEAIRLITMEIRATKSEPPGPEDGQALPIYHFEGISTSSMNTSWDPNANSS IRGTVRLTKEGEVRWQTISVFAGEERWASEGIQVGGIRSGRGVLGHWFDKDHDIHGPA GPTAFWKVSDGFREPSDDDADHPHAMYHQTTANVQYDDDEDEEDEEAEGGEEGQEHVY ELGTVAESDLVTELQLGGQTASQLHDVLAQIVMGHLQQHHHYQHHPIQGQGDDEDEEG LY89DRAFT_177259 MSYQDQSGGYPPPREQESQYPPPPGEEDDRARAYHHRGPSSGVT LPSISPYEYSQSSNGYPPDPRAYQQDPYRPAPGPYRDDRGYQDYGRGGGQQMAFSQSA PRQRTAIACRYCRRRKIRCSGFDQNPEGRCSNCQRFQQECIFTPVSSQAQAFVPAHAV YPGMRNMGVGPDGRPRPMVYPQGTQLFGAHGQPLGPIPPPQQGSPYDYPAPSPTGSYS SYSDDRGSQPPQHDQSRKRPQPDPHTGILPPPIPGQPSYARADSASRRQAVEDDLRLP PVTPTGASSAANYSPGSSTSSHSNLQPPQQSGLPSMSRTPPPRSSPGEGRQDPMSLGN IMESRPNTEIDRNMLGRLDRKGQ LY89DRAFT_680039 MDGCTTVPLILQIVCGMLVVVVVIDDDGGWALGGRIVELLHFSF CQTVFEPGGTLHLHAWSRQIKLLASFAG LY89DRAFT_177280 MRVAALVMPLLQLSALFPQERRGTRDQGESWAQPKRASSQNRAE QGGRLGTTPGPPRSGRRITTKIYYYYHPSSYDPSHHTLHLAAGPARSGQVSKTSKRRP VAKQRPPALLESRPRRACQ LY89DRAFT_177300 MQMQTQLTQIIVLTTPPFLINRVISHHTTRQTQSCLSINQTRSH SLLHPDFHPHPQSLTRHKAGVTRKRPDPISVCHCRGQQRREPDPSPSAAALAFTLSRQ ILEASRIKSRAGLGSSILWHLSPFEYANNSHRKSTMREMFAVERNRQTPAFAETYGYG FPVFRLGLSVSTRGNGNAVLCCTR LY89DRAFT_177293 MRCGKCIASKPQQCISRVCFVQLMSNSFVASCQIHAWRRIWTSS AWPPCSISALHVVFAFDFSTFPCIVDIICTQRKGDLETNKTYELTLLRRVRERLKVIG SRRCLLLWADAQKAILVHVLQCQTVHYDMMSDIDILFCLFHTLIAFRIGTLKARYDRS FLPEHALALRNHTYPISPRWSRRTAVIAVWLNEAQG LY89DRAFT_635504 MLRELECEDPVKLLFFLVANWTPLSNPVGAFILQVLPKLQRLLL NPSNTETNALHNAQSHYDTSNSLFASFLSPDMMYSCALWKSTAEGGEEESLGEAQIRK VHNILDKARIKKEHHVLDIGGGWAFLAIEAVKRTGCRVTATTLSIEQKALGEQRVKDA GLEDKIEILLADYRKTPMPEGGYDRIISIEMLEHVGKQYMDGYFAAINSMLNPTHGIM VIQGITAIHQLHNNAKSVDNFLDRYIFPGGYLASVARLLNAIEVGSKGDLEIECLQSI GPHYVRTLRSWRENFLKNWPAIREEFEESRKGKGDADGKVTEQEVEAWRRRWIYYFSY CEAAFRSGVIGDQVIVARRRPAPEEADGIPM LY89DRAFT_777046 MKANTGLLYGKNLLPMIYNCKIGTFQHNQVQVRLPRLKGDTRAL YPQVVATVDVARVVEPFVSIIHHTCNGNAYVTFNGNELQLRAARDIAAWEEITRSWIA GWTAGPRQPMINRLLGRNCSCSLCSSTQTLPQRLAGRASSLEEVGPDKLPGKLSEVQR TISDIEKDGFGHSLSVRFLHKLAMIAFLMNGNEAEAFKHCIKIYYVVEPKIPPPEYIS PQDRLSTLYRLCCFAAPMEIDDPEVGTVEVPPKMMALMTGSWAHLRYKLVKEIEKCFG ADSAVAMVEKSDFEDKTALIDDTNRIHGEGGFRYVPLAESQMAREKFVEQMNELLVWA GVGKLSADELLAGS LY89DRAFT_755147 MIMAFSTLSEILTAFVVFTIASGSPLPHRDVSKPPTKDPFYSHA SGFKDASPGTILKHRTPPGELGDFGTIPLNLQSSHQIQYRTTNSQGNPDTAVTTVLVP EGGNMSRLVSYQDIQDASYLNCAPSYAIQVGSDSNNSLSKSSSIFEAGLLSQGYIVSI PDYQGTQAAFSSGIQAGQATLDSIRAVLSSSSITGISSKHVDVTMWGYSGGSIATGWA AEMQPSYAPELKIAGAAIGGTVVNLNNTIHTMNKSQYAGLGLAGLWGLYQGFPSPELE KLLDDETFLENRTELESPRSQCAGADFSQFDGKDVFKYFRNGEGILNSSPLREIIEKA GVMGKRDVPQIPLYFYKAKGDQVNSIDDNDALVKSYCDRGISSLEYVRSSIGDHTSES MVGSGGAVKFLKDRFNGVPPTQGCKTSDFWFMDLDWDNFKMFGNELVGAVKAMFGVPF KV LY89DRAFT_728027 MHLGAHKELHDHVDDLIASLPSQKDLDTYSSLRSSSPSFEPPHL RRRSYYRHHGYGKAEEDAAVGANCGKGTPRRKVKKVHKSAGMDDKKLQTALKKLNVQP IQAIEEVNMFKSDGNVIHFAAPKVHAAVPSNTFAIYGNGEDKELTELVPGILNQLGPD SLASLRKLAESYQSMQAKEAGEDKKDDDDDDDIPDLVAGENFEDKVE LY89DRAFT_713761 MTKYVVESERLGLQHLSLEEHLEDYHAKQANEKTGKFIHREPSK SLEESRNLMIARHLPSAEAPYRELYAVLLRGSENSNGKPKMIASVGIPRVSADGKAVE VGYGVNPEYWGLGYAPEALKLFVHYYWNIRDGEKRDKIIAYTSPENIPSQRVLEKSGF AKAELIEKAYPAPDPVTKKMKWWPA LY89DRAFT_177406 MANYAAESERLRLQALSEEHLHDYHAIESNEYSMIWSTTIHFAA NPRQTPRPTSGRRVLVPTLGDHSEEQREPETQNDWHRQHCYMSEALRMFLDMFWAAEE NKKYDKLFAKADPENLASMNVLQKAGFKKGLLFKDGYSRASLRDKKLKSDLQGFYVPR PGTEATHSDSDEAEGATLCV LY89DRAFT_663718 MDYEAETLHLYLGRLTERYLLDFHALWSSEENLKWSVRPPMKTL EQSSDWMKQAFSVENPNLDKFAIIIKPQFDAFHTEERGTQPRMIGICGTLGEGAEIMY MLDHHYWRKGYATEALNSLVGPEGTFWKFPNRKHIKTLTAHIDADNLASIKTIAKVGG REGERQVKCYSLARDRSEDGMVPKEKLRDMSIGTASPVPQLILLATVNLTLPRTEIIK HSGPSHSMAHLTPNNLESATSITSLVIVSQDPEALQHQQDNEASVLYILIHFTTCPNC RAPCQRAGGTNQMKCICNHQFDYSCGHSLDPTREASCRKCRQYETFIQFADIFTEAMT PPGPESQARKHLIRGLKDSD LY89DRAFT_777051 MANLFGQPYLHLTVSNLRNMLMLVSLDFDEANDTKEDLFALAQD FESSLPARTRQQIEDSLYDLMYRRRSLKAKESEFQALLTRISAREDDVDDEEVEVEEM RICAVCEDELPIANFPYLNITNSCTHEADVCLTCLREHIRVQMLDRTVDRLSCPGGPL CAERLTSEDVQRWATAELFVAYSDNALTELFRLDPNFHHCLGPDCGAGQIIEQQDAIL MVCEACAFMTCIRHQLPWHTGQTCEQYDLQLMENARHEQENAASGDEIRVNTRPCRQC GTRICRTVGCDHMTCRLCNCEFCWGCGRLWATARALHGITCTMPTAWHIVDDDPDEL LY89DRAFT_728032 MMDESKKGKTIKSAFDSSTFDISVSIHVDRKVGSATISPSGRDV ALASTDGLDIIDLDSPLTPPRHLRHGLPWLVADVQWSPFAARDYWVVSSANQKALVWN LNMNEDASQGAIEHTLHGHTRAITDINFSAHHPDILATCAVDGYVHCWDLRRPRQPVL TFCDWFAGATQVKYNRQDSHILASSHDRWLRIWDDRKGAAPLRSINAHDSKIYGVDWN RTRSTGVVTCSLDKSIKFWDYGNDLDEPERIIRTDFPVWRARHTPFGWGLLAMPQDTP GNLHLYERRLKEGDEKDSFTPTVATFPGHGNHKVKEFLWRPRGGITEEGVDNREFQLV SWGEDNQLRLQKVDADTLEGVGYVKGSQVRRKLNVTRKGAVYKTFRTVETPSAEKKAP TITGPRPGSSGLKVSGLSAGMKKMHLPHAAKTHMLIRAPAMKIKDKSAKQDDQNQKQI DWMSGIKFTKHENGPVQQKRPNSRRLSLLNPDFEADSEWDSPENLQDEIIRIHDQLPK ITFEDVDMDKRTVIASMNGPWGEMGSSIYVKVTITFPEQYPETKAPSFAIGKTSLMSD EIYSKLKREIQQIAAGFVSRRQGCLDATLCYLLGEVDLETSTTFFKDVEDLDDIDALA DESSSDDEDDDIPAGASAMMSQELDTSATENMLAPGNRNANVPLPRKCGARFSPSGKL VCFFPPKEDKVKSLFETWASKNSVRPKRQSLFQSFGRLHNNTSPVPRGKSMSLMSESR SDSDASDDSDVSLSSDSDSSQFRIERFPMSDYFRRAGRRPYRTGSPTIQSQKSSAAGT GTGTGTGTGTGLGRARSSKPKNIVSIHFMDDLLPSKKGLAQDYAIFGDGPDVCETNAS AAEKHGYKDLADVWRYAAMLLHNEVPLEVLDQSHRREPILVIAKDAIKRNRSDSGSDS AIDLSYDAQGQSNSLSGRVKWGTSPLAKQLISDLFAHFENLADIQMLAMLSCVFSEPL AKDAASVADLHMSQPQTPLSMKTPAFSLDYFPTDAAAWSMYQRTPLHSVASTPKFGHP SSGLYGSVGSSNGAWGSDPASASYSCGETPPLRSNRASSEHLDRHTQSLSTSPDNPRS FRRANSGIASSFAALSRPFSITSSSSPPNPPPNRKRPSPVENMLNSLAPSTITWGNTT VLGSVKEQDYMDRSSMSDDEASKDDWKPTICTGISLIIENQNNFDDEGCMSTSLLDTE YSTLYANYRRSYAELLYIWGHPLARLEILKFNGLKDYFTSEPDVPDNKSFAASIRSTD SRNSTHEPSPPSPIILGKKDPFALPCNGDQGLDVAGYCLRHESRLDPLPNSSAGGAAG RCERCKTIQRQLRCTICMEPLSGLFVPCLSCGCATHEECLSEYHSLGNTDCPGGCDCD CGDKASVGVVESWEVMMGEIERLRMLDSKQGSKGKEKQALEDWHGEERAEWEDINILT TGLGRGYSTLNKTLGQMRSRDWTLAGSKHRPSSLRKEEIF LY89DRAFT_713764 MALPKRIIKETERLMAEPVPGISAVPHEDNLRYFDVSIHGPSQS PYEGGIFKLELFLPDDYPMTPPKIRFLTKIYHPNIDKLGRICLDVLKSNWSPALQIRT ILLSIQALLGAPNPDDPLAPEVAKRWKEDEQAAIATAKEWTRTHAMT LY89DRAFT_680045 MMYVHIFALQLFPVVILCILGPQAPSSTAGGNIKAENLVVGRYA TSVQYLDEATFHVLDLYLNAQANQTPSNGGQHEHADRLQHPSSIYHCSVPDNFIACSA LNPSHHVIRSYHLQHRHS LY89DRAFT_574183 MPNSIDKETGPGAARTEHLETIRTVSRVPGNTHYYEKDGLRTYG DDEDHDHEPPMTMHRLMSLIAMAFLWTGSQIPVYLFGGIPPYIYRDIGGTDRWVWFVL GNLLALAAVCPFVGALSDLMGRRYVAMTGASFIVIGMIVCSTAHTMNTFIAGMAIAGA GAGINELTALAATSELAPTAKRGKYVAVLIFTIIPFCPSVLWGQLIAYHSTWRYVGLF CALWAFIGLVMTAIFYFPPPRVNSTGLSRREIISQIDFVGGFLSIVGIILFIAGLLWG GYQYKWHSAHVLVPLILGAVFLIAFGFWEVYGAKYPMFPSRLKKDPRILALTLVITFI SGANFFSVLLFWPTQAFNVYGHDPVGVGIRGMPIGFSILVGACIVLWLLSVFRGGNRW LMIISSCMMTAGCGALAAARLDNLKAVYGILVVAGLGIGGIVVPASIITTIICPDDLI ATVAALTLAIRVVGGAIGYTTYYNVFLNKFVPKLSLNLYLAAVEHGIYDIATVTEIGE LTGASLLNEILLLPGVDGNVTIWNALVIAGQESYAYAYPYVYYTSIAFGAVAIIASVF LGDISKYMDDHVAVVMH LY89DRAFT_777054 MSLFPDFSNEEIFPTFAQCPLKETLSESTSTTTQFLMGTIGENM TLAMNPTFICKDRSTAPYALTLKLPSHMHREGVRGGALDFKQYKKGWTVVVRAPCRSG VKDGKQGLVECELEESLVIPASLEKLAGMHNREWTKAFGDEGTVECQGCGETKSKDEL SRCKGCGAVWYCNRECQTKGWSEKDHKSECKALKALRSPLLDPARVQLVMS LY89DRAFT_777055 MPFYEIIHHTNLTHTQRETLASEITTLHSKTFSAPTHFVNIKFS PSHNASILAPSSPTVPDYFVAGRARTHPNLIFAHVRGGASRSNVAFAKLAEDIEKIWD DVVGLPMYHPSGRPAETHPTQASQGGGDEKEKEKEGGEKEKEKGINWNDERRLQAVFI VPGLIARESGLAIPEAGGEREWLQQNMATFKKRAEEGDEDMKSLVDEVEGRRKPTTAE QQNAEQNGGEQKEVPDRTKN LY89DRAFT_777056 MPALDPRIVQGLSEVGASILVARDSVPKHEIIEAFLVIFVSVFG VGGAIIWAARQ LY89DRAFT_177754 MSNGPNSREETSIDTLSSSEPLIPSTFPRVVYLQNTCASCDAPL DTSEQDLNFVHPTIFCTLNQTTDHLPLACGSEACGQKIPDLQGLKQHCHAAKHESFKC GVSTCQQTLSGDRWSLEKHFQHHPEVKFRCNKCQIGFDTPTKLDQHCSSSNHAGYHCP YPNCNSECGQSRDLQKHQLIHKKTTARYPCNHCRAYRGMNGFKRKDHLRQHIRKYHRI EDYDFNEYCYHGSCYHKSFQSLEEYTDHTLQEHQSMPYICRQKDCDRKDMNGFANKNE LEFHAKNDHALPYRCSFLACDRVGTKGWRRKVDMAKHMAKKHGQVVEAATVL LY89DRAFT_635523 MPHPVSPPRTQPTMISKSANGPAPTQEEVSGMLNTIFTAPSSDA SIAASYALCDLLLNSVGFRGLIQYGILAEIKKAAADKKSGLRRESAQNLLGALFERLP PKQKISEVALLLQDGGMVACALDALSDKGSVVRDAAQYGLDELFKNLSPEALVIGLMP GLCTYLGKRSGKWQGTVGGYKLLQRMADKAKMEVGTSKEEANDKDILRDSMGTKLAGL IPIVEAGMHDLKSEVEKQAVATMNSLTNLLSNDDVAPRIPLLIATMQNPSTETLQKAI HALSQTTFVAIVTSPVLALLTPLLERSLNTPTTAQEVLRQTVVVVENLTKLVHDPVEA RTFLPKLKPGVKAVQDRASLPEVREMATRAFNVINKAMGDDDGVLASGAIARTTQEDV ATILESEIKKAGGLGGNLDTFKLLRPYVCGMVAEDANFRQTARISARVTPYLEHIMKD AGAVEKVGAAVQQFYVDEDARKFGVPVKEDDGEIEIVNADFSLAYGGMLLLSHTNLRL LKGHRYGLCGRNGAGKSTLMRSIATGKLEGFPPQDVLRTCFVEHNQGEDADISILEFV SKDPAIGNQGKERISEVLSEVGFTAGPNGRQSEKVGSLSGGWKMKLALARAMLMKADV LLLDEPTNHLDVANVKWLQEYLKSHTEITSLIVSHDSGFLDEVCTDIYHYEPGKKLAC FKGNLADFVKQRPEAKSYYTLSSSNVQFKFPPPGILTGVKSQTRAILRMTNCSYTYPG ASKPSMVDVSCQLSLSSRTAIIGGNGAGKSTLIKMLTGETVPTTGRVEKHPNLRIGYI KQHALEHVEMHLEKTPNQYLQWRYANGDDREVHMKQTRILSDEDKAQMEKLVDLKDGM GGKRIEAIMGRQKWKKSFQYEIKWVGMLPKYNSQVSRETLVELGFNKLIQEFDDHEAS REGLGFRELSPKVISKHFEDLGLDPEIADHNEIGGLSGGQKVKVVLAGAMWNNPHLLV LDEPTNFLDRDSLGGLAVAIRDYKGGVVMISHNEEFVGALCPEQWHVEAGRVTHKGHL AVAADRFEDLSRPSSGFNSSVASSAVSSANASAVNSGAEDNGSDMAFRAKKKKKMTKK ELKEREVRRRLRHIEWLNSPKGTPHPPDTDDES LY89DRAFT_635526 MTKPKSVSRSPQPSTPLPTTELDDEPQLSNWQSALEYLNREIHP EYADIPIILCCLVAGLCDSSAYNAWSCFVSMQTGNTIFLALGASNQPKGKPYGWLKSL VSISSFLLGCFMFSTTRFIGPKARGTLTTSFFVQSIFIIIAAALVQLEVVPEPMDLVA MNGGATVGEQINLLELLPLGFLAFQSGGQIVTSRILGFNEVPTTVLTSVYCDLASDPN FFVKDNVKRNRRICAVLFILVGGIAGGFICRTSAGLAAALWTAAAIKLGIAIGWSLWK PKPAIQL LY89DRAFT_680054 MCTNTKITYLLCLHNISQFRSCRPIITFLLRRGRCETNSTEAFI NDYCERCRHFWDRYSISEESRRARAADFRLESDYHGPITPSAVPSAGGKAWFFGFVKD EEEKPARDVSELKLRRKVDVGEASISDMTENKGKRRDSMDSGGTVWPSGIGEKDGDDE SKRLGPDEYGNDSDVASDWSRGEGVIKPEEFDLQHLERQLCGSMEEEQGAEKRDQRLR PAAPMPGITLQRRTSMIHHYPAVVTIPPMRLLSLSVNIC LY89DRAFT_728040 MCRHYVVIYTCGHFKFDVRLCSNSIHCNTLSNNNPVSIPFHCSG CISAPGYPSADQRSLMNPPNSVQLAEATHLWSGFGRLLSGEDIADTNRGPNEDVRPRI CCSLPKKDVSRLRYWLTLLLNTRQIPQSWGAGEQVFLGKIIVNEIHRTIVLNAVNEKE AELLAVEQGNIPFLLTRIEIESLEEDNRECFICLDQYGQREAPDEDPEAAVKLPCGHC FGDACIGITFKQLEWKCPFCRRAYSDKEYRLETPEIRSPWWMEWLIRAED LY89DRAFT_777059 MTSTLTHSSLGEIRGKFGDGVIQFWGIKYASIKDLFAPSELLKG SSDNVVDATKYGPMVPSPMEKAWEDEFTFIQKSLPMPKMSMSELEGLHLNVTMPLIDG KVPSPETKLPVFVFVHGGGFGLGSNAWPHYDQARFVKLSAEMQKPVIGVGINYRVGIP GFMTSAELRDAGYLGNNGIRDQANAFTWVQEYISGFRGDPQNVTFIGESAGSASGFLL LHQTKPLFNRFISMSGNTLMMRPLPPPLSEFVYSSTMQALGLGALSGSERVKSLWQIP VEKIVEAGSSGLPLLPVVDNDSVPCAPTFAQVGSKAEDPALSMPGRSWCKELLVGDCQ FDGSILSFMLGPRKFGLARTFCDSIEKTFAAQPGAAEKLRHTYKITPDLSDSVALRNV LQFATDIGFFATGVTWARGWPGKAWMYHFNELNPWDGEWKGESGHVLDVAYLFQNFDE HLAQAEQAVAKQFAGDFINFMNGETRWPLFESGNEGAMTYGPSSDGKCSEYVEGITSE KSGRKNTIFELADSVGIEELSAAWGNFLSGN LY89DRAFT_573869 MPAQTSEKPDYQNIFHWAETQKDGAIPSFAVRKNDPYEYQCGFG NHFESEVIPGTIPLGQNSPRCVRFGLYAEQMTASAFVAPRASNKRSWLYRVRPAVAHE GFTDLPDNKDTESNFLPINPRVHVSPTQLAWMPFDIETEGEVDFVSGLKTVAGSGDPT LREGIVTHVYTANTSMKQKAFVNSDGEFLIVPQQGALDIQTEFGPLFVQPGEICVIQR GIRFRVELPEGPSRGYILEVWGTQFELPELGALGANGLANSRDFLTPKAKYEVKKERW EIVYKLGGKFFKSTQGHSPFDVVAWHGNYVPYKYDLTKFVNVGSISVDHIDPSIFCVL TAKSRDPTAPLADFLIFSPRWDVASHTYRPPYYHRNVASELMGLIYGDYGGRSDAFQP GSVSFECGMVPHGVAYEEFKAASAQPPPEMQISKGSIAFMFESSRPFTITDYAWNSKK KHEHEPKMWDNLVDNFSSHAKEVEEIMSKVNGLKVTNGH LY89DRAFT_755152 GKSPRDNVLHYYVHDALFDLVKEGRGTIRLPDNPLSRGSNTRTN TASTLGNFGGPRTWRPANCQPSLVLRDEVDWSRWSLKVVVVVFRSVVTLVTVLLGEFT DRWDFGGPDAAVLALSVFAVLLEIELHLQPLFALSSETGLVIVGGRNVGLWIGEGICL NGRDWSVGVEKSVVSAHVGGNGFVVGGSKFVGSGGRTC LY89DRAFT_177897 MATIKIRPALATDLPQTVSLDIAANPDHPIMTIPWKQFSHKYGV WLSRHLYFLNHPEKFQFLVATTPSSSQPSGEEIVGILVGTLPENDVKAVEEWKPIFPE GTQEDVIMYFLGVVEGDKKKYHAADMWELELFCVSVEHQRQGIGAKMMQEWLKPIDED GRPLYIFASVKGKGLYEKFGCKVVGTLNTNLKDFGVEQLPHPNFHMVRDGKK LY89DRAFT_693475 MLRRVASTFPRRMVATRSTTTCSRYFSISAQRMSALKPLNYPVS TALPSDSFQLLPESEKAGAAEDALYEQEIQDVENWWASPRYEGIKRPYSPADVVSKRG SQMQTYPSSVMARKLFDLIKQREAKGEPIHTMGAIDPVQMTQQAPNQEVLYISGWACS SVLTTTNEVSPDFGDYPYNTVPNQVQRLAKAQSMHDRKQWDARRKLSAEERAKTPYTD YLRPIIADGDTGHGGLSAVLKLAKLFAENGAAAAHFEDQLHGGKKCGHLAGKVLVPVG EHINRLVAARFQWDVMGSENLVIARTDSESGKLLSSAIDVRDHEFLLGVADESIEPLA ETLQAMEMSGVSGAEIDSFEADWVKKTKLVTCDEAIVQQLEHHGVSKDKINEYQQATL ENRDMSITKRKQLASTYTNKPIQFSIDIPRTREGFYHYRAGMKAATKRAIEYAPYADL LWVETGDPNVANAAEFSGEIREKYPGKGLVYNLSPSFNWMSHGFTPETLKSFIWDIAK HGFVLQLISLAGLHSTATITNELSKAFKDEGMLAYVNLVQRREKDLGVDVLTHQKWSG ASYIDGILGAIQSGSSSSKSMGEGNTEGQF LY89DRAFT_177922 MAMNSRTASSALRVLRPIAPRSALLPAYRNYSSASEPDLKTTFK EAIPAKRELLKKVKALGGKVIGDVKVENTIGGMRGLKAMVWEGSVLDANEGIRFHGRT IKECQKELPKGTSGTEMLPEAMFWLLLTGQVPSTNQVRKFSRELAEQSELPDFVNKML DNFPKDLHPMTQFAIAVSALSHTSKFAKAYEKGINKADYWEPTFDDSISLLAKLPVIA AKIYQNSYQGGGALPAKVDLEQDWSYNFAAMLGKGGKENENFQDLLRLYLALHGDHEG GNVSAHATHLVGSALSDPFLSYSAGLQGLAGPLHGLAAQEVLRWILQMQEHVGTSFSD KDVSDYLWSTLKSGRVVPGYGHAVLRKPDPRFEALMDYASSRPEIAKDPVFQLVKKNS EIAPDVLKEHGKTKNPYPNVDSSSGVLFHHYGFHETLYYTATFGVSRGLGPLAQLIWD RALGLPIERPKSINLEGLVKLAESA LY89DRAFT_573661 MPSYITSITAAQRLDSRGNPTVQVTLTTDKGTFRGLVPSGASTG IHEALELRDTESAAYGGKGVQQAVLSVKSTIAPALIAKRFSVETQQKEIDAFLCELDG TKGKKRLGANAILGVSMACARAGAAAAGIPLYEFLRQEAGAPKAPYVLPVPFFNVLNG GVHSGNTMAFQEIMLAPVGATSMAEAIQMGAETYQHLKKVITKKFGASATSIGDEGGF APPISQPHEALDLLVEAVAAAGYTGRIKFAMDPASSEFFHDGIYDLGIKDKVSPKLTP PELSDLYRELIAKYPIVLLEDPFAEDDWETWSKFMAKGREVDDGVERMPEIVGDDLTV TNVERVREAKEKEACNGLLLKINQIGTITEAIAAANLAFSYGWGVFVSHRSGETTDDF IADLTVGLRTGHLKTGAPARGERVVKYNRLMDIEDEIRASGEEMKFAGKEFRTTHGKM ADPKMPFNVYGY LY89DRAFT_777065 MWSKLFFLFLAFVPVFVHSLAATDEIQDADNAQSGYMDNHNMHP ATVGSSIFGILWKNTYGAKEKWYAKPLVYTPPGSSQIVFLASSMNVLRILDAVNGTLL NSRTVQPPFLQSDIGCTDIPDYIGITGTPIIDPNTNTAYFFSKGYKNGASNGGVANGI YRFYAVDIRTLADKPGFPILIDGHYADNDNTRYFIGGTVLQRPSLSLINGAVIGAFGG HCDLFNYTGMLVAVSTTSGVGVTSLYAMESSPGAPAVVSDITVQQGGKAGIWQGGMGL ASDGSRVFLATGNGLGHANGDVAASGRTPLSTLDEVVANFAISSTGKITLSDYFEPYE YVSMDAGDRDLGSGGVALLDSTVFSGTGVSKMAMSIGKNGKAYILNANNLGGFKQGSG GVDNIIQTIIGQGSVFGGAGSYPLEGGYIYFTPVGYPTVAYKMGLDSAGAPYFTQVGQ TAANSAGRVGIGIPTVTTYKGQAGTGILWVSDPAAGLQAYNAVPVNGILTKLTIPATG GLNKFQRPAFGDGRLYVSDTNGNVICMGSPVALPLQCSQPVDFGSLTIGDTSTVIINC TALIAITSINGCTTGDATWQCSNSTLPKGALAKGATFSFPVTWNLTQASINNAQNASY GKVLPGVDSTSLDIYTTNAVTQYSNILPISLSGTTVSKTAYLTITPPAVDLGGVVVGS QAAVSGLSASVILSNVGADTLTFLGSAWTASIDTTQGKIITYHNITNGNFGASFSSTN LPKVGDTIAPGASLTIPVQFISNITGAYSTFVQWWTTGGSSDVMLTASASTAPLANIS VSTIEGGWDYSEPVVMDFGDVLAGTTVSRNIRVCNAGGSALLITKSKPPIDTELLAPN SNVDLHEGQSIDVNSCALGQVSIVAAPLGVDRLAHTVSDVWILNTDDVTFGVHDVQVT ANIVTRQVGPLLANGSSEYLYLGCYYDGGGRQLSKQYNNATNENGWCQNTCFAAGYKF AGTEYHTQCWCGNTAPSYTKFTADSLKKCAFSCPGDVSQACGGDGTYISIYYDRTKYV PGPDSIPGSSSSSSSSSVVSSTTSLSSRTGSSSSVSISVSSSSSSLSVSSTRSSTSSS SISSLLSSTTSLSTSSVSNTVSTSASGSSTLSSTSSSASTKPTGPAIVKNAGNFAYIG CYTEATNARALTGLVYANDNMTIEICAATCATFTYFGVEYHRECYCGNTLGTGSVQTA DSGCLDTCTANSFEYCGGASKLSMYQVKAAGSSSSSSSVSLSAASSSSSRVSSSSSVL SSVSSLSSSSMSQPSSSLPASLSSGSSSSASSLSSSSSQTISTSSSVLSSPSTTTTSQ TTTLLTSSSSPSPTVLTFRGFAYSGCYSDPAGGPYGGHNMPKLFSNDSMTPDLCISSA LARLSATPATTYLYAGVEYGRECYAGSVAPTPEPTSLVGAKACTMTCAGDKSQKCGAG NMYNLYVATSVTVTGTASGHWSSVAPTSTIG LY89DRAFT_777066 MRGNSEKTVGLASSGDPGQDPLSSAVDEYLGLVNHDAHTYQLGS GNTEKRIAVFRHIKVWSRDSQLEFLETVANIFMQPVILARKVLSHRKPSKKVILQGID GFIHEGEILLVLGRPGSGCTTLLKTLAGSTETYHGWSGIVCYFGLPVSILREHYRGVL VYNAEGDVHFPYLTVLRTLSFAVKTKTPPSDMNHSQRRRKIDVVTNALLKIFGLEATR TTMLGNEFINGVSGGERKWVSLAEVMSTNAKISLWDNSTQGLDATTSLRFGKALQTYV KSGHNIAIAALSPFSTKDDKYSLVPLLRLKNFSKAWAFVWSDRQSLSEFLISVTDPSV RVTKEGWEQKVPRTVEDWERCWRDSACYMRLQKALDGQFNTGHEVIEAKEGSPLASYA QLRRRNPYVLSWAAQLTTTLQRAFIRLAGDKPFLGASIIGPTFISLIFGSAFYNSADN TTGFFSRQGVLFFSLLFNSVQTMVEISSQFAQRPIVKKQSGFAMYHASIDAIASLISI YPLKLIGVSLFNIVLYFMANLKREPAAFFVFELFTYTMVLIMASLFRLIAALTKHEAI ATSIGGVFILPLVIYTGYIIPKPSMHPWFKWITYINPLSYAFEGLMANEFHDRLVPCS HMVPSGPAYPNISPQNQVCPVTGATPGSSVVSRDHYIDASFEYTYSHLWRNYGILLAF FVGLVVAYGLVVEFVPQVEKGRGDVLIFLRRKKHTALEKNPPLPSGVTPTTSRLPTLN DLPIARVEQECFTWDKLEYQIPIRGGAKTLLTDIQGYVKPGTMTALMGESGAGKTTLL NVLAQRIHFGSVHGSIMMNGLRPDFNFARTTGYVESQDVHLSEFTVRATLSFSAQLRQ PQHISDEVKNEYVEEIIEMLDMGEFADAVVGVPGSGLSLEQRKRMTVGVELVAKPSLL FLDEPTSGLDSQSSFAIVKCLRKLANSGQALICTIHQPSSALFEQFDRLLLLQKGGRC VYFGDIGSNSKTVISYFEGNGARKCVAKTNAGEYVLTATEAKDRKNWADIWQKSNEAH IVEKEASPTTVKAHKSRGKDTTSYLHQYRLVQRRSFQWYWRSPVYIRGKIILNIVAGL FLGFTFYQQNNSAQGLQNKMFATFASVILSAPLMNQLQPRFFKVRALFVSREEPARMY HWSIFIFTTVITEITANLLTGTLFFLPWYFVVGFENDMVNAPSRGIYQWILFLMFETW LSTFGQLLAAIAPTEQTAPLFIPMLFVFVALFCGVLQPLSQLPLFWHWVHYASPFTWL IDGLFSNILHLTTVTCSPSEINIFQPPANKTCGSFIAPFLTYGAGAIYNPSATKDCEY CRYGTGDEYLGTLDMSWGGRWRNFGVLWVYVVFNVGALLLVTGIPRWVRGWRGARVGA GNG LY89DRAFT_178266 MASKFLLAIVLGTKLALGATATYASRPPFSTIEPSASSIIAAEP SATALSPTSNVPGVAFDRIVQIWLENTDYDKASTNSDLLWLASQGIALTNYYAVTHPS EPNYIASVGGDTFGMDNDDFNQIPANISTVVDLLDTKGISWGEYQEHIPYPGFQGFNW SNQVTYANDYVRKHNPLVIYESVTSNATRLSLIKSFDSFASDLAAKTLPQWSFVTPNM TNDGHDTTIAFTSSWARGFIAPLLNNNYFMNNTLLILTFDEDETYTNHNNMFTILLGG AIPTSLHGSTDSTFYNHYSMISTVSQNWGLPSLGRWDCNANVFALVANKTGHTNTVVD TTNLYFNSSYPGPLSDAQYLPSWPVPATNAKCLTGSVLGNVATTWGKSDGSFNYTNVY PYDQMHLNDVGGSASTAVASSTMPSTTSAGSPSSTSSTPASTSSKSAAAGVERGSVVV AFAAVGFAALLVG LY89DRAFT_680065 MDDEKPDRVTAAVDPEKPSATSSKPSKLSLLIGGAIVVIVVLAL ALGLGLGLGLKHHHSSAVSSSSTVPSASASPSSTPSGLSENIPSWRRDPSEYLLDMDW DINAAPTTRIFNITVSEIQAAPDGVMRTMLVMNGQFPGPLIRVNEGDRVLVNVTNQLK NGTSMHWHGFFQNGTNWMDGTAGITQCPIPPGTSSLYNFTVENQFGTYWYHSHFSSQY TDGLVGPFIVHSPSEATVRSTYDYDQVILLSDWYHDLSSALLPAYLASGNENAEPLPD SGVIQGTNYFDCSSYDPDSGYICQDNSTRAILPIHPQKRHRLRLINTGAFGEFDVSID NHTLSVIEADSTLITPVTVHRVPIHIAQRYSVVVNFNQSTSTNYWFRAQMNEHCFSAD NPVLDPDVKALISYSNTTSEPTNSIDWTDVLDVTCQDLNTTLLVPLTPISPPKNDLTY QLDISFQIGSYALDKAYINSTTWTPSSTPTLNQAISALSSQNTTLSSSFSTLGLDTTL YSPSGSQFILSIPTLQTVDILLNNFDEGAHPFHLHGHQFWELASGTGNFDWGTAYQGI DTRNPMRRDTLTVDAYGWSLIRFVADNPGLWALHCHISWHMEAGLLMQFQTRADIMKT WTLPADVKGLCST LY89DRAFT_777069 MVKGILQARKWPVASLNDVREFFGLKRYEKMEEVNSDPEIADLL RKLYHDPDMIELYPGLLVEDPKPAMSPGHGACLNFTLGRAVLSDAITLVRSDRFSTID YTPATLTNWGFDEIRADPKTLGGSMFYKLIQRVPGWFQFNSIHVMQPFYTKNTNEKIA KDLGTLPLYTTADPAPPSAPIPVTKNTTVRSIFKDPAHFAETVGFILAGLFPIEKRDF SAYMLAGDSALQTAQRNLVGDILYGSDELKTTLTSFLTTYGSECLIGETLSMANNLDQ IDIMRDLVQTLSPNSLSTHVVKTDFACHSFAIPVSTRLIADLWNLDMQTPENPDGAIS MIDIRTALTNLRAGLFASADTATIWNHRRLAQEGATLLTETTDIQVNNVLRDNYHVGW TESLVRPFSGEVSDLCWINAVGAISVTEGAFGEILHFFLQPENAQYWANAQDLAAAKN PESDKTIREYVLEAQRLTTSFSLPRACIADVTIDGQCFKRGDTLLLLLGPASRDADFV PEPMAFKPGRPKEAYAQFGWGAHECLGREIAIMFCVELIKLVAGLKNLRPAPGDMGEF KSIVVGQQKNCLSEDWSKLTLDPTNDLEIAL LY89DRAFT_713783 MASQVEGGIMMTEPLTDITSSIDDPYLTETLLSSDNPNVSEMRC TLEGECTTFCHPYPSPVPNPVEQQATDDLLSVCLRDVLSDRLTSWNTNAKQLFYYQDH QDNPIAGARFIRRTLLFAFTRLYDKKQEFRSRDLESQAKIFLGDWFDGDDMWGKHRVF PRSIDKSVFVAVAVGTRMRLWKCSKVADAANGVDITPLWGGVKEGDWGEYLEIGDSVE GVWLRNEIVKMLLGAGEMVR LY89DRAFT_703845 MRFGDLFLVASTVVLAVAAPTAEKKKRAKIFQFFGVNESGAEFG NTAIPGRVDKDYTWPPTSTIDTMVGKGMNIFRIPIMMERIIPTSMTGTTNATYQAGLT NYVNYITSKGAYAIVDPHNFARYNGAVITDYTGFQAFWKTLAGLFASNSKVIFDCNNE PHDMGSASVPNLMQACINGVRAAGATSQYIFVEGTSYSGAWTWTTSGNTNLASLTDPQ NMIVYEMHQYLDSDGSGTSANCVSATIGSERITAATNWLKTNNKKGIIGEFAGGANAQ CESAVTDMLTYMGKNTDVWLGALWWGGGPWWGNYIYSMEPPSGVGYTDVLPKILPLI LY89DRAFT_635545 MTANGSETHNGNNDSAEAYQIPDITFRDPGNRRLKVLTIGAGVS GIMMAYLIQKQCQNVEHVIYEKNEDIGGTWLENRYPGAACDVPSHAYTFNFAMNPDWP RYASHSPEIFKYLDKVCETFDLRKYMTFNTEVIGCYWNEEAGQWTVKLRQTKPGSEPR EFEEKCDLLLHATGILNNFKWPDIEGLEKFKGKVVHTARWPKDYQKEQWKNESVAIIG SGASSIQTLPNMQPYVKHIDTYVRTAVWFINIAGNNGAGEIYTEEQRNEFRADTAALM KHAKFLEDQINGLWSMFFTDSDTQKQAAEMFGARMREFIKDERLLKGFTPEFSIGCRR ITPGDPYMRAIEEPNVDVHFAAVNKITEDSVIDTDGLEKKVDTIVCATGFNVSYRPRF PIVGQNGVELGEKWKLCPESYLGITIPDMPNFVTFIGPTWPVENGSVMGPLGYVGEYA IKFIKKMQNEFIKSIVPKQDITDLFNAHTQEFIKHTVWSSNCRAWYRNNETGRVNAVY PGSSLHYCQVIEEPRYEDYNITYQNKLNPWAFLGFGYTIENRKFGIEEVDCSPYLSED KIDPKWMEEVIKKGALVVDRA LY89DRAFT_680069 MAAGTIDIDKPGKYPIVLSDALLGKTSKNVYTGIRYNHKPDTSS DSSSALLQPSSDSDSRYDLTLTDASKMYSYEGSRTSADGQYVLIFDPMKKHFVLHRVD STFDMNLVSAPWSSDVRDQYPQIEPSEPKPAATTAPQRKASKGGKKAAATKSTTAKAS IPRQKVEKPKKAAKPAPPPREPTPEPEKDSDDGLTIEYPDAPASRPSANNSQAYNSTP VFHRNVSEDVSDEDEDAEGEEYEDERERNQDVDHLKLPSPAGNVGGMSDEDIEDELEA ELEKALDANESDESEEE LY89DRAFT_777073 MASNEDKSQHLFEEFADQAIVQIPSNILTQLVTSVNKLKADVST LRHENSSLVNQVQDLRDELRGVTHSPFSKLPREIRRLIWKFAMMAPQVHIIGSPSHKQ SRVNIVMQACKEAREEGLRLKLPYYRYTCGAPRGVLTSLEYYVNWDVDTFWVPDIQLP VEIVCSRCEPVWNESPLSPCAQPRLIHHCLCRPKHGLYALAMNASRWGEPGQTDFFTG RAIHTPVADLANYYSIQKLYIVINYTEPLTCRNIAFVEPTKQGPTLGEDVSTTTWHAM AEEKMALLEAYKARKILERQAKLDAGERVYSFDDFANWKVPSIEYVEHAPA LY89DRAFT_178423 MQHLASTIANFIQVQNNLEEARSEVARMQNELELSNTYIVRLIS DQAVAAHVVELYSAAEQIRREGDARVCDSLC LY89DRAFT_178432 MSSPIVKTTIQSCVLNATSNLIAQFITAYKANAPYTINWVPVFQ FVLFNAISNPPNFLWYNRSPFQPSPLVLTASYRQSFLESTFPSNYLVPSASAIHAASE NDEKELDREEKTHSILESKLHIQNTLIKFLLDQTIGATLNTLLFSLAFAGFRGEGYAD AIATAQEEFWPMVRAGWTLWPFVSLLNFAVVKSVEGRALVGSLSGLLWGIYLSLLKG LY89DRAFT_663749 MESELVYPDCYDAESQTPLTPLESIRCDVEYVKHLISNIQDNMF NITQALDKLQSIPESAETDIMSSTPWSTLTTPNATWGETQPKPDPPLFSEWKKRKIGE EMEGEDQSEKFWPRPWTSYTGYMAEGEEDSELPGNSPSTAAGLEIDDDNLEHGGEQDL EGLIGGSDSNEELERDIEIELNRALFGDKGDGDRKSIPTASVSFPRSKNALDMRRKMD IRNARISRKKRLCRREFVRGSKAHVRMCAGFVEEEVVRCCEKGVLGVGNVKEVEGDNL DVEV LY89DRAFT_728060 MSTHTRNSGASTMATKETSTPRREHKPKLTMARGGILRSTRAGE ASRKSRLTSFQLDHLRRHRGPYRAFPKTFLVESLLGETRFDLVGMTKNQFQHWTQVLE DALNGATSYTEFDLSELENEKGNMPGIYWREPGLSMRKDAVIVAMTEEQFQHWKSLRA LQHATDSTLAHREAEEQRALFV LY89DRAFT_573606 MPGVDSSMLQGARYAKRQQSGGSNPGGPATCPNNPDHVPAPGIT AEYPYNGAKNGSQGNGKGGYQVPAPGDTAHAFVAPGPNDIRGPCPGLNTAANHNFLAH DGITTFSELVDAQQNLYNVGYDLATLLAALGLTLTDGDLVTEKLSIGCDATTRTSWSP LLTGSEPGLDGHNKFEADTSLTRDDYFLGDGDNFSFNGTLFGMMTETTGGLYNRDGLA KYRYQRYQQSLAENPNFYFGPLSLLLFGASSFLYELMPSGPDYTPDEATISSFFGAEK QSDGTYTFNHAEQIPANWYNRVSPYTNNDVVAEILAQYLEYPVLFGGATGNGGFDLLN FGSITNGTLVAAPGTATSCLLYQLATQSIPSYLNSIITPTVDALNFVASKVAPQFENL GCPSPLTK LY89DRAFT_573879 MSSYFITGVARGLGFEFVRQLSTNPANTIIGLVRDKTATEKKVS EELSGRKNVHLIQGDMVDYASLKKAVDEIATITGGKLDYVIANAAFLSVWAAFEPFSL LAKEPEKLEKELVDMFTVNVVGNIHLFNLITPLVLKGNGKKIIAISSGLGDQEMAINY ELFQNAPYSISKTALDMAVAKFHAEYRKDDVLFMCISPGMVDTGYIDPETALLNDMIG KFMKYAPDFNGPTPIDVAIQRILSVMDKSSVEAGDGGKSLSQFGNKQWL LY89DRAFT_680072 MKSSGRACGTCKDRRISCDRGFPICLQCIRSNRKCQGYEIRLSW PRRNDRKRSILGKTLTGTGKINSGLYSPVRIVNTSTSDIELYHYLASTGFGHLPCLPI VPRSISWTSITTDTDYNLLQYFTCVASSSLTAMGPCEGSPELRDVLIRVALSPSTSSS SAVLHSLLALSSLHRHGFQSHAARMKLSALSDLATSAKTGVDSDTAVSHIAALMLLCC FEIQHSSETSNLWIGYISSVKTVLKAANIDKLHKTGDLAALLYWASYHDVLAPFSLHY WGLSSREKRLISGDHDILVQNNNLYSLFEAFNETSYQCHSNLRKLSDVLTMILQAKSR RAAGLNHKDLLAVLEWKIRSINVPIANPMEGLDPSEMVSEKLMTEELFKLALFIFVER SLGDSSTKSEKLRSRTRSAFHIFSRLESLHRQFPLLIIANEARTDEDRLIVLDLISRT EENRSLRCLRGMKETIQSLWAQDDLAEKEPGYTEKIRAVLSSGGIMPSFI LY89DRAFT_680073 MEVPFYTLSSAGSSSTEESPLTPPTPATHDTSRSTYHISDISAF ADTLQDAANQAFPNRGRSRYHTVNVCLIRWQEDELQVKDEIETLCDVFDKLYGFNTEI WLIPSTASQIQLTSMTCTFLQKFDEEGNLFIVYYGGHGKINNARQNQWWCKAQPDSPV VDWSAIQTLFGTAVSDVLILLDCCAAASSAAGSGSGTMEAIAACGWETRAPPPGKYSF THTLIEVLEDWANKPSFSAAMLHTEVLFVLKQKRPEKGRDGRKWEWCSTPIHWVYTRD PKAPGIEISSLLSIKAAEKAPAREELAQPRSTSYIDAMDLDDEETFVNPLTDCRPNGD YKVPHVLISIALEGDQGALDAVSCRRWLADFPALAKYATVEGVYKGYSTLLTLSVPVM IWDLLPAHPACSFIGYIVSPNKCAAVIRSGITSGRNSFSSMYQKPPYLCRTRKPDTNI RSADSSYGSDGGSDAGSDGGQNDTNERPIWVPTRLEDISDRDEECDSSECAIEDDSMS EWEDSIDGRSSSNNHDVLSMKSSSSKPSSKSLITSMLTEGETAKPIDIGTKGQPSRER YRSADQIELSPRSVRRNMLASELSASLRRQMLWERDQGGMRTRVPLSNQPKDDGNGGV ERKKRSNSF LY89DRAFT_777079 MDPTSIAQLQNEIATLRATNSSLSRQIQILQNSPSCPRFLKFKE LPFDIRHIIWHIALTLPHVHIIKGRLFSRSRVNIIMQTCREAREAVLRFRFPYFQVGV PGQEVHETKSYMNISQDVVWVPGATIFSWWSIDFYCSSCPRYPWSQIRHDAKIPESCQ CQHNKLGCLALDYDKWKEVNQNLDSQAESVAAMWRVGDLARVYIVVNSDDAVDPILDP HVTFAEPRSPLHEFEFIQRDYPGVEDWVALSARNTQMMGAFKRRRMFRRSY LY89DRAFT_680074 MAMHGHSAACCSIPPIVSKGYEPKGKYETIGGLKTYVTGPADAT KAILYIYDIFGYFPQSLQGADILSTADDKTKYQVFMPDWFEGNPADISWYPPDTKEKG EKLGNFFQTTGAPPATAAKVPKILKEIESKYSSINTWGVVGFCWGGKIVSLTTSTTST PFKAGAECHPAMVDPSEAENIKIPLCMLASKDEPKDDVEKFEKNLKGEKHVEIFGDQI HGWMAARSDLEDQRVKSEYERGYKTLLEFFGKYL LY89DRAFT_178515 MASKIVRITMFKLPLKEDQQKLLGFYKTLSETATKGGKPYILSL AAGPAYEDVRSQGFTLVARSEFKDMEDMKYYDDGCEAHAELKKGAKTLGVEGVMTVYY TPEVVKG LY89DRAFT_680076 MNQNSALPNEEPSSNSSDAPTLQISAELYKSLLSSAEQLKHIVA ENASMARELRRIQQNKPSFPLFSAFPTEIRLQIWRSAFRTPRIHIMRKDHISRSQINS IMYACKESQELGLSLRMPFYYVHHPETLRPICGVQYFHSDIDTLWVDTTDRLPKNVIL YDGHDVRQPMLLDKEYPEWTLSLGSLAMDAGNWVDPFIMDDDEDDYDDDEGRLYLCSF ELIRMLNNNRELILVVGGPGLPCASDIVFTEPRGTPFLLAPSLANNESLLGNGRDESI SPNATWESAARQAEYILRQAKKLLMEKMKKKIEDHKKVTKNERRLCDGVIPRVRFVET VDSYRQWICNSV LY89DRAFT_713798 MAIEEIDHLQKELNDLAKKLNETIKVVELLRAQRKEVYEKLGNA AATVQEATRINRATEKKLARAQKSLTQAEGEAKVANERFVKEEDAIEKLRAEENRLKN KTEDEVRHEKVHKKMLEIKAKVEYLNQDRMNWASWPPEELVRRWENGDIYYEPFTKEH NVLKLSSAYQQMGLPSIPSDLELTAISSILHNEKGSTPWKCKFWNPECAAFPDPSQIS INTDGSSSSESIVGKTTGAQSPSPMPIKSEVNQSDSIGPNPQIPMKTEDNDTVLGKRN RETSASSTATASSSSSGQLAVEANVGVDNSVKSEVGEERAAKKVKMDNPISTAPLAPR LETSTDDPEERSAQGERLELSTDAANDSALPDWCSGFTKQNMEKI LY89DRAFT_178610 MSTSASTASTKVELKGQLEIAKNEYQQACDTKAANYDRCQQEMT RRMTPLVAARNQALPQLVGNQNDTSRQVWQASVRDIAAEYERCQHETARLALVEVEAC AKAARKVLDLEEQIDKKNQELKNWHAWSDEELITRFESGDIDYSHFMTKSGEHARNVD KLVSAYKTTQRDLFAPTRDVVRRVAYEVNFQVCKTPLNSIHWPRYRAEAGSNSDVTLA EETAPAKFDPQTIPGTSVGPGMFMSRKLVSDKDIESDMRTRLSDPKEFNVASWLQPTP ELAIEATIMRPYGASRHL LY89DRAFT_680079 MSAINRGVRTASRNLRVPFLQSRALRAPLITCRPNFAASQRTSS FKQFSTMSPLQSSAPPPPQAREYDPEIKDIASYVHNTPIDSDLAFDTARFVFLDTLGC GLEGLRFKECTKLLGPIVEGTVVPNGTKVPGTPFQLDPVNGAFNIGAMIRWLDYNDCW LAAEWGHPSDNLGAILAVADWISRTNRAGGNLGNGKVITVKEVLEAMIKAHEIQGCLA LLNSFNKVGLDHVVLVKVASAAVVSRMLGLSEKQTADAVSQAWVDGQSLRTYRHSPNT MSRKSWAAGDACQRAVNLVLKVMKGEPGVPTVLSAPVWGFYDVLFKGKKFEFQRPYGS YVMENVLFKVSYPAEFHSQTAIEAAKRIHKQLADQGKSAADIKDITCRTHEACIRIID KQFKPMDNFADRDHCIQYMCSVMLVYGRLEATDYTDGGEAATSPLVESLRQKIKCVED PQFTKDYHDENMRTIPNALTVTLNDGTVLEEIVVEAPLGHRLRREEAKPEILAKYKRH LGPHYSEQKVKELVDLGNDGKKLENMAVDEYVDLYVSKDSKFV LY89DRAFT_728071 MSATRTVRNSGGLGIKFGDQYTDIRRSSVVVSQSSSIYSFEEGI DKIDTPHPAYGFTTSPEPVVEKPIAPRRVDAIDLEADPYELLEELLDSTLRDQAVTFV RKSSSTPRAGSSQFTPRVFSGTATFATGSPLPPRYQRQRQTQIYTGSPVRNHRSCTVS GAEASRIPPSNSSPDLRGFSESHYRSQSTPTALTPTQTDSHSFSTFGNRLLKKLPKLP NISKRIRLPSQIFPFFTKAQEAETAEKVYSKVHGCERHQNCTDCTNIEFAYWENKIMS TSIPADERQKIIANNKSLRTIKNELESLLENGMISDETYDTVINSLPAETSLNSAARR NVVPPSPIPTPETNPLTAAISNMRINSNSSQEPPSYSSSTAAPPALPGRAPTQQPERP EIGRATSLYPYEGAPEDCSFGVNETLVIYEYMNNEWCYGKNLTTGKQGLFPTNYVQIK QQAPTPNPNAYGAYGADKQNAQWGGGYPGQYQQAQAQGPPPPGPSNPYNSAVPPMQIA EQPVDGKPGKGAEMGKKFGKKLGNAAIFGAGATIGGNIVNSIF LY89DRAFT_663764 MNTINPIENGHFTGTPRNPEVDYLSRSPLPTLKTQYRQFKQELV RVEANERHLRRQHALDQDPYCPDLGPERTFQPLKDKVLFLRQAIELRLEQTKNGQYFW TPKEYVLRFESGDMNYAHLADPIARYRLQRAFAEVHRDRIPPSQEMIEEVARQINNAS LNFPMTYRPYTQARESAGRTIYSQNAF LY89DRAFT_680081 MSKSKTSSDRKPIAATLDEISKCFGQFFAVEATIRGMLNGSISL PVNVVLNRETVISAENFLEKVRRARSEIFNKLVQLGDAQLDSLGNVEKKAVGSVPFNK QVNIISAMRNDCERRQKEATTRADRKSFTLTQVNDFIEHMKLVAKMVKTSGPTLKETY DILNASAYEVSEMRKEEEKLSIMSGAKVGTDMEPGLQGRTPDRYTVPTSKVSDDYDSC NSSEFGEINYSELNMTTT LY89DRAFT_693490 MSSTSTFNDGAWHGLIEPDGQFPPQDGRYHLYIGLFCPFAHRAN LIRHLKGLTDIIDISIVKPYPKGDDKGWPGWKFPTADDPYEGSTSDKLFGSEYLHEVY FKEKKDYEGRYSVPVLWDKQKTQLVNNESLEILRNFNTGFNTILPEEYRNRDFYPEKL RKEIDEIGQWMQADLNTGVYKAGFAPDQATYDKNVIPVFRALNRLEEMLSKNGGPYVL GSELTEVDIRLYPTLIRFDTVYVQHFKCNLGTIRHDYPRLNEWMKNLYWNIPGFKETT DFRHIKENYTKSHADINPKAITPMGPIPDIESGFEKDWSKLKAGGVALPE LY89DRAFT_573541 MFSLSHVVLAIVFLSSVVTAQYFPPVPEGITTIKSSNYENATIS YKETFICETTPGVRGWSGYVHLPADASRDYPINTFFWFFEARNRSTDTPLAIWLNGGP GASSVASVVSENGPCNVLADSNSTEFNPWSWNNEVDMLYIDQPVQVGFSYDRLANGTL DALSTSLLPVVADFSISGVPMQNDTLFVGTFPSLNSSTTATTSTIAASAVWEFLQAWL NGFPFYESCNDKGLGIWGASYGGHWVTALAGFIEDQNDRITAGTLEDAFLIKVDTVGI INGQVDFTILAGSYPDMAYNNTYGFQAISEVEYLSAKANVTMCENLFQECRDQAAFYD PENLGNVTSVNSLCVAAYGYCALYVEYVYLISGHDSFDIGHTTPDSEPTKYELGYLNQ HWVQAALGVPLNFTYQNMVVYNAVMASGDIVRGRFPETLGDLLDRGVQVTLMYGDRDY ICNWISGERTSLALNSTLSSGFQEAGYVNITTNDTYYGGVVRQHGNLSFSRVFDAAHE VAYYQPETAYRVFTRAMFHTDIATGGISIADHNNYSTTGPSSGFHIKNTMPDNPPNIC YTYMATTTCTTDQLAKLQNGTAVVEDWIVVG LY89DRAFT_680083 MSSPTLSELEHERQRIENLIKDPQHPLRQRRDRVQPLLAALRIA REESNRANEELRQTLGSNYSAKEQAKIGSQSLAQAVSTSDRDQLEHQIDDHRGMAAMS GLVRRLQDVKDQIARYQSNMRTCARPNDHEGGESF LY89DRAFT_713807 MSVTSVTLQVCAAEEASAKRACQELSARSDLAISKLDAEIATLR LKNEAEPCPDRAEDIKSLKRNIRRLVRHFESAETFLKRIYDNARELRTNMEHGFLIDW HMWSEQERVKRFEAGEMNYEVLRKPCNIKILSVGYSNRSNDRCFPPEDPPEEVVLCIA EEIIAGQYKKPSEGDLWKDWVATVKA LY89DRAFT_574034 MEVTKSLEFEKTEVEVKKKRGWRFYGTFACLAILNLICAVDATI LAVALPTIATSLKGTTAIQAFWCGTSFLLCSTVFQPTWASFSHIIGRKSVLLTALVLF FVGTIIASVARNVGELLVGRSVQGVGGGGLVGLTYVILADLVTLRERGKWMSVISLQW AIGSVLGPVIGGTFAEKTTWRWIFWINIPFCVIAAIGIPFCLRLQKRDGSVWARLKTF DFFGSFVFIAATTSFLIPLTWGGVMYDWNSWRTLVPLILGVLGILGFVVYSTYISPEP LIRRSLFNTPTAITAYAGTLFHGIIVWSLLYYMPVYFEVAKNYSPVKSGIAIFPFTFT VAPAAVVVGIIIAKTGRYRPSVWIGWFLTTFGLGLLIYLKQSTNVPSWIFLSLVSGTG TGILFSAQGFAAQVSSSNADLPFAGAMYSFFRAFGQTLGVAISGVIFQNTFKNKILNT AYSSFADEWSRDASSLVQVVKAWSTEGEQGVMREVVVQAYVESLQMVWIIMCAIAGLM LIASLVFIKEISLERELETEQGFRYDVKNSSSDEEQSS LY89DRAFT_635573 MSLNMARSQGLSLLLAAAAIGSVVSQNSTSGSYDVLQYVNQLIG SSNGGNVFSGATLPYGMAKAVADTDSGSNQGGFTDDGSNVTGFSSMHDSGTGGSPSLG NFALFPYSACPGDDIDQCVYPKKSRKIPYVNSSVVATPGYFSIELASGVSVDMTTTQH TSLFRFKFPAMEAEGNASSPLILMDLTDLSDSRQDNASISVDAETGRMQGNARFLPSF GSGNYIAYFCADFSGSPIRDNGIYANSRASTDVKDLKISRSINGYPLPGGGFIRFESP GDNAILARVGVSLISSDQACSNAESEIPSFNFEATHTAAETEWRNKISPIVVNRSGVN DSMLQNFYSGIYRTMVNPQDYTGENPLWESDEPYFDSFYCIWDLFRSQMPFLTVLDPA TVTKQIRSLIDTYVHEGWLPDCRMSLCKGYTQGGSNADNVLGDAYLKGLSDGIDWELG YAAVVNDAENEPYDWSNEGRGGLDSWKSLGYIPVQDFDYKGFGTMTRSVSRTLEYSYN DFVITQIAAGLGKQGDVEKYTGRSGNWKNLFKADQTSYNIYDGNVNTGFVGFFQPRYL NKTFGFQDPLKCSNIDTNENDVCSLQNTGAETFESSIWEYSFFVPHDQATLITTLGGP AAFVDRLNYLHDQDITYIGNEPAFLTVYQYHYAGRPGLSAKRSHFYIPTFFQPTDAGL PGNDDSGAMGSFVAFSMMGLFPNPGQNVYLIIPPFFESVSVTSPITNKTAMIRNINFD ASYQNIYIQSATLNGEPYTKNWIDHSFFTEGKELVLTLGSTESAWGTQVADLPPSLGT YEGFGNFSINSTSSAMRKRAVRYASADLSEKLDFKTGGAYWAESEG LY89DRAFT_755204 MSNSRFRELGTFLCCDCEQDNAIDFNENLPNQCSCQHELCDDCG RIQVLHVLDKKLYTDEDVEEYYDVKREVWCLNFGMEDEDGDEDGDEDGDGDEDEDQGR EIDVV LY89DRAFT_777092 MTNKAQKEREQVPPHLYSTFQTRTPSQTSETFLQRLHLGAVDEG SVVEKKLSSPQTEATVQDQSLLVEPTGQHASALHRLIDDDNYEKRIGLLLILGILSYE RSGICLEAVTVHTDDNLTLALPMSENEDSWHGNGEKPYEQEYHPGKTSHKGYGPQDEI LRKMLVDMVLNPDIEEDMWYQAVGDLLVLEECRKAAMPPSLRYGREEEEWLRIFGNF LY89DRAFT_663774 MMWQYLKRLFFPVAALYLCSILLSRTFETPTAPFCTKTFTPQEQ LHIPITHPFLNASHHLDLHQQIDVAQSKLNEADSPNNGPTDEKIRIPAPILGLAACPQ EPNRFTGHIRLPNLLYNISMSPASALFEDQRTFWNPTIFALPHWANNQYIIVNMVAPN DEAYRRNVICEANICHPKSTKSTISKEKYCTEDDLRILGPSGGLRCVTTPLEVNVPPT PAEKCEGMEQVLADIPGFHDPKLLYSGRGEPILMVVSQQVAQCYLSRYACIGLWAIDL RTVYPTIEETFSSSPRRLGPGPLMTYPTLTELTRNPPWTRRSYEKNWVMFSTSPSTSY IQYDLNSTTRTFAQLVGSGLTTTNMTDPLEEPCLSDPTPEELASGHSMADASWHQATP ALKLILCERANATCVRENSNIVFFAAIQRKHVNGYGLPVRYERYFVVWSATAPFNMLA VSQHPILFANETNRGWTIEEIWDDSPIDLETGKGEWGRFTYTTTIAYAWGREETDIRE KGVGYLDDEVILSVGIDDEGGLYGKARVSELLQCLRTCPGRIGRLFSLPQRQFEAFPK TGNSKAGYLLAYGATCNRVIFESGRRTIWREQV LY89DRAFT_755212 MSYRSLYSTPENTPLRPQIVEASTPWSEVSLEDHASHRFESPRG YRKLIRSFFRAHLRNWNRTLWLAMFLAYVGRWLSTSDGVHKHGSFPEKQSLQSATIPA KPLPALPFLPLTNTSNAAPISLLARVDDDEYIVLPNATSILMPIEGTPVDEDEWLTGN QAGNQALPPASTKKKMLEIVTDFPGSMAGRDKHKNTRTARGILGGVMGWESLLPDMFG ADHATIGMDGMCLIEDCIGGRGSPFGLADVFFQSGPAGTDEYSHAWIFQEYTPDVIVM NIGNSDWDSFQSHYQEYNKTLWELSVVFEETYISMIKAIRTLAYPKYSTSIDSRYTYT ARNAAVGIPIFIMRPFRGQLEQATHSVVDRLQKEGDKAIFWLDTSGWLNTEVDFQGRA EDQDFFLDEESPSKQWRLTERGNQRVAILLHMHVCRYLARDREKCAFLPPEIYQGKAL DHEAIHFDEFLENERERKLKKMFWK LY89DRAFT_777095 MASKGLQMPPGMDRRKSSTAHYSSFAVPPPRNRGRPPISPTGSG GFDGSTNAPSNGGDGDHDPHNSPLPTKQLVILAIIALAEQTALNSISPYLPEMTSTFP EVDVGQIGLYVGTIASSFALAQFATNFFWGWLSDRIGRKPVVMLGTILTAACFLGFGF CRTLWQAIMVQALMGLVNGNQGVISTCLGEITDRSNQSKAFVYLPVIYGIGGITGPAV GGLLVFQEHPFRKGVKNPYPYLLPNLFSAVILVIDLVCTGFWLEESLEEAKELPPLKK RVGNLFSWIWQFTGGSHRPTYLRSRGHRDSRHRVDGGVDGSDDETSDQDSERQSLLSI PEIFTNNGNHLSSKEVLNRDTIILLSTYLVFQLSNISYNSLYPIFASSPMPTGRELSP EEIGLSLSFAGIVTIVFQVGIFGKLKEKMGNKRTYRAGLFLFFISMMMMPWVGYNDSR PLFGLGTGKIWLWIELGFVLLVKTVAAVGGLTSALLLITNSAPNHSVLGTLNGLAQTL SAAGRAAGPFLSGGLFSIATRVHPKGEALAWGVFGGIAFLGWLASFGIRGEGLESAEF DEENGSGEEDDDDDEYNDDEDDAGVGRR LY89DRAFT_713814 MKHAFSLAALAALVSAGTITKRQAAGVTDVGILNYALTLEHLED KFYREGLANYTQAEFIAAGFADPFYDNLKEISFDETTHVSFLSTALGAAAVEECTYSF PSTDPKSFVALASVLEGVGVSAYLGAAASIANKAYLTDAGSILTVESRHSSYIRAALD QSPFPQPFDDPLDFDEVYTLAAGFIVSCPSANTAKPMLPLKAFPSVALGTSGTIVSGQ TITLETLGYVLQAADENTPIYAAFITVTGPIYSDAMAIEGGFTTVVPNGVNGQSYVVL TACKDRITDDTVAAGPAIVEVTNAYPSVFA LY89DRAFT_179059 MIDGQPQSREQCSSVSQVPVGSCAGVHARLLNGAGGIDSCFSIR PQRIWNRKPSSRCKQRAFGQRPFFEIYGITTPTSSYSSMGYRTAVNISDSYLYTRSLH ISEGFFLCFFPCSCVSILVYIVTVPPLLFPLRSSYRPVST LY89DRAFT_179098 MDLHFCWEIHVTRNHQNIGDIIQSLNTHRVNTLTELCRIERIAA SGSEDDQLAFQEPMTSAWSYYVNSNQMLNELRNLTRDYPFSSDVLDDAKWRVSNDPAS NRTWNYAWLVLIKMKDDEMIEAYAASEAAKPEMWAGRTPDDEEAQQLAACFAYAWNSA LEQMLRHWDTAPSRTGN LY89DRAFT_606133 MSSDLDNWHINATVYDDRVEELHFISDPAKRVRRKPHTKVWQVE RLLGRGSFGEVRLEKNRGDGTARAVKKVHTESSTLSKKECEQELKALLEFSKPKSKEA ACFVEFFGWFQHGFDIYLAMEYVELGDLEQNIKARSGRLPENEVRSITEQILSGLAIM HAQSFAHRDLKPQNILVVVGPPDWWIKLADFGLSKRLIDASVYRTAVGTQSYIAPEIL RYLDSVSGYTNAVDLWSVGCIVYRLISGIVPFPPGADLFRYCQNKALFPGVSLVNSGI SASGLLFVQQVLITEPAERLTVSQALQHPWISADPKVTAVSLDASNLSIEYSERDPSH VAFPNNRYSPVSYAGLQSFQSSVSAQTILPRVTKSSPSRPSSSTVRPSTLASFSSPDI VREDGFANSRVSFGENPEGKRFMDRWKRQRPKSTGHKLPKSKDLKLRRTAESSTPRTI AKDIAPAGSGEDNLWNKLGGVFVRKADTVFSTAASTPGTDTKGSYDLPPRRKVAIDFK GFCGPIRALAFSLDGSSMTVVSDEGYELWNVAEGKLWKAHKDSAFNIPGCRSVKLSPH GNLFACAVKGEMAARIWEINAEPMRDYSTLKLQDNYKVQDITFSPDSTLIAIASEYID LYNTKTNNFNRTFASNCEGSINHICFSPNGKFIAGISDGWKHEFSNNKIRYWDIADQA LHQIDYHYRTPITCLAFSPDSRLIAAASMPGSIRLWDFATGQMTLALSCNDRFSKISS LTFSPNGQQLIAVDEGRLSGKAVRLWGFVTGDTYDLDRGHSSWINDVVFSPNSKLIAT ASSDKIVGLWDAATGQIWKDFKDNTGTVTCVAFSPDSKILASGSLDETVRLWDI LY89DRAFT_777099 MRSYSWRGLVAATLGLCSVGVHAQGTITDLGSLLAGQKNLTTFY SLIQKYPDILLQLPSYSGVTILAPNNDAFNKIPYTQLNSAFANNDQDTITNVLEYHIL QGTKVAAQLVPGTPVFLPTLLTDSKWSNVTGGQRVENVEQSGNVVVFVSGQGSRSTLV QADLMFTGGVVQIIDSLLIPPTAIENTTQAFNLTSFEGSLYASNQLPTFSVPNVTIFA PNNEAFQALGPALTTMTAEELATVMDYHLISGSVIYSTALTNGTKLATLSGENITVSH SGNNVYINSAQLLTTDILIANGVLHVISNILNPQGPGAQPNPAIASQGQVFASASDVA NLPFTSAIPCTSSCPVTSTTASSSTATTAATKSSSSAAAFTTSSSKGVGAALARETGG FNKAAGLMVALGGAVMML LY89DRAFT_680097 MMRWFYMLLNTALIFLTLVVFIDFHPNSSEHHHHHQNLKSPLIS PPHHRFSHLEPHHSSFPAKRPAQLYLPRLPTSPKRSVPAPVHYVCLTNTHNTTQSIAP HPLYLHHYPPSTFTKPTLTAPTQHNTTHSKSHLTS LY89DRAFT_680098 MTSMVGVGTFPPQSSSPQKQSRPNLLRLSQPASLPQPQPQPQPL LSRGGYALYLSFPPSERWRNSANGSGAAAERG LY89DRAFT_635596 MGIGNYFKAKKPEPAAAPTMTEKPASTSPHHSYNMELQPPQSRF GSSRNSISNRSTQSSTFLDDIKHEVMVNYLYQQQCSHLWVSDGSGEIEGVLLRKSKNT YMACPPQLGSSPFAAACAALNVQCAMTVNSRVIKTFLQWSPDAVDVPLLNGLRIQILP TIEDLPRARKHQFAAFVASEALLVVWDDEALHLVQRAKAIESELMELVWKTGEPDDED GKKEANVGVYEIDEESGEVIPEKRPLHLQNTVLVALTIMLIMTTLGAGARQLAIETDV DGTYIRLALLCLTPVQIFFTLFFGQVIMGCLAQIFGPVRQLTINSKFYSAKAPPRIQG AVLPHLTIQCPVYKEGLSSVIAPTVKSIKQAISTYELQGGSANMFINDDGLQIIGEEE RRARIEFYADHSIGWVARPKHGDNFVRRGKFKKASNMNFGLNISCMVEDKLALIQRPV DWTQADEAQAYERCLKEALEENGRAWADGNIRIGDYILLIDSDTRVPADCLLDACSEM EQSPDVGIMQFSSGVMQVVNTYFENGITFFTNLIYTAIRYTVANGDVAPFVGHNAILR WSAIQQVSYYDEDGYEKFWSESHVSEDFDMSLRLQCNGYIIRLAAWAGEGFKEGVSLT VYDELARWEKYAYGCNELLFYPIRKWIYKGPFTPLFRTFLFSNIRFTSKITILSYIGT YYAIGAAWIMTTANYFAIGWYNGYLDKYYVDSWKVWFSIIIVFNGLGNIALAVLRYRV GERSLLYAIFENFKWTFLLAIFLGGLSLHVSQALLAHMFEIDMTWGATSKEAEFSNFF IEVPKVLSKFKFSILFSITGIAGMIILAEAPFVPYDWQIKDFVAILPMATVTASHLLL PLALNPALMTFSW LY89DRAFT_693501 MRPGTPESRSNPFGDDISPAPRANPFSSPFPSRPASSFGSSSAV RGLPSRYFHSRRVRKGEVEQPWRKNKDPKEKWVTIIPLIGLALGFGIAGFLIYDGLSS VVHHKYCPVLDEDFSGGLNPSIWTQEAEVGGFGNGEFEQTTLTDENVFVQDGTLIIKP TLQDATLMEQNNVINLTADGSCSSDILTNCVAVTNLTAGTYVNPVKSGRINTKAGATI KYGKVEVTAKLPAGDWLWPAIWMLPVNDTYGPWPLSGEIDICESRGNNHTYEQGGNNV VSSALHWGPDPANDAWWRTNVKRSALHTTYAAKEHTFGMEWSQKYLFTYIDNLLLQVL YTNFNKPLWQVGDFPISDSNGTRLVDVWSQTGRDQTPFDQDFYLILNVAVGGTNGWFE DGKSGKPWIDASLSARKDFWDARDTWYPTWTATGAGQMEVSSVKMWSQCDGNEAQEDL LY89DRAFT_680102 MHVTIFHASNQHQSNSCIHPSHHIIFSFSLSIQPPHLLTTQFPS PNLQSPHQKNPPLLNPSIPTPPSTHVIHPHHHSQPTQRLSCS LY89DRAFT_179638 MTVTRNDNWSRSETSMLNRLLSTSRANIPSKAISLQGKTLRDTD IPPNEQARSSQHKPKIHSTAIPKPGYPASNQGSSTLGGTPRNQDIHPSSSSKISRHTL SQHHHPQTQLPNPPHSTPTKIPNLHQLPPGTHTHIPTAAACTYTLTSVDLQGPEHRCG SFRNRNTVGIAPNTTGHDELAACFPMERFLPLCLPLT LY89DRAFT_728090 MSFEAKPQEPKPFDTALSMANSLDIATAPPLTSFRRFRDLPKEL RDEIWKLSLPRLRYVNISAPMVGIGRINNSSTLTQRKCVIKTQIPGHLGACQESRSIA LKYYPPQLHNRHGGYPINLDYDTDILYFESKDDFCAFYSALALFGASGGAEIRHSLRN VRKLMIRGKFLSEHHRSLLILAKMDQLEHLALEGESWLVEAASAARFCYRYAPVTLHY RCKNAGRRAGEFLIPNFLSCNKMDEAVALGRSFVGYPRDRESNQDSERFTSFQRLSLP ELNSPRNGMLVSTIFEKVWDEGFLAIVPAISDEPLPEEIEAWEELEPK LY89DRAFT_179850 MDPFTTPPMSPRLPGAEIRTKHKEGIRRLFKFAKIGLQQLQGYY HLGDSTVRKILLYDVPERARPTRTGRPRESLNEQEVRDIIEYVCTDHATRVLNWIQIH DELQLSCSVKTLKRRCKEAGYYSCICCQKPYLTKTQANARWL LY89DRAFT_777102 MDKNVAIITGGASGMGFAVATSLSKKGWRVTIADKNMQAGKIAA RELSCSFFETDVCDFASLSTLFDQVFGKEGRINFVFANAGIGEHKSFYAQADGVSGPP AELDTVIDVDLKGVINTTYLAQHYFRKVPKGTKNCLILNASIAGIYPAHYCPIYTAAK HGIVGFARAISTRFYNNDGIRVNALCPGSVRTNIFEKHEWDHFDNGFIEFIELAQIVK IVELILFDESMQGQVVEVAPKDHYLIKRLEYNDSNVAHTLDETAAASLSQ LY89DRAFT_663788 MNELAKVYHSQGKYKEAERWHQAVFEANRDALGTDSFATLASGL SWINALMAEGRIAGTMGDFAKAEALTREVLQKSLNDLGPRDPDTWRSIDFLAWVLERQ FRFSGAEKLSRIALQLYHEVPGLEEQEVLSPKRCLSLICSYQVRYNESRDLSRLLQGN LETSLGDEHPLTLEAQYAIAFSLRREGKFLESQRLYRKVYNKRMKVLGESHPDTWNTA SSLTYLLYRMNNFEEAIVWYEKFFWQRLEIYGAGGDKTMNACCWLGDCYQKLGRYDDA LRLYQQIAGKIRMAHEEESPALAQQGNQTYNYIRKGKMLFHGPSGLGTRS LY89DRAFT_606147 MKTPSLLTLALCVRLIAASIDRQVIVSQFNPTRYASSNSTPMQV GNGNFAFGTDVTGLQTFLPFGTLSSWGWHNFSLPTDANQTVPEDFTGLDWWTHGRLVN YDQPNPAEPVISNWLIQNPQRLNLGQVGFWFEPSLNVTEESLVGKVQTLDLYSGIITS KFEFLGKNLQVKTAVDPDSDTVSIQVESELLESGELGIFFDYPYSDVNKFDAPFVGVW NATSMHTTSLQQSEGQAQITHEIDATTYYTSIKWDVEASITGPENGTHRYTLLLTSNC GNTLDLSVNFSPSLTKDVPTADTTSLTSQSWWQQYWETGAFIDLTSTPSTNATELQRR IILSQYLLAVNSAGHDSPQESGLVSNGWYGKFHLEMPVWHLGHWARWGKWSLLERAIP GMYERFLPTSIQRAQEQGYEGARWGKMSDPTGRSAPGEINSLLIWQQPHVMYFAEMEY RDFPGEETLKKWDELLTWTAEFMVSFAWWNTSTGVYDLGPPMYPVSENTNPNATINPT FELAYWRFGLSIASSWKSRQNLSIPAKWTHVLNNLAPLPIENGTYIIYEGIPNMWIDN TTYYDHPAMTGIYGLLPPLSIPSFNLTIMSNTASKIASIWDFSQLFGWDFPMLAMNAA KLGEPDKAVGYLLDPNFVFDGVGMPVGGPRVATPYMPGSGSLLLAVAMMAGGWDGMSE GVSAWPEGWVVRSEGFARAM LY89DRAFT_635605 MTLTNFEDDYNCPKKATLDSKGHCSSPIPNIPENAGCTAYCEIK LTPIFGQEIPFADGSCQSSTTCYMSTGQSVVVTNTYTVNVDVSINAGEDPLKTLTSAI NIGATYSYSKSVGYETTEVHRMPLNATACGYWTFIPYLFNSCGTLTTAAIGETPAGYY NQDESPYCDKSSLSDVANYCNTTPHQDANGHADGKVIFVYTDCDTNIALPNGQDPAYW FPGVSTSHP LY89DRAFT_777105 MLALRSTAGAVARRARTAANRLPSASTRSLPKAATSSALTSRRE YSAPAANFSTRSTVVQLLSNIGSKREVQQYLSHFSSVSSQQFAVIKVGGAIITEHLES LTSALAFLNHVGLYPVVVHGAGPQLNKLLEDAGVEPQFEEGIRVTDGKTLAVARKLFL EENLKLVEKLEEMGVRARPITSGVFGADYLDKEKYNLVGKINKVNKGPIEAAINAGCL PILTSMAETPEGQVLNVNADVAAGELARELQPLKIVYLSEKGGLFNADTNEKISAINL DEEYEHLMSQWWCRYGTRLKIKETKQLLDGLPRTSSVAIIHPADLQKELFTDTGAGTL IRRGNKLATASAISQFEDLEKLKDVLVRDREGLDARATVDRYVDGLKNRDFKAYFDEP MDALAIVLPPTSETHLANLATFTITKSGWLTNVADNVFAAIKKDHPKLVWTVKEDDEN LTWFFDKADGSLSKDGEVMFWYGIENGDEVKELMTEFTKYGRAMLGDANLEERLHRAA RAASGMSASMKQPQKQQARAFSTNARRPLSKTTRNFNRSFATSSRGYATTTNPNPPFG AKNSSNTQPAKVALIGARGYTGQALINLLNAHPNMDLRHVSSRELAGQKLKGYEKRDI TYENLSAEDVRRMEESGEIDCWVMALPNGVCKPFVEAINEGKGPQNSVIVDLSADYRF DNKWTYGLPELVNRSDIAKATRISNPGCYATAAQLGIAPLVPFLSGEPTVFGVSGYSG AGTKPSPKNDVDNLKNNIIPYSLTDHIHEREISNQLGVSVAFIPHVAMWFQGIHHTIS LPLKETMTSRDVRQLYQERYAGEKLVKVIGEAPSVKAISGKHGVEIGGFAVHSSGKRV VVCATIDNLLKGAATQCLQNMNLALGFAEYEGIPLD LY89DRAFT_680107 MASAGDRPYDPYIPTEPAAGGAAGGQGAPGNQRTAALQAQIDDT VGVMRENINKVSQRGERLDSLQDKTDNLAVSAQGFRRGANRVRKQMWWKDMKMRMCLI AGIIILLIVIIVPAVVATKH LY89DRAFT_574327 MLFSRCAAGAATAIYAGSHVAKAISLDLSSTDSIKGVAKTIAYD MMSYYNGNTTGGIPGNLPAPYYWWEAGAMFGSLIDYWYYTGDSSYNDVTSQALLFQVG PNQDYMPPNQTKTEGNDDQGFWALAAMSAAEVNFPNPPSNQPQWLALAQAVFNLQASR WDTTTCGGGLRWQIFTFNNGYNYKNSISNGCFFNLAARLAKYTGNDTYAEWAEKAWDW TASVGLLDNSYYVYDGSDDTINCTQVNRIQWTYNAGAFLLGAANMYNHTNGSALWKER VDGMLQGTDVFFPKNNVMEEVACENNGMCDVDQHSFKAYLARWMAATTKMAPYTYDAV MAKLAPSAQAAAQQCSGGANGRTCGLRWQDNTTWDGSYGVGQEMAALEVIQSNLIAQV TGPVTNSTGGTSKGNPAAGTSSNSNPTVIGPTTTGDRVGAGFLTTVVLIIFVGSIWWM II LY89DRAFT_606158 MAPAQNLLQLLQSRTIVDCDTMDVEVPKTLGRFVDCTSNQAIAY FELQKPIHASTIESSLSMARSLASKFPDISATELAVEIAMVNLQLAISHHVSGLVHVQ TNPYYSYDTPKTVENARRIVHIFKFLDSAFDTKRVCIKIPSTWEGMQACRILEETEIS TLATTLFNIEQASLAAEVRCRYIAPYVNELKVHFEAGFVDHNKAQRLCLQAQRLYEEN GLKTQVLPASLTLTDEIMVLAGVHHITIAPGLLKELAAAPASSLSVTSLFDEATTKAL PFVSYKDSEAAYRIAFTRSGNGEGERKLGQAINIFCDMQDKLEVMMKRSH LY89DRAFT_680108 MMRAARFYNKLDIRIDEIPIPVPKENEVLVAVEWCGLCGSDLHE YTDGPYVIPRPGNPHVITKETLPITMGHEFCGRISQPPSNPNSKLKKGDAVMIDPRFY CSDCHRCSNGNDNACVKWGFLGLSGGGGGLSEFIAVPESVCHVLPEGVDLSVAALIEP LAVAYHAVRKTGIEDFGGKDVLVLGGGSIGMAVVVVLRMKGVKKVVVSEPTMKRQGYC RELVDVVLDPVKEKVGDRCRELTEGKGVDVVFDCAGIERAMADGMDALKFGGLYLNVA GWITPFVVPQGIFMTKEMEIRASMAYTGEDFRDTVKAFSAGKFVGIEKFVTSRVLLED VVEKGLKELINNKDDHVKILFTPKKEYVT LY89DRAFT_680109 MDNDDVGMGGKGGVIGSIDLGESIECRRDNLLPIATKNLERRAD VTLGQQMSIEEKWLADERLKLETDAMEKFELRQMAVEMESFRSKVEASRKRIEKNMAA KIRAARVRDVQRQHKRMLGSQEYVQATQIDPTTTSQKSRESLIEDQAKHEYAKIIQQN NVEDCRAGIVEEGASDKVFNNCEEEEQEIRDGVAEGKKLNDSEDPEHTTANSAKQSQV ETVLIENKILTQSPYLSSDGSLEKPDLENLPKRPFSTDCQEANFAAKEVLADDFTTQN STYLSSTFLSSIYLQATQPLHDLGSWESSMRDQPTLLTAKNDEHVRRSGNIISGDAVL SNIAPARAKTHHEMNHAEDESSYRYSSNLSEACTQLVVKRNHLWGTGYKIGLTFLDGS PQQHRDVMKWVQTWERYANINFVEVKQAESDIRISFKFGECWSYVGTKALDIPKEEPT VRLGIAPWNTSAEVQRVVLHEFGHVLGCLHEHQSPHNPLLFEEKATCEYFAAKYNWPH DRTERDVLRMYTPREVDSTMYDSKSIMHYSFPDTVFSNKVAISVNYELSEIDRKKIGT LYPFDVESSSSQKSNWMQQTKQALKKNVLGMSPTNITTPSIPELTIQLFETAGRPFDF VQDTVKRQVHFLGLLCIAGQLLVVGLWVVYRSLAWANQ LY89DRAFT_573685 MNLLNDAISGHEVSRLGSINESDPFVGNTPASATRSNPPLLNNP FGPHQLTIANKNAYQQGVSVELLRLTNNCTSRPTADVAVDSIPFVEYCRLNRTYNHGV IKLKNIPYTVNRPEVLAFLGRNARIISEQDFEPVHIVMERVTSKTLDCYVEFADLNEA VAAVNRYENNRMTGRNGRLGQRHVDMELSSQDALMKDLFPKAKNVNWRDGKPTIIPTN PHDKYNSGFQGFISKEELVMLVKHVETPQRSPFSKECPQRPYECLISTLFKYPWYMVD YITIEDRDLLYDATSKLLDLLRDRLKSTPEQDINLSPMLYKRVWRAAIKCQGFTPTMK DNIAFKHELPSDLMQEMGVPLFAADWHYLWTIGPKPGAPHDIILWYAAVMREYLDSKK EQVPLADKAAKGEKLERAKLFGDLEKYFGHPVDRKVFGALTLAQVAANEWSGIETVLR LALAPAIEAPASA LY89DRAFT_550357 ALPPSFIAKKKRILEQLSIPAAEYDDLSPKGSVDEGIRVLIDEI NAIEGCVTTSSCAGRVSVFLEGRRKVDDGSEEREGELEGREKSAGVGGKGGGGRWLFV SHDPVQKDGEKSWIEFLGMQSTDVQDLQAQMGGVLERRLIHFKFEPMILHVLTASLKQ AQNVLSAALQAGFRESGALNLVASTAEPATPMVGIRTMGLTLESVIGFERDGSEICMI PEWQLQNLIEVSNQRFVENTKRIERFRMLSKEIDGIAAKRQGENGDWEDPQIRKERKR AQGLERARAMRQKQASELPE LY89DRAFT_606168 MGSGSSKPDTSPKTQVWTSETPVRFSQGLVDSLQSSPDSDSTRS KTLELHIQARVAEELKQLQDRAAKDFEALQAKISAAEESQKKDQPKSAGDSLRELGRE AVQNDVQQLRKKLEQRKKLADIDESVESAKSAVVKCLRDNDRRPLDCWKEVETFKAEV RKLEGTWVEKVVR LY89DRAFT_693513 MLLQRHADALQQLPDILAAIAPVVSRATTTYNESESNPTIADYA TALNGVNQPLNNLFKVILWLGLGTLAALILGARCVQIFEMHVRHMAGMASQSQAYWAQ NRWHWWKIKKNILYAPLGSKRHNREFRLSSALNMGTLPSRFHAILIALYVLSNVGFCL ALNYWREDKYSTIAEMRGRTGTLALVNMIALIILAGRNNPLIWMLRISFDTYNLIHRW MGRVVVLEAVVHTACWAYVKYEATGWQGVFHQLAVDPFASWGMVATVAMLVIFITAFS PIRHAFYESFLDIHIIMAIAAIIGIYIHCEVANLPQLPYIKVVAILWGIERVARCLRT IYYNYTYKAGWTDVTIQALPGKACKVTMHLPTQAKIKPGSHAYIRIWGISGWESHPFS IAWFDDKPALPGLPTSEKQGHARLRDEKMVTDVSFVIQAQTGFTRKLFNEANYCTPRA LRLKGSMEGPYGGHHSLDSYGHVVLYAGASGITHQIPYVRHLIKGFKDGTVATKKIVL IWIIRDQEHLCWVKQWMDVILCMPGRKQCVNIKLFITRPKSPKDVVSPSNTVQMLPGR PNVKLILQNEVKNQCGAMAVTVCGPGGLADNVREAVRDEQENGMIDFIEESFTW LY89DRAFT_713833 MPMLKDPSKKYKRFPPLALKDRQWPDKTIDRPPRWLSTDLRDGN QSLVDPMDGEQKWTYFKMLCELGYKEIEVSFPSASQTDFDFTRRLVETPGAVPDDVWL QVLSPCREEFIRRTVDSLKGAKKALLHIYVATSECFQRIVFNMTEEQNLALAVKCTEY ARSITKDDPSQAGTEWAFEFSPETFSDSRPEFVLQICEAVKKAWEPSVENPIIFNLPA TVEMSTPNVYADQIEYFCRNISEREKICVSLHPHNDRGCAIAAAELAQMAGADRVEGC LFGNGERTGNVDLVTLALNLYTQGIHPGIDFSDLGRVIDVVEVSNKIPVHPRAPYGGS LVVCAFSGSHQDAIKKGFQLRKTEGATSETKWQLPYLPLDPQDIGRTYEAVIRVNSQS GKGGAAWIILRNLELDLPRGLQVAFSKIVQKKADEVGRELLSAEIQDLFETTYFLKDN PRFTLVDYSITTDRSASPAPPAPGKSVDTKNLKRRFEGVISVDGQEHELKGTGNGPIS SLANALQSIGIELDVADYKEHAIGGGRDVKAASYIECTAAGSNQKVWGVGVHEDVVQS SLIALLSAASNFMTSRPNTPLLLRGKHGSRMSQDLDLNGSPKSLKANGNLSIPKPNGV PKSGPDAVSVLEAKANGM LY89DRAFT_180065 MDVNSVLLNTFSPDAAIRGNAEQQLTQAAETNFSTYLTTLVNAL ADEQAQGPVRAAAGIALKNAFTAREYSRLHELQQKWLQQDDGTKKHVKDGALQALASG TSQAGQAAAQVVASIAAIELPREQWPELMPTLVRNVGEGVDHLKQASLTAIGFICESQ DAELRQSLVQHSNAILTAVVQGARKEEPNPEVRLAAIYALGDSLEFVDSNFKNEGERN YIMQVICEATQAPDSRIQQGAFGCLNRIMALYYDLMRFYMEKALFGLTIMGMKSDEED VAKLAVEFWSTVCEEEIAIEDDNAQLENVEQMREIFHFSSVATNEVVPVLLMLLTKQD EDAADDEYNISRAAYQCLQLYAQAVGGAVIQPVLQFVETNLRHEDWHNRDAAVSAFGA IMEGPEEKVITPIVKQALPVIIKMMEDPSVHVKDSTAYALGRITEACSEAIDPIMHLP LLIKSLFDGLVSSPKMAGSCCWALMNLAERFSGEIGCQQNPLTPHFNESITHLLQVTE RSDADNQLRTAAYEVLNTFVQNAANESLPAVASLSEIIIKRLEDTVPLQSQVVSVEDR ITLEEMQTSLCTVLLAIIQRLEKEINPQADRIMTVLLQILSSAGAKSSVPDAVFAAVS GLANALEEGFANYMEAFSPFLYNALGNQEEPALCSMAIGLVSDITRSMGPPCQPYCDT FMNYLLNNLRSTALANQFKPAILQCFGDIASAIGGHFETYLSVVAQVLQQAAGVQASP DGSYEMFDYVISLREGIMDAWGGIIGAMKAGGKTELLQQYVESIFSLLNIVWLDQNRS DALMRASMGVIGDLAEAFPGGQFSTYYRQDWLSAMIKDTRQNRDFQARTIDTARWARE QVKRQIGGNQGIMQST LY89DRAFT_777116 MAPKRKAAVEAADKLKNSKKAKKTSIKPDFNEAPTQRLDVYVFG SGENGELGLGSVKRNGKMPTNVSRPRLNDLLDAATVGVVQIAVGGMHCIVITEDNRLL TWGVNDDGALGRDTTWTAPVKDAAGGDESESDDDDDDDSGLNPVESTPTAIPADCFEG DPVWVQVAATDSASFALTSTGEVYGWGCFHGSDGPVGFSLQCQRDGILKQKTPLKIPE LKNITSLAAGGNHMLALDQTGNVWTWGDGSQYQLGRLVSEKYRDNSLRPAIQTSLHRN STTFISAGAYHSITIDTEGRVWAWGLNNYGQTGVIRNAGEDGAAIEKPAIVRSLRGQV IVDIEGGTHHSIACNEDGEVMSWGRCDDGQPGVGLENLADSDVIEDKILLYPRVIDGI NAVRVAAGIDNSFAIDSDGKVHAWGFSDNYRTGLGTEQTVELATVIENTAVKGKKLSF AACGGQFSVLAGPSDAVPKRRRGRKAKKTNE LY89DRAFT_180229 MSFNTDSSRNEAFEREQERESDLSPTPINDEENALPSGDDEKGE AGDRYLVGWDGPSDKTNPRNWSNSYKAWITFQLGMLALAASLGSSIIAPGEDEIAAYI GVSTETSVLVISLYVVGFALGPSIWGPLAELYGRRWSMLPAVFVLGLFSIGTAVGKNA ATIFLTRFFAGVFGSAPVSNVSAALGDIYEAKARGTAVTFYAVAVVGGPTLGPIIGSA LVVNKNLGWRWTEYIEAIWTFFIFGLTLVAMPEMYAPVLLKKKAQRLRKQTGNQEWHH PHEDIKISPKTIITKHFSRPVIMLLTEPMITAIALYASFVYALLYLTLEVFPIVFEEE RGWGPVVGSLPFLGLFIGVNCAVVINLANQPRYARIMDAAKGKPVPEARLAPMAIGGF LFAIGLFWFGWTATPKISWISPVFAAAFIGAGFNVIFQQCINFLVDTYGLYAASAVSA NTLLRSLMGAGFPMIAKPMFHNLGVGPAMSILGAIATLAIPVPFIFMKYGLALRKKSK FAPVYD LY89DRAFT_680116 MKTRMQNKLGPAAASVLQASTQIKTTRTRRTKRARKRIMREVVK RRRVPRVHEGEPWLHNLRRWQASQIEEGDVLYEIDEEAGETRGRGVSEELEDPEMSEV YYTEEEVSVSTDVTDSQGGIDDEEEDADEGLDDGQLRGEKDQKRDDDDDEMGGSFFAG PLVLRHFAAC LY89DRAFT_606181 MDDIASSSDAHTRAPHRETRSPAELDLATRGWIAGTVTSASAIT DPGHQFFVHSCNKEGFKYKYPEAAPTPDGEDDVVWNKTYTAADSRMYNKNGKDANQSR KFRLSKETYTLSLKVHLENKQKYANKHPVNTLAAADPQVSKAVAKPKAVSTKIGESAS KATTSDPQSKMADNNDHNSMSIPEKIKSDGRIRKPSSNAAFSMQSSPGPSTGHTMASP LVNTGSPAPAKIEKPAAKKKGTAAVVKKAAPKKAAPEAGTSKVSVGAQSSATKPPSAD DSDSNDGGEYCICRGPDDHRMMVFCDGGCQDWYHCSCLQIDEDDALGLLDKFICPNCK TDTLFTTWKRMCRYVNVDKTHRKAANVKDGSKYCSKECCDKFIEYVASLVRKDDAPSM GGALNQKEVAMLLGSVKNAAEFHALGKKPRLPVKEGADPNRPVGLDYVTPDEEAELAT IQAKKDDIKGQIEGFGNQLRLLMMINERAKVAAKHLNLEVKEFCGYDNRLAMNDAEFA RWVSTEEGKKAFETNKLGPRTTETQSIAQVLPYPGQPIPVPAETSDVLNNICVKKTKK CKHNGWREMHNQDFMQSQNNLKLDLSKLEEREKEIIEDAETREATKEYYAHNVTTQLF LY89DRAFT_680120 MASPANSVPDTQLGLTNDEIALLRHHQQAAASTAGGSSSRAASR ASSQGLLLLDGSSLAALGRHFDRLMQQIQARLDYLAEQSQMVAQQQYDRAGNAIALAD SEIARFHDILRQIDELEVDFDRIRHIRDIVRSYRQRVEEMEQQMEHSGSSSRHRHRHH GESSGSSGRRHHRH LY89DRAFT_606187 MRLPFICSAFGAFVSLSSATILQNGQVRITNYPNTVFNGSTAQF STYPPHATELSYKGRWDSKFISWWSAPGLKFGFTGQEVAITFGPYTTDTVLVGYRIDG QDWQLTNITTNATHLLVDSTTVGVNLTSPVNPSTFEMRVTNWASGVQIASIHVGKGEK LVKVPDFGRTIEVIGDSLSSGYSATLEGLSSYAYGLTAGLGNTEYSLTAYPGVCLFDK QCYGNLRGMFYQWFQTSDTSGRAIDIWGDNPEVWNFSNQPAADIVIINLGTNDNNHVN NVSTTGYVAQYTMLIEGVHAIWPKAQIILIALWEGFDTVGNTYIQSTLDSDVGFVPQI YSIYEYFNSKEYLQNPVLYDPVKNSTYQSQTPSDPFVHYFNTTGILQHNDIGPQYHPT DAGHIKLASHLMQYIKMKFDWPLYATGPEVFHDTLYWNDQTSY LY89DRAFT_713842 MFLNIFVAITTVTFVSLAFAQTNTTTINPSDVDLTTRDEWCQSQ VNTCGTLCSGDPNTNSCDPDTLSDNCTCAPSNSTPDLAAYTQTLPTFICEYLYTNCNS SAAGNASAQADYKTNIGDTCGTLNPADFVASATTSSATSQKSSSTTSSDTRQSSSSGS SSSTKSQSSSFKSTSSFVSSTSSTSVPGQTQASITTPNPGTLPSLISKPNTATGLSAG AKAGIGIGATVGVLLLCIGGYMLWWQRRRALFQLSDPQVPELSTNGILEIPQLETREK PGELQADAIPRVHELE LY89DRAFT_693520 MASSLVQNPYTAQLGQNPTYTYVTAPQPPPSPPVDETSKCSLPS ISSLLGVADGLTPQEQQAQQVQQQAQQKSEYRPDSGHQQYGPSPTMNTRGALPPTPPM QSDSGFDGRQSPSTASNSGYSVASAPGYYFAPSTVSAINNVEPHAQRQHVPAVQRRVS MPAVAMAYSQSPYNGSQYSVSPQQSMSSYYSSPMQPTPPPQSQISGLYYQRPLPQQFP PPLMPVSVTLTPSSGANPWQHHHYISPSSAAAFPQSQDRYICQTCNKAFSRPSSLRIH SHSHTGEKPFKCPHQGCGKAFSVRSNMKRHERGCHSFEGGAMV LY89DRAFT_180386 MGKRRFRRIISAAFAFTSALMVLVPRENGMFDKGERGEKNKDRE ILEGTARDGWEGGRCLQVHSSSSSNMHYKGQGRERGRERYHLGRESRDPKIGDSKASQ AWIVYVYSNGKHISVQSNKNPSITQTAHSHVASI LY89DRAFT_680125 MEMRFTGFSCGEEFCMEFVVNGLRFFAILRWTTGYLSIWISGRV LKPEIVCREVA LY89DRAFT_180411 MATATPQSSLSFPRETFAKLSPHPYLLANLQPSAKNVSSTRANG RTPNQFRTPHINNGSLTHAEGSAVVRVGDTTVVCGIRGELLLASNVPNYRSDKATTAP SARPGYNEAKELDLLVPNIELATGCNPSYMPGQPPSVLAQSLSTRIYSLLHTSRMVES EDLRIWYQPPDLSEGDKMDDDDEESEEMEPEIKAWWTLYIDILFISLDGNPFDAAWAA VVSALRDVKLPKATWDPEREVIVCEDEVEKARKLTLKGCPVAVTALVFRKDQLKGREG KSWILIDPDTFEEGLCDETVTVTVDCTWRKTKLLGISKSGGTIVGMEEIKEIVSSAEE RWYELSKLLGG LY89DRAFT_573416 MSTTLQERAAAGAGTRTFAELAKRINIPLTATSPPGLVEADTVD PFSQAGKYALGWTYFCIILLVLVAVTRGYHFWTDKLRQAMHKTEVESHIASYSPDMDY AMENMGTGGTVDQFFPRETEKSILTRGQSHWSSIGFVNDTLALFRWIFYRPVPEIKWK KRTILSFPSLAVIAILTIALAFVTLYCFLPQPLYWQSIQYGSPPLAIRAGMISIAMTP WIVATSMKANLISMITGIGHDRLGVFHRWGGYLCLFLALVHMIPFYIQPVWDDGGMTV FYKLFPPGSGIIYGSGIACFVPLCWLCVGSLPLVRSLAYELFIMLHIPVAVVYVGMLF WHCHNYLTSWNYLWATVAIWVLSYFLRIFNLNWLKPWRNAWLIGDEAAVTLMAENAIK VTIPTQMTWRPGQYVYLRMPGISVFENHPFTISSLCSEDFPSEYGDLYRDCTLVFRPF GGFTKRVLETAIEKGPFKTYRAYLEGPYGGMQRELAAFETVILFAGGSGITAIVSQLL NLIKRMRDGKAVTKKIEVVWALKRLEAMDWFREELRICREYAPPDTVTCQFYVTASKR QTKMGMSERAPRPISNIFHDKLDGFVAGIASKRNSAFIRDEAQGDEDQERELREEDQD AITSLPRPKHLQPRVLPPPPPQTSFPPPPTKAHRENSLKKLEGRDTKQTPTSADHEAT HRFLSPITSISKKRESGREFHFPPPSPRHDAPHFQFAPPSPRHSYNPQNWPPPPPEPA HLHTRQKSADLQITIPTTTATNTFDFGFPSTPTEFQKNLMRFAFVGAKKKEGWSTEYG RPDLGYMLKEMATGGADGKGIFGRRTCVFVCGPPSMRVDVANTVARLQADIWGDESKD EIFLHTENYAI LY89DRAFT_728119 MLLLTLIFLALTSLTPLISAEPIPAAALRSRQITIVEQYCCTSG CGLCNAVSCADFDCFDFPFYSCCAVAVSEKRDEHGSMQGWNSKGEKVTFIED LY89DRAFT_728120 MVLITTGCPRPTVINHCNFHSLQGHWDIPDIPDVSPGSGASQTP ENLDQLRRDPQGFADYLEGKFHESKAAAMSVEFCYDSFSFFIKIHQILDPVQIVLDTC PPFCILPTIRGPVGWSVERNVLAIVECRHVFLESRGVDQLPLAI LY89DRAFT_573946 MESLNETLWDVVISGTGLKHSLLALALSRSNKKILHVDQNEYYG DAEAAFSLQEVDEWVERVNAVSPSNFRNASLWKTTTSNESDKLSFSRAYTLTLSPQII YTRSKLLSQLVSSKVYRQLEFQAVGNWWILGEHSLNRLPNGREDIFRDKSIDNRAKRG LMKFLKFVVDYENQNELWQPQADSGLAGFLSSHFQLPPNLQNVICALTLSLDAPESTK VSWSLPRIARHLTSIGVFGPGFGAVVPKWGGGAEISQVACRAGAVGGGIYVLGIGVKE SNTSSEEAGNITHVHLSNEDVVKTRHLIQYPAARSTDAKAVSKVIAIVSSSLTTLFKN SVEGSPLAAVSVIVLPVSTVIVEEASQATPIYIMAHSNETGECPAGQCVLYATMLYSE HSKQLLDAALDVFLARVEDTPELLYKLYYEQEPEEENEAQTESLDPSLDLAFNDAILE DVESLWKTVIGDEAEQARFMRFEDREGMSADDDELDEGY LY89DRAFT_680131 MSEEKYEVLEKIGHGSFGIIRKVRRKQDGQVLCRKEINYLRMSQ KEREQLHAEFAILSSLRHANIVGYYHREHLKSSQDLHLYMEYCGNGDLGRVIKDLQVK NQYAEEGFVWSMFSQLVTALYRCHYGIDPPEVGANVMGLGNSAKPKAPAGGVMILHRD LKPENVFLDEDNSVKLGDFGLSKIMQSHDFASTYVGTPFYMSPEICAGERYTLKSDIW SLGCIIYELCSREPPFNAKTHFGLVQKIKEGKIGALPTVYSPELNAVVKDCLKVNPDR RPDTATLLNLPVVKLMRKEKEVVELGRIVKNKEALTSKRLQEAEERIINLEAEKLQMR QEIESQLRREWEVKAQLEINRLVQVEIERLQKKFDHEVKEKVDVEVQKRASTQSHSSA KEFSGSGSMIMNDIPLTSISTNGDDDFPSSTDLTSLSLDSPEPTKALKKSTRTPFGRA QTMFAGTPMDIEMAEPSPISIASLSLSPRRNGATKAPSTGRNIFAAAAGAAAEARWQP TLMNSDDSDDDDLPPVPSPTRQKSSKNPFKSNGVRPPLISQKTAPVHKQPSQPALFTA AKANTAPTLPTLSSAPDLRPQTSNAALKERSSSPNRRLSKIPSSTNLISHENVPLSPT RKTSLTKGKNVNGSEDLNKLATKNNMAIKATNANNLAPKGRTLVELAQARAGGRPIEV DGNRSPEPKGRAFAARMAEKAAADRGEPPVWDPERDEMPSPFLVRSRAPLRR LY89DRAFT_635664 MVLRKDQLEVQLKDEHQLIRDGVLKDESPLDDSPEFHDLCNACR IGNLKGCQEAITSGVNINARDSFDYTPLILASLCGHYEVVQLLLESGALCERDTFQGE RCLYNALNNRIRNLLLEYDYSKSTDPLQPFASHITSLLTRQEPKTSDICLTAGPEQWN LHKLILSARSPYFSNKFVAAPETSVSKLATSIPAEAFHIALRYLYLGGVPADLELTNT SSVTEEAVFKGLDKISKQLEIDSLWQSILSSTDRRLSRQRQQDEVARGRIQLETWYKT NVLKHKVHVDSSKAQEVKWTRDNAIFADVLLRADVESPDEPKSGQETPTARNTLGPLN GIPIGPSSASRSPSVARKSKQTVLFPAHRAMLLRSEYFQAMFKSSFMEAQVTEYLQIV NVDCTPEVLDIVLNYLYAEKTDIPIELALDVLYVADMLFIEKLKTKAVIVLSTIGMGT RTLADHTHVEAGQEQEIEVEPINVYDVIRAAWTLKVQRLETFSARYLAYRLEDYIDED DFEELIKESASMIQKRQETDSIELLDDIRFYLSERFRLRFEDSGLDDLMDENGEMNAE AAQAISEGAERLDEAIDVKSPPSDEMKQVDPASNPFLNGEIKTLDGDIAGDEFTADAI NYQVLLGKIDRLLEKLKLDA LY89DRAFT_548516 KLGTFSAINVILGKTVGVGIYSIPSSIFESVGSVGASILLWIVG SLISFCGLAVYLDLGTAIPRSGGERVYLERIFKKPRMLATCMFMSYVVLLGFSTPNCI VLGEYVMYALEIEVNRWNVRLVAVSVITLLCIIHARYKKLGLRIINVLGVGKMLILVV VILSGVAGALIGVGSSTSPLTRRRLGGIPLDAIPDHHLSTAQRNFSNLFAGSSTQPYD YATALLKILYSFRGYSTANQVLSEVRNPIPTLKLAAPIALSLVSLGYILANISYFLVV SKEDFRSSGVVIAGHFFKNIFGEVIGENVLPLFIIISAFGNIAATSFAQARVNQELGK DGLLPFSSWFAKKNQWDSPTPGLFLHWLISVIVIVVPPPGEIYNFLVDIGGYPVSVIS VAISLGLLYLQSNPEENWQSPFRAKKIYTIVFAASNCLLLVLPWVKPKTERGDGRFAY YAYPSTALAILGSGVMYWCWWAKIKPSL LY89DRAFT_180516 MGFVKMPTLAAHVSRPAKVALTVAFVALYPAICILHEAWVIQCE RSYGYIRTEPKPRPITSRWRRRRALTNRDVKPPGDCSLLALPREVRDEIWRHVIGEPK VHAWISAVDSSRGLEGARCGEVCQHCSWHSVGYSTASTDKASDPTFGVMGCLLSCAQT YSEAIKLLYSMPSFDFANGSKIAYLPRVLLQQRINLIRTLNVTWRLEDPPSLPSPPRR SSDPDKMEKAKQKNHHYRETWVAVWQNLAAMEGLVDLKVELKIGSRKRRHIRHTRSHL WNASDLEIAKTVTRPRNFQLILPAELENRVREDVTAPNLRLVPSLNARGRF LY89DRAFT_728126 MQHCSNCAPSPPNLHWVYKSGSKAAGNLTAKEDPKKAADTIPSK KENEQLAARDNKAVNYSKALLEHGDTLVIIRYPNEAKVYDATSFEIRDKHRVHSKKLL ATGSSKFKHILEDEWQMSRRLAGACLESAQPKQCIASWAIHERSTSPSPKPKYCTSVI TITTMGRQGKKKGGEKKDTRKANRTRTDDEKLVNQRVSRAARALPGYESASKKQQERM RDWFGYYGKPGPSHFSAPSQGAAAVAVGGGIAPAGFFAPPPPPHHLPRRGVEEEEDDD DEEENGDDEEEIGDEVGEDGRGEWRALLLSLHGAARGTGGRWEEPLAEAVRANFPEID PALL LY89DRAFT_713851 MGSGRQDKGKGGKESDREKKARHNLNAAVSRAAKREPGYQGASA AEKKRILGRVRVRLAGQSSNNKHSNSSSNRGAGAPAANAFGLLVGGGGVGVVGGAVPP HQLGGWMGRGGGEEMAGEEEGVEAEEEEEEEELVARLAEFVVGGGDHNPTLVALVGTY PPELDHATILADIDRRVREFMEEET LY89DRAFT_728128 MADASSYPLPVHDSNFDNPDVTSNQSTTSNYISVLPDDGPETSP SMPSLTPSRSQTHSTAAYASPENTEDGNDEPVQSSPVTFEFNCPHCREKRASRALLNK HIKTHTQPYRCGHQGCAVGKATQRDLDRHQDTHGKIRRYFCPVYGCAYDVHGIQGGFS RRLDNAKRHLKTTHDNSQGLSVLREDSQGRLERV LY89DRAFT_777137 MPARTYPRSISNERKDETRRHGPSFSSTPTIGRVRTSGGVIAST SPTTVDKAKSNSRPSSHQRRPRRQQALRPSPPPSHQKYPEAAAYDLTSHTLASAQSHY QSASVPGLPSAAKWPHHSSVADRKSMDDDLLGLGVDQFKYDPYSRTARISEEDFESTP NEGYLAGNLSSRSFKVVGRYGMTIDGGVQGAIFAWDQAETLLTRRGYSYDSVDRTDET IL LY89DRAFT_755280 MNPQVKAVKASRASDEMQVSPTPKSMEQKSAGQKSAGQKLKRKL DSTDKPPASASDGKKRKQDDALSATSKPTSSKQTRPSTATTKGKKATQQTSSTKAAPT KSEDQECRFCLDGDGKWAGFDEYAYKPEFYTELCFECKDTVLKNSCRVCKQLCIDDEY MLPEREDMCRTCERKAFDRILLGYGVGDYL LY89DRAFT_180559 MHDPFFVPASSFVFGETIKPLCDYLSLRSLPSHIHEILFAFGVY HLIFEYIAPPLSSLFLSHRYSKLSYESKLRWNMHCASMVSSCTICALALWTIVMDDER RNMNLIERLWGYTGAAALVQALATGYFLFDLVVMIRYLDVFGLGMLVHASSCLITYTL GFRPIFNYYGCVFMLYELSTPFLNIHWFFDKLGMTGTKAQLYNGIALLVVFFCCRLVW GAYSSFNIYRDVWNALHLTEAAKMGSNNDEMMRFAGDRSLPMWLVVLYMSGHVTLQAL NVFWFGKMIAAVKKRFVKTEKKS LY89DRAFT_663826 MAGLSSSLASAVFNASAPELKDILRQIASISPFNKETVSYLLEY LQSDKWDDCSALRDVVFGLCEQLPSLASLVEEALIDNEEEVLIEKDLLEDSEEPGYES ARSSSMDIQEASRKRKWKWKMHTVPRSESKRTRSADKIITIHDINRSIATPKSRR LY89DRAFT_728133 MSPTKEKFEASVESQMDEAAANHPEHGLVLPGNEQKPGPLDAMA YNVVDDSLYNVLHDLLMSTYREEKIARCNTAAILLEEKAQKALDPESSSTLDSLNIQA GQAKIKTETAYFDVDGNTSLLQDEHVPFPPVLEAVPIVYCLNCRLPRFPYPTEGVGSR EPDAETVYCKKHVYVKNHPADIYNQRYTIDQKGPGRGNGRKKDKAQELTPNGSQDSPA PSPPSNNQNQQVPLNHSKCPLNCGRHIAIKKMGWHLQREHANGSRTSSKAAMEKIQKT NGYTSSRSRNSTPAPANGSKGRTSPNKRDLDDFDSDESPQKPKKARNGATKPKVPSTS KTSSQISNSNLNHVETHASDDEYDDGDDRRDGDFGSTAAKAKRKDKTAAKPTKSKVTK DTMKNGNASKLEKKPKKTGGKTPAPASKKPRPVTPPDSKATVKPEVNGQEKKEHSGPR GSSESSQTLSSPN LY89DRAFT_680136 MDANGTPNAPNTRAQPSIRSFFQPRPQPSYTAPPSLPVSEPATT LSGSVPSNNSSDSNSKSARAPKSTLPRQASISLISQSHVQPLRRINSLLLPIHYPDSF YHKILSPDPPISFSRVISWTDTDTKVIGGIVCRLDPALASDSTPESPKYVPNSYDIYI QSLALLSPYRGKGLVTAVLNVIIAAATAQSEIRIESLYAHVWTENEEGLEWYSARGFK PEGAVISGYYRRLKPDTAYIFRRRLTPTDHLQSASLPTLQHSQSAPTPTVSSSNSPAP PSDALRPGPPSSARSFQEQGPEREWNDLPEDVLSAALLKPASQLASKEGSTTSSRSSS QSRTVKEKKKRVYPAAAFQG LY89DRAFT_680137 MNDSAAMGTLLSYLRLLEDGNSPALQFIGRWAYKIYEERHKVGM YSMYLHLILSSIFPIITGAHASLQQPPSAAKPPKVEAEDDDELDVQPMVEGLTLSDAI MFPVTAGIVLATFYLIIKWMGDAKLLNTILTVYFSFAGVFVVAKFISDGLNLLVSFIF PNAWSNGKKTFYVDSDTSRQLIGKFDEGRINISDEVGQDKTNPLPGQLSTVKFYPVFT RALWAIRASFKKHWIMRCFVHGVVDVKEKLRLQDMIGFVTGLAAILIYNTNGKAWWLS NLMGFGFSYSSLLMMSPTKFTIGSVILSGLFVYDIIMVFYTPMMTTVAKSLEVPIKLV IPGPNKGSILGLGDICIPGMMIGLALRFDLYLHYLRKQTTVLQPPSEAKAEEVVTVIK KAPYLEATGVWGDRFWTRNAAGGKLSPADGARFPKVYFKASLIGYVVGMIVTLIVCQI FQHGQPALLYLVPGVLMSLWGTAWYRGELKLMSEYSEDAKWGWDDQSRGCTEKERKEN RKAKAKAKQKVDTQHAHHVALFSVSEPKQKAF LY89DRAFT_606222 MATNIALETTMGTIIVELYNDHAPKTCKNFSTLTQRGYYSDILF HRIIPNFMLQTGDPTGTGRGGTSIYGDKFEDEISTSLKHTGAGILSMANSGPNTNGSQ FFITLAPTPWLDGKHTIFGRVTRGMGVVKRMGLVRTGADDRPAEEVKIVSARILEGDA DAV LY89DRAFT_713859 MNPLISKARRPKFELVLRIFDLNNVPLVTGTSYIKWHFPHSTSA EHRGRTERAPIIEHKVSWDYEKTIPVRLTIDKSNNLQECMIHFEVVQDYSSGVRGEKI TLGYINLNLSEYVEESEMGADGEEGVTRRYLMQDSKINSTLKISILMRQLDGERNYIA PPLKTAPVFGGIAGIMAGEQAEQDDVGHMPTISKSRDHGELQDMYRRALAAKWSAHHH ELSADQCIEDIFNGGNGWKNEHIGGKPKITHEDSASDEHRHRHHHHRTASGISLKSSQ SQSTITGKTVRLGHKHSQSRDLGAAQTDSPEHGSSENSSERGRTGFRKPHEVDEFDVR EDLMAWRLPNEVL LY89DRAFT_728138 MDNFGDGIAKAVLENFETWERKRKPLDRSSQKDEGLSEKTKPRE WVPLSGIVAQRNDKISCVAAATGMKCLPKDKVSKAQGIVVHDWHAEILTIRSLNRFLL EECLDLALSRKAFSEYVRFRSDEEKTETHFQPFALQDGTQLHMYCSEAPCGDASMEIT MAAQEDSTPWAHPPSLISGDDENTLHGRGYFSALGAVRRKPSRPDAPPTLSKSCSDKL SAKQSTSLLSSVTSLLISPQNCYLQSLTLPESQYSEVACTRAFSSIGRLAPLHGKDWG DGYSFRPFKILTTSSEFGYSRRQALGSREALVPSNIASLWTPRTSETIIGGTQQGRKQ FSIKGASQVSKRRMWVLAVAIANELYLSSPAILSSLKVEKYIEVKNGELLKKRKEVKE DVRSTLKGWQRNNDDESWKDTEVNV LY89DRAFT_180647 MENSHTDFLWWESAVPGSQIIQIQVCVQNTSKSDLEPKDSNPDP WTFRINTRPCGLLRACHTSRKVWMRTHHSSIPLQNDQKLFFDAECDIIYLINLTDIPF GKIDRFRICPLFSPPIVAPRSRERFSAVKKLAIPLLQYLDLFSNCDMFEFLHNFKSLD TLHFILPSQGQSQDSLFNNEGLRFESRAGAEAVLGTKIKEKAYQKWSDAHLAYLADYK KRFQVDWNLPKIEPMYGVART LY89DRAFT_755282 MADHAEDTRAFKQFGSLPMELQLRIWKFAIPAPRIIKVYAGLIE GPETTNTEATRDNTHVDTSGILSQYASPLQLSALLETCKISRDELLKTYKSSIKMDLE GKQTLYFDTDTDIILLVVCDFEVFGNHGLGSDGRFAGIKTLALPCFQAESELLDLFPS RFPSVQTLILIGFTDYEPDFTFKSKASNNEPLRWEGIEAAFGAHGETLDSCNIKANHP EWIVPEIKMLYNTGEGAIYIDEDAWW LY89DRAFT_663834 MTSMPSTEPSFCRTVVSVALFRRPETFVSHENLQDIMNHAKSNS RLTSKTISEVICFEKMMAGSTLPPLTKVDFMAHLVTANDSEHLEFSVCFEYYKKLFEA VDDKSAFSTPFSAQDENNAKKYVAAAARAKSGGTTMGFEDSSIPTPVIQAFQRDESYS PRTTVERLREEVDYIKKTFIVQGGKQELNLDSRTRDVLLYALGRSIHPSAFRLAVDYA NEVLRKHYTEFVKVSNPVTNKTKLVGAHVIGVSLVLAGLCWGILFALSKHNRAWRALG FLPVALGAALLFAAVCRLSVVLYPLGHYHLKPWSIQANNETRLSRIHPDNFPPASGDI NWPSQYNRRFLVRKWFDKDGPIQDARLRYGEVVLVGQALWFGILCGVVVLVVLLPIPP RI LY89DRAFT_728142 MASAQTDAGKPPFPPFTLETAQIKVKAAQDMWNTRDPHKVKNGY TSDSIWRNRDTFIRGTDEIVEFLTKKWERENGYRLRKELFSFTENKIAVQFWYEFYDT TGQWWRCYGLEDWTFAEDGRMRKRQMSGNDVKISEQERWYKDGVDVNTVDISEKHW LY89DRAFT_180777 MAGIKVGDSFPSGVVFSYIPYTAEAAGITSCGIPINYDASKEWA NKKVVLFSVPGAFTPGCSAKHLPGYIENLAALKSKGVDVVAVIAYNDAFVMSAWGKAN NIKGDDILFLSDVGTEFSKKLGWTMGERTARYALIIDNGKITYAEKEPGREVSVSSAE AVLAKL LY89DRAFT_680144 MVVLDWELFPEGWSFTGRDGTDPVDPLYGFARLSQLYFKAEPSY SARYTVPILWDKKKETIVSNESSEIIRMFYSEFDSLLPESLRESSKPNGGFLPKNLLK EIDEMNTWVYDQINNGVYKTGFAVTQEAYETNVRVLFSALDRIETHLSSHGPYLFGEH ITEADIRLYPTIARFDVGYHTLFMCNLKMIRHDYPRIQEWYERLYWDESEATRGAFRK STYFDAIKKGYTKASRRTIVPLGPEVEMLPLKK LY89DRAFT_680145 MSGKYAFSQTLKEVRFLFCQTGEHSGATRSFLARAYPTMKKNNP HTPIMLREAAGTQPRVYARYEFGKEKSESLSGLSDKEIEERVTGLVKSGI LY89DRAFT_680147 MPSTSTLFRAARPAFRSQQFFTSQQAQAQLFRTTRSRFQNYGSK RWQSTAAPAAEQSWFKRMWDSPIGIKTVHFWAPVMKWALVIAGIGDFYRPAEKLSLTQ NVALTCTGLIWTRWCLIIKPKNILLAAVNFFLGIVGVVQVSRIVSYNYSQKGKTATEQ LEEAKESAVDVAKGIKKDAIEVVKS LY89DRAFT_180988 MAYDQGYYQPPQRPYNGRADTRGAPRPGPPQGYNQAPPPQQQYP PQQYDQYAQDGYGYEGYDNGGYAQEYDGYYDNNMNGGGRGNGYPPQDRGYAPQQDYYD DVGRGAMPPPRGGGRGGPMQRPPTADSARSGYDQRGGGQGRGYPNGGPVGRGGRPAPL ERAATSDPGARGQGQQPRKPLKTPPLSPDTAAWDNPFPTFPGAKKKTSVSEEQQILQK MAAMEVGGPPQQPRGKGGKGSQGYNGRGVPTDDYGRPSLDSQRRAPEDYGRGSIDSQR NMPARKYPPQGPPQQGYPEQRRGAPGPNSGYGPPRQNGYGAEPGFNDRGAYPGPASPA RENFGPPSRSMTMPNEAPMPMGRAPPMPAPMEAPGQSAPYNGPVGRGMPPRPSTSSGN RQPPQRQYPNQPPMDPYANGNRGPPPNPGYSHGRGESVSDFYDSYYDVPDPRQQVTPQ SAETVLPDFDALANKPVTGRRGGSMDEHIRPPMPQPTGYQQVKPVQAHPDGRGRMEAQ AAVFEMAGDAPPKPNAYPQQNYPDDGYDNQYNQPQMDRRGPQQGYGSYEEPLPAPNTF QPPPRSASAAPEGRAGLPNGPKGGFRGLPNGPWPNGGTPRAMTMPRQSPQDGLPSHPP PVRAGLIPNTVANQAMNRPPPVRNYNNATPQTQTQAAQQAPSPQQQQMPSQQSVLAAS APAEPAAPPVTTEEIERLRTMIKANPNGDQATQMIFVKKLVEASEVLAPLIQDQRARN KAREKYVMDAHKMLKKLVAAQNTEAMFYLADCYGRGALGLETDNKEAFTLYQSAAKAG HAAAAYRTAVCCELGNDEGGGTRKDPLKAIQWYKRAAMLGDTPAMYKMGMILLKGLLG QAKNPREAVGWLKRAAERADAENPHAVHELALLYEQPQSADNSLVRDEAYSFKLFVEA ADLGYKFSQFRLGAAFEYGLFNCPIDPRQSIMWYSRAAAQEEHQSELALSGWYLTGSE GVLQQSDTEAYLWARKAAMAGLAKAEYAMGYFTEVGIGAPANLEDAKRWYWRAAAQNF PKARERLEDLKRGGAKAGPKRERISRSKVGKQNEGECSVM LY89DRAFT_663840 MPPKTSNWRTVGKMVAAGAVCCIGGPALVIWVTPSEEELFSRYN PDLQKRSLENRMKNQEDFDHFAMKLREYSKSNKPIWEAAADDEKTTRDGKIAEQVRIS EEIRARKEEIRKAGISGVPGGSL LY89DRAFT_713869 MRATLILDLDTLFTLRRNPVLWHNLHLIKAASISLFSIQSGEVD CFAWNICRNPRLVKRGIYCRTFAGAETKMLAYRNMLLKRKRDFENFSSLKELTFEILD QNVNADFCFNLIQDWVQNSKNLNTLKLRIRLKYGHYGNPATNTNPFVPNRRSDPGRNE LVQRVRHFVSADPKRETSKAQNSIWLTVIDLQFVGDELGMTFHVNHANLRMG LY89DRAFT_728149 MASVESSENIRAELNEFISDSKSPVPVSPPNQQCPLIILYGQTH LGAPLHHIQLREIEAGREAPEFRACEVFLRGTIQGHVLFRMTIHNSSLRDCTLIDCTI YGGKIETSQLTDCRTRKKALGEHDTSLTIPLVSCCKIKGGSADYTEMFNSTSSILSSL KAP LY89DRAFT_635701 MAEIGDSHKRSKSSALAKLRRGKEGKEEEDSSNEGSSTSLPIAT ASQASIAHHASRGHASKPSTGTAGLMRSSSSLQTPSRTMAAPPPPQDKTVSIEASVKK FRIFEALRSGDTASISKAIRESDGSRVSTSSATGSSTGLEDTSILHLAIQCSELPVVE YVLSDGAGTIDVNARDKEGNTALHLAAQQGRGQIVRLLLEQPGINDSIANYQGRLPLD LARTPDIFQQLQLSRSIFVDGKIRQVQALVAQGDYKALSEVLEEPRVKMVLDINGGEF ASDPTVVQAGGTLLHEAARKKNTALIQVLLLHGADPFRRDRRGKLPQDVTKDDNTRAM LKRSPAAVAAQRGIQEKAVLGNAQQQGALNSTPGDALAGKEGREMKGYLKKWTNYRKG YQLRWFVLEDGVMSYYKHQDDAGSACRGAINMKIAKLNMDPTEKTKFDIIGKSSVKYH LKANHEVEAKRWFWALNNSIQWTKDQAKEEDRQRQKSAELLRQAKAEHGAAPPRESNA ELGSETASMVDTRRNSTQTTRLHPVPSTGKLASQKVAFASGSAADDDEGTAYGSYEPS FMGDVTKVNSNVGPLPDGDGDDDDEYGDGSSDREAPASKDAFDITAQSAKLQLEMMGQ VNAALQAEQTKNPSLYISDPIALQAVQTYDSSIRNLKGLIGDLLKISKDRDAYWQYRL DREADMRRMWEDSMAQVAREQEVLEAKVGEAEEKRKLTKRALREVIEGSIAVSSRPES RGELSSKAEEFKDALDQVIVGSDGTALRRKSTAKSSLRRKSILAEVGPLSDSESEDED EFFDAVDAGEVEVAPAMPPLSAPFHDDKKKAPAEIDGFDLSPSFRGYEDGIRKRLKMD ADDRPKISLWSILKSMIGKDMTKMTLPVSFNEPTSLLQRCAEDMEYADLLDIAADRTD PTERMVYVAAFAASEYASTIGRVAKPFNPLLGETFEYVRPDKNYRFFIEQVSHHPPIG AAWAESPKWTYYGESAVKSKFYGKSFDINPLGTWFLKLRFAGGKEELYTWKKVTSSVI GIITGSPTVDNYGPMEVKNWTTGEYCILDFKPRGWKASSAYQIAGKVNDAQGQTRFSM GGRWNNKIYARLTPGYEAAIEEPKGPSHHRGSVSDPHQAFVVWEANARPSGIPFNLTP FVVTLNDINEKLEPWLAPTDTRLRPDQRAMEDGRYDFAASEKNRVEEKQRAKRREREA NGEEFVPHWFSKTKCPITGEGFWQFNGKYWKEREKALTGEAWKQVEEIF LY89DRAFT_703903 MFDFLGKQDIDTKGRSTKQYSKAMKWHSTSTCDPCFLISKIKEC FGIICMQTDDTLGLTSKALAEKEDKALEKAGFPAKLKEYLSVDKALIFNGGILTLSKE VEITFTYTQKNQADKIELIDVKTPKYKEDYRRQQAKGAYIAAMCQPEALRALSQVAQI SNPIEDDAQKLNQTLKWLDDNKARGLKIIGYMIIIGNEIRKDDEEFEIRGRNGYACID SRSLYDCIVKLSTTTEKRLMIDIIALQQAYDVRDLDKVKWIVNDDNPVDAITKGTPNK SFTTSLDTNKLTVRLKGWVDRRKKEKQMEKDILADKRSSSSA LY89DRAFT_181177 MNFKNAFAIGQDVNYSLADAVLDHNRNTNICLRAAAEVYMALAS HLPKKQASLKQQSSLVRTIQHWLTMEKFPTNEENKHKIFRLRPDAEGQDTKTLCITLS GSSLQVNAATLMVPVVGWKTVLSVDDAILSRKLTWPTSQAEKKVAAFRLGAKPSISRD FGAILNKPQNVF LY89DRAFT_728152 MYTIASTSIVNSLTTFVACCPSSYTFYGTQYETLDPIFQCASSV SIGQTLKFDIVTDIDVFVTNTTVNKDIYFSVYAVPINGYVFADATTSTSQSKTSTAGA IVPQTPTIPQTSTPLPATTSISTLNVNSSTSGLSTGAKIGICVGTSLAVLGFCGLIVA FFLIRRSKNSIRQHERGSLMETRALTPELPDSETIRRKPVASQRASATTTATQTTSPR APDTIWEDPDASNEGEQVQQEGVHEMGATLQVPFSDSNA LY89DRAFT_703904 MKRVSQLPFSEGSKALRNYPYLRRPSAFSQRCLHSSPPNPATVA PITASGPVPAAPVPSAEHVDSRVARRRKQAELLKKGQDLRAVAAGTGGGTAKQKRFWK HVHVKPVDGKLQVHLDTRPLRRPTKEILTVPSHKPHLASAIALEWDLLVSAQQALKHH LIPLTSLVSRALDIHDEDMSDSGEIRANITKTVMRYLDTDSLLCWAEEASPDPPGYEV HESRKKESLRSMQKRTAGEIIGFLTERVWPGVEIVPVLDEGSIMPRSQPQMTRDVIQG WVSGLPAFELAGLERGVLAGKGLLLSVRLLVEWSPELRHLKGDMGGDVFGVEEAARAA SLEVDWQTRMWGAVEDTHDVEREDVRRQLGSVVLLVSGEKA LY89DRAFT_680159 MAAKLVKKEASWNWPIVIISVEVFRDLTEDMAKLLIVISGLEGS GGLAAFFFGRYGGKGLELQGQIS LY89DRAFT_181397 MSLGSSRTCVEKAYIARSIFADYARSSLHRNDADSIRLFTFPSV QRSLMSANQSSVVTIRARRTVRFTEPQPPLSTPRSILALYTGTRSSTFKTLVSDLQEF VSRQKHSCVSQKICQCPTTCRAPNNISAVLQRVQSLSIADWTRFRPQIPYYAYHNHHI TDLTGERRPRVVQEPDS LY89DRAFT_663846 MDAFAAGFAWALVAMVSPLRRSGADAQQCVNSTDEEKAEQGLPS PAMNANVKRRNIANRVREDDQDGDESPATVTAGTATPTSLMEDAPESKESFVNRSWHR ISSGFGSNSSLKEPRSSEESMAQIMGHDRFKHEKLEDFPDGFPKLAAFVSSDVDFGMV RNFKTLHNRLLLHDQVELTGLEKRLRELDKEDEADPKKSNYRLRRVKHKEGYGNTEQR DLLQEVRRKLNEYDTTVLNYARMQNLGQVTKRNHLSYWNFIWTTKPLFDGYWDYIYYA DDFVNLSGNRPNEFHEFIQSHMVNNRWSPIRWLLRKSDVDKPTTNEAGTFYSEGKLEA ASRGLMVFVVAVMLLIPSYLLFLVPMSHPKMAGVVAVFLLLFTFLISAVSKSVIEALI GIATYAAILITFLGNLSASSTCGCATTAV LY89DRAFT_777162 MSMQSDPIEPSSMAREETQPLTASHILVKSFFAIFYLFLLRRSS PIFSSSCQIQDAESTFEIFTWSRRHSEVKIPKSTPIHITGHLDDNFSE LY89DRAFT_680166 MCSRFTRVEYRSHAQSQILVSCAMKFMIAKSARAKGGTYRRKCL RYVEGTSRSTSTAIGAR LY89DRAFT_713875 MAQKRRDVGWIHPNQDDTYSDNHSAEGADKSQQMNTVTHRGPRL RHSPADGGNLPTETISSEDGKVHGTPRLPGLAITQSGSGSFQSSSPQRIRSESQRAKP DPASRLDYEKVHDYPLGTPRLAAFMNSSDHNAVYRRFGRLSARLLVQLEVELTGLETK LDELDRKDAADKVMKKRLRGYEIEGDDGAQTELLKEIQEKLSQYFEVLLYESQVRALE PAPPRHHLGLFDYMRNRKPLAPDRDDFILHVEDFVSVAKKSESGTRITDVLEIFMASC PRIAKFLHLKRILQTKREREKIEDDTVDQYSEFRFGVLNKVVAVSAAVCTLLIPVMLL FLVDMSKQAMAWLVFVFVLAFCVMVSVVTGARAQDIFIATAAYAAVLVTFLGNLSHG LY89DRAFT_181611 MLVINSVAVLACLWATRPVFSEQWNGANAQQPLLPTSSSPARNY SVGFSLSSSYGAAAVIIDDVLDGKKTMTWVVHGDTAYQKTMARLSLEESRYLAPPYDD MQDYFNNVPRVLARRALKANMQVLFGYAITRNQTWCRADLVRECCGTTQP LY89DRAFT_181632 MEIPVLGILLCFVLDKSGGKRQGPKHISLAIIRTSLRLVNFSFS KRVLAFGSCIFDVVLAIQLTIIFSDLDYLEITSTTSKYLAVEYRVTNTDLQVIFEICG RPRNVLRCQSRAYMLEMFTDSENTLHFRQYLNLHQVT LY89DRAFT_181603 MERSGASRRIPEIGKPNYPSSSADVRLLLQKCCRTWTLELFSCR WMRTVVTLLVKLCLQVLRVGAPFANPQWDWFKPDVLMQSILDRKTPAGAGFKWQVFRA FVSTVWDGSLNLLVGVFCSGFYHSVAI LY89DRAFT_777167 MQPDVGVRQRHPLGQPVQVAEELDTSGRSETTLTSESNTLGASE PASKSWSILGAGRQLLSRLTHPFHHHDSEPPSMIWQQEYEKVKHRKIEDSPPYMPRLA ALQDSNDSFCIFRRFGPAAARLLLNKEIELDDISKKFDALDHADDSDPNKRYRLKGIE HHESWDDEQQKLLKVLEEKLNVYYDLLLKYSDVRDLKPAGFRNHRSVYNYVVRNRPLY EKEAAFLHDVDDFVSMKRSSSNETHSRVEQWLESYIARRPRTWLHNFLKNSPEGRKSS NQLVHGFSKNRLAILAKVVVACLAMCTLFIPILLMFLADLTRTKMACVLAIFVFIFMI LMSVLVDLTPHDFFIVVAAYSAVLVALLSNLTQGSASSASK LY89DRAFT_728163 MFKSRLSRCFLLFASAHAYIDRRNFNSTLFSNGTLTVVDTGIEY KGESWTAYEDLTRIDGQLYFVSASGLTRNLTRHLEQSYDPIPGTGSVQFFLGLNQSEV NLALPDLLADELLKDGEPVEAHVRDAVPQVIFTYQSFVGNVQANDTMFIDAYGSTNNV APLHGMTIQSQSYWNYSYDGLVGGWQPIVRKLWRLSPDSDNWLEVIAFGDADSVEPFI VKTWFRSTLVIDGKIQQQQFSREYPASLPARPSPQSHDFYSALLRSSDYWDNYLEDMS ALTLPDQSWADFTKHTFAVELLVRYGGKYPKYGFYDRNYAGSEYDGFQDIFTSSVMAN LLWGRFDQAQAVIENYFDWFVSDTGDINMRGPEIPQFGLSLSLLAVYSRYTGDAALLS KYEAKILAWVSILETLHDESLTLSKMDPNYGLIAGWSESDSCLSSNYTFYIAPYWNNN INAARGLKDLSTLSFFNSYTAEWSTRASTMINQTISTLLSVVDYNMTPPYVPVLPITN GTVRECMQTQSECQQMWSHRVYSEMLQPGILPANLANMTINSMRANGITSLGIVANVG LISPDSRDILGFISYGYAQALLLLDRSDEFIFFMYAHRYHVHSRGQWIATEVAGTAGG VGDENPFCVPAAMTAPILMRLALVMEDPDEEILYLGRGVPTAWLETGKEVSTQQAPTR WGRVDFSIQMSEKLEAKIRFQGAPPLEVRVKMRLPRNQTLSFVTVNDNATLPYAEELV LDTRVFAEPIDIQGIFQ LY89DRAFT_728164 MTRTSSSRSAVTRAASVSASKSKTKKTQEYNDHQADLTARYRAA KLLKKDPKFQLRSDKKKATKLVKFKDMAEKKAQAKRARLRATKAAKVEDEDEEETEED DEEEVEDGEEAEEDEEDEEQENDPPLDYYTSFK LY89DRAFT_663853 MQLSFQAAVEINHWQRHRFTNSGSNQLLLLITSYHSLLVLWLQV VEAKNRTSVPTIRFRGSLRRSEIHAHLATNLPDDGEDAVRPITEYLENTNRVIIYQTT RHHLSESGPTKPASFTVSTYTISIRLILATNQLQHPDRGGKPRTRNTLPGSDPNRGRA RTPSPSPPPPPPPIVRGRTGRDKERENRNQRRHRALEKLRVTDEYKAADDKKKKELEE LEKSCADLDHALAKGNRTRERNAAAAAAAAGEENDDEEDDGEDDEE LY89DRAFT_573950 MSDSKLLIYLVRRDLRVSDNPILHSLTTNKDHGFTHLLPLYVFN AQQLETSGFMKEGAGVKSPYREARSQVGGFWRCGPHRAKFLAECVWDLKTGLEKVDSG LCVRVGLADKVINEFLTESDLKVGAVWMVAEEGVEENREERAVRSACGEADVEFKLFN DEKYLIDDRDLPLDNIEELSDIFTTYRKTVEPLRNHPRAVLPTPEKGSLPKYPSESSI PNQYSPFTIPTTLDGIKNALLKPLNAQDLIKDPPTYPEGAKSAHPFEGGESHALARLN HLITSGSITTYHITRNGLFGEDFSTKLSAYLALGCITSRQIHSSLLAFEDGTNPEYAS IEGYGQGEPEKGGTYSIRFELLWRDYMRLCTRKFGPKLFNLSGFKAEDDQRWRTPSQP RSASSQEIKEQIERFLNGTTGMGLIDASLRELYHTGYTSNRARQNVASFLAKHLKLDW RIGAEWYESMLVDYDLSSNWGNWQYLAGVGNDPRGEARIFNPVKQSFDYDAQGEYVKM WVEETRALSEPSEIFQPWTVAEERRGELGLEGLVGVERPLLRIDFTVNRRGRHPGRQR GERGQGDRNDRGGRGGANEGPRGGGGFGDGRGGRGGEYRGGYGGRGYGSSRGYFRGFY GGRGGRDERRMGMMDREIRTMEYAQAQYQ LY89DRAFT_181803 MALGYASFHWSRSIHIRCAPAQNATSLRYHSLKETPPLYRRRSD RSTSHADKSFSNQIHQLHPNWFRQRMLLYNVATITNHFGTDSPKDVFSASRTWRLVPY YLWHMVLPAGFSLPEPHDCRYVAAFCASKAYVLYISAKVRYSRSCLTMPAV LY89DRAFT_181763 MQGPLIPFSFPRIDPHDSHLTSPLPNSPLLPLPNYPTKPKPPKM DTQHPNLSSIQTERIYLEPLSLTHLSDFHELWTSEEAVKWSTRLVMKSVEESKEFMKK ILPNEENPDIDKFAILLKDVEETRKLGVAVKNEKGEPKCIGITGTNRPSPQGLETGYC LNASYWNHGFATEAFSLFLGYYWTLPQRKDVKWLVAKTDPENKASERVLQKCGGKRGD GVVKLKPAWKNEGWGEVEREVVCWRFDRPGEESLFC LY89DRAFT_777170 MKLITTLLPLAAFTSAFVIPDEEVASQLVLESQKESQTFLDRLQ GGVEDVWSDVEESFKDAVAFGGNAIDNALNAASEAGEQIKNTFECHHSMTKFDTQGWL DSAISTVEDVDIPWTEDDGHHKPPHHGRPHKPHRGHPPHKHGHANKTVYQLISESKYT TKLAKLINEYPDLVDALNGTAANYTVFAPTDAAFEKIPKHGKKPSKELIKKVLAYHVS PEYYPAGRVLVTHTIPTILGEDALGGEPQRLRVGFGIPKGLNINFYSKIIAINIFGSN GVIHGIDSLLLPPPPATTIISLLPGEFSTLQLALVKTGLFDALKEAPHTGGTFFAPSN FAFKKLGPKINAFLFSKYGEKYLKALLKYHVVANQTLYSDAFYKAKNVEGEGMDFEGE EIPKGRFHVDLPTLLEDKSLAIDVARYGGWITIKINGFSGVAVQDGIARDGVIHILSS ILIPPKTPGGASEMVEEEMDLEEFKERLEVFYKEL LY89DRAFT_181885 MSLAKHVDPDEIISGLQKMQMNEGGHNHAHTKGGTSHITPYSTR YASKQEISKFIIPQEGAPADAVHQMLKDELDLDGRPNLNLASFVGTYMETHAEQLMFE NISKNMSDADEYPAMMQMHARCVSIISHLWGVQKGEKAIGSATTGSSEAIHLGGLAMK RRWQEKRTAAGKDTSKPNIIMGSNAQVALEKFARYFEVEARILPVTQKSNYRLDPELV KQNIDENTIGIFVILGSTYTGHYEPVEEISQILDAYEAETGVDIPIHVDAASGGFVAP FTHAKAGGPKWNFELPRVKSINTSGHKFGLVYAGVGWIIWRDETLLPKHLVFELHYLG GTEESYTLNFSRPGAQVIAQYYNLIHLGFSGYRQIMENCMSNARLLSKSLEATGWYTC ISDIHRKKGVFTFPGVSAAVFSKENETSADYNAGLPVVAFRFSDEFKQEFPHIKQVDV SNLMRAKQYIIPNYPLPPDEESTEILRVVVRESMSFDLLDRLISDLCATTQGLIDNDK GDLSILGGARDNSAEKKHSSTGSQPHSKGQGHGGKRPMTEGVHRAVC LY89DRAFT_713883 MEEQPPDEEYPSMQKPPPAMKEQPASMEIQPPSSLRMLIHLASL KLLLDRVTAKLLGRLAWCLQLHISNFTTLTLMADNPVYILYMIAYQLGPLLKVEWLSE ANRKNSKEFQKAFSEAIDFIKTILFWFAITLILHRHSSPLAMVPLNQLIDRTFENDTF FWGHIAIFKLLPMTEFGTDFVLQSFFLVQRYFHIASRATTPLARLLFFEFLDWIWPKR FDICRLIGRILYKNFERYVLGQLLMYVLNNIWILIRGKVFYKMQYWGTPSLWYTMLTT FQVAKGSLRSSRYRTRRQPEVTILEPFECSPIREKDTIRLLLIHPRHPKGPIICSLFQ TPLSSVPRYEAISYRWGDKRADKSISVNGRIVMVTPNALEVLKNRSSFWQPKLVWLDS VCINQDDQIEKALQIELMEDIYRKAYIVSAALLVDPNALDFKEEVRKVLGDWVGDRWT NRATSPGVIEYQNEMIKHHMVSGAADLLDDLRISNVRNKSNHFAWVKIYGPQRFSWRV KAFRQLLTNPWFERMWVVQEVALPPSLRILYGDLEIEWTHLVDAITIIGENPMLSGPL LEQGDSVGARVAPPAACTTIGRMDRIRQSVQKNEDRSLGELLVTCRHFIAQEPKDKLF AIRGMCSRLPKGLLKPTESTNKTWEEVYINAAECLVSSGDAAKMLAASGSIPENDLQS TTSLPSWVPNWAIIQEGSPLSFCNEKMNYKAGGPGPVQARVERKSLFVHGILFDDIME LGPIWNVSPFLAGEWNASDLILEKPALFKESYDLVIWSPWTKEPYSGVPNQSLREAFW RTMIGNRSLKENPAPTTLVREFEMYERFWADIAREPERVRGNVVMDRREQEEFVQMSA YSHPLIRCWGGRRVCVTRKGFIGVVPPVSRVGDQVVVFGGMQTPTVVRGRGEGGWEVV GECYVHGVMEGQVWGMGMGLEGREFELV LY89DRAFT_663858 MGEARDGDQNCFPGASSFYSARLLWKVLSQTKAIVSVLKAEKNG VKRKISVRKTRTREWVEKGGYTDPEKRRRNVKTSCYLCKFVSIPSFFHLVFSNEKRLR PLNASNSTLDLPYFSPANYPPYQESITYLPITRSQPPALPRRSTSLPYYKILKQLKRL QIVTGPHPPLLDFRLRDMEEGLIWRSVAENKARDEIWKLALQISHEENCVRELLLAIG SSRGRERHCLHALRELRALLAQGRRVWEISFLSIYLLAYLNTLLGDRRAVRCWMRTAY RVLKGALRVFGADEEGMRLPEMMIDVVGAFRRLELR LY89DRAFT_713885 MPSHKFHPSEYAKFDQTHTWIETSLVHQSSAQLSCLATNEASHL DAIDVAPNEGKLLYLLTKLAKAKRVLEIGTLGGYSALWFAKAVAPLGGKVVSLELSPK HAEVARKNFEKAGFGEVVEIRVGKAVETLEKMGKEGEGEFDVVFIDADKENNVRYLEW AVKLGKVGTLVVVDNVVRQGKVLADGDAASEGVRRAFEWMGGNKRLEATAIQTVGPKG WDGFAVAVVVEGCLGSDEWAKKGKKLEAFEDSMKYFKAWQMVKDIV LY89DRAFT_680180 MITRLPTRIRLVSLASRPVSRSAIRCTHHIKSRPTAPRQLLLKS QHTRFYTPPPGSPPLESPQNPANLQSILPENHPPPPPSPKRSLKPYIYAATFFLLGTL LGQYTSLVLAPPPLPLPSTAEDNLMVSMIQNRASRLPIVQSLSNDPAWTHHDAYTSLP EHERAKRLTTGPLAGARGIGGYQRIFFNKESGETVTVIWMGGALAGWPGVTHGGVIAT VMDESLGRCAIRRFKEKTGVTANLELDYLKPVVTNSFYVVRATPRVEGEAERKCWVTA RLETLEGRVCVEAKALFVVPKRYRTREIGGQF LY89DRAFT_573562 MNAGASGDAQSNPTSSTSTSTTEHDFRFPRRPTAAQKSGEASSS TVTLQPGNTTTSTSTNTREISASALGKLDFSVANSARKELLRETIFPAWKDDAAGEEL DSPDEMQKKDPLATQIWRLYSKTKKQLPNQERMENLTWRMMAMSLRKRRQEEAARLLK QNSMPAPSGIAQLRKSSDQTPSATTESQDPMNLDDFIFSDNISTPAGLGTSPSPELAK KESEKSSNAVASAIPIKMRKESSQFAVPQSVPVPHHNPRSNEEFNYVQRHVRKTSIDE RRPRKRPADFSPQVPAVNSIMIPNEPDHEADLNEYSLDQPHPPEMHHHNHHGMPFHID TFNMEHDPIITSAGPFQQNFSFSPTHSPLVQHGPFSNLYNSASMGSSSLNSNDYYSPP GSAYPSAVSTPQPIPENEQMYFQHGMDMRHQRPHTFSHGPSSLSNSMAPQYMYNANGG SMFTAVTSAGPSNSYTSPGSFMNQHIDPSQVFQSDHPARSPGVHVGHENVFTFGGDSD NEDEEGAAFADRTLMMQHDFAQSPMEDPNMEMGPGGLQWDASLPGQFNTQAARYPGGP PRKQVTIGGTADMGSSLEWDGSGGSLGRAHNSTQSVSDNRSRTDRRQKIPRTASTPNA ALMGQRNGMFDHMDPSNPNSPPDASNMSGFSSVAPSRPSSPGGSKHGSATNLAGAAAQ GENGVPTTCTNCFTQTTPLWRRNPEGHPLCNACGLFLKLHGVVRPLSLKTDVIKKRNR GSGASLPVGGSGGAATRASKKMGAQPPSSGPNTRKNSIVTPTTTTAPPTQATTPTSVR ARSVNESQSPPSVSGSGGGGGSTASTPTSYHGSAGSSVGTTGISGGKGVIPIAAAPPK ATPGPGAASSAPRPNVAVAPKRQRRHSKSVSAIESMDVDSPENSTGSNEAAKAMGMGM MGSGGSMTNMGLANGFGMTQRPMGPGGMMPGMGGMGGPPPGMVMGQNGVGGAGTGPQE WEWLTMSL LY89DRAFT_182220 MVRKDGSVSMSMNMSTPVLIEPTTSSEATVKTSTVISASIPTST STMEISTQTFLSTSSTTPIGTTIPPVSTSTIHLTTTTFPSTTSPKSTLLLPTPSILTT PATPSPSPPANITIVHPPPTNHSAAILGISIGSSVAVLLLASILGIWYAHICSRTKAR REEEHEIATNSQGTFVGGYNLAQLKAQEQAGEPEPKPVGVRRSNTREDGRRNGVVLKV VNPEPAPASVPEEIEPYHQTVEGGERSRARFEAFKKSRGIPTHAIDAATLYHHSEFLQ SATQPQPQPEPGPETNVEILAPGVRRARSKIDQWKTGAVGPVVSIPEVKITAATPVLE NAEFRRGLGRVRGSGSGRGRGRGREPPPVVKGPATFEDMGLKQYRKGLGIDLTPRSSV ADMRPSIEVKSGEEQK LY89DRAFT_777177 MMEKKSGPFLQSQSACHWEEPIQMNLNPAVQVRERGPGYQHEAR IRQRNNLAPGGSTVHDYKSSRDHSRHNPRRFERDPSSRPRDEVSHPLPPPPKRPRADK FHPGGRSGLPASLFSAPTGHFHGQRDPRGGQKNREPTPPRRQPSSNGPSECEEIEQAR KPAQPPNKRPSPDQQNDVDQEQKHTDVRVQAEASHHNVRSTGVSPSKDAIRQLVQLKP ALEVCIKIIEHALEGIKSLQQSSEWGLSEQPNTSHQTANEVLGPVHKTLEPDDPGFRS TGVSSDDGLLSPQVSIKTPAPTPGLYEVFYDSIINELSRNDNKQ LY89DRAFT_728175 MTTRIPTTNAPRLSTAPSSIKPRQLSHLHAQLAQLSANLADLEN LLRMTSVQAESIRGLGAFHGGLFMAASKVLGEETIAGSQGQQRGSGGSET LY89DRAFT_182388 MILNFLNLPISRVSHVRAPSNHLYLQPILTIHTVDHEKHQPPYR PKTLHTFSCIKIHNPYKNCTPASSPLTRSLRRPKSGIRSGPAQDPLGWTSFPSPSARI YTCPFPKLSLLESFFSHDIFFIRHNLYINR LY89DRAFT_574250 MGKVHGSLARAGKVKSQTPKVEPQEKKKTPKGRAKKRITYTRRF VNVTLTGGKRKMNPNPTT LY89DRAFT_635727 MRFSFLTTLLPLATASSLPTTKADQQPQHIFSNPSSLHESTYRI PTSYESAVLARRILALTPLGTLSTVFPSSSSSNPHESENRPSGLGGLPIGLTDYISDC EGTGDPTILAINIATSFKNAAAGSNISVSLQWVPPYPPKSRIGSFTLPSLFSWFEKAD EDKKGALPFSAANLPRFSLLGYLEKIDEGVIHNLELAKCFVSTHKDAKFWLPGNPIHT SEWVRLVVQDVYWIGGFGDRAYIGWIPVEEWRNVTTKEWESVRLPGEKKGWKEWSADA QWEL LY89DRAFT_550042 TIDLSLPEASDDMFEDVDGEEYVDLTYEGIAHVNGVRHFVCEGF KIRQIPEPFIVKRSLYDLYQCIEAKSIILDPEYQRDVVWDEVRAALLITSILMGYFIP PIIFNVKKTMVKVEGRDEARYTRICVDGKQRLTSIWKFIKGQIGFFDNSQPQRKWYYC HQIIDGRIQVSNRNILPNAVKEFFKKQFFCCYEYEELDLDTEETMFQLVQRGIALTPA EKMRALSTEWAMLTKQFEEDYALVVNLSKQNRASGFRLVLTIFTMTIEVLAGRKKRIP NTNTAAPTLQASPQALGRLLEDKIPIDPDLKQKLKAIFDRYEGLVKMSSTRVTTTRWK VKSNSVFDPAPEYLRTANVNWVRTFSPLELIVTAILVHMHMDHRSDEELLEDVKTMRK YLRTHHKDLRVNAQCWASSWNFIT LY89DRAFT_680188 MGSSARKKKEKKKDFQKTKLKVGKTKAKPDNFTDTSFKSKSITV NQQSLTTNAPSSSSQFSHYLSLASSSKSDTQRRDALSYLTTQLASKPVNTPMPLPTAI ILPKLLPLILDGSASVRTQLLKFLRLLPDADVADRAESALLYIRAGMTHLAAEIRSDA LAVLEWLLEVADDDVVACPGGWVKTLKSFMSMMGWAVSNTTSKWTAASKASFGKSGKS FPKQLLVLAQFLKAGLVEVQDDFSVKAVRTSWPMANSQNFMIPTSSNAFAHLNLFGSS RDEEGEMYIDREDRQRVFEKNFLAAVNTGVEIAKKEGGEAGRAATVLAKVLKEGMADY SAVDDTQ LY89DRAFT_777183 MGSSPSDIVSDTLQRLSAKAGVLATLAIDRKSSSLLSYKGTLNL LFSSTSTLNVSAAAARTTGTSASVTATSPPPTAAAADSGTGSVTDEEKINDFVQMIWR YVNGTEQMIQGMDEEDDLKLLRLRTKKHELVIVPDSKFIFVVVHDVKSS LY89DRAFT_635734 MPPFSFLKSSRRESQQIDRNDSDVNLTRDAPEKVQRRQSKREYF RSLLRISSESRTNRRRSGQLPNSNSLQSPSKTPEQPTSPMNKPLPPPPPPGSETEPPP VKAPVKMERIMSPIALNDLHKLFSGAPQFFARSEGHHTGAPHPSVVFPWNTDLEIRDL SDHIQISDEAWGCVTAWPHITVQVSRNAEATKEHHEKCRAHFLPRCRERPNMLSMQGI ERGTMGYAAALELGVADALEIPNEHPDGGPEVISEHRRKFLNGKDGLRPITDSTLIDR LLAVSEVNHEDPLKHQRSTVQLYTELFTQILFPPSRVTDSDDPYSLQVQIEALIEVLA APLVWVDFTLVEWRIRLGQILWGTSADPGGDDEIAINNEVIHEPGTQKYWLLLQILLS CELLVRLDAISVNIDHGLELAKLSEMQRFDKSATTSVRWSLLLARAWLENIRLEKPDS EAVPEAKPAGWLATLTGTAHSEVTTRDGLAGLRFHGRHQARQLSGLLHFANKMAWPGL DDLTAKVSSNGIKIADSVHGTPIGTPLSMSTNRSSSYFSSRRLGVRRGLSMHKNISAI IHPAGWLSNSYISGLILPGEGLSHFLISTLLEHDTSAVSRLGEEANLYGGFIYSEKSF WSTACIVGRVLAARKGATESMGWVSTDVVPRNVSEGWVDIDIDTELHDDPDKKGTKPR LWQKTAIERDGNVIGGADISSVLPGDFVLPSDESIQQPLIVTLESLDLFAMVDSVHDT PTEEQLPTPFSEASDMPAIRTYSAAMRFMVSTTDEEKKEVNISLTHDVHFVTAYPCVS SPHTSILKSPTSPSFQAAEQPTPDALPKGHPLHKAFTYTKIPLSALLSTSPTTPFSSL LSSPPTTPSSTSVFHSSTHTTSSSIPKVLVIDCTDSTMDLSSFPQRPMPSPHAKRKAF GSDLEMLARAVCAERGWNALVLRRGRGCLACAVREAGALGWRVVVCVA LY89DRAFT_663868 MLLDHRILRLLPFSACLITLLLLGITLYGPDVHTIHLASLFFVP SWLIPSPGENEPAPLDPLPASDPHPIIALLRNADRQFEDFLSKETFDLRAAAERYREK RGRHPPPGFDRWWEYARANNATIVEEFWDQIYHDLTPLWALDQREMLNDVRGHNRVFR VRNGKVDSIEGDHFWMPIWADLINEVAEWLPDMDLAMNTMDEPRLLVPWEDMKGYVEV EGRGRRLVDVKEVKNGVSGFTEAEPGFVPEEYPWNGSQPIWPRAASVCPPDSEARKAP LQTDFSQPPILSTNYTLPHTTAGYVSNYTLSTSICHQPDIQGLHGFFIEGISASTGPK LFPLFGSSKILQNSEILLPAAMYYKGDSRFTIEAPTIPWAQKTSSLVWRGLASGGRNK EDNWKGFHRHRLVSMLNGTQAILMPNSSNFIDIESLPLEDFHLESWEKSSLPKNEAMG KWLNSFVDVGFNDLACFPREAQPPDGLTCFYNDYLFTPSSHVNLEEQHQHKYLVDVDG NSFSGRYRDFLRSGSLPIKATLFREWHDSRLVAWKHFVPMDNRFLDIYGIMEFFLGYE DPVSTPQKEDEKYETFANPHAPPPPPPQEPTLPPNTAESRRHLLNTRAPHAEHLNATY AHNHDQLAKKIALEGRDWAARVLRVEDMRVYTYRLLLEYARVMDPEREVLGWVEDLGG RW LY89DRAFT_680191 MHETSQNKLVQLIPKLGIEYYYDDGTPLYYTCDGRAGSQFKAKK VADEFADYVEWFYDENPDAPDRTVKDFVDEFVEKHPLISASERKWAPQATREVELWIG TSIEEASAKHLSYFMTERNLYMKGGYDKIVEWTAKPLLKDPNMIRKGEVVTDIQWGHY DNSTVVTTQSGHQYSGDAVIVTVPLGCLRRDMISFSPSLPTSIQSGISAFSYGALGKV FIEFSEVFWPKDNDQFIYYPTPIPAGTPIDESSILSYATVTSNLWIMSGSKELCIQIA EPLTQRIEAMAQTPTGKEEVYAFFEPLFKLMRTEPYKDLPSYSSLETTSWTSDPLAGF GSYSVEKKGDEPGLLVQALDEHRNLRLQFAGEHCIETGNGCVHGAFETGEIAARNLLG VFGMTWDGLDTTLRSASP LY89DRAFT_182589 MSSTEQRMEDEEWLPGTSRLIEEEAQAASSHLQRQGDIVLYPQP TTSPNDPLNWSLPRKYWHAFLLCFITGLTAATSNDAGSAQDGMNADYGITYNAMNTGA GVLFIGIGYFTLLISPAAWLYGRRITYLVCLTLGVIGAIWMARVTTTEDSIWNQLFVG ASEACAEANVQLSLSEIFFQHQRGSVIGIYVLSTSIGTFLGPLVAGYIADRLSWRWIG WMSVIISCITIAVLYFTLEETLFDRSAYDGPLIDGVQETKGDNASDNEKKVSNKLNHS SSDPNPEAQNEKKKTYWQRIQLITLAPNVVGTGFKQYMARLLHTCRVFTFPAVIYSGI QWGAQDAWLTFYLTLEEDNWYDAPWYYGDVGVGLMNIPTLIGAIIGCFWGGYLSDRFV YWMAERRNGIREAEDRLWMMYPCAIISPAGMLLFGIGTAYGWSWPPCYVGLGLIGFGW GCAGDLSMAYLMDAYPEMVLEGMVGVSVINNSLAMIFTFTASDWLAASGTRNTFIAIA VLDFVFVMLTVPMMVWGKWCRRWTLGRYREFVRIRDTL LY89DRAFT_182616 MFKRSRTQRSYVNGDDEISVVKVQQPEFTRPARADTISSARSSC SDVDPSDYEVHESCVIRNFPKHKSSHLRFLAWVAYTRKYTDESITDDERRTCPLLWCR SQFKDQETMLQHVYNCPYLSKGLYWCFHCQKPERVGNFKCRRCQGAPSRTDRISSVAK KIFSKLGAKPHRSDHSDIFKHGKDLSKFSEHTEFRESSLHISQSNFDGPWDPRQAQEL PSDSVVREMPGDLSAASYELADTYISEMVGTECPIELGVGSENWADNLYIDEWESSAP KVQDDSPKPRLSVDTSCSPVSLLSTHAKLAHNSTWDDTPLSATIISPMSATGAYDYSA LEISPTESDTSGNTFSTLDSGYSSATSYTAPSAWDFSMTGLESFGSMKDRKGKKREIG QISGDWANGAALSGPPIFPSIPSISMSQDVTVSRSNSSSTTHSASRCPISERPKPVSP HWRNASDLVQSFSEVLDAHIEHTKAALKELPSTSITQELLAMSRTSMVSLGLDVLQGI LEGRNPTAIIQVFAFMHIAFALAIAVDQDEAKVETQAWFQDSLTWVQELPSERQQQVY LQIARAVWQPLDASSMSSLSARDTAQEKQRLLFESCKHFLDVFESLGSCDDSEPSSDF DFEQASFETKAKTQVIDELIQIVGIEAFIEDVVTVERRLTQGQITSVRGLELDLIFAG KLASQSDATYSRFVAHVTSLCDVLYAEGSLHPRTAYHIRDIAEIKRLLPEENYNDDYG AQTHDLQEQDLCDEDLLLSLDWERGQGALDVSTTALTRDLDEILSQASTSHHHGSFNV PPRPASLPAMNQQHLSPFAIPTSHHRSSSSASRTNCPPPTPHPTPFSTTTSPFAPPPT PNPAPSPSALRCHCGYIPTGEEKWKASNLRRHKRTQHPSEEKRKVYKCKVKGCKSTFT RSDNLRSHAREKHGVDCGRRRAAGLCVFVLFWDGGRTCIAGVFGREL LY89DRAFT_663872 MTLAVNHQFTLIAPDRPGTGLSTFQPNRKIPDWPTDVLSLVSHL SIPTFHVIAGSGGSPYALACTKTLPASRLLSTTIISGIYPLSLGTTGMLLRIKAVLYA MTYLPTFLLQRLLEWEFPTTETPEEFEAKFMSVMEKRSVRDRQCLDDEAFRRCMVGSM REAFRGGSLGPAYEAGLFGEWGFGVEEVVGKKVVLWHGRGDVNVPVGMAERAAEKMEC RLRIVEGETHLSLPYYHIEEIIREVLGKENGDGRSFGV LY89DRAFT_182686 MESISSQTLTTDTHQFHHFQKLPEHIREKIWTHVAYFPRVVSVL ERHYLKSTLDNGSDETEMFRLSRNPLMMTACSESRRVALSIYSRIDKEVLRWPSSPTK PTYVNPDVDIIYRGRTSCRKGDAFRMRCQEWAVDQLPIAFTRTLAVDLTALTRPRELS HMPSRAIRKLSKAARSEEEGALMISTITEALRKQTYIDIGLCCKKGLQEIVVVVGNDE DKPDFNLIPLEHSNLHGSMRGQMALAAIDTFSRGLAEHWTAKEIKQRIPDFRAREC LY89DRAFT_680196 MTSKASVLGFDLLRLDAVQGLRANTRAKQSRCEHLATQEIQPQS HISDSGSYHSHTTSEDPNFKQMPGRPDKSEWWNCCQCCAMVNPRINGDLCPVCAHCKC DWYCTNL LY89DRAFT_713899 MPPKKHLAVSAASNVAKKRKSPPQSSSDGYRPATKRGVKRVKLS DARSIMTQTADSALKNGELDLQSFLKAREFEIKALQNGMQKAKSSLTTRAFQSVPRDM RRRTASHNVKRVPKRLQNKAAREMREDNTPTVRASKREPKNSRGRLRAETAKKLGILA EKKRALKARDPTKAAGVQTRAARPKLRKDMLNDPPKPKSKFRKRQIHKTWLPTHMWHA KRATMTGPKDPLWRFAIPLTPTQKSYRPTHRASVARGAVGWDMSYMSTIGLEGPSLSL EKLLRSVGVVEPGLWDEKATKWRLGKRSWSGWLSREVKDQSILIGPSTILWCPQESRD PCEDIEKEPKKPAMRRVFIRIHPSIFLETWTEVLRLSKLQRPVVHVEDLRFEIGSIEI TGPGSTEALLGILHPYHDSDDNQDIHGQTFRTLAGVTNPGSLPLNSILSFPIMDPRLR YPPRPIKLPSVNDEHASFSLLEQLSTWPIDASTGSSALFDRDARFKATRLPSQKSINR RKALAPPGTYPSKVVNDSPIPTMLLTSRASSLAAQGSWTLLVPWKCVLPIWYGLMHYP LSTGGNPRFGGLEEAQQVCFEQGVPWFPSDYPATQAGFAWEAEQRLKRHKDWAKRPKG KRIEWTSLDLGAGRKGEIGRGWACDFEKIIGIEPLPDNDLSNITTTTQDTSKVSEVKT QSKAIEVPIKHMSRKDFTSLISSRDAEPQSTNSVATIRITFLSRGVATPCARIYRLPS SSPSSSDLDLSPPDTQPATTREAWLSLLPQTTNSKPLPKTKGQKLGRIPLDAPLPQRV RLLAQSLLQNPPLQYPADKNGDDHLLVPNEEDLIGFVTTGEFNLAEGKGVAVGSIVRQ VKPTLILARPLDFKCCDIKTKSMMHAIVTPMDFSDMIGKEAFMDGLSGAASAFAVVSL AAQVAGGVQKLCNFWETVQDGPRNVSCIVKDLEVVSNVLDDIRQEMLSQNEMPYSRSV AATHGALESCQGRVAALDALIDGFQPGFSTHKRNFKKWAALKASWKGDRLRKFQETLK DVKTTLLLARQNSIARTLGIREHQHRHDLEVLAHGMRLLLTQNDPAMTAMVSRSTIEC QEHIDQLKQECHIMSTRVRSSAQNGVRGSTSTSYAPANSAVNPRPDTRLKTLAENMLI VSTSKINFRIESRNIRFEVPFAITNFLGSLLIYNSIYKRASTNLSAENSKVEEGDDET RIGCATQTTVVVHPSWWLSTMGIIWGIQIVLSKSFRGLDCSLRTYFAVPDDSLVFQVC QSGDVAALQELFKSRKASPWDTDSRGYTPLFFAARARQLEICHILINQGADVRARNLM GDAGQTSCREHVDILRLFVDHLDFSDHVNCSGIWGLRRLLMIARCSHISCSHSLDSPL AFLWAFPLLRDDISFKIPAMGRGIAQLLTQAMWLDNVEVLSQIVRAVGDVNSRQILAW FSPAHNLVTSRTGYGIRRTPKSNRFLISQGLDLHLVMDETFEVNQKREHRYDDTPLSR AMRNSESFFLFRELLQDMNISFEDFVEDELRCYPLVRSGWTRTTLLRLFNLEYTPLVM PAITCRTCELSDIATESTWLSLLERLKSMPEAIYNAQEVSLERERKIVDYSDITKIQC CQTTEAHGEEDSMFLFSF LY89DRAFT_182733 MTRKLLCSTLHTKSSVVRRLNRYSKMGRDILSHWNQNSFVHLQA DWNATASERGLRANKTYDLSTRHQLRNFKVSAMIPTREAGYSYYHRNMSDSHHPKYLY KLLDRPGQDYHSIVRIQDNSLLKDSKTLTLQSSWCMEDNCLLLNHPSKHKSEPLSPWS PSTLSIASAA LY89DRAFT_755348 MTLFSVWATWVVLLSLALVMAAPSSVFASGHALFGNFQLGASRG TCTNGPAQAPALFYTPKTENNVAQPLDVVSLSSPIAEIAATALNPQSCMYCRGKRYDY TAYFHNTNIFSEPPHELLKLVEESYLQKNRLQSLLINYALREIPQDSMEQARKGMEAW ETEVNNLTMTIGSNEALEQLRSWSSDMMRQLWQHVLGVQKMKMPPGRTKELTSQFRKL WEVVWIRCVSMKCSILQEETATN LY89DRAFT_777195 MVEPKAGQLATKLGPKTTVQRSLPRRVISAQLNQDMLDLSSPLL RRKITACLFVLFSAICFLLTKTTFNSYPTLLQSLQPNRPSHRQSLYKTWTTIPITFDT HPKDIAVIIEDRPLSRLVPLLLHFSNVLGPRILTTSWIWEELSPADHVFLFQGDSVVC ANSPYTLDDFLDYDFIAAPYDRWIGEDTSDGLSLRNRTMTLDIVKAVDFGDLTQPTNP HFDVVKSEEQWLTQKMKDISASTSSGLGPRLPSKDAATKFSVGRMWHARAFGYQQLAD LSPIQRQELDVWCPEHRLAIESLPFDPRVV LY89DRAFT_182767 MPSIVPTKLLAGVTVPDTPLIDKALAFARAHCDEFTYNHVVRSW LFGQYIGDHIPEFKERDIEVQAIAAILHDLGWATSGDLVSKDRRFEVDSAEGTRAFLI REGQKEDWDAHRIQLAWDAVALHTTHSIALYKQLEVKLCSMGIFADFLGPERSPGGVL TREVWNGIIAEFPRLGFRGEIKRILCGLCSTKPATTYDNFVAEFGVAYVEGYSLEGKR FVDVTAVAED LY89DRAFT_693556 MADRPDRRTLVRQPPLDPSKSAIENVLELTELSAIAPDIFTNTR PLWHPPGARGIYGGAVIAQCLAAAQRTVPENFTVHSMHCYFVLAGDSEIPVVYYVEHV REGKSYATRTVQARQRGKCIFTTTLSFVRENSGGKKLVQHAVSLPDEIRSQYESLPKV VEQTGEGNSPFISHRIEITNRASQSPHEKRTRQWIRARGRISEKGGHQAHLSALAYMS DSYFIGTISRIHDLWRFGAPANQKTDADEMSARERYVKKVQESEGLEQDPNNSRGRPE VGMMVSLDHTIYFHEPRRFRADEWMFTEMASPWSGDGRGLVQQHIFAADGTLIATCFQ EGVVRLKEERKPSASKL LY89DRAFT_574468 MAAEIPSSKDPIPTYRIDLSLPPSERYVQLGKEFAPKMQKITPL FDEVLRPAFPRRWMRRWIAWLAWLFLRRVYSAEETAEIKGLSKAAGVDMYFLVALNVL LDSMLGCTSGAVMTSPKGSKRGKVGEEDKRMMHFRTLDWGMDPLRSVLVVLEFVRSKS EEPEKVIGRSITYAGFVGILTGVKQELSISLNFRPNHECSTFKLRLYQLLVLFGFRPS ISHIIRSTIFPPVKTAAVPLEELAVTMSKKQTAPCYLILCDGTSSTVLEKDLLNAKIR TSDEFIVHTNNDTTPALEPAKPLHDADGHPAVLNILDEMGLDAFIKDSKERSACVQKK WNALKGRQRRKQQAQLVEEKDMVSLYVREETLVGWVRKYPTMNGQSHFGCVMDPKKGD IRWLERGACWLSEESLTMAEDDQDVVIVSD LY89DRAFT_777199 MGRTSRVALAALAATANAASNATSLADVCTVANVQAALPANGII LGIELIPSAVTASALYNATSGMGSTTTTTYCNVTITYTHTGKGDSVLVKYAFPSPDDF EKRFYASYGAVGGATSTGYDAFDYSYDEVVLYGNGTINWDATYMFGYQALGEMTIVGK ALTTGFYGLYTDTKIYTYYEGCSDGGREGMSQVQRFGAEYDGAITGAPAFRFAQQQVH HVFSSMVEQTLDYYPPPCELAKIVNATITACDPLDGRTDGVVSRSDLCMLNFNLSSII GESYYCAAKNSTSLGFGFSKRAEGSTTSYQPAQNGTVSAEGVAVAQTIYDGLHDSNGN RVYLSWQIAAELSDADTVYDSTTGTWELSIPSTGGEYVTKFIQLLELDNLSNLDNVTY DTLVDWMQIGMVRYLDSLQTTLPDLTTFQSTGGKLLHYHGESDPSIPAASSVHYWQSV RSIMYANQSSDESLAALQEWYQFYLIPGAAHCGTNSLQPGPYPEDNMATMIDWVENGV QPSRLNATVSSGTYEGEVQQLCQWPTRPLWSGNSSTFDCVNDTASIDSWTYTFSAFKL PVY LY89DRAFT_182810 MFFNSYLECPCDGWCVFDCSCDRRRNIRRDTFLLEKPLNDLDTA GTFCTLSLNDQNHFSLHVDTIIRSSWPTISCHGGMTIRCRFLFLHRWVILSALPYFVL VYKTRDVFKKNVGRVLIRFSA LY89DRAFT_728197 MAYENLISAYSLSPPNYPLLFVSLITFAFGYIEYIYSFALVVRE GKSPFPVWMHTFYLAHDSTYCIRLFIAASTHDWNWFLTGMSAALLVWIFFELLNLYMA VTVEREEIWGAGARKDKRNDKMGMKSRNGIGRPLLDVVVQITGFFCVVNLFISFVGAD CLFEWCLFTFVVMAWGPGILWCTREDRRGCSVGLAWVIVGGTINTFAPWSMWVMAMPE TFGGAWFYASGIVFTAIALMNVGVVSQSRGNMTKDAKQNVPIGPVAMVGE LY89DRAFT_182802 MSYPSTTSQWILESFTGPPGLRLQHDIPLPALGPNDVVLKVRAA SLNSRDNQITLNKYVSEPVLPVVPLSDCAGTIIATGKSVKRFQVGSRCAATFHRKWLF GRLTSPSQTSKLGSDEDGVLRRYIILNEEDLVEIPSSLSFAEASTLPCAALTAWNALF CGSRPCKPGDAVLVQGSGGVSLFACQFARAVGATIIATTGELGGEREEKLKALGASKV LSYRDKDWGSQVRAATGGRGVDFIIEVSGSGDQDAKAIALNGQIAVIGGLGGGGSAIF DMRVTMAELRRIFTGSREQFEDMNRAIEVNKIGPIVDSKVWRFEDAREAFDHAASGKM WGEVVINMADD LY89DRAFT_182803 MLNSRSLGGGFVADDMGLGKTLSFLAYIIVERQLSILWREVRKS RDLKDGKHLVEGQTNAHATCPQAGQPGWIVCPCAPSSPTTSMNPQPGLRMACVPPALV SSWWGQWKTHVDTTHPLLGMRIVVDHPAAFNDKSTIEDLNTQSTKVVNQDRMKADVFR KDRNGGKGYDHPRDHQAGWLLLTTKENYGKFAKRFESKGQVLDPENPGEWKSGIRVAL VFGIAMIDESHEEFFKNKGRAQILANLPTRNCSVTPFIWGYSGTPIAQTPRGLEGVLW AIEKHSWVDWATDPKFQRFEWKQLDAICKRFDAQIKSSTRDDAAVAQIIADFEPFMVN FIIRRTSSTDWFGHTLMKLKPHVHQDVWLKGNEKATNDTAAFEALFDSNRKVMLERLQ ANWDNFPEKRLSDIRPTLLWFNTMVRETWRSRLLATFPGLCKLAHSQNEADRLTLTED EVIGFFRSPDQKERATPYGRHLKNIVETSPKCLWLYEFITQLNTQQDWDNQVEKLVIL TAFPQAAFILKLVSAIYHLNPN LY89DRAFT_606300 MENAASAVTKLFAKDPAPSQSEVEGQEVTDTTSAPTSTSNDVDK TVDKEVAPAVEHTHVKKEHETREQTVVEKERHQDHYQTTIQPLKDSEVLPEKHDHVQE TKERSINNDDGTAKAKAEADRTGFESTSEQQESESKTTEPTQEKEHVHHHLHETVQPV IEKEVIDPSVTHKRVDVKEKIQEPSVNHGVTTNSTISVDEFEKKLDGE LY89DRAFT_755374 MWIDGDACPAHTYETVVVSIDPLMIYLRDFITTSERQHLLNASR GRFQRADFSRGYEKENFDNDIRDASLVDIGDEDSVMNCIRQRAARFQGNCLDTRIEKT TIQTYAKNGHFTYHFDAFFDDIAADINGNRISTFMLYLEAPEVGGGTSFPRIAVNIDP QDKCDIIECHHTGNGTVFWPSIGNGIFWMNLNKERRLHHDTWHAGLPVLSGVKTIVNI WSWSEGALFEK LY89DRAFT_183063 MDGRSHTGCWTCRARRKRCDESRQTCATCNSLGLPCHGYGPRPA WMDGGPIQRARAVEFREIVKRRPRQRWLSFCTSEAIQSPIDDRLSSDSSSAVNQSTRP NQRTNNARQDILPNLSTPEKSIVFPSSGTSTFSNAVPAIDFPNVFEAGLDSLTPLDTF DLSHACPQRSSVRLTDSLLASIFDSSPYLEGLDNVAPDDTTSMLDLYSHRAPSLATPS REAENDIWSNSKPLGTNIAMSTARD LY89DRAFT_183107 MTIDGTVTRYDSRWNMSSSWVGQPSPRLDALWDELTPPIPRIRL THNEMLWAGYDIHDALLLDDGDHTAILNVHHQLHCLNAIRKMTYIDYYTALGQHESHA LAKNHVDHCIEMLRQSLICYADISVMPYIKDGEGHVRPDFDVAMQCRDYDRIVKWNWE NIDRRPLPAPVSDE LY89DRAFT_777205 MMPFSTCNNDHSFGPAVLGCRDDFDFTIEFEQLFFSIIPSVIFI VLSIWRIAVLSRRPVIANATPALLLAKLGTIITYTGTNLALLIWAAKRSLIVTDLAIA ASTLRLATGVCMIGLSFLDHRRSLKPSMLLNTYLFITTLLDIAQVRTSWLASAFRQDR VFSAIFTSSVVTKAIILILEAQRKMNPEEISGLYNLGVYFWLNSLFLNGYRNVLRIGD LFPLDQALCGSTLQERLSIHLSYSKLQGDKYGLLKVLARTFAVPLLLPIPARLALLGF TFCQPFFISSLLSYLSAPEGSRPVNIGYGFIGASILIYGGIAISTSFYWYFHLRMLYM VRSALVSAIYQKTTERRLAAGDDMASVTLMSTDVERINLAFRNLHEFWANTIEVGLAS WLLYLQLGPAFAVPIVTVLCCAAVLTFLVRYVGRAQRAWMGMVQKRVGLTATVIATMK DVKISGLATTVSDLVRKLRMDELKAGAMSRRLSLTSAIIAWVPLMVSPFVTFAIAHRQ MDAQKLFTSLSYLLLLSNPLTQVFQSIPQVVAGLACLGRIQDFLESSNHEDFRVIPGK VLNDNTGAALLQGEKDVSAEAGDVSAITVKDGQFGWEQGNMVLHNINIKIPQSKLTLV VGHIASGKSTLCKALLGEIPYQHGQVTLVNRFARIGYCDQTPRLSNVSIKDNIVGFSP FDPERYAEVVDATMLKADFETLSHGENSNIGSNGITLSGGQRQRVSLARALYLQMDLL VLDDILSGLDADTEDQVFRRVFGIDGLVRRRGATAVFCTHSVRSLPHADHIIALGANG TVIEQGGFEELLANKDYIHSLGINGSDDAKERRGEDLAQTIMTTNPSPFTPAGKNDDK SRQTGDFMVYKHYLRSMGLVAGSSLFLLGTLLGFFYNFATIWLKYWSDNAFSSSSAHS YDFYVGIYALLQISFLLTFGALGWVLLVVTMKRSGAYLHRDALRTLMHAPLQFFTRTD QGVITNLFSQHLNLVDTELLTALLTLLYTVFLTIGQAAVLLTSSPYIAISYPFLAVLL YIVQKFYLRTSRQLRLLDLEAKSPLYTHFLDTVKGLLTMRAFGFVAEERAKNIQLLDT SQRPAYLLIMIQQWLTLVLNMVVMIMAVILTTQAVRLRSNSGFAGASFVTLMQFGENL SGIVMFYTMLETSLGAVSRLRNFSLNIIPEDKPEIEDIEPPEDWPQKGKIEIKSISAS YGDNYPDSTMPVLALRNINLTFHPGEKIAICGRTGSGKSSLIALLLKLLDPLSSEDNN AFVNIDDTPLHRINRATLRQRIIAVPQDAVFLPDGSSFKANLDPMTTDATSSAADYES VLRIVDLWELVEERGGLDAGMTPTTLSQGQRQLFSLARAVLRKRLRARGKGGGVLLLD EFSSSVDRETERAMREVIRVEFREYTVVAVSHRLDMIMDFDTVVVMDKGEVIEVGNPR QMAANEGTKFQELWSLGSG LY89DRAFT_183129 MADQHRNSSSSGELDGGESSPLLSDQPRRVRQPSVTSNTNVLVH IPEARHKNAIVNLACLIMFMAASSGGFLGIPQARIIEDVLCHKYYDVGKAQMKALDGK DSIDEDMCKLESIQSELAFILAIQSALSAIIGFIAAFPWSLVADRIGRKPVLGINLMG MTLGVIWTVLVLWFSDVFPLQLIWLESAGQLVGGGIPILVALLLSMVTDVTTEEERAV FFMRIHVASLCGNLLAPSLSSLMMARTGPWPPSAVGVALFATATISTIFIPETLKAEQ SRTPEPHETANKTSKVRHLVDRLKESLSILQSSSLIILLITCLCSLPIFYSTSSFMAQ FLSKRYNIKLYQSGYIQSAYGIAQMIQSLIILPWLSKFVMKRSTSPIIRPIDDHHRDL AFARWSYGIIMVAALILGFAPTLLFFVFGLVLLALGSCSSSLTRSLMSLYVDPAHRSR LFGMVGMVEVIGAMYAHSMLAGLFSLGMKYGSGWIGLPYYGLALLLVITATLLLFVRV PDKGEEDTSQE LY89DRAFT_183208 MAPTILTLPHEICDQILDYIVSTPLPGRDFQNLRQRLRDFPGAS ECRHVQHISHGSRISIYPTLLVNRQFHSATLGAIERLKFKHKYELDILLVEETEIWPT WTLMPKFSKRVEELRATFRVHGARQMDHPYERSLPDAHKYHAGFTKSFWDMLHRFLKL GILTRRSSKQSGRSTTYLICAIINSKIPFFAKLDDVTMIDSEAYRMP LY89DRAFT_693563 MGTVFGFTASKDDELRETAWLDGLRGVAAFLVMVYHYHLDMFKF NTEAPYGAPNTQPWELWRLPYLRIIWCSGHTQVGIFFVLSGFVLSWSSLSSIREGRPE KFVQSLGSASFRRWMRLFLPCFLIGLLSLLQFYFALVELPITRRSSFSAQLLDYLWEC ERFSNPFHLERTNWEVLHKYNHTMWTMPVEWAGSLVVFMVLLMVSRIQSYTRRTIILA MVPIYSCLSAKWNYWLFTTGILLADYVKQAGGFKQLSDHMSRRSRLFWIFVLLVGGWL GGIPGKREWYERPGYEWTDNWIPTNWQDIEGGQRYFWCWSGIMIIWGLAHFTTLRRFF ERPFCRYLGKISFMLYLTHRMIGTILGGPIRKQILNVLGTHYRAPDQPEIELVEINGF FWNIVAYLLAWACMLPLALALANWSTILVDEPCVKFTKWVDDKFVNGFVLEHERRAT LY89DRAFT_183206 MASVSLPYRPSAKPFPFLDLPREIRDKIYGELLTVAEPLHPTQK PTNSVEEEANNRPKTNRRRFNIETQILQTCHSIKAEAESILLKSNLYIKIRSRVLIME CGHIMNRNFTLAVKLEPKYYRHFKSFVVSHVINLSKPLQEDLSTWCTYIILYRDLERF CSAIAKGCPEHMRHRDLMSHIVTICDPSAGSLVPDHEPFFSRGLQEKLMAPYRAALRD VPHFTIKGAIPQDLKFAAEQEIKRPFPLDPESVIREVEHLKAEGHLYFHSSRLFFHDP TGGASEYWNEALTKIQRVMQDDNCQGIRERGGLQFLNRLSALSFDLNSDKAQDYLLCM GHAYTKRAMDKFGLKVSDSVFEAASTMNKFPGSTWRPTPQQMAELTLKSSQLPIW LY89DRAFT_777210 MESTYQNFTLFPHLPPELRDRICLLALPIQPRLIPYAATETPSL AYVNHESRLVFLSVYTKCFLTADCASMQVLPYPISPYANLSIDTLFLFWDIRPKDRYP FPSSKWMTPEGRRKLRHIAVGLGLWISLRGHMHEFAGLESLTFAMGMTLPHEKLRNLR NRGYLLEIDEKHYRWEEHKDFWEELKGGLEDFSEELKHMSLAEVVDPEEFYPSLDARF LLSF LY89DRAFT_713916 MSVLQELAKTLNYPLDLARVPCSGLNNTGKKCGNFLSGVSTIKA RGKLETLSKIEHTTFNGQTAELRDSATCTFCPTHQGDARVEVRIKQLLELMGKSSGGE QRRQVPKLVSVQYATPIRAPAESRTPAPAPPSPAIAIPKAPANLDTMIRQIRIWTQYP NQKVVAYVTSTLEKLVLDVQTGIRELEAEERAATKKKRYAVPPGWLQAADGKFEREEG CGMTTRSQRVDFPPGWLKGSDGTWVEGDDYYEIL LY89DRAFT_777212 MAEFGQVKHAMPGLHPAQTDAAQSRAPSPTLLQNGVPQNNPIPL ERLEKDPAYIECPFCLARGRSTVTYTDTDATCRIALGCCCALGVLGVWMPYVFHWGAD VDHHCSACKRLVVHREHYGGTVQVYRPGKETEPDEKAPYVAMPGMEKNFKVDENPVLS SRN LY89DRAFT_183245 MRTSYSTMEKHTPYHGSIPATIFTCFLQLPLEMRREIWRQTIPT LPRFIPHSPHQQLARADPDRGIYFCINRESRSVYLEIYTSITSQLRISSIRGGLESTS PHANLEHDILYLRHDAYIGKLLQCKFKPEMMDGIRHVAIEEELFRECIPDFWGDILLA FDFVKYIHSFERLDTLTIVEIDHVVKMSLNGDLIAYYVELEKQRNLAGREMAFMGCEG KTEIMSWWTKMLEKSPDWKAPDLRFMRIGPTLKAPS LY89DRAFT_183235 MARSPFLTFLPLFFTLVASINTTTCKTTPSDPTWPSPSTWDVLN STLDGNLLLPVPPGAVCHPTHPTYNSTLCTEAQLSWSSEFFHQRDPISAEWNNWNNDS CLPDASFPCSAAGYPVYVVNATTREHVKAGVDFARKYNIRLIVKSSGHDYVGRSSGPN SLSIWVHHMKGMQFHATSFQPQNCSFEIGGAAVTAAGGTQMLETYAGLDAFNQTVVGG NGRTVALGGYVTGAGHSILSPHYGLASDQVLEMEIVTPKGEILTLNECQNTDLFWAMR GGGGSTFGIMTSVTMKTYPSPQVMNLDFSILTTASNPYAFDMVAYLLDQYPALAEAGI SGYPLILKGTPNPLDGGQTLVSGVAGKVIMLNTTNSSAIMNIFSPIFEHINTTWPAPA FNFTAEPKFYPSFYAWYQENYDSSPVGYENVMGSRLLDEKALSGNTTATKVAFEKFTE GGISTAYLVAGKGVKEAVPRGGSNAVCPAWRKTLVHATANQAFAPVNKTAETDAIAAT NGFANALRELSPDMGAYMNEGAGRYEPNWQQSFWGDNYARLLTIKRAIDPDDVLWCTP CVGNENWAEVDNKLCRI LY89DRAFT_557271 PPSQDPWFQPPADWQSKAPGTVLKVRKSPYTNITIRNCIDTFQV QYRTSDTHNNASWGHATQFIPASHANCTASRPETCAHGIVSYEIPYDSADPDATPSYL LQWGEPYGEMADLLANGWFVSVPDYEGPLSSYCAGVQSGHSTLDSVRAVLQVASEFGI SNGTAKAALWGYSGGAMATGFAAELAASYAPDLHLAGVVEGGTVPNITSVGKLMNGKD TAGLLVAGIVGITSQHATARAYLLSRLKTTGEFNITGFLDATSMTGVQALLNYMYQDV YGYFLGGEQDFHDPRVTQMYESDAVMGRHGTPDMPLFIYKAIQDEMSAVNETDALVQG FCEKGANVLYHRNSIGGHNQELWQGRARAMDFLRMVLDGKSANETSSGALVEMPEKGC RIVNVTIAVNVT LY89DRAFT_183361 MDLIRVCVVGGNAVSAFLSWRLQATNACDVTLVWKSGFDAVYQY GISFKSTSFGNERFKPRHVVRAPEEAANNKEGAFDYVLLCVKALPDVYDLAAVIESVV TPQHTCILVNTTHTLGVEAQLESRFPSNVVLSLVSGAEITQLGASEFEHKGSTEVWVG PANKNPSMPSTIQGDMADALAMTLSSGQVDCHVSSNIRQQQYERMIGPIAFHPLSVIF ETASHAALLEKVGVRQLVSDVFDELLSIANAQSCSFPADFKQRVMEEMVRPTEANSIM YQDFNAKRPMEVETYLGSPIKLAQGVGVKVPRIETLYAILHNLNIVNQQRKDIPLASP AAANPPVRLPSVPPPRPMMNGAVNGNGPMGPPRGRGRTPSMNGPPPGMRRGPPPPING GPPNGYGRPMTGNGYQPPRNQSRRGSLEGNDLEEFSHLVLYDDIPESGESNYGGDGPD IALRERELMLRQRELALREQELRLQGQGAGPRRGPFSRRGPPTPSVRNGGGGFDEDDD DNFVDPADGPPPPMIDPDNFDMMSVTSRRNRKNMPNASQIRKNPEYDGLPPPRRGGGF MRPGYNRNRSSARIISQVPGAHDNLMDDPLMAYSSNRYGNVDRAQMGMDSRTNSLTAA RLDELQYGGGPAGPPGPPNGNGYPRRASQSPGNPYSPQMGRGNGRPSPPNGYMGPPMN GRPSPPGGMRQPVPRHPPGQGNAVAPQQVEQYAGVSALHPPKGPVNVRSLTGSASASA GSGDSANIDSEPSAHSSQSSLGPRPAIGVR LY89DRAFT_183389 MCHTRELAYQIKNEYARFSKYMPEVKTAVFYGGTPIQKDAEIIK NKETHPHIIVATPGRLNALVRDKHLRLGSCKVFVLDECDKMLDQIDMRRDVQEIFRAT PTQKQVMMFSATLSQEVRPICKKFMQNPLEIYVDDETKLTLHGLQQYYIKLDEKEKNR KLNELLDELQFNQAIIFVRSTLRATELDKLLTDCNFPSVAVHSGVSQDERYISLYIMF GSRLTCISIRRYKAFKDFQERICVATDVFGRGIDIERINLAINYDLPADADSYLHRVG RAGRFGTKGLSISFVSSEADQEVLKSIEKRFEVALPEFPEGGIDAATYMSG LY89DRAFT_680219 MAKAKTSKGAVKVPNKAVHSRVSFLFQAASYLATQHSEPSGTKQ TAAEASETLEKPAEKVATRPLSRRLASDLRSVTLKAQLRISPQMKRKICKNCDTILID ESTCMNELENKSKGGKKPWADILARKCNTCGVVKRYPLSAQRQKRRHLRTQNGDIAAE SAQQNSNTSEERSLK LY89DRAFT_680220 MPKERSINPAQAQRKAEKAKAIKKGKAEAASRRNDKLAKKNPDR LQKQVDELKAITTSGGTLTSHEKTVLESLERDLKAVKKAREALGDAAPKFSRGPREDG DRGGFRGGRGGGVLGKRRRDESESEEEDIPEDVKSIPMPRDTPPPIPKEVLDKWYSKR REKMAKERGTNANNTPLGDNGRVFGQGDSKPATAMEAAKTVYEAKPMVRDLRKEAVAF VPAAVRTKLDKSKGVGGLVEPEEADRLEKAGYMGTRKEASSNSVEDSNSAQQDPRAVM MEEVEDEDG LY89DRAFT_183436 MATFESFPRLPVELRLKIFALAIEPRTVNIEWSRRLRQCISSDV PTILHVSREARREGLKTYQPSFNTSLESRAPLYFSFELDTASFQWATFGRKPVRHIKQ VEDDCKKLKYMVIDSSFRLNQGLELIKFENLRELQISGCTEQLPEGLEQIKLFEWAFE PLSGNRSPESKVRSRNVPLLTCLDQGDRCRNHWWFSDWNERCHMRETPLGEVSYWQLT FSVINDMANGCLQDRERRMSDTSVASSVK LY89DRAFT_777221 MKLNLVSLLATVLLSSTVKAQLSGTVGPTTTRAAKEAVKVCNVL DYGGVASKTSDIGPPLASAWAACKSGGEVYIPSGDYGMATWVTLTGGTAVSIRLDGII YRTGTAGGNMIFVEHTTDFEMYSSTSKGAMQGYGYVFHAEGEYGPRLLRLYEVVDFSV HDIALVDAPAFHLVMDTCSNGEVYNMIVRGGNEGGLDGVDVWGTNIWIHDVEVTNKDE CVTVKSPSSFILVESIYCNWSGGCAIGSLGADTDIHDIEYNHIYTQNSNQMLMIKSNG GSGSFYSASFNNFMGHSNAYTLDIDGYWSGQSVATGDGVLFYDITFNHWHGTCAAGAT RAPIQALCPSGAPCYDITIENYYIWTESGSEVLYKCENAYGSGGCLNTGSSYTTYAET TKTVTSVASYSATTMAADLTAGFGLTTSIPIPAIPTSFYPGLAPSSALLG LY89DRAFT_606318 MHAIRQKCRPKHQVLILKCYPKTTKGAVDVKPNSSELSYLLYYA TTRRSKVQKVGAFLEKKTASDVWRARIGNVQVTLQILAALIEKAPRDLPLYAPYVLKI LSIILRSRDITMVESSVATFEAFCENHDGASLSADQEYLHQYEEIVRMYASFASTRQQ PGKQPISAPVAMRWRNVGLEAIKSVASSEALASLAGRQLNVIVPILLENLWTDNEDFV DVLEHRVQLEEKIDTEKLLRRRTSVATVRTAETATEANAAALSGTTADADKVAEEDIG VLAMQCLKQIFVVNNRSQIHGATLATLRFIAERVSQHEQVIDEKSNTGHWRGWATRVY ELISRWTPVQDRYVILVTTMDTLTRADIAEQSLQQQLVLATMIDSLLKSDINLIGLSV MDVLLGLIQQVLKLLQLDTQQGNGSSANQDISGSTTSVGAAMAPTELRREVTIRLQEC IGDLATHVYYADQISDMVAAVLLRLKPPTMSSIPNAVAAIENPNGATAAIASSGNLAE DPTTDGFFSFDTAKLMALEAIKAIITVASHTNALTGVGSLGRNRVPVRVWEGTQWLLR DSDGRVRKAYVDALLTWLEKEMTRADLRVFEEKSKALSKGNRNDSATNLTKRAVSNAS HREKPVKHSRTTFLQLLHLAVYENAIQYVEYEEDIVLLHLLLAKLVENLGVNAVRNGL PMIFRLQEDILDADTPIAKIRMGSLCHGYFWVLSEKFDFDTSPIGRAIQNEIRRRQNK LFWIDRVRCPPIGLGKIGTPGESFPPRALPLEEIETEALRPFDDRYQMVTLIALSYSA NLATPPPSAPTSPGRSFSHPILGTTGTASENTHTIPEKVKDQMMSEWSRDFVIAVAQE GSKTVSLNGSRSGTNITSGHRNFLHVNGNQNGVTNSGSQSPKGHGNVDTRGRPSSSAY GLVGGLGALQKLRKANGQSLGPASDSSRGSVTRVDALKRVLSGQQSSAPGTRMGVHSD ASSESMVSYDFTASEVSYNPPMPNVPLVERSASMRGSNERSRSKSRDRVASAGEHSRP LSSHPVLLADSSVPRESDEDLDAVPPVPPLPSSLTGEGTANTDLGAGDTLRKGRSVKR SEKGRPNLIYQGSSSWGNDGTPVMDLESLLKGIDASGADQRGNVAKPPY LY89DRAFT_680224 MAPYFRKFHTLTLPSEVAQEDLALKYVDKKLQGTNGPIQLSFGE PDAYTPFNKAWPKTFSTLKHELTGDPISGVANGAFTNPGIVNPVTKARSHAGADYLSK EVATRSNLRILTEVLVEKILLEKTSTNELSARGVQVKTKDGSRKDILAGHEVVLAAGT IKSPQLLELSGIGDSNILKTYGIETLIDNSNVGENLQDHGYVPFSWEVADDQISGDVL RNPEIAGAAMAAYQAAKAGPLSGVPIVSAFMPLVDLEKADMEQLLDTYIDKHEYSNIP SQKQQFEIIREMLLNPLDASGQYTMAPFQIVPRDGPHCKGIYGMGKDGNYISIVSVLN HPLSRGTVHINSAKPEAQPTIDPRYFSHPLDLELHGRHTQWLETIAATEPMASLLKKD GRRIHAQKPVNDLETAKEIVQETFVSHYHVTGTCSMLPKELGGVVNEHLLVYGTCNLR IVDASIFPMIPRGNIQASVYAVAERAADIIKEDHLTAKVGITSIR LY89DRAFT_728223 MAYDLSVATARNPLIAITVFVAIAIATTALRFEARRMRKVPLAA DDYLLLAALFCLFISVGDQYACVIAGGVGRHTVDVDPLDVVKTLKLILPFEALYGITL CLIKSSIICFYFRIFGNTRSFRISAYVVLAFIVCWALSVVLETFLLCRPVAYNWDTSI KGTCGDRNTVYVSAGALNVITDFMVMSLPVPHILTLQLKMKKKLGLLLMFSLGLFITI ISIIRIKSLQVISFTDPTYTLPMGLLWTTLEPCLCIINANLPMVRTCLATYAPKAFGS TNDQTSRKTPLPGQSGSRSDPFDLIRDDRFGATDIKLGQIGTESRIHAGRRSQPLGDS DSERYLTKGDNHIHVGRSVDVESL LY89DRAFT_606323 MGIMDDSVETRTPPNPGGKRKKEPEMYPAPFIVPPTTAHTQTIL FLHGRGSNGEKFGQELLASKTATGQTIQERFPGMKFIFPTAKKRRAKWYKRALISQWF DNVPIDEQSKEMSREEIEWQVEGLTETAEFLNPLLDEEVRAVGAKNVFLGGLSQGCAM ALHLLLSYQPREEGRNGDWGSLGGFVGMSGWLPFAEDIRGLIRSEDMIEKAGEDEEDD DPFATSDDEGDSAVFESHRCQLSLSMQVCNFVRENIEMSPLESIEPLCLKTPVFLGHG KKDEKVKIALGLDIVMILRVIGMQVRWEEYDEGHWYKVPEQIDDLVDFLETCAGSAI LY89DRAFT_728225 MPDPPQRYKLQLTDFWANEYRSKYNSEPKYHYKDVMFGLEDDEV VVAGILLGSDLVPHWQFRNLFQGDNRNMMDTSSNAKATVVGHLDGNLVFLIVLVPYKD SVSFQGPNFTGGQNSNEKMNK LY89DRAFT_728226 MQVSTFLLFLTSLLLSLVAAGGNPATFWSDYPACEDNCHQSVWA SQSCTLSNSCSCSGCLCLADSCLCETASWLTAVAQCIGAQCGSSGVINAAGIASSACE GAGFLLVLPSASLVSIGMAALPAATTQGSTAKSTTGSGTGGSVVQGDATPTVTSTAPS QAGSTQVTTTAVSTTVGLQTNPSTTHHLSSGTTLPSTSSDLSSGAKAGIGIGAALAVG IIIALLAFIFYQRRRHSNNDVRPERRAASLGTFELSDTGKPISGTKRKPIATERTRPS ELQGGSSLSSKTETATFPEGERLLNVAEMQAPLTVDEKQELQRRRRAAELSVTGPDIP VATGFGERNELEARRRVYEMA LY89DRAFT_183606 MHIQMEGFILVLWMFVNAVHANPNASCWAKDDRPDIVVGFDIGM THGAATVAFGNGSFMDIVRLEAGPAYQACVLQRIQVEGLNQSMSRYTALSDKNELRVR TQNSTAISNLTELCDADSAQRDLEALIQGMKTQTEGLLQEQISNLTVTTPDFLPEAVR LEIKLALGKASLHLSSCGDIEDSIIASTLATRLSQLPSRGGRNISAAECLLGPFGVEE VIAVTYTESILALSVFKLYTGK LY89DRAFT_777227 MSDNSTVYIGTWINHSKGTFLGATLTLPNLHGLILISGLALFIT FTSTRTWSILCFFVHQVGTTRRPRDGLYHQIQTTVRNNSSDLQSMWHLTKMAWRWGSP RSRSFQKIVGIIILALAHLAIFTILGLLSSRLITHGDEVLVRALSNCGSRDLTKNISD PDIDPSQAILDYSTHRTINAELTRQYVRDCYIGQQSSTECSSWKSTQLTYSTTRNASC PVSESMCLGPANGAVQFDTGLLDSCDDLGYVEDGKLVFDGQRYNYSVGYYGVTEAPSP DWRPDVGFSNATFFLGDPETQFDHAVVGSRILYNVNEITAYPIDGNSTILPCEGFIPI QELASVNQTLALLYVTFKGAYSAPSDDLWWPAHQLGSPFYTSLRGVANATRPTYTLDK TVNVLACTEQHQFCNPNHGQNKDKCAFRGLNDTGYFEGVLDNDRQISTAEVIMGAAAE AAFDILVPTFVEPPLLDSDQHGTTVAPGLADDNWIQESIHLFNMSLTTVQRYMVEWAT GPAEPYSIYTYGPPSELDPLTKWQCENQIIRQSGYTSFSSLGLGLIFGLGGLVILSSL WLESIVGYFQKRFRFGLYQQVRWKLDSNLQLQRMAYEAAGLGEWKDGTHEIPVTVVRN QEFQIAMEWDEVHPSIKARADSDLSRTRMMGSELVFVDLKDNGADKRTLVE LY89DRAFT_663913 MFFNISEGPMRTIFRGYFIVALALLGLIGDQCCKRAAKLQLARG KSVSLSRMTGWVRFMDIAKIVFKLRRLPGGPFLGSFMLGSVILALVADFSVTNLVLWT YVPSRCVFNPGIVLSTTQSSKWSAPPADEYPVLVASNAQITSYINGGMTGIYRKANND STFMSQPEDVLGSWNLGMLYSNGSTYDYIDSAGNTSSTLMIWSASVPDGAKGPFDVTI AFDRYASWIDGKTMIGCLCAVSSESESDLNDINEILSWIVANDTLWQWITWLPPAVYT GAGSNASDYSFYNLAQILNSLTMVEGGGDRAYDIPSDNATQGCYILQTWVSSFIFALV AITALALILMFAYLLLLTMRLGSRDDTNNDAPVSKLIPDGLLGWMLEAIRESALGEYP ESAAAVSNEQFAINNWKFNTVNDSRGRYMKLSRTDIALVSHE LY89DRAFT_663914 MADVSESSSRGSVSIRACQNCSRAKAKCVTQSSAATDNRCARCY RLNKECTVVPRAVRKRRSPNVATNTAKLEQKIESLVNLLANAQGVNLDQLTPPESNAH SEPSPAPQHAEDVPVMVGPLGRWEPGFPAQSVGASGITPISLRPAEFPGTATLPTDIS LTGVIKQHFSSNLWKLSDQEGEQLFVKFQEQFLLHFPFIMLLSKYSEMKSRKPYVLKA TWTIAYQSQRARQIDMCKDLMVDISTSMLVRGERNLDMLECLILLNAWAYFVSPVPPS SKSTALYQLTIGLLFDLKLTRPIREYDGPGEMLAECQTRNIPDCSANDTAKRTSDECR ALLCCFYLGSVYSLCVKRTEGLNFSPYIDFCAQTLEERAEHESDILLVSLVRLECIIT PVDNLLTKKSFADDYRAPISMHIKAVRTNMERFWVNLSHSIQQHPLMRLSYDSNFIFL YEPSLYKSLFPSTSSCGHDTSQRIDLLHNCMMACKKLLDEYLKQPLWSCYGTCVADLA PLGRAISSLLKLCLVEEAGWDLSLVRQTANLEFYFEQLLAKYQQVGADLDGRQQELCR NSFFTGCARAMGVIKTWYETKIMSDSTQSALQDQTYDVTMMGEQANFIDDAYWMEFMG DWTMLP LY89DRAFT_606326 MSSFITNLFPSSQGGKHDDILPVPPPPLHTRSSQNEAFSERPNT PTRNSFITPVSTPQGSPSKNRNPPGANDLPVAFDNAMKINPTSTFGSPTKLGRSQAVT PLSPGKSNALAMDESYFGNAAGNTDDSIIHKSAVSPGSPLRKQGKENTPPASRQGLES VAQNQAAISRQELYQPRDQQAKPTKKYNTQRGLTAEELEILNKPNVKRLANVTQLYFL DYYFDLLTYVGARQNRLNHFKAEFPEPPATPEETYNPVWQKYVGRERANLRKRRVRLR QGDFQILTQVGQGGYGQVFLAQKKDTREVCALKVMSKKLLFKLDEIRHVLTERDILTT AKSEWLVRLLYSFQDEKSIYLAMEYVPGGDFRTLLNNTGVLANRHARFYIAEMFCSVD ALHQLGYIHRDLKPENFLIDSTGHVKLTDFGLAAGFLAPGKIESMRVKLEKVGETYVP FGKPMDQRTVAERREGYRSMREKDVNYAKSIVGSPDYMAPEVLKGDEYEFSVDYWSLG CMHFEALTGFPPFAGATVDETWKNLKHWREVLKRPVWEDPNYFISNRTWNFITSCIAS KSKRFSSIKQVYEHDYFAEVDWTTLRSQRAPFVPELDSETDAGYFDDFSNEADMAKYK EVHEKQNALENMADREDPMSKSLFVGFTFRHRKTEDGSKSSPRKAIATDGTFGTML LY89DRAFT_680230 MSDSMRKGLGDQVSEKVTPDSQKSTTDKIGENITGATDKVAGAV QPEGDKSTTQKIGDSTRSNADSAQNEGGSLLDSTKNTLGSAANTVSDTLSGAADSVTG ASKDATK LY89DRAFT_713936 MLCAKCEGMLDLDVFPTSETSYDHHESYTALCASAASGCELCHC IKNESSRRVQLADDFDAGLPPEDRQIQCFACDRKENIRGIYWVRIGQHALLKKRPVDV VEVHFLWVFLAFCVKEDDPMSDLVRGRVMEQRSSSDASFKTIGNWLQECLENHPNCYR SPDAMLPTRVINVHSATPFLQVTQGERGAWVTLSHCWGSKPPLTTTTDTLSLRSQGIA LENLPLTFRDAVEITRQLGFKYLWIDSLCILQDSRADWLAESSAMREVYRNAVLNISA DACPDTENGIFASADSQRHLNEPVITLPCRSSREQIKGEVSLIRGVLSSQIKTPLQER AWVLQESTFSPRRVRYLGDGIQWSCETIRSCSEDDPAMKRIIGLKTDPHSIFQMSKVV GSGDEQLARREEILGWWYERLNDYLGRQITFISDRLPAIAGVAKEVAERMGYRYRCGL WEEDILGGLLWAAPGVGVDLSRGPSWSWAIVDCKEFVRGHTRDGISSAQLLEDARAKV FDVQVKNINNDPFGQAESGQVTIEGPWRSVVWPDKPPPFLETYKKRRHILERHRFIDV YRYRFTEPSQIALALDQRFDGAAIAADILPQDAIYLQINRFGNYDLHRRADVRGIHAL VLQPTGNAENEFRRIGMAQIPEDEGMADDWPSRIVVIV LY89DRAFT_777233 MPVIDPSLIYAQRPLQVTCDRCSTSIRSMHYHYVHCEDYDICKS CAFKGIYCVNDTHEWQKMEFSDGGRWRVGAQMSPMGGKILRSQEKSYPSVFQPHDKQA TPQFLFEDEHRYISKKNPREIMIYTDGSCLNNGQSEPKAGCAFVHRPSAYDHAGGLTH SEYVAVNATTRVEKWEKDNWKLADRVSIVKNQDLWKLLLKEIRTLLAKGVDVSFWRIP REWNERADKFARTATQVEGRERFHRIVPDGPIVLSNTYRC LY89DRAFT_663918 MASTFHSFGRLPVELRFHIWNDAFPLFPRIFELVSHDEEPGDHP ATGVSLPNCNLRVNRSPTLLLVNRKARAELLPRYSLNFNPRVPGPFVGFCPSIDMLYL TFPGSGPSRNSTSNVFRNAFGNDYDSFKCSVKYLFGLPLFWPTFLFFDGTEQLSAMEN LKAFVLLHDGPNAIVSEVSHDAGFGFKTKLKGISGIKDRLMRKELKELIAVHIEARAA FK LY89DRAFT_183696 MNCGENDSPPYISAYQNGPYSSSVASSASSSTASIWSDASSQSS DDSSNPDPDLSETYCLTSSQPAPSFTTSSNACEQVIAVAAYYPKHNTNVEVGQEQRQH PRRTSVTARSGCPPSLVRQCDRKVSFVDSLVDSSAQIVEAIWPLSSVPCRSEMGNKGV LPLRTFIQETLRRSRTSYSTLQVALYYLILIKPHVPKHDFTMEQPDDAHSIRALQCGR RMFLAALILASKYLQDRNYSARAWSKISGLNTLEINQNEMAFLLAVNWQLHITEPVFQ RWADIVLKYTPTQPPSPGSGCSRGLAEQSADWKSIILRLNPELDNIDGIVPSTSAKAN VKSISATCPRSFVPMVTDRNTFGYGSNESTPTPKYYTPSVMEPSPSTAYPPSKPAPAL GLLPTPRLTPQSTGFNTPAVSAASYMLSKSSMGYAMAQASSISAAQVNEKWQPQLSSS PQSYVSQRRSSLANSVSSMSSPESMVSDNSSRSSRSSSISSASSLACAPISSKLDVQA RCRYAKLCSERQNRTIIATVPEAYCDNGPTSSPESYTGPVGKDFLDLSLDTPLARREA DDYFSKDEEAARALQELHNHPRSVDSPSRAGAKRSRTHSIDNTLQENVREMLSGRYHP SESNWSDDFVRTRASRAMDTSSNAQVAISRSTSNASLGRKRVCCSTEATRGLPQHYHP TLGFGKPGMWEGIL LY89DRAFT_680236 MTTSKETSVQELPTDAEPSKSETSTSLKTSAQDLLTNMESSNLR DEDSGNIPSTDVQEVCASDDPLFEYFPDLPLELRQKIWKHAIPLFPRIVMFDLSDSNR NRAMIYTPSTQDKWVVSVSSPATLLNVCHEAREEILQYYDTPLVDHGTTLRPSCILIN LEIDLLFFTSLDTFVKANYREGLYDCIQQIFGPTYGNKIREELRHLAIDHKLALKICD PISLNPPSHAGFNLEYMEIVFDLSYRKMPRRKVYRGDGLWRNPLWNDFDEDRQAQLMK WGAVDFTEGRGAVLVKDDTDSEVSSSISPSGNLILRASKPVYVL LY89DRAFT_728239 MTERKDLEDLNDANVASSSEGAVTSTSTKTTNLAAIFSESHYPA TMMRVTGTSSTSSAFTRSNRDIKRKRVDEYVNMMMMDYSIGSSSGSNEKCSFEEGYTS IEEEEDANSTTDTESTSRATVASSARDTPVDVEIGITFQYFKTLPITVRQKILRNAMR LFPRIIEFRKPGIRMKDANGFPREKWIVSASSIPTLLSVNKEARATLLPFYNTFIFDF LVEDNEQRLAKNLLMNFEHDTLFIRARELCTRYYDSEPLWDSFKDIFGLSFQSILNDN LKSLAVNTKMQHIMKYELEQGVEGLEKFLVVSDSFPRAWYNTQGRVVEFKPRQNTELR RVPFDTKMIQWEDVEWVGDFEQVSCVWSKGDGALDDDEYNY LY89DRAFT_755431 MGAEKPGPYCAGINPVLNLGLLDQRVALEWVRSNIANFGGDVSR ITLWGQSAGAGSTDYYNFAYPTDPIISGMIMDSSSALGAAPSPDPQGLNFTFVAGNLG CGNLTAAAELACMKNISQSHIEAFLKSYQDAGTAPTISFTPIVDNITRFDNYTARALA GNFSKVPAIHGTNNNEGSSLTAWINNGTTYNETAANINTVQRACWAQQTTHNHYAANT TTFRYYYTGNFSNISPRTWEGAYHSSELPLIFGTHDIAHSASTAFEYAVSHRMQDLWL AFMQDPVNGLPAQGWNAYAPGGDAIEFAWNG LY89DRAFT_635790 MMFGVRTILLGICLYTAGSFGATRRTASAEYDYIVVGGGTAGVA LSTRLSQGLPDSKILVIEAGPAAPDELGINVPGKKGSTLGTIYDWNFTTVPQVNAKNR IFAQNRGKVLGGSSALNLLTYDRSTVKEYDGWEEVGNHGWNFTTMLAMMDKSENFTSA NSEWYGDAGVNTDGPVRGTINRYIPAHQDGWVPVLNSLGIETNKEYLGGNSLGVSYSS SSIDPTHYNRSYSVNGYLPLAGSNLVVMTEETVVKVNMTKVRGAYQATGVTLADGTFI SASREVILSAGSFGSPGLLELSGIGNKTVLGNAGVEQLIDLAGVGENLQDHIRIQSSY QLKDNYTSIDAFRYNATYAAEQLQLWFEGKYSQYDYTGSAYTFQTWNQATGNDSYLIE LAKAAVGNSTHPAEKKKLEWMYDDTIPQLEVIMSDGYTGVKGYPAVNTTLYGKGFFSL IAVVMHPLSRGTVHVNATNPLGKPLIDPRYFSNEYDLQAAIVAIKKARQIALIPPLRD VWVSEYEPGLDVVNTDAEWREFALNTTLTIYHPVGTCAMLPKRKGGVVDPQLKVYGTT NLRVVDASIIPVLISAHMQTAVYGIAEMAAEIIIDAGRS LY89DRAFT_728241 MARPINKSQAAFLLLDYQNMVIKRANASESFVTNAARTIATGRE NGLPVVHCRSAFQGSEFADVPSTNLKTRVGHFLASPSTSMHTDLQDKGINTLIIGGLV TQGAVLTTVREAADLDYCIYVVEDMCLDFDAEVHRVLMEKVFPRQAYVIKSEDLDGLL K LY89DRAFT_713942 MSGLADNNNLPRNQDVGPVLMAVTGIFAGLVLITTSCRVYVRIM SRVFGWDDAMILVTVAFALARLAVEIKQSQHGNGKHRVYLSTYDYMMINMWGWYAQVF LFAAVACLKVSIGFLILRIKDTKTFKILVYTLMAGVCFTNFGVVIILLAECKPAGFWR GSAAKCWPDKIRIYSIYATIAFSVTTDLFLSILPLFIVWRVRIPLRTKISVCCLMSLG LLATGFGIARAASLSIMTTDLSWMYCIAALWSNLELFLGIVCANLAIARSTYSYIFGR KETPQNDSYHSPSSPVPSSHSRFTNRKPSRDEFETRKTAEESRFRAPSESRSARSDIP LVIQKTAEFEISFEEEEMKIDRQGG LY89DRAFT_184010 MFQCQFSRMLWNSISLFHFEQVNRYAITEWFTNNFKTQASLSAV FSTRFAHPAHPAQPAQPAQPAQPAHPARSAQYALFALVSLQIQGFQTIQVSVPGLHPP NLYSFSLAPETISPGQK LY89DRAFT_574453 MAETRAETDAFGEVQVPADKYWGAQTERSLENFRINQPQDRMPP PIIKAFGILKGAAATVNMGFGLDPKVGKAIQQAAAEVADLKLIDHFPLVVWQTGSGTQ SNMNANEVISNRAIEILGGTMGSKKPVHPNDHVNMSASSNDTFPTVMHIAAVLEIEHE LIPAIKSLRDAVQKKVDEFEAKNIIKIGRTHLQDATPLTLAQEFSGYVAQLDFGIKRV ESSLPDLRLLAQGGTAVGTGINTFKGFAEKIAEEVTKMTGTEFKTAPNKFESLAAHDA IVQASGSLNTLASSLFKIAQDIRYLGSGPRCGLGELALPENEPGSSIMPGKVNPTQCE ALTMVCAQVIGNHTATTIGGMNGQFELNVFKPLVIRNLLHSIRILSDGMRSFEKNLVV GLKANEEKIASIMKESLMLVTCLNPKIGYDMASKVAKNAHKKGLTLKESAMELKALTE EEFDALVRPELMVGPEDYKGK LY89DRAFT_184039 MFLAIMKLNIQGSYSPPSMANTIYSLVLGLLFVNLLHRITRNPS KRDTIRLSSSNALLDLPPGHKLQTTILNHPPSSIPIPQKFQTNPHPRYRQPGLALLLP INQSLRPDTKY LY89DRAFT_184077 MQLRCGLEIIFMEMLEDSTRSGCEGARVRSQCQAPPQGPKATLH QPTAWEGVQGRRMGQPEGAKQQASKQKHRITKWLVVYWRARETVRLCWPELREPQSSK CTLSTRTISCTKSCLSVCLSVLLQVVPRLLSLFLSILFSMGHERTAFHSSSIPE LY89DRAFT_184048 MGIVIVLGLEKNGDRGTSRGERVVQVVVVVVVVVCLLRWPARLS RIQDHPQRWHTSLLGNLDFWDSGAVHGPTISQGFASDWRPYELVNRSPSLLVHCCGLQ WSGVEWASISASALRNHPALSSSSSASRRPGPCTSFRWMDGRESRTMSPSEDEGSGRG HRSLLVLAVLYCTVRVRWLVLVLRG LY89DRAFT_184049 MLLYSLLGPLFGHSSGPCSIVRFKVQSSRGERWRLRPQSIVNPS RRNIYRDHRRRCGRISDHRPLHAPLGPIANSRWDVGRRAGERRIGISRPHVLAVPAVQ LTLLQSFGSLFFCVPVLQSQCCATTLSPSQTSHPRCISSRPIHRIPTRTQSPNSLVLL LSPKTQPTPPPLTLPPAVGGLPQLGASQPSVSPVASPAPGNGQKSAGRCAPGCILARR LKPRKSLWAVMGTRMRRNACQRPALATPEDQRYLPMPPYRKEGRRRGATAVVRPVLES ENNHTQLRGKAPRTQDGCMW LY89DRAFT_184052 MMETAQSFTARRSAASNLPQFSLPPPDHLSQMHKYPAYAPSTTQ PSSAVVSSVLTPPTGLQNDLSPLGAASVNSQSSSSSAAGVPPYQPMGGFWPTPGNNSY TYSQAPPMPPTFAQGQGQSYMGRPLYSPSMNYPNRNTHSPASGEALPPPPYDINLPSF PTMSGGQSQSLPQLAPQQQQQQQQQQQAQQQPQSQQQQPPPQQNQPQHQQQLSQNSIN SQQAVSQAPPQGPQHAPNNYGERPPPTPTNYYTPSSTPQQSSFPAYAQQSPTQQSPNT SSAPSNRISPVSAQPHPSMAAPPGYPRPSFSGYSLPAMAGPIMSNVHSPGNQMALVGG MNMPYPGHQMGAQMYGHHPQQQQQNDRPFKCDQCPQSFNRNHDLKRHKRIHLAVKPFP CGHCEKSFSRKDALKRHILVKGCGKPSNGSGANAGGSQSPVDKTEILSDSTEGGSPEV MKKEV LY89DRAFT_184221 MSEPSSENQRKKSKDRSEIQKSNSPAIETAYEPYKTPLHRHPLQ NIHTHQSHPIHHHLKSLTQPTGTPIPPPSLPPILTPQIPTQHPGIWKPPPPRSPSLPA AVIAVTLRYAPSPLPCPAMPQRRRRHNRDANRERLRGGHRWYYFFLAPQEFGSASNFL MGYLPTLWVSFRGGFGELPGRGRDRQGARGFGLGFGTDRWMEGWHGKDRRLFALYVGN GKGDEIYGFFLSFRSFEGRSLTGTRTRTGIGICGCVADRWRMGRDTRLETIWWCLF LY89DRAFT_728246 MKSIIFKLQALAILSSLFCTVASKHWVQNQCHVPINLTLYNNTK LPDPFTFAGGGAKTSNASQWDCRRKQIGALLQKYELGHLPPKPASLTANITTSNATYL GTNYTTNNLNIQVTDRNKTISFSASIIWPTTGEGPWPAVIAYAAPSIPIPSNIATINL DNDDIAQQNDASSRGIGKFYDLYGINATASAMTAWAWAVSRIIDALESLPISEAKINT TRLGMTGCSRNGKGALVAGAFEPRIRLTIPQESGSGGDACWRLSDAEQAAGYVVQTSS EIVNENVWFSTEFDNFAQYKTDLLPFDHHMLAGMIAPRAMLAIENTAYVWLSPQSSYG CMTAARKVWDALGVSDRMGFTQDGNHSHCAFSADQQPELTAFFDRFLLDAEGVNTTVF KTTNETFDEARWIDWTVPKLY LY89DRAFT_713947 MSSASRQQTRRPALGEATGRVNNTQTSMAPPSKVAPSMPHHESR GANGQLGDNAAMPPPSRPNSTRKSSAAPPVSNRLSEIMKDDQATSSKRTSQASTSSAN SRIKVCVGPWRLGRTLGYGATARVRLAKHIQTGQVAAVKIIAKKSALISQAGSLADLE KADLNNPEEDGIKRMPVGIEREVAIMKLIQHPNIMKLYDIWENRTEIYLVLEFLENGE LFERISSQGRLQEVEAMKYFRQLISALGYCHSFNICHRDLKPENILVSAEGNVKIADF GMAALQQGPDFKLRTSCGSPHYAAPELVKGHLYRGNLVDIWSMGVILYAMLAGRLPFD GGMTDDIGTVLKMISKGRYTMSPDISPAAADLIKKMLQVNPKDRITIAQIWRHPLMQA YDHLDDLGKGLYPQSPSAKAYGHPVSRRSEIDKDIVRQLNSMWHTLSEQQLIESLLNE VPNDQKIFYALLSRHRDAQLEDYTPELEYSTSDYHHVRPPRLRKTYSTRHFSQVGHKR QGSKFTVISNSAETEKSYDPFKASRPQRLSSLGTTGPKVTIHRNDRLALRPSTHLAPP KFGQTRSSLASSVKSSTSGNYARAAGRYKRGVSFNHLRVSTSSLPSPASSKAHKPRRH SNHTEVTDDGGDTLRPVNKGSPSSRYIRSRKAQTSQNGSPAKKAVRASLIWGEDVRQL SSSLAKDCDEAFNRGSVMTSEETIIDLTVYHPERSSANRSNAIPLKSKPNALDTRPLP PPPARTESVKIELAQQKLQFELRKELEGPSAQGHLDRMVTHLDELMRSPSSVTGERRA SSAPFETWQKSRQLPSIHETGGEDSPPRRKDQSSRYHRSDAKNSRIASAPEPRDMNRY QNDRFTKPDSSFRDTIRVVPPSSSESPVKMPAPLTIRKKSSQAPKPPLTDDTGSEWES CYSSYQPAESSLRQQYNMAGRGAVPSLTPITERHYDEETEINENASAPSNTGTVVRKR SGWFRRNSKASDDSRLSNLGSETQQSIKSGNGAKTALSDRGGSAYDKPQPPLPSPKKK GIFGKLFKKRSKADMQVANHDIFEDEASLRDSVANLNKSFAGRFAGNEDAGTRQIAPQ RNWLAKLFHVKPAARYLCFSVSQRTARKEIVIVFRDWKKYGMRDIQVDKRRNVVFAKV GPKNYLDIKEVSIAAEVMTVIEHGKRSQLSIVRFTQEQGAASSFNKVLDTLENVLHVK KLLVLDELKSKRMIKTLTSS LY89DRAFT_680251 MWSARSANDGYMLRALRLGTHLLFYLSSLTDWNHPHAFMGQHMP SMFSSQPGGHTT LY89DRAFT_713948 MPSTTSPPRRRQRADIESDEEAENLRASQRSTPSTSSGKRARTD DYQSDEESVAPAVRSANGFRNDDDNLSDDEESGEGNSNTFQPGALIRVKLTNFVTYES AEFFPGPNLNMVIGPNGTGKSSLVCAICLGLGWGPQHLGRASQIGEFVKHGLSEAYIE IELQKKEEEPFNHVVRVRIIRDGNSREWFLDGKKTSLKAIQALTVSYSIQVDNLCQFL PQDKVSEFAGLSPVELLLQTQRAAAPEEMLQMHEALKKYRKDQKVLDRQNISDKEELE TLETRQQSLHAEVVKLQERQEVLLRVKILEHTRPFVEYQLALAEHRVFKENKRLAQKR YEELKTTVEPTMQSIEQKKEYASRIDLAIKDRKAALTEAEQAADKKTRDIGGLDEEIT KNEQEVNAVYKQKEGKKRELDRIKRKILELKAGLNGEPVVFDGREWNERIREKEHELR DVKAEVASTLSSHDELKDRARVLRNRKDEAQRDLAAFDTQEGQQMNNLEKKSAQTAKA WKWVQEHLEEFEKEVYAPPMISCAIKDPRYAAAVESMLQRNDFLAITAQTTNDAKILS DQLHGTEKFTDVTIRTANDDLASLRQRAPLSPQEMRNIGMEGWAIDFIDGPEVVLCML CNSQKLHLAAVTLNDITEPQYNLIVTGDKLIKWTTATQSYKVSKRQEYGPSAVSTITR ALYPASYWVDQPIDTSARGEIEVKMKTAHEEMAALKTQNETFKSELVDLRTKEKDLEE KIRALKSEKEGLQRAYGAWKALPEKIRVEEDSLQARQNASAELTKQIKKLQNQHDLAV VRKSKYALEYKTLVEKIRDCHDELLEAQIRLIEARSDVEALTARNEDIVRQLEEEEAR KKHAEQESKRVQDIAKRALAICKDILADEENAPYEQEFTHPPADMTLESLDIEIAAEQ SKLEYMQLNNPNALRQYESRQVEVDKLKEKVTATETKLEKLEQHINKIRGKWEPQLDA LIAEISDAFSFNFEQIGCAGSVSVHKDDDFDLWAIQIKVKFRKNEDLQILDAHRQSGG ERSVSTIFFLLSLQRLARAPFRVVDEINQGMDPRNERMVHERMVEIACNEHTSQYFLI TPKLLTGLRYDKRMKVLCIASGEYMPDKYQKLDVSKIIDIRKTIMTRAIMARA LY89DRAFT_635807 MASTESILQGVNVSGTVTDFNKKILSKEALAFLALLHRSFNGTR KNLLQRRVIRQAELDKGSLPDFLPETKNIRENDAWKGAPPAPGLIDRRVEITGPTDRK MVVNALNSNVWTYMADFEDSSAPTWDNMINGQVNLYDAIRKQVDFKQGEKEYKLRTDR TLPTLIVRPRGWHLEEKHLTVDGEPISGSLFDFGLYFFHNAKELIKRGTGPYFYLPKM ESHLEARLWNDVFNLGQDFIAIPRGTIRGTVLIETILAAFEMDEIIYELRDHSSGLNC GRWDYIFSVIKKFRQNSNFVLPDRSAVTMTVPFMDAYVKLLIQTCHRRQVHAMGGMAA QIPIKDDKAANDKAMEGVYADKLREAKAGHDGTWVAHPALASIASEVFNKHMPTPNQM FVRREDVKIGQNDLLNMNVPGQITEDGIRKNLNIGLGYMEGWLRGIGCVPINYLMEDA ATAEVSRSQLWQWVKHGVSTAEGKKVDKAYALKLLREQADELAGKAAKGNKYHLAAQY FSGQVTGEDYADFLTSLLYNEITSVGSASPASKL LY89DRAFT_777246 MKTFLSPNFMPMVVTQDDLIIAAIALGHAIKQTVAIYRRYGAAK LNSPYVWMIWGEIFACLVFAIICWLHLTGVIPPSLVFFFSILTAWSLQVQFLLQIIIN RISLLLTNRRKALKLKIGVAVLITAINISVYNIWIPSRLQISPRYEYINSIWDRCEKI IFLIVDGCLNWLFIKTVREKLVSQGLVKYNRLVRFNQWIIGLSLAMDCLIIGMMSLSN SFVYMQIHPLAYIVKLNIEMSMAELPAKISANNNTTLHFLASSSTPLKPHPNLVANQL SKTFGVSQDVAWRTETSYKGSAGRERDGDIMLNAKQEVSIQVERRKSLAPSWREDGGS ILDGKRGVEDDMMPLRDEDRRREARERDKMGGVERGMGVVTQIWGSGAEQRQAVSEGT SRRNIRA LY89DRAFT_184368 MVSFERPSPLWHVYFTFFFTVSRGNSTSQRFSRFNSPIFLHAVH KRRSTRIDRDTPYRHGHSLQYPWEKTTGWSHTFERPKYQIFRGSLDFWQASVLPMRQH AAGLKHRLCYTFPMLFRVVDLAVCILTFSLKNFPERSWNKLLSTRKQPCLIFLGSCIL PDSYLTTTSRLLVLAPWQLNP LY89DRAFT_184426 MFIFISFVRKEDSPSKGYSVKPIAYIFPQYYPFEQNNRLWGENF TEWDNVNKVTHNGFGFETVRQHESIGYYNGLEFGTRQRQCQLIRENEFYGLAYHNHWM AGTPMMDHILQAMLLVGEPNTKFMLSWANEPWKATWDGLDSRKVLIAQDYGDITDWRK YFE LY89DRAFT_184407 MFGSPPAPTTVSLVLFGFKIVLAAISRLLTAPLRWLHWNGAPVL YRDIFFAAIRAMLSNFTITVSRYLGMTTTQVYENFCKEVHQEPKTINLTENDVTAHWM GKPDATRVILYLHGGGYTQSCSPQHLEYLHRLVTDLNTSDSTTSVSILLLAYTLAPEA QFPTQLRQASAVLSYLLNEGKSPSDILIAGDSAGGGLALSLLSHLLHPHLDIPKIQLL EPLRGAFLYSPWVSFDTTHDSFERNAQKDVLVPNMLRKWGGMYLGTVDGETDPGITTG GNNYSEPLLSNASWWRGMNNVVRDIWIFAGNDEVFADSLHAFGVKLRDGWKDGGGAEE NVVLEFGEDEAHIGPILDVMLQYKEKSGTQLGLEKWLKECVA LY89DRAFT_755455 MSASAGALVLCSKAVVQDSQGDSTNINDPMPNIWSSMQRWIDHL PSRTSEEARRRQELQNELSWCYSKLNENRSTTNMRSFQHIFGHCDLLCGNILILSNDK PRIDRPDAPEERNRISPTEVRFIDFEFAMHCPAAFDIANHFSEWGGFDCDYNMLPGRV IRQKFIDKYLASYIQHANITLPDFASAASKLAYEVNMFRGIPGLWWGIRGFVSVLESK IDFDWIPYANKRLAEYWGWKAEAEGLRDRNGEEMTLREKMWDRD LY89DRAFT_606355 MPFPDYIEKKPKIIFFTDFDGTISNQDSCDWLIEHEGYGYAKMQ EGFQAVYDGKRTYGEVSCEQFESIKTPFDQCIEKILTHVELDEHFITFYEWCISASIP LVILSGGLTPIIRALLGRAIGHELRGVEIIANSVVVRNGFESLNDQGGAWRVQFHDEN IYGHDKACAIQPYTKHREGLPKHEKSILLYAGDGVSDLSAAKETDLLFAKQGEDKYLV KYCKQQKIPFTEFKNWKEILEITQDLYHDSKSVRQVIEQNRGVLEGNDSHI LY89DRAFT_680258 MAAGRQGGLPCPHPSASFWHSEPNAFLLNHATSELPDSADVVIV GSGITGVSVARYLGEDPSAKALSVVMLEAREICSGATGRNGGHCKADLLEQSPEIARF EMKNVEAVRSYIMQNEVQCEWENISGCLTYWNEGLFALAKARHHDLRKIDPDIANLIG ISEDKEVMRKYRVRQECVGIITTQHDGQLWPYKYVTSITHTPVLNVECTENTRIVHTP RGSIKAKCVVLATNGYTSRILNQFNDLIVPIRCQMSALHPPQESPRLLHSYGMVGFGT SDPETDDYLIQRPFQHIDGRFRGGHLMYGEGRSNARYETVGESDDDIIDSGESEYLRR ALPQMLDLGGLETKELVASHEWTGIIGRSRDNVPWVGRVPQRKDEARWEDGLWLCAGY SGHGMPNASLCAKAIVTMMLEGEDNYDTVSEMLIQSGELPRPYLITHERLQEARRLPL VAGVQ LY89DRAFT_755458 MCCFNLFDPLDGLQGVTIGLFASKVLFCCLLLWSGWFIWSFKIT PWFRPFDAPPYPYFVPSHSYIYFRDSHGLISKARRYFSSTRKPFAIVLAGMLHYVVTS PGSAHLVYSKPLVFNFDYFLGKALSGFGVDPARLDAFWQKRSPSQGNPKGKCLVHVTE DIYKHALLSGPHLSGLLNNHKVALKYCLSFKQLAGRWGLATATQTEPISLYTLCTATM VESMHNCLFDPIIKSIEPGMTTGMMEFTDDMWKLMDPTSFVDSTQQKKTLKRYHDALK EYQRLPQRLRSKESPLLGNLIKQYREFSINEDDSAAVLVMVYWVAVSNVAKLPYWMLV HILFDGTLCADIQRQILPAMHGGEVDSSYLLHQCPLLKSVYLETLWLTKMDHVYRRLE EDIQVDGITLRKGGTVILSVTELHRDEEVFGCDAADFAPTRFQDDPKLASSKSFRPIG SGSTYCPGREFAFQQALLFVALMLHAFDMQVVGATKPQVDQLLLTVGLSRPLPGTDVK VSLQPRNLSCTH LY89DRAFT_728256 MALPGVGGLSVKKLFWPVIWLCIVIIFVATEYSRHSPSGGQPTN TTDSFVNITTSIADKIKAIPEYYDMLTGSTQDDIKTNTTIKTAEITTSIKAAVIIETR RSAAIIPLILHFSAVLGPDWPIVIYTSAENFGSFSTSEALLRHQANGRIVVRSLADGL YFPNWDSVSNFLTTPWMWRDLAPAEYLLVFQADSVLCSNSVRSVEDFFEWDLIGAPIS PQWGQGYNGGLSLRRRSTTLRVLQEYDWSKNPHPRYEDQWYYFRMKDLIARDIEELGE SKIKLPSMEIARTFAVETIDYPSPLGLHQPTRWFPADKHMTPLDDWCPEYKLARTDRI NE LY89DRAFT_184506 MSSDLKVYVGFWVNYSKGAILGSTLTLPERNGVILIAAVAIFIQ LIGLQSWGIIRFITHQFRTTTQARDGLFHQQQAILRNDTSDIGTVWWFTRMAYAWRSR TQKISRRSIGVILIAILHLVAFGAASVLASHITTTDDQVLGVRSPYCGAWALDTSDPF DSSTYIPYATYMETTMQTSDKYVQDCLVQGQSLPECKTFKQLGFNWTSSTNQPCPFDD MCLGSGDNSLHLDTGLLDSSRDFGINGKAEDRIQWRKTATCVPITTSGYSKHGASTMT YDRFNDKGTSNFTYTAVFYGPNADFNLSSMGITDPNLINSIYILTNYQDVEIVLTSSM YDLVNFVAEPPEDEVEVDFYAMPALVVPDTTLTLAFASLKGGYTEPSNDLWLPAHVEY NLTYSGSTGQINKTIYLLDNSVSVLGCIEQHQFCNPSPVSSKNATCTQMRSITHTFSD EEFDVFDNSRQIYIANLLSDQAANSQFTIAMFNAPLLAESFASVGFSLPIAADQWILE VKNWWTVSLAGTQRLLTEIAIGPASSQYNQFIPPNQASNDTDLAFFCDHQIIRRDDYT NFYTLSISLIFALGVVLFLVNQCLETVVGWYRSKFKTGRWRQRAWWAEGTLQLQRRAF EGIGIKGWERDEWDRVPVTEERKMFSALRNWDEMLPVAADHKGTSIVHLEKVQSPTSS EESKLRNVIVQVHSTTSSTSGGLSAGSDGRPRSV LY89DRAFT_184650 MTAVFDPANVNLTAADPVDIICYLAQSQNEYNGSLGARISSIFV IGLVSTVATFFPVLAARVRWLRINIYVYLFARYFGAGVIVATAFIHLLDPAYSEIGPQ TCVGMTGHWADYSWCPAIVLTSVMIIFLMDFGAEQYVDRKYGLAHGPNVETLITDKPG TTEGALDHHHPRTRSLTHNQLHSGDQDQAMHDNIAFAQTSKDLLPSEDNKDASGDSEK GKTASAYEAEEMSFRQQIAAFLILEFGVIFHSVIIGLNLGSTGSEFSTLYPVLVFHQS FEGLGIGARMSAIPFPRRFRWLPWALCASYGATTPISIAIGLGLRYTYDSGSFTANVV SGVLDAVSAGILIYTGLVELLVRDFLFNPDLTRDRNRLVFMVVCVFLGTAVMALLGKW A LY89DRAFT_703952 MITISTLRESCILMGYDCIVSKESIATGLVHQISSPAPAKPKSL IFQAQATISAIIREYSNYSLSVSIRGKWCYLATTGPATLVIGYLLAVDLLEWEDLGYR AGFIAVSQRNIIGALTGVGYERLAWLHRWIAHSLLFTVLLHIFFWFEEWDKYDYTATK IKEDPLTQKGLIAGGILLWIVISSAAPIRGVSYEFFVTQHIISWIAFITALWMHVPAE NKGWIYVPIAFWGFDRLTRLAYIIYNNFSLFHKRSSGVLACKATFTPLDECHTRITIA HPPISWTPGQHVFLSVPVLAPFSSHPFTIASLPTDNTMEFVIRAKKGATRQFFKYADK IAPVLPSYSSASSINEERTETRTVLIDGPYSRIRPLRQFSSVLFIAGSTGATFTVPLL RDLVQTWSKSSSSNQPSSSLKSGIFLQPPPNATITHRIRFIWVLKHSAWTSWFRAHLE NALLTVHDLKKKGMDIELEIDIYTTSSSSPSPDEKREHALHILSSSPDSNSLSARPPL RTSPSSSSSLSLDKTYNETITSYSQPLSHRPQISFQTGRPPLKETVRQEAEKAKGEMA VVVCGPGGMVREVRNEVCVVSDERGACKGSGAMGIWVHGEGFGYA LY89DRAFT_680263 MDMSSMTDTTTMNTTDMSMSMMTMAFFTSTTTPLYSTMWMPTSV GGYAGTCIFLITLAAIFRSLLAVKAWKETAWLDAEFNRRYVTVAGKLPKSERIASDTD SKRMILTENGVEEDVVVVKKRSMGVRPWRITTDPVRAAMDTVIAGVGYLLMLAVMTMN VGYFLSVLGGTFLGSLLLGRYVANENH LY89DRAFT_777256 MSPEEDQYAPEATGDAETSLTYPVQASALRKGGYAMLKSHPCRI LELTSAKPGKHGHGKTLVRGRDIFSGVAVEDSWPSSHSVMVPVVKKKSGEKQDVKLPE NEIGAKVKILLAEDKPVRVTILAAMGKELCVDAKVGNED LY89DRAFT_713960 MRTATLSTSTALVAFASLANAHFNLDYPAARGFDEDVLGTFPCG GQDTVSSNRTSWPLSGGSIALTMGHIDANVEVLIAVGSDPGSAFNTIIRPTFTEQGLG AFCMTGFTLPSSLNISDGTEATIQVVTNGDPDRGLYNCADITFSSTATNPDSSVCKNA TGVTTTQASVAGNPNVTSSEANGTTNGAESGAVGMRVGVENLLLAALTGVVLSFAL LY89DRAFT_680266 MDDGSSPPLSTFTYPGLPRSALTFTWQNRTMRAGPMQLVFYNRC LERYAARHTWIAILDADEYIETPGPETFREVLESFEHNRSVGALGINWKVHTSSGLKT RPSSSRKAFTSCAFDGNGTINQYIKSVVKTSFGATAANPHKFRFAGKAVTVG LY89DRAFT_574175 MSSKGWNPLQPDDLPRNFGGDDGNDTSMFSLGRHISAKAAAQPK LRCTELDENGNVVLASGEFKKSELIAKYGLLPRDLRKIDSSLLPHILVRPSAILINLL HLRVLIKSNRVLVFDAYGTTDSYNQSAFIYDLEDKLRQKQNSPSAGGLPYEFRALESV LISVITSLETEFEGVRKPVVRVLRELEEDIDRDKLRMLLIYSKKLGTFEQKAKLVRDA IDELLEADDDLAAMYLTEKSHDLLRGEDDHTEVEMLLESYHKLCDEIVQESGNLVSNI RNTEEIVKAILDANRNSLMVLDLKFSIGTLGMGSGAFIAALYGMNLKNFMEESDLGFL GVAGWSFVLSAFVCTYGLTKLRKVQRVSMWGEGGRRGRNWRDEDLDRAIVHREIEAGR AETRERHRKMKEQRLAAMEEMKAQMIRQRQEAAMQARLQASHQARKHV LY89DRAFT_635836 MELTAIKVPGKKKRGNTKAAVATTNPLKRPSSGRPLKLLDPSDE TQSSASSEPTSKKRKNRSLKSIKSRRKMSDLEKLPTEILEVIFLFCLNINLPNASPVI AGKLSSPIIYNKTLLAAFGPTWASSHGRKSIGLKDRGGDPVLQSAILRCRWATLPVML NAKDMWIQRFATDKVFKPVWFVADNNPPSDDDDSSAEETDNPTKITAREHLDTDFQDF SDVVLNWEESDGCLLDYCHLGLNFDVADAIEIPSSLLSGPWNEDTLKYLFWLITSGAS IDWCHTTSGEVGLEGLKKAITFGDSRAIVILVWVGMKVELNVNLMVYALRNAGGDKFA VITQLWMLNFPSVNNKGARIIEKEIADMMDEAQQEGDNEKYDLAVKISKSETLATILY SSEPNYGVMDFEARK LY89DRAFT_680269 MGNLSIAYKDEYAESSLLRPIFKELVFSSSLESLHLRIKEDPLL RRRPLPPIYMPTVDKALQAQKYDLLLRPSRHPLSDLKCLRALVVQGQPQHEIEEALLK LNFKIEDLAKKEGKSLLTKCQWRPAFGEWFYEIKIIDPIHGRHVSPFDDPLLDQTEPF VIEQTSFAFN LY89DRAFT_728267 MGAVVSCIESICRTIGNCLMAIVNGIASVLQAIIGAIASLFDII ISCVTCGRGGGRRRRGTSHV LY89DRAFT_663947 MQSSVRSEPPPHLGDLPRTFSNDSVHISKEVSVTSSRVPTPPVQ RSMYSPMPPSLVQRPASAISRPFSISAGQVTRWNSMSKNSEKSSLFQMRTEMGRRRSS VDPDLLESNRESMKALSDFLMTREPPPTNWVSAQSSDDDKSLNSFKRSAYKLFGKSKK KKKSKQQKLLRLPDSAVAARTSRGARHIAISIPLVHDHMEFVRSDTPTPETRMGKRER TDRAAVHVLKPLHELRESGSIHITSPPRSSNGPVIVIPEFSINPLASHPPDEALRQSP TLGGTYLNYRPQPRIEPSNAPKHDPKRPSKSYVAVSPTAMQRADSQRSADPRHSGGTV YSTISIATPNVGHSRQVSSVSTAPSATATSIAIIPGLKLDLPPRNSSMSKIAKPIQAE LAQSSNTNDLPSPPNSPLKPGDESLSSNSPPLVVVGTAEMVRKYSDGPTLVRSTTPRS SRLTTSSPPPNKCLPDLPIGKRPRISPPTTEKKPSARRERVKEKKQRDIEALRSSKSS ISRPPLSESKDTNTNTNTYLTTPPQRNPKRVLRHSSRTANVLSGVMIVADLPPSPSPS LHTKQSSSTTTTTMTATRQHTHAHTPPRSPTLDDFPAIPDHFSSRSSSLTPTTSPTFR KRGGSLRNSVLETRRAERRVKRNASLRERELDERVRRIERDNEVLIGMLGGIAGALGG LRELQGRREVRRLRGGEGVAEEEEGEGRDWLGV LY89DRAFT_680273 MREEAEIMDEIHGLDGDLKSFDPQAHWFCPRRNDDDPVDYDNPD EEEDMSFETKKELIADWKSRQAVAYKYSLILGLGAEISGRLLDAYTKRLNELLTSCDK CVHNWHLGRKAYLKELSGDSQFDEYIVAALSSRLDRLDFERLDRGLAIAEELLRKAEP AQRNQALLAKHDTSALLALYEALCCINYHSDDDRLAKHFDYVFEQAQGKKVLRIGDIL PAMARFLFSRNPVRQKFATYAWMKMNATLTEPIFEWVIHDVLEQAIILVSHPHADPED ILRFWAGFLSILEKMNENMIREWLRAMQVQPDVYRLALQHLASSSERIVGLVVNALRK LLIKAPKDFWEALGTISAHTVVDLIFQSPGFEKMLNNPESWDVFEHSLAVSWIPVLLR SIGPDSQYEACRKLLDTLFFKFQNERKFAEETRLACCRAGLEALHVTLQTFITPDYKV DASHSLITISNVMGLVHHFRDTIIGCADLDLNTDLATPSELKEVGMLDIKDALALDCK AIGAEYYALDNGRKLQRGNRTDSQEIWQAVLDIFRPGNLELVKSILPALIPLTGVDIL RPEDKRHPERLPKDHQAFNKDLRELFDNIAKVLERLSDFSSRHLYRLMEDNATARTLI ASLISADEGISGAAVEIIKVMTDQESKSDAFENLLSTHLAQTLTYMTSAIKRVSNSKT FGPVPHMIKSNRDILRGLCGTTGVLRSRSMFQQEEKSAVLGWWIMLWHALDVVFSQAE AWAPRVDQSTTYMQNFLRDCMEFAESLFDNHSIIASVLRESSSSEFDSESEESTSEKA GNKKVLEVICRNINGLVRMIRLRDAYLISVITSLIGKLLRCLGEYDIEVTQFASSYIR DSCKREADRGFIRTNLTSQQKAELQRALDENVGIDFVEVSKPTASKKQGTIESWTKSA DGQQHEPKLPTKKAVPGSKAINRHVEKHLEMVKAREAMNAQQKEQFMSNRKRDAEETQ KRKEEAIAKARALRGPSAIIKGEGSGLKDIGGVAGKDHAPARNEIMVGSSDEESDEDD DEDETNALVKRRKETSKKVTEYEESKRRAFQLAQQGPVKKTKIQRSAKDQRARVDPDM TKLYLEILNWDIFHPGDAPPGENQCRKIDNKYQDLDLYKRTFGPLLISEVWRSLVTAK DENNYKAVEVKVLNRVSVDKFLEVSTTMPIAYNKDLKMSERDIVLFSKDSDPLNSPQA PHCLARVDRTTRKKDAIEITYRISRDVDPTFLPSFVPNGKVFALKICDMTTTQREFAA LSSLEYYDLCTEVLEAKPSPLLKYPEEKISTISSKYSLNRGQAKAILSANDNDGFTLI QGPPGSGKTKTIVAMVGALLTPTLQQQQADQARVRAPTNGQPAKAPPPKKKLLICAPS NAAVDELVVRLKEGIQPLNGPRQKINVIRIGRSDAINAAVKDVMLDELVRIKLEGDDS DKNKLLQARDSLHKEAAQVKERLNVIRPQMDEAQKDDNPTKIQNLRREFDQLKRRQAQ LGSKIDEDKESGMTVSRQNEISRRKFQQEIIDGAHVICSTLSGSGHDMFKNLDVDFET VIIDEAAQCIELSALIPLKYGCSKCILVGDPEQLPPTVLSRSAQSFGYEQSLFVRMQK NHPNNIHLLDTQYRMHPEISRFPSAQFYNSRLVDGDGMAQLRQQPWHASTILGPYRFF DVAGFQTKQAQGHSFINVPELNAALQLYQRLKTDYSQVDFRGKIGIITSYKAQLNELK ARFAQRYTDAIFDEIEFNTTDAFQGREREIIIFSCVRAKATGGIGFLGDIRRMNVGLT RAKSSLWVLGDSRALQQGSFWNELILDAQKRGRYTSENVMELFSRPTERNQGPVQAEP PLLPANSLPSRTMSTSSASGSNGTSFRPKAEDNEVEMIDAPGPSESRKSSSSSTATGP KARNLLGISNVKRPTVKQEPRLDLADASSTASNELNTFGKRNRDESPGPEKVAKDPKA VDLDAALRAQQAKAAAQTARPPRPPGVAPPRKRPAADPFIKRKPPPKR LY89DRAFT_728270 MAVSADPENAPNPTKKLRWATQRLKGKSGSKKRGSILGRLGHRG SQNSEKKRDSAGADSMGTDLGGITEEPEPNEGATETSGSDRDGQGPRTVYFNQALPPD AVDEQGHPLKHYRRNKIRTAKYTPISFIPKNLWYQFHNIANVYFLFLIILTFFGIFGA SNPGLNAVPLIVIVVITAIKDAIEDYRRTILDIELNNSPVHRLVDWNNVNVSEDDVSL WRRFKKASTRGVVAVWGKLKRNKKLPGKDYAERALDEPRESYETRATADRRVSAFSAH TQRTSFTSARENIQMTPVPSPGLPRDDGLQVPDADRPKTAFSYEAGDGDAPVVKNFGN LINPTLPVTGGPRFHSDYWKNVKVGDFVRIYNDDQIPADIVILSTSDPDGACYVETKN LDGETNLKVRHALRSGRKIKHARDCERTQFVIESEPPHPNLYQYSAVARWTQHNPRYP DSEGEDMAEPISINNLLLRGCNLRNTDWILGVVVYTGFDTKIMMNSGITPSKRSRIAR ELNWNVIYNFIILFIMCLVSGLIEGVYWGQGDNSLDFFEFGSIGGKPSLDGLITFWAA VILFQNLVPISLYISIEIVKTCQAFFIYSDTEMYYEKIDYPCTPKSWNISDDLGQIEY IFSDKTGTLTQNVMEFKKATINGVPYGEAYTEAQAGMQKRQGIDVEKESARAYEQIAQ ARVKMLADIRKLYDNPYLHDDELTFVAPDFISDLAGESTREQQLANEQFMLALALCHT VIAETIPAEPPRIEFKAQSPDEAALVATARDVGYTVLGNSNDGIRLNIQGEDRSFKVL NTLEFNSTRKRMSAIIRMPDNKIILFCKGADSIIYSRLKKGEQSELRRTTAEHLEMFA REGLRTLCIAQRELGEHEYQEWNREHEIAAAAISDREDKLEAVSDLIERDLTLLGGTA IEDRLQEGVPDTIALLAEAGIKLWVLTGDKVETAINIGFSCNLLNNDMELIIFKVDDE SVDSADVELDKHLATFNMTGSDHELAIARKSHAPPEPTHAIVIDGDSLKLVLDERLRQ KFLLLCKQCKSVLCCRVSPAQKAAVVAMVKTGLDVMTLSIGDGANDVAMIQEADVGVG IAGEEGRQAVMSADYAIGQFRFLQRLVLVHGRWSYRRLGEMIANFFYKNIVWTFTIFW YQIFCSFDMTYLYDYTYILLFNLAFTSVPVVFMGVLDQDVNDKVSLAVPQLYRRGIER KEWTQTKFWLYMFDGLYQSVICFFMAWLLFRPANFVTGNGLNIDDRERFGVYIAPAAL MVINVYILINTYRWDWLMVLLVTISVLLVWFWTGVYSAFKSSDYFYKAAAEAFAQASF WAVTFLSTILALMPRFCIKFVQKIYFPYDVDIIREQVRQGKFAYLDVDNSAGDDITKD PSTTSSDIAKPSKHTHYPSLDEDQRPIYPPSVAATATTHNPRSQNGSDGTDFTRHRAS MEQLPPVRQSMDRARPSYDRIRASMDRIRPSFEQSRDFTSAAMLTRLESSHSFGPTQS RRT LY89DRAFT_635843 MAANNKPNKSILEFFKPKPAQPVSKPSNAAPARPTFQSSSASRT SPAPHVHTLSLSPAPSTDLSEPPFSPRKEDMPSKSQSSAEKSSDRVIENSDDNDDDSS SEIEDLRVLLAARSSERARQASNDKTPSTPAASRQQKSFNFHSSPLAVLPKYKIDMKS LVSFAQDDEAAEESSKKVKEMLAARREDGDDVPIFHDEKMAKSKLDHDALLDSVVADN EDGGAHKVKRALMRTEATATETRWYFFDTHSVPLKTTQDSFPVSKVPKSWKAELGEPR MRQHTFLSGFAEDMVGFGKKLPDELFLWMLDEICYESSDPLRSAYINILRASSEQVGR LVDPLMIQTLFVNLGAASGATIVTEKIRLVNKLPAPYAHRNWANLLSVIKLLGRLAKY LTSAAKMCTICMLLRMSMDKLVAENIDILDAVQETTNRLCLSITHETEWTTCCAQICT ILFGAVEESTLRLQMVEVISSIHPRTHDLRRRLAMCFYFNDATYAKSHSHTLMDMESF INRLHSPDFDTSPQTDYRELTALILLLDIALDDGRSTKLDLTDAAVEKKFNEDVDALR VGIKEIFNSIGHPGTAFISRIEAKEALELVSQRITDTVRTKRKPRHTWFDQDVGKKDE DLQSEKKGMADFVSRMKGIGNGTNGSKAK LY89DRAFT_777267 MSQSHALSDDQVGQELKKMTAFIKQEAMEKGREIELKADEEFNI EKSKLVRQETQSIDTTYEKKFKQAQMSQQITRSTVSNKTRLKVLSARQELLDGIFEAA EKKLGEATKDKAKYEGILKNLALEGFYALNEGKVQVRARKADFEVVKKAIKKACEEYT KNLKKDIEASVDEEHPLPEGSSGGLSIVGGGGKIDINNTFEERLKLLQDTALPAVRTT LFGKNENRKFYD LY89DRAFT_635850 MGNLGDLSPEGSVAIGILVGLISTSVQSLGLTLQRKSHLLEDEK EDYDVRRPPYRRRRWQLGMGMFIISNLVGSTIQITTLPLPVLSTLQASGLVFNSICAT VILGEPFTRWSLGGTVLVSSGAVLIAIFGAIPEPAHTLDQLLILLGRKTFVVWMVLQF LLVVAIIAIAGFLSRIPSISSSPRIRLFRGLAYGCISGILSAHSLLVAKSAVELIVRT IVDRVNQFNHWQSWVIVLSLIVLALTQLYYLHRGLKLVSTSVLYPLVFCIYNIIAILD GLIYFKQTDRLSPLAGGLIALGTVILLSGVLALSWRLSDEQTQPAVAQSALAPGLGLV EDTDNDEYSDSLGADEEAAMGAEHQALLNGEPMTPKTKMDTVLGATRNVRKSVRLTEA DEIWGELEDDDTKSPSPSRPPRSSSNSLPAPAIRPGDSEGDIPDETTALLRRDTLGRR KRRRSTGFPGFTARPVRRRTTHSQDATGGFWKMRWWKDRKGSGKGPPNSPGPNPSNNG GEGPSTL LY89DRAFT_728274 MADITDQHDQGSPSALDEHAVGNGNSIESRGVKRQRPSTADDDD DDDDKPGRERRKIEIKFITDKSRRHITFSKRKAGIMKKAYELSVLTGTQVLLLVVSET GLVYTFTTPKLQPLVTKAEGKNLIQACLNAPEPPSNGENGVDDQNTADSPEEPTPQHL PQQNRMPQQPQNMHGGYMPPTLEQQRQAMAYQNYVAQQQGTGGYPMPPQAGIPQQHSH QA LY89DRAFT_185143 MLLIEASSDVEVEVVGSVSRAPSIYSAHYRWLHLSHQPSSAFCL KTAQQRDPAPRTEGSSVPPPSTPAETRCTITKAAACEGQSKQLQYHISIHRNHNSFGA ASQHQQQRHPLPSAHFSTRPVRYSRPSSHIKTERKCSHQIADTSSQPPRRIPNNPCAL QIFGRRQVRNRTFCLSGRPKPSPTPQFSSSPLSPQEVKSHCTAPPLSTAELPPQKSKP SPSFALPQPPLIFPCSYSPVLLNLFFFLSSRTRTAALTLPDQSLIPLFVIQVSRNIFL S LY89DRAFT_185285 MKETCPIKGLGHSMVAAATIVCAQRRRQCRGAGGERKVPVRPTD GGMGDRKAKERRRTNVPVLFAVGRMGARWAGTLITFRSKSNTGSGSRPRIELLVLLCC RRAGMNWLLSGLSRRVSMQKSKGHQKRLMDFCFERKSPFKNPSGQARMGGAKMSKRKE QRYADTGT LY89DRAFT_728275 MRDNILMTYVLVDLLFVAAGGLLIIFALVTKSEINGTPNIDDVA HNIFFSMCPLNAAIGNAVMIFFTFLMTVPAIVMPMTRGWLKFGGYMTVICAIFTMVIG LDIWFETLKARKNLGNIWNTLPASTQSLLQTKFDCCGYANSTSPLFVTDTTCPNPQAA AAQVGCVGPFSKEANSFLDIIFTGAFGIVGIDVALILATAMLLKDRKEKERYRHIDEK SGAGAF LY89DRAFT_185494 MQSYGDARGSNGRLEDLKVDNFDTQVQDASDKAFELSQRASGFL RREKIRARLGEAVYNKGCPQAEQFIIPQDLHEIWRPQILRNFLGVLGYSGEENLVQTV LDHLIKTISILVYIRWEEWSRFESIFFPNGWGKPVREDRTDARIPYPDIILEEDQFLG SGDAAASDFFNHQNVYIPKIIEQGKRILIPKTTPLPFIKKQQEKIAVGGYGVVTKEVI AKHHFRPKSKFGSDPIKREFAVACKKFQTRGDFRAEAKNLDNLASALSKHERLVKHIA FITIGDVHDPGATKEFNILLPMADTDLKRLLYLEQYSPQCSDVVELVKEASKVFDALA WLHQGQMVEDKMQVFCHMDLKPANILVYEIGEYKFPAGCWMISDFGISSMTERHQNTQ FLNTFEKSPAGTLASILGADSVLTSRKREPGIYSAPEAHKLNGKIGPASDVWSLGCIL FQVLLRGVGGSEGIADLVKYDEKRRSKEHDHFCQHTDGEAHLHPAIERWLESTHLPGH IAIQDQKMIMECKNVIKQALIVDPDKRATAANLRDRLLLICEREEISNESVAGSPITS KPPFPMETSSAMPLPDRTTTNFQPTQPNPLEETKDLRLQQPESSAQPSQVAAAAFTLP PKSFSPAPTAAPPTPPDDHHIAHDLDTTDKLKHDTTGNNPSTQPENDIPRKQAKLQPL IPDRVSSHTRETALPVSKESTPAVIEPPPTFPRESVRSVDIGPFETRHRSSTLSIGIS RSSIVRPKSDNTSVCFSS LY89DRAFT_185482 MSRVDRGVCRWRRKGCLRQKRKCCPDEHGMHTRRRTVSNCSDLD EYLSERNDFHTRFISIAAINSIRPLKITEAGVYSILNHHNVGSQFLDLLFSFATRKNE SEAGPGNLSIKNFPDGSYEIQYRFSYAEEIVGKSSSTWAIRQTGVYHRHVPNGSGNIW ILLHPRPDSTVHTRLQDCALEWEGRSGSLDEWEMTHILILSSYFDDWRWYLKYLNGEV ELMAAIAISYDFSVTGDHTKGTEIMQRLHALLMKISPITPRLRSTIGTVTALKTLYET LRRKTLYTERHSVKILDELKTYEAHAEGHLATVALLEKKIQETVGLLAVALNLRGQST ALSINRNIFSLTKDTVDDSATVRVVTVVTLIYLPASFVTSLLGMNLFTFQTSPGSGFK VSRQFWVFLLITIPLTFVTVGSWMFVARRRQKQKRVDRRRQASVGGESEDT LY89DRAFT_680282 MSSLLLCVALWMFQAALSVIRTRSRPKLVSSSDASLVGFSSAYL CEKAWSLEHKDFIPLLGMHSQSCCIQASDYDRG LY89DRAFT_777274 MSQSFKEFALGGSPSITESEMPPRAKSLNFEGNDNSQPYTTRNH HRVYKHLNQWHILLITISGVIGMGLFANSGEILRIAGPMGGITAYLIVGIFVICVMEC IAEMVGHWPIANCMFEFVAKFVDKDLAVVVGIAYWYCYAIGFATLIVVAANLASFWTW SSTIQDLIFTVGIMAILIAINCLGVLWYARVESVLGWMKILLVVGSFLTMLGINLSDT GADTPNGRIGKSNIDDGYQHDPNVARNTGVAVLVAIPIAAYAYCGVEIVAVTALEAKN EQSLKFPAKWIAWITTLAYVISVIGFYLNVSWLDVALPIMEGRKNEMSNNTITTPSNS TTMQNTALVIIATLEAKVPALPSILNIGLIIAVLSTSNTCLYVASRTLFGLTRKIDKN DPIWGWMLRFSRTTPRTRIPAFALLFSALSFCWVPFLRFSKSLTNQELQEIMSAIATV GVVIVWAALCAAFIRYRWWLKMWEGELVGRYKEYNYWLIENNTVPFRTVLGGYQPAPA WIGLISCILIILVFSTASWWDNEEKGDAVVAALAGPLILFGIWGVRKGFKWRSGRVEW YVKLGTWEELKKVLDLLRGSRESDDNNDDPATDQPVQQEHSVASPSWRAPNFPNLPTW LGGGGNVFTPLSDQDPVHDFYRGNNSGNVSPATRPAYGSLGIHDPNGFELVHNPSHGS EAGHTRASDLYRVSIPGSPRRKPVPSTGSISVHSELNLGDSMQRLSPPTSAANYTQFN SARSLSLADISPAVSTRRSSNTLLPPERSDFLGPGLSRPALVDERDLSPQDSMRRVVW GQQLTPQSPGERISRKAVSEPDTGPMRTGDRQRLQRSREREGLRDEALREGSIPAPPA QYQPRGSGDIVDEALE LY89DRAFT_713974 MAWSLICPASRGIGFELTRQLLLTTTIPVVATARKDITSVKKNI LSDLKDVDPSRLIVLEVDVTDEATISAAAQKAASLFPPSSNHHLHLSFCIPGILHPEK SPSQLTHSHLLQTFAINTIAPMLLSKHFSPFLPKKSTSLPSPSSPGLPPHATWLNMSA RVGSTTDNRLGGWYSYRASKAGVNSFTKSLDLYLAARAGEKAMAIAYHPGTVKTGLSR EFWGNVEEGKLFEVGFAVEKMLEVMRGVGIEGRGRCWDWKGGEVLP LY89DRAFT_635860 MLISLTVGKVDAGVAVLLTQDKRLIEFPSILLPPNISSGSIVDI TVARNVASEQKSQAAFHALQDAIYSSFGESEPAAPVLRCRNATQTSVVLEWDPIETAT AECISLSLFRNGQKAGNIPRPTQMQSTKISGLAVDTEYTFHLVLRTSAGTYSSEKVVV KTHKMTDLSGITVTPGILPSQLKESLTKAIERIGAKIAPSVRIDTTHFVTTEGRGIDW EKAVEMNIPVVRPEWVEGCERGGRIVGVRQYYLDADPRQRQIAQAEIPQQAPPTEQPK SPPTSPPANGQTNGSSQAIPPTPPAKDVPNQEEEDSEEEGDEEEEKEKTAIPDEQKVR VEDRDQHKVALRPAAPASEDSDEPDEPEEKGRETAEEGNGSGSQTPGHSSFQDVAL LY89DRAFT_185625 MSRRTTLPAALRPKASNKGNTILDYHALLNFTPKVRKGEAAISL KFRAEHCYTLNAMRWTENSQVQSAILSSIHLKRWAERTDSLWWSALSHMAVGKRTVRS WCARRLRNAFTESLWKEGFAPDGSTLPGSRRRQPMIGTAMLGATPPILKINNDALIEQ TDAAVKHMIWVNSRDRWQKANGHEATDVHTKGVYKRGSQGRGPNPGVRTERAIFRYQS S LY89DRAFT_680284 MPKNKGKGGKNRRRGKNENDNEKRELTFKEEGQEYAQVLKMLGN GRLEALCFDGSKRLAHIRGKLRKKVWINQGDIILLSLRDYQDEKGDVILKYSADEARS LKAYGELPESAKINETDTYGQEGDGDCNFEFDEDRSESDDDEEGGKGKEVDVDEI LY89DRAFT_713977 MPSILSDEDKETVKRQVPKATNKIQAVAVARLYIAYPNRSRWTY TGLQGAAVLANDLVGNTYWLKLVDVSPANRGVIWDQEIYDTWSYNQDRTFFHTFETEE CLAGLSFVDEKEAKQFLKKMNDREKNASKMTKSNPFGGSAPQQGHRHGLLGGFFGGHR HSSAPSIQPTPPDSPSYVLPPANNHRMSGGSINGGRSQFAALDAIDANWRETWGDDLK QMGITDDLIRDNQDFIADYIRQQQAAQNLSSPNGIENQRVKAPPPPPPPAGPTRTRSE SPQQVPSGRGRGAPPAPPPARRSAAKAEPPREPTPPREPSPPRGPPPPRFAAPPPLPD AGKFANIDRAPARNHASSLSNPGPPPPPRPPKTPNEEYEPGESGSKFGVPPPFLGPRK TNPPPTPSRGAVPPPPPARDTSNSYSHAVPPSGLPPPPLPPKTPSAPTSYAPPLPPAS SRPVPPPPSRDSGPPPPPPLPQSSAPPPPPLPQSSAPPPPPLPQSNAPPPPPPPPMPS SNGPPAPPPPPPSFGGPPAPPPPPPNRDSGYQSGVPAIPAPTGERADLLAGIQKAGGI GALKKVDKSLVRDRSAAIVPGGADTGPAGSGLPPAGASGGGGGGLADALAAALNKRKQ KVSASDDEGDNDDWD LY89DRAFT_680285 MPAGKEDVDDHGAGAATEHVMKNASSKTESNASDHPIHDQGPPK GEQVEFRHHEANPGPAIPENFSAQQEGTKEERQAKAQALNK LY89DRAFT_777280 MSANGDQQPVKLSLPLKYQQELFQELREKDELVVIARGLGLLRL VTNLLHSYDAAGNNLILVVGADDRENGWLGEALAEHAAISMAPKARGLSVVNTDVMSV GTREKMYAQGGIFSITSRILVVDLLTSLLNPETITGLVVLHADRIVATSLEAFILRIY RQRNKVGFLKAFSDNPEPFATGFAPLSTMMRNLFLRNVSLWPRFQVVVAQALEGKKKA EVIELEVPMSDSMRDIQNAIMECVEVSIGELKKSNTGLEMEDWNVDSALHKQFDMVIR RQLDPVWHRVSWKTKQIVNDLTVLRGMLHTLLTYDAVSFNRHLDTILAAHSPPPGSTR QNQSPWLFLDAAHTIFDTAKRRVYTGKAQGDNQDVESLRPVLEEQPKWAVLAEVLDEI DRDLYFNPIVRDDSNGTILIMCTDTAQCRQLREYLQNMHVRPEQSTAEDEEVDDEPSA AFMMKRKLINYLKWKKEFAKISAALFAENQKELNGTSTTKSTANNSFRGKAPANKRRR VRGGGNTGSGSSRAANGSILASEDKPLEVATLISEIQPTGAETAQKEDIVADPLDDME DYYQLYDMQDLVVIHAYDGDMDEHVLEEVKPRYIIMYEPDTSFVRRVEVYRSSHNDRN VRVYFLYYGGSVEEQRYLSSVRREKDAFTKLIKEKSSMSVVMTLDAHGIEDPQEAFLR TINTRIAGGGRLAATAQPPRVVVDVREFRSSLPSLLHGRSMVIVPCMLTVGDYILSPS ICVERKSIKDLISSFKDGRLYNQAETMQLHYKSPMLLIEFDQNKSFTLEPFADLSGSL SSMSASNASSDLQSKLVLLTLAFPRLKIIWSSSPYQTAEIFESLKTQQPEPDPIAAVK IGLEGGQRAEDQSFNREPQDMLRIVPGVTGKNIKNLIVEIGSLKEVANATVEELEPAV GKEAGRQIHRFFNKSVLDG LY89DRAFT_635872 MATQMKRELPTEAKLKIIIVGAGLGGAGAAISCLLKGHSVHILE SASEIGEIGAGIQVLPNSSKVLKYWGLEHSLLTHASHPKAANLINWKGIPLSSLDFGS STAKYPGTCYWDFHRADLHRVLIERAVELGASMECNARVVDVKCKEEGATVLLADGRE MEADLVVGADGIHSRMREVLVQRKQPPKRTGDLAYRLLLDAEKLKEDPELAPFVLNKE VNYWIGPGAHVVTYMLRDSSLCNMVLLVPDDMPEDGPSTIAGNVEEMRSLFTGWDPRI TKLLALCENVQRWRLCIVEPLETWYHPSGSFVLLGDSVHATLPYLASGAGMSFEDAAV LGECLGKVKSKSVDEKIFALKVYQACRKERTEMIVERGNVQQVLYHLDDGDEQVERDR KIRANGAAQTDADMEEGEALVWRDPVLAPKLLGYDHLQDVEKHWNSLARGRSVAGSKL LY89DRAFT_606417 MSISEFAYNATSSIPLWAWGSIVFSLFAAFWIYPYSQNINGLNR FPGPVTGKFSDLWMLLQARKHRISLTIHEQHQKYGDFVRVAPNHVSVAHPDSIRDVMG HGNGFLKSDFYYAFDNIEDNIFTTRDRAKHSRKRKIVSHMFSPKSMLGFEPYITKALT VYGHQMEQMIEHGKAGAYVELGKTDSEIAKRQNKGEAAFDAAKWSAFLAFDIIGDLAF GSPFGFTAAGFDNVGFIVKLRDRGEWCCTVGQMSWIKTWTPYFFFDPFFSTGSKAAAA LGRIGIAAVEKRKAIPADPSRKDILHYLFSATDPDTGSSLPDNEIKAEALTQLIAGSD TTGNTITHLIDMMMLFPDKLAKLQAELDTAFSSPLPADFVVEWPDCKDLPYVNGVIYE TLRLRTTVSVGLPRVVSKGGMKVCGEFFEEGTVLSTPTYTTHRDPRVWGSNALEFVPE RWEGEKKAELEKAFLGFSYGPRACIGRNVAFIELKKTVATLFRRFEYRRVFPDDGSEI REGFHFKVQELPVFVRRRA LY89DRAFT_663965 MSLSGNLAGVDMNNAANLELYSEVLLFKNDSSRDEVLFFHRSNA EQRTLQAIAHSLLLEYEYSAATKVIRISRPTSLDISFLEDLQIGQMENDISARDYGIQ SEALDPNDLIPESNGYDNNSFISGPEIMTSPWLNGDHAENTAMSGPNLRDRQLNNHYQ QDGLSREGISANAPSGEFSNSMSGDLEPWSAYETHVDRIEMNQIESFTSSLQGVGEGE AQPEECILCHQHNYACTCFTSAPQAYTSEGFPQSSLDDVPNEASLHEQCPTSPNVRPL LQDFDEVPVVHSGNELSVCHFGDCMETFSRSVDLQLHLREHVLTQGLASVSDIPEATN LDWTEEHSLLPNCIRSLQRKRKNSQLSKTSESTDETSDNGVGPRRPYECDLCPRKYFS SKHINRHRKVEHRDAKARFYCSTCQASFDRRISLTNHRISCIYSGGGRTSRNDSREGS VHSGDNAEGFSVSVFDSGSIHSARSSARSANSASSGISVTSGRRGPLSRLARAGMHAL KEVGACWRCKVLKKSCDIYDPCVLCPSDQRSNWAKVGCKRGDFQPPGSRLCPSPFARI ATEESDIEVTESKIRSLNVAADVERWLQKVRSDDISGSIEEDRVLQNFHLHLHRPPLS QLSNTSLTQLAPLEQCALTILCHFLRCPEARQVLNKEGSLDELGKLLPLAIVYQAEQQ DDQLIAQSLICLRVCAEALRAQASGLLSTPWHSICESHNSKLDDVRNLNVHLRLYNKE LSRVFFQRENLRNRRPWWLSAFYSFCIQSYVRRALIKLNMELKPTSILATDICSFYEE AVWKTGQRAAATRSVPKQLEAAYAIFYDVVRRGRQTIEFFPSAVYTTWMKFSAFDREW YLKQALLMAVSYLGEISSKSGHPRNPLRISRRRFDFSLKISKLSPEMIAGLYSKDWDF DPLTEAEQYLYLPLRLFKAMNRLSVDPMIFWGGVDIGLKHIDGASVAVDHSTWQEKGI SSSYDYLKRLFEDDGRTLEEIATGDPVSMAQINDANLKGECAVCLTHIPAGSDIIVLP CSHWFHETCVVAWLQEINTCPQCGVLVYSEDEVGLDGE LY89DRAFT_777284 MTSSSRNAGDREHGNGTTKKQQQQSKVQANSKDKLRHHANATNP PMHECECMTTNNHKVPHRRKSARHVPHTYTPFHHLSLDAMDGLPDANIHEFGNDPEHD DHEDIGDESTTILTPSYDTNSTIPTYFDEDDECTSLFNTLSPYARTTHDLRYIGHWVE TQEESNYVLPSYVDAISIGRRYSSRVDVRDAMVGIEVAFPSGAYETVHRNR LY89DRAFT_680290 MGSSMESRMFFFEGWEPDEVWWFLSQVAIGGTIFILVWMYYAIQ FFESLEGEEIKRYEFGTLKPPEDVQILDRPSIKASGSTAIQCYAPATGQFLGLINPTT PEGIDRAVEKARIAQEKWSRTTFKQRRQVLRSMQAYIMDNQEDLCRIACLDSGKTMVD ATLGEILVTVEKLKWTIQHGEKALKPSKRPTNMLMMYKKNKVIYEPLGVVAALVSWNY PLHNLLGPIISAIFAGNAIVVKASESTAWSATHFANIARGALMACKHDTNLVQLVTTW PQTANHLSSHPSISHITFIGSQPVAKLVAAAAAKSLTPVLAELGGKDAAIVLDTAKND LPRINEILLRGTFQAAGQNCIGIERIIATPYVYDDIVNALEPRIKALRVGSILDSTDG KIDMGAMISDASFERLEKLVEAAVKDGARLLAGGQRYNHPVHHAGHYFQPTLLVDVTP EMAIANEECFGPICVVMKAKNAEEATKFANKPDFGLGASVFGTSGAQLDAVVSHLKTG MVAINDFAAYYAVQLPFGGNRGSGYGRFAGEEGLRGLCNIKAICEDRWGWMGIQTAIP KQIQYPIPDTRKGYEFTRAVVEIGYSVTFRKMFGGLMRMWRNS LY89DRAFT_713984 MATTRGAAKRAEALAAEKAALTLSSPPSTPAGVKNGPTFTPFDD ADSAVMNKNAMISQIQTPTPSEPVTTKRKRTTKSEKIVESVKGDWDVLPHGLGRKGDL EDDIGDTASQSEVSLTKRPRRGKAVKSKDLKSTPADIKTEAFGDADFLKDIEGKLGRK EQEANVGTDDDEFKEENVEDEKPTKKRSPRKKETNSATDALGPVEKKQRKKKHPYGLT PGFSPFPDHAKPTKEDCYEVNRLLAELHGEVKAPEVIPPPSMEVTGCGEVPDLLDALL RTLLSAATTSKNSNMALQGLKNTFGLRTAGVGKGSINWEGVHEATLDKVIESIKSGGL AKAKGTNIKKILDVVYERNLARVNALTKEKETGETAQVLGAEHETQKQKDTELARFKD NMLTLDYLFELTTDEVMEELTKLPGIGVKTTSCVILFCMKRPSFAVDTHVWRHCKWLG WVPETATRDKTFSHCEVMIPDELKYPLHQLFIKHGKTCPRCKAGTGPGSEGWETAKCP IEHLVKRTGKMKDKTASPMKKSKGKKVESLTHDEEAEESDLTELDDLEDDE LY89DRAFT_663969 MCGILGLILGDIDDPSSATAAADLHDALYYLQHRGQDACGIATC ASGGRIYQCKGNGMAAKVFHDGSRVQDLPGFMGLGHLRYPTAGSSASAEAQPFYVNSP YGITFAHNGNLINAEKLRDDLDKASHRHINTDSDSELMLNVFASELNETGKARVNEGD IFSALERMYGKCVGGWACTAMLAGFGILGFRDAYGIRPLVLGSRPSGTEPGTIDYMMA SESVALNQLGFENIVDILPGQAVIIRKGCAPVFKQVVPQLAYSPDIFEYVYFARPDTI IDGMSVHRTRQNMGIKLADTIVNILGPEAIKEIDVVIPIPETSNTSAASLSEKLGIPY CQAFVKNRYVFRTFIMPGQGARQKSVRRKLSAIPSEFAGRTVLLVDDSIVRGTTSREI VSMAREAGAKKVIFASSAPPITHAHIYGIDLASPQELVAHNRDRKAIAKHIGAEEVIY QSLDDLKLACAMESPRGLTQQFEVGVFCGKYVTPVDDDYFMRLEKVRGETKKLKVLDA AKDAILHGCASDTQLEIVTHGVAVNESGQVVPANNGTNGLKVNGDANGHGKRKREREE SGTPPVRDRHDISLHNFATEPQRGYE LY89DRAFT_185769 MDVIDLDELEDDQEDELRILAVQNIRPEEDQLQTQPRAARVVKV QQRNPSIVQPWSKCPGYQHENLLLKPNKTVELIDGDFLRIRDIVYNAQTQEVRVCGNR FQRTRDLNGLLERKMNELVLFLEVDLDDPRPHLEQSAVQIPLIEIKKIRSLRLTNQKF PLDRNLQPKDFRTRHDITHEGGLTCRWKYTCTYSTGADRYQNVHKERRLERIRADECT GANVLSDADRRSQWREETIPGGAYKPSLMIDAARSPSIIDEGFLDSVGSSSNQEDHNV EVIATHTSTARVGRNREMSVSEVFWPIKTEKRKHSDTETMSLSIQERTKRPRFDGEAV VEETRRRLSIYTLGNNIEEPDILLSRRKVSEVVISSQTIDFSRDPKSSISEPINLMSS DLSTPPPSGSIHTSALIPVEPVHRLPGQKLTYSDAFCGGGGSTRGAVMAGFQVKWGFD FNKHACETWKANFPNANCYHESSHDFVSRVQHSPRKERFKVDILHLSPPCQFFSPAHT VDGQDDEMNVASLFAVQSVIEAARPRVVTLEQTFGIVNERFRWFFNALIQMFTSHEFS VRWAVIPLQRWGLPQHRRRLIIIASCPGEVLPRMPDATHGENPSLGDTLKPYVSVDSV LASIPANAPDHDRLAVRFAEDKRMAPWDGSKILPRAMTTSGGQNYHPKGFRDFTLREY ACLQGFPVCHLFKGNYVKKQIGNAVPPVVAKVLFESVKRDLDIADGIVEGVEVLD LY89DRAFT_185842 MTPFPLSTTNVPDSLRPFSPDTLPALWNSKYTISLRTNLDVRGK SSSLWVSINVEEGSLASLWNKARSVSGINEHRSKCNKTRNCRSKIPWAEGQLRSMKSS RCLRIRYE LY89DRAFT_635883 MGDAEITASSWRLVEVGRIVLISKGPSEGKLATIVEIIDHKRAL VDGPSTDTKTACPRQAVALQNVILTPLVLEKLPRGARTGVVKAQWEKAGIEAKWQESS WAKKRQQRERRRALTDFDRFKVMRLKKQARFEIRKSLSKVKAAK LY89DRAFT_680296 MSNPRIEELPDDEPTKNVTAEDEGSESSDSDVEAGEGGIPAGGA IVHSRNEKKARKSIMKLGLQKVPGITRVTLRRPKNILFVINNPEVYKSPSSNTYIVFG EAKIEDLNSQAQASAAQQLAAQEAHDHAGHDHSGHDHSGHDHGKGKAIDTGDDKKDED EDDGEEVDASGLEDKDIELVMTQASVSRNKAIKALKENDNDIVNSIMALSI LY89DRAFT_680298 MAAGRSAALKLDWAKVTQSLGLRGQTATSLQAFKKRNEDARRRV QVLSEQPSKVDFSHYRSILKNQAVVDEIEKHFSTFKPATYDVARQIKAIEAFEAQAVK NAEETKGRVDMELKDLEKTLKNIEEARPFEDLTVDEVAAARPDIDEKTSQLVSKGRWS VPGYKEKFGDLSIL LY89DRAFT_606432 MDPNMFPKLPPPLDPAWLVHEQAANLFAPQPTITDPKERRALYS QRCKDLNAQLLTGRDKHLAEGIKIHDTSIGTIPVRSYSAIPAIEGSPPPYDLKSFVPR EPLHPIVVYYHGGGLHVGDLDSEDLTCRRICKELQCTVYSVEYRLMPEFPADDALADA LRAFQGIVSLRSRSRLIIMGSSSGGQLAAQISQQYRAFAVPQGDKRIHGVLLRGPVTC DATNGGANLSEKLRARHTSMHEAFHTSLLANSALHSKNRTSSPLPLEVEDLSKLPRHW IQVSTNDIYYSDGVCYAEALREAGIDVKLDVVEGWPHTFWLKAPLLERAVQAENDMIE GLKWLIESEVPPAKKPRSNWGPEIPGDFVPFTDEEFEKKFMNV LY89DRAFT_635892 MLDSFEILTTSGVVLWSKTYAPTSPSIINSLITSVFIEERTLPG AGVADDISAANNPPYKYDQHTCKWTTVKELGLIFVAVYRSLLHLSWIDKLVDNIKIIF VELYGDQLRKPHTTIVKCAFDDYFDQQIRQLEKTALNRDTKVAESTALRAEAPASVTQ DFGNEPPPLPGILSRGASKNNYKDLTSNESTPIATPDTSRPSTPASHLLTGKGGPGGK GSRRARKAANTPTAYASSGDELQSKRDKASKPAVAKKGRKWDADGLADEDSDTPLDYS APANGATSGEDEIKERPGAMKEIDQSSWGWKNEKGEFFLKDLDDQVDSILASATAKKT DTVTSSTGIVGSSISAIGGYFRSIVGGKTLTKEDLEKPMKAMEDHLLRKNVAREAAIR LCEGVERELIGVKTENFESIQTRIESAMESSLQKMLTPTSSHDLVLEINAIKKQRPYV ISIVGVNGVGKSTNLSKICFFLLQRNFKVLVVACDTFRSGAVEQLAVHVRNLKELTAR EGTGKVELYQKGYGKDAANVAKDAVTFGAQEGYDVVLIDTAGRRHNDQRLMSSLEKFA NFAKPDKILMVGEALVGTDSVAQARNFNAAFGNGRSLDGFIISKCDTVGDMVGTLVSM VHATNVPVLFVGTGQHYNDLRGLSVQWALKKLMSSN LY89DRAFT_777294 MQRTGYGNPPPATSPPLHHPVPQHISAVPQLRSPPPPAPQIQHQ AGYGNPYQSHPPPQGSFGAYGNFMSDPTAQMGFQVGQTALKHGTEYLEQNVNRYVNIS AIKHYFNVSNSYVVNKLFLVLFPWRHKPWSRKQSTGPNGQEGWYLPPRDDLNSPDMYI PLMGFVTYILLSAMVAGLRGEFQPEIFGWTASAAFVMVAVELLLLKLGCYLLSISNDS QLLDLIAYSGYKFVGAIVTIVLSEILNGGKGTGGWIGWTIFTYTFMANALFLLRSLKY VLLPENPSDERGTMQTVARSQKMQRTYFLAGYSYVVQLVLMWYLTRV LY89DRAFT_185947 MLIDAEGETDFGEGSRQTELAYTNHQNLLPLCWPSIICWGITFV LEVVVYIIVRIFEEKPYEQSTLQPILFIMRSWIILLAFVSLHTFKVMALDARWLCFRA QTVENTDVCFFAFDRSLN LY89DRAFT_777296 MRRLELSILLGLFVGDGMTAIVYVTDLPVYSALAPCAQFAVSYE VQQLTESECVAGVTSLEACACTQDQNSAAISSSISNQVLFSCGKTATDDVSSASVVFS AYCNQGGTVATDPPGPNAVTQYITDLSAYSDLAPCASGGLSYLIMGMTNSDCNTLGPS ALASCVCSKNQNSLAASESLNAQIKFTCGSTHTEDITSAQAVLAGYCGLANGTTSFPT PSYLPGSVSYYITALPQFQSLASCAQEALSYPVLAQTFSDCPSNPQALVSCLCVKDSN SQMMTSKISGSASLFCGSTASANMASALSVFDYYCSVGKGLATVAGQLSSVATTPYGS KTGSQATATGKYSTPGATSTGSSGNGGGTILSSPLGGTKDGVPVAAIAGAAAGALILI CLMLIVGWRYRRRRLRNRPLPPPVMVQPGPRPGNRPDWSKSELANTETSAIPPKSSTL RKPVPVPMSPVSPIDNRPSLIPSPRPRPAEMPVPPPPFRAEVPGGPNAHELQLSVPQH EVPGSNQHSVEMGASLSGPFEMAGDPHWRS LY89DRAFT_777297 MALVTAVAIAVTLGAAAYLDGKYALRKDIYSLRRLKYIEKLYAA HVKENRISLYYFFEASVQRKPNDECIWSREGCYTWTQAYERSHQWANWFLEQGVKPHD YVAFYLTNSPDFIFAWLGLWAIGAAPAMINYNLAGNALIHCLKVSNAKLLLADEEPAL RQRVDDVRSQIEGDLGMKISVQDRATTNTIRAVKPERPADVYREGVRGEWPMALFYTS GTTGMPKGVPYTVERSYERAAGRRTNELDDDRWYDCMPYYHGTGGVLAMSCMMTGLTL CIGKRFSTSKFWQDVHDSRATWITYVGETARYLLAAPPSPLDKDHHVRGMYGNGLRPD VWFKFRERFGVSEMSEFFASSEGVFGLINNCRGDYLATCVGHHGAILRYRFKDYMVPV LIDQASGEIARDPKTGFAYRQPYETGGEMIVQVPNEQFFPGYYNNPGATAKKFERNVF KKGDLWYRSGDALRRTLDGRWFFMDRLGDTFRWKGENVSTAEVAEVLGKYPGVVEANV YGVVLPFHDGKAGCAAVYIDPAVKKNFDYAGLLKYARVHLPRYAVPVFVRIVKEMTPI HNNKQNKVPLREEGVDPTKVKLEDKVLWIDENGKGHSYVDFHVDQWEDLKLGRAKL LY89DRAFT_186042 MGLTMDIQHLLSSSESVNTVPSSNLCQDCRHIFNHWDEVLEDDY NARFPHCQNIFGLIESAARGCYLCNQFLRNLEIRGIAKTLRGLTIDILNSAGTGYNGY IVVNSFERIISRGRDHHKDCWLLELRFRPPDALFDDDDDDDEQSDEEDDLQVDETDQD ADEDMETSDPVPTGDDLDDWPKDGGVFSSWPHEISRDMYSCRAVLVPSSISRMHDFRT SRLSPDSRAALWQARSWLETCSQSHPQCLLKQSSLPTRLLCLDGSRVRVCTSKSLDSQ EPPAYATLSHCWGSLKILQLTKENHPLLVQGIPHAALCRTFQDAIQITLALGLRYIWI DSLCIVQNDPKDWAREATRMSDVYSGGAVNIAASSASDGSVGCFFNRDSSHVWQHTVA ARVNGQNRIYDCIEDGISDACIFETPLARRAWVMQERLLAPRTLHFSSTQMFWECNTL NACEVFPEQYPATLSYVKLYSICDLTYQRDKLVAISGIAREIVKRDPQAKYVAGLWDN EIENQLCWKVRAPAEKTLQAPSWSWAAVNGTVNLATVSQFENFVRVLEVDLQGHEPFG EILTATLTIACQEIFHISNMSALLPLRWSTSLTISWDYAIESARTFLLPVQKGVSLGS EYISGLLLQETKKCQGEYRRVGHFEQLAAAWERLPEHLLRVTTSNVRGRDPWHLGNYA DLSPDSKIILLV LY89DRAFT_680304 MALPNLLSGQMVDSAHPLDLICERSTSDSSNSIAAEAQDRAYFY FSGLAVTDVGHYRLRVTLMQMDYVSCPKSAPDGETIED LY89DRAFT_186085 MQLPTQQIFAPVLAWSQHHNVVRVSSAAFILAIIWLFVPQYQKP TLQYIGHSLNPPPILKSPFEKTVAIIRSNKQRSERMFMLKKYEPFFHTVHYSMPGLVP GYPSGWQDLNNDNAIDDYTIYREVAVAMQFILDVPEGSPESDINSLLFFHFDAWIDPM DFASDNFDQMWLPHSPDGLKTDAGSGGIFRCMNNKEEWAPWVFFEPPYQNHIHARRAI EAIQHFNYPYPGGEFCVGWSDIYLIPRRFWADWIFLSAFFNDFGVFQEVAIPTMMKII DSTRRLHPTQSVMQQMGECWGGCCTTNPDIRDLITHRCGHKFNYADPTGSKVAGVHYD RLDRYAEMLGKPYNRTTWDQWNESANGRSRAWFRPRVRGELLENV LY89DRAFT_680305 MISREFRPRLLSPQSSKTQTKPANYNPTNLSYSSIPFEISNTFS PAPLIECSSYEERHSLLASKLRLIRPTYRH LY89DRAFT_606444 MRYSTFLPVLAASLAAAAPIEERATVEDYNPPPGGDVTILNYAL TLEYLERKFYMEGLANYTQADFIAAGFPDPFYDNLKEIYFDEETHVSFLAGALGAAAV HEATYSFPSTDAASFVALSSVLEGVGVSAYLGAAAEIVDKSYLTVAGSILTVESRHSS YIRAALKESPFPKPFDTPLDFNQVFSLAAEFITGFAPGDPALPFMAFPPLTIQASQYP YTAGSSPVTFTHAYKNAMSAGLITSSTPVYAVFFSGLDTYYVLTRMTAGNSGDLKIDM IPAGMAGMLPPTGQVYVVLSTADGNSTKVSDENTISGVGILEVSPPGDRNIF LY89DRAFT_693618 MPDKKEEIEVTLESLPQILENDTKVKLAGIDADGILRGKLVSKK KFLGIAKEGFGFCSVVFGWDMHDQTYFKELKISNAENGYRDMIAIPDLSSFRRIPWED DVPFFLVSFFDPDDGKPISACPRGLLKTTVDKIKAKGLGAMAGVEYEFFQFKAPSADS NGPLSERNNSSTATFLRDNPVNSLPSLTEGMFGYSLTRPVHNQEYYYGIFDACEKFNC GIEGWHTESGPGVFEAALSFGEIQQMADKAGLFKYVVKSVATKYGITPCFMAKPRQGL PGNSGHMHVSLVDKDGKNLFFREKKDTEAEWGDIANLSDMGRHFLAGLLEGLPDIMPL VAPTVNSYKRLVENFWAPVTVSWGLEHRAASIRLIAPPTSKPGATRFEVRVPGADANP FYVLAAILALGWRGVEKKLELKQRPLGKGQDVGGKADPGVRLAKSLKEATERFMRPES VAREVFGDEFVEHYGGTREHEIRLWDEAVTDWEVRRYIETV LY89DRAFT_573887 MADISEKALDKASTKLKQLVPSAHRVEIKVCDVSKETDVKAMVE HLDSWGGVDIIFNNAGIMHADDDDAINTPEKIWDLTQAINVKGVWFGCKHAILSLRKF NKAKGSIINTASVVALVGSATPQLAYTASKGAVLALTRELAIVHAREGYRFNALCPAP LNTPLLQDWLGDDKPKRLRREIHFPSGRFGEAIEQAQAVLFLASDESSFINGQDFVVD GGMTKAYVTPEGPPLAAPKNNALLSEQVDAIRGTGI LY89DRAFT_186203 MIMRLSFPRTRKVILNAGFRHLPLDSFGVERRRYSPRLLPALHI NSESRREVLERYSVVTKADFEKWHLTSFGPLFFDQATDTFQLQSRGKSFPTFASVKVV ESMFPEKLAQIKRIELLFDYPQVTSWRHFLRDSISSPLRSDHQGDVEGKEQWIPFEGL LHLSGLERVVFSIFISRWDAFGHNVEKLFDDLQSLFQQVLEKRKYRFAHGNAPTVKFR RV LY89DRAFT_680308 MYSLQVRTRRVKCDENKPTCYRCQEYGAFCEYKSPQPRAAAPEP TPPQKRCRGLVPIQPRPLQLVPSPSDPFPMDEVEGRYFRLFHEKLAFDLSGYFEAPFW TRVIPQQCHHEPTIKQAVLALSALYKSAISSETSTVNVDDEHVKFALVKHSEAISSLR KALSSGKPQMRLALIASLLFSCFESFHGNWETATQQVYSGLNILKHLSEDERQHATDS LNDIYLEVGLTLRRLEMQILSFLAMNPILEHPFDDLYVEEIILDIPDRFTTFNEAFTA LTYLAVSILRHSRASARCGDEPGPRNSIAQQQLHLQGSMDRWNRAFEPFFLEKCQNTV GREYLGLLQVRICAWKLETMIATSTSHTEIVFDGFIAQFQRITHFARYLFRKDQEIRE SDGSILQYGMGLIMALFYTATRCRDFTVRREAIAILREWPCTNGIWHSLQAAKVAEWI ASIEEDYCCGLEVIPENCRVQMHSLKVSLQKGVITVECVQSSVDGVLQSRRANLEWP LY89DRAFT_693620 MKLNLKLIVQVLVSFYVDPSLGFQHVVPRWINQQPTQVIGNVTV IDTPLVRAAQAYARKYSEDFLFNHVMRTWLFGALVISHNTTLQRTVDYEVHAVGSLLH DLGLVLNASFISSDRRFEVDSAFATTDFVENQVAHGDAESAWDAHRLQLLFDSVLLSS ESKFSLYKQATEPWGDSFVPHYSAVGYRIFDIVTGI LY89DRAFT_728312 MPREDIAFQTGDNVTLRGWFFTPEAASATPLPCLVISHGFTAVK EMDLDRFAEHFTSSVQISCLVYDHRGWGASDNHPSAPRHETIPYLQASDIQDAITYAQ SREDVNKEKIGIWGVALSGGHCIRVGAVDRRVKAVLTLVPVVNGLENGRRLAKPDSAP VFNRIFEEDRVARAAGKPAATIPVVGLDPNGTAALGTVEAYEWLSKLGGKHWKNEVTV RTMQEFQSYIPEAYIKYVSPTPLLMTVAEDDYLMPTDLALAAYAKALEPKELQIIPGV HFGAYGPQFEQVVNRQIEFLKKTLLA LY89DRAFT_663989 MDQSQRQMQRAVPAAKAALLRSKRRKLKDVGKMQEEKADIKKII KHKRKKRIMKSRIVRKKRREMRERWRNEHWEGEAKMQRALAGMGFEEELRDFELDGDD GWKAGAGWDDTEHQHQVREEFEEMVEYDVMEEYEVEVEVEDDEEGAADTDDEERDMYV LSDDENK LY89DRAFT_714003 MCSKERYFHGPCRHIRRRLIKRCNSPDARGDNWIKCQNGYDMAG LRELHDWCPVCIYWNTKEYLPVDMDPETQQETFDKEIRDQAIGKEIGLNDAVRFWTFG LWSGPSVAKIFTPRFEPLPECSECIGREDALAPGIGFDLTDSYGAAAIRYHNKSTLNL GKVEANQEYKELVERLSDPFTKISCHSTTWQEVHCSYLHLKRRLNKLCGRPATRDVGI LANLMSQLRTLADSHLGAGTTKSVLPTMPSLPGLQEEDLRDALQHIGLRTLVTHKHLG YVWETSAVLAGMGFGLCEHPENCDQCEVEEADMPFLHVLALSLTNRSFSAAYTYTQSA FQSYHEAESVRFELGLENEGEEAYWDRIRDVIVGFGQMAKWPLNTPLLLGEGAGHSEF VKIVQDALFKLNLEVDPVSDFGNREIHWLRQVRRAVESRLAVSKIERQRMVKKF LY89DRAFT_186231 MYQGVNPTICEFCGHRMGNGIAPNRHCIPRHSIKFVEEKDKHQY ENSEEPCPWVNNRCRD LY89DRAFT_728315 MASERQVTADKKAELREGRSERKKALKALKADVAAGRASSLNSA ASIAAPPKEHPKQHYHVKKKRYIRKWQKQVFKSWRPEAWSGEATYRRWVREQERVTEA PAAFELDGEDGWEAGDGWDDHEFEVETDVEDELVCYEEEVECIIEVDEDGKEICVIEA PGEDDVVISLSHGGIVKEFCSPVKSGGEWTVDLAVCQQQDDHISCGLAVLRAVETLRW GVHSTVWNCPRVLEVKISPHAH LY89DRAFT_186246 MSITSSLTMAVQDNLTLNDKPPSTKNGMRPPPPRSNTGEKAPPP YSAGSRSENVPPRGPPGHRPSRSQEEAMRARRAATQGSSSRSRPSQELDIFADPIESS SKPGPRLRRNSDSSVLSGHRKSLDPEEDRKRQERRRRERRERREKEAKDPKNRKPPKN LDIIDKLDVTSIYGTGLFHHDGPFDACNPHRNRQGSRRAPMQAFPKDSLNNVLGGGGP LNKRPDHATFLGQADEEAFKDYSKGGQGFNGYEPYDNGAIRAPPKSELNVLSATTRVE PIHGEETLGLGTSTFLEGAPASRAALQRRESEQQTSPTEAGGLGRKKSLAQRIRGVNT RRDFGPSGRITSPDGVYSPEARTPGGTKVESNPFFNEFSKGDDSKKESITIVEPERTG RARAPSSPRRGFGERLERRVTSDGSGDMPPSVAPKIGGGFLSRVKSLKGGPRKPRAEK PLAQDA LY89DRAFT_574205 MEGNQTPPPIPEEPRYGGFSRFEIELEFVQSLASPLYLNHLASM KYFENPAFVAYLSYLQYWSLPPYTKYLDYPGPTLRNLELLQQERFRADILSPDVVARL FEEGMKRGAEGPPS LY89DRAFT_680313 MLLFCPSCSNVLTVSQISVEGDETPVNRLECQTCPYQYIITKRY FERRAFVRKEREDVFGGPGAWDNADKIKIQCPKDGCDGDEAAFFQVQIRSADEPMTGF YKCMTCGNRWREN LY89DRAFT_186324 MADIRAHPEQDEEHEGASPGELLIEAARRNNTDLLKEVLSSCKS EDEAAKLLNETKSVLGNYVYHEAALRGNYEIIDTLLDQPGFECDPISRIEGDTPLHSA IRYINSHFSTSPTNAELSTFASELISMMIEAGSDPKLKNKANLTPYQLVDPRNETLRQ QIQDAVDVEMMRGDYIEEREEGDGRGDGGGDGGEDGEEDGDVGSGSDSDFDPEEYRRE KERRDRERRAAAGANGGA LY89DRAFT_186357 MRPTNTARTERVFPPLLILLLAFFALDFSSKASAYTTNPFFVRN SNPKPRPARWGPPEKRDGSIPLVISNNCGDTIWPGIGTQAGTGAGTGGFELSSGDSKA LTVSPDWQGRVWGRTNCSFNVAGTGASNLNGNNGAGAACSTGDCGGVLSCVLTGATPV TLAEFDLAGGTGGTQTFYDISLVDGYNIPLGITYIPGDSASLQDIPPNLTNPACIGTA GWLADPSPSGENGNSTNSTYPVPFESKQTNAMVASWCPWDLQVTQPTKPGDGVYPYPD DNIQRPIFDPCLSACSKTNSPSDCCTGAYDDSSKCSPNLYATSAKAVCPDAYSYAFDD QTSTFIIPSGGGWEITFCPEGRSTNILATFKQQLEALSQGGGKVSKEILADCMNITII NEGSKNGGERTRGEPNRFGGSLGALVVVVAWAVLW LY89DRAFT_186437 MSSMNSRFKGLGFGSKRKSSANVPTIAQNPTSSAPQLAGRPVLP STASSSTTSLPMSHPGPGGRPPSYTNNYAPAPAAVGRTQSPMAGNPRTPPSQVMGGPP PINTSAGGGYPPGHPAGGMGAPPPAGGPPQYGPPGYGAPAPIGNSIAAQQYANRNNAV EVEGAGRSKAQLIVGIDFGTTFSGVAFAFATNTEAKEDIITEWPGAGSYTKQKIPTVL YYDQYQKVVGWGPDIADALAPTGYPKPGVQKVEWFKLQLMLSGNTYIDPINLPPLPPG KSEIDVAADYLFKLRQAMRSQLQKTLGEVFNREERNIRYYLTVPAIWNDAGKAATRAA AIQAGFLRDENDNRLTLITEPEAAALFCSKAGLLNLKVHDAVLIVDCGGGTVDLIAYE VEEESPFTVSECTAGSGDSCGSTALNRNFSNILRTKIRKMKLPDGSKTAGRVYAKCIM DFENRIKADFRNNNQKWAVDVGIEAEFPEAGIEEGYMTFTNEEILQCFEPVVNRILEL VRNQIIAIQAQNRTLQNVLVVGGFGASEYLFQQIKLHVPPQFQAKVVRPMDSVAAIVK GAVTAGITERVVTSRVARRHYLMATLQPFKEGHHPEAYRVPSLDGKDRCKFTRQIFVQ KGQRVKIGEPVKVSFFRQVAPGATLMYEDILYACDDDVCPEYTKDPRVKEVVTLTSDL SRKNLEKDFERMDTPQGTFYRVYFDIYLTLDGSEFNAELVCQGEVMGRCTSRFK LY89DRAFT_680317 MSQIPTYVTGVPNGTEKGLYLAVDLGGTNFRVCSIQLNGDTTFN LIQSKVAIPKELMVAKTAKELFAFLAKQIELFLKTHHEDRFAAHIRRRQTASTPEGYR DEHIFRLGFTFSFPIHQIGINRGTLMRWTKGFDIPDAVGQDVCALLQKEIDALHLPVR VAALVNDTVGTLMARSYTSPGKTGTLLGAIFGTGTNGAYVEKLSAVTKSMEGDFDKST GEMVINTEWGSFDNNLKVLPTTPYDAQLDRDSVNPGIQMFEKRISGMFLGEILRIALT TMMKKPDVSLFADANSSHNDYRSTTTIDETSCLFTQWAVDSSILSIAEADNSVGLRAL RQEIEKSLGVSAASIEDAQAVKEIAHAVAKRAARLSGAAIGAIVIHTGRLNPGASGVP GPKSAAAITEDIKNLKISEAVSATANKLADTAGLAVNEDEIVDIGVDGSLVEFYPGFE DYMRDALRILPEIGAAGERRIRIGIAKDGSGVGAALIALVAAKMEAKQDYLGDLRARL AEVGEEENESATS LY89DRAFT_680318 MEFLLLPTHRGDPEKVIQVPTTPYVCTERYDGGSWLSYPARNGM AERFKEGRFDILVYERYEKNNPMPIKEKESFFQTWLYFGLIAELLGANSKDKTRDPTA KELIKTIYKKTVVEDGDKISVKLDTAILDEFLDLGRARMSPDPQVRREHYEHMVQCLD CVHMLISTVPNDFNWSVKSSIAALGELFTIIMQTVLRALEAPTDFSRMWGVRFLNDEA KASMREHGWCRSDIARAEAKYDKVQTLYIARMLDKSHPIRDHSQCTDASCYAHQNNMS QYDVQHQKLSCSCTELVMEEESLLSMVLEEGHYPLLKFEGQMDNLSCEPVRSGSQPYV AISHVWSDGLGNAEANALPKCRLYHLQKLVDAIADADSGTTPNKKERYLIWLDTLCCP AKPGIGKDTSIEKMRVVYEKAKYVLVLDAGLMAYDSESQDSSEIVFRIFTSSWMRRLW TLQEAALASCLFFQFADKAVNLVDVLRRTWSKAASSMQYVTFQPDLIRETKGIAAFFH SPTPLEFADSLDNLDHSLQYRGVSVPSDEPLCIATLMSLKLEDIVELETQELRMAKVW DIIMTKNGGIPSQIIFFEEEKLSQPGWRWAPKTLLNTQRGMRPLKTRVIRWNDTNIAI REHPYGLRVKYPGVQIFVKSDYGDGKPRNPWIGAPRLGEDWILYHSLDDGRWYKIADT KLMVLSSSWKTDEERREHNKRELFPLHEVANTGRSVLVLNNLPGMKEALFAEKTSDKD DLVEEEAIAVNTRLNTIVQDLGEESYIYDVVEKLALAVRADEVTDKHLDLCQRLKIDE NTASERFKDLLANHEEFIASTASVKGKVQEKLAQAVAEDEEFVRLINKFWDESYQRDF WVVIRNFFHHDYVGKRTGEQQQWWID LY89DRAFT_186636 MIVPSAPSQHQEPRYTMDKQQLGASVPGGLAKTFEEEKRELDIH ASRLLATITQQVQSGHDVTVLSEILAQVSREIAYIVEKLEKCEVLPSDVYVPYVENET VAAHKPIITIAQPAQGSQGTGIIIAHKSDNANPQSTQSCQGSETILISIQHAPHRAHP WTVEVVATENSDPIRDVPDTSNNALAQNDTPFCSSESTSCSSSDSNLPSSESIRSSGL DSNPPSSESVICSNPDPGLASPSSYQTSSPSAPVTTHPTRGSGSLPPTVPPDPSVQSL SEARRNISLLIRETEHKKEKQKRCSSSMRI LY89DRAFT_186836 MHYAFLTLCLLGLTLLTLVEPIRKNTNNHAAALADEAQTYHDSH SAAEKSNKKAESFGSRLTDVVYSYAGQIHLAGSSPNDLGLVDAKCAADGLSCKHQDTC CSRKCELNTCRPACVADALSCAHDGTCCSNKCELGTCRPACVAYGLPCAHDGTCCSSL CISGQCHQNPCVKKGGPCSEGLQCCAGLTCAADETGTCI LY89DRAFT_186705 MLASFGYINVGATVPQFCRRHVLSSETDQGGLSSYTRHLKKDFS NAPNEDDLCTVCNKTCTSASLWLLDASLKDLPLMLLNAHGRAAGRGVDDLNLQQQNLE RSRIRRSPYDTQQNMYLTALYSSPASPLHREMSPKHPHPSRVTSSAESFVWGKMEESE GTSHRRQPQSTIHSEPVKACDDPFFAACNKKPPRPSRGIFLSNYCCPVLGGSEAQIPN AQAPRLNMLTNSRMTDLGQRHLERSKDGDHQPTVCNKRI LY89DRAFT_186742 MIAISRVFNIEGLWEVLGEIGHESPPSPSPTSLPPLPDNKTDGP IQSSPDWPEIVDSQAPSPLSDPDPPSPTLQKEDADLDIGPEILLISTLTQIINNLFAR KETPSTDAHTLLSFLSRHLVTLSRTRNILTILHNSTTPSSSHSSSSKPSSTFPNNQSA PEKKKKSNPQPTSVFASNPLKPALGILFGQFPELHLFVSPMPRGRSDAEVLYSGEREG GEVRFVSVVEVLRDECPGLGPDEERGKDEKGKGKGFGYREQRWCAVEVCDEGAGAGAE LKGAFGMRGGMRGMGMERRGIGRGIGIERGSTETEVGNVAKVWGFGGRRV LY89DRAFT_186741 MAIFSTPTSFRLNAIVAGNEAAPSIATRSFKLFSNIAARGNGHD SHPPLGHLILLVFEAVMEVVCVSLPGYIVARQGMFDADNQKFVANLNVALFTPCLIFT KLASQLTADKLLELAVIPVIFVIQTMVSYLVSIGVSRAFGFGKRPANFVTAMGVFGNS NSLPISLVISLSQTISGLHWDNIPGDNDDEVAARGILYLLIFQQLGQLVRWSWGYHVL LAPPEKYENESDGTDIEGGRYRDNPELIPGLDGEQGEYERVNGNGAASSNSFESGGRT PITSAQYAGSVDSDEEEPRKIPDLLPTPANGNVFPSDRSNGNITSFPSIRTPSAADEW DIPEGYKGIIPMIKLKTRRGVRFVSQKVERTSQKAFHSLPSPCQTVLSKITAALGRFF AGLWEFMNPPLWAMLIAIVVASIPKLQHLFFADGSFIANSVTRAVIQSGGVAVPLILV VLGANLARNTLPQESLDENSEENQIGTKLLVASLISRMLLPTLIMAPLLALIAKYVPV SIVDDPIFVIVCFLLTGAPSALQLAQICQINGVYEGVMSKLLFQSYVIWILPSTLILV MCALEVVEWAQAV LY89DRAFT_187092 MVSATAMTCDRHPIQFPAPHSLVLYSSTSVLTSLHFLELTTHEL HSFGMTAGRYGSALPSYFRALPDTLILLGILLTVQYLWPFATTAAGLVGCGGGCGVRK IQVQPNSPREPLSWLASHFGVSVVLTIFDL LY89DRAFT_573802 MATPPDHPPSALEDSIDFASPRSPGELSDSDDESPAGSPSPETS NTLRLPSLRSTSNPQNTPSMNPSSTPLGLLQNARRPNLPPSSLSSMRGPQRNTGGALP ESMEAKMRAFHLSRQGTPPSRPQMGAQSVSVGSGPIGGQPNIGGGAIAGLPPGLRLPP NLARPQAHNFVSAPAVPKMSLSDRRKMKLPGGLPGADSSTPNLGTNGNAAPPSNQSGN AFDQFSKYVDTKKGELRFPDKAIISSQGVEFSSGSTFSISLDEVDTLDELGKGNYGTV YKVRHSRPRMRRPGLGLAGNKLSSFAPITPSPEKDLSLDSSPKSGGGTGVIMAMKELR LELDEAKFAAIIMELDILHRCLSPYIIDFYGAFFQEGAVYICIEFMDGGSIDKLYGDG IPENVLKKITYATTQGLKTLKDDHNIIHRDVKPTNILVNTKGQVKICDFGVSGNLVAS IAKTNIGCQSYMAPERISGGGMSQAGANPGGGTYSVQSDIWSLGLTIIECALGRYPYP PETYNNIFSQLSAIVDGEPPDLPAEGYSAAARDFVKGCLNKIPKLRPTYGALLAHPWL LELSKPVTISEEDEEMAEVNAETTYTGGVGDHKVMGAGRGTEDAEVAEWVKNAIERKK NGLMGESKKPALHAAPLDTVSPAASPSI LY89DRAFT_693627 MSSTPSDTSSGLPLRPALKEEPTSPKCGPVKVVSIAEPEPEHEN SSSTPLDETARLKQFRAGVADKRMTGRPSFHGTASSSSMLSLLRQGSLDEVPPLQQPS SSSNVSSAQHTPRHTGAGEGKHGHGLGHHLHGHGHHHDKLLSQVAEWLQAEKAKRAAR KSKKRGEEEPIELDGASSRPRTGSQSSDSSAISLEKLQRILEDNMSSFGHERLPMLSP SLRPQMPGRSRRRSSARKLGAVSSDTEYQDGDVIVPSCDVVLDNSKTMSYTGGGAESS SETVTLSTSKRAEKERKAWLGFKNEIVRLAHTLRLKGWRRVPLERGGDIEVERLSGAL TNAVYVVSPPKDLPVPTHSQQGVAGAHAKPFVPRPPPKLLLRVYGPQVEHLIDRENEL SILRRLARKKIGPRLLGTFTNGRFEEFFNAQTLTAEDLRVEDTSKQIAKRMRELHDGI ELLESEREEGPFVWRNWDKWVDRCEEVISYLDKEILSGRKGNRESWRKRGLICGVEWP LFKGAIDKYRKWLGEYYGKYGVNNRLVFAHNDTQYGNILRLVPENPTDGSTPSPLLLP QNTHKQLVVIDFEYASANTPGLEFANHFTEWCYNYHDASKPWMCNTDNYPTLEQQTRF IRSYVNHRPQFNPRASATPKLSAMEGVSRNSISDFYLDSRTPGGSSSTLDLAYDYSQE EAAREKDTERRVQELLNEVRIWRVANSAQWVAWGIVQAKVPGLDDQTKVLNGEAMTEE PGEINGDAQHHPQSEDKRPEGLKAEALLAGETVKEAEGLEDEEDEFDYLAYAQDRAMF FWGDVVGLGLVGKEELPERLREGLKVVGY LY89DRAFT_680327 MKFSTIASSLLLAGSAVAAPGTAMRRARAAERASRKSLPPQRIE AELAKLTNNTNVEYDSNWAGSVVTTSGVKSVTGTFTVPTPKSAGSGSAWVGIDGDSCQ TAILQTGIDWTKSGSSITYDAWYEWYPDYAYDFSGITIHAGDTITVTVKATSKTGGTA TVDNVTTGKTVTHTFSNEGSEGSLCETDAEWIVEDFESGSSLVSFANFGTVSFTGATA TTSSGTVSGGSGTILDIEQDNDVLTSCSASGTSVKCSYV LY89DRAFT_187648 MFLISWLPPAKRQWRGPSARTSISLWTWTRSRNLMIHPYKQAKH PPIIIFSALPFTPRQAFLPARLPSQHSRRLRKNLHRKLNRLPAQAGLALVGSLISCRK LDLCRKHSSGLPIKVKRQMSVPFRDGT LY89DRAFT_187651 MDRNDQANQSREGLLRSYNKGESIPLRKSLEDAYRSDSESDIDA TEFLARDDPLNKQTTAPVSAYAPSMHLSNLRRNFARRSKCVLIILVVMVIFWSLLAGG GFFIYKKKPADGQSPPWYPTPQGGTITQWSESYKKAAALVEKMTLPEKVNITTGTGWQ MGLAVGNTGPAINVGFPGLALQDGPLGLRFADNATAFPAGITVGATWSKELMYKRGKA HGKEARLKGINVLLGPCVGPLGRMPAGGRNWEGFGADPVLQGIAAAQTIKGIQEEGVM ATIKHFIGNEQEHFRQSWEWGLPNAMSSNIDDRTLHEMYGWPFQDSIKAGVASVMCSY QMTNNSYSCGNSKLLNGLLKDEWGFQGFVQSDWLAQRSGVASALAGLDMSMPGDGLLW AGGNSLWGAELSKAVLNGSIPMTRLNDMVTRVVASWYQLGQDDKSKFDGEGPNFSSWT NDEMGLINFGSPDDHDQKLVNKFINVQGEGDDAHSIIARQVATEGTVLVKNDDGFLPL SKDGWPADQPHEITFRVGIFGEDSGPGKGPNACPDRGCNQGTLGSGWGSGAVEFPYLI TPAAALKAAFNKNKVYVTDFPTNSPPFKQTPVILKDQDVCIVFANADSGEGYMASKDI HGDRNDLALQNSGDELIYSVADGCGQGQGSTVVVIHTVGPVTVEKWINHPKIKSVIIA NLPGQESGNAIADILLGVVNPSGKLPYTIGKSLDDYGPGAKILYYPNGIVPQQTFSEG LYIDYRHFDKYGVEPRFEFGFGLSYTKFEYSNLTVHKLLPKSALPAPRPSGIEPPRYD DKIPDPKEVLFPAGFKALKKFVYPYISKVSDVKQGEYPYPVGYDVKQAPSQAGGDEGG NPDLWNVYANVTVDVKNTGSVTGKEVVQLYLNFADVQGAARDLDFPISVLRGFEKVEI QPGDTHTVAFNLTRRDLSYWDVVQQNWIMPTEGTITIRVGASSRDLKLTGWY LY89DRAFT_187667 MDPTITAALAEFKDDIPFRASKNHTQSTWARTFHSSPELYIQPQ SIAEVEKLVNLARRCRRRVTTVGCGHSPSDMTCTSSWLVNLDKFNKILSVDKESCVVV MQSGIRLWQIGEELDKLGLAMPNLGSINHQSIAGAISTGTHGSTLRHGILSSSIKELK ITLANGKTETCSATQNPDLFRASLISLGALGIIVEITFQAVPAFTLAWKQVVDTDLKM FNSWDKELWTQTEFVRVWWFPYTRRAVVWSAEKTDAPARPPPKSYYDAWLGYHVYHNL LYIAQHAPRILPWIEWFVFGMQYGFPNGSTTSAIQPSRQALLMNCLYSQFVNEWAIPF HKGPEALRRLSSWLNHLIPEDPDYVPHNIPYSAEGLYVHAPVEVRVTDTSTDTTPRPQ LDPTCTEEATLYLNATLYRPYDMDPPCRFRYYQGFEWLMRDLGGRPHWAKNFETTGKD IESMYGDRLLEWRKIRGDADPDGMFVGEWHRRFIMGDGPKLALEETEIARRKLWIGGV KVVGAVLGGDEKEGLSRSAHSSEDSFDHLRASDVTIKG LY89DRAFT_680331 MSFCGLRKPRPLRRRTQDKGKGKSVERADEDPGRLDVRDWQGDS ESESDAKGLLRQRRSANFDWAREDQLSTILEQLDESRRNTPNWENEPNAKWDFAPAPR VFAPRFAAVTIKKTRFREDHIASSITEDRIPLMSFSGQKTPETPRSIAPPPRDLESIA RIDKSLIFEHFTTNDAWELGSALRARLLPLPTPVVIDISLANQNQTLFRTCTHSGVMP DNDNWVSRKRKTVLRWGCSTWYMHCKFNGDEIAFREKYGLGNSAGEYAIHGGGVPIRV TGVEGVVAVVVVSGLKQDEDHGVIVEVIRELYY LY89DRAFT_703992 MTSSQKSAVNIIFGAMTFGREGTEQARVFTQKDCSAILDIFQAH GHNEIDTARYYGEGSSEEFLGDLDWKKRGLVMDTKYYPTAGREMSKSNAPEGGWTHNP EHLRQNLMDSLKALKTDKIDMWYLHGPDRTTPYEVTLKAVNDLHKEGYFTRFAVSNYM AWEVAQICEICKANGYIMPSVYQGVYNALHRAVEPELFPCLRKYGMAFYNYNPLAGGY LTSRYTRETKDGVEEGSRFDPSRWQGKMYRQRYWNDAYFDALDILRPVAKKHGLTEAE CALRWMTHHSLLKKENGDGIIIGASSTKHMEENMKLLDDPNPLPEEVVKALDAGWERV KGISGRYWH LY89DRAFT_187736 MDSTLLGQDSKSSALVYHIYHTGSKKHNFSVHTISDSRTILTLD EPALSNVTTGKGQHPLNDDTLFERRNTWSSIPTKVKRGKYLETRAHDPRTETSPYYVH LPTLYGHCPPYTLRHGGTKRAPPAGLMHQSLFWRKWTMQFGDVLAEDGVIDGRGVVNI KYGTKNGEHGTLKGHSVRGRRYMGESGKEWHAMQNTLPEDNMKHMSKLKPEEVVHLAW AAPLSTRTREYHFRWRDFAFKWKGTKNVGRAQNIFQPFMRYAHLKLVVVVPGRDVNVK EEELLLAQYTCVPASRKAGRLELYQDTIDSFLVEHIHDTFQLRELTVNEKKSLAQVQH SDIKSDQRLRDVLVASAMCMIISEYQKRQVIIQLILLALNATG LY89DRAFT_664012 MDVGGVEREESKFKLKLWPKSEKMACNETRPICGNCGRHGVPCV YNNSPSTSARQPSPNERSFPPPKSKDLDLLADYRPTLFIPPPNDPNVQYLLSSMDSDD EKWEIPEGKPRRRLELRLQQNFIERTSQTLSGCQNAPVREVWAVEVPKLAFSNDNVLY GMLALSALHLLKSEPENQELRLARQAYKGLALREHRRAIPGLSSDTADAVCYASTLVM TDAFARLQDRPLDPWTPPMEWIQMARGSGSVFGAAFDQINNIRTAKIMPVVDAEPQLT DATVLFAESNRRGLEHLLRQDLSNERWDHDTQYAYQRALNYIGGVEKAVERGEHPLGT CRRMMAFALLGPKQFYLFVEEYRPRALVILAHYFAIYSKMEDLWWIGNVARREIQGIQ RVLPAEWQGLMRAPLASVGLLAP LY89DRAFT_187767 MGDSQHGLNAAQLAIYIILAIPVLYILIRHRLPGLLGWLYLFIF CTLRILGGALALHNGSADKTAAIISSIGLSPLLLAVSGILHEARHYRNPSLNKKIEFI LILLFHIAVTTGLALLASGSSAFGANSSSANKSDENLLKVGVVILMFTWVILCAWTIF TFLPHQARKDAPAYPSGTKLLYAIGFSLPFVGMRVMYSLVSILAPSKSLSPVSAALGL KLALSFIPELIAVITFVVVGILTRNVWMEYARGDGVSAVRMESGSSWTGNKRGERLVQ GAGHV LY89DRAFT_703994 MDSASVKVPPMKDLTIDNITENTIVINSQSSDQRLTYVMERLVT HLHDFARETRLSTDEWMAALNFLVKVGQISSDVRHEFILLSDILGLSLLVDAIDHPKP PASTEGSVLGPFHTHEAEDMKHGDSMSHDPQGEPCLVVCAIKDVKGNPIEGVKIDIWE TDSTGHYDVQHAERDGPDGRCVMRSDKDGVFWFNAIVPVPYPIPHDGPVGQLLKLLKR HPWRPAHMHFMFEKPGWDHLITALYIRNDPYETSDAVFGVKQSLIVDFTSADAEIAKK YGVKEGTKFLKHDFVLVDEKETERLRDENALKALKALGRKIKLLNHLPVPDVD LY89DRAFT_714024 MLEPISMAMTAGAVIHTVYQLTKSIYTFTSGTATVDQSVQSMIK ELDSLRESTYTIETCLRNPLLHKQLETAEKSHRDDLFLSIDGSLKNTQNTLDNFQQTL DSIREPTSLGPLRQPLILLGLNFNAKEIMIYRAQITAHVHALSMGMHSITVYASIQSP HVVINEVVPKLNVLIELVADLSSEQLDRANLSIPEAERARIEETTTKLKVASQQVISH ARSVTSRGSTTGSTVSGSRLLSVRGGISDWNSIAAREVRESTLPEGFDGDPDSEEETG VELFESILKEGHRRHQGGHIEDATSCYRKALEEADKTRLRIQPNKHYNDACLKLAEIH QQRKEFDEAKVVLTELLAKYDSVPPGLADQIVDAWYSLAQVLTELKELDQAEEYCTKV MHAQRRRDKASDAYRNSVLLLASINDEKGDTLKAIGYRGLVSQPTSPLSPSSAENDHI LSHDHRISQTATVVSNTSASVPQDYVGPEYQRSSQPASPLGASAPQDYLDAQSHRATM SVSIASTSTAVEGKICDIDANPLQRLERPTGQDLKNTFGHRDNPLTTRYGEGGKRHGA FEWTVPFSIDGATLPQILEANADHLIYKSLEALNADLFTRPLTSIKSRRFDPHIALRH RIHEGHLEVAWLLLYKLDRVKTEWEKRTRHFWSSRRPDFPQRIDANYKSEHGLTFLED ACISKISGRAKHDMIDLLLHYGVNVNPRVGYFVPLARATLDGDHDLVEILLAHKADPD VRLRNPDGTTERSFQRRIFTNDTVLITATEAKLSKVVDALLFHKAKVDIPSSKGTALC VAAEKGCLDIVKTLLDYGANVNAIRPVQNMTPLCLASKIGHTAVVRALLNAGADVKHE VKPNFTAIVYAIEQRHHAIADMLRDKGAKE LY89DRAFT_680336 MATNTEKNRSHWDEAASTYNTKYSKTINQIIKEIQTRKDWIGVD WAEDVSDDENTSSSVPKKTVRLLDYACGTGLVSRALAPYVTQCIGIDLTEGMVNEYNT SAQNQGIPATEIHAYQGNLIDPSQPTPAALSGKEFFDFDIAVVGLGFHHFDQPALAAK RLAERLKQGGVLMIVEFMPHEHFHGHNAAKTVTHMGFSEEEVKKMFEEAGCGGDFRYL EVGKGKIAFGEGQDKVERSVFFARGSKL LY89DRAFT_635954 MASIPVIVKHQGKKYDVELDPASTGEVLKYQLYSLTGVEPERQK ILVKGGQLKDDTELSKLGAKPGQTFMMMGTAAQGNEFQRPAEPIKFVEDMTEAEAAQQ VGATPAGLQNLGNTCYLNSTLQTLRAVPELQQELVKYTAAPTPAGGAGDQTNDFSMFG LGGLGSATDLTAALRDLYKQMGETQEGFPPLVFLNALRTAVPQFAEMSKSGHGYAQQD AEEAWTQIVQQLRQKLKIKEPAEGSSEAKDTSFIDKYFAGSFSTITECDEESAREAGE EAVKGEDPFLKLDCHITASTNHLRDGILAGLEEKLEKKSEILDRDAVYTKKSKISRLP KYLTVHFVRFFWKKEAQKKAKIMRKVTFPDELDVVEFCTDELKKMLIPVRDKIREVRK DEEDVERARKRQKRIHDKEVADKAAGIVDSVSESKKADEKKEAKKDGKAADGDTPMED VVYKTDAEVEAERAAAILAAKKELHALINPDLLKDAGANKSGLYELRGIVTHQGASAD SGHYTSYVKKLGPLDPKTGKRGEEDGKWWWFNDDKVSEVDADKILTLSGGGESHSALI LLYKAIPVPDAEGNYE LY89DRAFT_680338 MSQSLRPYLQCVRSSLTSALCLSNFASQTSERHNVPEIEAQTSP EVLLNPLIVARNENERVLIEPSINSVRISIKIKQADEIENILVHKFTRFLTQRAEAFF ILRRKPIKGYDISFLITNFHTEEMLKHKLVDFIIQFMEEVDKEISEMKLFLNARARFV AESFLTPFD LY89DRAFT_777330 MRVTYPLALAFAAYTTPALAYTAEMFKCIQPEAHCCAILSTTGT GVNCQPALLENMNTMLGETDPDDDSSPYASVCYVDPEMSVKTPQIPACCDNTSTSMTP NLNTFNCVPPETGSYRYDSETRNFSSSGEDTYGSEDYCDPRYSGAYDDDDWYLYE LY89DRAFT_573474 SLRHIFHHGTHTNPTLHKHIKLSDSRSTLWVDSELGPDLGPKIE ITRPLVAQSRPIEIERLEDRRPSVVEPLIAAAQEGSDVWGSSLSIRWTLDTVSGPNIT DKDTILTFAYMGANAYEPTPNKGEWTDVNGGFNETIGFGWEKEGVRGYVYANEDDSTI VISFKGGSARFWDPFDTAWTDRENVNMLFGCCCGQGSLFYHRNCNCARGNTCDTSCVG KCLRQEEKYYAAAQQVYSNITALYPDAQVWLTGHSLGGTMSSLLGHTYGLPVITFETP GDALPVSRLGLPAPPGSRNPQSRNNTGIFHFGITSDPIFTGTCTGLLATCTFAGYSFE SMCHTGKKCVYDVMKDLGWHSSILSHGITSVINDVLLKYDVVPECEAVPECVDCVGWK EGPSITSASSVISTTTSTSTCRTPGWFGCWDKTTSATATPTS LY89DRAFT_573974 MARFPSLSSASWSTAFVSSLALFSTLIPSVRAQSSSSDTIQGTN GAGVTQTLLVDRFPALYSGNFGDCMGGQSLINLTSYDAAYYADNMTVLFNLAGTTNLR NESLMLYISVMAYGEDRFDLVFNPCNANFASLCPLNASVPITGGAVIPVSTSDVSAIP NIALSIPDFEASVQLRFFSNSSETQIACFQAVMRNGASFSHPAAVGSVLGIFTFVAVL ASFATAIYGVSVPHMRTHYAHSLSVLVVFEVFQSIFFSGAISLNWPSVCAAFWSNFAW SAGMIYTPTIINSINSFVGVSGNASQVGGAGSTILNNNGGLQQQIYGRSIDSASEFAR SEQVASRIYERAIETSPYELAKRTAQLAGNIVKRATNSTNGTQSEQLFAWSGVPVAPG LPLPGNWSGFAGELSLVSVPAADAFMVGFLWFLILVAILIGATIAFKYALEGLSAIKW IKHDRFAYFRSHWMGFTGLIVLRSCFIAFFMMMTLALFQFSSGGKAGPIAIAVIVFLG FFVGAIGTAIYACFYRVKFGKYESSPDRIHFQRKKVFKFIPWYRTVRESSLEEEDRTK SAGSVSFFQIRFVDQDSESQSVHQNVDFTKRFGWLSARYRRTRWWFFSFWVVYQFVRA CFIGGASQSPTAQVFGIFVWEIIAFIAIICINPFEGARNTALAVYMLGISKVATAGLS IAFLPQFNVARIPTTVIGIIIIIEQGFLVIGVLILIVLGAISSYMSLTRNREDFSPHS LENIRMKYFGHLEQKEKDVPPPPPPPPEEPKEPYFAVKTVRRAPKIEDEDVDDVPDLA EFNPAASQPSLAGPAARSRANSMAGSMRSHYSSYGNVPYGARVHRASWSSRDFNQYQE EQKGDSPFGTPSRTTRVSYPRHMRKERQEADFAVLTSRKYGVATIWLVATLGAKSTTK KVTKKAIIGVDIIKACETIMEPEAPMALRLQSNLLYGVSKVYNQQWEYLLSDVQAAQN NIRALLRTARFDGLDPNAGKANPNHLILMDDPAFSPDMILPDLGFDLDRALTPQADTQ RSSQSMLSIRGRSGSVSSLNAASVLGLDIGSSSNGGAGSYQLPPNGMFRDSSVQKDLG GKAYDEEELVFQDDDLFDFDADGQLRDIPDSEREARRAGSIVPQHRLGSDSAASGRVR KEHEDAQAGHILPVLDGDGDFDMMQYGDDDLGLPDAEAFPVMAGGPARNDDPPRLSSE ANAPSSPGGEPSSVSAEAPQKRQKAKKSRALKIDSTVVLRNSDLNTWHREYLDNMAAQ SLINNHKKASQQAKPNAFHYLYGSGLNGVGEGIGSEKLPNPLAMFSGEALMAKLTGKS LVKVRGRQSKKRVHEDEEDEHSNKRVRDDEVGRGIQGQDDDDMFNMPDDDLNPLDNEG PEAEASIEVERGRDAQSALADYPSSAMPWNVSASLHSHQRGQSSSIQGGRLGSQGPSR RLTSASPLIGRGSALPGDLDDFDNMIGIDDEMVMYGRSDNDRSSSHVPGLGFGAMGIK SSSQIGVGDDEFELFGAAAAVDTQTAADSQWVRSALDAESNNFLDYVRNTIEEKSGDE LSADEDDLDRVGGDRGVEKSVTFEELFDPEKNTCVVAAQAFYHILCLATKRRVWVEQD VVDFQPWGEIRIGVSSV LY89DRAFT_187866 MMRCVLLAGMKLESRDISKTFIQLAHSNTIQQFFQSCCVGGCDS RSAACLGEREPQAVSPAPPLHWIVRQCTIQYPKLDSPSIGRSGLVKLSTSQPAEPSSP LTTGHSPVHEIPVRVPVSLHNLLPKLHFLYLPSLPKDIRLSALLSNLISSSSCVEDFT VAISTLRTTSCEDNACSRDIPVVIHRLRLQFLYPRLQPPTIPQLHRK LY89DRAFT_664023 MTPFMSLNAIYLALAILLYLLGYFSFKPPKPLHRSVQLSALKRS LQTYPHFNKNKQDRDLFFKYAAHFLAEGSAPEIPEAQDYIEFDPPFAIFLSVVVTLIL LGITALQCAFSAGLGEGAVIFSPSIDRWLAPTTLVLLRAGIVIVFALAGMMSWEFGWA TRMRRTLGGIREVCLDGEICKCCGRGGDEVVGEKRREVQEERLSWWIQGLLGKDEDNA VEARKRMEEVIMPVIEKIRY LY89DRAFT_680342 MTTPRVFIVRHGETEWSLNGRHTGTSDIPLTSNGEKRILATGRA LVGDDRLIVPKKLAHIYVSPRKRAQKTLELLYLGCREKLPWQEQREHKDEDIRTDANV QITEDIREWDYGDYEGITSPQIRELRKKQGIGGPNGEWDIWKEGCPGGESPEQVTKRI DRLIEEIREKFHGPVIGKPKGSAPPGDVLLVAHGHILRAFAMRWAGKTLQDGPTFLLE AGGVGTLSYEHHRLEEPAILLGGGFMVDVVENSAADQKKELEAKK LY89DRAFT_187953 MKGKYNNKTFSLFRPVPQIYTFSFLYFSLSRRVLDLGEMADSAL RLFSSLLAAASASDPPAPASSDLIPSSHRQSTTPAPPIRRTSSWGSTSTTSTDSDDSL ELQDWRYGSREEQDEVKRKRKENRKRREKEAEKAWREFWG LY89DRAFT_680343 MSATAAQQQKIRRFVWTGAIVAVTATGAWYGAGLKTDQEIKEKV KKQREATPAEKIAVLEEQRGALVAKRLGLEKKIQQLEMRAAGATREESMQGQERRR LY89DRAFT_680344 MGKEKMHINVVVIGHVDSGKSTTTGHLIYKCGGIDKRTIEKFEK EAAELGKGSFKYAWVLDKLKAERERGITIDIALWKFETPKYYVTVIDAPGHRDFIKNM ITGTSQADCAILIIAAGTGEFEAGISKDGQTREHALLAYTLGVKQLIVAINKMDTTKW SEARYQEIIKETSNFIKKVGYNPKTVAFVPISGFNGDNMIDNSPNCPWYKGWEKETKA GKSTGKTLLEAIDAIDPPSRPTDKPLRLPLQDVYKIGGIGTVPVGRVETGVIKAGMVV TFAPAGVTTEVKSVEMHHEQLVEGLPGDNVGFNVKNVSVKEIRRGNVAGDSKNDPPKA SESFNAQVIVLNHPGQVGAGYAPVLDCHTAHIACKFAELLEKIDRRTGKSIEATPKFI KSGDAAIVKMIPSKPMCVEAFTDYPPLGRFAVRDMRQTVAVGVIKSVEKSDKAGKVTK AAVKATKK LY89DRAFT_777338 MITRKPLRQHICLRCQRTLAKRSLRPQPSALSQTIPQRCRYSQQ AAPKSDDEIGLDDNDTDVDKVEGGEYDTRRLLGKLRGHRGLFQREDLEELRGATALGD KAKVIILRDSIFRKYVLQQQKMKVMKPKHIDILGTLAEEKGLVGADEVTKNIEAFRPP EGEGIRDFAQLNELVSILDKGFTTSQLENYMKSFKNKREREKPIEPWKANDTHAPITQ ITPWLPGISDIEHYFDSDPLREYYRSSHTPKQVLALRLLRECWMVELPDLVDGIGQFE IKLRSGDIDLLLMGQYSVLDSIRNTHLLFEEERFDVFRSRSAIRVTTTNAKKYAIIEA IQESVKGIRRGVINLAALLTKKLSGRQVDKFMDTYLDDTALTCLGEITSTKIVRSSGK LLQVSCIENNPTATSSRVDVVRRLILTCSNFSSRLEHDLAIRQKQSASGALIDYSFTG GLNWRQRMRDWTRFVAPLSKEPAYTAQTISEPTLSSLPTTRSSDTETPTPAQDSEQRD SPLHWSKRYFTETSALMGTVLHSKPNKIDIGPKSTPMNTPGLVHAFSTKVPNLSRVLS KAGAPLKSKTIDRLVLRFQPNPFFLVVKRDRHSKYPSFKKSMPIGVEALSAFPSLEMT FSIDGNKNTKLEGVNAIMHEKKTDVMLPDSAVDIRFQQRITSRLTRKFLKPIKNFLAE SQLSLASKGKLDTPPTITLPISAHLCQGEGFKLLGLKETDKHLEDREVQYLFAGLEVH STLVFDWKDFRVCYTSIEAGKAGGRRAELKLRPVRGGAVVSEEDFVAAAYRLADMLGD GSGELVDLEDVRTVTTTEPKGGLMRSVPAKPKLLFSYFSGKFKINKGLGADTFNWEKS EQVMEEEDEGASDEDSAEISDNEDLDGAADVENELQGEFMQKQHNRWMESDKSE LY89DRAFT_777339 MPSAARRRRTVQEEEESSEEEEDRRQSTQRNRRHAPPSDDEEEE EEEEGAGDDEMDVDARPDAQDQTVKKMVRYALACEYQRMPIRRAGISEKVIGKQRGSF RRVFEAAQTQLRTKFGMEMVELPTKEKTTLKDKRAAQKTKGGAKTTSSYVLTTILPPE YRTADIMPPSIVGDIEDEAQYLGICSLIVSIIALSPNATLADVQLKKHLGRMNMERNA GGLGRTEDVLKKMCNQGYVQKVVEKNQDEETIDWKVGPRGKVEMASTGIRGLVLEVYG ENAPEDLDKRLQRSLGMEIKKASTGNGVNGQETAEAEEADEERDNGDPGPSSRRTSGR RRG LY89DRAFT_188049 MADKLRAQQQLEALQARYVGTGHADTTKFEWTSNIQRDSYASYV GHPPLLSYMAIGMGECKEKVRAQMIEKMIQPVGKPPEVQD LY89DRAFT_680347 MDLEVQIRPHSSRSRPPAHRPLSLDQRLAQGDRFRKAKERLEVS LQEIQQAHSSPSSAFPSHTGQDDCERCARRKGDIARAYYAYYLDSDPESWVSYATPEY RRELERRFNDPGSSLDDLHATFRMGLREYLKLNLSSGTVFSEDELSSLFIDERSTTEI LNTCLEQAQQKTSNSDTATFIAELQGAKGPEDRAQVYAKYYCSQSWADSSSVKNFKAK YARMFEQLVPHDEVVAAMRREAEESQSSRLAGLSRQLSELEMAQSAHLKAKARKDQKM RDREPSPGVVQCSLVGCANEVDLATDETIECAVCEWLERKGSEKGRAFYCCMGHAEED FEEHDRNDHQCCMGGGCFYFPQAGPPGETGNGGICQDCADEEFTSYFCSQDCYHHNLE LHREDFHMGKGIHNDSDNLEMFRPADDMEIMS LY89DRAFT_728355 MSTPKIIHRLRDVLSGRNHDKHAKGAEPPGSFPSDDEPDHAQDR DELQKSIADAISAALNTDDFTKAVAGHLATQLQPTLAAAFDISTIESKIQASNEQLTQ RINQSNTQTSSKLSDLSYLVDTNNTQTTGKISSIEDAVSKITDSLVELGNNIEAVQQQ IGSPDTSLLTSHGEKLDTISSGLQTLHAREVQAPVDFTSQDAKLAALSAELGSLKLDI EAGTSAGIAGLSAQVGAVLSAIEVHQATLSELKASDATPEILDQLKTSNQSHAAHAAA LDEIRSKDTTSEILGAVQASHETLATHTASLNALKEVVSAPAPVAEKIDLTSLESSIE NVASTLNAHTGTLDEIKTLAALPYPVSEKVDLSSLEAFVEKLSAALAEIKATIDTPLP TVEQKEVDLSPLVSTLDAHSAHLEAIKSAVSAPVPEAKEMDLSPLVSTLDAHSAHLEA IKSAVSAPIPEAKEVDLSPLVSILDAHTTHLEHIKSAVSLPPPEKTDLTGIEASIGLL AASLAEVKASVDKETPAPKEIDLSPLVSTLDSHTTHLSELKGLISGLPAPAVAAPIDF APIVSHLESHTTHLENIKSAIASSAPAQDTSVFSSLESSFEKVMETLGEHTDTLSAIK NAPAPTADLDPINTTLQEHSTHLEAIKAFVSAPPAAVESTDLAPIVSHLQEIKDIVSA LATVPEAVDLAPLVSTLETHTQHLTELKEIVSKPAPTADPVDFAPIVSHLEAHGEQLA AIKDTVSAPASATEPVDLTPFVSTLEAHTAHLTDIKETLATQPPSQSVDLAPLLSTLD SHTAHLTELKDIVSAPPPVSEPVDLTPLVSTLDAHTAHLTAIKDTLASQPSPPEPVDL SPLAATLEAHGAHLTDIKGTLAVPPPVAETVDLSPITAHLTTIQDIVSAPPAVLEPVD FSPITSALEAHSGHFADIKATLATPTPAAEPVDFSPIVSTLATHSEHLNAIKDIVAQP APVAEKTDLSGLEASLAGLTATIGLHGEHLSEIKEKVATPTPAAEPVDLSPITAHLSE IKEIVNTSGSVPDKTDITGLEASFVALTATLGIHSEHLAEIKDKVAAPTPVAEPTDLS GLQGSIDGVASSLDAHKATLEEHSAHLSAIKDIVATPAPAPEPVDLSGLEGHLTDVKS LLEVQKAAIDEHTGHLSAIKDIVASPPAVAEPVDLSGLEGHLTDVKSTLESHKAALNE HSSHLTDIKSNIASPAPITDLSGLEGHLTDVKSTLESHKAALDEHAGHLTEIKSTVAT PAPVTDLSALESSVAEIKASLDTHHTNISELKSTADAHTENLSAIREVVSAPAPVTDL SGLENAIQNVTSSLGDHHHALTEVKTKAEDHSKHLLDWFSKPSPPAEVVDISHIEKHV LEVKALVEGLPVPAAVKEVKDEEILAALGHVKALIEGLPVPTEAKDVKDEEILAELGH VKTLIQGLPTPTESKDVKDEEILSELSGIKMLVEAIPAQVDIKDDEILTEVKSIKVLV EGIPTPIAPKVIKDDEILSAVQSVKIMVEHSIAKMNGNVEEVGENDKGVEVKNGNGSM SVVEA LY89DRAFT_664032 MESLPGELLSTIFKCCEIPELLNLRQSCKAFHGPATRELFSSLH VIRQSDIETYDDLYSILSSSHISPEVTYIKITTSPDPYKEKDDYDNSEEESEFTENFE AVIQSISKFPNVQDVAIEFAQVCMLDDDPENVWRMMAEESVEFREDVLRTLFETLDKI SKLRSLSIKHLQNYNDERILSLPAFASVLSRISDLKLKIVTESDFPSPQSGWNIRQLY SFFEELPDTWLKHTQDNLSSLTLDVDIEWGYMPVCNFRSLFFPRLKKLKLANYVFTHD WQLDWILSHETLEELVLTDCAIVNYMHVYEPLDAENCPLEPTNDFGDVRGWEYPRRWS EMFTKIEGMPNLRVFKFGSGNRDEGCDFDTGELTETGLHYMKYKGFHRRYRPSPWIPI GETTDVIENGDIADTRSEGENTDRMIESLDKDTLAYEKLMKTVMGRA LY89DRAFT_693642 MAQTFDPNAFTIPFMATKTPRRDPYEAILPTNPANSQKGKIIVI TGGSSGIGAAAARVWAEAGAEGVVITARGAPALEKVASELKAKFPATKVLAVKGDIVS NEDIKHLFSAVQETFGRPADVLLNNAGFLDDDRLIGETPADVWWKNFEINIKGAYNVI HQFIQSQPNPKEPIGTIITVSSGRAGFTGAGGSSYNIAKLAEHRLSEHLQLENPTLRV FTSMPGIALTGMMNNFWRPYAKDHVDLTGMQALYLAQPRADYLKGSMVGVNWDVKELE EHKEEILEKKVLQTSWMPILPFGGGKGLGSKV LY89DRAFT_188107 MAVMTRTCLFLVLTVVSFQSPLNYVKLETESRSVVLSPLSSDHS NAFNSNENVKQATAKMATKTDEQSPSLVLQERASSTPTIYIKFNASDCNIEGSVGSAM TPGALSTKPTDLNSVLDCQMLCQRTATCYSYSWQTTTESACRCTMYNTWIGHTPGAVD TGETGIWFSDKHVVDGTIWCYSSTPFMASGIPGTPIIIT LY89DRAFT_680350 MDSSEWSESAAGTKRKRSPGVEEGRQSAPPPPASHGVAPAAPAP TPINYLVKAKSERLRLIEGDSETFSEVLSMIDDYEGVLNRHESFAGNLGARLIGPRVL KSLEKMFESPIKVIQSSFAIEQTPVTWFDITTFSVTNLADFVVSKENSVTRWRFWIKG GQVEISDYDHDLLVSGVPQRIITPSDPHPNDESSELGTLSILEDRLGMLIKKADAVAS KARQLRYHLKGRKTTIAARKTSEHSTSASTELPQTFAPVNPRTNTPQPNSESAVLQKQ LMDQFLEHERRTSLPQSRPKASRPSTDGFHTYNGQDPETRRMANPTTASSSENDTEGR YRAIMAAKIEKLERGDPINPPCDRCRRLKFDCTKHLTACQACTKKHAKCSWKDVQESE IDYAPSTSITTPRPQTTGTYAANDQSPEESSMFVSPAEQRNHQMLSVTREAKMEDASG TSEEHSKLAEIATAAAAANQGGSN LY89DRAFT_573654 GPGGEREKPKKENILDLGKYMDKKITVKFTGGREVTGTLKGYDA LMNLVLDDVEEVMRDDEGNTTTRTLGLTVARGTLLVLVSPVDGSEEIANPFVQAEE LY89DRAFT_680353 MASARFGAPELRKPAGSPKPKGRENAKDTMCRNVVIYGHCRYED QGCIFNHDMNKTSTSQIDAKKALNVDSPSFTPGTLSVPGKISSHAANAAPFTPRGLVS GTATPNSQAEPETNTFNIAEIKEFTPRTFDASQALGSNGNTADQVSYDPFSMQNLNQT MPAGAYNPYLEDSNIPTNAANYFQAQTAFAAPAQPLQYHHYAPIGPHKEDLLAYQRLA HDFFIPNDLREELQKKSEATRQVMPNSQLPVVDHYHTLVPLDTNHHKNATVFGYPSWV YKAVSSKNGYTCVLRRIEGFRLSNERAIQQVRLWKRAIDNGGVVSVIDAFTTRAFGDS SLIFVYDYHPMSKTLVEQHAPATTRYGNRAASTHPKEHVLWGYIVQIASAIKAVHEGQ LAVRCMDPSKVLVTETNRVRLGACGILDVTRFELDNRTMAEHQQDDFILFGRLILAVA SNNMSLASVNVPLKGAVDLLNRTYSSEFKDTITWLLTPAQPPATKDFNDFLRGISGHV MSSFSNSLHANDTLTSHLSRELENGRLFRLIAKLGTINERPEYEGNPKWSDVGDRYIL KLFRDYVFHQVNAEGRPVVNMGHILECMNKLDAGSTQQIKLVSRDEQDCFIVSYKELK KQVEAAFQELIKPESTKRY LY89DRAFT_635984 MATPEIDISELSESEQLALQQYTAVTDQNLQEAIPLLVRSQWNV QIAIAKFFDGEGPDPVAEALAAQNAPPPRTSIQENLQQSLLNGAARPSRHERQPDAAP RIVPQPDQDIVRRPPLLLAILFTPFNLLYRLLSSSLGLFSFLFPFLPRALRPGAPNGT VLRANTGGRRPLKPTDSAVRLKRELEEEYGNNSLPFYEGGYAQALDLAKRDLKFLLIL LLSPEHDDTSSFIRETLLAPEVQTFVNDPAKNIILWTGDIRDSEAYQVSSALVCSKFP FTAVIAHTPSQGSTAMSVIARITGPMDASTYLAKVRTAISSHEDTLATARAARSAQNF ERNLRQEQDSAYEKSLQADRERARLKREAEAAAVEEERRKKEEAEAAATLAEKKLQWR RWRASHIEPEPVSETKDVVRIALKMPYAARITRTFKASDNMEELYAFVDCYDLLADAS NAADVEEPTNYKHEFDFRLVQTLPRVVYEIGEGGTIGERVGRSGNLIVEPIKIEDEED EE LY89DRAFT_777348 MKHLIIPIKACCVTMDIVSWTFEHEELDSEAPIYIDVNDSTRSI STRQVRTLVRQLVSGFHNHGVKKGDCICVISFNDIHYTSLYLGIIGSGACFTGANPGY TGRELAHHVKITGSKILLTELKTLPVALEAAEECGISHSNVFVLNYQDEAVPSPHQSW NSLLSHGENDWAKIEDPSTPAAFVSTSGTSGLPKAAILPHSYLISQGNFQETMIRKDE KISSLIAVPPFHVFTMPVQHALPLRTGSPAYIMPRFDEGAFVRALGEFNVTQTIVVPP ILMALTKRSSSELVSLRKIFVGGSVATYGMQQQLYSKLSPEAKIVQVYGMTEVGWATA WTKGAKDETGSVGQALPGARIRLMATDGRAIEKDSTTGEVQIHTPTSMKGYLNNAAAT TEAFTSDGWVRTGDIGYVKDGNWYIIDRTKDLIKVRGWQVSPAEIEAALLEHPDIVDA GVIGVPAADGCGQSPAAFIKKKENSELELKDVRTFLAVRLARYKNVEKVEFVDTIPRN PTGKILRRILRDTRIPDVVTKDMLAAQKYATELKKLEAYNRSRTSMSLDLERSDMKTQ DESVTEIDIESDRLKQSIEQIEIPCEPSRKSRKRKCCPASPLEYLRKLRCGIRGRG LY89DRAFT_635992 MWREASRKDGVTASTLPTTFDIDVEKGVKHASHASYSSCETLFL EKDQPLPQKKYSRSLRHARHTFLNVYRRLFSIVFVLNLIGLGVLYGRYRESSPPAFMA DLANAASANIMVVLLVRQDYVVNALFRLTWIIPLTAPLRLRRILTKVYEYGGVHSGAA ISSVTWFSIFTGYLTKAFIASGPLRSPAILTLTYLLLLLLLILCITAYPRFRFSSHNT FENLHRWGGWFSLALFWAELCLFANTQVGLESLGTILIKLPAFWFLLVSSLHAIYPWL RFHKLHVRPEKLSDHAIRLHFDEKVPLFVGLRISDAPLREWHSFACIPAREGQGGSVL ISNAGDWTKKTIQNPRPYYWVKGVPVTGVLCMARIFKRVVVVTTGSGIGPVLAVVQDV RDTKCRVIWSTPDPLKTYGASICNAVQNVDPNAVIIDTRKERRPDLVTKAWDLYVREK AEAVFVISNPKLTRKVVYGLESRGVPCFGPIWDS LY89DRAFT_680355 MAEVTNTPNGTAVPVHTSTDLKTTPSITMTAPTDSEPVVNGEPA PTTNGTTETKSEPAVEQISASEEGMFGPELVSPTIAASLPTTYTIRALRKSDYSIGFL DVLRVLTTVGDITEEEWNGRYDWMTTQGKGGYYLLVVEDQGRIVATGALLVERKFIHH LGLVGHIEDIAVAKDQQGKKLGLKLIQALDFIAEKVGCYKCILDCSEANEGFYVKCGY KRAGLEMAHYYDGSTRSHL LY89DRAFT_680356 MSKLDSSMDQDEVEEEANSAQAPSPSTLLPTPIANAVSLVTRSG SLYLRLGTFIGGLALDGARITTLTSLELSRAVIEGILHRAGRDVATRSTGELGHAEAE GLLERSIGTLHSTITSISFAASTGFHFSSTILSSANDLSQQLLVTLDSILGSTDSSRA IASIITLIRREFQNPATGKEGEKVSVADLLVGICGLALLQRWCKKIIDEECREKKYEE VVWDVVILDDGRRADVVGSEANQKALTRANSISFMTTNGNELVQTIQRDGRVSDDSED DLPEINLKQKIMRSLPTDASVSITTETTTTKTITVEITGTQPPDLSPPPGVEIVEETS QSAGNSNVSGKVLSNEEKIALLIPRYRVVYRIIRNRLRGTDMESQTIEFPEEAIVSEE EKDAAATTPVKSPGFIISPISSPDSEHPPSPPEKDGRFASSTNVNPSALPDSGDEKPS AIPRPKSTDVTANQKRSRKPMSSSSSISGDFPPPKKVATKSPPVKKAKPDRPLIKPSD KKGSFRNALRKGSEKTLSTLWNKDHPESKSSQQKPQWGVGNLPPSAPKSNIPVPQRNS SIVPARDAPRPPQRGNPNYFSSRDLGLMQSDLPRSPSRASYYSVHERRRDSLVSQTDT YSIHSAETRPGSPTAFRTHLRTQSNMLRARSEKSMAKQQPGSPVKHHRRSKSFVPSIY TLKTNNSNTSLVVADQPRRSAFQDSETLDHLMRTRFVAGLFPQNHIVRNITRFVRFAS ASYGASFLRIMGITSSKTSTSKEIDTLHHHEHHSFSTHTQLPADTILLSSFVDPQGGT DSTGNTNTGVPMVHFVSLDHDSKAVVLTCRGTLGFEDILTDLTCDYDELTYRGKTYKV HKGFHASARRLLEGGGGRVMTTIAAALEEFPDYGLVLCGHSLGGAVTALLATLISEPS SDPMSSAFFTSSEQASPQLLLTSSEEVKSSAPSWVHLPAGRPIHVYAYGPPSTMSPSL RLATRGLITTVVNGQDLVPYLSLGVLHDLQAVALAFKTDDSGAKGEVRKRVWAGITGT FADKWYSNRPPAMHDDEDDQWAYSALKTLRASMLSAKLLPPGEVFVVEAMPVLQRDAF VRSENEGRGLGRPATRSVLKYVRDVEKTFSEVTFGGSMLLDHSPGRYEASLGALKKGV LG LY89DRAFT_755582 MKPDISPVDVPVVESISGDSLNDESLHPGVKNTKSQLTADEATE IALGTIDEEFAIESENSPYPEVRANVPNTDDVDLPVNTVRMWFLGIVFTMLGAGINQF FSMRYPSVTITSLVAQLLSFPLGCLLAHSLPVKTFKVFGRWDFTLNPDTHFNIKEHAV ITIMSNLSFGASWATDIIQAQKVFYGLETSVGYQILLALSMQLFGLGLAGLGYKYIVE PPQMIWPSTLANAALFETLHSRVNVEADGWKISRYRFFLYVFCGGFVWYWFPGFIFTA LSTFAFVCWAAPKNIVVNNLFGMSTGLGLLPVTFDWSQIAYNGSPLVVPFWAQANVFA GWAFFFALATPILYYTDTWYTSYLPLSGSNSYDNTANVYNVSKIVDAHGNFEPAAYEA YSTVFMPVTFALGYGISFAVMSCLPVHVYLYHWEDIKQAFMGTSKKDIHARLITRYRD VPWWWYSGMTAVVIVLAIITQEVWHTEMPVWGVFMAFAMAAVYLIPVGTVFAVANLNS NVLTVLGEIISGYLLPGKPIVMLVFKFYAYTGLSQAMNYASDMKLGLYMKIPRRTLFA AQLTACILGSLTQNGVLIWMLGHIEGICDDDQPHGYTCPQGRVNFTSSIIWGAIGPAR LYSIGKQYSGLLHFFWIGALLPVITFYLKKYFPKNSFLKSIHWPLFFAGTGNLPPATG INYTTAFVVSLIFNKIIKSRAKHWWAKYNYILSASLDSGVAVSAIVIFFTVIFPGAEL NWWGNTVSSTTADGLGTPWKALPANGTFGPSHW LY89DRAFT_728368 MREEEHPQTSSMDSSTNRDNSILIIGSGTFGISTAFHLAKRGYS NIRCIDKHGYPSPDSAGYDLNKIIRTEYDEPLYAQMALGAIQAWRDPLWKYVFHETGW LVTTCGDKHASEHLRQSYENLKNNNQISGIDFVETPEDLIRHVPQLRHARDISNWKGL WNKQAGWVHAHDALKLLAQEAEKLGVEFISGPDGTMTSLQVSDRKLTGIKVASGKILE ASQYILCTGAASPALLPELSPHLWSKCWTLAHIELTEDEAKEYRNMPVVDNHELGFFF EPDSKTRWIKICNATQGYQSRTTTGPDGKPYSVPRYASDHPKDGIPKEAEDAIRKFIE AVLPQFSDRPLLGARICWCTDTADQHFLISRHDKYPELLLGTGDSGHGFKFLPTIGSY IADALEGNERGMRKEWQLREREWKKDVTRPGDVVKDLSDVGLGDE LY89DRAFT_189135 MAGASASPGDSQSPSQSSGRRVKHSRHGCVSCKERRLRCSEERP VCSRCLDDGRSCEYVLRLIWEDESSRRGVKHGRGKNAEISFVDPTPSKDVAEKAQWRP RRNGRSYFLNTIPEDMDAAQSMAVMRFDGRYKSMPRLHVPQTLGLSNVDRILFQYYQV QVCRNLTLVDDSSNCYRQIILPLSISYECVKRSILAIGALYLSLNQPSVLVDYYSLAL HQKQRTLHQLRYDIASLNGASSNHVLVAMLMLCLFDITDDCQTSWSTHVSAAADLIGT EDTQSLEPSLVSFVSKFFATRDVMGRSACGARSKFRKIAWNNPQEIDKSAGCSSELLG IISSITDISRQMAENMENDSPHLVRQVITIESQLDELIQLLPTDSALNSEQEAFLVQT SALVHNAAKLYFYTALHSALPSTLIVRRLIADQVLVITGIPILRSAHLWSVFVTALYA FNDEERIFFLEQFDKLEEVSATRSSTQAARSIVQTVWKKRDLDVDGEQVTESGVSDWV RFVRPMSEGLSLA LY89DRAFT_189153 MKAMKGMNVNRMFGSLKRKPNSSSTDGGMVDSGLDTPEANATRN VRLFCESGGPNGSGEEVLYLPTIVESCESSPSAAKEAAYVIRKFLSKDNFSKPYVQYN GIMLIRILADNPGKTFTRNVDTKFVETVKELLRVGRDPSVKQILMETLDTFQREKADD EGLVKLNEMWKKEHERMIKIHGPAGPRVLNAPAFNPNSPEYFSRNHHSRRLPPPHELS TRIEEAKTSASLLAQVVQSTPPSELLSNELVREFADRCQSASRSVQAYMIAENPGPDN DTMETLIETNEQLSKALSQHQRAVLNARKILGLNGESGTTPPATGGYSQGAPNRTDYL PPGGPPPNRNSGYSTSGSGFALPQGPPPGRTGNGFVAPAGPPPTLSMKPVPRKAAKGG PPVPPPGDYAPTGDDEENPFSDPKDNNKPSIPFPKDQPPTSTGQFNDRLGIEPYHPGF RETPSYVGRQESSTGGVTMHAAVPETPDVDDEGRARDLYGVSPGVKAPVYRY LY89DRAFT_189318 MLARQKELDCSSDNTASGLDNLVSESTSHKDSAVVAGVTKAIVH GEAPLQNNAPTSAIHFDASHFPTPTPSARPPPPPVQNATVDRPLILYAFFETPGARIN LEFFIKHALHDAADFLFVLNGETTAANLLPKKENIRFVNRKNDCYDLGAFAEILTTDD MYKNYKRYILMNASIRGPFFPYWSNQCWSDVYLDKLNDKTKLVGMTMNCEAPVPHIQS MFWALDRAGLETLLFPSEELVETFIASLPAHDSNQPVPEMWSPGINSCPHEYWKAVAV EVYSTALIEAAGYEVDAMMSAYRGFGDALARGETVDLGGGPVEMGTLRTGTGYESICK ESLDPLLEKQYWGTTIHPFDTVFAKTNRGANMGTVERLTQWTAGRAYSSYDVCR LY89DRAFT_636010 MVSFNTQQLARATLISAALFQSATAVANITEDSYFYGQSPPVYP SPPQPGSASWATAYAKAVALVSQMTLEEKANITVGYTPMTGCSGETGSVPRLNWPGVC LSDAGNGLRATDFVNGWPSGVHVGAAWNRNLAYQRALHMGGEFKKKGVNVALGPVVGP LGRVAEGGRNWEGISNDPYLCGALAAESVSGIQTAGVMTSVKHYIGNEQETNRVPDGD VAAVSSNIDDKTLHELYLWPFTDAVHAGTGSIMCSYNRINNSYACQNSKTLNGILKTE LGFEGFVVSDWGAQHTGLDSALAGLDVVMPESTYWGVNGGNLTIAVNNGSLTEARVTD MATRLISAWYQMGQDVDFPEKGIGMALDYSTPHTPIYARDPSSQTVLLNGALEGHVLV KNTNSALPLKSPKLLSIFGYDAVAPNKMDVPSPSDVIATWTFGFESLLTYVPFISTTA PPQIAINGTIISGGGSGANAPAYISAPFDAIKEQAYLDGTSLFWDFYDNDPAIDTSSD ACLVFINAFATEGSDRIGLHDDYSDALIQNVAANCSNTIVTIHNAGVRLVDQWIDHPN ITAVIFAHLPGQDSGRALVQLLYGYQSFSGKLPYTVAKNESDYVNAGEPILPEGEFQL FPQDNFTEGVYIDYRGFDQKNVTPRYEFGFGLTYTTFEYSELTIEKLAGVSTSYLPPP ADVIEGGIASLWDVVAQVTATITNTGSVDAAEIAQLYVGIPGGPVRQLRGFSKVDIPV GSNVTVEFDLLRRDLSEWGVTEQSWVLQSGSYPIYVGASSRDLPLVGTLTI LY89DRAFT_636013 MASADEIFDNWHGIIDNDFIKTIRQTFNLPEEDNYVYRAESFAM TLPQIQTQLEARGLKYKYQSHGQQIEVPPTDITAYTSIFSPTTDTSKALTAFAANAKK SSPREIVAQYLQSRRTCAAKTPKSKAHINPYYDLWALSCQMASFLGPLPDQSYAHPTN AKMTHPILPMFYHHFGCVVPSYEALYIISELAKEHGAEGVIDMASGNGYWTYMLRRMK VDVQAVDNMASEYRTMWISDTVKSDGVEFLKRNQGSKARILLMVYMVTAGSFTKRVLE AYRGDIVVVVGTQNANRYTGFADCTVEEYFQKEMPSWEMTCRIAMPSFAGKDEGMYVW KRKK LY89DRAFT_606554 MAPSYVLVTGATGFVGAHVVDSLLKRGLKVRGATRSKAKGEAMI KARPQQASKLDFVEVQDFESGGSFEDAIKDIDGVIHVASPFRYNTTNNEKELIIPAIN GVRAILSASVNSKVERIVITSSFAAVMDLHRKAPPYFIYTAQDWNPLTYDGASDSATS AVVAYRGSKKFAELEAWNFMKEKSPDFDIVTLCPPMTFGPVVHPVDKVEHLNESNAML WQVAKGGGLPAARVPFWVDVRDLAEAHVEALLKPEAGNKRLVIAAPEPYSYGLAAKII AGNFEKLKDVVSKEEQAIDESHGLDGSTAAQELGIKYRTFEETVVELVSQVMKME LY89DRAFT_189530 MAINSEYLLFLLWLQVSGAKYLILIRNSAFLIPIRMSTPSDEHW LNRGYNPFCCNTWKPTVFPSPTKMPTSFPPETVLPTTRPSWTDPSLTRVGAEYLRYAE ANDHPRLDHLLACINEKKVVGPPAAPKDRDLVVVALDSAPWMVYLGYRDGHTLHTYLV PSNTRDGYLMEICRGNQLDGFKRAFPSYVDRFSERSKGTIRLKCEEHGNKSQCWFFER KELNSSA LY89DRAFT_189536 MLSCSSLSCNVWEAVKPGLKSVDELHLMELVSQGHFLRAMLEDW HERHVERLSNDPRDDPWLFLSSIYYHATSIYLSGIFDYRPQFDLLFPASLPFQIIQSH VTHLLIGIHTALDTTNLAGVLFFFPLRVAGARSWSEDQKLSILHMLKEISRRAYVVAD AFVLDLNTLWAMDRNRENARRAWD LY89DRAFT_728376 MVLGPLDRRNLKTRCQACARRRIKCVGSRPCFYCNKRKIQCLPQ ASNNCANLVFVNTRSDLVADPSPAPGSEEDIALARFFTVFMERNDFAGEHLDTSGIIS SFQASPSLYHATLAIGSLDLRTTSSSLKDRRAITASALLSYQTAIKSFKSDMQHSDVR RSHAALWTTFFLGLFELMYDVTGQGWVKHILYGTSSILQF LY89DRAFT_680363 MNFFLEAAKSLPRLFPLLFLRNGLSFPAPTGPYNTSLVTVALTD THRSDPFAPTQQSRVLMISVFTPVLPSACIPLLSSYMEPTTAAFEDARLEAYGLPSGV FESLNLQTCQQDPINHKQFPLLLFSPAAGTTRLFYSAIAQQIASTGYLVVTIDHPYDA DIVVFPDNTTVFGLNFTDAQIPLVVDTRAKDISFVLDIFSKTTLHHSFHGRVKAGVFG HSLGGAASAQAMFTDPRFQGGLNLDGTFFGDVVEKGLDRPFLIFAHDGKNLTNDPSWD ATWPKLTGWKREMMLADSAHYTFSDLPDVVDL LY89DRAFT_636018 MARYLSEEEIAILKHEFDQYDADKGGNITIEEFGRVMKKSGQNP TDEELAQIIKEVDLDGDGTINFDEFIAMMTGRTRAPPQKEEECDPEADYKSAWKEFDP SLHGSISASQFRQMMAGLGEKVTDVEVDEIINSVDGEDKISYHEFSEFMKRKQVDDIV GGY LY89DRAFT_189800 MQFLLNSICPQFYYVGYKNNRKRFDEIYNGNSTGGTPGQLPFPP YYWWESGGLWACMIDYWSYTNDTTYNEVIEEGLLYQVGPNWDFMTPNQTLGMGNDDQG FWGMAAMTAAELNFQNPPSSGAQWLGLAQAVFNEFAARAALEKDYCGGGLRWQLYFWE DGWMYKNAISNACFFNLGARLARYTKNDTYAQIAENTWEWVTEIGLLELQLYSNVSSI FQVNGKGAGGYIAWDGATVESNCTGINGIPYTYNAGIFLLGAATMYNYTNESAIWANR TQLLLNATLNVFFPNGIAVEVSCEGMNGTKACDTDMLSFKAYLTRSLGATTQMAAFTI KQIMPVLATSAAAAALQCSGGANGRMCGLQWTKGADWDGS LY89DRAFT_714059 MAAASPSPTDFARDFIDYRAAKFHEAFAVESYFNTLMSKYESKD ISDLEQTLKRFQIQVPDQADFNFDLLKCSWDDVLQELERAHAAVFEDEKRGKRLHRKA WRALGTVGDVFAPGLVGIPNNMPLCVLQGGLAVIFSLARHRAQDRDKILRAFEDIPSV IMMAYGKAESIPRDRPGCGRLYGMIGELQKTLLRTIPLLIDRLTPGTFLAKAMSPLRG FDIDDLFGAVRSASERVRICAEEIMDNIIVSSHETIHENHRTSTQIHTIALQTGEGVG DLQKKIDEILKQQKSFQTALDAVSGKNSLLSFLMEYLKPDQSETDKSSTKESSPPSES GTPLTPKQLLGMMNVHHLEALDDEQIIIRRGHSIDSDAIAHAATMFQTSQVQQLLQIP ESGVVLVNGCADRSQITKISPLSHVCATLTHALRRSDGENLVLAFFCGQHVAADDDLI GPRGLMRSLVAFLVLSLVQNDCIAESAPIWFSDFQGHFEQLSFVDICQLFFNLVELVP KHITVYCVVDGISYHERDVWKEEFELMMECFGSIIANRGIRIAAAFKLLLTSPTVSHW LPDILPPHQRVSLRNTRARGATNLEGHLRTAFGSP LY89DRAFT_664056 MESVNSNNAAVISDLREQLRRLESRLVNIESHGKPDSANEVQGF IEVDDSNSGTHGVDIDLTNVDFLPKVKKCNFTDFKNRFSEEDGRNAVDVLVSGNLFEQ EYREVQALREKLFEHGKASARASKRETKALVKETDRSNDALRNDQSDLTWIRRIRLQA PALLQLLARVQGESWSNRPRTYDRPFCTLIHFHPQVKVALAELEEKWATQSDGQHTDS AGLTPGADGREGEEEDGLDDSQAALEILRVYVKFIDEEVIPESHRYKNLDYKSDEKIL FSDLCYLFKPGEYIYMQLEGELSTGHDYRMGQRIWRVYFVNDAIRNYNLTPSDHRKYS ATETSEDDCIFFTVHAYHIDYTGDEFCVVTNTFRISPFEKWRPIYTLPIYPIRFNNNH ETYMDTAQRIGDQVLRFVESKHAFYNGWTIMRNPIGDFATDANGVDIKHPEYINSEVM VDFAEAFQACPSWRPQRTILKTEFTNKILISDEFAIRSWSGKDRANLLRETTEIIPYR TGVHHLKRNKFVLEDPFLAAVAQNHQRGQPTTTQFLRHEEKILINCRVFAYVFGERKF AQLDVSRLTLSARNFEALKALKIPPKTKQLIQGSVRGHFLQKESERGYGDQGLSLDVI QGKGTGLFILLHGVPGVGKTATAEAVAQANGKPLFKITCGDIGLTPDQVETSLRAIFR LASIWDCILLMDEADTFFSQRSKGDSAITKNALVSVFLRVLDYYTGILFLTTNRSGAL DEAFKSRIHYKIYYAPLTKEQTMDIWRLNIERLRYINEQSEDKRPLEIVDSTVMNFAE LQFDESNHRKAGQWNGRQIRNAFQVARSLAYYDAFNEAEVKDVGLDEPARPAVLDVKY FHMMHEITESFDHYMLEVFSGANDGKLALEMEHRADHFTNSRVHRSTAAREEPDDQYN GYNPRSSFDHSQVQEFGGRPRSTSHKGSRPSISIPGASQRYSPNSLAPPLSAAYDEEA NMDDKSSGTLWSTSPRPGQRHPSLASEWPATEFRGGVDSDYAIQGSSSGSRFAAGAPA QSRTFGRGGGNYGLGRDSRSHSSFDAEPSYRMDKNEHGKRERS LY89DRAFT_573638 MSSPSSQTILITGASGFVASHIIHAFLEAGYKVRGTVRSSSSIE KVKAAQGPLSSNLTFYIVPDLASPPSAYAEAIKGVSGVIHTASPFILTPKDVRADLLE PAINGTTNVLKAAAEYAGPQLKRVVITSSFASIFDLSKGYRPGYVYTEKDWNPATYDE AANSTDGSFAYCASKPLAEHAAWNWMSANPEVGFTLATICPPWVFGPSLNTITNLGHL NESTETIWKLINGSSKTVPPIDFGGFADVRTNAQAHLKAYEKDEAAGERFLVGQHFDY QSAADAIREAIPELRGRVSEGTPGSGLDFKDTVYTPDGSKAEKVLGIKYIDLKKCMVD TAADLLAAEKRLGWKAE LY89DRAFT_189635 MSSENSVPLLSQKHSGIPENLLEKAQNAKALIYETRTKSSSTRQ RLPVIPQGIERGKFFQALDELQQVLGNENVEINDKPLVDGWYMEHPNTHDMMPILDDE ELVASAVVYPSSTEDVQKIVLWANKYRIPIFPISMGRNLGYGGAAPRVRGSVVIDLGK RMSRILDINPDDYTCLVEPGVSFYALHETIQAKGYKHMWIDTPDLGGGSIVGNTLDRG VGYTPYGDHWACHSGLEVVLPTGEVIRTGMGALPGNNTWQSFPYGFGPYSDGIFSQSN FGIVTKMGMTLMPNPGGYESFMYAFEKDDNLAALMEIIRPLRIGNILENVAQVRHAIQ SIAVKGKPRTAYFSGEGPMPSEIVHEYLRTTTIGDHAWLYYGMSYGPEHIRKYKLDII DQEFKKIPGARKVDPATLPKDEYFWSRDRIAAGIPDLVELLWVNWVPNGSHVAFSPVS PIRGTDAMKLFNMGKRLHDKYGIDFFPAFCVGLREMHLIVEIVFDKNDSKKRKAANDC LREMIEDAAKEGYGEYRTHLVLMDQVAGTYNWNDNALMKFNERMKDALDPNGILAPGK SGIWPARYRGRGWELTKSSGNGSEGNGVAPSMTMKL LY89DRAFT_755618 MLFSNLIFLVGTSLAAVSVTDPSDIISPSLWAADNAIIPDYNVS FISVQPNPIVAAYTVTNSASISYETLSTALNQTSVLLVTGELNLTYSTVIKSGYASNL LESSFYGFNAAINVVCFPSRFNGEANDQANGSTGNFDNVNVTVHNGAANIYVYGTGSV VHVDNAWLYSSGPVSHGVYASGNGTAYVSNVQHFSGGKRSSSFSGDSPAGYLHISDSV AHAAGIGSATFYALGEIYATNVVALSENGPTVFMDGAQKAYLTNCETTAGLLGGVAIF SSSTRESGAILSLDNSKITTLGPIPGLWFGNVIIDVSLHASELVTSGVLVVANYSQIT QDFDYYADYSQQPNLQPAEVYVNVTESTLVGDLVAYNSSLISWSLSKSSWMGTAYSGF GEAYFDVHLDNSTWTLTNSTMVQNLTDTDGSLSGIESQGFDVYYNASALLNEYLGGKT IALSGGGNAIPI LY89DRAFT_693670 MLSVKPYTKTWKEPGNYNSCLSGVIWVVQLIIFYASAYLEKAEQ GDTLERIEQYCGQFLKQDTETPMGEILGWRLLLFTVSKEVVGPHQAQWDVDEKVLTYR DVDLHIDHVPRLLLSDFQQAQYLLLPRMHSKPLRDSFLEITADDARAWRGKAIERYEA VADEFLKRLLVLIHMSSSQPLRESELFSVTWRNT LY89DRAFT_728385 MEQAYTRAGIPRLHIANWRQITVNIVKTKFAANVGCFEVDDGAN DEDAKEIEADIRVMTKQRNHTYTAKVGTAEEKEELLRTWLVTPEQPYIVATSALSAGF DYAHVRLVIHINEPDSLVDFAQESGRAGRDGKEAYSVVLLPPRWVSQVASTTEAKKGV LYRYLQGQECRRTCLSAYLDLESQLQQCVTGEDVVCDVCNSGPVETALPVPLVTMPHT GSTIIQQKRRAAYLELSRYQEDLLAVQGTCLLCRGLDEP LY89DRAFT_569466 EADFFKQLSEDVAVAEEDTKAEANVVHGFGSYKSAVVPWLRRTG IEEHTRGLKKDEMHTSFTVPK LY89DRAFT_680370 MVLLLGYNPNVSLNLFGILVVSPIVNLKAPNVSYKLSFNDINYY LPLVGYV LY89DRAFT_574215 QVVVIMATSEGKSLLFILPCILPNARVTILVLPLVSLRGDLLHR VRELGIDHLVWAPSEQQDAPLVFIIVKAVCTEQFRTYAHKLAATQDLGRIVFNEAHLT IIASDYR LY89DRAFT_290130 METSHPNTRAVQITHIARDVLYETEKPYAADFSAPEKTGNHIFE VKDILIKDARPNKDNFRLEKNGFCLLNSPILATFESLSYNESDVLAYYQQMEALVLER FPEYSKVVVLEHQLRKRDVGYPEAVENTYNQPARLVHHDFTTRGLVLRMKLSFPNKVN EYINKDMDFLNIWRVLSGPNNDWPLGICDYTYRP LY89DRAFT_762177 METYEREQNAWLQEDGRLAEFLAANPTALFFRLMWIDYFGITRA RMLPRSHLSDLQRSNSPLSVSPGALNAPYVPESGRLPRPGAAKVHPDWDSLRLCKFAP SHAQMICYISEEYDGSFFENDPRTILQKSIIRAESYNSRKVLVGFETEFFLLNPSTTL PTPIQNGNNCWSMAGLRGKHLAALEQMVRVLEQSGIPVQQFHTENTNGFFEIATSPMS PMEAVDALIYTHETIKTIAVAHGFQATVFPQPSDVEVFAGMHAHVSITPADDEDAFLA GMLQLLPEIMVLGMSNYDSHVRLRELSQTTGEWVSWGTEFRDVPVRKISSGHWEIRCI DGTANMYLVLGAILGAGCKGLEEKARLKWKDCQSSPGLMTEETRLDYGVATRLPKSMK DGLETLKNGADGLQKICSRGFIDKWTHFKGKEEEACSQMTKADRRAVFLTIY LY89DRAFT_574625 MAPPPAKKQKTDDIKSPIVFPCPGQKPDVRLIVFDQEFHINSTL LKLNSAFFRKFLDSTNKTVVAIDPTSSSSTLTRPETSQIGFGSVSTFEYEWVTKIDEG GEKWFLTIAFEKLMCAIYTRPYQLINTKELLLMTALADYYCALPILTRILDGAFINSP QFCKGIGSHASELFVAAAKIRNALLFRECLIWVVISYRDREFESLEDKRLRMIVRCAH GEVSTRLTGATAVVLTKTADT LY89DRAFT_574702 MRTHLTPINIIGCSTHTHSNSPVLIIGAGISGLALAQGLRLRSI SFRVFERHAQSYNSQGHHFRISPESVAALDYILLPQCKDLFKRTAAEGGRFQPRYVDA KEFEFEKPTPFSKPPSMPIDRTWLRRLMLLGIEDTIEYEKEFVSYEIRGNEVHVSFAD RSSAQGRFLVGADGIKSRVRKQFQPDRKLLDLERWIMWGRTLLT LY89DRAFT_762181 MLVEDSCRRDLTLGKDKLLAIAGVAAQMHHMYGGLYVAGLWSDT IISDLTWKRDDTPGLTSYSKPVGSLDVPTWSWACTSCPIRSNTIHLFDFDARLLECGI RPKFKNAPFGDIEGRSLVLSAKMVKVERSLRFDDWSCSIVLDKESCDQEIPYPKDHSQ LLKLLDREREERWCMLLGHRKLSKFEKMPRRPVGLILAKSKDCFERVGLFEGEWTGPN PASGKANFTLQFALVSTSTSPDEDTKMIAQDVAISILNYAEPHES LY89DRAFT_680372 MVVCVNNLLTKSAAGDLCKSFGIRLITNPQIVHLAKNAGYDSLF IDLEHSTLSIDDASQLSCTGLLLGITPFVRVPYQCGNGLVQRVLDGGAMGIIFPHIHS SQDAKAAVSISKYPPFGSRSMTGQLPVFSLQATSHDRVINETNKSASSVVLMIETKDG IEKVDEIAAVEGVDMILIGSNDLAIELGVPGGFHTPIFRSALESVSEACRRHGKTMGL AGIYDNYEIQDWAINTLQVRYLLCQGDSGIIASGAARCIAAVPGV LY89DRAFT_762187 MDESFIGKTGGEIFHEMMIRHDVKHIFGYPGGAILPVFDAIYNS DQFDFILPRHEQGAGHMAEGYARASGKPGVVLVTSGPGATNLITPMADAMADGTPMVV FSGQVATSVIGSDAFQEADIVGMSRGCTKWNVMVKSVAELPRRINEAFKIATTGRHGP VLVDLPKDITAGILKHAIATKSTLPQTSSAVIRRGASEFNKTQLDQCIQTVANLINIA KKPIIYAGQGIICSEDGPEMLRALADRSSIPVTTTLHGLGAFDELDQKSLHMLGMHGS AYANMAIQEADLIIALGGRFDDRVTGNIAKFAPGARAAAVEGHGGIVHFEIMPKNVNK VVQATESVVGDVATNLKVLLPKIKARSMADRQAWFGQIDAWKKKWPFHYGRTERAGLI KPQTIVEELSKLTADRKQQTIIATGVGQHQMWVAQHFRFRFPRTLITSGGLGTMGYGL PAAIGAKVARPDALVVDIDGDASFCMTLTELSTAAQFNIGVKVIVLNNEEQGMVTQWQ NLFYEDRYAHTHHKNPDFMKLADAMGVEHRRVVKPQEVVESLKWLINTEGPALLEVVT DKKVLVLPMVPTGAALHEFITWDSATDKRRSALMQERTCGLHG LY89DRAFT_636034 MSLFEHILEGVPDSIFAMMEAFAADTNDKKVNLSPGIYRDDNAK TWVLPSVQKAREILVADQNLNHDISPQMGNPDLVSVARQITFKDTLSSRIITSMQTIS GTGANHFIARLLSDTLQPKTVWLSNPTWENHPKIWTHVNSAIEQHLYPYYDYRTSTLD IEGMISTLKERASRGDVIILQVCAHNPTGLDPSMEDWETIAEVCEEKGIFPVLDSAYQ GFATGDADNDAWAIRHFAARSRGTMEFAVTQSFSKNFGLYGERVGVLHIVTRTRGSAA KAESALKTLSRAEITSAPAFGAKVVATILQDQVLREQWQEDLKTMSGRLRHMRKRLYD ELIKRNTPGNWAHLLTDIGMFSYMRLSEDQLAILRNTFHVYLLPSSRLSWTGLTSRNV EYVAESIHKVVTLSM LY89DRAFT_762196 MLLCENVLYTKAARGKLCKALVLRLVTNPLVIQFAKNAGFDVLW IEMEHSTYSITEASILSSAGMMAGLTPIVRVPYQCGMGFVQQVLDSGALAVVFPHIST AADAKAAVKMCKFPPLGKRSLWLQQAAVGLKTMPMEQMASEINAEASAVGLMIESADS IPNVDAIAAVEGVDLLIVGCIDLSTDMGMPGTIDAPEFRAALMAVSEACRSHNKIFGL AGNYKNLQFLDWAVNTLGARLILGQVDSNIISVGAAECVQNLNTIDRTAVSNNGSPSN GFLSNGGVLKVASNGFVSNGVSKGGVPKAVSKGGVSNGKPGISLDVSFT LY89DRAFT_664073 MSTSSSSQSSDISDGMDPDQPIESIEAHEAGEDDEPKDDVEAVG DDEAEEDYQAEEYDPMPNLLDHEVMTLCSFYVSYLHRIGLIPIPAIEEKPKGRQEAPP SELTFDDFTESFNAMNFLELSLLGATDTYDHITLLNKEYLIRVCQSVGGPLLQ LY89DRAFT_664074 MSDNKDKKTKFRFQERILQLQQQKAGRAGSEGMGLFQPADYMIR SDTNRITIVSSTFSTPALALPHVRRRLHHAYIHLQPGQRCISTSQKTKDPYGEEYENA LAVKQKLEKEIAELKSEITDIEDEIIHLDLERGCIRESNEALEACRVLCTLDWPPWNG FVTAMEALSLLELLTERKEPATDRPLS LY89DRAFT_290159 MAAQQAEARARIQKVYQEVGAPCLSAAEFTLTKVFIDYRAWDAI PDQGDIAVSELAEKISGTHEVVDRITAFLVARKVLDSPAPGRVAHTEKSRGYKSGQPT AWMWIHMFNNVFRSFAQLPAFFAQHGLASPDSVNFTPLGLAHGHEDKAAYDIIVSDKD VHKGFNEALREVGEMYSLKGIYDFGWLQETLAAGSRFAVVDVGGSHGLALRDIVRSYS FISVERCAVYDLPQVVENTRKNLDQNPDDLQKVQLVGGSMFEPYPESVRGACVYQFRR VLNDFPDQDVIRALKSVRKAVAPDSRVLIIEDMLNPKRIALNVGIDIALMMAAGKRRN AAMYSELAEQAGFRLAAEFQNNNSDFDDFGVLEFVVA LY89DRAFT_680374 MDTIATQTQSALIGGPNGDIILSTSTPVPQEPLRDVELAVEIKA ISLNPVDSKMSGDFLTTGATLGFDAAGVVTAVGSSASQDWGFHVGERVVVAINGMNPL RPTVGGFAEHTVSYAWGTLKIPRHWSFAQGVGGMGGSAWLTVPWALFHSLGLPAGPQL EPLHSRCPPPQLEPPVNIVTSHSSNGSTKPPTTVLVNGGGSYTGNCAIQLLKLAGYTV IATCSPHSSDLARSFGADTVFDYAEPTCAADIRSHTKNSLRLVIDCIATTDTMRLCYA AMGRAGGRYVALDPFNPDVAASRPVVRASWVVGMEPLGDEIAWPAPYGRKANPMALEF CQAWNPTMQGLLDRGVVRLHPQCVRDTGFAGALEGLEEIRQKKISGQKLIYTL LY89DRAFT_762198 MPSNAETNCSGSSLLSLRMSCDRCRTQKLKCSVPADSSTCQRCQ RARVSCVFGRRSSSERTLRKATHRADQSTTASTASPEPQQLVTPLEPEPMLLNAPELK LSSCNTQPNWNDLTPDIHGLLPEWDSDMESYDRLQSGLALDIDMFIAGQPDLTPWPQL PNLTSTTSWPVDDLASASNTVSTVQSRDAVLASQRLTTLVSEIQQQLKMLEESRWHTD SVGSLDDYPIGTVLELSQQFSAIAGPILSCRASELEEVGDEENGNEKKTNNAAVDTPT LLLVMCGYIWLVRTHCVVLGHFQKHLNCRPALHPYGSISGNRSPSTIMSPISGITSTT APRNPTLRLGQLPCADMALSLQQIYTAVGMLLDVLHDIEGHLGRGAAVARVMAATSLL DWGRRHDGCYSGLNKKATSVKELLREKMGL LY89DRAFT_693677 MAEGQANSDHTVYDDESRTIVNVNNPKAVTDDGDLGGEKFETRE SAAGEKSFGENQVAHGMKDVEKGDPAADEAAAAADPNLVDWDGPDDPKNPRNWSKGCK MANIALISASVLYCNIATTMFAPGANIMEHEFGFNNNTIEVLTITIASLGFAMGLFFA PLSEVFGRVPIYRVACICYMGFTVGCARSTNVAMFLVFRLLTGLSASPFMTTGGGTIA DLLEKEERGGAMAMFTLGPLIGPVVGPIVGGFVTQNKGWRWTFYIILMLAGTVSILSF IIMRETCAPVILKAKATRLRNETGNTNLRAAGDKQTPVSQLVIHAMKRPIKFLFKSPI VLLTSIYIAFIFGVIMLFYSTFPVVYEETYHWSVGVSGLAYIGIGIGCAIGITVFGKL SDRLVRDGGSDGKYRVERRMILVMIFCPLLPVGLFIYGWTAYYKVQWIVPIIGTAITG MGVVMITSSSQIYIIDVFGPQAAASALASMTLLRNIIGCLLPLAAPSLYKNLGLGWGN SLLAFIAVGFIGVPFFFYFCGQWLREKFPVEI LY89DRAFT_693678 MRPHSHGAKYVGSVHWAAVLDSISDLKDHYEAEEEARMLATVDD LPYGTSSSSSPNPRLLYQPVQATKADILASIPARPAVDRMVARYFNAQNNAPLPVLHS SHFLRQYESFWQDPNAASMLWIGVLFSIMALSMQLQHLIEDPPDPETLARIHMFRERT IHCLILGQFTWGKEYVLETMINYVALEMLLCKDADIGLWLVLGILVQLALSLGYHRDA RNFSNVSPFAGEMRRRVWAVIMQMDLRLSSQMGLPRLLKLHQCDTTEPRNLLDTDFDE FTTELPPSRPETEETPVLYILAKNRIDHISGLVSDLLANTREHSYEEVMELDGKLQEA ESSLPPIFRWQPLSQSFMVPPQIVMHRVWLQLAVPRLTIWLHRKYLAEYKYSQDACVQ AAIKILEFQQLLDEETRPDGLLYPVRWMMTTSLGHFVFLLGMSILCYYVQLAKIRPDI SLNEETGTKIYNLLRSTYPIWLRSSTVSREARQAAEHLSLLLGLQGRKEGGIQAAEEA VDGYHNVIRSGYLGLLSR LY89DRAFT_714073 MAAVEQEPIAVIGMACRFPGSSNSPSKLWDLLRSPRDLSKRVPT DRFDVTGFHHSNGSHHGATDATNAYFIEEDVNQFDSTFFNIQPAEAEAIDPQQRLLME TVYDSISAGGQPIETLRGTNTAVYVGLMCDDWSQIVCRDWDLMPTYAATGTSRAIISN RISYFFNWHGASMTIDTACSSSLVAVHQGVTALRNGECPVAIAAGANLILAPGMFIGE SNLHMLSPTGSSKMWDSAADGYARGEGIASIVMKPLSAALRDGDHIDCIIRGTAVLSI ITVNQDGKTAGLTMPSNLAQADLIRDTYARAGLDINDPKDRPQYFHAHGTGTPAGDPQ EAEAISRSFFGDKKVDDRLYVGSIKTVIGHTEGAAGLASLIGSALAMQHGIIPPNLHF NSLSARVAPYYNHLEIPITAKPWPKTLPGQPRRASVNSFGFGGTNAHAIIEYFEPESS PIQPAFASIPAFTPLTISAASPTTLRSTLSDLSSYLKNSNLDANIRDLAYTLQTRRSI LAYRKPIIATSIEEAITRIDGLLADDNSSENGLTTRYYDVSTPSVLGVFTGQGAQWAT MGARLIEESPFVAQRLAQLQRALATLPEGDRPDWTLTSQLLAGTKSSRIAEAAIAQPL CTAIQIVLVDLLKAANIRLGAVVGHSSGEIGAAYAAGFLSATDAIRVAYYRGLYAKLS RSPSGGQGAMMAVGTSIEDAQEFCELEDFDGRIQVAARNSQSSITLSGDEDAIQEALA IFSSEGKFARMLKVDTAYHSTHMLPCAKPYLAGLARAGYSVGEGNDTTWCSSVIKGHI MTKKDVENPRYWVDNMTNAVLFEPAISQALDDAGPFDIAIEYGPHPALKGPALDTVEA IAGRRIPYTGLLSRGKNDVDELSSALGYIWTQLGASSIDFDGFEKFISGTSSKQKRFV FDLPTYRFDHSRSFNTLTRFSGGHTNLHAAPHPLLGRRLVETETSDEISYRNVLRPAE ITWIQGHGLQGQSVFPAMGYVSAAVEVIAAVTADRNLGLITLNDIVIGRALAFTDENA GMETKVSLSEITSTEDEMSARMTFHSGLPFDSSTPLALNFSATIHAVFCETEPDTLPA ARIDEINLVEAEPERLYTQFSKLGYNYSLPFTGVRSIQRKKGWAAGDIEDESGDGWED KLLVHPGWLDSAVQTGFAAYSHPHDNRLFALLVPTAIHSIVINPYFFNTTTARHRNMQ YQTTAREDPEAPMVVDIDIFPGGDDVQSYPFVQFESLRVMPFAPATARDDAVLFSRFN YRLAAPDAVAAVAGEELLSSETTALWKSLDRASFFYLRRLHETITSAERAAALPHFQL LLDYIERLVAATASGECPVLPREALADSPTFIRSLISRYHDRADMQMIEAVGENFLPE IQRNGNMLEHMMKDGLLDRFYADTAGVIAANSWIASIVAQIAHRYPRMHLFEVGAGTG STTRPVLSALKGAFSSYTFTDIGAGFISRAQDGFTQFEFSDRMSFAKFDLEQSPEEQG FVDGAYDIVIASNVLHATGKLDEAMDNVRRLLRPGGYLIALEIVSEYTVSMNTMMGGI HGWWAGAAVDQSRRDGPCLTLERWDALMRTHGFSGVDSHTPVLDNVQWFSVFVSQAVD DFVGSLRAPLNASKGHRDLSPLVIIGRHTSTFDRLVDEVSALAGPRSSAVTRFESLED LNKHGLSPGSSVLSLAELDEQFFEVRTESKLNALKTLWRNGGSILWVTRSAMNERPFS SIILGLSRVVRLEYPNINLQILDFDAATEVNPQNVAEALIRLELGAQFKKEEGAKVDL LWTVEPETHYINGQLHIPRLLPDTDANKRYNTYRRTTTDEVDLHKTPVVLDPAPDGKT FDLAIVSPLRVLPELQASGKIVTIQVEQSLLQIIKIRGVGYFNLLIGKDVENGEKLAT LVDSAIESRARVPIEWTMRVPKNILKNTIYSSLVSVGAYLLAQSILVDVPRSGTILVH EADELLKEALQKEAMREGLRIVFTSGITKTAYIFIHEKLPMRLVQSVLPRDITFFVNL DPGSATACLLTRSLPPHTATAISTDFIRTQAGLSPNADVDQVGPSLKKAWQAVTNYLL ASSSSQKPIPVLRLQDVSGSSAVHAKLSVVDWTISSSPVRALLRPIDHGNIFRDDGTY LLFGLAGDLGQSLCTWMVTHGARYIVLGSRRPKVNPRFIESLSPATIKVVAVDVTRRD SLNAAYDEICVEMPPVVGVANGAMLLEDALFDDLEFTSLERTAPPKIDGSVLLDELFY DALLDFFILFTSTSNVVGNGGQSAYVMANQFMAALAAQRRDVRGVAGSDIAIGFVYGL GYFEHATHLDIDHFLRLSYRGISEQDLHALFAEAMLAGRPSEKSKGISEIATGVSPFR DEPQTHIQLRTNPRFSHFLMHDAGSNGVQHGGSGGGTERPRARLAAATSLTEAQAILR EAFVDRLKRILMIPQGESMDEKSTFVEQGVDSIMAVEVRTWFLQELDVDLPVLKIMGV GSTVESLLVEVMEKIPVDILELEKLGSGTGTGPGTGKAASIPPPAPAPVASAPTHTFS APSPPTKPSTESTDSDADTESWRVISTPNTPPEMSLHSLVTPENDHERGKRVFTALER QQEEIRRKAIIDSSTEHTGPMTYGQKRFWFLANYVDDQTTFNIAYMFKLAGHIRINDL TKAVQTVAQRHEALRTRFFWSEDDSKTPMQGILSKPIVRLETGTIESEAQAAEELEAM RNHKWNLGDWIQLRLRLLSLSDTSHYLVMGTHHISLDGHSMNMLMFDINQAYTRPGKA LSPMPDTSQARAFGAQQVLAYKTGKLRPAIDYFRRTLQSVDMNRPIQLFSFARSQVRV PLDRYGNNVSRIRLDPQIAARMKQLVRGHQSTSFHGYLAALQALIFRLLPADTTDKVV IGIADANRIESKFMGSLGNFLNILPLLFNRPDRGQTFGKAIEEARSKVYGAMEFSALP FDLLLDELSVPRSNTYTPVCQVLMDYKLVTREQANMSWAGCKVSEHKWHTARSTYDIA LEIVEDHESALVALHVQDGLYNKEATDLILRSYVNVLNQVVQQDGDTISTEKLDKWDS VDVRNALELGQGPSLPLEWPATVAHRIDQIIAKYPNNLAIKDGFGHILTYAALDERVE SIAQILRKSLPDQNREQSVVGVFQTPAADWIASLVAILRTGAIYLPLDLKVSAARLKG YVNVAGPAVILADSETVGRTKEIGIESTASVINVSDLPAKVKESKEKIATAAQADRPA YIIFTSGSTGEPKGVVIKHSSFRALAEGFVREWDITSLGRVVLQQIPLTSDGSLKQII SAITTGGCLVVASADARGDPTELTRLMADNNVTFSVATPSEWNMWFRFAPQNLRCCTS LTSAWFGGERAPQSLLDSFRDLRKTLPNLRVFNTYGPTEATISTVKGETDLNNPNLVV PVPSRILPNYTVYIVDEESQTVPVSVPGEIVIGGAGVGNNEYLNRPDLTAKQFPADSF VSNNKEGRVYYTGDYGRLDSHGLLAIEGRIAGDAQVKVRGFRVELGEIEGVIMKEASG AVTAAVVTLDAGESDHDGLLIAHIVVDKNKHRSEAQIAEIVDQLSTRLALSLPQYMVP AEIILFDEVPLTAHGKVDRKAVQGLPRVVTETSVAVREEQQKSFTVPERRLADIWATI LPAHLLAAKPLTPRTDFFRAGGNSLMLVQLQAAIKQALGDAPRLNKLMNTPELAEMAA LLESSGAAPDWDKEIAVSDLLNEIPFRNPKKSSTTGLRVLVTGATGSLGKHIIPHLAT NPRVTQIVVLARPAEGRDLTHLFPSIKDKLLVVHAELPSIPADNIVPEMADIDVILHI AADRNFWDGYSALKPVNVITPKLLAKLALRIGAALHVLSSGAVVNYEADSNGLPRPEA SDGYVASKWVAERYLANAARQTGIEVTAHRPTKTATIDQFPADQKLTEAEEALIHSIL INSPRLGVRPDFTHIGGTFYIAPVEDVATAIAAAVAAGPQESDEKTLRIINHPGTASV RTEIMASRVEELFKQPENEAVRELPSVPVLHWVGKTKRAGLFEWIFTSQELIVIDEEG RKVVSRR LY89DRAFT_680378 MVAIHSYSAITLLTVAAFVGGGVAQSSCEPSIPPRPSPTAYPYH PAKNHEVSPPRTKTCYVQAFGNGQDDSQTIFSALKACNNGGTVALLDAQYTIARPLDL TFLNAVDLVIAGEVSFTPDIAFWTANSLKYSYQTSALFWQIGGTDVNIYGGGTINGNG QVYWDALITNSSVVRPHLMGIMGLQGGTISNLNLKNPPNWFHFVANSSDVLFDSMKMT AISNDTHPAANSDGWDLYRSDSIVVQNSYIYNDDDCVSFKPNSTNIVVQHLVCVGSHG ISVGSLGQYAGEVDIAENLYIYNISMTNATDGARIKILPGVAPGSNATGVGGGSGYVR NVTYNGMHDVNDAYAIELNQCYESSTTLCAKYPSSILIEDILFQDFTGTASTKYAPIV GTLVCSSTAVCQNIVAENINITTPTGVTAEWTCTNVDKSTLAINCV LY89DRAFT_636049 MYLRRSLGYLLTSTFFLANLAHANNSTSAYVACQAVTVNPLDGC PSNTVLVSQTDCSANFSTIQSAVLSIPNNTIPYTILVLPGNYIEQINVTRSGPLTILG QTNSPNDQSENSVTVYWTSADANSDLPGDAFSSVLTVAPNLNASLTGSGPTGFAVPAD TPFGCTDFRVYNIDFRNVYSEISAGPALAVSVSRANAGFYYSGIYSYQDTVYVGKLGN AYFYHNEIAGQTDFFYGFGTAWIESTSVLLRGCGGGITAWKGTNTTFVNKYGVYISDS TLNAANSSIAPSMVGKCFLGRPWNALMRAVYLNMYMDASIAPAGFKKWTTDPATDNYG PNTTMAEYKSYGPGFNLTTRIAGNLTIEFTADQARAYRTPKDVFMASDGSQSYYSWID AAYYPW LY89DRAFT_290280 MTLKGEYQFTLTSNMYIMGRSPSSIPNARSTSIMSVTRTTRILD KVPWVPRSTVAENSQVPLGDGNTSESGSISDKSGRSSSGSDSTSDCHDGCVSVAVFDI LWRDDDCIFNQLGGSIRFKILFEQEAPAPRPNITQPLSGRNYISIFEMGMNECIFKCS AFANGMGWENFRAFKRNRAFKSGFPDDEVSFRFPDDMLDDGGVPMLMLILGDGRGCTD QVVVCWAKMRDNAHGNNLATFSSTEIAKISVYEKLDE LY89DRAFT_574599 MRDASGRSSAVGSAHSSPREGTEETPTLEREQPGDVASSATELA PATLESSKPSNANELETRAPESQPMTKSDSSSSNPPGKDASNSSAGVPYGTRSRNRTG NSRPNYAEDKEIDIELEVVAPPFQSSARKAKAAEPAPMVMDSGRPISTSRKGPGGEAE QSVLMQNHYREPIPGTSTFSANPAAAPNSHNGSKKRKAANQTPASHQSQMSIPNLGPH STTRGASIAAQGNGTVQDSNMLTFEACQGRPTGKTLHADDGTILEVNDHVYLVCEPPG EPYYLGRIMEFLHINNNPTQPIDALRLNWYYRPKDIGRKVNDTRQVFASMHSDISPLT ALRGKCQIKHKSEVDKLDELRKTKDCFWYEKLYDRYIHRFYDVIPTSQVINVPIEVKK VLDERWRYIIVEPGRGKELTSAVKSCKRCNKYCASNDSVDCAVCHNTYHMSCVNPPLL KKPSRGFAWACGPCSKAQEKRLEARNTPNVADPTGDIDEDEYNEEEDGQSGAIDSALQ TGRTSPTVSSEAEGALHSGTPEQIHQASLWLFRYLGIHCRVEDALDYDDRIYPRASSR LGPRHQAVVPAWPGRPVEYVKPAEIKRKYVKGGGHKKDTKLSKDTVAALEADKLAREQ RPKWVMDEPPGYVHRGEDYEDGDPNSTSELLYKFPEANEIPPRDIDGDGPQPLDEKAR EGHIFNYMAYVGGNMPRALGLPALSTNLLDVALETLHANKYDVDKAIESLSKSDKAVF KEPALSTTELKKFEDGVAKFGSEWHSIKKHVKTVKAADIVRFYYTWKKSESGKRVWGN YSGRKGKKEAKRAEATTGKLQDDVADEHDDSAFDNEKAETKKRRFQCKFCGTKESRQW RRAPNTPAGTMISENPGVKVTGKDKSTQLMVALCRRCAELWRRYAIQWEDIDQVAKQV AQAGGRAWKRKIDEELLKELVAANEVVNQAISLPSAAPNSANGTPAPQANLGQITEPP RKKLKGPSERESTDPGIDSSVSSISGPKKKTAPEKQAAPPPPPEPPKPKQMPCAICGE LEPMGDQHLCCKDCRLTVHRNCYGVVGEHRSPSKWTCDMCSNDKNPQVSIQYECKLCP IKFTEQDFVEPPKVSHKKKTEKDRERDRVERENAQKVADYFRKKQEEMNKPVNPREPL KRTANNNWIHVTCAVFTPEVKFGNGKALEPSEGLGSIPTARWQDTCKVCKKQDGACVS CHTCRIPVHVECAHQAGYILGFDIAPVKGSRRDQFNIVTIAGEVGTMTAAIWCKEHVP TKTIVHRMHDIVDEESGLNALQLYVQNFKQADLALTGTVRKATLVSQSAKVVNPASVA PPPNRRASTNTGGSHNGRGSLSHAKPDDSPGETSTTVQSDSRRICITCNVDISPKWWP FPPTPTDKPPATSLDPASLDNSQHHMNGHLTNGHATLDSEEETNGAHIALAAAALHQN PSKSISVPNEFQCQQCHFKKKRKEVSPPPPLPPREPSRPLIPTPQPAPAVLPREADNI APPQHTSPYWSNPPAPPPLAAYATNGSSNGPPNGPPYRWSRPSPAPQSIPHVNQLNGN HSPRINSGSVQALGGQPPIRHQSHGIPHSPHQNGHHPQIPNSYPSSPRHAIGSPPLHM QNGSYPSYASTRPAPQHLTNGGPPPRAPEHPFSQNSAPMHSRGSFGPPQGSPPMLRES HPQGRDLTNSQATNSRPNDGRVNGGASASPSLRNLLS LY89DRAFT_704035 MEKRLSTITVQQTFRFGWNFTLIAGKIHDVLDRGTSEYSQRRTL ALTPTPTSNPVLDLSHPAYGLPKRLVSNFASLGIRSIYPWQSECLLRSGALAGEKNLV YTAPTGGGKSLVTDVLMLKKVLENPGKKALLVLPYVALVQEKQRWLRKVVEGIVKTSA SNHDWPTAWRKRGDEETIRVMGFYGGSKTKASWDDMDIAVCTIEKANSLVNAAIEDLS IGKLGCVVMDELHMIDDESRGYILELTATKLLSLDLEDKVQLIGMSATLNVLPRPEET DSLLMDKRRDLLNNLRSTSTGLDQLLEKIVPVGVAFHHAGLTTEERDIIATAYDEGII KVIVATCSLAAGINLPARRVILHGARMGADLVGPSMLRQMRGRAGRKGKDEIGETYLC CQQSDLEAVAELMDAELPRVESCLLPGKRGVKRALLEIIATKLATSEESTDHYIKNTL LYHTIDHDELTSMVKETVEELETSELITKGSDTEYSATLLGQAIVASSLTPEDGLFVH RELRKALQAFVMNGEMHVLYLFTPMQAAQTNINWQIFSNEVERLDESNLRVLKFVGLK PLNIIKLAQGGSMKESTPEEIETARIYRRFYAALQLRDLCNEMPIYAVARKYDLPRGI VQNLAQTCHGFAAGMIKFAERMNWGALSAVLDHFSDRLKAGAKADLLSLAKVTYIKSR TARVFWENGFKSVAALAAADVNDILPILIMAQPKKPRLTFEEEARYIEKLKSKAAIIL ASASKNWELQMRQELEEED LY89DRAFT_680385 MAPKVAIVFYSMYGHIKALAEAEKEGLKKAGIEADIFQVPETLP QEVLTKMHAPPKSDYPAIDAATLEKYDAFLFGIPTRYGNFPAQWKAFWDTTGSQWASG GYWGKFAGVFVSTGTQGGGQESTVIASLSTLAHHGIIYIPLGYKHTFSQLSTLTEMRG GSPWGAGTFSGADGSRMPSTLELELATIQGEQFGLAVSKVSFA LY89DRAFT_636061 MLVSSRPIRVVIPRSTVAKRHLRCLHQASRPRPQHAAPSSQVWR VPKRGKRTQTSLNLHDLPQSSRHLESIDKGKGIGVSQDDQDQNQIATPLEPLVLQDDT PSYPTVILQARNNMRKFENCVLLTRVGGFYEMYFEHAEQYGPQLNLKVAEKKTSAGLV PMAGFPIFQLDRYLKILVQDFDRYVAIAEEFPNDASGQVKAGGLMHDRRVSRVVTPGT LIDENFMDPFSNNYVLAIYPSNNSQDNLNRQHPQVSIGLAWLDLSTGHFFTQSTTLTM LPALLARIGAREIVLAEDLKFSKDHEIFSVLGDDHSLITYIDVAATKPLSEWAPMLES PVSPRLAAEFTDQEIGAGSILIQYVESRLLDSKMKLQPPSRQLDVMGIDKNTMRALEV RRTMRDGSSKGSLLHTIRRTVTKGGARLLEHWLSSPSTSVDVIDARLDLVTYMLKNEI LRERLLILLKRSHDSHRLLQKFAFGRGDADDLLALTSAVFATRDLVSTLQQSNSEESC VQNMISRINLEGPIDLANSVREAIDEDGLVQQHRMEDSQAGEMQALTQEVVTSEGSSE DWNVLPKSARKKKPASLKELYANEQEVWVMKPSASPLLKRLHEALATLGTEKEDLSKT LGTQFGASTLTLRHSPGLGYICHVKGKDMKLDLSTLRSVSSSKSTKSFHHPEWTHLGE RIDQCKIHIRAEEQRVFNDLREQVIHNIVKLRRNAAVLDEIDIACSFAGLAAERHWTR PILNQTQSHKIVGGRHPTVEGGLEEEGRTFVANDCFVGDAQQTWFITGPNMAGKSTFL RQNALITILAQIGSYVPAEYAELGIVDQIFSRVGSADNLYKDQSTFMVEMLETATILK QATPRSFVIMDEIGRGTTASDGTAVAFACLHHLNTVNKCRTLFATHFHDLADLLEADG INSVGFYCTDVQEDREGGGFRYVHKLKAGVNKQSHALKVARLAGLPEEAIAAARRVLE KSVESV LY89DRAFT_290408 MGGKAENKAGYFEKLKGLLEEFSSIFVVTVDNVSSQQMHEIRQS LRGEGVVLMGKNTMVRRAIKGFISDSPEYERLLPFVKGNVGFVFTNGDLKTIRDKILA NKVAAPARAGAVAPADVYVPAGNTGMEPGKTSFFQALGVPTKIARGTIEITSDLKLVE AGSKVGASEATLLNMLNISPFTYGMGISQVYDQGNTFPPSVLDIEESQLLKSFTSAIT TIACISLAANFPTLPSVMHSVVNSYKKVLAVAVETEYGWPEIEELKDRIANPDAYASS APAAATGGDAPAAAEEKEEENEEEAEESGDEGFGGLFD LY89DRAFT_714084 MRMPYQCMTRCGDILVAARGSSIDLFKLQDGSLLSTWNCSNHDN QNGKVSDGGGADLTTMLVTTQTSESSSVEIALDAASPPAKKRKLSHSEQSPNQTEPKN GKKKQNNRSAAVASGLEAPAVTVLASTTDRKHVVAVTGEDKSIRVFEIVTTDGVHILK QLSQRNMPKRPCTVAITKDNSTIISADKFGDVYALPLLPSKDLAKPSPVFEPASSKPF VPAANELTIHSQRNRKALENQKRHSNKASEKSEPTFEHKLLLGHVSMLTDVALVTSDE KDYIITADRDEHIRISRGIPQSHIIEGFCLGHTDFITRLCIPRNRSNLLISAGGDDEL FVWKWQSSQLVSRANLKRHAEALKTKSTGSDTVQESTEPFKIAVSGLYHTQRYVDDHF VDFIIVTCEGIAALFLFDLHADDNSLRYIQTFELPGNPLAVVANMSPTQCSSNEVIVS VDMVHQPGSTVERRLGSATEQSLLAIQLCDSGLVSGGVTFREPVEEDKSLSSSDDTTG RLGNLLYNLENLRKRDGEGQDE LY89DRAFT_552096 ASAALLTYIAVTFARCRTRSPRDVLRNVTRCLQLLRRHRRTLSP KVSRSVTRAGISHSIELDKIVPAERAAWAVRTIRSVEGPEVADTVAMIVANWNE LY89DRAFT_575632 MSEAFPPPSHNLGSLWSSLWEVDRSQWRWQAPRAQDPVPREVTP SRVYESTEWKESTSRSAAKKDFPRTKAATKPSIHKDISAIRHKLKLCQTNDLPALCND FNQLFKHNLTLGTVSEIAITDALRSISKDLHNAFPGEAATHCLSFYKATWDGLLACRV LQVEQLGNGITQKLMQLLAKLPSTSDVLSFALSIIPRLSRNQQDGAR LY89DRAFT_680390 MSIRSSTQSERPPTTFTIDTVYSMAHQFEPLQNDLILRTAWGQK VERPPMWVMRQAGRYLPEYHEAKGKHDFFECCRSPEIASTLTLQPIERFAGLVDAAII FSDILVIPQALGMTVEMVDKKGPHFPEPLKSPEDGQYAKVLKKDVDVAAELDYVYKAI TMTRHKLKGRVPLYGFCGAPWTLFCYMVEGGGSKMFIETKTWIYKYPKATQDMLQKIA EVCVEYLALQVKAGAQILQVFDSWAGELSPSSFKKFSQPYLAYISKHLPVRIKELGLE PVPMVVFAKGAWYALDSLCDLGYQVVGLDWLQDPSEAVKIRGDRKVVFQGNADPGVLY GSREAITATAEEMVAGFGGGKQGWIANLGHGITPGVKPDDLAFYFSEIHRLAGS LY89DRAFT_574866 MNDQEAFAIAVEEAKLGYKEGGVPIGAALISRDGKLLGRGHNMR VQKGSAIHHGETAALENSGRLPASAYKGATMYTTLSPCDMWYVLLLCLLTGACILYGI SRVVIGENKTYLGGEAYLKQRGIEVVVMENEECRDLMELFIKEKPEIWNEDIGEEARV YSKSK LY89DRAFT_693690 MPKDNKFYEILGVSPTCTEAELKKAYKVGALKYHPDKNAHNPEA ENKFKDLSHAYEILSDPQKRSIYDQYGEEGLEGGAGAGGMNAEDLFSQFFGGGSAFGG GGLGGMFGGGMPQRGPPKARTIHHVHKVSLEDIYRGKISKLALQKSVICPKCDGRGGK EGAVKKCAGCDGHGMKTMMRQMGPMIQRFQTVCPDCNGEGEIIREKDKCKGCNGKKTT IERKVLHVHVDRGVRTGHKIEFRGEGDQTPGVQPGDVIFEIEQKPHPRFQRKDDDLFY HAEIDLVTALAGGTIFIEHLDDRWLSVEILPGEVIAPGSLKMIRGQGMPSFRHHDFGN LFVQFDVKFPEKNFTTDPAAFEALKAIIPHTKSPITPPAETMTEIVDFEDVDASQQAR AAGATAMDEDDEDGHAGGERVQCASQ LY89DRAFT_704043 MDYFNSISIQGQTSPEAKSNMSNLSPPTGAAARPSASPPSVSTS STNATSNSSSPASAPRIRRRNRMITSCLECRRRKLKCNKSHPCTNCVKFNRDCVFLAP ALDQASQLKLTEIKEKVGSLERLLERDVAKSAGITSTTSPGQERALPDDAEDDLPANE DEKDLEPTPLAVVDAAYEVDGEDDDLLDLGIQLGKMRITERIGGFFRPKISQELEFTM HDTYMTGDRDKDNAQMLSPPHVSEPLPPASEWLKAGPEYMMPSTSFFFGGTSSQATSL IDFLPSQLAADRLIKQYFTCVHPICQVVHRPTFEKEYDVFWDEVSLGIEPPNTVQTIV FAALFAGVVSMDESAVIREFGVAKASLVDNFKLGTETALSRANFLRTTKIEILQAFVM YLIPLCRSEMSRAHSVLLGAAIRMAECMGLHRDGQTYGMNPLETHVRRLVWHQLCFLD IRTCEAQGPRPTIRRDEFDTKLPLNVNDIDLHATGKAPVGADRWTDSTFCLIRFEVNE MMRTIWVDRPRIERRKISLTAVLSKIETFRANMAAKYDHLIDDRIPLQKCAKIVKALL LSRLHIMVLHRYHNSVVAPMPDRLRNIMLASGTTTVETAVALETLPEVKPWVWYVGAY QQYHTAFLLLMEVYVYPQRKEADRIWACLDYIFETNPAEPRRLKGKKILSELQQKTAV YQSMRGMRAPTVMEKHVGQREPRVSDSNMNVLSNSSVKGLHYLSSPREPDVPAPNSPI IYGPGSLQGVPGSSIGRVDLIPQVQFAGVSNGESLWALPSSQSPEASSDTMSLSGGQP VPAVPNANAGAGNGMDDPMADIDWDAFDALFPPEHQAALEVPAYQFPAFNPY LY89DRAFT_680397 MPGFDFSNHTRNAALHARGVPLPKATSTGTTIVGCIFDGGVVIA ADTRATSGPIVADKNCEKLHYIAPQIWCAGAGTAADTEFTTALISSQLELHSLSTGRK PRVVTCMTLLKQHLFRYQGHIGAYLVVAGVDPTGVGLFTVHAHGSTDKLPYVTMGSGS LAAMSVFETQWKSKMTEQEAIDLASNAIQAGIFNDLGSGSNVDVAVITKDKTTLKRGY IKPNERSKKQKSYVFKRGTTAVLNEKVITREEISKYVTVHELDGGETALGEKMDLDV LY89DRAFT_606628 MAFSFGSPAPAAANGSTIQVGADLEDIQTETLGFLALSGESKIQ LLPTAWPSDQLPPPTACLMSIASQKGLIAATSPDCVILASTESVRKAFEGSESGDGNV KPFQPQLKLSMPMRVSQLAFTADESYLVLSAENGGGLAVYDVEALKNGATESTFQLAT NGQSLRALVPNPTPEKGELLALVTTDGNLMMANLKERNFVSGANGQILKGGVSCVSWS TKGKQLVAGLANGSAYQMTPEGEGKADIPRPPNVDQGDHVSSITWLENHVFLMVHTPS NFDNSQAPLSTFNVVTRNPPGKPANIVYQKISDPAAPYGLNRSPPHHFLLRLKDFPPN LQDALIIASTASTDIGLFSRSKTPLTNTKPAEKITGVFTMTEMTDDSRRAALPMTAEL NDTSPIGFALDLSSKEKVPKPIPTDEEIAETPTPVPALMVLNNEGVLMAWWIIYSESI RQGTPYPGLVAAGGAAQSIQQGPTPTPAASGVFGSPAPGFGTSAFGSPAPAFGTPKPA FGAPSQPAPTTGAFGAPSGLGKTQSPWGAPAASTPTASSGPTFGSSTFGSAPAPSTPA FGTPSFGATSTPAFGLGNRPSPWASGSTAPNAAFGQAGGLNKPTSVFGSSTPSTAAPA SSGFASFATKGGFAAAATPSTGGSIFGSTPATTNPFASPSTNSVFGGAKSEDKPAASF GSGASGGFVLGSTFKADPSAKDDGPIPSNESKSSFFGGGFGSALGETAKSPPTQAPVS QEADMDSDDVVKSVEIPDTEKPSTTPASTPAAPKTGIFGTPAPASTGLFGLSNPASST PTSTPGGLFGSSKPAASTPTTTPGPTGFSFAQSSTNATKPGGFGFGNLGTGTTTGPKT PTPNAVPSIFSQSKTPPSPKIKQEPTTDARGINAKIPEAPLPPDTTSKSTFGIGESSS SSTAEDAPLPPDFINKPAPKSTPAPEPPLPEKNEAKPIPKELIPPSDVPGGPEDEGDD DSDFVTEEEESEDGAEEASEEGSGEDVAKDLSPTSETNHTQDLTPESSFGGPKNQSRD PSFMHISRPSIPTQSRSLFGEISKEKAPVLAPPKTFTSPRSPSPVRNPVPSRMLRPER VSSAPGFASQILGSRPTTARSAPTQDTFTLSKEQHEKEESRRAESRARKEAEETQMLV DRDDDDLQKFLASDITGSRKLDGFQTRPEYTGIKSLDSVAAQVETVYRDINSMIDTLG LNARALRCFMKGHTEQYKDEGRTRDDLEEDDDWCLVEIENLSSVIEKDLERDLEDGRV KDVATKLETCNDLQKDLIRLRAKHEDIKKIIDSHQDPTQVALARAQPLSAEQAAQQHD LRKEYTKFQKLLSEAEEGLSVLKAKVVSQATSSGRASSSSVPTVEAVMKTITKMTTMA EKRSGDIDVLEGQMRRLNMSSAISTGSREGSPFATPANNRSIMRNPGASSLFYTPDSI KDTPQRFQSSIMSNASSYARNSPRRKMSGYTTEEKMQLRSKMARKKEVMDKLRSALQK AGTNIRLMDDNE LY89DRAFT_574964 QVFKGKMLLYLAYQSIGVIYGDIGTSPLYVYSSTFSSEPSYQDL VGVLSIIIWSLTMMVTVKYVLVILHADNEGEGGTFSTYSLLSRYANITKRDPREASLI KMERHLTGDLKRPNRGIRSSMEKSTFLRGLLKTIGVLAVTMVMSDGVLTPAQSVLGAV QGLNVVKPDISSATVVGTTCGILILLFLIQPFGTEKLAVTFAPIVITWLGFNAGFGIY NLSKFDYTVLKAFNPAHAFEFMIRNKTDGWKMLGGILLAFTGVEALFADLGAFSRRAI QLSWLCYTFPCLLLAYIGQAAYISEHPGAYSNPFFNSAPPGMLYPSLIVAILAAIVAS QAIITATFQLMAQVMKLSYFPQIKVVHTSKQFHGQLYVPMLNFKSARLRFNRLIMIAT VLVAAIYNNTTSLGNAYGVCVMFVTLFDSCMVGLAALIVWRIPVYFVFFPWLTIALLD GLYMSSALIKVPQGAWFTLTLSAVLACLLILWRFGKEQQWASEAEDRFPTTHLVKRGE HGLIKLTDKYGGDDLSVIKGFGIYFDKAGETTPAVFTHFVSKLIAAPEVMVFFHLRPI ETPSVAPELRYTVSRVAIPNCYRLVVRHGYMDEVITPDLASLILEQVRDFIIRQRVSI RTKGATNIPIQPQEISFEPLARDEKSIGDPESKEQSILTSTTEESIAIDLAKVQSAYD RQVLYIIGKEQLRVKAGTKLWRKILLSAFLWIRENTRTKVANLRVQTDRVVEVGFVKD V LY89DRAFT_606633 ASTTVDPNAVTDTTCIDTSANIVFHDQNVAELAICGGIAGAITK CGGAPTLTTGSSGTALFNLNAVTSGATINVSKGRWEGCVRAARAVCPTGTFSSTCIGG ASTGNVAFTLSAQ LY89DRAFT_728420 MVKDKKNKSEGKKAKIAEKKKKQEKKGEKKEKTKKGKDIGSDVE EDVDLDAVLAEYAKKQEQFLKVTETPCDPPRARASSTLIASPATDNELFLFGGEFFNG ALATFFNDLYVYFINRDEWRLITSPNSPLPRSGHAWCRGNTGIYLFGGEFSSPKQGTF YHYNDFWRFEPSSREWTRIETKGKGPSARSGHRMTYYKNYILLFGGFQDTSQQTKYLA DLWVYDTQNFIWHNPALPLATQKPDARSSFTFLPHEAGAVLYGGYSRVKATVTGKQTK GGGQAQRNVLKPLVHQDCFFLRVIPPAADASSTSPPTVRWERRKKPVNAPNPPRAGAT MAYHKGRGIVFGGVHDVEESEEGIDSEFFNTLFVWNVERNRYFPLTLRKPRTSQKKAQ GDARGGRRGRGQANEEELLRNLAALSAGKSLSEDAMDIEILKEDPNAEEKLLPVKEML MEFPHPRFNAQLTVQDDVLYIYGGTFEKGDREFTFDEMYAIDLGKLDGMKEIFRREPE NWLGSDDEESEDEEGSDEDDEDDEEDEEGDIKMTDEKPLFTESARKSKRKEKVAAGEE ENTAESPQTPAIFDDTPDDVTTTTSIDDNLPHPRPFESRRDFFQRTGDDWQMVLMTNL RWKGIQPECLSVKEIKTKAFEMSEEKWWDCREEITALEDEQEAAGIGEVVALADREGT GGAGRRR LY89DRAFT_680403 MAARLLESKSHLVPGKLKVSELFFEVPKDHLKPAGGTIQLFARS ITRHEKPVTVLSEEEQLKTQQKPWFVYLQGGPGFSCAPPQNHPLTNTVLDKGYQMLYL DQRGTGLSNPITSATLAEQGDVHRQADYLKLFRADSIVKDCEAIRKTLTKDYPAELKK WSLFGQSFGGFCILTYLSKYPHGLREAFATGGLAPIGKSPEEVYKATYRKVVERNKAY YQKYPEDVDAVHGLAYHIRGKAGLPLPSGGVLTVRGFLTLGRNFGMHGGLDIVHNLVL RMKSDLAQFKFVTRPTLSALESALSFDDNVLYAVLHEAIYCENEASNWAAEHVGKSLK EFSWLAGSPQSALAVREAPLFFSGEMIYPFMFDIFPELEKLAPVADVLAKYNGWPELY DQWQLARNEVPLYAATFVDDMYVDFGLAQDTAKLVKNCKQFITNGMYHDAVKSKTDDV MKQLFALRDDSID LY89DRAFT_777397 MAPSDTPKKPFHIAVCGGGIGGLCMAIGLLHQNISCTLYESAPA FAEIGAGVSFGPNAIRAMSLIDPDIKKGYDKIATSNAWPEKRKFWFDFNLGQKSHTWG DMKAPGEEMLRVAQVVAGDIGQSSVHRARFLDVLVDLVPDGVAKFGKRVEKVDKKGKK MILTFHNGSTAEADAVIGCDGVKSRTRQILLGEDHRTTDPTFTGKYAYRGLIPMEKAV GAIGEELARNSQMYMGRHGHVLTFPIENGRTMNVVAFRTKEDGKWEDERWVLPMKKED MFDDFAGWGDSVQQILSLMEKPDVWALFDHPPAPTYYRGCLAILGDAAHASTPHQGAG AGQAIEDAFILSNLLGQVESVNEIEKAFHAYDAIRRPRSQKVVTTSREATAIYDFEDE KLGTDLDLIKRTLETRYDWIWNEDLPQQLRRAREIAGQRAHL LY89DRAFT_777398 MPLGWERINSRTSQPNKNIVFIKPLPGPDFNTAKDFLERIAAQC LPIMNKHHLAVVSLEEYEPNLEFAGRNFNNGEVIQLVLKSPYTGRWIPFRQVQLVMMH ELAHNKQMNHSKAFWAVRNEFATDLKGLWDKGYTGDGLWGKGVLLENGAFTQEELDTD VILPVCGGTFRSKARKRNAKPKITYKEKQERRIRKKFGTNGVALGADDETKTKLEKGK RVAGKPRVAGSARGRELRAAAALARFEVKKEESQMSDNDLVTDSEAESDNDEPRIKAE PDDAIDINGLRLLDRKGGGMVKVCGDEDNDDDDVKRELLELQGVPKRTGQRTQLSKDT TYEKINRNSTESSLVSPDIEVQRQERKSTTDSLTENLPAKQVPEKGSKPTSNNPTSVS IGPSPKIERQRGSTTTSSEACPVCSVENEPTALTCMVCLNVLRPEFVPNSWKCSSSGC KGGIYLNSGDVVMCGVCGIRKS LY89DRAFT_606645 MNFGVREVALNSRANAAPGWAYVPDTGVNASVTALQPSSRKRAR NQHVASHQETTAKQDAKILRELVALDRENHRDVSIPVPIRHRDNAGRVSHGKVTPNVR KILQSQKTFANHLSDYEALGSPLTASTALTQPSATIPNTPAARATPGSGAPHLTSKGT RSHKKKDPTAVSTPTPLRKVFSAAQLTPAAVKSESSTADVPMPDAPILSQGNGTIPAA HPLDKDPLLVSRIPGMPSQTEIDKLLAAPPLTYNEARGPWVEEDMRKPVRQFCEICGY WGRVRCTRCGGKVCALECLTTHQEECFSRYGA LY89DRAFT_556743 MASRILSPAMRVMSTPSAALRTSRSFQTSSRKLAEATPLPVRKP VGAFRGGLFGFLLGSTLAGAGVYYYILEEYKVSNGLLTEDIYSLQASVQRVHSYVQTL EEKL LY89DRAFT_680405 MRTFSTLALGLVSAAVASASDVSSLTKDTFPDFVKDNDLVLAEC GHCKALAPEYEEAATQLKEKNIKLAKVDCTEEADLCQSYGVEGYPTLKVFRGAENVTP YSGARKAPAIVSYMTKQSLPAVSILTKDTLEDFKTADKVVLVAYVDAEDKTSNATFTA VAEKLRDNYLFGASNDAALAKAEGVTAPAIVLYKSFDEGKTIFAESFDAEAIEKFAST ASIPLIGEVGPETYAGYMATGIPLAYIFAETPEERETLSTALRSVAEEHRGKVSFATI DAKAFGAHAGNLNLKADQFPAFAIQDTVNNKKYPYDQDAEITAETIGKFVSEFVSGNV EPSIKSEPIPEKQEGPVQIVVAKNYNDIVLEEDKDVLIEFYAPWCGHCKALAPKYDIL AKLYVDAGYTDKVTIAKVDATMNDVPDEIQGFPTIKLYKANDKKNPVTYSGSRSIEDL IKFVKENGSYGVEITYEEETAEAQKPIVESMAEQAAAATESVKSAAEEVKETVKSKAS EATEAAKTPAAEDHDEL LY89DRAFT_291737 MEALSPNARPSTRDRGFSDTFAARPRVFNERDPMRHGSSPVGRE NRSPSNVPKGLETMLKTTTETGDIGMFSIKPSRVPNPSNTPRRIGTGLSEIDMQKTRQ AFQPYGVPIVDDRRRLPSYARDNSSEALSMYETASQKSASRTFDDPDYRSYSMTQTYS SYTLSNHRSYTSLRSQPDGNGVIQRPRSPFAYPTRLKRPGFRPSSPALTDGGAVDYSR RVEIGRAPYGAGQSTSSPASLYAQKRRPPLSLRHEANRSTPSLLSQSSPPRRSSSPLV PRQNGLSGGDWQRRHVTASGNTSPARSTLSVASTVNLYATLPTSSATTTPGKLHPPSP LYYDYTEDFEVEVCAQPQVLDPPPQFRIEKTIPEDRPMSAEGPATGGSQHMFSNDTSR FQTIISSSSDRPDIEESQASKLDNSAQPEHVDAASFPDDEGHSQRTGEVNYDAEQRKK VIRLSGLGYGARELSCHVEEAFGLPSSSSFLVNSETRRSFDVLPISSEKDQPRRTSRE SQCLPPSGRARARSSGFNSINTGLTELTELIQGTNKPHENKQDGIFAPPGRTPMTSPT IPEKSKLRDASAGDSGKPSAPSFAQQRGRELQMLAQRQPIKRNNQRARRVDPPQVAKD VPNFSHQIPQKAISRPESPMLAPKPISPARQLKLKNSIPRLMKALPPVPSEPAQSSPP PPGHSHSHTEESPSLFTSLVADLPRKSSIDTAQHEGIAHNLGKKLPSVHEEQVEIRAK PEIASNTDTKDDIEQNANKNSAPKLKLKGISNTALRSLSPLSSQPWNLENNDSWLNEN LNARLQSSHLNDKPTISKQPKFRLRVIRASNSTQGTVRVTRDNENAEPSNGIHLQNPK DLFTPSPGIDNLFRNFGRHLHSRKTSANSNYHSEESEPMFAGTTTSSPANENRDEDGY SLRGPPMSVGGVFEARSVFSDDSSQAHGSHSLRKRISNLRARIAVPYTAKVGAQSYDD ITWKDRHAPQIVPQPTNQSDSNLPANRRSSDIKPMRRFAEKLHRQRLKAKVRSWIQGA RSVIARVRPRHSRSGSGSGSGSRGGRLQ LY89DRAFT_728428 MPPIIHETYFDSRACSAARYPSEAPEFEPHVEEIQQHFVASTEY GSYRRDAIHKVEGAKRTASSDNEEARNRSRPRRKSNKVSDITPPPTDIAAARKSSIEH KRRPSWQLKRMSPYFGFLRRSSSSTQSDLGTVTYDPTVESPESTNEESLEQTGAGSGG VLGDVSLSFDKVVSEISRM LY89DRAFT_575071 MTLASDHDAQKRNEDSQQDAKEGTEGEPSSSEGTQGIIGKATGN EEHRPPLPPRPSLLKTGDKAGSALSTIAKPTTALSSVDIQTLSFPDGSRGTFSTPATR AVSQTVSFSDTSAGQSTPSRKVSRNGSFDDGASLMSYAPTLKAHGDLASLLDEGLNQS PAWKLLSSQAESVNPFENVEFEDNTLSNFQHEFDEIEALDSKSGNEEEVLFQWKSKLK HYLILSSAGKPIYSRHGDQNLINGYIGIIQTIISFFQGSKDPLMGFTAGGTRFVVSTE GPLYFVAISKLGESDAQLRAQLEALYMQILSTLTLPTLTHLFTNRPNTDLRRPLEGTE TLLSSLADTFTKGSPSALLSALECLKIRKSQRHTINNTLLKTRTEKLLYGLIVAGGRL VSVVRPKRHSLHPSDLQLIFNMLFEAGGVKAGGGENWIPVCLPGFNNRGYLYMYVSFL SAFEDGTEPGERPSTANSSSKEDEIAILLISADKESFFELKQMRDDVVSQLEKNGSLE IIRAAVRKGRPSTTDIVPGTQLRHFLYKSRANVQFTMPSFTPEFSRLVARRRLMTLYH NLHASTHAKHSHLKVLHCVSKDSISLAWTTPIFEFYCVAGPNASRAVLAQGANKVIQW VKREEERVFIIGGAVF LY89DRAFT_606658 MALEAGRESPSSSGRASPITRKWRNQQGSDEPPSKDKAFRRYAS GVERSLSLFDTALQEWADYISFLGRLLKSLQSHPPTITSVPSKSIVAKRLAQCLNPAL PSGVHQKALEVYAYIFSMIGKDALSRDLPLYLPGLSSTLSFASLSVRTPFLELMEKHL LQLDSRALRPALRAIILALLPGLEEESSEEFERTLRLLDGFKDCLRFPDSKDIGENHS SGDEYFWQCFFLAAITSNGRRLGALAYLVRSLPMLGQHFSLDPASTTSSNAENGSVEG SSARLAEMVTSPEPGLLLRCFAAGLGDEQLLIQRGFLDLLVTHLPLHSNVLQKRVKTE DLELLISAAAGVVSRREMSLNRRLWTWLLGPEPAANDHETSPESPIAVSEDQLGTSST SRTRYFEQYGLQALTSALLKLIDRDSINPMERARPFRICLSLMDRWEIGGLVVPDIFL PIVNSVRRYKDQATTKTDFSEVLRSASVFFDGVESGLIWGEIVGLVVHALGRNKLSTG DRIDKLSLVKFIITHFNVREEEMLLVHAPLTTLTILTLFQKSEEKEIAGNDDLRTPNT FKLALSIAMHLLELIPERALQTRPSTSQSTATVQNGGHAPAHTDLEILQRVKTFYMQD QGNLDAVSPPYAFGELSELLLRQAGSITCQSLSVSVSSADAEINSKLLVMILAKAPKT DSLDVTQVLSSVQIRLSSPSQIPFSALTSIVSLATTLYSTSYITDAELSTLIAPLVRQ AWWYLSAAQPKYHVEAVRCLWQLQSALPHSNHEIEAAICSTMVEKDLNGTFALRDADA GRSFSVLWTHTLQDIVAHADRRASRASINETKTTGKLSGLGNFEVMLARPLFLLLDAL LDERTQLFMTVRTWLQNLVGIDKLFHLFVVKLSAFPFLHSIPKDGVDFLGIDAPSYTG DDDLDQCLYYLKTLSNILRWSSDSMWAILARNSVSIDSSQQTLYYIAGKEGDITLLEF FLHVCLRVICGSQSSGDLDTKPRVNQFHRTALTTLHQILLCPYALPLAKLQLEHVLIE RLVKSLGGSDPFKQVLLLDVVFDTLKIRDAAKPAPPPQSPTVELKRSILSDPAQVSRL SLTTDRDSQSALLPPPASLVKCLQAGFSSSSSRPVLDSWVSFLVECLPLYSDTIFQIL IPLVETFCSQIGSTFNDLKRTFGEAGTIAQGSTAPESTLISLLNGLEHVLASGHARLL QDEAKAPVVKSPDQPQGFFGNMVSNVFTSDTPQSRSATANNRLTVLLTFQDAVRICFL IWSWGNSEDTSQDTESAASFNYTSLRMRNRARRLLEHLFAAEALECLESVVEIWKKSS KSPNEPRSPVVFNLLHVLDGSRPKHTIPAIFNAIYSRTNPTALEPSRKSTLTSSLNDT DLVIFLVEYARSLEDDAMDEIWTDCMTFLKDLLANPFPHRQTLPSLLEFAAILGEKVD NTNFGEQRRMRRELGDLFLRLLTAIFTTRPMGFSESVDNDVSRPDDVVGILASIAPDL PKILLEPDRILTAANTISTNVIVPTFKSKTFPDNVSQSTLVLLYQLARLPNAQKSWKK DLGDAFNDTRFFANSVALVESDWLPLIRQWALSDKERMPELLSRLVAPTTAGIVFGVG ATSARLEADRKTQLNLRRIATLILATIHDNFVTDLPAMQEKIVELLLATTTSSPSSTT RADIYLLLRALVLKTSAIHLATLWPIVNAELNAVISSVVAADHSAAAETYNNHTILQA CKLLDTLLCIAPDDFQLHEWLFISDTIDAVYRPPQFQPVALIDELSEELGSTALTSAV HTEFSAMQASNGSSRKPLIGPGGIGDEGNWERKDELVAKVLRPFFSQLSIFAFETTYS MSAPDLEACRASLLKDLFDERSIVKAL LY89DRAFT_693705 MEATESVMDDRPNRFPLTNECHHYTRRQDVDWDIQKYWAQRYSI WSLYDEGIHMTDDAWFGVTPEPIANKVAEDLRGLVPSSKSVLIDMFAGAGGNVIAFAL SEQWSTIIAIEKDPSVIACAQHNAEIYGVVDQITWINDDSFSYLSNNLSSIDPTKTVV FASPPWGGPGYTSDEIFNLHTMAPYSIKQIHEACKGIYSALFLPRTSDLRQIAKLAPE GKKVEVVQYCMEGASKALVAYIPAAV LY89DRAFT_680409 MFNALNRFISRLDSDVPTQRSDHGAFGFQVLRNKSPELAVEPWF DFVVGINGRMIDDSDPNLFAQEIRNCAGSTVTLGLWSAKGQRTRTIHIPVPATSPSLG LTLQWTSINTVSNIWHILDVPANSPADVAGLLPYSDYILGTPEGVLHGEAGLGELVED HIGRPLRLYVYNNEYNVTREVTIHPSKDWGGEGALGCVLGYGALHRLPAPLSEPLAGP GETLFDTKNARFSNEEIRPLSGSGDYMVPAAASDPSDLLVPAQLVSPLVASGRGRKDK KRGHAPNKPFMDDYFTEGEKKSRELDHAPSAKSTPPPPPPKMGGPPKAGGPPNTEETA VEETAVDEKKDGDDSGVD LY89DRAFT_680410 MAPKRNAREPTPSAAATTQSSTAAGSVPTIPTKASLKAKDNQTP QEVALGIWQNYLDTTPQRTKLIDVFMGFLVVVGVLQFVYCVIAGNYPFNAFLSGFSAT VGQFVLTASLRIQTNTENKAEFGEVSPERAFADYVFGSLILHFFCVNFIN LY89DRAFT_664114 MGDISTPAYIMGALTAGGGTFGYVKTGSIPSIAAGVTVGTLYLI GGYRIQNKLSYGVELALLASIVLAGSSIPRAIKSQKPLPTGLSVLALYGLYTYGSAFL KK LY89DRAFT_693709 MHPHLHTKDNSACEAVMTALDECHARGFLWKAVGMCNDAKTQVN KCLRAQRLERTKQNREKAKAKNEQIRSKWAEIDSNS LY89DRAFT_292103 MAQQITQRKQQELQMQYTNYKNGLQQIAQKIGDVESEAEEHKLV LETLEPLPADRKCFRMINGVLVERTVKDVVPALQINADGLRKVLEGLVKQYNATQQEM ENWKKKNNIQVVQQ LY89DRAFT_728436 MSASPPKETEHEGVQSPDDPDAQMNQDPHSSGLAYEFEVKEQDR WLPIANDILRILKLAVTGTASRTCIECSRMFLNWPVIELGAQTLQVCCVTAIICPIMS HSSSSPSPQAPSSTSKTSKGSKDDYLDSNIRNFAPVARIMKTALPENAKIAKEAKECM QECVSEFISFITSEASEKCHQEKRKTVNGEDILFAMTSLGFENYAEALKIYLSKYRET QSTRGENRPGSQGFGSAAAGGSGGAANATSSTTFAGGPEGTNNILGGQPGEGADHDGG SYGNIYGGQVSSGHNGATGGEGY LY89DRAFT_714108 MVPLVPIMRATAPTPIAKPIKPLLRRATVSGTVRKVDDAGLDDD ILSAPPSPSKRARVTFNPTVDTKVMEEYQVKGRSLESVRGEVKRALQAHLKRDSEGYD VIKEVLAPRRAEDEDDDEADAKANIKAYVLALTSHASMLNKNCSDLVKAVLGCEWMGR DEGFVKAYILFLGSLASAQGAYVTMVLEMLVSHFYGVRLSSGRLPGCPDVNRDQLASR IHAALKYLLRLIPSASGTLSPILNTKFPSPDDSKREHTTYITNLVRLIEYAPELKSDV FALVTDRLVKIDVQMQVDLEDLDDEAATAIVQALTLNNAPATEAEDRDDSDSDLDSVT SEEQNDSQRVKEIRENVEKMDAILDLLFNIYNPYFADPNSVEAASMFETLLNHFVNII LPTYRSRHTQFLLFHFAQTAEHLVDQFAGTCVQLAFQSSRPAVLRQSSAAYLASFVAR GAHVQPHVVRTVFELIGSNLDIIRSDNEVTCRGPDLKRYSTFYAMTQALLYIFCFRWR DLIESSEVLEEEDPLGFVNQDLDWTPGVKETLSRTIYSNLNPLKICSPPIVAEFAKIA HHLRFMYVYPLLETNKRIRLSQFSSSHANGALRDTGNGGNNESWHQLDAYFPFDPYQL PVSKKWVDADYVQWKGIPGLNEDEDEDEESGDEEDGDEIEVEDNTATDSDDE LY89DRAFT_292421 MGISGSTISFSKGLYHHLFELVLELARPLVRSLTTLCQQQKRLS QQFKSSNYDDTSTSSIMATMGTRSTRPSTCLTLATNISQSYLLIKSSTCCGLPLAGFE ITTHNNWARVLQLAGQCNSRSQEIPRIGWLVLLVRSLVGTSCSITEGVYSLVGTSSFI D LY89DRAFT_680416 MMSSTWRHKGVRCKVQVVLLLLEYHTLVSLAEAILGPGPIDYSC SSVAHLCQGPMSSRHNVARLHDSSMDVAGSDAQTRPCKRDVTETEDGDRRSL LY89DRAFT_636142 MDNRLQVRPQETSLVKIKAFEDARKVQAQVIEECKKKGKDPPPY VLEELIGKGSFGRVYRGKDMKTAAIVAVKIIDIDESDTVNPRQADSYSEFLKEVNALR LLSENNAKNINHVLEALPVGKAMWMITEYCGGGSVATLMKPTSPGGLNEKWIIPILRE VALALKYVHEVGIIHRDIKCANVLVTEQGSVQLCDFGVAGIVESKMDKRSTIIGTPHW MAPELFNAKTTSYGKEVDIWAFGCMVFELATGSPPFAHQYSVELLGAALQQQTPRLED TKDSRFSKGLKDLVAFCIQEDPKARPMIEAIQKHPYLINTESNFPASSLRTLVGSFKV WEARGGSRKSLFFQHGAQPDVSSAAPLDEGWNFSTTANFDLEVAHEYGLKDVIDAYGP DAAIGFEEETSRPNQRQPAKVSRRRPPPEALARLPAPLEKIFDPNTMSNYEENSRLHY GGPMQPPPTSDLPLRDDSAQTSIRDTLIDLNVPDLDSGPSTFHDMDTIRANRRVNDGI EDDYGAQDFSRPALSDPADVNSNRQTRDWKFPSMAPPASADPELSRFPPSSWELPRPA VTPGSGSRPTLVHHPTEPIGIGAFGGGLQQSTLPSDRLSMQSLIDLDMSLPDPIPEYR PSTANSDAASTTSETPASANPFELEKQLYQPSVSGGGREPSIYVTEDSSNNYELRNGN TLRDGGDMSDFSASDAEGTAHEAAQYDEDGYYVVKNPPTNGNGTSQVRAPNGDNKAFD SMAMPPPRLPDSQAVPRNAGQYMGDSTYNIPPFPEAPSIATLSGTASQNDVAHEIDRM MNSMSAQLGSFKNIFESTDLIQKRGSMRRDQPKDKVSEE LY89DRAFT_292418 MDSLLTPVSTSYKNQEQNPPDALVEVFKPVAKSQAPSQVGTPVE ALEILRNEPDYETLVLTLNYLDENTEGFVVTSPSPLAAQIVNALVSDVVPTYWVIFHE PRKAKSSEVAPRGKLKSSSELELLLSCLRSVTGLNAILLRLKQHIQEAKARKKEVGGH NVQDSLSILVQLLQALLQGSKTVYMFWMQICKSSDAVAKQKAVWNELLSAIAGGKLLG IAAEAEDVINDLSKSVQERSWAADGKQYGLWLAQNISYWAKKASPTSENGWSNCGELL GKSLRLGYSDTIVKELLTSLALGDAKYQPHLEKLLESLSNIEQRNVLYTVLNLLSRDY LSAAIPTEDNSEWWQSDSRAISAAAGLITAVIAKAETRKANLVSWLTNSSGAGVGEGI AIRRAALVTIAESKSDVESVFDKSLSQFGNKLYIKHTPTLQQEVHAQVLLLAAGYVHR TAPLRLTMMMRSGSHLGVVSNRLAASSPRARFLGMVVGEALSSLVDKGDKRMDFKIDE MTTSEAKWFKSLVHVKDMIGPIQGLIDGSLTKTSTPKKAQAHKPTSKPTSAPTGSKIV AIEEIEDDDDDEEQESDDDGLVPYQKLDSDVEDSDEDATLVTRNKPTAPVYVRDLITY LRDTENYDRQKLALSTAAPLIRRKANFGTEVSSHAQELATLLLGLQDKYEIENFQDMR IQGMIAILIALPLKMGQWYSKTFFDGDYSLSQRASVLTTLGLGARELGGFGSEDEALT ATSKAIPNASFPSKTLPASMHKIYAAPSPTHKGPPSALDSLSTQLTNTMIAPMAATLA DKITGPSILKIRTFSSRMAVEKKRKKPIANSLAKVVADGFFFPLTGRFFIYLKAYGSS SSNVIFQPYLLSLFIKTLSLLLHASGPNTLSLPQMTAEFWDLLLGLRMQGVGDIVVME ALLFGFMTILELNEDKRRLVDAHGRQMLETQEWVEGVFGRVGAGGSEEDERVRMLAAG VLVRIREIVEKYQALLMGDLASF LY89DRAFT_693715 MSQPVMVSGTILAPSSTMATRWQYLDNVRRNSGPFTDPDVFDAS EAAATNMEQINVFGAGGLGCEILKNLALSGFKKIHVIDMDTIDVSNLNRQFLFRQSDV GESKAEVAAKFVEKRVKGVSITPHNCKIQDKDEDFYMQFTLVICGLDSIEARRWINST LVDLVDMNNPDSFKPLIDGGTEGFKGQSRVIYPTMTSCIECQLDMHAPRAAVPLCTLA TIPRQPEHCIEWAHIMAWEQEKPFPKLDNDDPEHITWLYKKALARAAEFNITGVTYSL TQGVVKNIIPAIASTNAIIAASCCNEAFKIATNANPALGGEENYMMYSGNDSIYTYTF KHEKKDDCPVCGNMAKNLDVDPNLTLQEFIESLAARPEAQLKKPSIRAEGRTLYMQSP ESLRLQTAPNLEKKVGDLVGNGDEIGVTDPSLGRVDFKFRLKFTSEFVKAETNGHAK LY89DRAFT_714112 MAPYQAPSQPSQEQLERRRLVGVNAETVTDVSSVDFPGHYPGED HSWDAAKFRDNFQVKFYQNDPLESSFSLIGLDASVANAFRRILLSEIWTLAIEYVFVY NNTSIIQDEVLAQRLGLIPFKGNKKGLRDFLRPYHKPEEGSGEKIEGYDYNTIILALK IECTRNDQAARGETNPHKAYHNAHVYAKDIVFKPYGEQTKYFSGEGAIQPTNPDILIA KMRPGQCIDVEMHAVMGIGSDHAKFSPVATASYRLLPSIQILKPIIGKDAKKFAACFP QGVIDLEPVTKKDASKKGSGFEGHEGEMKAVVKDAMKDTVSRECLRHKEFEGKVKLGR VRDHFIFSIESLGQFDSEELFIESIQVLRKKCLALKENLSNMVR LY89DRAFT_606697 MAASLTRLAGRNAKRLCLRPALPKASAPRASVRAFSAVPSRRYA EEYAGTRLIPTDEHFAHPTNPEDPQHLNVTPDSNLDGEAVENRKIRHYTVNFGPQHPA AHGVLRLILELNGEEIVRSDPHVGLLHRGTEKLIEYKTYLQALPYFDRLDYVSMMTNE QCFSLAVEKLLNIEIPERAKWIRTLFGEITRILNHLMSILSHAMDVGALTPFLWGFEE REKLMEFYERVSGARLHAAYVRPGGVHQDLPVGLLDDIYQWATQFSDRIDETEELLTD NRIWIGRTKGVGVVSAADALNYSFTGVMLRGSGVPWDVRKSQPYDAYGEVDFDVPVGV NGDCYDRYLCRMEEFRQSLRIIHQCLNKMPAGPIRVEDYKISPPPRAAMKENMEALIH HFLLFTKGYTVPPGETYSAIEAPKGEMGVFVVSDGSERPYRCKIRAPGFAHLGGFDQI SRGHLLADAVAIIGTMDLVFGEVDR LY89DRAFT_762333 MCVKEFLGYNCGHCSIPYLRQCPLTESNEMYPPCKFPAERPIFT NENCHACARVLWNKKVLDEEAKHRELHENGLCQCEVIFDSEERGRRMRPRGAKGKGKL RELVGGNTHGHDYDISSTGEGSGTGYQGRYRGGDRSVKQDNKHAIGGESSRQDQAWEA KLAAYEYVGYFTGIDSQGGALAHVEQGYGFPEGGYVRKEQLWEGQLLMGQPGSGMKWY PEQGPPTLPTLPALPPLPSSMPFAGRAPRTWQRASSEPTEGNYRASPRVVLAELAEMS EPTENPVAEQAAEEFSGPVVVSSEMANSATK LY89DRAFT_728444 MDNVPLQYRFYHTCGHIGLGSMLYTTPSQAQPSDSSIIPRTIPI SLSFNCPFCSAPQLQVNGEGVLAILGSSPVSPFPNDWHIIRACALEDVKPEEWSLAHL PGGIHRHMAWIPEPCGEVGAIRGVEGMMRRGLVEEEVTTVVSCSWRHRRGDPFRSRFA GMVSQMNAALLPGEHVVN LY89DRAFT_680423 MLSRAARPALRAGAAASSQSAAPRTYATLREIEQRLKSIRNIEK ITNTMKVVASTKLNRAQRAMTESRQYGQTSNTVFEQAETKPLEGDGKKTLIIVASSDK GLCGGIHSGLSKRVRRMLAETPDADIVVLGEKCKAQLGRSSSRNIVLSFSGVGKDIPT FADAQAIADQVSLLPTDYASIQIVYNKFINATSYEATPIEAFSEEAIANSPNFSAFEI DDEALANLREYALSNSLFWALAEGHACEQSARRNAMDNASKNAGDMITKYQILFNRTR QAVITGELVEIITGAAASEE LY89DRAFT_680424 MASDSSDDDMPLAKSNGRVSEAHISKATDKKMDQAGSSKSNLPA GISIRNGPVIDDEMDVDAPTTNGHAKRKSRTSTSKAVKYHDDTDESDDEPLAKRQRTT QKPADSDSDDEPLATKKKPGKLPPKASETAIGDSSDDDDEPLTAKLAKTKASIEKKAE KEAKAIRAKESKAKKAAPKKAKKEDSDSEEEVKPKAKRKSNGTATKRANGVKKEESDS DAPLAKKATAKKANGKVKAEPAGTPTKKGKGKKEDSVEEEDEVYRWWDAPKKEDDSVK WDTLQHNGVVFPPEYEPLPKNVKLLYDGVPLTLSIEAEEIAGFFGAMLSSTLNVENLT FQKNFFEDFTAEVKKTGGARDPDGNKVAIKEFSKLDFKPIFAYYDGKVQERKARSSAE KKADKAAKDAIEAPYTTCIWDGRKEQVGNFRVEPPGLFRGRGAHPKTGKVKRRVTPEQ ITINIGKDATVPAAPEGHKWKAIQHDNKATWLAMWQENINGAYKYVMLAAKSSIKGQS DFKKFEKARELKKHIDRIRKDYTRDLRAELMADRQRATAVYLIDKFALRAGNEKDAEN EAETVGCCSLKFEHVTLKPPNTVIFDFLGKDSIRFYDEVVVDEQVFKNLKIFKKAPKK EGDDIFDRLNTTQLNKHLTNYMPGLSAKVFRTYNASFTMSTLLKELQNTNISLQEKVK LYNDCNRKVAILCNHKRTVGASHEAAMEKMTDRIKGLQYQQWRIKQMILDIEPKQKKK KGANWFELDDDLDEAWILEHQAFLVKQEREKIEKKFAKENEKLVTEGQKEMKTKELTE RLGVADDLEKKFKKENKTKKIEADGKGPTVEKLEANIKKLDERIATMRLQAEDREGNK EVALGTSKINYIDPRLTVVFAKKFNVPIEKFFSKTLRDKFTWAIESIEDEDDWEF LY89DRAFT_680425 MAQALRFRQLGVYVCHSCSRTLRRQRRSYATTVQTPDIYDVVCV GGGPAGLSLLAALRSSPTTVNLKVALVESQDLSKTRPFSLPPTQFSNRCSSLTPSSLR FLDSIGAWKHVQRDRVQAYQEMQVWDGVTGARIEFDWPAEKAGTTIAYMNENLNLTSG LLKRIDEMGGVDMLDNQRVEDIVFGEESEDVDLSSWPVVKLSGGRELAARLLVGADGA NSPVRAFAGIGSKGWDYDRHGVVATLELEGSGWGGEEVKTAYQRFLPTGPVAMLPMPG NYATLVWSTTPALATHLKTLSPRDFISMVNAAFRLSPVDLAYLHTQASGQTEEVAWRT QHTGFDAQRIPQLVVGVQEGSVASFPLKLRHANTYIGERVALVGDAAHTIHPLAGQGL NQGQGDVESLVKTIEYSVNHGQDIGVQMSLESYNADRYAANHILLGVCDKLHKLYAAE SGPLVPLRSIGLRAVNALSPLKHFMMSQAAGTGVKIL LY89DRAFT_292864 MRYGPLSSVVLSVVRRLLSHPVYLLSSVFHKNKTIHMLRYRKKH NAPLLCQAGSFMQAGRLGIFTPCTTVPSVFTNSSDEPCPPNSTSHRITTALDHNSSQL RLGQLVTVRRETAAGWWHRHCAQMVLRR LY89DRAFT_292791 MEEVKILYSKGQYKHCAVRCKQILDNVKDPHQVHPLYSIYLSFF AASSLEMTASTLHNHSSNKLPLFQESLFFYKKAQFTVDNVSFHTPATSHHSNASITSS VRSSVDSIFSSPTNSARSSVVSSPTDDNSLPTHKRTISASSSFSSLSSNRKKKVSFSI DISTSMSSDESEQEAPPAIESSLLDSFPAPPTQPDPTITSTKDLQLQEPQSLSIYLLT QSIARYKSHLRDLSIQLEYHISSIHSQIGHLSLVRKARRSNLPTQFSDAEERSFGAMS GVSREEMLKVELRERIRRLKETGWKRERFDGERYRVLCEKALMEARER LY89DRAFT_292540 MSFSNMLNKLHGQPESYDKKAKYRFGRTLGAGTYGIVREADGPT GKVAVKIILKKNVKGNEQMVYDELEMLQRMKHPHIVKFVDWFESRDKYYIVTQLATGG ELFDRICEQGRFTEKDASQTIRQVLEAVDYLHDNNVVHRDLKPENLLYLTSAPNSDLV LADFGIAKMLDSKDEVLTTMAGSFGYAAPEVMLKKGHGKPVDMWSMGVITYTLLCGYS PFRSENLQDLIEECSNARVIFHERYWKDVSDDAKDFIGHLLQPDADDRSTSKQALRHP WLSGENATDHNLLPEIRAYMAKARLRRGIELVKLANRIEALKIHEDESDQAPGESDVP ADAKAAAGDALANPAGHSSTGLSTSTAAGSAPGGKKSLSNIAKGAIFREVVLAKVREM KESEKQLQVEKEATENAKRKSFQSDR LY89DRAFT_575622 MAFATKRLGKELKKLHESLPPGIELVSAEDFQDWLLDIRVLDAN PLYLNQTYRLKFKFSAQYPIEPPEVVFVNTKDRPIPMHPHIYSNGIICLDLLGSQGWT PIQNVESICMSLQSMLTGNSKNERPEGDQQFVANNRRRPRDIDFYYHDNTV LY89DRAFT_636174 MAPHVGFDTDHIKDKARKDLLYLLEGVRGKKNLVLEKGLAGPIG LFVKFSTLQDYGVDKVFFLENGNADVSQRNVVFIARGESAKHAQSIADHIKRMQRESQ TGHEFSIFWVPRRTLVSEKILEDAGVLGDTSIAEFPLYFLPVEKDVLSLELEGSFSDL YLRKDPTPTFLLARALMLIQQKHGLFPRITGKGDNAKRLADLLSRMRQELIAGEDTNE SSRLGLTPSTTIESLIVIDREVDFATPLLTQLTYEGLIDETVGIQNNQAEVDSSIVGA APQAAPGSSKSIAAPAQSKKRKILLDSSDKLYDQLRDTNFAIVGNLLNKVARRLKTDY ESRHGTKTTAELRDFVNKLPGYQAEQQSLKIHTGLAEEIMKHTRSDQFGRLLEVQQNL AAGADPSSQHDSIEELISRDAPLKEVLRLLCLESCIAGGIKPRELEIFKKMVLHAYGY QHMLTLDALEKLQLLLSRSSPMASMIPMSGAGAAAGTKTNYTYVRKALRLIVDEVNEH DPNDIAYVYSGYAPLSVRLVQCVLQKQYLTSITRGGNANNPTGPTSGGASQGWKGFDE ALKHVRGQTFDEVQKGEDKAAKARALLTGSGEKKLVFVVFLGGITFAEIAALRFIAKK EEARKQIIICTTSIISGNKMMDAAIEKATLGKNTTIVQDS LY89DRAFT_728452 MAVTMETQISHHDDLNLMGLQELDSHLSIFETSNPGRDSSTPGA ISSGYGDSVDMLDQQSHTIIVESPSENFSPKSHSGAGSLTKFGDQKFQNIIRTERHLK STSDGNILLRPESARDSMASSMTTDLDDGSSALSSISSKATSPTGFDVNSKHTSKSGS HAGKRRQIYDVRPKVSIPTDIAPSEYARQCIAAAESSRLNPYSLHTEEHAMLRKHITH QQVTTYLNIRNGILRLWTRNPMIGVMRDEAIGCAKDSRWFDAANVCYEWLVRRGYINY GCLEHLGVGRDVKKSQRGPKRKRKTVAVIGAGMSGLGCARQLEGLFSQFEERFRAMGE DPPRVVVLEGRDRIGGRVYSRAMKSKPKHATLGYGSRYTAEMGGMIITGFDRGNPLNI IVRGQLALSYHALRPDTTIYDASGKPVDINRDQYAEKLFNYILDRVSEYKFKLPTPPA VDGDKDLLDAGRDVSSEGSKTISEIEDASTNGTSTSNSGNSPTADVQMIPVSSDRLTG RAHLEPGIPAVHTAAYKAREIGWELRPGIGIEYDLDLESAVSSRYATLGTVFDEAIRQ YMRIVDFTPLDLRLINWHVANLEYSNAVTCNKLSLGGWDLDAGNEWEGKHTMVTGGYQ QVPRGLLNCPEPLNVRRQNKVKRIIYDAENKGAASKIECEDGQLIEADYIVSTIPLGV LKRRSIEFEPALPEWKEGPIQRIGYGVLNKVVLVYREAFWDQKRDIFGALRNPMNRFS LDQADYFSQRGRFFQWFNCSNTTGMPTLLALMAGDAAFSAESTPNEQLISEATKVLRT IFGAGVPVPVEAVVTRWSEDEFSRGSYSYTGPNFQPDDYEVMARPIGNLFFAGEHTCG THPATVHGAYISGLRAASEVLETMIGSIKVPELLVPPKDSSMKRKSETIQSPKDPKQA RLEAYEYEIWNAIYAKLGERPWRPANTYANPYRMYSKDKWEEAKRKCEEGRRPGKGKP IPNEVKKMVTKMWKEASEDVKQPYNERADVQKKAYATAMVEYNNKAAQWDKDALAFRA VYEKEHPSIPGPEETNGSPSRKDRRAKRGVSGYAEDSGSELDI LY89DRAFT_680435 MSEEETGTEAKDKQTVEEKEEGETDEEEEEEVPEDKSAADWVLQ SFGELETEFRVRVILDSASFSTIEHI LY89DRAFT_552293 RALPRFLLPRLSWQPTTIPSNTIRALSTTSPRYDSYNRSWNVAD RKSLLRPQHNGITALASKNPAVRRAFHATARQSRDHHFDTLKFVQRLREEGFTEPQAV AMMKVLSDVIEESIQNLTRTMVLREDQAKATYTQKVDFAKLRSELLSADSTESATTRA SHERLTNDLAKLNSRLRDEIGRTQASVRLDLNLEKGRIREEANVQELKIKETETKIEQ EVAGLREKLEGVKFQTLQWLMGVCTGTAALILGAWRLLM LY89DRAFT_636179 MTANGDRYGNDQDSGSDSEPGSPGLEAQNDFDDHVEELPLKSAM KKSTHKEPAQVIIRPTLPPQTEPKDLDVKSLTPLTPEIIARQATINIGTIGHVAHGKS TVVKAISGVQTVRFKNELERNITIKLGYANAKIYKCDNEECPRPGCFRSYKSEKEVDP PCERDGCSGTYRLLRHVSFVDCPGHDILMSTMLSGAAVMDAALLLIAGNETCPQPQTS EHLAAIEIMKLDKIIILQNKVDLMREEGASAHYADILKFIRGTVAGGAPIIPISAQLK FNIDAVNEAIVNTIPVPPRDFTMDPQMIVIRSFDVNKPGAEIEELKGGVAGGSILHGV VKLGDEIEIRPGIVTRDEKGAIKCTPIFSRIVTLNSENNELKYAVPGGLIGVGTRIDP TLCRADRLVGFVLGLKGRLPDIYIEIEVNYYLLRRLLGVKTADGKQAKVAKLAKNEVL MVNIGSTATGAKVVAVKQDAAKLILTSPACTNVGEKVALSRRIEKHWRLIGWATIANG VTLDPSTS LY89DRAFT_293004 MSEGFISQSVIIGASQTGMSMLRCISSESLSNDEVGWRYLVDQG RGFCLSRSGGKDEPLMIGTGSRDQERLNKRFIQLDFPDFNVRNLLASNQTPRMVRYYD NWVSAYITRMKICPCIILSGEKTSTKAWHKIKKVGMYSMKPLSIEAKGGVWHLVIDTA TRLPGVSL LY89DRAFT_704077 MTSSLEKPTMDDSASDTLKSAPTNATTVETGAELEKINTSAEGS EYPTGVTLGLISLALCLSVFLMALDNTIIATAIPKITDEFHSLPDVGWYGSAYLLTTA SFQLLFGKFYTFFSIKWVYLIAIGIFELGSLLCGAAPNSIALIIGRAVAGLGSAGIFS GALIIVAYSVPLQKRPMYSGFIGGMYGIASVAGPLLGGVFTDKATWRWCFYINLPIGA ITMVVIAIFFKAPTRAAVANVGWKARVKDFDLYGTAVFIPAIISLLLALQWGGTKYDW SSWRIILLFVFFGVLILSFITIQFWKQDTATIPPKIMRKRSMWSAAWFSFCMGSFFLL LVYFLPIWFQAVKSASAVKSGIMNLPMIMCLVIVSILSGVGVTVVGYYAPLMIASSVL AAIGAGLLSTLTPASDHQMWIGYQALIGVGIGLGMQQPLIAVQTVLDISQVPIGTSII IFVQTLGGALFVSIGQNTFSNKLFEGLAKYAPSIDPKIVLSIGATNLQSSVDKAVLPG VLLAYNNALTHAFLVAAIMAAFTIVGAAAIEWKSVKGKKIEMGAA LY89DRAFT_552053 LPIKFTELLQVSSQGTSIGFNSCTLESDSYICVREKKDEASQPE VVIVDLKNNNNVMRRPIKADSAIMHWTKQIIALKAQSRTLQIFDLGQKQKLKSATMNE DVAFWKWFSETSLGLVTESAVYHWDVFDPNQPSPVEIFKRNQNLSGCQIINYRVSDDG KWMVVVGITQQQGRVVGAMQLYSRDRGISQAIEGHAAAFGSLRLEGAPADTKVFTFSV RTASGAKLHIVEVDHQATNPTFSKKAVDVYFPAEAVNDFPVAMQVSQRYSIIYLVTKY GFIHLYDLETGTCIFMNRISSETIFITAPDSESAGLCGVNRKGQVLSVSVDENTVIPY LLQNPANSSLAVKLASRAGLPGADNLYAGQFDQLLNTGNYAEAAKIAANSPRGFLRTP QTIERFKSLPAAPGQLSVILQYFGMLLDKGSLNKYETLELVRPVLAQNRKHLLEKWLK ENKLDCSEELGDAVRPHDLNIALSIYLRANVPAKVVAAFAETGQFEKIVPYASQVGYT PDYVVLLQSIVRVNPDKGAEFATQLANSNLVDLERVVDIFQSQGMVQAATAFLLDALK DNKPEQGHLQTRLLEMNLINAPQVADAILGNDMFSHYDRPRIAQLCEQAGLSQRALEH YDDPDSIKRVIVNIVATPTFSQDWLTGFFGRLSLEQSLDCLDAMLKSNIRQNLAAVVQ IATKYSDLLGPVRLIDLFEKYKTSEGLFYYLGSIVNLSEDPDVVFKYLQASVAMSQFT EVERICRDSNHYNPEKVKNFLKEAKLTEQLPLIIVCDRFNMIHDLVLYLYQAQQFKSI EVYVQRVNPSRTPSVIGGLLDVDCDESIIKNLLSTVNPASVPIDELVQEVESRNRLKI LLPFLETTLAAGNQQQAVYNALAKIYIDSNNNPEKFLKENDQYDTLIVGKYCEKRDPG LAFIAYSKGQNDLELISITSENSMFKAQARYLLDRADRELWAFVLSPNNIHRRSVVDQ VISTAVPESTEPDKVSVAVASFLAADLPAELIELLEKIVLEPSPFSDNENLQNLLILT ATKADKGRVMDYIHKLDAYNAPDIANICIEVGLFEEAFEVYKKINDHSSAANVLVEHI VSIDRAQEYAERVELPEVWSRVAKAQLDGLRVSDGIASYIRAEDPSNYNEVIEIATHA GKDEDLIKYLRMARKTLREPPIDTALAFAFARTDQLGELEDFLRGTNVANIEESGDKA YAEGYHQAAKIFFTSISNWAKLATTLVHLEEYQAAVECARKANNIKVWKQVNSACVEK KEFRLAQICGLNLIVDAEELQGLVRQYERNGYFDELIALLEQGLGLERAHMGMFTELG IALSKYHPDRVMEHLKLFWSRINIPKMIRATEDAHLWPELVFLYCHYDEWDNAALAMM ERAADAWEHHSFKDIVIKVANLEIYYRALNFYLQEQPSLLTDLLQALTPRIDVNRVVK MFEKSDNIPLIKPFLLNVQSQNKKTVNNAINDLLIEEEDYKTLRDSVENYDNYDPVEL AQRLERHDLVFFRQIAANIYRKNKRWEKSIALSKQDKLFKDAIETAAMSGKSDVVEEL LRYFVDIGSRECYVGMLYACYDLIPIHVVMEISWRHGLTDFTMPFMINYLAQQSSTIE ILKKDNEERKLREKSQEKEENNTPILGGNRLMITAGPTGRQS LY89DRAFT_728458 MADEHNPNGAYSEVFEEGKDAGQAQTIHRIRANSSIMQLKKILV ANRGEIPIRIFRTAHELSLQTVAVFSYEDRLSMHRQKADEAYVIGKRGQYTPVGAYLA GDEIIKIALQHGVQMIHPGYGFLSENAGFAKNVEKAGLIFVGPSPEVIDALGDKVSAR AIAIKAGVPVVPGTEGAVEKFEDVKKFTDEYGFPIIIKAAYGGGGRGMRVVREQETLK DSFERATSEAKSAFGNGTVFVERFLDKPKHIEVQLLGDNHGNVVHLYERDCSVQRRHQ KVVELAPAKDLPPETRDSLLSDAVKLAKSVNYRNAGTAEFLVDQQNRYYFIEINPRIQ VEHTITEEITGIDLIAAQIQIAAGATLAQLGLTQDRISTRGFAIQCRITTEDPSKGFS PDTGKIEVYRSAGGNGVRLDGGNGFAGAIITPHYDSMLVKCTCHGSTYEIARRKMLRA LVEFRIRGVKTNIPFLASLLTHPTFIEGNCWTTFIDDTPELFDLVGSQNRAQKLLAYL GDVAVNGSSIKGQIGEPKFKGDIIMPELFDNDGKKIDTTEPCKKGWRNIIVEEGPEAF AKAVRANKGCLLMDTTWRDAHQSLLATRVRTVDLLNIAKETSHAYSNLYSLECWGGAT FDVAMRFLYEDPWDRLRKMRKLVPNIPFQMLLRGANGVAYSSLPDNAIYHFCEQAKKH GVDIFRVFDALNDIDQLEVGIKAVHKAGGVVEGTVCYSGDMLNPKKKYNLPYYLELVD KLVAMKIHILGVKDMAGVLKPKAATLLIGAIRKKYPDLPIHVHTHDSAGTGVASMVAC AQAGADAVDTATDSLSGMTSQPSVGAVLASLEGSDLDPGLNVHHVRAIDTYWAQLRLL YSPFEAGLNGPDPDVYEHEIPGGQLTNMMFQASQLGLGAQWAETKKAYEQANDLLGDV VKVTPTSKVVGDLAQFMVSNKLSFDDVQKRASELDFPGSVLDFFEGLMGQPYGGFPEP LRTNALRGRRKLDKRPGLVLEPLDLAQIKRDIYKKYGSVTECDVASYAMYPKVFEDYR KFIQKYGDLSVLPTRYFLSRPEIGEEFHVELEKGKVLILKLLAVGPLSDTTGQREVFY EMNGEVRQVTVDDIKAAVENTSRPKADPGDSSQVGAPMAGVVVELRVKEGGEVKKGDP LAVLSAMKMEMVISAPHAGKVGNVQIKEGDSVAGSDLICKIVKPGE LY89DRAFT_293192 MNAQTTFVPGYNYDIPHTQWLGKDWNSDIWWGPFEWNYREIIAN NFGRLRKVFSDDPPSGEYIYWYCWDYANQCAPGTVAYSWSTTWISLWRNHYTVFCNIA LKFETLSKQIGRAAWSIDQQRIMENFQTNAGQVMFHEIWHYTMVSQPRTDDYAYRAEE VWDLALKQGTDYSYVNADSYALDAIAIYVQQYFSNSMSPVPRRVYRPPDDTVDGNVTS PVNATGVVLEEAPWQSWQPGIDMPVLKPDPDFWNEAIQPGGISALGADLHIVTDIYPI QTRCEDTDFFPITSIYPTPTASTEVIGATGTQCFCTCGLGSMADLIWATNGPTLTNWC AGVSTVVPVPSGFTITAKTDCS LY89DRAFT_777432 MGYGTAAIRHINGSYETVAKISGSEQYTSLMQELTHPANFTPDG KRIPSEVSSWKDFALWRSKRRIKKMLGQPATTQTATLSTMVSKLHKAAEIQLGSRIKA AALSQPDAVTITLEEVDNVFDYFGIEDLMLDNRDPLFDQLFATSAAYAGYGKGLCRNY TNLYGCGTEEYFLASKWTLFLDYTESSLDGALRSVQSARMSRAWDTFVEPELGLRRLS MYEDEDAYWAKVEDRIREFVGSVRRPVEDLVLTGESASDPRFLEALRHAFARSSTGQE TLEALEMLIQADDGPDFLYATAKGAAEFAKRRQEGMARCLLPEKCRRREDQSENEIGR SEEL LY89DRAFT_693728 MAPRKEKKTGDSINSRLALVMKSGKVTLGYKSSLKMLRMGRAKL VLISGNTPPLRKSELEYYAMLSKSPVHHFNGNNIELGTACGKLFRVSTMVVLDGGDSD ILSQVVA LY89DRAFT_714130 MEYCPNKSVINFATTTLHLTLVHGKKFPRLRQRCDWCHFERGRT RKLKSLGVLADDDQGPICLVNNCLDFRWDRSSHCVSHLPNGIKKASRRRKSRRTSETK QALLSPGNNKTWMDGDVWQSSSRFRLIQLLLEDLRDREWTNTNGYRPKVLILDNEFVG LNLDGPITQTSLLDLCTNEMLVDVCVKRDPEKLVSKDMFGRLDPMAEYLYKSKYRSPT ADRQVCSAYESSKLVKESGITSDDYILEWSLHPLDVPRLRLMLSNSGFQNVLPPDAHC VSLIPDFRLNIPNTISLALKFIFPMLHPGHILVGTNHNSDSDVRMTRLMVLFLLERLD PTTRDPDFLGVWALQVARERRIRLALVSERTKRRLAKETPEARRIRLLGKRTMWRAKE TPERRRIRNAAISEKIEKETPEERRIRQVARSTRRRANETPDRRKVLRARAKMNVKER RAKETPEARSIRLAVRSAKAKRKKAKETFGNRRIRLAKILASARRKIAEETPERRSVR FAKIYASEKNKESKRDPRETKSSNGKNHYEGKGKESKRDPGGARYST LY89DRAFT_664143 MGGERCGTKTPRLGLWTHDSRYPRIFPRLENLSLSLDVDGDDDE DMTDIEDWAFLYSAAAKSPAFCGVCNLELDEHNSDVPMIGCDGGYCENSWYHFLCVGL LIAPTSVKILNPLSDEKGYSSESLADYGGLFVWKESDDDSSDSDHIEELSQPEEEDHG DPLIDWKGGNFTIGSDCFSDSTVDSDLAADEDEMFQDMANEKKSDFNDNPDNSDIREG GLSCQFPDCVNTFMGATFASHYVLARHAKQHDELTLICHEPQCSGRRKFSTKKSLATH CTRFHEVQDTEVNCGIKDRSGLLTQFNSSSLFMMSTEALLKLIES LY89DRAFT_714133 MEVEGNFQSAALNRQRRTSLSIVDHSEAENKMSGYLRDSPSNIE NEYLLKTLSPQTSTSRLPDFDCGRTTKILAYCGLVVTWLLIACCITFGVVCIVKGPAS SYLYPTAMADTSRGVEVLALVVNTLLTFCLDSLGFIHGSSLRWALAREGRLQHNTNLR LFKSAQNQPQNSWLANSIDGISLIICYAATSQLFALGIVVAEQSDPLPWFDGYYVNGV ALLMLAGGLALKASISSWIVISSSHAILTWNSNPLNSALTLVHNGLGHRKNRCMLSVK QANVPAIPTRPTRKQPSSTGSVRSVRYVVMFAWTLPTLALGWLLSVFFIFRRATLEYP AVHFSWAWQFDAVSINLPRKGALTLILAVLFMAAIQAAQTMCLHAVELIVNISRDEKA WRSAACNTGGGARLQTSALSSASLSWENMGLFVAKTVLHWLMGQAVIIEVEKLGTDEP MVYWFNMGYLPILAYFVGALLLAIFTTALAFRRPKGPQPAAYGHFQTLADLIDDWMVD EKGRFWWGDKGVGENGVRHAGTSCKKGELGYIDMDASYAGSR LY89DRAFT_293324 MLFSSFTLAALAFVAVNGAPTVSRSSARSAAPIDNSALIAKLKT DATAAEQFQQLLANNGQPLDPSDLAAATVFDFTKNPSPAQGTQGGSLNTAGPKNFPIL TDSGLSLNLLTLGPCGLFSPHIHPRANEFFLVLEGQVDFGFLLETGVFGGLGAPNPTI SGTLKQHEGTLFPLGSVHWQINASPDCNNATTFEAFSSDDPGAVLILNKVSNDSRVLI PQIEPNDLDGLRAVLPPAILSEVDSCLARCNM LY89DRAFT_574703 MHIISPSLFDADFAKSCIAYCDIHHGQACTTLREGLPFLRVIDC RTRQVVRAPVNCQYVALSYVWGSSTSDIVLDEELPQSNETYLPRCPDVIENSLSVTTM IGAQYLWIDRYCIDQEDSVDKHHQITHMDAIYANAFITIIAAAGDGPDYGLPGVERPR KVHPHLLIDRNHLVSTLPHASALVNSSRWASRGWTYQEGILSKRRLIFTDEQVYFECS NLYCAESLRLSTSEDQILRMESRTRFLANLPSRHFPQDRTELNPWQIMSCISDFSKRQ LSFASDTLNALQGIFRMFAKAKTPVYNLCGVPILDCGSVSRF LY89DRAFT_293363 YPSFSFNHCRILHAHPSLYSHTKKTTVLALFWIGYCVDNIIGTQ TFQTKYASRFASAEITILVLFGIGLVDMLLMYFIFCCRTRRRNKRGLQVQT LY89DRAFT_636197 MEPPSTSATENTPLLGPKSPLPSTPTEDDDIDNGSDRLTPPDGP SAARLLLVLSGVYVSAFLVALDSTLVATLASPISTSFSSLSLLSWLASAFFVANAASQ PLAGRLTEIYGRRAGMIFANVVFGIGNLMCAMAKSENTMIAGRVVAGLGGGVIGPTAV FIMSDLIPLRRRSMWQGTANICFGIGSSIGGPLGGWIDDQFGWRAAFLIQTPLTLLAI VLSLLFIKVPESSGLAKWKRIDFTGAFLLVATLVAFLLALTTGDWTSPLVLTALPVSV VLGLAFIYFERFHAEPIIPVTLLLNRTILAACLTNWFATMARFGVIFYLPLYFLVQGH SVTATGLCLVPESVAIGITGFAVGLIIRLTGRYYLLNAAVSSVFLLSLAITATLSAST TSWLPFISMFLLGVGYVGQLTTTLLAALAAIDHHHHAVITSASYAFRSTGSTIGIAVA NTVLQTRLNDELNRRLGGREGAEEVIRRVQSSIDAIKDLPEEWRIEVVAAYMASLQVV FVVLLGLGVLATICSLLVKEHKLYKTMDRTDEDEDQSISHSIIIK LY89DRAFT_293368 MTLHSRPPVIRVDAELLIPGRGVPIPHATLVSEGRKIVYVGKQS TLPAKYAQIEGTVVPVLLPGLWDCHVHFFGAPSFTPEVVTSTTAALAGARSARDLAAT LNAGFTSVRELGGYGAQISAAVEEGWLVGPNIYSSVSMLSQTAGHGDAREEDMTMICS KMQQNMPFYLCDGEAECQKAVRTMIRRGATVIKVATTGGGSSKDELQARQFSDAELLI MVQEAQRGGLAVAAHCHGKEGIKAALRAGCRTLEHASFANDECIALMKEKDVLFVPTR TAINFALSNPKAWTPENYRKIVQLADANLRAYTKAIKAGVRIALGTDIGLSATSLPLN HGMNGKEFVWAVEAGLMPLEAIEAGTARGPESLMMNAIENGDDESDDDGEPPLTKAPK SGQLKVGFDADFIAVSENPLLDIAVLANPEKVTHVWKGGKLFKEPGKLATLILPVH LY89DRAFT_664149 MDQTNGSIERLRHKVKHGRGHTKGSKNKSILPNSSTSRTSKRGG REKKRKSHFLGTQKIKNDVLQRRRRTITASATQKKSIGETRKLKHNKKEVFHEDHSWK LTQFTVFTKLPREIQIMIFGLALDAAPTLIEAAYNFSTYRFELSTSTADILSVCGLGC LPKNFKPVYLPSLTPDRSRWMPHPSGLYPKPKRPYNRLEPLYIRPEQDTLYLILKNLD IRRYLSHPENQVLRHLLKLKTLTVFEGALHGAEVTVDRRKKWDIETEELPEGQAESTQ DAGRRGS LY89DRAFT_293389 MEIEPRSLAVSKSNGVEVLRNLLGFGAGEMIDAIFVAIDFEGCQ NIENAFQTSIDSEFGVAYLDTRDLLHHEEKDPSSFITTQEFATGSNSRFDKAVKNFRF GVAERVSIYDLGEKIRQCLNPQDADITNEKRKVIIVRHWRNEMRSVEALRIFSHDLRC VHRILDISRTANELFERPPGCPIGLTKLSSDLSLPFRGEDFHTAGNDANCTLRVLLML AVKSQENIIPEGPQSKLVALLRQIAQAPLPPTKSEEEVNRLLAMQPTEPLDDAAQPVD MSRFYVKLTKASLRQRFTQRRQEKDNDPEERARRAAIRANRDLKEDGENFMGALQQLE IRVSNAAS LY89DRAFT_606746 MVLGLHGHSHVDINSIKNSHPDPTTSIYPQLSPDDAPYQVQEVD MRSAIFIPEEFQYGRDGKVPVILVPGTGSFGGEAFRCNFAKLLKASNFGDPLWLNIPG MMCDDAAKNAEYVAYAINYISTTCSKKVAVIAWSQGNLCVQWSLKYWPSTRGQVSNFI CLSADFRGTTNAWLMAPAAGVPCAPAVRQQRRRSKFITTLMSNGGDSAYVPTTSIYSR TDEVVQPQFGKWASALMYDERQVGVTNCEVQVAACKKPAGLYYTHFTLLYNPLAWAFT EDAICNGGPGRLDRIDMKCCMRTKTHGLNRLDVLRTKELIRCGKAILNYPAKLKYEPE LPPYCAREEKTYVPDYEADIE LY89DRAFT_575798 MLDQPGVFTYRDAVAIIQLVPFTIYLGFAFALCFRHGFRRSEGW IILVTFSTLRVLAASFQLASINDPTNSVYGGALICQGIGLAPLTLLNFALFVRVNKFV NTIHQKIFSAISLLAIAGIVLAIYGGIESANSPTLATNDLLKASVILFVASYLAFVCI FLIFLRQWHIIPSGEQKLLLCFAYCAPFMVVRFLFSILGTYVESLRSQFGVLTGDVTV FLCMAVLEEIIVVALFVYTGMRLERLPPALRNGAKPRDSREVIELGSRE LY89DRAFT_680450 MVADIAIVGGGPSGLALAGILERAGLSYIVYERSNIDVPPRGGC LDLHDGGGQLAMKEAGCYEKFREYGRGGEATIHAVWDHLGNKVFTHGEGVDSPELDRE QIKQALLTTIPEENIKWGQAVTSSERNNKGDVVLHFGDGISATGFKLVIGADGSNSKI RHLVTPAKPKYAGMMFWTGEIHSSNPFYTKVEEVAKLGPMIVLGRSTMIWIQRQGDGH YRMELGFKGPENFAEKIQVDLLDTEAVKILMLTNDFFGGHADSIKAIIEAIDSSFHAW PLYYMPPDALAWKAAKGVTLIGDAAHVTTPFIGEGANIALLDSVALARKLKEFGISQK AVEEYEKDMFPRARDVIQKSVKSGELYFDWNAPQSVMESSRRGVQFGGLGQKSNTTVE VGV LY89DRAFT_728474 MTSTFPNIGPPRCDVCLDLDWGRMPEDSGDGYWERRLKVPYQSL RDSKDCQICAAIIYAISEFSNPLEIFHTGFHQFLQSSQIRIFLRDGWTTKVLIWSDQD PLAEPYLELEMYSSSDSLSPIPALGVANEVPATLDLSTCACFLVPHIRNCHTSHSRYR AKPSPMPSRLLYVGCETDPTCLRLELLPTLQPYTTLSHCWGDQSAVFTTTKATIEDLV MEIPWKRLPKTFQDAISITRCLGIHYLWIDSLCIIQDDASDWIVESVKMAEIYSGSYL TIAATGASSPTSGCLTTRWHETDFGVRISHVDSRLQSDRLFTAYGIKARYLSKAHTHF AGELHPPISGAPLWNRAWAFQERILSNRLIHFHGEEMVWECRE LY89DRAFT_728475 MDHPTVDSSLLANDETARDQVSDETRMGLLERWLEIVNEFTKLE ITYELDRLPAISGIASYFQTKMNSSYNAGIWQYNLGHGLLWRKMPYQISRRIVGMPGQ YIPSWSWASVELLSRRSFGMHTRKKDLIIDASFHSTGPTLSRHEVKSIIGAEDAALSV QGLAIFCTLTHQHEVTSDIYPTHLLNFRGETEYAYTDITCNDMALQESIEVACLLIGE TRNESVMTWDDRHSGSYIIQFALILQSDSNGIYTRVGLSEHDRRKAWFMGAETTAFEI L LY89DRAFT_714143 MRLDFWRRQVGDGDEGLERRDGGETHLPRAALLGGLLGGGSATT ASADGGLLGGVLGDGSNQAPATSSPDNTASSTGGSGAATTPAPDTSGGLLGGAGGVVG GLLSPVLGASSSGGALLGVGIGPSSAPLLSVAVAPSSGPLIGAGIGATSSPLLSLGVN PTGTLLGVGSGATSSPLLSLGVDPPAVTSLLPGLLSASSTTPASTTLATSSISSAPAA LTSSTISSGTAAKPSGLTSIAQARPSDPTTLLTSATAAPDTAASSSAVVAGPVSTGTG TTTGSINGPVASASGISGTSSGHANTTTMIIGIAAGVGGLAFFIALVFLLRRTFRKHR LGKAEYPPSSPLLNPMEDSLLPPGIARTISQRSKSSTGGDGQGGNERNNSMRNNIEPL RLGQGQGGFDGGYRGILSPLPPVARNGAGRDPFSDGGDFRRRGDDSEMNEKQKLFELS G LY89DRAFT_574784 MNSARATAVNGGSGVESTPANNVGGDRRGSASSGMFSGLMSQKR NSTDAAAAARRESFHEMKPASGFIGKMWHKYVHDYEISIGRC LY89DRAFT_777451 MSDQTHPAQEQKKGDVGLLGGLLSGKTPGVRNIEAAYSRAGASN HHTPGAATKLGSQDQVGSNEAQGVGSQKFADGISDQRAEPSVVGKMFNNLMNGTERTK LY89DRAFT_636216 MAESGEKSGNPMKELRIQKLVLNISVGESGDRLTRAAKVLEQLS GQTPVYSKARYTVRTFGIRRNEKIAVHVTVRGPKAEEILERGLKVKEYELRKRNFSET GNFGFGISEHIDLGIKYDPAIGIYGMDFYCCMTRPGERVAKRRRCQTKVGSAHKIKRD ETVKWFKNRFEGIVR LY89DRAFT_293527 MANKQGKMAGLINYRMRVTMNDGRQMVGQMLAFDKHMNLVLADT EEFRRVKRKANKTASAPGAASSSAPLVESEEKRTLGLTIVRGAHIISLSVESPPPADP SARLGASAPGGVATTLAAGAGIARPAGRGIPVGLAVSLTAVDI LY89DRAFT_664159 MASFFRAINSRIRAHPVLNYVCSTHFWGPVSNFGIPIAAVMDTQ KSPELISGKMTGALVIYSATFMRYALAVSPKNYLLFACHFVNEGAQLTQGYRWMQYHK WGGKEEMLKQQAESGAKSVVGKIEKEVGALADKAKDVVGK LY89DRAFT_680458 MAQAQPSDIGFGYISNNEPSRHIVEIPAMPASPGPLKSAMKVPG TPGRRIDNPLSPTFREEQILEKHEEFTEKEQAKDLKVKTRVRIAKFILRGVNFSCSLI VLSMVSVTFSIFESTKALPARNNLPAWAVGTKTWPQKVILATSCVSLALCLCIFWNYW RGGHRRAEKVAVYYTLFAVGFFVFSTIMWAMAAGILQGAKSNSSNKDIWGWSCVDNKR RDLFSEKVDYALVCRLQSWSLICCLIEVVLECITIILYGVVFYRYYSKQRLRKSMDVR DRARSDLYLAQLRSQSAPNTPGFGPLSPSYSTHMKSPRFPPAAYSSNLDSMAEEGFGP GTRFVEAKPASAKPAKPFALQPPPIKVHAATPKTPQAGFDAPAPRKERVIEHVAAAPG EQTYDAVPIPGAYASPLNSPGMTQPQHTRFGSVGEAITSDVRIESPPGSPRGWK LY89DRAFT_293964 MSTSPKTAIISVYDKTGLLDLAKGLIKHDVRLLASGGTAKMIRE SGFAVEDVSAITHAPEMLAGRVKTLHPAVHAGILARDLASDEKDLAEQNINKVDYVIC NLYPFKDTIAKINVTIPEAVEDIDVGGVTLIRAAAKNHGRVTILTDPSDYPEFLQEIE KGEVTERSRNLYALKAFEHTADYDASISDFFRKQYAGEGVQQIALRYGANPHQKPAAA FSKFGPLPFKVLCGSPGYINLLDALNAWPLVKELKTALGHPAAASFKHVSPAGAAIGV PLTADERKVYMVDDIEGLENSALAQAYARARGADRMSSFGDMIALSDIVDVPTAKIIS REVSDGVIAAGYEDAALEILKKKKGGKYLVLQMDPEYAPASQESRTVYGITLTQHRND ILISPKSFTSIITPKESGPLPDSALRDLTVATIALKYTQSNSVCYALNGQIIGLGAGQ QSRIHCTRLAGDKADNWWLRFNPRVLGIQWKKGTKRADKSNAIDLLVSGQLPKDGPER EGYEAFFEEVPKEFSEEERKEWLGKLSEVAVSSDAFFPFIDNVYRAGRSGAKYIAAPT GSQNDTPVFETAEKLGITFVEQSIRLFHH LY89DRAFT_728485 MQFNVIALTLAAMASVAAAETVTVYACPSSTITTAGIASSTIAS TGVVTAATSTGSPIAYATGAASINGVSALGMIVAGGVALFL LY89DRAFT_293651 MMSKTVIINSLGQFEGLLKSSRIVVTDFHAVWCGPCKTIAPIFE QLSQQLSRPNLITFVKVDVDQQKEIASKYGITAMPTFMIFKQGKVEEKIQGADPRKLQ AVIKKLAAEADGSSSSGFGASGSGSSWRKGDLPRGYGDITDQIEVKGLELLNADSEFG SVRVLIDGAKPTGLSGGKAAASKEKDWVESDTDEQLMMFMPFQSTLKVHTIQITSLPP KAEEDDEVPMRPKTVQIYPNRAHILGFEEAEDIPATQSITLSEKDWDETGTATIPLRF VKFQNVTSLVFFIVDGDGEGERVRIDRIRIIGETGEKREMGKLEKIGDEPGE LY89DRAFT_680463 MYVLLYAIRGGGDSGLLPVGVLKDTLIGYSTLALHRRDVNSLEF SPERWSEKLPVPWTYIPFNGGPRTCIGQQFALAEMGYTVTGMLQYFDKVENLMSDKEH HSSCASMKAEIVLQPAKGVYIGLWHS LY89DRAFT_294034 MKVKKFGSSTHISFGKSSFLRSALSYQYFCLTWYILLTHILSRI AVEMSVLSASSGCQSKAWKTESLNPVRDAGTPAQTYGAAKFALLSRASPRVGKV LY89DRAFT_606778 MSSNNYTFTPRRSLARPRPSLINGINTASSPNLGASYNSLQPPA LPGSRNALSRKSSLSALTSGSLATIPDASAGYGLSTVLDEDSSIYRKMPPYTPSRGGD GDDLEVGDLVDVPGSMHGTVKFIGSVQGKKGTFAGVELSEEYAARGKNNGDVDGVSYF TTSIHGAGIFLPINRATRRGSPSSQEGSFPITPTTPSVGGNFKVAGHGSSAYTPPTPS LPKFSQSVGPGRAPSPQFKKSRPSLPRPESPVRKTQIGSRPSIGTPVPKTPARYGSPA PGKFGQSVRGTQDSRDPSKKIGYTPKNGMKVGPRSASALGQAPMNYSDDDNTPVGIAR TATNGSIGSVSSFNTKMRPASRATSRANDDEIERLRGQLEERDRQLKEQASSLAEMEN SLVEVQTLMGSTDIGGRHNKGSMEDKDATQLRAVLREKNEKIAMLTAEFDAHRADFRS TIDTLELASTETERVYEKRVEDLLQEVRELQERGEDVDSVARQLKQLEELVQELEEGL EDARRGEAEARGEVEFLRGEVERTRSELRREREKAAAVMNGNGTNGDAGSLSKEVEQR DDEIRGLKAIIHSLSRDAVPDVGSPGSDTQKTPTQRQGSFSRRKPSNGIDSIEDRIAR EKLEREVSELRAIVESKVNREDELEREVERLRRGSVMAAPNRASAMSTGTVTQERSSV RDSKGTIVSWRDRELRASPENHRRGNTLETMPESDTYSSAAESFCELCETAGHDILTC TNMFTPNGGAPKDASHLRTGKDVVKAGLSPKLSHEEYTPAPLSPVKHKAPEKSLPSPP APVRIIPNPMESGPVAGKESGVVNMDKWCGVCEREGHDSIDCPFEDAF LY89DRAFT_636241 MDPSKVSIFKTYDKPRGQGGSGSFATFMIIGPTCFFLGMLFSAF PYDYPLLWTSIPTPDAYYDQFEAHLKFLHASPPIIPRILNITISIGFTGFFIKLFKPS EANLLFDGASLLLYVVGVVVYITNIVKGLRIVTSGFYGQPESDTEIAIGREDSLRVLA ASNTILALVLVGVLVLQAGQWYAERKEQDEVAKYEKQQEEKSAAKGTKNGGHVTRSAS KKKQ LY89DRAFT_575707 MAAIVGAAVAFVLISLLLLVAIIQNSVDNDRLIATPSIPLKNAV LTAFASTKVKGSADEVFSVVQNYKGYSDWSPFHEYKWKDVSLDGVPRVGSMGTFKLTM EDFPERLIPVTLTVLDRENRKIAEKSTSYPKWLLSSERVQEVVSIEGQPGFCEYRTYQ TVEGIGAYYLLLTVQEDLNDCQNKCATELKAFVEQEKR LY89DRAFT_693741 MEWQRTKTTFELAGKEFPRVTWYRQKGMRGLYICLLFVVLTSAT NGYDGSMMNGLQALPQWKSSFHNPSPSQLGLLNCIMSVGSLVALPVVPYTADLLGRRT GVMIGCSIMILGVVLQTLGFTYGMFVGARFFIGFGVAIAHGSSPLLITELVHPQHRAI YTTIYNTTWYVGSLVAAWLTFGTNKIHGTWAWRAPSLVQMFPSALQMCFIWFVPESPR WLISKGKNEKALRILANAHAMGDEFDEVVQLEYQEIRETLNLEKEIEGNAWSELWRTP GNRHRLVILISLGFFSQWSGNGLVLIQIGITNSTTQLLINAILQIVNIIVATGMCFFV DRVGRRFLFLVATSGMLVIFVIWTICGAEFLLHPSKAVADTVVVMIFLYYCFYNTAWS GLLVGYGVEILPYNIRAKGLTVMFLAVDLSLFFNQYVNPIAMTHLQWKYYIFYDVWLA FELFIVWKFYIETRNTPLEEIAKHFDGDSAIVGGNAANEKSRQLAADLNLAMAPLEQS ETTPGLVEKGGAQAVQTELSKEVKG LY89DRAFT_728492 MAGSGNSAFSYVTNTEGQCICASCHWTSSMLPAQTNLVECCCNS CKTHGGAPFAVSLWFPKHDFFITGPVKCFSHIGGSGGQNMVHRYWCDTCGSTIGHECE IEKERIHVSAASLTTQNHPKLLLKPAAIWLEDEKFPFHKTSGTPPKFPAPAPLVTGSF PATLPAAAAGKSVLVPAGFVFPRFPYQP LY89DRAFT_294139 MRGSSRAILLAVCLATSATARYTPNTIFERETVCPDAAYVGCNN TGLPGDFCCPTGSNCIPLAANTTLLCCPTGQTCQTIKPITCNISYQNITKNPDNTLKT TALGATLPSCAGQCCPFGFSCNTNNNCVMDADQSIAPTTSSSSTTSTPTSTSTSSPSA NPTTTSSPTSSTPLPLTQTCTKFPIPAILAGFFPGLVLGILLAILGLCCWGNSHKRSS SSHHNTHERRRSGSSFGNISEPQPLDREHDMRTDFLRKPPMTPSSQGSTPGRRNTMKG RMNSLFRKSRWDDGQGDMATPPIPLNINKNNNNGNGNNNGGRPVTPENRQQREVSEFY GNVPMMIDEREHPTPSPPGLGLGSRRDVADVADSRISYNTTWTDIMPVPEPSQSSGNG GTGGSGAPLAGLPKGQPFRQYGSPPR LY89DRAFT_664170 MAVAQPKPKPATKANTTPKGKMQMHRRSRTGCYTCRLRRKKCDE GSPSCTACKHLGLRCEYKRPMWWSNNDQRRLQKDNIKMIIKRKKLTEKTTSSATNQVM TPGSETPPGLSHSLPTSATFSDPLDRTRSASIDSSLSLFDFNAPPHIADYTAYNAQMH SSHSQYASMYPEFSPYEIDVKTERQMYINDNLARRESTVSTFSTYHPPPPPEQMLPSF PVDNEWEGAIYTDRRESLAEETLNINLFDFSHGQPAPVRQVAIELEEGDQRLLDHFIS DVLPSVFPILETNQHGSARTDYVLPALSNNKCYLHCCLSIAAQHCKATMNIQGEQIDN DIMRHRYATISELCEALNRDTNHSDILEATLGMIFFQCSVGRFDDSLPDIPWHQHFQA AISLIQKLELSRAVSESHDLIPFNMTLTAWIDILGSTIQGRAPTFAHTYREKHLSTTN SSLGLRELMGCEDRVMYLVSEIACLEALKKDGMDDIALCQHVHALGDQIGLTEIGELG PKIPFNANGVLSPKQLSKNITAAFRIAARIYLCSLVPGFTPSQQSCVGLVSKLTQVLE FIPSGQSGFDRSLVWVYLIGGSVSTMDSPFRSFFEERLMGLGDLANFGSFGRVACLLK EVWGHVDGRLSPGGGEAHYVSWRDVMQMKGWDFLLI LY89DRAFT_606790 MPNYSPETPIDPRVEMFQKGQPMDAFFNMKALAPRPMSTATEMF DTDFEDSDIEEEGNSPRLSVNSTSRRSERTLSSFEEIHTPSPNENFEGFNFDISTTQK RSVEGPRGPHLFRASVDSQIVEDDEFSIAMSPMTPRPNSTQRAAMAMSNTRIPDVPSR QHRHLSTLTDAVENLNSKEIALWTPRQVARWMYNAGFEPAIVEKFEENDISGAILITL KFEDLRELDIQSFGQRTKLWNEIHILRDSKPSSPTPPTPIEEEMASPCVERMPRHTSR RRDGSRVRDGSRVRDQSVDCGSDEQPKRYRSKKQRIIIPDDVITPLESVSIVGIEQLM PKPHKCAKGENCSKWRKQQRLINNFKKDHPVSPEGGSIWIAGDPGNPFTAEAIDMNRP VSEAVPSVVASSDVLGPGPVPAFRQLEEASLRSLQSRDPQENVKQFIQFQHMDPQQTN WTTSEEPPTPPFEMFPNIQSQAPSQAPVAGLRGLPRLAIPPPRPTPPPRSASAQAFSP YRMERVEAMASDLRSATQSPGTVVYRFGTPFSEMDVPVTAVNLGPISRDASQSVPPNM NYRHNPAPAPPQRTQSRTSARSRPSFAMPRVDENVSTAPPTQTSFNRSQTQPSAPYGQ SNANAYPWSPVHDPSQRTADDIAHAGWMKKRKTKMLRHEWHEHHFTLKGTRLAMHKDA RAMETLEYIDVDDYAIACSSLASGSKLNAAFKAMNISLGDKGKKDEAAFSFQLIPAAM DRVQKLRKKEASADEKDAGAKAKTHYFAVKSRDERIDWMRELMLAKALKQKSEGYEIN VNGNMI LY89DRAFT_636251 MLRPRIPRIGHALHSFRRSFHQVPPLVHDFREGVPGLLSPAGFD MAWTKYQSLMCSKLNDLTAGGPWENSEPKDVAINFARDPNSAPIFNYASMAHNNHFFF QCLSPNPPEMPLALKTDLEKSFGSIETLRREMVVTASSMFGPGFVWLIKERSSKKYKI LCTYLAGSPYPGAHFRRQTVDVNTGEGSGTEAYKRMASQPPTNTVGAHGAHARKDTLP AGGIDATPVLCINTWEHVYLPDYGIGGSGSGGKRAFAESWWYAVDWNVVADKADVKGS LPMAR LY89DRAFT_693745 MEDGYNSNVEAFREAEYPMLQDAIYLDHAGTTLYAKSLMEKFTS DMISNLYGNPHSASASSQLTTNRIEDIRLKVLRCFNADPEEFDIIFVANATAGIKLVM ECFRELEGGYNYGYHKDSHTSLVGVRESASSSRCLDDEDVEQWLSGASGLVGEGASSD ISLFAYPAQSNMDGRRLPLSWSERVRANNSNGRVSYTLLDASAFVSTSALDLSDASTA PDFTVLSFYKIFGFPDLGALIVRKESGTILQRRKYFGGGTVDVVLCMREQWHAPKSQS FHDRLEDGTLAIHNILALNTALEVHRELYGSMEKIARHTSFLARKLFDGLSSLRHENG ELVCVIHSSRSSTQHFSEFQGPVVAFNLKNSYGAWISNTEFERLASVRNFHIRSGGLC NPGGVAACLQLEPWEMRNNFSAGYRCGAETDIYAGKITGVIRASLGAMSTISDVDSFI SFIREFYVETATQDVDEHPPTIPNPAGLFVESLTIYPIKSCGGFTIASNVDWEVRPEG LVWDREWCLVHQGTGQALSQKRHPLMALIRPSLDFRRGMLCIQFYDPKGNLPLQELAI PLSANPSFYTTGDGGKSSPSRVCGDAIVAQTYSKPEITAFFSNILGVPCTLARFPAGG SGFSTRHSKAHMQKHQRPKRHSSDEVHVPGAFAPPTPPDSDNENRKRPILLSNESPIL AINKDSLDVLNDGIIRSGGKPASASVFRANIVLASSQLSNPQPYAEDHWSSLRIGQQN FQMLGSCRRCHMICVDQDTAEKNEEPFVTLAKTRRFESKIFFGSHMCHIPSKIATKES QYPTIRVGDIVRIGTTEET LY89DRAFT_680474 MSTGVGMSECCLSGKVHDGTPTGKIETIGELPTYVAAPKDGSKA KSIVFLVDIFGWEFKNVRLLADNYAKAGFYCYVPDVHQGDSLPLDFLNSVEPPLKVRE QQTIIDKTKSTAVVGTTLPPWLVKHREGVSKPLIDSFISSVRQIPGTGKVGAIGFCWG GRYAILASHGEVDAAYACHPSLVAVPSDFEPVSKPLSLAVGDQDSLLDNGTVGQIQDL MAKKTELPHELRIYEGQIHGFALRSDWSSEVDRKAMDEAEKQGIEWFNKYLA LY89DRAFT_575724 MKPTVVLLGVLSLLLNASIGFSSSAPNPIGPLYPDSITGTINST LSLVPISYDLARSIIPAQYPILTKAYHSLLPGFPIDKYPLIIRGALDHGVGVYAFNYS LADFQSIHILYPFVDLLGDGYSSFSWNKYLLISANNTIAIEGAAAGGTIVPPTTFDPD LEAYAFVPPSGHEIFLNAYTNLSTTQPAVATNFKNVTNQPIFTDGLTCDNQITLFNSS LSTGDNAPVGIRGKISIKAPYFPEEDCEAFEDVYGIKVDIAFVENPGLNCSSLKGYHA TGSGD LY89DRAFT_680476 MASVRRSARIAARLSVVPATPRTKTRSGGMRAARKFTLFPDLPL EMQQKILSFACLAQQAEKRFLRVARDTEEEFQQRNNNNDYTLRIYYEGIGGPRLVPSM LHVCRYSRALLLDHYQLVSCTDMLSGSEVERNIYVNLDEDIFFFGDLHPAHFKALRIL VFHPDEREPLNFGLDTGKRIKNLAVDWEIYREMMKTDPSWLLSVNPDTIFIGDSGYQT WYWPRDSGNRHPGVHECIGG LY89DRAFT_294369 MASSVLSSPSIQDLPPHEDAPPKKRARGRPLKNPGQSNIPEERR QQVRKAQEAFRQRKQAAVKSLEQRAEALEDCVEEISKVFLSFSESMLKTQMVQRDPEI GRSLLSTTSKIIDLARIAVAENEELEPKIDGSISRQLSVTKDRQSSLSAMDLPHVRLP DISRPSNFFGNGWFDYEPHGLLRLPEGNQDLSMPPLGFGMHLIRTNLQVAYFALMDDS GTQNPLVMQMFKYAFLYHTRHEIMANLRWFLEHGMQAQRWLGRAVFGFDSTLSLQHFN VVQREFRPKILHPLVDAQALLGADYASTSSPFLNAFDVEEYLITKGAYHIDNEIIHLQ TCTCKLEHTMNSRCRSDQLHTLQSASTPNDPSVSTYSSEVYEIGPWTTTSAALFPDAL DESENLPTDTPLDDSSKPVVDSRGKPCEVFDFNALLKDENHQSIMQDENDQDQREESC ERGQIVSLSVPLLLDNLIRNSLCLGTGPGYPRNSIDVAIQSSMHPPMP LY89DRAFT_777473 MATFVPTLHLQTYPALSPTRPELSAKGKSVLVTGGGYGIGRAVP DAFGAAGAARVAISGRTESKLKAAVAELKQAFPKTEFSYFVADIRDTNAVKAMFKSFG APDVLVNNAGFLVVPEIFKTVDLKEWWEGFEVNILGTAIVTQEFLRAKPEGKEAVVIN VNTIAAHLGTNYPKLSAYSSSKAALARLSESLQAETPEVRFVSIHPGAIDTDMYVKSE MGPFDMTEVDLAANFMLWLASPEADFLKGRFAWVHWDIDELKAKKEEILSGDLLKYTL GGFDPLGGFN LY89DRAFT_606807 MFDIIRDSSFGQLLRLIAKNKILLFSDELPDFELPSPTTEEKEI EHQSETSTITNDRRGSSGDKIDRDEDEELATRPDIEPIERTISQPIHPIVASDGIILV DWYTTDDPGNPQNYSTPKKIYVSFIIALYTFAVYIGSSIYTPGEGEVEERFNVGPTAA ALGMSLYVLAYGVGPMLWSPLSEIPVIGKNPPYIFTFAIFVILTIPTALTESFAGLLV LRFLLGFFGSPCLATAGSSFQDIWPLIKIPYLMIIWAGFATLGPAFGPVVGGFSIQAE NWRWSQWEMLWLSGPVFLMMFFSLPETSADTILLQRARRLRKLLNKSNLKSQSEIDQA HMTARERAFDALIKPWEINALDPAVLFSTFYTSLLYGIFYSFFESTPLVFPKIYHFNL GESGLPYLSALVAIVVIGPVYAAYWHYTVERPFEKNGFGPQEDRLVVGLVGSCMIPVG LFLYAWTARSGVHWIAPTFGMGLSVGGTYLIIQSIFLYLPFTYPKYSASLFAANDLAR STLAAGAILFSRPLFTNLGVAGGVSLLGGLTIVCAFLLYLLYRYGAILRAKSRFAVKD LY89DRAFT_777475 MSTKMEKQNPQSVHGTEKHRKYGAFQNELYRAGMFHHCLPVVTT DPRKLEEQAKGAMKPTAYNYVAGGAGERATMDANRLAFRQWKLVPRMLRPTTHRDLTI KLFGETYESPILMAPIGVQTIFHKDKETGLSEVCSEIGVPYVLSTASSSSIEEVAKAN GKGPRWYQLYWPQDDDVTISLLKRAKANGYKVLVVTLDTWALAWRPADLDGAYIPFMK GVGNRNGFADPVFREKFRKKFNAEPEERVTEASEEWIGDVFSGAAHSSDEIALLKKHW DGPIVLKGIQHPEDAKLAVEHGCDGIVVSNHGGRQLDGAVGSLEMLPEIVEAVGDKLT VIFDSGIRTGVDVIKALSLGAKAVFVGRPAIYGLAIGGKQGAKQVLQGILADVDQSMG LAGIVNIAGCNRSMLRRYAKRAKVYEWEIAFDII LY89DRAFT_680480 MAATETPQTFDIPKKYKAIVYDKPGTISTKIEELDTPEPGAGEV LVRLTHSGVCHSDMGVMENTWRGLPYPTQPGQVGGHEGVGIVHKLGPGSENGRVKVGD RVGIKWIAYACSACPPCLEGKDGVCFNQKISGYYYPGTFQQYALAPANYVTPIPESLK SEDAAPMLCAGVTTYSALRKSEAKSGQWVVIAGAGGGLGHLACQIGSRGMAMRIIGID HGSKESLVKDCGAEVFLDITKFDDKSLAEEVKKATGGLGASAVIVCTASNKAYAQALD FLRFGGTLVCVGMPEGDSVPIAKAFPAAMVAMEHNIKGSAVGNQREALEILDMAARGI VKTRLRTEKMENLTAVFEEMSAGKMQGRVVLDLQ LY89DRAFT_680481 MSAPVSRFQKLSLSQDMQSHGDLAPAQSGGKGKGKAPMGSGGSA SLAQILNPNESDDEMGQEEAPDDVQEEVPDEGTRSGRVFALNHCRQFDTFYAFQIAYA EVERISIRISTTGPICSSNTCSGGEQCCHIEWLLEQLSNAIPDTLEVTDITTYEQISA VGLDTVCEELQFELREGPESDTEDTWQLKKVHSTSGLGRQTRRMLKERMHAVRDIMAT LSSDTLLTDDYRGDIFNTPGDMSPKLILGDLEATISRLLFSNDDMFYHFKTLVPKDLR ARSYFTKALLKAQATIAALDKYAEQGPVDGPFDLIWCADTLIKLVDSINFNIVQRQPL SSVAKQEAAKALVGILDMVINRNYEIYPKDPSWSRRRAHAEPTRDRNLYERLIRSPPE NRPVDFVLSALQNLPEAKPYIDQLQGLLGILKQIGWSSPEPYKIKLGGIIEQLRGVPP NAIPRAPQLSSSALEPGERALQAAPSLLSAAPSSSGAGPSTSGAGPSTSSGKRPAKSS DRKANKRMK LY89DRAFT_636274 MADIPEKMLAAQVVEFHKPYKIQEVPVPGKNLDENDMLVKVAVG SLCHTDGMVLEGIMGTKLPCIGSHEGAGTVVKVGSAISEFKVGDRILVNLTYHRCRTC ADCKGPEQDTQYCANVGGYLGVSRDGSFAEYELVDGRECCRLPDNVSFQSAAPLACAG ATVWGGLVRAGLKSGESIAIVGGGGGLGHLGVQFAKALGLRVIAIDARDEGLALAKEC GADTTVDARKGKEKVVEEVKKVTNGKLADATLNVSDHESAAATGAAVTKMHGILLQIA QPTNVSVPFEEFVFRDIRVHGSLICSRGECQKMLEVVSKNNIKVKTNAFKGIKEVPKA VELAHSGKMQGKPVILIDERAIEDEKRSGLKMI LY89DRAFT_575602 MLFDNFLLLAAFTTAKPAKIIGTEASAAASTKVPQYFQTSPELW AGPTATGRAPFLAQTNPVSFAPTVTFVPNQPLETAIPIVGATQNQSIFQLMGQLSPYF PNPSGFGVSEYPLPPGANITQVQMLSRHGSRYPTTGANVQSFGAKVANLTGKFSATGP LSFLNQWKYELGAEILVPRGRQELFESGILHYYQYGQLYNPYSKIIVRTTTQDRMLKS AEYFMAGFFGLEWTNNATIEVIIESAGFNNSLAGYDNCVNSYNHRSQGGVNATRAWVQ SYLQNATARFQSMISPEFDWTIKDTYAAQTMCPYETVAYGYSAFCDLFTYSEWEGFEY SLDLNFAGGSSFQSPTGRAVGIGYVQEIVARLQNHTLGYSGSQINTTLDNNTVTFPLN QSLYFDFSHDTNIMSILTAFGFKQFNTLLPATSYPGPHNLTVSHMEPFGARLDMEVIK TPHPLSADRTYLDGNETTYIHFILNQRTLPLGFSFPKCGVDRLDGWCELDTFLKVQES STALAQYDYACNGDYDALPYGSVADGAPNN LY89DRAFT_704106 MIGRNDPCPQFCALDASKTSAFKSLGWLDRFLALWIFLSMAVGI ILGNFVTNTGPALQKGKFVGVSVPIAVGLLVMMYPILCKVKYETLHLVLRKKDIWIQI GFSIFVNWIIAPLVMLGLAWAFLPDKGALREGLVLVGLARCIAMVLIWTGLAGGDSEY CAILVAINSLLQMVLFAPLALLFIKVISHAEGTLDISYATVAKSVAVFLGIPLGAAIL TRFTLRKLCSAKWYDNTFLKYLAPWSLIGLLFTILILFASQGRQVVHQIVSVVRVAAP LVVYFAIIFFATLLVTYRLGFGYKVSATQSFTAASNNFELAIAVAVATFGADSDQALA ATVGPLIEVPVLLGLVYVMKWIGKRQEWKD LY89DRAFT_575214 MASTTDSAQATENNDHLIKSTDSKHPANLIPALCRKFWGLGWVS GTGGGASIRENDLVYLAPSGVSKELMEPENIYVLSMSKQEDPKKRVYLRSPLNLKPSQ CTPLFMAAFTRRNASCCIHTHSQWAVLITLLLENQPSSNRVFEINNIEQIKGFGKGFQ KQGNLGYHDTLRIPVIENTPHEEDLAEYLEEAMDKYPDTYAVLVRRHGVYVWGDTVDK AKAQAESLDYLFQLAVEMHKLGLPWVSSIAQIGPS LY89DRAFT_762409 MRFSATAALSAAALLTGTVNADAQSVLSEASSSVSSVASEATSS TSTSTSVELPTFTPTKIKGDFVEQFTDDWEARWKPSHAKKDTKGEKEDEEWAYVGEWA VEEPTVFKGMEGDKGLVVKNAAAHHAISAKFPKKIDNKGKTLVVQYEVKLQNGLECGG AYLKLLRDNKALHQEEFSNTSPYVVMFGPDKCGSTNKVHLIINHKNPKTGEYEEKHLA TAPAARIVKTTELYTLIIHPNNTAIIKLNGEQVKEANLLEDFQPAFNPPKEIDDPKDS KPDTWVDESRIPDPEAKKPEDWDEDAPFEIVDEEATKPEDWLEDEPLTVPDPEAQKPE DWDDEEDGDWVAPTVSNPKCDDVSGCGPWTKPMKKNDAYKGKWTAPYIDNPAYKGVWA PRKIKNPDFYEDKHPANLEPMGAIGFEIWTMQNDILFDNIYIGHSVEDAEKFAEETFK EKHPIEQLLEIESKPKVEDKPAPKSPSDLVFLDDPVHYIREKLDLFFTIAQNDPIQAI KFVPEAAGGLAAVAVTLLAIIVGVVSMSGSTPPPAVKKAADKAKAAAVDAKEKVSEAV ASGVDAAKTTEKRTTRSSS LY89DRAFT_636289 MDHGLKAIKYESGKLLVLDQLKLPHEFVYDNVSTCEEAFDCIKS MRVRGAPAIAIVAALALAVELWHKKDDASTKQNLVEYINRKLDYLMESRPTAVDLSNA IRLLKTQTQKAAADTTTSDEIQACDSIRTRFVQVAKKIFDDDLATNQRIGMWGAEYLR ALQPPVTDAVAASNDFRYYTTSPPGTQGASDQTYRKISVLTHCNTGSLATSGVGTALG IIRKLHDMNYLDHAYCTETRPYNQGSRLTAFELVYEKIPSTLITDSMAASLFKTYKDE KNITAVIVGADRVVRNGDTANKIGTYQLAVLAKAHGIKFVVAAPTTSIDLEKENGDLI KIENRAQTELTQISGAVVGKDGKVDVNNTARVAIADQRINVWNPAFDVTPYQLIDAII TEHGPVPRNAKGKFDFKAIMPERWKVQVEDGEAVVNVAGTAVKMNGSDGLQFQMEGV LY89DRAFT_294738 MTELADVFAELGISHYLHDFLEQGFDTWETILDITESDFDALGV KLGHRRKLQRKIANSRGFSSDRALASPRNTPTDDRQPDELKAGNIKAESKDGSGISHG AKRKYRRHPKPDENAPERPPSAYVIFSNKMREELKGRNLSFTEIAKLVGENWQNLSPA EKEPYEQQAFSAKEKYNNELTEYKKTENYKEYSQYLAEFKARHSTTQQANDSDAAKRP KLEAHASAVSSGSSATSQHGGDTPIGRMRVESSASMTGQWHPSEGQTSPQLSNMPKLS VALGGPKPQVSPSAPSPTVLPGYRDSLYGSHQQLHPWREGQRDDTLTNHQQLPRLSSV TDGRSTLSGTQAADPRNLTGSQQHAHRSAQAQSHPPPLLTSESTNRSTTSSASTGSSA FFTPRTPLEPPLERSLPIPSLYSQKSYENQLPPLRPPSLSPQSTLVTTQQSPNPIHAI VDLQPSIPPIRGYGSAQPQGALTTRPDPRLREYISNSVDDNNLDPVSALLRAGEIVDR GDRNNGGPPGP LY89DRAFT_714176 MALASGAEQGKARGKRQQTEMIWHRADAAELGIPPSTGWACCLV TIAFWHWHLIHSVRIRPYSLLVGSTLTSPKRAFQEVRPKVPARHIEQSHIAIAIDTWT FFRNMGKANSTNATYGGPIFRHHRSRATLRLPACVERGNAGERQNGKERQVMQFQIRP PEVIEGYAAACSRRLTWAKLARASVEYAVPVRLEERRLACGSDHSRRTGLLYPTVA LY89DRAFT_680492 MASSNDSSKGDHAEVSDTDADLAQISISEAWTQIAKGEKTAQAL ESHLTSLEKKIDDLLASFEESERAKVDEANSEASDSRSGDSNGGTETKA LY89DRAFT_680494 MNGHAVESGSHLTQAGIEAAKAVAHDEPAIKELDASKLTITRAT APRDVPAANSKEATNMKTCTDHMVKVTWTSDAGWHSPVIEQYGPLTMMPIASCLHYAT QCFEGMKVYRGYDGKLRLFRPDKNCARLNMSSARVALPQFDTQELEKLMKAFLAIDGP KWLPKSRSGTFLYLRPAIIGNGEEIGVTAPAEVLLFMVAVLWPDFSTPGPGVKPGLKL LASKNDTRAWPGGFGYAKVGANYGPAFVAHMEGRKQGYDQILWLLGPEQHVTEAGASN FFVVWKTKEGALQLVTAPLEGKIILEGVTRGSVLDLARERLVKGSKYITSELGSIEIV ERIFTMSELVEASNEGRLVEAFVAGTAYFITPISAINFRDEEFEIPMGDGSCGHYAAL LKKWLGDIMYGNVDHEWGVVIDEE LY89DRAFT_680496 MLTLLAALRQKVAIGYVGGSDLAKQQEQLGTSEVPVTTMFDFCF AENGLTAYKMGVPLASHSFIKWLGEEKYKQLVKFILHYVADLDIPVKRGTFVEFRNGM INVSPVGRNASVQERNDFNAYDAEHKIRETFVAILREKFADLGLTYSIGGQISFDVFP TGWDKTYCLQHLENEAKKPGGIQYTTIHFFGDKTHKDGNDYEIYSDPRTIGHSVLNPD DTYQQVKKLFDL LY89DRAFT_295200 MASRQGKPEEELFFSRPLNGEDSPTVEPLRISKPTSPKPRADSA ASGRFNYPPPSNSSSKLTFPLPPGASSSASPLPYPEDEDLYAKPIESRKQRLPYPDDS RPNPNRTGSGGSSQDNSRPSPSRKGTNDTTPRISTSPVDKRGPGLAERRGTAPKPLPE SPGLNLPDKEGLFAKQPRRDPKPSQGASRPGQTNATSYPEFRPQQQQQYYPPPVPGAA ELNGTSADNPNLIAPTASAMNRFASTASTSTTRATRGSPPPPETPILGPGDPAGGGIE ARYAAAGISGTATLSSLQAQNAAAAQRMNQYGPPPVPQLPLSPGFAPAQPQSIPRPWT PTESPDNQPHGPPTVYQGPNQVTASSSPPRQQEPARQRPGSQPNALEQDFQRMQLSPS PPPAYSSVTPGVNGNAQSYPQEKRSNVPANISTASRPDIASPMTSPALQHPGHPAFAN DPRDEPAYPQQPVQSMAAIATAAPVQQFANASPFQGAGPSSPPPLPEGWIAHLDQNSG QYYYIHLPTQATQWEFPKGPQPLNDIAPLSPTMSTYGNPLASPGLSAFGKTPLGSPGF PPHTPGYAESIMSMTSQTPTAAGFSGPPPSAGVDMYKVAPTNGVYFGPYLRYVNMDFE RGVWLGTIMLVTDALQPPTIHIHQSVDLSPNPRQLKPNPIFTHQRYTFYRYDVDLQMG DGPSDKWTYAITSHLGCTRYEFLVAGRYETNWRFIAHSGNDFAMNTNLNERSKLGGIG FMWKDILQKNVECGGFHVQLGLGDQIYGDRLWKEVPLLRQWLAMSGKDNRKSAAWTAR HEEDVSHAYFHYYTSHFDQPYLREAFAQIPHVLQIDDHDIFDGFGSYPEYMQSSNMFK NIGRIGIEMYLLFQHHTTLEILRNVNTDVDLFTITGTGWHFVKYLGPAVVVVGPDCRS ERNQRQVLAGPTYQGIFPKVATLPPSVQHCIWMVSVPIVYPRLDTMESITQTISAAKK GVTGTYNLLGKVTSSVAGVVGGKEAVASGFSQVKKAVGKSGLMGGVLNTFGDIDIADE LRDMWTHESKDLERTYLIRTLQGIGHQKGIRMTFLSGDVNCCGAGLLHDPSHPSDHKT MYQVISSAVVAAPPPSYVLKMLHNNKPLYVPQNGQKTTNTVSDTKEDMMEIFQTDTNG GPREMKKLMGRRNYVAFVAYDPEVVAGTSYAGSMHSGQQGLAKLSLAVDFVVQGDGGF SPPTKYGPVIIPGLEFGR LY89DRAFT_295182 MATSVLGKRTRSAALNLESAKSKPIAKRVKRQGRPEIFNDENEN PFVGNFSRDTDHDGEPMHLDEGSESIFTIVVPVKHGVAEKHIVQNPTPQTPRHRDALS KNGTITPRHRVIVGGKPLTPRTPRTPSTPGASISTVYSKARQLFIRSSEPGKLVGREA EREELSSFIERGIEKTSGGCIYVSGPPGTGKSAMVNEVTETFEESATLRKEYINCMSM KTSKDLYGKLLETFCEGVDVLEGDELKALQAIFVPKKKTKSVYVVTLDEIDHVLSLDL EILYKLFEWSLQKSSHLVLIGIANALDLTDRFLPRLKARNLKPQLLPFLPYTVIQIKT VITTRLKSLLAADSPTPEYLPFLHPAAIELCSRKVASQTGDLRKAFDICRRAIDLIET ETKQKHEAALQEQQLQDSPSKRPLEENNNLSSPISTPPRKMANKTLNQSLSTLTVETA PRALISHVNKITSATFGNGANQRLKALNLQQKAALCALVALEKKKREAMANVMATPSK SKTAAPTIKALYGVYSMLCTRDSILHPLTSTEFRDVVGSLETLSLISAVDGRNGSFIS STTSSKRGRKFGSGIGVGDEKRVGSCVGEKEVAQAVEGLGAGILKSILSGEGLDDMNY LY89DRAFT_295185 MADQNNDLEKASVYSTTKSNTTTLPAYNTNHSGEFSSYAETTEF SGPFFHRVLESFQRAPGTLDPDGKLDTGHGRTFDPSLAAMATANTKMARRLKGRHLQM IAIGGSIGTGLFIGSGKALETGGPASLFISFSLMGVMLYCMVHALGEMAVLLPVAGSF STYSTRFLDPSWGFAMGWNYAMQWLVVLPLEIIGASITIDFWDTNGRYNHAIFVTIFL VVIIGINLFGVKGYGEAEFFFSIVKITAIIGFIVLGVVLNCGGGPNEGYVGGKLWRNP GAFNNGFKGLCSVFVIAAFSFAGTELVGLAANEAQNPRKSLPSAIKQVFWRITLFYIV SLFIIGLNVPFTDPRLLRDGSADSTASPFVISIENAGIAILPSVFNAVILLAVLSVGN SAVFGSSRTLHALALQGQAPRCFGYVDKMGRPLVGIGVASFFGLIAYAADAGAQGTVL DWMLALSGLSSIFSWGSIALAHIRFRRAWTLQGHKLSELAFTSQPGILGSWFAFLFNC LILVTQFWVGAWPVGFEELGPKGQVNKFFKAFLAAPVVIAFYVCHKIYAKTSIVRCID MDLRTGIRDLNLPELIAQEQHERATWPKWKKVYKVCC LY89DRAFT_728520 MLAKQFIVVSSLLPLISAQETVLGVYIFHRHGDRTTKSYSPTSL TDLGYQQVWQSGDFYRARYVDSTATSPIHGIASNIVKNSQLSVEAPVDNVLQNSAAGF LQGLYPPVGATLGSQTLANGSSVEAPMNGFQLIPVNAVQSASTGANSENSAWLQGQSG CNNAIISSNNYFFSSEYMQKLNSTKSFYQSILPVINGTFTSATDTFKNAYTIYDFIHV SMIHNSSSSIPSDSLLTNETVYQLQTLADNHEFNLAYNSSEPIRAIAGSTLAAQILQQ LNSTIVGKSASQVGIQFGAYASFLSFFGLAQLPAVSENFTGIVDYASSMTFELVTNSS VSNSSYPTADEISVRFLFSNGSAAANPLTAYPLFGQSSTVIPWTTFVSEMNKFAIGDQ ATWCSQCGNSTGVCATSTSTSTSSSPSATASGSSSSGGGGISKAVAGVIGAMVTLAVI LGLEALIMLIAGLRLVSKKRLGGTGAAAVAPGAVKE LY89DRAFT_295188 MNLSCKNFQVFHKYCMNIGLGKLWRSQTLIRLRTSDSFPFSDSM LIHKYTLRLGSHIKYSAHFNVCLSLGGLLAELRSSPVFLKNIPATQKTKTEFVCSRQT SITEEDVSLTGGRLYGDILLAVLLFIR LY89DRAFT_295383 MNVRDPFDRPIFSPFQILRGTATQAAAQVTQRVKEAGIQAYESG KQAVEEMSFSIPQNVPSFTDPQRELENRVWGSSGVTSRSGASHSNGVMSGMQDRVGSF FDKSRGDLPMYKDKPYSYATSRRRRPFWKRKRNMGGLAALFCLILLYYRGFFGGGTPE DLTSGEKSKNNWSWLKGSEKAGTVDWLERREKVKEAFTTSWDSYERYAWGYDEFHPVS KRGNHMTPTGMGWIIVDALDTMILMNLTSRLTHAREWMSKSLSYEQDQDVNTFETTIR MIGGLLSAHYLSTEFPEMAPLTDDDEGAAGEDLYLERAKDLADRAMGAYESSSGVPYA SFNMKTTKGLVSHDDGGASSTAEAATLQLEMKYLSKLTGETLYWERAEKVIQVIDDNG MEDGLVPIYIYADQGHFRGNNIRLGSRGDSYYEYLIKQYLQTSKEEPIYEEMWDQALA GVRKHLITYSSPSQFTVLGERPDGLQGTLSPKMDHLVCFMPGTIALGATGGLPEKEAK KLSTWNKRKDEEMNLARELTQTCWGMYKVMATGLAPEIAHFHIDTPPLPESAPHKAPE TFDDPENLEWRRDFNIKSNDKHNLQRPETVESLFYMWRITGDEIYREWGWEMFKSFVE FTRLEDGGFTSLSDADRIPPPTRDNMESFWLAETLKYFYLLFSPNDLIPLDKVVINTE AHIFPRFKLGPLFKTGWKRKPRGPDGKLLPDPPKEEPATQTRKIEVEVKTSS LY89DRAFT_606857 MAHHDEFRQWPFLNEEEFELACAFFDQRYVRAHLGPTRQIFKIR HRRIATSGNSYIEILRLLQLPEQPDELSAMLERLGGGFGESADVEMNIDSKDEEDDQE ALRDPDSLPGYTPYAQQPYVMYEIHLHPTYRLPTLWFTLHDLPAGEPTFDLDAIYRYL VPPQYKDNLRALGVTGGISAAPHPVTDVPAFFIHPCQTKEAMEKFDCTMQDYLMVWIG LVGSCVGLWVPPEMAISVDAS LY89DRAFT_636319 MAVPRSEYLSSVWRDGIFDKKVVFCTGGAGTICSAQVRALVHLG ANACIIGRNVEKTERMAKNIATARNGAKVIGIGAVDVRKIEDLEKSVARCVKELGGID YVIAGAAGNFLAPIEGLSSNAFRTVIEIDTIGSFNTLKATVPELIKSAAKNPNTGTNP STGGRIIFISATFHFTGMPLQAHVSAAKAGVDAISASAALEFGPRGITSNIITPGPIW GTEGMARLGSRDAEKSGVAFKHVPLQRYGTVKEIADGTVYLFSDAGNYVNGEVLVIDG GDWRSPSGMGGTTTYPDYLLDGSFVRPSAQKAKL LY89DRAFT_575101 MAGKTVSTLKFVGSISLGLLTGLSYTLSSLTVPTLLTLPSATSA SKAFSNLTTLSLAHLRTLAGISSASFFIAYVLSPRSQKHPYLLWTSLFVAASGVTDLV LPATKKTVTSARTTKQKQRKSRQMDASYEVLGASDRESEASGEDIDEDVNGEEVREEM EGFMWTQVIRTCVAGTAFAMSVVGIWGDGAADMVIIEM LY89DRAFT_728525 MEHVPGTTRYFDDAERPQVAGPESAGLKRDTSGPVPIILVPQPS DDPNDPLNWPLWKRDLILLSVFATCLGPILAANTLTLSLFFSLKFTKIALLTGYFLLG VGLAGILFVPSARIWGKRHLFLLGTFLIVVTSAWGGASRSYTSLLVARIFQGIGTAPF EALVNASVGDLYFVHERGKRMALTNLAVFGGAFFTPILVGKITHTIGWWWTFYFVAIF AGVCLPLVFFFVPETAYRRESRLNIDMASTEDVHHYDDPEKEPPNSTVPVATESSQAA PSSHAIGHQSPEASIPLASWTQRLAPFNGRKTDESFFKLFLRPFPLFAHPAILWACLI QGTMIGWTVFIGIILAAIFLGPPLFWDEVNTGYAYTGAFIGAIIGFLIAGIIADWSAR YMTRKNKGIYEPEFRIILVIPQLIFGCAGLYLFGVTSATLTHYSWVVPVAAFGLEVGG MVIGAVASSLYIIDAHRDIAIEAFTCMIIFKNFFCFGLTWSAYEWLVHGGIFKTFMWI SSIQVVVCLLSVPMYVFGKRNRSYFHRHDILKLTGLQ LY89DRAFT_728526 MKFTLIALFGGLVAAQTFQGLPLCATSCLTAFESGSTIAGCNSL DITCICSNQSFLGSIACCLAANCDAADQQAATQYAINLCKANLVTNLPTAVSCTSTAT SGSTGSAATPATTSAPSNTGSTGIAKTASTTAHTSSSSTTAASQTQNAAHQRTPGVGV GLVGGLAAVAVFL LY89DRAFT_693768 MCEYTKNYYIYTSCVDPGAHFFGTSVDGKREHRCPRGPHERYIV VPGHCPLCS LY89DRAFT_728527 MGVLSAPSSPEQIHVLNTVIVIVSILSALGAGWIIFSFTIFRSL RTFRHQLILGLAISDFWMAINFLSSSTKNLAGPNIGDSLEKTFCSFNGFMIQVFVVQT DYWVLTIAICTYLILTNHKHQSSWIQEHRVVVWLLPWVLSLLWAVIGLAVVGYGDIGA WCWFTSDRTRLLVNFLPRWVIIITILGLYLRLYFIIHKAHNRFMSFDEDASGSLQTGG SSANRTASRLSMNVSNGADDDCERAGAQSRHTRIGRASPVLKRISYQMMTYPLVYMVI WTIPTSIRIYQATTGKSAPFAIGTVDKACIVIQGFADAIVYGANESTWRLWRKVFSKS ETKE LY89DRAFT_704122 MPSIKSIAIIGAGPAGAIAVDALAQEQVFDTIRVFERREKAGGC WIEDPEDHVQQLPDLDKIAARKPDETVPIPTQLPTTAPRNNQYRFSETSIYPALETNI DAFAMSFSQEPFPEDRTPLNVRRHGENSPFRHWKAVEGYIQGLLNRRGYQDLVSYNTT VELVAKDQASGKWIVTLRQPLENGLEDRWWEETFDAVVVAPGHYTVPFIPYTPGLTEL LRNFPGSVEHSKAWRGPEKYRGKRTVVVGASISGPDISFALADVAERPLHCVVRGKYH PYFFDFAFQHPNIFRRPPITHITSNIQTNERTVHCEDGTRLENVDHIIFGTGYTWTLP FLPNLAETIRNNRLPNLYQHVFWREDPTLCFVGAIAAGFTFKVFEWQAVLAARFLAGR ITLPPIEQQIKWEEDRINYKGDGVPFTALYPDFDEYFEEVRKMAGEPKDGKGRPLPKF EKKWREDFDAAHLLRIAMWKRGNEEARERIRREKGSV LY89DRAFT_575666 MSICSDTTSSSQTVRLTFNIAEERCYLLSTLYQTCQSAAANYVR TAPVTPRARAIPRYHPYATRSSRVSSAAAKKEGKKTATLMDSISRICTQIWRKARRDL VAPHREEADAVREMRDLYKWSEVVARCMESDGLDDGEGEVGSEVSGTEDDGLGFPGMS AAKAAKRICQWLGDEEAWDTCDTVMGELRDLGEGDGVRTPVAGERGGSSEYGSIL LY89DRAFT_728530 MAAPEVHHLFHHPIADHSFSADKQTLAVARDTSVELYGRTGSGF KLKDELKGHDKTVTSVDIALQSGRIVTCSQDRNALVWEPSPTGYKPTLVLLRINRAAT FVRWSPSEMKFAVGSGARVIAICYFEEENDWWVSKHIKKPIRSTVTTVAWHPNSVLLA AGSTDAHARVFSSFIKGVDSRPEPGVWGERLPFNTVCGEYLNNSAGWVHAVSFSPSGD ALAFASHDSSITVVYPNGAEQPPRAVLSINTQLLPFMSLIWNGESEIIAAGYDCEAFR FQGNEGGWQLTGSLESKGRPGMGDAREESAFNMFKQMDLKGKTKDDTQLKTVHQNTIS TIRVYEGAGGTVRKFSTTGVDGRIVIWNA LY89DRAFT_575434 KSTYAPNQTLYITNLPSSKIQKPDLRIALYMIFSTYGPVLDVVA LRTTKMRGQAHIVFRDAQTATQAMRALQGFEFFGREMQIQYAKSKSDTIAKLDGTFRM PAAAAGAVTATELQQSIFNAPPSAATTTNTTAPALGTLKPPSTDHAMEDARSPTTSVA GQKRRRDEEDESEEDSEGDVAMDEDSD LY89DRAFT_575731 MNLDSASSSSGNPTNPRTSRGSIAAQACETCRSRKQKCDEQRPK CGLCQRMKLDCRYREPQPTKKDKTLVEILDRLKSLEGKVDRIPTGRAPIPTGFGPPQP APSSQPSFSNELDSSSYSTPNPRPSQQPSPSGLGRSPGYRHASAAHKILTWPVVQQLL LQALPTNVGDLKTLEQDGPAFIVQIQKSVPLLPLDEALQDRPFVGMQTQATRASSGAR IVFPALTRDVMHRLATAYFDTFNFIYPFMDRQNFISDTLSKVYTEGFDGDPDSVIALL IFALGELALEGSRGPPISEDGARSSGVRGGTAMKPPGLALFNEARKRIGFVLTESELE NVQIYSLAGNPIDWSTPRGDIIKRAYWHCVIMETALQYELDLAPTGIAGLEDRVGMPS FNSPFCEADHRGNQSSHFEAHYASQIALRRLCAEIHNSLYDAMSNTDTGSGSSDEFRG PSAQSLNQLAAQLSQWRGMLPKELQWAEEDPAGFPSVQPLNVGPYNQTLDPSLSPQQS HSRAPLFTADSSVEPIHYPYVYDVQVALLRTRYYYAKYIVYRPFVYKALHFPELMTTE DAQGVAECLRSCLKWPLLLSPTSRRKRLIPYLFCWNQHFLGILIILNITQHNPMLRDI RAQFCGSRFEAEIGESVDLMIDWIRDSRICDPIADWCYKILQPIYNLES LY89DRAFT_606887 MVLSISRDQLLLSLGILFLLSTCITLAINKRQRDAMLERLHFHR RRESGASTPPRSFSPSKKAPIVTGGSPDYSTTFPPSRREVLPELAKTLPTANAQILLK TEPCPDFFLKNPLPMTQSYAVDSDAPKYTPTGFSTAEIKAMGDFPAYDILTETPLPQA YQNFDSTKALPRPYRPFRWAYHQTMSFVKMEPDWWLEVENTYVARIKERQDLFREYGP KVLDYMPGSELVCKELMEMCLLFYCARYPACFSLSEDKKVFHNHLLGNTTEIKRYHPL HILLNNIPEDFAIVLRNEEDGMYYFRAGVICSSLGWNVGTKIGMQLKEIHTPIPDYKE KMSFSMDRYFARMPVNSPIQRGSWGLEVGSPLFMPPGHPHEKLREIQDPDLKIENCNL RVDWQTLRRLPLSGGIVFNFTALFTPIPEFRDEPYVPALVAKILKDGKKTLMDYKGTW HVEHICIPALEEYNREQVEKGLVKPENEGDETWTEGTLNEYPYFPGWEEKWHRQQGF LY89DRAFT_296207 MNWGQSTDKKKDKSSKSITDDVPPPSPTPPPAVPEIGDAGATDD FFADGWGSSKKDKKKKGKTFAFASPEASFHFDDSIKPTEIEEPKAADDIWGTTSSKKD KKKGKGKNIPVEVVDEAPAPEPPVVEESKPANDDWMGTWASSSKKDKKGKKKDIIDAP PPAPTPPAMDLTEPEADLWDFGTTAAKTKSKEIEPAAPPRKLSKKEQKEADKLAKKQA EADAKEAEEKARAEAEAKEKEEEETRAREEAEAKAREEAEAEAKAKAKAPKLSKKDQK KADEAARKKKLEEEEAARKQKEEEEEAERAAKEAEEEAAREAEEQAAKEAEEKAAREK ELADAKKAKKDAKLNKGKKSSTKVNIPDPEPEPLIEFSPVKEKIEIEDKSDVFSFWGA KKATGRGTEATKKETPSKTDEIAIADKAKVLEATNLKDTTTSSKYTATLMKASAITKK PVGGKFADRLKAFESQKEAATPPPPPPPPSPPPPVIEEDKTKSARSSSNKLSKSTSKK YRDKEKEVIIEESSPRKLYKAKDVPGSFPDDLAEDDIVDEPVAMVVEKKSGKKSKSSS KAKAPEPVTEPELDVEVKEPEIVAPPTPPPEPKSSSKKERPRVVRDAGSSWAAWGATP RKEEKKPSKSRKSSPSREEKKSKESDVKRSKSTRKPEKDEKISSKDSSSDKADRPPLS RGLSSMFTAASPISRSKSTSERRTSTSGRTSSRRHSVVVDSIISPPPDDIRSPPEMSA KAAKILGATPVKLSRSKSERQPKSRGKLPECSSSFESMKADKLNSGLDDDDDIVMVGA DEDLTSPEKSSRDRKSRTKVIQNPIYDDRPPPPVPMPPGDIDSPFRPTRRPSTRDSYA NARNVKQAKQDEDIVMVDAGGPSENLGPSGIKRTSSSAKKAAGFGLLGGLLASSSRPE NKRRSTALTDDEGLRGLRREDRKIKRPARERSGTDGLDADITMTGGAAEEDQEARRAA RRARRAERDAAENAAENARRAKEDERRERHRKREEEAEARRIEEKEARRVARREQKAR EEAERQAIEAKEAERAERRRARRAERDSEKAAQTDAEPLTEDPGRLKRSDRRRSHMEG PADEDPEERRRRREERRARRVSETPRMSRRTSAPVVDSYFDPRNGTKSRGADPDFSPA DGPVSRDSSKRKKAGWPHSGTDSWVQETSDAPPPPEDTPNVDIVDENLADENERRRLR KTRRHSRMEGEEDPEERRRRRESRRQSRQDRDTMKSSEGSQENGRRSSRRDSGFVESR APSAQGGLFSRWKNLI LY89DRAFT_296791 MAAVEGILYCADHPRSDYGSATTTIGWDEEDTRIRLKLIARAWI QSRTPGHHGGAPRTKMSVLPHSGYTWPCRWDPTPLKVSGSLDVVRGRRPRPDDQDVNI LEPHTNAYAEKHAHDSDEARITPPSSVRKETDKSLFCDPTMAPSVDFQSQDGFQQAAK KKNAKNAKNAAAKNKWDGDEDEDGSKKEEGEGNNDGDKGGDTGAGGSDDKKEETNGGG NGNGDANPDDAWDSFLPAKSKKKGKKGKLEDPTPEPAPAAEDKPDLFHEIKLDDAPIL DLNFDTGTKSSGGFGAWGSSWNTGTTNTWDFSATTGTSTTDTKSKDTEIDNNPWSINR SKPKKKTTTFSFGALDEEESKPEEPDPPPPAETKADDGFDFGFSSKKKKKKGGVFDFQ IDEEPAKEEPTPAAEPAEDDWGGGWGTTAKSKKKGKKAAEPDPPKEELKVEEPAPVEN VWSLPSSKKDKKKKGKNAFEEKTEEPAPPVTVLEPESEAAAEEFGWGSFASKKDKKKK GKNAIEEVSQADDPPPAVQEPEPAVEPVADDFGWGSFGGSKKDKKKKGKGLIEEVSKI EETPVVVPEPDPVPEPVEDFGWGSFGTKKDKKKKGKIAEETSKIEETPAPDPEPEPVV EVAEEFGWGSFSTSKKKKKGKNAIDEIPKAEEIPVPEPEPEPIIDDFAWSVGTSKKDK KKKGKKDAEDPPIADDIPPPEPEKEKEIEPIVDDFAWGTTSKKDKKKKGKTAVVDEPK IEEPVIPEVEKEKEESVADDFGWGSFSTSKKDKKKKGKSVVIDDEPKIELLPAPEPEK KEEKEEPVVDDGWGSFGTKKDKKKKGKNAIADPTVEAPPPPPPEPEKAEPEPEPVEEF GWGSFGSKKDKKKKGKATAIEETPKVEEPPAPEPEPEPPADDFGFSFGSSKKDKKKKG KLAEEPAKKSEEVIPPPPTEPEPEPEKVDDDFGWGSFGTKKDKKKKGKGVSEEPSKKI EEIPPPPPADPEPDPEPAEEFGWGSFGTKKDKKKKGKNAVEDPPKKSEEVLPPPPEEP EPEPERVEDDGWGSFSTKKDKKKKGKGS LY89DRAFT_574935 MSKTQAIVVQKKGDAKVTEVSVPKVRDDYIIVKTKAIALNPTDW KHIDFLCEEGERIGCDYAGIVEEVGSKVTKGFKKGDRVAGFVHGGNMVEHEDGAFANI ITAKGDIQIKIPDNISFEEASTLGVGITTVGQGLYQSLKLPLPNKPSSSTEKKYLLIY GGSTATGSLAIQYAKLSGLNVITTSSPRNFDYVKSLGAVAAFDYNSPTCSQEIKDFTK DSVTLAFDCIAEGDSPKITVSAMSTSEGGTYSTLLPVPDEQVTAINDKVKNQHTLAYT VVGESFKFGTNEVPAVPADFEEGSKFWELTRELLADGKIKVHRPSVDKYGKGLEGALK GLEAMKAGQVSGEKLVFTM LY89DRAFT_728536 MDQQRGRSPSAGHQQLHINQSHSPSPQPYQDNANSIGLGLGLDN NAGNNNGNGQQFMNGGFNSNNGLPSYSESNEYLNQQGQSFSQGSLGESNYAQSQNFGG QFKSEEGASPFNQQHGSFTQELLNPNLPTNFNDGDFPLFSTPGNSTDQYDPSFFMSEL PSQSSNQQSVNPSQLDMASPQMHQTPTPPNLLQPNSQSPASAHQSPSFNQGAFQPSPN HSRNASLGPESAAFPGQNLEWSNMMAPQFTTHRRTPSEYSDVSVSSAAHSPNLGHHDA FDSIEQHHSPMQHPQDSSLYQEVLSIGNFSLSDPQIQHGASPRRGLSPAHSPTISPRL GPQNIPSMNQPNAFMLGMNNNNGFGQSSLYSQSQESFPQLQNDMGQAQQMVPPEINVQ LAPTSTQNSFEPPKPGNFDNDALTPPDRGRRRRAFTDPNNSGSGISRPHTPSASSTLG SDLAAPSPSSHRSLSPNDRSGASSPSRRRQSTSSLPNRDYILGLADPDYQGSASESGN AKRVQKHPATFQCTLCPKRFTRAYNLRSHLRTHTDERPFVCTVCGKAFARQHDRKRHE GLHSGEKKFVCKGELKQGGQWGCGRRFARADALGRHFRSEAGRICIKPLLDEEAIERQ RLWNEQRMQNMHNMQQPQPIPVDANGFPMDASGNYTLPAALLAQYPALATLSWSELPQ GDVGIDDDPSGRSSFDASGSEYYDEGDEGGYVSSGPQPGYGQAQMNDPYNGYSSDVPR LY89DRAFT_680520 MYRGSSERIAVILDFDGTITTKDTISTLANIGLSSQKDQGIELS RAWASILSKYSEDYSNHIKAYRPVKEERSTLEEELKYYRSLREIELKSFARVSNSGLF KGIEDWEKHGHDAVKEGQVIVRKGFQEFVTSLADCGIVWGVVSVNFSSDFIRGVLKAT VGDKKAKVSILANSILSGGFIVGLEIEERASRPVMATSGAKFSATKRLLYTWGISSEQ EQQTLLYIGDSGTDIECLTANGVTGVVMSDDGQSDLMKRLKQIGIYVGNIQIDQGNQE QMYWARDFDEIVGSPVFKQLTQIHQKE LY89DRAFT_714200 MVLIILPSEYSLGLCRAIAKGGVTNSRYQKDKLQLSSTTTTTPK KLNLLDGEMKIYLLSTFLLASWAHAALSIGAQEPIINPTSSSLLELHKSLIEHESITG NEHNVAKYLISYLKSKNFTVETQDVGPFEGSNKPRENILAYVGKQRKTRTLVSSHIDT VPPYWEYKSIGDEIWGRGSVDAKGSVATQIIAVEQLLAAGKIAEGDVALLFVVGEERG GDGMKKANDLGMEWEACIFGEPTELKLASGHKGIMSVDLKAKGKAGHSGYPELGRSAN SMLIPALNALLNMELPWSEKYGNTTLNIGRIEGGVAPNVIAEEASANIAIRIADGEPV VIEKIILDTLEAVGQKVDVSFGGGYGPVYIDSDVPGFEKIVVNYGTDIPNLKGDHKRY LYGPGSILMAHSDHEHLTVHDLEAAVKGYKTLIEYALK LY89DRAFT_680523 MAVQELSLDVALHIKYWHRCLKNVLPTGYTSMDSSRMTLGFFIL SALDLLGAGAETFPEDERANIKDWILKCQHPDGGFCGSPNHKYPDAAYVDVGHGKQTM DPANLPATYFAIMGLSFVGSLDGVRRDDCLRWIKGLQREDGSFGEFITQDGVIQGGTD MRISYAAMAIRWILKGDYDSSKEDGADIDVDQLVKYIRSGQTYDGGIGESSRHEAHAG YTYCAIASLKLANRLSKSKDSEPISGLTNVDSTIRWLVSRQTGYTTESDEEEEGDAEH APAVKLQGIYTEEPAHEDEEFVGFNGRCNKRADTCYAFWVGAAINMLCQEKVELLDIP AIRRFLFEQTQHRIGGFGKCPGDPPDIYHSYLALAALATMKEPDLKPLDAALCVSIQQ KEKIEQVRKAALV LY89DRAFT_704132 MALISAATIITSLSLFHITLAYFFLVSPGAIADQTLVFIIGEAM GLPYTRSFDNQSPPLAFLSAVLAVIGFSDLVSVSLPEEISQYHWGSQAPVRFLLFLCL AVYSYVFSDTSPLYSSKSYSPSSWGEGLKNRVLFTWAFVEMITWFWIFVTLREERRET AVRKQQRRAAEEDML LY89DRAFT_636366 MDNLQDRQDVPIWNAIEAKNFKQALKLVDKRLAKKSTDYLEALK IYIRSLLPQVSEKSAVILHLEDVVARKAVLSDLAAIELYEDALEEVLPGSREVWIKTI GELRWRCIKAVPKNEDTSLACLQSCLSRDDLDHARQIANSLEKNFPNSHLYIFWNITS MFMFSISPQCPENQRKIWGGLALGQIGKLAAATRQATDMKQLPIRSIQTPQELLLLDR ITEVFGKSENRLEYLQDKHLGPESTVAKGEWSLWRLRLKLMTEANHWQDLFDLCGTLL KRARTPNDSNQLVEASFSDWTVWEAYLKSASKLENRGNYNEIREEVEAHLDPACEIDK GWKRNASLAKVKLSFECSASFSQNAPSIGPSDKLSSQPNVILDYLQEYGDASTAYNDI RQFVEVLKPDERSQLMSMLEDNTALEKPQQPTGPVDPEAADISDSRNLSTASKITRAV NRLKLKHLIKSSIPEQERRQSFAETQQFKCSSCANDCGVFCRSCLVQLAEESVQSYRS AISDDGQITKSLLSTDRHPADDFCVLAAMCLIKLGRSNISPHSENLKSSGIVYLLQAM VLLESAWLRSKSNFQLSLMLVRLYSHLGCGSLAMRAYQRLFLKEVQLDTLSYTMFDRI STLHPHPIHDSSGGSSNTRTLIEQLQKQQKLYKTSREHINKNIWLSFKHGSYNSIFEF REVTQKLSHSISAVMSVVETNRIHRFITSKVPAGAIRQMYGLIPADVESRERVLSDNN DYETFPNFESSRAPGFEELSRFIPGPSESRYRVTLLAERIMLIVDPSPELNGERSILQ QSLSRHIKQDSTSKVGVKSMTRAEQIAAHAHRAMAIILTESCDQELWLEGDFKTRLEG LNQELSNRLEELAELIGAMETVIPAFQTTLNAIYTAYEVGRSALNFCKYLSTKGKAVH LSQTEASKKVTQAAEQLIRVVMEKCAFIKKGLDEGGWIDKVLESVFPDSQTGSELSLT EAVRKVTDEVFMEEWAGDVVESWKDSIAGFSYIKIPTKV LY89DRAFT_680528 MSSNDASSEADPWDAKTSQTFESKRAGEYFDPCQEAASRSLKCL HRNGGDREMCTDYFQAYRDCKQQWITARKEAKRKERKGWFS LY89DRAFT_606913 MATPDIYLPTGAPDPFSSKMINSPRLKSSPKETQESLKRSFAKY LAADHGSPAVGKLFQPDRHIQYEEPAHIHTMEEIGFPASKGVSPVAVSDPFRLFSEEA VNIMRNEIFDPEVQEKYSYTSDIAPKQLRGYAPKHGKFIFEAWKHPETLAIISKIAGV ELVPVMDYEIGHINLSVPGMIQNQGMEEDALVGWHRDSYPFVCVLMMSDTTNMVGGET ALRTGTGEIKKVRGPSKGCAVVLQGRYIDHQALKAFGGQERVTMVTSFRPRSPRIRDD TVLNTVRPISNLSDLYGQAVEYQLENAETRIRQMLKNVRDSMKAGATDVQAIKSFLDF EIKTLSRLDGEIVDEAMVKKGSLADVCAEAAEPKRRKKEE LY89DRAFT_714205 MSSRPRFDAAAQNSNPTYKASLTPPSSKSSMKEKKQVSVRDRDS GSRETTPTAKSGVMIKSDTQLATAFRSDLMNIKSTVTCSICDQLLYEPYTLACGHTYC YSCLCNWFVPNKHKKTCPECRARVKQIPTPNYIVKSIVDVFTKQIELMPADENPEQHV QRRAEEIAEVEKDRHNPAGLFKGTFSRGPRRKRLFRDEEDGGVLRCPGCGHEHEGGPA CAICGEEIDEYPYDFSDFDEDDADLEIEDIDNLELDLDADEINAEFADMHGHHHFIDL PNFGPAAPHLGNMYGHRFLHPAVLEEVSDLSNSEGSENIDSEEDDNSLDGFVVQDDDD EEPIRVPNNRRGRPTATQHFTIDLTSDDESDEGGAISNGRLRRRRGSQRSTPPPAVPN ALSISDGSAHESDFDETLSEAEQRLQNAGWSPLDNGHDSEIEIRMPYDHDYPAYGTSE DDEHGDGASDTTNTSTIGGNVNYHERERREEDDLSDSSHSETPTYHGGNYPRDTSPFY RGNYAGQYQYLGEEEEDDEVTNGYSTNTSGVMDRDGDTEMSASSRSRSSSSVTPNPYA TAYDEVFYAREGSEPVTEYGDDYGDAHESEPEYHPREARDVSEQRTDYGEDRQLDSES DYGYMTPAHTYVANAVHEPEPEAESSDSSIRPPNRRQPRQPRQYLAPSREGVQVHNYH YNPFVPLATESRQRMERGSRANPICFDDAGDDWEGDVRSIIEPSSRTRRMTAYRDMPT RRIDPLRSSRSPSASRVMSSSYRNSRYAPQYSRRG LY89DRAFT_297647 MNDDYSPRFELDHSFLRTWLTYRPDLVEKDRRFILDTLVNGLSR LEYRGYDSAGLAVDGDKKNEVFAFKEVGKVAKLRQLIEDEKPDFTKVFDSHAGIAHTR WATHGPPSRVNCHPHRSDPKWEFSVVHNGIITNYKELKTLLTAKGFKFETETDTECIA KLAKYLYDQHPDVGFTDLAKAVIKELQGAFGLLMKSVHYPHEVIAARKGSPLVIGVKT QKRMKVDFVDVEFSEDGPLPAEQASQNVAMKKSNVGNFLSAPGSLLAPPDKSLLHRSQ SRAFMTDDGAPMPAEFFLSSDPSAIVEHTKKVMYLEDDDIAHIHEGSLNIHRLTKADG SSNVRNIQTLELELQEIMKGKFDHFMQKEIFEQPESVINTMRGRLDLENKVVTLGGLR SYISTIRRCRRIIFIACGTSYHSCMAVRGAFEELTEIPISVELASDFLDRQAPVFRDD TCVFVSQSGETADSLMALRYCLERGALTVGIVNVVGSSISLLTHCGVHVNAGPEIGVA STKAYTSQFIAMIMFALSLSEDRASKQKRREDIMEGLGKISSQIKHILELDQPIKELC ARTFKHQKSLLLLGRGSQFSTALEGALKIKEISYLHCEAVMSGELKHGVLALVDENLP IIMILTRDDIFAKSLNAYQQVIARSGKPIVICNEGDEEFKTGKAETIEIPKTVDCLQG LLNVIPLQLMAYWLAVAEGLNVDFPRNLAKSVTVE LY89DRAFT_704136 MRLECPSFRPAMSITATSNIAENVISIDALALKQVDEEVQYAIA NHQSYLRPRSKEAFTLYFFLVFAFLNATSSGFDGSLMGSINAEATYKNFFHLKETGSS TGLVFVLYNVASMVGCAFGGPIMDYFGRRKGMQSGCLFTLGGAVLASAAQTLPQFKAS RFLLGFGIILQTLSAPVYVTEIIPPQWRGRLGGYYNTFYFVGSITATGVVYATSRYTT TLAWRLPLALQVIPPTIVFIGCFFIPESPRWLASRDRMDEAAKIIYRFHGGEDNEVAK LEVREIALHVKLSKPQTPGEYIKGLWDYRELFNSHSARWRTAMITLLTFASQLTGNNI LTFFQPTMLAAVGVTSVRRKLLLTFASSIVSCSGAVIGSATNDWIMRRTRFVWGSFSL ASALALVAGMSSRVAASTAAGETPSKAISGVGIFAIFLFGWIFSFVYTPNQSLYCTEV LNQEIRAKGISLHALESNLATIFFTYTTSIALGDISWKYYFVWIAVDVVAGFLWFFFG VETVGRTIEELDTCFEARFPPKASWKRTKLVKNENEELGVKLADLEA LY89DRAFT_297344 MSDPERENALSSFKTKLLESREWESKLKSLRLDIKGLQKDFDHT EDNIKALQSVGQIIGEVLKQLDDERFIVKASSGPRYVVGCRSKVDKASLKQGTRVALD MTTLTIMRMLPREVDPLVYNMSLEDPGQVSFGGIGGLNDQIRELREVIELPLKNPELF LRVGIKPPKGVLLYGPPGTGKTLLARAVASSLETNFLKVVSSAIVDKYIGESARLIRE MFGYAKEHEPCIIFMDEIDAIGGRRFSEGTSADREIQRTLMELLNQLDGFDYLGKTKI IMATNRPDTLDPALLRAGRLDRKIEIPLPNEVGRLEIMKIHAAGVVTEGDVDFESVVK MSDGLNGADLRNVVTEAGLFAIKDYRDAVNQDDFNKAVRKVAESKKLEGKLEYQKL LY89DRAFT_680537 MAITKIHARSVYDSRGNPTVEVDLVTETGLHRAIVPSGASTGQH EAVELRDGDKSKWGGKGVTKAVENVNSVIAPALIKENLDVKDQSKIDEFLIKLDGTAN KGKLGANAILGVSLAVAKAAAAEKGIPLYAHISDLAGTKKPYVLPVPFMNVLNGGSHA GGRLAFQEFMIVPSSAPTFSEALRQGAEVYQILKSLAKKKYGQSAGNVGDEGGVAPDI QTAEEALELITEAIESAGYTGKVHIAMDVASSEFYKEDAKKYDLDFKNPDSDPEKWIT YEQLADQYKNLAKKYPIVSIEDPFAEDDWEAWSYFYKTSDFQIVGDDLTVTNPLRIKK AIELKSCNALLLKVNQIGTLTESIQAAKDSYAAGWGVMVSHRSGETEDVTIADIVVGI RAGQIKTGAPARSERLAKLNQILRIEEELGDKAIYAGEKFRSAVNL LY89DRAFT_297495 MPEKWDESEEDSSSASSPALKPTIATRRKFGDEEDSDDVLEAWD EAEDSEVEREKAKKETEKKALAEAQAALNKKTKAQRIAERQEEKARRLAEESESSEEE TEAERRERLRITEQEADLRHAEDLFGNIGISNSRKVTTAANAVQIDSKDPTSTVDLTS LPLFDPKTKLQFEKLRDTLVPVLSNNSKKAHYVIFLQEFTKQLAKDLPSDQIKKIAST LTALSNEKMKEEKAAEKGGKKSKAQKTKVALVASRNAVGPDTRVYDDDYVMISCEQAF LY89DRAFT_680540 MSENANPAPSGLDDGANGKTQNGDVEKQGDSHGKLSAAKDKMTE KQQKIRDKNNPPGGHDDTPIPRAADGYTVKFTFHRAANLPMSDLNSRSTDPYITATLT SSLPKRHKEDPEMVLRTPTVHKNTNPEWNTEWIVAGVPSSGFRLKCRLYDEDPSDHDD RLGNVTVQVDRIGSNWQGIKNESFDIKKRMGSKRAYMIRGCAAMLSSSVHMGGHLWLS AQVVGESEPPHGRMYTIGVTSYFKHYSPMIGRLAGTKAPGSSKGPPNGDEPKTEKYDF QANQFQLQGPVPAELYHRFVEFKPFVKGMFSKAGLRGRVLNKALHHQHARVYNFSNST EYGIVKPRSEEAALQFLKMVHFDEGGRIFTYVLTLDGLLRFTETGKEFGIDMLSKHTM HSDVNIYIACSGEFFIRRLKHPNESVDAPNQETHPNADLPGGPPNSPPPTSPKNYELV IDNDSGTYRPKGELLPLLKKFLNENFPGLHVVTKECTDKGLEKMKKGQRERKKKEGQN VTMVQNSDDDISSSDEEALAAGGAKKSKKQRAFDAVEDPSQAFKDMIPGEKGRETREA NETAGDAPERGGEVPSV LY89DRAFT_297732 MGNVSSIPGDGSALYLRDQTRLSISSLSVINSRRRTVLNVVPNS FPATRVSATRDLGDNSVVEYVQDPEPLPSTPTPNFILKLNNDEELTFKFTFVLRQSQQ IVTGVNGAQEATNLVDTNINGLTYVSASTAKEVETLVTREFHADPNLHKNANVQLVGD YSTGGSQSVSFEWSWKWRPPKAVEDRGGGWRNDCSFVEYDQRAHRLNTLASFSFWVSN NQGYLSQPNSPSPPFNLIPPPKLRVPSSQSMDSRVSGTDTREYDEPPSPHMLPAEPPL AIGPVPPKDIIKVDVSCQRPGEDMSATEDGPLFRATMKALEQKTGNMRQRMKKVLRRA EAAHMAQIECNDAISGFMDALREASTSNANAVQPALEHYFDKIAREILGYEKQNTVNL QRIIIDPLTKLYNLDIKQAEAKKRDFEEESKDYYAYVSRYLGQRQDSLKKKKAAESDT KYQTKRRNFELKRFDYSSFMQDLHGGRKEQEVLSHLTKYADAQTKSYLSTAKKVEQML PQLDALSAEVQEADKEFQYQRTEREEKRRNLEKSTITYVEPDTVPAVGIIPPPTSYST STTSAYVSDGELGRSDSSASQLRAIPSNGTTMTSSNGGAMEFSRSPGSLSSTMGVVGS PGPNSKFKGIRDLEEKDHSQITNSEKLGTQRKEGLLWALSRPGSHADPRGLNKQAWHK FWIVLDAGKVSEYSNWKQRLDLHMEPIDLRMASVREARDAERRFCFEVITPQFKRVYQ ATSEDDMKNWISAINNAIQSAVEGRDMRDAPAPAPHQESHSIRRDIGSILTGKSSSMN HGNHYNNAQGSGASNNNVFRRTTVGARPAYNRQGSNGYDDSPDKLLQTLRDADQGNCW CADCGSGVKVEWVSINLAIILCIECSGIHRSLGTHISKVRSLTLDINSFTTDIVELLL LVGNRVSNMVWEAKLDPALKPSPQATREERLKFITAKYVDRAYIESISSTLSRYATAD ETLLAAIKKNEIQQVIYALALKANPNVCDRSRGTHSVFLALAAADPASPSPVASPSRP DTGVKVTAFPVAELLVQNGAEIPTSLPAFPLSRSAALYIEQKSGRSGQPSDSLGALPV MTPDKQQKEREARLQKRVSAGGRLAKAPIPER LY89DRAFT_574754 MASPEAGSTFAAATALKCLSSHEYEANFVDDWCIGSVPHGGYAT ATFMQVASKHFSSTLSAQNQPHTIAVHLDFLRRTSAGPALFTVKDTKLGRQASVIHVT LSQEGREEVIGSLTQGNISAEEGVSFDTTYSLDPAPLPVNLSHLAKDQDENWARAREM PFASFRKATQKTMFHFPRERQRKRSQADEWVRLVSGEKWTDASIGYLADMWQNPYDVK NMEKTAGEKGPAKFWYPTLLLNLDIKKSLSEKPCEWLFVRVNSKRIQNGRMDLEVVIM DEEGDIIALSHHIAFALPAARNLAARRTGASKI LY89DRAFT_636394 MQTARLKAESQGRKAKLANSYHELLEEFSSKDLRSVGNYTLGRL IGKGSFGKVYLASHKLTNGSKVVLKSANKDDSNLAREIHHHRQFIHPHIARLYEVIVT ESLVWLVLEYCPGDELYNYLLKHGALPVEKVQKVFTQLVGAVTYVHNSSCVHRDLKLE NILLDKHENVKLCDFGFTREYEGKSSYLQTFCGTICYSAPEMLKGEKYAGEKVDVWSL GVILYALLCGELPFDEDDDNATRTRILTAEPKWPDHLTPDARSLLGLLLSKRPIIRPS LPDILAHPFLAEHAPQQQAILKLQQPPPFATSLEKETLERMRSAGVDIDQVIENVLAR RCDPLAGWWTLLIEKEERKAIRRERKRKEKEAENRASRRLSGASSRLERMAPTLTGVD EEGQELRLGEPPRSRGRKERRSAHYPDLILTDLPGLPEHSQLDSPEVATPPPPIEKDS IRSASSSRHRRPIPPPKEGTIRGAKSRGSTLQLVTSNPDLLNPQANGIVQRPPRRKHQ HAFINQLAHWKHWLLDSAKRAKSPGKRMSRSTPDLLQKSANSSRTGPKEPSPRPATSK TPPSARPLLQPGPQTHPPVARIYTTPTPGNKRHSLSPSPLTPRSTFRRSSTGLRGRKS TSSSVSSVRTIHHHQHTHSKASSTSSNGSGSMSKVSLPSRSPHHSVKVLPATPTTSAF PSNIRVVRQPPISSFNEAPWGQPVGGLVFAKRKKNIFKGPMLNTSSSSGSANGSGHNR TGSHSRSASVAGRRSGEIIEEEDEDEIEEVDAFSPLNGPEEIEEVYPDAETTVNGAED KELSKPS LY89DRAFT_680544 MNHLPQAWGRPRDDVYGAYDASYLQSNGPNQHTQSPIVTGTSVV ALKFKDGVVIAADNLASYGSLARFTDVKRLRTFADTSVVGFGGDVSDMQYLDRLLNSL DTEEAYSTSGHTLNAKNLHTYLAKVFYKRRSDFNPLWNQVLVAGLDGEGKPFLASADL LGTTFSSPSLATGFGANLAQPLLRKVAPDEEAASKLSQEQALEAVKESMKVLFYRDAR SLDKYSIAVVTKDGIDLKEDEKLEKQSWAFADQIRGYGTQVN LY89DRAFT_704145 MASLVNYGSSDEDDSIQDESPLVDTMSGAVSNPDAIRDTMSNIR IDNQPNANHSNGVHSSSHRHPPLPEPDAPLLGPTIAQADVGELLEDDTTMAPQSPYSS NRALLRDLTLPTIPNYNIPSSPPGSPQESTNAKFKHFLQLKKQGVHFNEKLAKSSALK NPSLMQKLMDFSSIDEAGQYESTLSKELWNPNSFPTYAYKEELAKSQQKILKRSEEEK QRGQREAVDFVPASTTGESVSRGGTPGQSSRSGQKSAAERVMAGLDRSSLQGQGTKRK TRFD LY89DRAFT_714214 MAPREKKGQLKRGRGGASEDKDAKKPRRSTRLSQPQTTPVSKKQ QLPSPITHQESSSSDLYKEATKTPEEGRPSQIHHREYNALIDYNGVSSPPNDTQAFTQ ANLTNGLSNEVEDEMEEGVWGYLLPLDQKYGKSLIMKKRTACPLPGSMDHFGRDGGRR QSKHGKGKDFEAEEEAYEETKLQGIASGGYLIGRHPECDLIIDDPIVSNRHCLIFTEN KGGDTIAMVEDLSSNGTFVNEGVIGRNKRRELKDADEITVMDKARFIFRYPKNRDSSA FLQQYTLLEKLGKGHFAEVFLCIEKASGQRYAVKIFSKQPGVEERSKTDGLQQEIAVL MGVSHPNMLCLKDTFDEPNAVYLVLELAAEGELFNCIVLKQKLTEQETRKVFIQLFQG VKYLHDRGIVHRDIKPENILLTDKDLHVKLADFGLAKIIGEESFTTTLCGTPSYVAPE ILEDSRNRRYTRAVDIWSLGVVLYICLCGFPPFSDELYSKENPYTLTQQIKTGRFDYP SPYWDSVGDPALDLIDRMLTVDVDKRYTIDDCLEHPWTTQRSLNPNDSTDGLVGGIAN LDFSKRKMARERTLLSSINDVKVTKVIEIDSDKDPVKVYIKNPNSKASQNRVNQELAA TVNGKTGGKGKKGAAKEETPSQNRDPAEFMGLGGKGDQELFGEDSIYSKEESVVA LY89DRAFT_728554 MPHRPTKEELLAAATGFWSRLKVRFKWFSIRSVRPWNIDDWSAF VSWFVLGNIVWILVGTTTFFSLVIFSINTVVAQETLARWIGDYLTHSAGVKVVFESAI VPKWKDGVITFHNVFVSRRPGQGKTKVSKGSSMTAAAAAAAERQAELEGRKETEEVVV EEEEDTNYTQFDLTIGTVNVTLSFVKWWNGKGLLRDVEVKGVRGVVDRTSVHWGGDYV DPRTYLHKHHAGDFEIDSFKMEDLLITIHQPKGFRPFSVSIFNCELPQLRKQWLFYDF LSANNMSGAFDGSLFTIHPRQMHGLAGARHDEEADEASPWKKQSRLRIDGLKIDHLNR GVEGPFGWIQEGNVDIVADVMFPADTDDSIAKVMSDFYDRMEATVTSNRYLHILENSP RTADLNTPENRASFAEKVKKDDDKRFLVMDLRVHLNDVKAAVPLFTRDLSYINSALIR PIVAYINSQKTFIPINCRIVKRASEFDGSWTIFDSGLMEDLSAETYEAFAKDVVDSQA RMRRLKKVGFWSISLAIQALFMGMAGNVA LY89DRAFT_704148 MPAPTALLRKPQELADAANIPLPTQDQDDELLLDAPSEMPTEAD TVQPVEGDTEMHIDEEGRPRFAPAKQAQSDFRVETRKVPIPPHRVAPIRAAWPKIYPP LVEHLKLQVRMNVKSKSVELRNHKQTTDPGALQKGEDFVKAFSLGFDVDDAIALLRLD DLYIETFEIKDVKTLQGEHLGRAIGRIAGKDGKTKFAIENASRTRVVLADSKIHILGG FKNIHIAREAIVSLILGSPPGKVYGNLRTVASRMKERF LY89DRAFT_680548 MSFYNFPGFSAPDTSFHPLFRLLDQFDQYQDNTGRRHHRSQMKT FNPKFDVKEVDDSYELHGELPGVEQKDIEIEFSDDHTLSIKGRTERSYQSGTPPAGFV EGPTASGAITESGEEHKDHKAYVEDEEAGAKDANTEVAKKDSEQPKEPQAKYWVSERS VGEFSRSFSFPVRVDPDAVKASMKNGILSIVVPKAKQQSSRKITIN LY89DRAFT_575586 MTDPPARSQPAGDLPNSSQSSRPNVPQLATPVSYEGNDPRRAYH GSSQPNLPQGSFAPVPQFPQQQNSSPGRQDSFGMTSLGSALPEVFQGYGSMPSQRYAG SHATSSLQYHPQSTQYTAPAGMTSPSAMPYNIQYQPQYQGMYAQSHNQSPTNTGTASG LGNQFYQGQIFMGQPQQAGGPYFVQPNQYGAPNPMFMSTPSTGQYGLGRGYGAENRLP NQFRGTEYLEGHPAGTGPGRSSSIASSGGQSSVVRGPPRKPRQSGHAIWIGNLPPHTD LMSLVLHVCKETLGLESLFLISKSNCAFANFKDEESCAAAQAKIHDSRFQTVRLVSRL RRSSGAGVSAATPTGPAALAPPANPPVARTPSPETDTKSKDAAEAEAEVSGIATADDV AQPKDKFFVVKSLTVEDLELSVRNGIWATQSHNEEILNKAYKSADNVYLVFSANKSGE YFGYAKMTSPINDDPAAAIEFAPKAHTVEDPELPKAIPTPATEFAPKGRIIDDSARGT IFWEVERDDGDGSEDEDETHSNRSDQDAESTARKAWGKPFQVEWVSTTRLPFYRTRGL RNPWNSNREVKIARDGTELETAVGRRLLGLFHRLPSPVGPQIPIMGGYHPQMRFP LY89DRAFT_574721 MAAMFSQNPLMNGPNYSFNQAPTTASGNKQHGFYPYTDNGGSTL AISGNDFTIIAGDTRSTSGYNINTRYAPKVFKIGGTTDSQDDATLVLSVVGFAADGHA LKERLDAIVKMYRYQHGKPMSVKACAQRLSTILYGKRFFPYYVTAILGGLDEDGKGAV YSYDPVGSYEREQCRAAGAAASLIMPFLDNQVNFKNQYMPGIGEGHALAEREKIPLPR NEVEDLVKDAFDSAVERHIEVGDGLLMMIITKDGIEEIFKPLKKD LY89DRAFT_777530 MDNLSRNIRRKALQKIAALSATSSATTFDGSDLDRLSRGCPNYG NTYGKSNGYTSASTASARRSPMNIKEFEVLLALCKAAPLLQEASSASKLAEQLSPYML EAHEQPFVPSPFFREIEPRPIEALAYNLTTALLSLGISHEFLRESVSEKLWAYLDNCL DAAEAASSSQGVNFEGTASELEEALRLATITISILGFLDAAATYANFWSAPERLGLIE RIQAILSEGYLVAVETAFSTIRNSHLQNNHLKEWKRYVRLYSAIGRPLGAMLLQRSFM WLLVAGSSLLVADIEVLRGSDILDLHMSGAGLSRPGSPRTGEVDFATLETMADIAADE MSLLEDGADYLRLGSAWQQRLAFSVKAGALTSYLNCAMLNEDAADSDTLMSWLEDALA DSVQMADETLASVVLRSMAVICKLSPSFAPNVSRLLPRFIVQGGSRGQTISVASNSLA FVLQILSQDAIITTLYTLGNVLSSGSERALGVNNELALDGSTNSKFYDGKQSTGSSIS LTISGEEETSVVYGNVVLAICGIATACNDPKITALAQSMLLQKIDKVSRTIDARIVAE ATRLALSGGAVEFRSLLKLYSRICHEGVVHNNEALLLAVLRGRDFLSSSLGKESPLYE IYFEHLLESIISLGDVHQAQNTREADVEVAAKEIGQLLQPLAVLMSSNDLASEPEISE DTQSLIRDAWFNMVVHGFCTNTDRGKQFLNEMRLIAIHSTPLVAEQRGEQVESDIELN TVLRRGMSSDHEATQKKRLTALLPSRASEIRGLSYRKVIFLHAAYLVETLRADSGDCT KALTYFLEPSMRRGDMSSCMESVMNAVMDTYLRKTLVGANRTFTAPYVAKQLAVIFSG CCYRIERVQQAAVTCAERIIRDIPSALCQKSSLFALLELLTLMWSSCLEAETDEYEWK SSFVSSRGGVAIELSDDFELRRRTLNSLYRRAKAWVTSVINIAPLDVKGLLQTYLSDY DDDGAYGHISLGRSFASEMGAFIPSTDQRLGAIDRHGDCNINTASDFVAQYTTRQEYR YAEALPDHDAEWLQFMHLDNGRQSVASKGEKDFEDAITVLTHLQARTSQHKYIPIGEL RDILRRAAALLCRTKNDECAIVHYLVAIPFSIFTKQSIKLGISLWLGVINENPRMEPR ILVEVAQQWESTVHRRLGIFNNKFLHPDPFYIKEEFAPSDRAVLSKRQQVAHNLLAPH SRLLQFLSSHFNATRLGSPNTEKVFLRLLNVTLNGLKHATGHPLAREIRFQIILFALK VLRHSIGLSFSARCFLKDRILSAALSWFSFAPCWSFGGNRLQLKAETRLLTDVAGALK NVQMIGEKQTSLIKSLQAKEALLQALIESEQVRLSVWLYPLSEPRDMYSPATGSKGPA EATILGLVRTAWTESPSLAIQLISRFSSPRLHKEIRWLLLNFPDKALAESEALPVLLG EALPNDVSFQLKYLLYWAPVNPITAVTYFLPAYRNHPFMLQYAMRALESHAVDVTFFY VPQIVQTLRYDALGYVERYIVETAKFSQLFAHQIIWNMKANAYKDDASAIPDAIKPTL DHVTDRMIESFSGVDKAFYEREFSFFEEVTSISGKLKPYIKRPKPEKKQKIEEELRKI KVEVGVYLPSNPDGVVIGIDRKSGKPLQSHAKAPYMATFRIKKNKGEMEGTDEMLEET NQNAAVPQENTIEIWQSAIFKVGDDCRQDVLALQMIAAFRGIFNDVGLDVYVFPYRVT ATAPGCGVIDVLPNSISRDMLGREAVNGLYDYFISKYGNEDSLRFQEARSNFVKSMAA YSIISFLLQFKDRHNGNIMIDGAGHILHIDFGFCFDIAPGGVKFERAPFKLTQEMMAV MGGSTDHQAFKWFEELCVKAFLASRPYTEKLSQLVLLMLDSGLPCFKPETLQHFKERF VLEKSEREAAEFVKGLIKRSYSSYSTGVYDGFQALTNGIPY LY89DRAFT_636425 MATSFNLNSKAASGFKNAANYDAHRPTYPAEAVEKLLTHLGVAD DTNAQVIDLACGTGKFTQLLAARPENFDILAVEPHAEMREELVKKNLGSRVKVLDGHA ASMPVEEGWGDALVAAQAFHWFATEDSLKEIHRVLRPGAKFGMIWNLEAYNSPREWPS ATTWEQKLKEIVFNLEDGHPRFRNMKWKEVFDNQQDTTPLQTLKDTFTHNFPTFSLPL GEETVQWTVYMSEEAIWGRYTTLSQVANLSEEKREEIRKQVFTVLKEGDTERNENGEI ALHGVTYLCWTSRI LY89DRAFT_680555 MENGNTTQAEGKDPSAFLSQIIGNSVTVKLNSGVIYKGELQSVD GYMNLALEKCEEHIDGAKKRCYGDAFLRGNNVMYISAD LY89DRAFT_714220 MDDPFEDEMPQAAPPPPKKRSFFSSAAIAKVAAPAEPMDLFSRA KELHPQILADEERKRQRRLMKLERKRSSTSAEISEITPPEDKRRRLSAQGKGHNIYSS DESPNHDAESSSRTRRQSSHSSPGSRRSRQGSDTHHVQGSPTSLSARYNKEINARKLQ SPKSAVSTGCITLSDSEDESPKRAVLPVRRQPVNLDDSDDDDLPQPPRTTKPIVVDDD PLSDEEYPELVAAAKERARLKAEEASKSLKVFGERNHAPTQSRVDELDDIFEIGSGPA NLDPSVEIFITSMMEGTTPLRVKRKISQKLEDVRFAWCDRQTIDGEPMGPGFRDIVFL TWKSIRVFDYTTCTGLGLKVDALGNLVSSGNGMDSDGRIHLEAWTPDAFDVYQKRQAA KKQKELGLTDVDEIEEQAQAKANKTKLIFKSKDLPDYKLMVKSSTPIERMVEAFRGAY NIPDEKTVTLYFDGDKLEPSDTVGDTDLGDMDTVEVHVR LY89DRAFT_680557 MAENLSKSPPQFGRIPRPRGWISLSSDEPNLMALHGSGTLTNLI KSRSHASLSNLHRRASSFRGGRDTPEEEDPNPFERTRRESRDFEDGDEFRRSEERRMS VILNGPQMRSQRLIGNSNPRYKWERYWKAEDDLKQMKKPLREYYERTNSLVQQYIYID RLLDSSLPHDLLNEYNDLPSSGPSTAGLRDSVEVPPTITEEPRTPGSESDLTLKPANG DGTVTKKVKRTPRDIYKISNELSPLLGVEEDGEDGPKPEIPGFEDDSVESGDAIVQVA IYINLAANAILLAGKIAVIVLTSSLSVLASLVDAALDFLSTAIVWTTTRMIERQDQYL YPVGRRRLEPIGVLVFAVIMVTSFFQVALECLSRLNSGDHSIIELTLPAIIIMSSTVV IKGLCWFWCRLVKNSSVQALAADAFTDVIFNIFSIIFPLVGFYAKLWWLDALGGLLLS LFVIFNWAGVSSEHIRNLTGAAATADQRNVLLYLTMRFAKTVKQIQGLQAYHAGDKLN VEVDVVLDENMSLRDSHDLGESLQYVLESVPTVDRAFVHLDYASWNLPTHMQQQSE LY89DRAFT_298339 MHECLTFADSFFRSATEEIHHEPFSIFVRLYYEHSIRAFCFLFN PSVSQIYTHSNLIAIFGFLIEQAFCMTTKEIGSHFTYIYYMPKFPKGFGRRKSTANAF EDGTEAPVEHSFKVFERPDSGSKSFDGGAKLGKTAIAAPGRRPLSHLDDDNMFENIGS NR LY89DRAFT_298335 MHGREEWRSPHDKPFNDIPMPPVPKSTAAFSLKNAGRTLSWGRN KPTPPSPPKESSSPTIEEEQQSGRSRAVTASSYASTATPPKLVDRDLGLSLGGDFSDM FSGFGKRKSVVMDAENNRAMSQSPDTLPTGPANRSYTANRLNPPSALSIDRNREVEAS PYSWSSQHSRDGLISSSSPPPFAPRNETPPPPVPQHGRAYIESPNSFARPRPGGLANN GLRRSSAVMEPKRQSTLEYNESVDEDARLLRESINAARQLNDPGHRARDSWLVPSTSP YSKMDEPTTYSSWRTPSTETTPRAKKAEQKPDEDNLFDTQIAAAANVANRYQMKKSWT TPATNTPPQNKVMTPAQFERYKQDQERLKSVGGQSKDKEEDNEDETYDDDEDDAEKAK QAAKQRRKQEAHMAVYRQQMMKVTGEAPSAASRPSMFATQSSPNLAMSGKSSEEAEEE DEEVPLAILQAHGFPSKNKPPMRSMGSNPNLRSSAGSTAGGVVGSGNNLPVFARNLPQ DPYFGASVVNPMHRESLSFGGGSGSVSGAPSRGLPPGGLVGVIASEERSRAMRRGSPN MQGEYGPSQPPCMNGMGMQPPQMGGSMYNGMGGGMPMGPMGPMGPIIPMMLTPGDQAQ IQMTQQMQQFMQMQMQFMQMMTGGGAQQNGHMPHASMGDMPRPGSAHQLRPAPPQHQR AMTMLEPNTAPWMQNKPGSLYAPSIHAQGNGYAPSIAPSERSNVGLPGRYRPVSQAPV TNENKGRTSSMMSGALQNWDTKNASTTIRAINKSGNVSDEDDEEGWEEMAKKREKKKS VWRIKKDKDNNGGLREMLHFA LY89DRAFT_714223 MHFPTEFLFLIAPLFVEAQGTPSSTSTAPVATQSIQVGAEGLSF TPPTVTANVGDIVEFRFYPQNHSVARADFETPCIPYEDTGPGRVGFWSGFQPIAVVLS NPPTFQVVINDTEPILFYCSAPGACINDGMVGVINPNSTETFAIQQAYAKNSTLMFSP GQYFPAETLSTTATTATATSSSTASSTAKPTSTSLPVASSSPSLSGGAIAGIAIGAFS VLVIGAALIYLCGRQRTMGEIIRNSHYPPPPPPTYQGHMSMTSTIYQPKGPNMEVDAL GFRTFSGSQNPYDRSPVDEESYQPRSPHEDTGLGGAASPDLDSPLIGRPIPLSPGRDA MSPMTPIGDRYQSIVSDIPPPLRLTVPEPEGPHELSVESDRHYLPYKSPEFTDQRPI LY89DRAFT_728566 MAIQEIVSDPELRIALETSAQTREQALDLLDQISKHPSTSRSAE LQRQISRQQKTLQTHLGQLRGLHRDAHVGARQTKALTTEARQQVDKLHLQLQNLYYEQ RHLQGEITACESYDHKYLQLPLIPVEQFLAEHPEHADADEVALTIARIEHEHAERLAL EEQRQGLLKKKQGLIADNKKRKEDLANLDKDLEKFIDAAKPIQKTFEKVV LY89DRAFT_554026 LGPWVRLEGISISQLPPGGKGVEPVNAPSSTEKYSDRSGSIAAP SLTGLITSVLTESIPFINGVAPKDGSTPTWKVKGSPKKYASSEAPVHLSERVVSGKEL DKVDGMSQFSADRKDETWFCRRSCHRNAAEKGTASWQEFVHSFKEHHAETEDAFTPTV IGARQAMSWNTNGIEVNVHGGQWTNITLVLEEMKHKIDPKPLKNRTFPVLQLSATLAG AEEFLVVSIPINDFNKSPYAEYAKDKSLVMAAYTSVERIRVLPSNGDIEWIMATASDA GGVLPQWMQNLAVPGAVAKDVEMFLSWIPSQR LY89DRAFT_680564 MASLKGPGEAQQHDGSALRIGIVHARWNTTIIEPLIAGTKAKLL ASGVKESNIVIQSVPGSWELPIACSKLYSASQIQSTTSSSLGAGDLLGSSTTDLTALS LDNKGNSTTSSGPFDAIIAIGVLIKGETMHFEYIAEAVSQGLMRVQLDTGVPLIFGLL TVLNEEQAKARAGITSGGHNHGEDWGHAAVELGVKRREWAAGKIS LY89DRAFT_680566 MHDPPTTKATVLISGEGTNLQALIDASKTTMPYLNIIRVISNKA KANGLNRAKAASIPTTYHNLISGKYYESGEQDPGKKKAGREKYDAKLAEIVLADSPDI VVCAGWMHVLSPEFLDPLAERKVPIINLHPALPGKYDGKDAIKRAYDDYHKGKLENNR TGIMIHYVISEVDRGTPIVVREIECRTPETLEELTDRIHGQEHELIVEGTAMAIHSLW EQRSKES LY89DRAFT_298459 MPPSTKPKLAQLKTPMTSTFPSELSALSAKSPYPGFADFIKQED SLKTPITPPTAYTDFLKTMSPITGDKSSGRTTPTSAPSTGSSEHSDCSCNCDTHKSPT SAVPSSATFVFPSSAPSTGRLGRLRIPPSPAFSTAESPLSASATSAIRSPFSARSARS PYDWDLKAKGRYFDIKSPKSARSSIRQVREIVTRTVTYTPRMSPAPKGKRRKID LY89DRAFT_680570 MTIITQIEIEAPPEEVWKVFLDFENWPKIKSDTIKAVARQPGHP EGPIKEGEVLNVNFGINSTVTVLENSPSQFRWRGDMLYVISGEHTFRFEPSKITPGGT TFINSEIPFRLNVLLMRLLPAESMFQQFCKDFKARVESAVKKEAGGGTTTTL LY89DRAFT_777542 MSSTSTMGNSPSVIQEIDSSSDNPFVVHEDTLGERIAADTLPGT SSKRLSENDQAANEPRPKRRKTEQPEVLLKNLRADEFIDKIALYNTPMITVVLDQGEF LLPRGLLNYNSEFFDRALNGYYKEATQDFILLPGCSIESFMLVTRWIYHAQIVLPRFE ITSKSSQTSNNAREPQIRDINDEEFVDSDEDPAWLESHARDSVETRPRPPDTNAQKIS RLLAFLKLADRIMLLGPFDSVLMAIKELILSDRNCLEAEHVRSAAELPAGHGARKLFA QACLRDYITSIFPKSDKDTFRFAKELEELESFSSDLFQEFNRVLLEKKTEVFSRYPTR HQSVLTDPMDGSWIMCRIENNEVWPKAY LY89DRAFT_762551 MSDGAESSAKKKQKVEDKKVLLRDVPATKFLDMIHLFDGPKVVI TVEGQNFSLPKALLCYHSPFFERAFNGGFKEATEQKMSMTSCSLETFKLLIQWLYTSH VELGDMGSKEDEGVTISTEPGEVSNEHPSSRQITILLSFIKLADEIQLLGSVDEIVEM MKKKIIDTRSCLTTQHIRMAADLPKGHGVRTLFAQACVKDYAPYFFSSRWHRGGLWFR AELNELDGFAADLMRELDVVMEIHGIGYSGRSANHTFTDPLTKQTVPLKSFK LY89DRAFT_728573 MVTTRSQSRFGVACPSPAASASSSYQVRTRAQAPSAAAATADKK KPTMKKKKKKKEVSFAPLPEMEENLFAREIIAEEKRALKERFRAKKERFRAKKERRAA RAEGREIPEWARKEERSRR LY89DRAFT_298520 MYDNNHLDQTQRERERRILKRLTKRKLRTCRTLRKQLLMLRLKE KLYAQDTHCIVELLSDSADIKMPQNNETSGIVPSDIHQTADLCVLKQTLENPSKSSSD SLWTLVDIDDASESKIVEDNSPTCIDHFQLKIGHTKIKREDCEPHIVCKRQAGMMSVD SEPEVLCRNTWLAKDEDDNYMLTVSFSIASLFSPLDVEFDTRIAVSKSYKDIFTSARR PARIEGLQPSSTAQCVHAIGIKIDSKAWLGTSPRNNWMPLHMHVRVLETANSAGKIRL CVNVTLGSPRKWNCEQLVWLPFDYSFQHLPDWRRRLNRLSAEREPAPQVTPRAAISNL MQIVERYQALDWSHNARQNLNKRNKDNASMEKGQIRPSLIEYQVWYNLDPQPRPQESD SEEVLEEEMQM LY89DRAFT_680573 MSLSTLLYLTTALLGLSRAEIPGTPDLSLGLQQILREAHQGPLY DYPTSLTQGIVPKGIHSHNDYWRDVPFYSALSVGVISTEADVWLYNGTLHIGHEESAL TNARTFESLYINPILSVLQRQNPANSSFVTSKTYNGVFDTSGGQTLYLFVDVKTDGEE TWPYVVKALEPLRSAGYLSSTNGSTFTSKPVTVIGTGNTPLNLVQPIASRDYFWDGPI PTLNSTFSNITSLVSPIASADFAAVFGNVIGTSLNDTQLALLRAQVKTAHDKGIMLRY WDQPGWPLSTRNGLWRQLRSEGVDLINADDIEAAAGFGDEW LY89DRAFT_714232 MSNHSPSPTKESVPKPAPHLLSSPTINNPPSPRTHRALRRLQSA HTLGQANQPSLISQQHKQVLQRNQSPASNPSFSSHSRGRSNSDATMTATPGSAAGRRP ISGKRPIAADALSLDRLIREGPPDGDVAGALESTRLKILDQGIKSDSDGMSSLRIYVW LILLNAPILETDSYLALIHRGASPAYSKIRNDTFRTLATDPLFRRRVSEASLIRLLNA VAWKLHDAKETRSDSLSSSAAAAGKVTHTANAHGSPELQSSTPAMKSRARALTLTTEG SEAGASEPGTYVQGMNVLAAPFLYAARSEAEAFIAFHRFITVECPGYVRGAMDGVHKG LALVDKVLAIVDPKLSLYLIGKGMNAELYAFASVLTMCACTPPLPEVLRLWDFLFAYG AHLNILCIVAQLVILRNSILSSPSPTKILRSFPPLQAEMIKEVTLTLIKKIPDDVYAE IVAHAK LY89DRAFT_298592 MVQLEKDEWLFRGRRKGRRRQRRQRQLAPAFPTQKTKQTHGCRR PRGPFYHLMTLSETYMRLDLSKRSWYLNCIFGFQTAFVVVKRCPASASLACGPSSIPA SMLRQTPLPLSQTNHGRTLLQHCKAKCHKITSFCLSRFGSSSG LY89DRAFT_298574 MSATKIGHGLAKVLGIKLEYRNELDDEIRRGESVFSTNTADTYV EEEPTSAEWIRETLPSGHDLAVYARSLFPFTHWIGRYNLQWLAGDLVAGITIGAVVVP QGMAYATLADLPVQYGLYTSFMGVLIYWFFATSKDITIGPVAVVSTLVGKILVKAAKT HPTVPGPVIASAMAVIAGCIIFFIGLIRMGWIVDLIPLVSISAFMTGSALNIAVGQLP TLMGITGFDTRAATYLVFINWLKGLPRTKLDAAIGLTALFLLYAIRSACKFAAKKYPT QRKLIFFISTLRTAFVILLYTLVSWLVNRHHRKKPLFKILSTVPRGFTAAKVPTIDIS IIKIFTSDLPVLVIVLLIEHIAISKSFGRVNNYVINPSQELVAIGITNILGPFLGAYP ATGSFSRTAIKAKAGVRTPFAGVITAVVVLLAIYALTAVFFYIPSAALSAVIIHAVGD LITAPNTVYQFWRVSPLEVPIFFAGVLVTVFSSIENGIYTTICVSFALLVFRIIKAKG RFLGKVKVHSVVGDSLIGSESTPFINSNNPAKSGGSHEDVAVRNIFLPIDHGDGSNPD VEVATPYPGVFIYRFSEGFNYPNANHYLDQLTAHIFANTRRTNLDHYGRPGDRPWNDP GPRRGKAADDHPERPTLKAVILDFSSVNNVDITSVQTLIDVRNQLDRYTSPSVVDFHF ASINNRWTKRALVAAGFGYPTILPGDNSVHRWKPIFSVAEIGGSDSAAQAAEINANEK DFRKSRTNERDADTISAGKDSELSSSDLKSSAIKTSSRAAVVNGLNRPLFHIDLTSAL QSAIANVEARADFETGLPAPTATV LY89DRAFT_680575 MLQGAPPRGPRGASTTTRGRGRGGIQKRRADGPVRVDRDGDLVM DAEAAGAKRKPGKGRMERSPVPTGSGRTSGGRPRGGAGMHKAQAAILRGMGAQQANVL ESRIGTTGNTLEISGLGSSKAAANPDGGVEALLAFLERKASGLDDTLNRTVKIKKSLK KGDKVIITASPQDIMEIQKLDGFQFAGTILSIKASTPIPSRSASEEKKQEESPQTTDV KAKFRAVLEGRYNPQLKLWNLSALAIDPGLVSMGLFDGSTNTSKVFPALMAVCNSIFP TRAAKKEAVVSVTLADNNLSNVGDVNALAQTFPDLKNLDLSRNNLVDIKSLDGWRYKF KHLENLVLTGNPIEAQLSELKDEFLKRYPDLSMLNNVLVRSPAEIAAMKAAAEAAQSP IPIAPPEFRDVGQVGENFIRQFLALYDTDRMALLTQFYDSRTSYSLAINMSAPRSHTN SKPIPPWAEYIKHSRNMIKITHAPARMNRQYKGVDAIKNVWASLPATRHPDLQTQTDK YIIECRPTQGLPDPSGQSARGVDGLIITIHGEFEEPNTAEKGSRSFSRSFVLGPGAPG IQPIRVISDMLLLRAWAPLAQPKPHAMMPTPPQEPAQMATPEQQQQEAMAMQLMEKTG MTLQYAGLCLVETGWNLENAYVAFMTNKDKLPPDAFLANVAL LY89DRAFT_298635 MPAKSRFTRLDAFTKTVDEARVRTTSGGVVTIASLLIVLWLAWG EWAEYRRIVVHPELIVDKGRSEKMEIHLNITFPKIPCELLTLDVMDVSGEQQTGVMHG VNKVRLSPQEEGGTVIDVRSLDLHSASDEATHLDPDYCGGCYGANPPPNAQKAGCCNT CDEVREAYASVSWAFGRGEGVEQCEREHYGERLDSQRKEGCRIEGGLRVNKVVGNFHI APGRSFSNGNMHVHDLNNYFDTPVPGGHVFTHEIHSLRFGPQLPDDVVKKLGSKQLPW TNHHINPLDNTEQITADPAYNFMYFVKVVSTSYLPLGWEKNAYGTNSGPEVGIGSYGH QDDGSVETHQYSVTSHRRSLSGGDDAAEGHKEKLHARGGIPGVFFSYDISPMKVINRE ERSKSFTGFLTGLCAIIGGTLTVAAALDRGLYEGTTRLKKLQSKNM LY89DRAFT_575421 MSSNIRISVLHQAIEPPIINGVLKPMKPGGYQDSGADIAYALQT LPNIKILTPGPSPDPSSSSGWTFPDTPSGILSALQSGATHIWANTILFSSHPLQTMSE FDEYQDHVRVIGQPPNLVQLYDDKEYVNNLLRSKGFTLPQSWTLTSSPSLNLNKKLQE LDLPYPIVGKPIRGRGSSGVKLCYTLSELTTHITSLFKDSSTIMLEQYLSGEECTVTV MPPSSLHEDYWALPIVTRFNHDKGIAPYNGVVAVTANSRVVSQEEMGKDERYGEVVKE CVDVARLLRVTAPIRVDVRRFGKGKGEKFALFDANMKPNMTGPGRPGRENQASLTGMA AEAIGWDYSRLLREMLSSACTLRQLRDIRPLSK LY89DRAFT_680577 MSEDAPLNSTLKAAASASTTTIQLDLDESHDRLLQRSPSTQSRR SSSISEHEIDIEEVKDPRKGSLTYLNCVAIVVSLQIGSGIFSTPSEISKHVSSPLLGI SVWIVAGILVWTGAASFIELGRRIPHNGGVQEYLRRCYGDAYGFMFSFGYIVIGKPCS VAIISGIFAEHLNSIILPESWRNEWLNKLVALLGALAITAVNCWGTNTGASAANGFFL LKVVGLFSIVVIGLAIGVSGKGNDLLEWVPDKVAEENDHPSLWVLVGEYTTAMFGALF TYGGWETANYVAGDMKNPMRDLPRVINSAMVIVMVGFILANVGLYIVVPMDALRERKA VAVTFGIQVFGTLGGIGYSLLVAISCLGALNSSIFALGKLIVSASERQYVPSFFGDPE HETKEEESFHYRNYFRGWPKPVISSVMMLVQKTETLRWDHKVPVYALFFNALLSSVYI IFGTFSFLLTFIGIAEYVFLLFAVLGLFILRRQPENGEPIPRTWTINPVIFCVCSAFI VLRGVITDPLQGLALLVFNLAGLGLRRYIIGPGQRGDMELHAVPIL LY89DRAFT_298735 MTARPNFIDLRSQSHGPFGTGMPSPRGLPSPRLHVAGEIPPELS PLDAFAAQSRLLAKQLEECNNGGRRVSRLPPLTIASSLNQSRPGYFRSISADGKSPLS PTSPPSGIKTEVETPGNRPISVYPTVSGVKDMAPPIPTPRLDALDEEDFRGRRPPSPD DDRGSFGARREQSPPSLDNRSLRTGNSPSHVQPRPSNDSMRQRGPPLRRDYDGSLGIS GYDSRALAPPRSPFSQRTSSPRSMSMESSDDDFNSLNPPAISPHRKLSTGSGFSNSPI SPTLYSTRSPSISSEISVGGTRLPRPAFNFSRPISRASMNGLPVEAPSRQASSDSQPS FILADDTANTPVSMHSEGFPDNSENTPAPSYVYSKFSLPRGKMLQRNSLIFQEGLPQA QFSWEQPISFSNVQAINGAAPPSPPSRPSTSSIRPDFTQIRPSLDPGRPSYDPSRPSL DPGRPSLDPSRPIYERLAHSTTSIPTSRERPVSASDASSATLKARSQHSVAPADWSAE ENVEKAIEYHEAGALTKSTYHLRLAARQNHPTGMLLYALACRHGWGMRPNQREGVAWL RKAADCASLEVAGDADLVKEGKAVDYLEQKTRKAQFALSIYELGVSHMNGWGIEQDKV LALRCFEIAGSWGDADALAEAGFCYAQGVGCKKDLMKSAKFYRQAEAKGISMIGNSWI YKSKYNDDADNSKKSSESRAGRLSAEKDRGKKRDKSRNRTFFGRKNTS LY89DRAFT_714238 MAGASPQGAPVETNIFNLGDTLKKLHQLALLSKQDLQQTFEEGT LAVAILDHNANPDPCIKDIFHWFKLKPNHSVGKLKKAYFRKNKVQVTLKHASTTLSDE TQMSNVVHLTKNTIVFTSEIADMNNSAPSSSASVSTPIRNPLQPINAQLPANGSANPL VSDGSKTKIKTEETFLSPRLVNKNMTADIKPNLSRTQTPYGPFHPGAHLSDPQDNSAA AQAGPANTPAAFEHASNGSSSTEASSSTTAPPNQSSFNERIQKLILEGTPEVLEAEVR QSQVFLEGLRRPMAENSFQHQDAQHWVKQIENLQNHNINTPTIIGVVGNTGAGKSSVI NAMLEEERLLPTNCMRACTACVTEMSWNTSDEENSRYRADIEFIKADDWKKDLELSLH ELLDSNGQVSRDCTNAETEAGVAYAKIKAVYPNKSKDELAKCTIEQLMREPTVKDVLG TTKKIARGDPSLFYKSLQHFVDSKEKVTSESKDSDKKDKKEKVKKTMEFWPLIKVVRI YTKADCLSTGAVIVDLPGVHDSNAARAAVAAGYMKQCTGLFIVAPINRAVDDKAAKSL LGESFKRQLKFDGQYSRITFICSKTDDISLIEATDSLGLEDEMAADWEKIDELESKQK SLKQEVKDLKESKEVYTEIMGDADDKIEEWEKLKDDLEAGETVYAPGTVSKKRKRSSK KSKTSRKKSKKTDSDDEDDGHVDDDSEEDEQSDDESDSESTSEGGDPLTVEEIDTKIT MLKEDKKKARRERGNIDKTLKEKNQEIKELLKSQTEIETAMTAICIDGRNKYSKGAIQ RDFADGIRELDQEAAQEEDPDQFNPEDELRDYDEVARTLPVFCVSSRAYQKMQGRLQR DNAVPGFRSPEETEVPQLQAHCKKLTEAGRASNCRAYLTNLCQLLTSLGLWASNDGTG MNMTDAQLAAETRFLKSKLKSLEQGLEGAVKDCLKEMNETLSDQIFENFDSFVNSAVE EAPQTVSRWHLPVNRENQKMGGYYWSSYKAIVRRNGVYSNDQGPHDFNADLIEPIIKQ LASHWERCFQQRMPRLLASFTRQAKSLLAAFHREIELRSMKSGTGAAGLMLLSQQLKN YDALFVALATQMTELVTTIQRDANREFTPVIARSLAEAYEFCTNERGSGCYARMKSFM SNFVNDSRNEMFSESCNEVKKLLLAMSKQVEDKMATQADEVYLSMQRDYMQVIAGTAL PEGQTMPKWERKMRSDVVSILENQDQALSEEAKVAKKEDEQPAAVGSGTIPTSKDFSD AEDEGNPLSKGYASSPSLEDMDLSL LY89DRAFT_680584 MGKSFRSTEVLERIDSIFGGIAGTWMTGRSGTTSPVMSMGCIAF FVILSAPGPAFNG LY89DRAFT_728584 MATPPQSSSSSTSWSSWSASSVKNHEPLLRIGVVGGGIAGLTLA QLLHGTPNVQVTVYERSFEAVDRLCGYRVMLSYFVLQNLQATLRREVWTRVAASIGIQ PQGGQELQFMKSSGTQMFTFDNEEMRDSFSVSRWPLRKALLYGYEDFVKFGKVFQRYE RLRSNAIKIHFEDRSTDECDLLIGADGAGSRVRRQLIPEARVTETDLAVIYFKIPLTP DTKDLLPTNSASMVFTQKNQNIMVHSWINPRKMWATKFDDFDISNEESFIMFGHGGPV REFINKSKPPTSLSSAELKTEIIARVKADPKIDPKFVALAEHCVLNTSYVHAVRDCQA VKKWDTGSVTLMGDAVFNISTMLGKGANCALLDAVDLAETLRRPDMLNPSKRRIELRK RAEENVKRRMKERQRAALIQNLVYFGDNKLKEFCRDQGLKMAFDWIDDTSSIIPHER LY89DRAFT_693820 MRLLNTATIVVQEFNDNNLPPYAILSHRWEDEEVAYQDLAGDRG HTMKGYAKLKNFCRQALSDSWQWVWMDTCCIDKSSSAELSEAINSMYNWYRDSRVCYV YLSDVPPEVDMSFHIQEDSPFRKSQWFTRGWTLQELLAPRYLVFYDREWGEIGTKSCL ETRKMSWASNRQTTRTEDMACSLMGMVESLLRLQLEIIKNSDDVSIFAWFDENDDSTG MLAPFPSCFRTSGNVRLLYSQRLKRPYTMTNKGLHMPLFLAFKRNLMANFLSAIEELP RYEMT LY89DRAFT_299130 MRSRLLLVFIFSVFVFCQAEISLLGTNQGNEWVQAARLEARDDT VIDCKFVGNADFYGIGVRIGFYLIWIAGFLDFTFNPSSSVAVGDAQTIFDFANVIAII VLNNQQRPLSDTTSTDRKPVFVPIILLYMFFGGAIVSATTTASFPEDWLKGSKAKKFS TAVRQIFVHSTFLAMMGYGIYFFVSGYRNFDLVAPCKDPPVGPLLFPLSDPVSFTDSP IIGIILMPLILILYILVLYVAVQRYSDLKDGSSRTRFQSWMPRSKYHRINDWLRSSER QLSWTILGALLMLTSILWSIVALEWTIAKNDIQGVNDVSTTGQLIPLIIGIFSLPTTF WSIYKSHVEEKRTDNEQKEESEFDTPLKHLTFKDAGLESPMGAYMSIQPRHEHNMEDQ SDMRPASSQELREARTF LY89DRAFT_680588 MSSYTYKIHHLPTSDATLLPFLAGKFASLRLSALTVSATAFSST FEIESAFTSSQWINRLKRPLVHTFVAVAYGAGTPPEQQTIDAGDWIGSATLLGPFPKE MYELKESGGPVIGNDEVETRWQMTAVYNSPAHRGKGIAKMLISGASEYAALQSGQGRS TRIRIMIHPNNVVVKKLYYDLGFVDAGSTTLAEAYFSNGDTDLLPPDGGASDPGKYHL RAGLIMEKVF LY89DRAFT_298800 MDIKHVTEDYPSDEKAVPRYDVSTSEDGIIVENVDDLQRKLSGR QIQMITIGGSIGTALFVSIGSGLIQGGPGSLLIAFVMYCVWLAAVNNCMAEMAVFMPV SGSFIRMGSKWIDESFGFMLGWNFFLYEAVLIPWEISALNLVLTFWRDDIPLAAVCAG CIVLYGIINLFAVKWYGESEFWLSSGKLALIIMLFCFTFITMVGGNPKHDAYGFRYWR EPGAFAEYVTTGTLGRFEGFLAALWKAAFTIVGPEYIAMMAGEASHPRKNLKRAFKTI YLRFGLFFIGGALACGIVIPYTDPTLNSILSGDSSASGTAASSPYVIAMQNLGIGVLP HITNALLVTSIFSAGNSYVYCASRTLYSLSLDGHAPRFLQKCTKKGVPIWCFCVTMIF PFLSFLAVGTSSAQVITWLANLTEASQIIDYICMCWIYLYFYRALKVQGFDRKDLPYV GLAQPYCAWFGLATMTFTVIMYGYATFLPGWWDVGTFFSYYTMCFVCPILYVGWKVVK KTKMIPPEEADLVWERPVIDAYEANTLEPHMTFWEECKMMMGWKKKEEHIHSA LY89DRAFT_298798 MEETSSSSKVTVEYFDPYGVYQLLSPGLLPRLPLRNLHWNSHSG PLRSISTLHIDLQPSAQDIRPLSTLNPASASTSSLSRVKSEDSAASGDDGFRTQALSQ PAGDRPASVSGPVKPVKERRHQIPGLRQTPYLKVFFLRCDDNDTYKNQARRQVREWIK DHTPPAQSTSKNTPQENHDAYEWLIIHVIVPNTAAATQPRTGGKSSEGGSSSISEKSG PRWRGGGSSTILEKLRADFNGTSKSAVDRVAQIRIGINDVPYDMLPRVVPAIPGSYSE TPQENENAWLDLVSKLKSLILASFDGRVSQYEEDIREKDAQRSLPGWNFCTFFVLKEG LARGFENVGLVEDALVGYDELAVGLDAIIREQSSTGSSVARGGSFLPYTEDLKRRAEK ARAAILRDMGAGDAAESDEPIDLQSTTKLSGNEDDEIPLNASKKRYRELILANDISIF DFRCYLFARQLSLLLRLANAWSSQEELLAKLKEQRESSLQGVAARLPASQPADDAEVL NVLGEICRRSMTFVSSISRIMREDISASFQASNATGDTQVEIIDPIMTQVVDNIVSSF TFGISQQILAQTSTKSLPIPPSTLAPPSSRIGLDGQEPKAAIPEPKTMMHPARSSSLA LRSSSREPPSPGRFPGGRRSSVPEQGAASSPFLKAGLEQLAGHRAELYVLSRSILEQV GKERGWSSGWAEMEDLHSSGGGEMEDIDLNQEPATVEASTLSKIPPSSYGIENKLLQT AVDNKDDFYRLYETLTDKALRHFTVANHIQSVQSNMADLAILKYHLGDYAAAASYFYR MTPFYGEGGWAQVELSMLIMYAKCLRELQRKEEYVKVVLKLLSKSAMVEKERLQRKSS FQIGSKNTFSPEVLPTESYLSDLLEITKTLPHEVHVPLQNFFAHIEVDNTVRYHPEMD SFGLQLRLHYLLSESITIDKAKVKIVSLLGETSREIWLEMEGDTVFGKGMVKLAVKSN TLVPGTYIATQIILQSSNITLQYDHNSASVPCTKAGSFFRSPKLLIYHRTKAFDVRLF ASRYMHLDRNRSLEIELLPGWNTVASGEIHVRAATAGLRVQTSETKLLVGSLEIFKKS EPGIVRFGAMEQQSRSRLVMPFNLEHEVGEISLKIEITYTTEKGVFFFATTPSVSISL PLGVNVQDVFKHKALFSKFTISSSTSSPIRLLSSRLEGSEVFGAEGGVPISKPLVVFP RQPATMLYKITKLRSLSKPEIGSRKGPKSSLSLVLNYTCIEEEIENAVTEDLSQFLEK KSLDSYARLMVPVVLAELRSHLSSYDFEKTAILNEISTSILSSINWRDHFSGLGREPE SSKDTALVMEECLHSWVQQKSSISLAQVSIEDESMRNSRSIVIPVDIPSVTVVHTADF KLLDPPPAATNMVIAASNQPISASLDIKWTRIWDTEIPSETGAGSQNEYIEFFYEVSG ASDTWLIGGRRKGHFRIPRIHQEHDTKAKLSFPVVLIPLREGFLPFPNVDIKPAHSLK HVGYAEDETPRLKRPVVTCETDYKNAGETLRVISDARKTTVSLDASGPQGGAWLLESE RRSPGNGGIVLG LY89DRAFT_298975 MNISYPPMPVASLPPVVEQLGHDFVKAAAFLLIPTELLYFSVYF HTKGYYKVYRNLTFLSIAAFWIAPYAAPISCGPARCLQNFAIAIGTMKLLDIWARRQN LPTYTAGRRPPDWKFALIVLTELRYESFTPNHIRVPKSQENFNEPLQLGIHTLAFAFL QAFPQNIPTILAWEVMLAIYIIWTSIQLTVRYKSSPALFGPLYLADSLTGFWSETWHN AFASPCTSLAYAPLRYGLPKYGMPVVIARSLGVLGAFTLMALFHMYALYPILDREGLY RIGAFFLINGLATVAEAAVWGHKKHWTKAALAWGFQTTLATWTASGLDIPNGLSRIRW NELCDASY LY89DRAFT_607028 MTQTASNTPEDQKIYDIPLDEDDVPKSNGHHDENTAEGEPPSKR RRVSESVPPSKRKPESPPWKKAVAEGPSSFTQNGRRKSGRVNDIPLELQPPSNKRQTR GAVQKTYSAKSKNVNVNGHTTPFQGRKIPATLVTGSKKPAAATNSSQQSLRSPAKPTS PKRPYRKSMPSEQPATPVRSNAKSSTPKNPQSAPPVPARQPKARRSGRVTEIVDDEEQ PRPQLPKLDSPHAPSPKSALARIKFRVKPPSLPLIHPGLVLPRPRQYATLEEFFEKGA DLHVDQGGLFHPDEPPEYTPEQLAIDVNVILRAEKAAEPGGVLSPGISTAYQPEESEP IPPQYAHQDHMVRATLEFRRLMQIEQRKHRAAAKRLAEACRDEYRRRQPKTAEQLVAE EREANKARYMVVAKTLKATWDNVRAEVNRRRLLEWEVQEQARVRKALSEAVDISTQKL QARRAHTETDDDSEVSDEDENSASGEDADSDGEDRESNISSTDSEVDEDQPKADVADD EHLTVEQLREKYAALENVAKESQDEAMAEEDDEPNTDVDQYSNDGVQETFDDSDESID MDDDMGSSDEDRDSDEDEDMDSEADEDDSTARGMLGFLAPSELKDIELGQVVPESNGN GEDENMDEEDEVSLVPDGLGPTTPMPTVNEEVAQHEGETGDAESTDKLLSASSEHPDI EEIEMNEDIETAPPENAPSPSKQNALEVFSPDHSSQPTPRTTETKPSEADSASSVDAQ QVSRQVTQSATPQPANQLKTPVPFLLRGTLREYQHYGLDWLAGLYANNTNGILADEMG LGKTIQTIALLAHLACEHEAWGPHLVIVPTSVILNWEMEFKKWCPGFKILTYYGTQEE RKRKRLGWKTEDAWNVCITSYQIIIHDQQVFKRRRWHYMILDEAHNIKNFNSQRWQVM LTFNTRARLLLTGTPLQNNLNELWSLLYFLMPPDGTTQGVGGFADLKEFQDWFKKPSE QILEHGREHMDDEAREIISKLHRLLRPYLLRRLKADVEKQMPAKYEHVEFCRLSKRQR ELYDGFLSRGDTRETLAGGNYLSIINCLMQLRKVCNHPDLFVDRPIMTSFPMEKSAIA DFEIKELLVRRRLLREDPMTKASLEFLNLVPTKHERFSASMTVKSAQYSLTHLDPTTA KSNLVYLESASRWGRFEELQHTVYLNALRRQQKPMYGTQLIERLTLGVNDRPLRPQPK RRDQMLTWLETRSPTLMAMVPTISSRSEALNSTIRKFACVTPPVIARDMSTLILGPKG VQTVQQSVDKSVIDPFHEARMRLSIQFPDKRLLQYDCGKLQTLDKLLRKLQAGGHRAL IFTQMTKVLDILEQFLNIHGHKYLRLDGNTKVEQRQILTDRFNNDTRILAFILSSRSG GLGINLTGADTVIFYDLDWNPAMDKQCQDRCHRIGQTRDVHIYRLVSEHTIEANILRK ANQKRMLDDVVIQEGEFTTDYFNKVAVRDVLAAESDPMDGDAVANAAMDRVLGGPSND TEMSRVLAQAEDREDVAAAKVAEREIVQTDAADFDENAATATATPAGIETPQEADAQG PTEEAIDVNDVLEIKEEDELNAWGQPVHSTDDFMLNFMTAQLKDTPIELPRDKSKSKK GRDHRSHRAR LY89DRAFT_636492 MLSRRIATARPLRAVLPIARRTALIQQRGAAQATSSGPDYPSLT DAEDPGMNGGYINPPPVKRQFRDPHADWWDKQERRNYGEPVHEDNDILGMFSPEEYTH TTPGKGLFQMGCFVVAVFGLCSVVALNYPDKQSAPREFEGGLERELGGPNAVRARAPG DE LY89DRAFT_762652 MRYQNWDVLVFPDPSKIPLQEFKTSCQVIQDPESNNLQFNPQLL PTITSFIPGLPAGTPFRVSIHCWQNPEISRVLKDLRKPSDIVLFEARLFIDGKMAASK WFGQNGPWPTVIDLSIDLDKHGDFERLKFPAFHRELLSQSYWNPADDLGRLKIVISEG FSREGLTYPFERIKNIISFSFQHAPLAYADVLEASSIAWPNSSMWRQVSIMGPYLAQQ LSPRREVDGVEAHSHSPRQNTATRAPFPPPGVPFPPPGFGSMQPPNPPLFQRQPAFDP FTDRSESMPPSFTHWRQRSSADVSMPDYATTRGSSSRQVSDPMQGTEATNPVFETEQN PGIYESLIEAMGPKAPSNTPKTGAGTPVTAPTTANAAVSRKSSTSGAGDRVDSDSIER NLRAALGTALMAAKSAPDSEGQTPTLTLKPIAISGIKGRKENMQDSPLGPEPNATANE NGVRVVSQTFVTTNSAGTKRSRVVTPASAKVIDDEDEPRTSPSLRKASRGSVKQDDKE NERRVYSGVETIST LY89DRAFT_680597 MSTPQPPTTLPSSTSDISITNSSSSSVHEDNPNSLQPKRPRLHS RKSSGTIIVPREHPKVELNEDDEEFDEDDARAMSPRRSSQDLEKMSEEAREQLNEHAK TLQISLLEIFNRIEAVKEEHDKLDNNNKFLQKYIGDLMSTSKITSTGASGRKK LY89DRAFT_636499 MNPTKDVEDGVFPNSRNHSGESVKESKHSPEESLQQNEDNSPRT VNGFKWVLVCISLYTGALIYGLDSTIAADIQSAIVERFDNVERLTWVGTGFPLGSVCA ILPAAALYDNFDLKILFISSILLFEVGSVVCGAAPSMDSLIVGRMIAGVGGTGIFLGT LNYFSLCTSEKERGRYISGIGVVWGTGAVLGPVVGGAFSTSSATWRWAFYINLIIAAL CAPVYVFYLPPVKPPGAPDLSALKKFKSLDWAGFLCATGALVSFTMALTFAGSIWSWH DGRTITTFVVSGVLFILMLLQQYFVLFTTLEEQMFPPKHILKDRTLVLLNLTMAAAVA NIYVPVYYIPLWFAFVHGDSALMAAVRLLPYITFLAAMNMASGALFPRINYYWAVYLV GGILMTTGSATMFTVTTSTPIPNIYGYSIILGAGTGLVFNAGYTVGGVTTMTRTGSGL DVQRAISMLNLSQLGFQLGSLLIGGQIFQSVAMRNLGRVLRGLGFSQDDIRSAVAGTQ SSLFRSLSPGLQREATRAITDAMSRVYIISISAAAITVICALLMKKERLFAVGGPAVV IAGGA LY89DRAFT_693830 MRLCGICHKPFLKETSYNRHISYCRRTQTRPRTRVRSCRACSLA KTKCNFHSPCLRCTKRDLDCVYDTKVSASTSTAQVDGEDQAQIDTSDFPPTSLPGCDF NLNHMFTPGGAIDTDESQLRVDMDWNTLGLTAADLELPKDSLPELPWSTWTHRDEYAL ILSGHSDPSERPYSEYLSPVPTSDPVLQFTANMLIQMLRAFPQMMLRRETLPAFIHGH WYRPSGTTGLALPEPLVNCMGLAQVFAAHNPESKPFLWRTVKTEQRSFIEKQDKRQFS RDGLLAAVQAQIIYIIMKVTDNSKFEQDLNLEMIITFQALCESFRELCNEPFCQDERM YPSFSWEDWVFAESRRRTVLVWFLIAQTVRIKIGVPCDAFEDFRNLPLCSSKSLWEAR TRLAWQSEYEVYKNMQRTELESFGDLIDAYKERDVGANQLRLDAWIARADNLGVLLSL GAVIASRKEG LY89DRAFT_664270 MAHHNVNFLTFLDQILPDRRSPDVRQNDIVGNAIRNTIDFWTSG LDMSNYSAHVLVIANELISLIALGDGQQRWMVILALKWLVEEAEFLVEEFEDMEDELE MVFLCGLMDELQMLWYGVIRI LY89DRAFT_680600 METPVILSSPLEKGKSQREIVQETQVPRRTVRRILKLEHTAESV KRSTRNLI LY89DRAFT_299450 MTEIKYAIVILSGNPNWIPWKEVTKTTAIEVDVWKYMDPDVNEP TMVPILAEPIKPTPSTIKNDIIITPASTRTATPGTAHSIPTTTPAVTRPAKFSDLDQD EREELRSLREEYAYKMKKYDRQVEALRAMEAHIQNTIDPKLLIYTYNCPNAWKMMHNL KMECAPTDYSRKKTILGKWGKLKSTAKSSTDLEHWLREWHTIYDEGIELKVPELVDTD RACHDFLTAIYPIAPSFSDSKELEMLDDKQPWSFKQLVRQYSQWRRNTSDRPRTTVKN LAYIAKKQDKPQRSNSPASFNGKERADYTQLPCLCGETQSYSDCPYIIPSKRSSTWKA DPEIQKQVDDKVENGSFRLKRRIQFLRDQAEQRIKDKAKSDKKTPDKSSTSAKPAVQV AKGDMYVVKTEFQLTLSRAFLARETLR LY89DRAFT_664272 MQKLGYCRRTAKKTGFSTEPKVMALRKAFAEEAITWTKERVQRQ MFTDEVWAMGGAHTTSFVIVLEDGSERYLLECLQYKYSKAPAWIFHGNIINKRKGPAL FWEKEWGSINSTRYDEHVLSRIAEFLVEHADSRYIFMQDNAPSHRSYETKINLLNRGI KWIQHPLYSPDLNLIEHVWNWMKNWIQEHYYEAQYRVDKLLLEQLRRIILEAWEAVPD SYIQSLYDSWWRRCQAVIDANGGPTKY LY89DRAFT_549415 LSTRSLLIAVLAVCIGTFGVQAQATATSASIYPAPTGWGYYGCY NETTLANGTEGQRALNGGVMEALDQMTVPMCLAYCQSNAYAFAGLEYTRECYCASYLS ALSSKLPDTSCDLPCEGNSTQICGGSLALSVYQTKTTTKGA LY89DRAFT_714252 MGSRLEKNSESVRKRIESHSFQDEQGEEYEGSKFGGFSDYFRRK KIKLQNLDAEVRSSSVDKPKIFRGIVAHVNGYTQPSLNDLHHLIVSHGGGFMQYLDGK TTVTHIIAANLTPKKAIEFKRYRIVKPAWVVDSVNAGKLLPWDAYRVIDEGFGQKLLG FDDGKVVSQTNTQQRGYRDQTSASWYTSQVKNVAEHIDGEDGAQFPSTQSVAFNGVAS YMGDLGDQPKRAAVPKPEEGDPNSSAGFDVTSSLEDALNNVAAAEIHEDPRVEQELPA DPTEKQDEHAERLIPSIEPKEIAEETFSSPSMSPSIGADARKRSREPEITTPSKKAKV MTAEEHNAILLADPHIRKSSTANPEFIKQYYSESRLHHLSTWKADLKARFQQMASEKS ASQKLVTKRKPGSRRYIMHVDFDSFFCAVSLKSAPDYVDKPAVVAHGNGTGSEIASCN YPAREFGVKNGMWMKRALELCPNIKVLPYDFPAYEEASRGFYEAILDVGGIVQSVSVD EALVDITSLCLPAGGTDGVGVREGSIWREQEQADIIGNTLRARIKEKTGCAVSIGIGG NILLAKVALRKAKPAGQHQIKPEAVLDFIGELGLQDLPGVAYSIGGKLEEIGVKFVKD VRQLTKDRLMTVLGPKTGEKIWDYSRGIDRTEVGEQVVRKSVSAEVNWGIRFISQPEA EEFVKNLCIELQRRLLEQGVKGKQFTMKIMRKAADAPLDPPKHLGHGKCDTFNKSVVL GVATNSAEVIGREAVSILRSYGFSPGELRGLGVQMTKLELLKPTSNSLIDSSQKRINF GTSAPPKLSKQITEDPIDDPPTPTKQMETPMSRSNIGSKTTEDPIDEAVTPQKPKAVA SRLLAYDDPIDDINSPKKSKATPVHPAIAIARANAADSSAKKLLNLTGTQFIIPSQID PEVLSELPQDIRSRLMAQSKSRPTSRAQTPTPKSRSESPAPEFQMQLPSQLDPDVFEA LPEDMKAEILASYGANAGPSRAQSLLPQSPRKAPPINTTKKIPTPTKKRGRGRPPGAL NRRGINIKFDPHSSLTQSNFVAPKPGQFPNPGEPNPGEDGYSSDTLDPEFLSALPEDV RQEILTEHKRKQLAKKGGLMTTAAPKKSRPPEAPLLGQRKLRLPPRDPRPTFTTRKLS SVPELRDTLSAWHSEFVDDGPHPDDVAAMERYLRRVILDERDMSKVVNVVRWLAWLID ESDQDNEGTRIWSEALEGIKFKVQAAVQERGLGKLEL LY89DRAFT_728600 MASKRTPVDIPSFATTQLALLEAELQSELEENSLLLSNSTPTAL ARAGLAITNLTLSSLRTGLGGKTVVELGLDSAVKGKGGGDDGELGEHGIRTGDIVLVS EQPAGSAKKREVKDLEAKGSRGVVTRVAKTGVWVALDNEEDDGVTGSKRLWVCKLAND VTYKRMNQTITRMQKMKEDEYSSFLRTLFGLTSPSPIGDLDDKIEWIDPSLNGSQKEA IQFALASREVALIHGPPGTGKTHTLIELILQLLKQNLRVLVCGPSNISVDNIVERLAP HKIPIVRLGHPARLLPSVVNHSLDVLTQTSDAAAIVKDVRKEMDTKQASIRKTKSGRE RKAIYGDLKELRKEFREREKKCIGTLIGGSKVVLATLHGAGGFQTKDEKFDVVIIDEA SQALEAQCWVPLLRASKVVLAGDHLQLPPTIKSLNSKTTKAKIKETKGVIKGMTLETT LFDRLLKLHGDGIKRMLTTQYRMHEKIMRFPSDELYGSKLVAAEAVKVRLLKDLPYEV EETEDTIEPLIFFDTQGGDFPEKSEEEDVDKKAGKGMMGESKSNEMEAALVKNHVQNL VDAGVKPEDIAVITPYNAQLALMSRSMKEAFPGIELGSVDGFQGREKEAVIVSLVRSN AEKEVGFLGEKRRLNGTLS LY89DRAFT_664277 MSKFRRQGTGTSVRGKPISHPIPFPDDDEFPIRTPGAGIAMPLG TDAENQIRIRESVAAQLENTSHQTGIAVSDFIDPIAAAQSGPVPSQPSPETPVRRTNV PSQLRNSTASRNTGSSGRPQRKKSTMRAVFGRLFGKRRKGSGSSSPKEQGPSEIRAGQ HRSDPTALNRSPPASSQKRSASLPINEFNRALRSHSIVADDFPLGSSENEASRGSIQA DGQRRPRRATTPSRLWTPNKTPGYVDWTGLSPRPASTHGRGSRVVSDGEDAVAEANIG TAVSSSSHPNRRSRSLGELRDIVTTAAGGPGTQTARRRRSDEIRYWRESYDPGVLSPM SSNKAEVEEPILVDDEGEDARDDEPQEPPQPFVFEMAGMKITQAASLETRVQRLEERM MHMEHMVGSRSFNRASHEDATSMQFQDSPRRGSTSKRSTSITRPQTDNSEISLPRHHR YRDAPRSSATQALDPAAPLPPKGSQNRSSSYGSSRPSTISTHNSYHPSFENFPLSTVP TSEVPLPPNFARPLSTSTTIRGIPSSSPTFTKDGALTGEHYTALTNMILAEQNARHEL ESVVHTLQRQLQAYLETHSYPTPGLDAAGDPLQITAAGGGEYSSFEQDDEDESSEDED KVFQTPREERGQFGDEIFGQEERERKSAPRTLSLSQITLGKGNQQQQQQQQHSVNF LY89DRAFT_574783 MTNGSLKKTTVLDTEILHPSLAIRTKEPPNPEALGLQEELPGWH GYLEWEKYPERKKEIKEYMKKFDFPGAPEFQLVPLPKTNPILEGVRWKQYHYALGKAA RDIPAESWLFVEKEKSPDMIHVLQFPYNGEPPRDRLVATSITRNEDHFVRNHGGIPEI DEEKYFFDVEGLVNNPRRITMRELKDETLFPRQSTVVTIQCSGTRRIEQIHEYPGDGD ELINAPWGEGAIGTARWTGVSLKKVIKYCGGLKDPSAANHVEFLGADTYFKKGEVYNY AVSAPWRKVKINEVLLAWEMNGKPLPKIHGFPLRVVVFGYIGARSCKWLTRINVIANP SMGPVQQKEYLYYNSQVGKQNASYSSGFSIQDMPVSSAIMSPLDMDQVIHDGKIKMRG WAYSGGGHWPVRVEASGDGGSVWYEVPYQNLSEKYFHAWRLWEIELPVDAEGWLELVV RCWDNALNTQPTYVRSAWNWDLHVTSSCHRVKVFSINRTRPKTAAKLKMFEKMGVPFL PITQPGEMDLEDEESYLREMEARGGRDPVE LY89DRAFT_636518 MDYEDGPVLNPTTTTTRSGPPRSPPYSQGDDDRPSKFRKHGSKL VSALRSIRNSSNASIAENSLLSPPNSPLGIGRVLSAAFLKNQLEPTVVHRAQFKSRPS VISVDRGVIELTPESSPSTSAESSSNSGKSSVPRQSTGKTSLDSKFSAKSKVASQNSS DNKKPVTKQVTTEGGSNENVLTPIAEVEIQAIVTVEKAAAAKIFFECHYNDLTSRDLT PRSIRRRQLELALQQDATLLPAEKLEKKRAWMRYETDHLRETRVMKVRSVNALKGKDI TGSRYEVVKVLGKGSFGVVRLVREKDDGDDHRNPEHSKEIFAMKVIRKSDMLRNSQEG HLRAERDFLVSAEGSQWVVPLIASFQDLNNLYLVMDYMPGGDFLGLLIRDNVLSEPVT KWYIAEMILCIEEAHALRWIHRDVKPDNFLISASGHLKISDFGLAFDGHWSHDQAYYN HHRYSVLTKLGINVEGDSIDRKEGRTVAAAINMANALAGHKERHEIHQSSYEAADGIL NWRNRYTNRSLARSVVGTSQYMAPEVVRGEHYDARCDWWSVAVILYECLYGHTPFLAE EGGRQQTKMNILASLHHKSTFGFPHKPVVSKRCQDLIRGIIQEKDSRLCSRRYRMQGQ HSGSHQHQDYAGRYVYPHDAEDIKAHKWFKDIQWDRLHQMVPPFVPNIKSMDDTHYFD EEDPISDFSDSHTGSPATAKEIDEALMPFNREIQVLAKSYIQRPHDSLKLKKVEKEID AFTMGEEQKDYLKAFVKHYGQKERKRPRDRLLRDRDLAPRVLELRKKGAFLGYTYRKF RPYHQASFRGHRSQGSGVSASSAGKRTVWHRARLSIH LY89DRAFT_607061 MPLLPVALYGLEVPCGDILVPAVPDFPATFRITMAAIDPTEPAQ LQEGANGSTIPRATLKIIRPPQGSEDDDEDEEYMRALLADSDSDEDDDEEESDEEETN GGPSDPSKSKKAKKAAALQQLMESLGQDSDEEMGDAPNGTKVAKKGKAKASSDDEEDS EEESEEDENEDVEVDEFVLCTLDPEKHYQQPLDITIAENERVFFKVTGTNTIYLTGNY VIPDDNGHNHRHEVYDSDSDDEDDEDYDLSPDEDELELEMDDDESDDLDTLENPRITE VDSEDEEAPKLVTKSEKKGKNKRSADEIDEGTSLDDIMAKSLKPETEEEPKLSKKQLK KLKKNNGEAAPAKTEETKKEDTKDSKADKKVQFAKNLEQGPTGSAEKAKSTDTAKASA AKPATGVKVVQGVKIDDKKLGSGPACKNGQRVGLRYIGKLEAGKVFDSNKKGKPFSFK VGGGEVIQGMDIGIVGMQVGGERRITIPAKLAYGNKSQPDIPSNSTLIFDLKLLEIK LY89DRAFT_607065 MTAPKHLQIGVFFPKSVQLLDLSPIDLLAMMSPEYLCACQLPEP LIALGIPSTIHYISVPENGGHVELTASAILKVSKTIDDPEVQAGKLDIILVPGPDPAS IFEEPALEFLRSHAYWKSAEGKAVDILSVCTGAILLGQSGILKGKKASGPRALVPKMR KTFPEVDWNDNKRWVHDGNIWSSGGITNGQEMVAFYMREKFPGPATEAAIDMAGVGDK GVDYTKGKTSTTLWWLWQILKAVMIGRSKQKE LY89DRAFT_538652 NGDLIYGPNQLNAAIGDIIHFDFNSTNHTVTQSTFAAPCSPLAG GFNTGFNQVNKLNHTGVIFRDFEVTVSTPLWFYCAQTVKVSHCHAGMVLGVNP LY89DRAFT_636527 MQPRDMPGASHETSPLLQDRENGHDGRKLVELSKEDDSHPRTWG KGKKLANIAVIGSMSILSPLASSMFTPGIKKIADSLDATETGVIACQTGFVIMLGIGP LILAPLSETFGRKVLYLSCFTVFTLLQIPTALAKTLPVLVTLRTIAGFFGSVGIANGG GTISDMYDPGERANIFGWYLLGPLLGPSLGPLLGSVILQNMDWPWLFWIVLIICSVSV IGGFLFLRETYVPVLLSERKKHLERSEGGSYYFEGEDDRSLSEKLVQAVQRPIRILFT QPIVLTMASYQALLFAITYSLYTQFESIYGEGYGFDTLQVGFVYLAPGMGFLVAVWFL VPRIGAVYNSLTKKHNGKSKPEYRLPIANIGAVLIPISLFWFAWTVEYHVHWAVTILP TFFYGIGQVAIFNSVQNYYIDSFEKYAASAIAAGALFRSVIGGIVPLFTGALIEKLGV GWGMSVFGFVSVLLAPSPLLFWYYGEWLRERFAISL LY89DRAFT_664282 MATSASVEAQPEGTENKLRNTTSRERKTSRQERLDQIFDTARAR KLKLDQDQGSGGLREQGEEDDPLSGSGCGSASSAKMDTAAAADNSSSADEETFVFRQR SGGETMNYQSTAVQPRPALRKEGSSRNNHPSTTAIRRRGRTHDPRTEGEHDDEDERES WWVRTLSNYGSLELENKGSVARDHLALERTFLAWLRTSLAFASIGIAITQLFRLNTTA ASNGGDDPYKHLRQMGKPLGATFLAISIVMLGVGFHRYFESQYWIIRGKFPASRGSVA LVAAITFALSVTSLVIVIVVEPGQFERK LY89DRAFT_664283 MKFHQVVLLGAATLAVAQPHNHVHRHPARHGSPLDRRDDAVTTT TVPGPIVTVYQLEGVDIPWSEVEEGLADGKYVLVGDVISTVMPASTTSSSSTSTSTIS ISSSSVEQAQFIEQKSSTSTTPTSTYVAPTTSTTPTPTPTPTTTSTTPEAAPASSTAS SSSSSGSSSGSGVTATFPSGELDCSEFPSAYGAVAADWLGLGGWTGVQLVPGFEGLAA AAISYIETAISGSDNACVADSFCSYACPAGYQKSQWPSAQGSTGQSIGGLYCNSNGKL ELSRPSYTTLCQEGAGGVNVQNTLSTNVAICRTDYPGTESETVALNVAAGDTQPICNP DASTYYTWEGSATSAQYYINPSGNGISTACKWGTSGTDLGNWAPVNMGVGKSIAGETF ISLFQNAPTNPSGTLDFNIKITGGVSGDCEYKNGKYYTNNVETGTGCTVSVTGEALFV FS LY89DRAFT_607072 MTTKIPATMRALALSHHCNPSEYNVATLPVPQITQPDELLIRVH AASVNPIDVKLASGFLHTNKHARFPYTMGYDLAGVVAAVGSPTSRFKPGDEVYSRINE RYRGSIAEYALSTVSETALKPTSLSFAEAAAVPLAGLTALQALDIGQREIEGGLEGKE VFIPAGLGGTGSFAVQLAKGVFGAKTTTTLSTGKIPTIKATMGNRGPDVIVDYTKENV VKSIGKGKVDFMFDTMGQTVPALSAMKKGGLIVSISTVPNGSAFKKKNTGMPGWLVVA LNVFDWVLRMWTRVWGVRYQYLVMEGNARDLERMKGWVEEGKVMPIVGARAKLSNIED VRNGCQQILEGKGGIGKFVI LY89DRAFT_607075 MPDSLNVIALISGGKDSFYSLLHCLQNGHKIVALANLYPAPPPN QEDEHDLNSFMYQTVGHTVIPLSERALGIPLYRQVIEGSAVRTGRSYGPVDGEGECDE TESLVPLLRRILRERPEANAVCTGAILSTYQRTRIESVAVRLGLVPLAYLWQYPVLEP GRSQSGLLGDMRGVGLEARIVKVASGGLDEGFLWEDVVSERGVSRVERAMRRFGVEGD GGVIGEGGEFETLVVDGPRWLFKGRIEVKEEDLEVVREGGGSAWLRIRDAKVVMKDEE EVVVKECRVPSLLEPRFQGVLDEVSKFDETTVQESSGKWLEKYTNGLYKPSSSLALKK VDHTLFTTDQDDPDLDIEEASKKAITDIRQHLSQLTLEPADIVFTLIILRSMSDFATV NKIYGSLFTQPNPPARVTIACGTLLPTTTDLLTQIRTLKSPTRDALHVQSRSYWAPAN IGPYSQAISVPISSNESIMGTYIAGQIPLVPHTMALPELVESGEFMGDFKRQAVLSLQ HLWRIAREMRVGWFTNVVAYLPCCADPQPTTIQTQARIASLVWTSIHRKPPADADDED IDVDLWEDKFFSGKQVQGATKTERTLPDWDMVESPSLAGLKSIVPPFFAVEVEELPRS ALIEWHAGLGIVGGRVKLYCEEEDGNEDKWRIYQCVVADSVVHSVVMIPWVEGSERRS STFEKAISVLGSQDGQAHTAYVDHEASDIKSSSYCGTVVPCRSIWGVSGEERLAAVLL FEMSC LY89DRAFT_299901 MDHSYHHVQAMGQQSPFFYYNPDPKPDNRQHGHFSQQPSNIHVP VYHPHVQPMPSTPIYSRPNSACSQPPMPMQMYNNGFPMNMTPMASPRPIYQKPTILVQ EHTPRLMIESDTRDGEMYYYPSTPPLSASGSSISSPSNCEGLPTPMNTMFFGFEGFEG VKAGCQGEVQSENLAGGEWARCGSPPMTPVFIQPTSLMSNGNAKELLSAASCPSLSPS PSPYPRSVISEQDFDFCDPRNLTVGAGQSPNPPVTKTTVPTEFPQLPTLCAGDDEAHQ FMLGGETYNKVAETQAKFNFSTQAHNHGLPTFDQYSDLDSEEDFVNGLVNFPTIENVQ FFGAKRQRTNSGSDLLSLDHEQFISEDEFEDFEEFEDSEQFATACLPSPPASGSETEV KKEKRSKKSKKASCDEDSTEFDNLVRSRKYTVPMNAASGAPPQQTGEGQQTSTAPSQS GSSEAASTSNAMGTGSDAGNAQPTVNRRGRKQSLTEDPSKTFVCELCNRRFRRQEHLK RHYRSLHTQDKPFECHECGKKFSRSDNLSQHSRTHGSGAIIMGVLEDGELPSDHLESA SDGEHIQQLGNVLFRVAAGASGSDTEATSDSSGNDGQSRKKRKRSE LY89DRAFT_299929 MGPKGPEDRNARVDRKLLAESDWTTAWTGQKLSNSCTGGLSDDT YARRGVLSGADWMMRDEIIDVSWDRAGMQNDSEMLNEGTEDDREGYKGTSTSRRCSKG SSTKGKPRDGIRRMDWCWSSRPEERTNECVVTGTLQIK LY89DRAFT_762700 MAYKVNGVGQEQESNEWVSGRSRTLVWGSRQEQQPAAVEWSGVA VLVGVWYSSQQQKQHEMGWDGVDGLQDRTVVCLAWGRDPGLFVFDATSHREVMGLWVW DGLSWVTECVHGEDYETVKTELDVRVTEVSDESESEDNSQELAGTGWVGSTNSKTSLG ESSESSPVKQTKPKTKPALFHHVHTTKAANPPFGCALDE LY89DRAFT_300263 MHARWLRARMASRGDGVVHPIRRRAAKQKSCEERETRWQPLKTR STPPKCTLDKHHQRARQHDTGSRTHWALPNVSNCMLLFFRKRRHPTIPVLCLVRQHEL PLCSLIIAHDLRGSRRPGLSIVIQSFSPPGAFSFHFREPAPPCIALEAISRVWGG LY89DRAFT_680620 MIRRKSSEPESSSSAATGHKKKEGEGLDTWMGGLHYQRGVLQRE PLRRWDELEVWQQDDNQFIETGYRSASGSLSACFYSWKYLHNESVNIYSHLIGAAIFF ILPAYLFRKEIPLRYAVATAADIIVFSAYFFGGAICFCLSATYHTLLSHSKSVERFGA QLDFQGVILLMWSATIPLLFYGFHCDVDLRNAYWTLLSVLAVICSISTFQPHFRGPYL RFVRAGTFGSLAIFTMVPVFHGTFKYGWAVQNQQMGVSWVITTLVVDILGATAYALKI PERWWRKTFDIWGASHQIFHVMVIVAALTYTKALLQAFDYVHADGKSCSTA LY89DRAFT_762712 MAAPIPLAMVPSTTSFPTLHHLGNSSSGNFSSCNATSLQPSTLF FTGFQAGHIIYMIAALAGVIVSNACLAIRLASIKETRSLLKLALVHIIVIIIYALGCI FCMSACLLYFGHTIYNQSSCEGAIFLGLVFYLGQKAFLYLFLVERTHSVQKKVLTRLQ DKIYLSGLAMVLVGFTGIVVTTFFYHVAVYNTDQRVCHIGLTRQIASVFLTWDIFVNI FLTAVFLKRCGPYMSRGYLHTFVTPAIKGTLEKLTFFRYAFAAEQEQSMGIQQKALVK VIQKAVWGCLALVPSTVINFALLIYFAGRQQAWFFFTFATLGQFPQSRKSFPTLTALD VTWAVLVIHWLTNSPHGMSSQPPTSERLRRTPSIELLQVPPSPAAGDHLVVKCRCRRT LSV LY89DRAFT_762715 MVDNAHKMPQLRTSLKSSGSGTSESAWDLEYHVSHLAAMRNMTK KVLKEQFQDLGLVPEGEDAGRWWKEWEDTMRAVLEPSTSSNGSYVLKKDMWARVTPED YPYKTQKDRKKAEARKVKNIRVDNFGFLESEPEERMEISQTRTRKRPADLKAAGPANE SSSSKSVARMTQTSPSLNAGSSRATVTPQGDIAIQLAQRLTSREASSTTITDTIEAKQ NQETLKTAERMERINQCLGEHQPTVAGVGEPNQDLVPEPDALLERQETPQQHLVLKLK ISKRKLEKQPDSDRPSKSQKSSHQVSSSAVDGRSTQPSDFREKFEELVVEVERENHGL KEEINKMKLAGETSQQKSEERVKELEALNSNLRDDVSNKDGVIKQYEADLTEEKSKSS ALQIEVDGLKEAAANSLVQKLQSEVERLESEHETMIKDKLSAEREIERLKAIEIKYNA AGKYF LY89DRAFT_714267 MASFTNGASIPPPPMEVNGRLPSPPPPPPDSLVPPPPPDATAPP PPPSNDLPPPPPVDALPPPPEELANGLVKKKKAGWGAQRDRGPLSIEDILKKKKDADE AAAKPKFLSKAAREKLALEKRAKEVEEQKRKLEAERTPAKPSTNGHAVPNGRETSNRD SQNHSNGRGSSSVPTGPRAMRHGDAHTVPAPSRNSQTNRTGDMGPPTKPASSTGKASL AGEKRPANSDDTQAALIRTRYMGADTNQSTFSAKKKRRRTTEKKFNFEWNAEEDTSPD YNPIYSSRTEAGFYGRGRLGGFAEDLSEAGALKYAKALQERDVEAGHARAEQIMEMEK RRKEDAGGRNSLDKHWSEKKLEHMRERDWRIFKEDFNISTKGGGIPNPMRNWQESNLP KRLLDIVAQVGYDQPSAVQRAAIPIALQARDLIGVAVTGSGKTAAFLLPLLVYISELP PLNEFTKNDGPYAIILAPTRELAQQIEVEAKKFATPLGFTCVSIVGGHALEEQSYNLR NGAEIIIATPGRLVDCIERRVLVLGQCCYIIMDEADRMIDLGFEESVNKILDALPVGN EKPDTDDAEDAQAMSRHLGGKDRYRQTMMYTATMPAAVEKIAKKYLRRPAIVTIGNIG EAVETVEQRVEFISGEDKRKKRLNEILASGEFQPPIIVFVNIKRNCDAVARDIKHMGF TSVTLHGSKTQEQREAALASIRSGATNVLVATDLAGRGIDVPDVSLVVNFNMATNIES YTHRVGRTGRAGKTGVAITFLGNEDSDVMYDLKQMLMKSSISRVPEELRKHEAAQQKS TRGGGGQKKIEDGTFGGKGAGW LY89DRAFT_607087 MATNTKKYLRLAQSLHPRLSRFFARYPPQAILSPSGTTVPPSQD SIIASADHIPSQEDASASPNPFKAQKHPVTGRWHDPVFSLRRQADLVKLARKYGVEEL LPYTVKGTEERIRKRVENGLRVKGTGVGQRVKGKESERTLKGRLEKRRQAMLEMPQMI QTWKERGHGRGWKKWPK LY89DRAFT_693845 MSSLDDVKDQGYGELVDTYGNKFQIPDYTVNDIRNAIPKHCYER SGVRGLAYVARDIASLAITFYLFNRFVTPENIPSTPVRSALWFLYTVVQGLFGTGLWV LSHECGHQSFSESKILNDTTGWICHSALLVPYFSWKISHGKHHKATGHMERDMVFVPK TREVYATRIGKMVHELDELTEETPIATLIHSVAQQLLGWPMYLFFNVTGHNYHERQAE GRGKGKVNGYLTGVNHFNPASPLYEAKDAKLILLSDVGLAIVISVLVMLCKTYGFSNM AVWYFAPYLWVNHWLVAITFLQHTHPTLPHYTAGSWNYVRGAAATIDREFGFIGRQLL HGIIETHVLHHYVSTIPFYHADEATEAIKKVMGKHYRADVEGGSLGFLQSLWSSARWC QWVEPSPKAVGEGKGVLFFKNRNGLGVPPMHLKEVKPKSRRTRR LY89DRAFT_575774 MCANAAPTTSLSPFTSSSRSLFSRLTSPLKARSRNLTDFYIRPD EPHRKYSPGDLVKGAVILTVVKPIRITHLTVFLHGFVRVFKNPNSANEPLPDPALTSS NPKKSQYFGNGHASLFQDESTLCGEGRLDIGVYEFNFELEFPAKGVPTSIDFERGTIS YLITATITRPTSITATASCDQKLALVETVDIGPLPPPKPRTISLEPISRRARRRKTTK AKGSPSKENADAGSGSEAVRMAGSPLPDDSASQCGSTDHHATPRSPVPSEIQSTVSAA NSADSTISSSTGLSFRLGPVPSSAKSNRESPRNSSKLSLADQTITATIELLKSGCLPG DNIPLKISIKHTKAIKSMHGIIITFYRSGRVDSAPPLSLFSDMKGKDVEKLKHEEYYP KSKTGLGGLSLSSAGSSSMFRKDLSQTFAPILVDPVTLTANVNASVRVPEDVFPTISG VPGQMISFKYHVEVVVDLGGKLAGQQRHVPRIGAVTSTARDPNTNMLAAWGGGIVDTE NIRREKSVVACLFSVVVGTTDSARQRARGHSSARRQIDEWSEGASAHIPQAHEPIYEE FNQGQEDPYHGHQQNYYPYHDQQYGPQYQEYYPNDEYDYEEYYPDQYPPPALDQIDVP PPEMQHEEGLSDKERARRAEQRLLPSQPPDDTPGPSSSRTVIPPSAPPLFAPETEEED LYSTEDVTPVATLDGLHINHNGTDENDTLPSAPALEDFGPTNRAQATEDKQELERQRL IAEASAPPEFPNDDDDNAGEGSSGVQHEPTAPNIPDDDRYDGQFSHLDLSGPSSRRDT LPKYER LY89DRAFT_680628 MAPKNAPPAAKQAKILEYFKESMSVFTLKELEKLLPAVASINQM QVKDNLQALQDEYLIRVEKIGSGNWYWCFSSEAKKSKENALNTLKAEESKLQASIADA ERYIEEEMAKREDDEEMLEGTGMDRKALLETHEMLLREMDGLDKELARYSDNDPAEVL RKVEETKALKSSAIRWTDNIESLESFMTNLAGDRALIAQMMQSACGDEYVPGEGLKDL LY89DRAFT_704198 MKLESPVLSILGRDPARIHRINANSFQQHALTTSNGWQYAAFYT DKASPDEQNGKCLVNLARRNVLGTKKDELSEWSIMTFDDYEQSVDDGHNTISIGVCKG DGTIHVAFDHHCNELKFRISHQEIAHKPSKHQWDTSIFSKTQNSLPGLEVDDLMKEVT YPGFVNIGNDLLLTYRIGQAGAGSDILYRYSSSTHQYTYLGQHLTGISNNPYVNGIDY RLSRLHISWCYRNFIEFPASAIPDAHKQQAGPNGPENNSDFNYAFSDDVGHTWRNSEC QLLADLRGSDDKSVEVTIKPGAKGARVFDIPMNSGILNQEGQALDWDGGFWALNREKV SGVEKWMVYHRDLNGKWAKVVLESASKPTEIGARGSICVDRQDNVYTILPGNSDSSLE IMQAKREPGHVNFTQIWLGSGFDGEPLVDVQRLEMSDMLSIFTRTSKAENGVSDVVVL DFKLGGE LY89DRAFT_714272 MSEIKAILCPEACAFPPGLFHHAKIHNGVVYCAGQVGADVEGKL VSEDVAAQTEKTLSNLKAILESAGSGLERVLKVNIYMPEQADYAAMNEIYKKLMPDPK PPRACVFVKGLPGGAKVEIEFIAAQS LY89DRAFT_300595 MTLVPPIHIVGGGLAGLTLARCLRNRGIRGIIFEKNPSPAKHNY GITLQPRTCQALLKVLGTDERSFRGKVAVDSLNSGSGLVSSDRSNSVSEGFSLPFRAH CGRLEAALRDDLKIQWSHVLENVSRSGDECILEFKDEKTVDARLMIDTSGVHSRVKKA LLPKLNLDVLSYVVFRGTRRIDGRTFKELYQSKFQGGTTIDLRKDDVLLQIWINDYQG DSESVDISYVYSRPTRENDSLHRPGRAPGESSDISESFFEELTQLSELEQPFRDAFDG EKLKKDRILHWLMRSCLAPLDELIQFSRIGVVFLGDSAHTMPILGGEGANFAIQDAVE LAEYIAEKGIEGVEDFYRKRYGEWENEVKGSKERLHEMHEMHSTTRSVL LY89DRAFT_693852 MHGEGEVTGAGMDVTKGREILPANVIPKHYDLTLEPDFKNLTYE GTVIIDLDVAEDSESISLNTLDLKIHNTKIISGSRTISSSPKVSYDEPTQTTKVEIGS KVPKGEKAQLVMKFNGELNDKMAGFYRSTYKNTDGTEGILATTQMEATDARRAFPCFD EPSLKAEFTITLIADKHLTCLSNMDVASESEIQSEMSGSTKKAVKFNKSPLMSTYLLA FIVGELNYIETNEFRLPIRVYAPPNQNIEHGRFSLELAARTLAFYEKTFDSDFPLPKM DMVAIPDFAVGAMENWGLITYRVVDVLLDEKTTGASTKERVAEVVQHELAHQWFGNLV TMDFWDGLWLNEGFATWMSWYSCDKFYPEWKVWESYVIDTLQGALSLDSLRSSHPIEV PVKRADEVNQIFDAISYSKGSCVLRMISKYLGEDVFMEGIRQYLKKHAYGNTQTGDLW AALSKASGKDVEKVMDIWTKNVGYPVVSVTENSSDKSIHVKQNRFLRTADVKPEEDKT LYPVYLGLRTKSGIDESLVLSEREGNFKVPDLDFFKLNADHTSIFRTSYTPDRLEKLG KAAKDGLLSIEDRAGMIADAGALAASGYQKTSGLLNLLKGFDSEKEFVVWNEIITRIN NIQGAWMFEDQKTRDGLESFLRDLVSEKAHQAGWEFKESDGHIESQYKALLFSAAGSS GDKTIIKAAQDMFAKFAAGDKSAINANIRGSVFGMALKYGGEKEYNVVLDTYRNAKTS DERNTALRTLGRARDPELIKRTLTLPFGGEVKEQDIYMPVGGLRTHPAGTKALYNWMK ENWDELSTRLPAGLSMLGSMVSICTSQFTHLSDLEDIHKFFSDKSTKGFDQTLAQSSD AIRAKAAWIERDGQDVKNWVEAYQSKTVKSEL LY89DRAFT_300619 MTFVPSYYEILDLPEAFRDEANLPAQTLRNAYRRALLQNHPDKS ANGPLTSTQTRYSIDQITEAFSTLSIPKSRAKYDTDLKLQNAAINSEKSKQILYTGIE TVDLDDLEVDEARGIWFKSCRCGEDQGFLIKEADLDEAASEGEISVGCRGCSLWLKVL FGVIEDDILGVHTANGTTEVHENK LY89DRAFT_300633 MLPESNSHSRKLSNTKSCAILLSTPSYPKSEAQNHQFNPILFLN AFLAIFAGTGGDPSSCNICRTCGIVNAFNSGIFAGKLGIGWPVFLLTRGSSLALVWER AMIEIVVHFKEQIVCLCKIIKSHFTNSRVVAVIDLNEKALSPTSLVCWVLPDALDASV ASAILILDLFVVLVLYDNWTWTNACTVREVVFTKVDPSRGNT LY89DRAFT_693854 MSSVAFDFDVDRYINPFVPRSRLYLLPKPISWFLGYRSKHAPSL GSVLVWWWAFIGAFCSILVIEAVFQTTTFKMEKSPVVIASLGAAAILEFNTIESPLAQ PRNCFLGQILAAVIGVSITKLFQLNSNFENLRWVAGALSVGITSAAMGFTNTIHPPAG ATALLAATSDDITNLGWFLVPLIILGSSLMLAVACIINNIQRQFPMYWWTSADLSAPK QSDYENQKEESKEDVISESAKIVVDDERIVMPSWISLDDEERSMLEVLRSKLQEGLRG TKSRDILNEAR LY89DRAFT_300658 MKSFFTIGFTATFATLAMAESTAHRSLHQSKIKRGFLTCEQTYG GGSITCGAVDSHYCYNPTVGESCCPLDDGYCGKGDFCAPVAGYCCHDTESPGVCAARL SFTLPTSYDVSSVPVATAPPASASISAAPELPTSTIQIALAPGPPSAVAIGFGDIVST TTQGTLVPEMTARVNLFNLTTATVTSTADGIATSAPTYLQASGAASNAKACFGAMIGI IGFVFLVL LY89DRAFT_762721 MIPAVPFRLSAVSSLISLCLAIPIISRKESKPIYYLSNCFLSTN ISTQDAEIDYHISAPKSFPASKPKLISVLNPTESIDYEDSTVMTLPGSPFNLTVVIGD DAYTAKAGTVVGSATGSSVKGTLKCKRLTRIIVYESGETECYADYACS LY89DRAFT_704202 MVVCAGPSLTVESYEDSDTATSTGKSEEENKPVYRRRHSNFHPR RKSDGGMEDDRLLLRVDIFLSELERRLDFLENYGNLNFDAGISRAYATLQAVRAHCSQ VSGEVIGAGRRRARVMVETVESRYQDALAAKETLNEKIHTGIGLLETILSDFETRAYK MREQGFAGAAETLMGEGRRVVDEGFERAREVVDEGIERAKKAAESMEEHVQRAIARAR EHGLIRYEDLPIPWRVNPHIVKGYRFKESKVDCIRSMFGISNELVNIWSHAIGLMIVL AIAFYFYPTSVNFSLSTKVDVFIAGVFFFAACKCLVCSTMWHTMNSVADQTLMERFAC VDYTGISLLIAASIMTTEYTAFYCEPISRWIYMSATATLGVGGVILPWHPTFNRIDMA WARVAFYVTLGATGFAPVLQLNLTRGSAWAWEFYAPIAKSITVYLIGACVYASQVPER WCPGAFDYIGGSHNLWHFAVLGGILFHYLAMQEFFSGAFARAQNGCALY LY89DRAFT_680632 MGILEQISGPLAEQIAQRGTGVVVAGAIGAFLVVTVVLNVLSQL LLKNPNEPPVVFHLFPIIGSTITYGMDPYKFFFENRAKYGDCFTFILLGKKSTVYLGR AGNDFILNGKLKDVNAEEIYTVLTTPVFGKDVVYDCPNSKLMEQKKFMKIGLSTEAFK TYVPIITGEVENFVKRSPEFKGEKGTVDLPAQMAEITIYTASHALQGKDVRDRFDSTF AALYHDLDMGFSPINFMLHWAPLPHNRARDHAQRTVAKTYVEIMENRRRNPEKHQKLD IMSQLMGATYKNGTPVPDLEVAHMMIALLMAGQHSSSSSSAWIILRLATRPDIQEEMY KEQLEVLGPELRPLTYEDLSKLTLHQNVLKEVLRLHTPIHSIMRKVKTPMPVSGTKYV IPTSHVLMASPGCTSRDATYFPNPMLWDPHRWDAGSGGVLGTDVEEEKFDYGYGLISK GASSAYLPFGAGRHRCIGEQFANVQLITITATLVRLFKFKNLDGSKDVVPTDYTSLFT RPTSPAVIEWERREKA LY89DRAFT_728629 MATTMSAPLNAEPANPEARQQQNLAPKSYADAVEESPPLTNGTN GTGGVNGMISTNKSTDVNGTKDEGKHKASVLRIVDTGAPDTKENQTERPQVERQESKQ EYSATGLDDTPRSPGRVKHRKAPSRSSHGSQDSNTPKDTDVKVNGNSKVDTKEKDAPV FEKVEGEQNGSKLISVKPAGNYKQQLKTAHNQEKPKKEEALASGKKAGQRWERSAIRF APMNVPLHRRLQTLVVLFHTLCIVGCVSLFFFLCAIPLFWPLLIPYMIYCMTSKASTS GTLSHRSEFMRFLPVWSLFASYFPARLHRTQELPPTRKYIFGYHPHGIISMGAFAAFS TEALGFSQLFPGIKNTLLTLDSNFRIPIYRDYALAMGIASVSKESCENLLSKGGPNNE GMGRGITIVVGGARESLDAQPYSLRLVLKRRKGFVKMAIRTGADLVPVLAFGENDLYE QVQLDEHPNIHKFQLLVKKILGFTIPLFHARGIFNYDVGLMPYRRPLNIVVGKPIRVM QSSKPEQDEIDRVHEEYIQELERIWETWKDKFAPDRKEDLQFVE LY89DRAFT_575862 MQPTTIVTSEPPTTKSLKRGRTENSANLTSISNAGLVGSPSPKS PRFKGTFSPGFSPAPLTGAAALADERRKREETQRSQSSAMSENPGHRALASLMAGGGT GMSKPQDAPVATVTMSEGMSTAANAISIPSPMQYEDKSETSPASVTSLASLGSTAQTA TASSTAIASPAAMTSAIEAESRDLRAPPMTQLQPHDEQSTNRALSFPGNVLAQADSSR LPPRGMSLPMPGQQLAPRSPSQKKHKCPYCETEFTRHHNLKSHLLTHSQEKPYVCQTC NMRFRRLHDLKRHMKLHTGERPHICPKCDRKFARGDALARHSKGQGGCAGRRASMGSF GGDDDYEGSNAGDGDDTGMDGIMYTNGTPHPGENEMSEEDRRRFSLPSIKAQHVSGSG SGDNYTAHSRTPSTYPPAGPRPGQSGGGLYPPNPDRGSSNSNASPSMQNNVVGGGHTP NTSISSLPLSTGGSSIFSQSGMTESPKPLSPAGMVSHQLGHDSSINRQRSPSLTTQFQ QQHFGRRQSGRASPPGMSLPSPHNTSHGPKLPGLAGLAPPDQRYTLPSQTPTQQSSAN GSQGAQQGQPGPGPTSPNTMFQPQGGLTPTRGHPSGPPHHQGSGSGDSSNNLFAAGDR GVWAYVQSLEDKVKQLSEKVQVMESKEKSQEDKINHLSEQVFSLRNQLNAQNQSQHPP NQVQS LY89DRAFT_680634 MAPTVILIRHAEALHNVSQHYDLHDPALTDLGFGKQCDDLANHL QSELPLAQKIDLIVVSPMRRTIQTAQQGLGWLMKRGVPVILRAEWQENSAKPCDTGTA IPIMEKEWPQFDWSLVDPEYPTKEGLYEWSRQGLTERGIAAKKWLSERPEKVIAVVSH SGFLRVGITNGKLFENADYRIFDFGKDLELKEWELTETKGGGLGKSMKDIKPMGLGDY PERVETELKEGVNENPS LY89DRAFT_680637 MSFRFTAAHSSRIKKSTKPPNLKRNASSGSPFAALPRRKPVQRS ASKPEPTGEDDEDLFADHLDDVGLVKALATDLTLRDVSQAILYIRRKMYSTIPDQRGG MNSTRIAEVLNFHSSLPPIVTVSHVQALLNSPTTVEREIAELIKKGAIRKIVVGGRGS MGEALIMVKDLDDMVHNSNLEQALKDRFLEILHEHPTALKIPRSMLSEQDAKAVMHAG FLTTATPNYTSTDAFSKLGDGARGTLTSLNSISKAASGSLAAVGGEGAVHAAGGSGGG AKLPGTGDYSIALPTTGPFLKLLANARAHLVTLLSKSRSREAPEQLLRQRWEGNVESL DAASTAKRNRGEFAGVLPGRTRKWKQFYGISFEFILEECVGAGLLEVFDTGSIGRGVR AI LY89DRAFT_301115 MAAALPRPSRPSYGPPNTALPALPTTKTRKSTGNIPSGSEAGTA LHPLPNLPKSGLRAPSASHLALPTTPGGSSTLPKSKATPGVNSAGKTIRKTISINAFP QPPRGIRTTSLPPSPLSGGAAPGSSLPSRRESTDTSPAGSSKPRKRKTGSSPKTQSYA AGVTPSLLNGSGDSRSISSGPGARGSDGLLSLPSPPQSRSSSAQDSYSTSATTFDDTG DGPRGRDASDSTNDNSKLTEGKGNVIVSVRVRPDAAEGKKTENGEWMVDGRRSLVAYR GKEGGDYYYDNVFATHDNNAKVYDASAKRLVRRVMEGYHGTVFAYGMTGTGKTFSMQG TATSPGVIPLAITDIFSYIRETPSREFLLRVSYLEIYNEKIHDLLSAPPAGTGPGVPQ QEEIKLREDSKRGVYASPLKEEIVQSPTQLLRVIARGDHARRTSSTQFNARSSRSHAV VQIVVESRERIPGSGAMSENKRSGLLPGGVRVSTLSLIDLAGSERAAETKERRTEGSH INKSLLTLGTVIARLSGDKDKDGKPTDKDGKHLPYRDSKLTRLLQGALSGDSLVSILC TIQIGSAGSTAAANTHTGETINTLKFASRAKNNIVSHAKKAEEALGAGGDGGARVLLE RYRMEILELRSQLDGQAKAKSEDDEREREKEAEQRHEEQMLEMQLARTALKERIEHLN RLILSSKSTGVNASGSYSSLGMHPRLSAMTSNGHLSQMSARSSLAQSTTGRNSLERTS SMKSVSTIGQLPKSQRLSGERLSNGSVDEEDSLGEFGDGTASLAAQNRALQADLADKT RYCQTLEKRLLQARRSSHSRTSVGFSNSKNGIMVGEDHGVAALLKEKDAEIADLRARL DDKDRMLTALRSAARSRDTADRDPRTSQIQLLDNGPLTPASARASQLLDNGPLSPILS AGPVGLLSPKIRKRTKSVDEMSKMLDEMIQDRVESGQLVKGVRGSVRIASERKRDTLA EALTTLEPLKNPLASEAPAMVAEV LY89DRAFT_714284 MGEGSRNRDDTPHSRTLCAGNEGTLDPGRQKEEKEKRIAKRFRT GEAQEGAGSMVSHADCRLQAESGNGRSRLAGMNAREKDWKKRREGVEWSGVANHGTIQ SISSSCRRRVKKGKAANADLISEGFSIIESQVPTLIFTHSLTLGILSAFALNSSSPRN LNFPAAERTAVVIFHATSKKISASLSLLKQPATPITHQSAPPSCTSHGPVRLISSRSP CLSVRWINRGPVLSRFWYNEYTRRIIPVIPTTTDTHEPALYDTKKKIQPSHPAPRFLL VVRGYVLVSHLHIVYDVVRRPKYRNYVRVLVVFSSISTAPTSFG LY89DRAFT_664314 MPPHLDLAKTYTHADMILSSRKSPRISLRPAPFTPLDKGPLSST SSRFNFNHLIVSPPPSPGLPALVPRHGKPVPKHTPRRCLRAAIWLAGVVVIIYYGFSR LTLDHGVKPVGWATHSGDQYEMVGESELPDFPTPVVVTDGRGRAKWTVSIPPDHEFPL EPKQYAEICTQNMEVSNHVADLHAHIHHQHAAHYDYYHVDPHFMDVAEAEAHGLLPGP KAKTSMKEDGSLIGENKDGLIESAVCEKTMTFLLETHDAGLGKMLMMMWTAYGLAKKE GRDFFIDDSRWVYGKYTNFFRPPPIPTCRPPPRHEMLPCPHHARHLIVSAVTAASTFG GAFNEAFEDPRKMEVYRQKPIFDLARQGYNALFRLNEADQKYVDERSDELLNKTFGPP PQEDQKGIIIGIHVRHGDRHPYEFQYRDSYMPLDRYAEKAQELLHKAFNDSGEENDMA LARSLMVVASDDPEVYESEEFSRSPRAQEQIRLASNKVLTSTPPPSGVAAIRKFVEES VGWEGGFFAGMFWSLGKPTSVPATAVEAPDTKLPPTEEALRLRELVGRAYLMDLAVLG RASDRIVCTVSSMGCKVLAVMMGWESAVVDGRWVNIDGDFEWRGVSW LY89DRAFT_607135 MDEDDEYGDIPDEDFIEALSQPSQTLPELPPAKRRRISYASDDD EIATPRPRRSRESTGDNIGSGEEENERAKKRKYKIHIADKDVPAAKTLGATQAEALPD SSPYRIRGPIYKKARPEPPKPPPPPRAASARVDRPAPPANTFFERRVSNPLPRPQSQI QIHEELVDLPSDAFSSPESKEADEDLIFIGSSPLRQTQDNSRPRQRLVAPQQGLRQTT LFGGRAAAEPTPSQAKNVHNFIVDKPPEAPTHHALDLEALKTWVYPTNLGDIRAYQYS IVKHGLFNNLLVALPTGLGKTFIAATIMYNYFRWTKDAKIVFIAPTKPLVAQQVDACF NIVGIPRSQTTMLTGDQPPVLRAEEWEDKRVFFMTPQTLDNDLRTGIADPKKIVLLVV DEAHRATGNYSYVKVVELLRRFNKSFRILALTATPGANVEAVQEVIDGLEISKVEIRT EESIDIQQYVHRRDIDQIVLDPSDEIIMIKELFAKALQPLVNQLCGQNAYYNKDPMNL TPFGLIKAKAAWFASDAGKRANPGLKGMILGLFAILASISHSIKLLNYHGIGPFYSAV KDFRQGVEDANKPGKWKSKITDHPDFKKMMDTIALWLSKDDFVGHPKLTYLCDTILNH FLDAGEGRLGDDAPPSSTRVIVFSEFRDSAEDIARVLNRHGPMIRASVFVGQQDSKRS EGMNQAKQQETISKFKKGIFNVIVATSIGEEGLDIGQVDLIVCYDASGSPIRMLQRMG RTGRKRAGNIVLLLMRGKEEESFAKAKDNYESMQKMISDGTRFNFRHDLSVRIIPREI TPVVDKRIIEIPIENTQSKELPEPKRRVAKNKKRPPKKFHMPDGVQTGFQKASKWTED GIKVEDSDVSIKPKKVAKTQLAPIPAMKSVFLTPAEEKELHRKYLTVSDDDLQEVAMP DMTAQTAAQRLLAATVKVPHGQYTRRCVTLFSTLADSQDESERYLKPYGNKEPTPDWD VPKIFEDEDMVVMKPARKTKTKQSAKPKPKLPKRQSLVLSDMESEGEGEDIGFPQGGY SQGRALAAAMSDNDSEGEGDDLLHSELPSQDTASDNWGSLKDFVIEDEEEQSSSRMEK RAMPRSSTTPPTTDATPKANRKRFEATQDTFDEDEELPDLSQLTGRPPATAKSVVEVV SDEDEDEEEEEDEEDDVRPVSRGKSKRQRVVESDSDE LY89DRAFT_680641 MSMITATAWVPRGFAAPFPTKYEFDEDEFQRIAELAKLQLDDAN EDLDEARKAIVEGNGADGVMKDEDVKSSAKAMKSEADEDDDLKEYDLDHYDEDVANDN GGEGVGMGMFGNVKSLAYHESNADDPYITMQENEEDDEDREELQILATDNMLLAAKIE DEVAHLEIYVYEDEADNLYVHHDIMLPAIPLCVEWLDLPVGKSTVDKESRANFVAVGT FDPDIEIWDLDTIDCMYPNAILGQGGENSGAGTEELKKKKKKKKSKKANDNYHVDSVL SLAANRHHRNLLASASADKTVKLWDLNTTKCAKSYSYHTDKVCSLAWHPKESTILLSG SYDRTVVAADMRAPDAKAPTWGVESDVETVKWDPHDPNFFYISTENGIIHYHDIRKAP SSPAASKPVWILQAHDESVSSFDINPIIPGFMVTGSTDRQVKLWNIQPSGPTMVVSRD LEIGKVFSTTFAPDEEVGFRLAVAGSKGLVQIWDTSTNAAVRRAFASRVAPVEGEIKE RLVGVEDDSSESDEEEGGAETGEGDADAGEKGWESMDED LY89DRAFT_301135 MVRSWSIAALNLFPKLIRFFQVRNGTTPGGFGAREESRNGARNH GDRDPPLAACFDSPVSDIRTEGGSDDNHHSHGSQTGPSRAKARASAQGKQLNSSCRTS HRLTHPTEYNISAPPLLKLACELPLCRRHTLTLFLLCFYFRLHSQQAKQVVTPQHDLE ARRGAGCRQPSRCTSSRVLLL LY89DRAFT_301161 MLLFNAWRQLIRWQGWGWTHGERKLRRGSSLTVATVQMPLVRDP RRPLLFLVARSNPTTSILPFPCAFIPCSPAGPASKARSPVQPNPSQAKPDAAPNEGKG RGEEGEGFFALPILNIISPIGPFFPQQHQ LY89DRAFT_301176 MSLSRAFTTRRAKAASAEATPDMPKRSITVRSGGTIRHKISAPI ELISTTNMLSYNAPDIFPSSSSSTSSHSGDESDRSPSTFSSPLTSPDSSSIESSSPIS PEPNHLSCYFGAPSRQSASDSEAPVVPKRAVSHTKSASLQRSASRMSSRKTSTSTARS SINMFSGNVETVEHHPFGNELAQVSELAEEYGISKEKLAIVDEEEQELVSRGLFKFRA EDYMSEIHGLFMSAFGDARPTMSSMWI LY89DRAFT_664320 MGPRTMFKFVASDGIGSTQKRHQARRACENCRRRKKACLHTEPP PTSKSNTALDNKVGVRSPTSPIYVSDGTVTSQQQVRQEAEAQSSTPAAAEEESLHGGK DVSTSNEETHEAQTDLPAGSPRQISRRQEDPNSRFIGDLSPEGIFLAATSPDATQGGS VGVWLTTNAPMTSSHTSAHTTQSPSNLFYGTGSLVQQVLVPMLEQQCLSTLPPPAKVT ALTKIYYDKVYPILPIVDEDDYQDLPTLDPHRILLQQGICLAASKNFAARQHLVLDES GSPLTCREFGERLSGAMRMSMEMGLVTNRVVMIQALGLLTQFADNPAGDDLSSQLCSR AIHHAQSIGLHLKEDQLPNSKRLCCCIWAIDRLNAALYGRPVLMHERDFRADFSSHFE TQEPGFRLFLRVIELLDRVIEIFRPASSEDPILTWEFPSFEDVVLKCGVPNLSMSTLT AIETLYHAISILSCRSKTWADPQRSSTSFLRQSLSTATLSSTVINELQDHHLTLFPFI PYAMSLAMSISYREMRHSKLTLHRTRARIQFQTLCDALSKLDGIFWSASATSDMGKKL LREMDRVVSTVSNSSERRLEQHSAQSTENSSIAATAAMDHTMADDQNMLNGNGDVTAH TMQDVDPSIFESISDIDLFGMFDPAFDLDGFDACLEGNLNPALPTNFQ LY89DRAFT_777602 MAFDDGAKTPASLVAHSPVVKRQRTANGYNSPSTSANNTDGYNS ANDDATVVPDTPGDRYQTQPTQLLPQGSKLRSSSPDTPEIFVQVPVSSPISGREVTPN PSRANGRVPQNNGSVPTNLAKIMAPAGTSFRSPVAINLKPFPPVADDGEPRYYQEISS DEENTKDLANIKPTKFVSGSANSSFGAPVSPSKDARDGVNGNSRFQSILATSAYQGPA NRKPASLSSGYGALKKPQAQNGPSRAQPVHTIPENLSDVVKKQLEHIRSVMPSKSKST AYAALQRAKFNVDGALTILLDEPEVITIGSDDEIESPQSMKKANPQLKHVQVPVASIS ERYSSMHSRSKQQITAATPLKPKKRLVQGRKDPSSPAVPPLTSPLKPIVAEAYDSDPG SESASGAEDGEDDRSSLEARVLAYLKECTLEDLIDTTHFSEADAQIMLDARPLKSIEA AREVGRKGKGKRMPLGDKIVEKCIDMFKSFDAVGILETNCADLSEPAIKEMAGWGLDI LGASTKGELELTSLEGADTSQVDSGLGSPSSRDTFNNGDEDIKGPVTKRKRKVALLEQ PETMAKDYPMKDYQIVGLNWLALMYKYKLSCILADDMGLGKTCQVISFLTHLVENGRT GPHIVVCPASTLENWLREFQKFSPDLKVDPYHGGKEMRGELAEMLMIGARAGDNEEHK VHVIVTTYEMANKKDDAKFLRRLGADVCVYDEGHLLKNPSTARYKGLMTIKANFRLLL TGTPLQNNLREMVALLAFILPQVFVEVAEDLNFIFQSKTTTKDTNHKQLLSQQRIDRA RNILKPFVLRRKKQQVLKDLPDKTYKVVKATMHEDQKTISETFLEAMAEREVAKKEAK EAVDARKDGEKRAKRVPKKASKTDEEEPLEKNEIMQLRKAAIHPLLFRRWFTEDKIQQ MAKILRAKEPVAFNPSLNIEHLLQELRNASDFGIHSVYCSVYPCIAKFDIPDLAWMNS GKVDTLVELVQSYKANGDRALVFSQFSLVLDILEAVLNTIGIDYVRIDGATSVDVRQT MIDSFYDNEDITVFLLTTKAGGTGINLVAANKVIIFDSSFNPQDDVQAGNRAHRVGQT RDVEVVTIVTEGTIEESILAMGKSKLELDREVAGGGEESEQVAEEVNMQAVTDAFLNT QRMVVGGAVTAGGS LY89DRAFT_636605 MATRRIISGEKTILEKDDKDFSQAAGEKSNIAPAVPAHVIYKLL GFTLAMVVGPIGSYFLTLNTLFGGNSTYAGALAAIMANVVLIGYVIVAFQEDQTEAVE AKEKEKREGKKGL LY89DRAFT_574861 MILIAAVASGVKSSLAPIRAIKHLDNNGNPIADPDRSNPTRSRW ERPLDTIRSFEAAIDGNYSRKSYMRTESGDGQSNYNRRSSYYGGTQVTTDERPRMPQN SYYGGRSQSYRPESYAQRPDSYYNGPENAGPGNGYYSNRARYPRTASEPHFNHPGVYP APGNQQSYETVNTASGSGSSGDPAGYSTDPSSENSSVDRIAPLPAKEPGETYGFSGFG NNPQYAPPGSGLQEQYVANPANGAQRQGNGYQNQGPPPVPQKESASRVPIKLGASSGN AGPQNVPQRPSPGEKRKSWFGKRFSKS LY89DRAFT_680650 MNWGMQYMRFELRCLCVVIYLPVSHDQTSTQVRWLWGYMFCLPK TNKHQLPQDFFPYYNILQAATQQTGKHGSIARMFSTEQLAHEWLGSIDSSRLSLYFPK SSTRTIALHELTR LY89DRAFT_680652 MPSEAGHRLYVKGRHLSYQRGKRNTTPGTSLIQIEGVSDTKAAN FYLGKKVAFVYRAQKEVRGSKIRVIWGKVTRPHGNSGVVRAQFRRNLPPKSFGASVRI MLYPSSI LY89DRAFT_728644 MADTMIKPEEPHNAGTIELKDNTVIVVLGASGDLAKKKTFPALF GLYRNQFLPKDIKIVGYARTKMDHEEYLKRVKSYIKTPTKDMEQQLQDFCSVCTYVSG QYDKDESFVELEKHMAELEKGRKEANRIFYMALPPSVFTTVSQHLKKNCYPTNGIARI IVEKPFGKDLASSRELQKALEPNWHEDEIFRIDHYLGKEMVKNILILRFGNEFFGATW NRNHIDNVQISFKEPFGTEGRGGYFDEFGIIRDVMQNHLLQVLTLLAMERPISFSAED VRDEKVRVLRGIPAIEPKNVIIGQYGKSLDGNKPSYKEDDTVPKDSRCPTFCAMVAFI KNERWDGVPFILKAGKALNEQKTEIRIQFKDVTSGIFKDIPRNELVMRIQPNESVYIK MNSKLPGLSMQTVVTELDLTYRRRFSDLKIPEAYESLILDALKGDHSNFVRDDELDAS WRIFTPLLHYLDDNKEIIPMEYPYGSRGPAVLDDFTSSYGYKFSDAAGYQWPTTQTQP NKL LY89DRAFT_664326 MSAPPSYSEPPPRYSQVVDPNPARYAVNNRQAFQVAPLPSRQQH PVVQALPFHQAYNPQPYMQPYMQPYPNVQYQQPYVPYVPHNYFYPPFGSTVQPYPTPT PFYQPQSTMYQASPQIASTEAHEYQQQSYVPPPPSGYVAIPGTNFAVPEEWIAGNSKK SKKSRKKNKCAKALLRVLYG LY89DRAFT_301839 MQCKPSGTTMLIPISHHSPSVFCTQTRASFPLKPHPSVPNEHSQ EILIISVLALSPPSTSVILLLLYNPANLHTLPAPEILPKHNLSVHAPNTVKPLTKSSL NLNLQNLVFRIPDLKRENHTIIPLNRLLAWKDRWGRWSMWICFIQQEREVFVQSRECD VGARTKRIQANLECWCEGVGEWFVAREERIFRFVDGSHCYDVSTYVVIRRVGVSLAK LY89DRAFT_777609 MSTAMANTIPVGSSDIASRMADIASSTKPSLSKWADRYRGATVE DLDPPSALSCSPNDPISHALLSAFERDYTHLTVVSESNRALLGYLSIPHLRILLESGK VKETDGVSKAMVKFRRKGKVYKVITMDTPLEELELFFNGGENGENGKQEFAVVTDERR RFVLGVATRTDLEEFARRRPA LY89DRAFT_574822 MDLLFPSQDTLHDVFHPAIPFGRRPVALAEKQAVSFPPKRTPLQ ARSELYTAWSVVDDAKNKTNALSAEAAKEFEKASAKAQAKTGHIELYSAQYYAACTFG GLLACGLTHTAVTPLDLVKCRRQVDSKMYTGNFQAWGKIARAEGFRGIYTGWGPTFFG YSAQGAFKYGGYEYFKKFYSDLAGPDNAYKYKTALYLAASASAEFIADVALCPFEAVK VRMQTTIPPFASGTFNGISQITSKEGFGGLYKGLYPLWGRQIPYTMMKFASFETIVEM IYERLPGQKSDYGKGAQTAVSFTGGYLAGILCAIVSHPADVMVSKLNANRQPGEAFGA AMGRIYKDIGFSGLWNGLPVRIVMIGTLTGLQWMIYDYFKIFMGFPTTGGAAPPPQKS LY89DRAFT_704220 MAPSTQHVFIDGTFADLAQELAEYLNVGSEVQPLLEGNVNKKDE ALKKLVTASTALNSSPEKEFTAAYNLLVYLVLQSPSVNMFLPRICENLSKPITSSPVN GSGLALSVLTTIFNLLQPDNEVRFNVFQAILRLVKSSGLFEMLRPQLTKLDKWIVEWD AEEEDQRKLFSQIADVAEDAGEEEQAYQYVLKALRTFDSGEVSSPDAQGLSLRALKVA LLSNTHYDFQDLTSLPPIQALNDSHPIYFELLEIFSEKELEDYNDFRDEHDGWIEEQG LDNSKLHRKMRLLTLASVAASTNSRELEYKRIAKALQIPAEDVEMWVIDVIRAGLIEG KLSQQKQVFLVHRTTYRVFGEKQWREVATRLDQWKASLRSIKEVISTQRQQAEAQKER EMQEADRKATGAGSGATGMSGGRRGGGGKDMVEMGTD LY89DRAFT_680658 MTQSAAAMASTLPRFLPRRLCQSSPLPRFSQSIPRKSPPLRNLN LSTRRGYASGPNRTPGNNPLKIWPFVAIVLAGSGAYVLMVRSRVDMAPATGQIAAPKK DTPTFSPSKVTVIFVLGGPGAGKGTQCANLVRDYKFTHLSAGDLLRAEQDREGSEFGD LIKSYIKDGKIVPMEVTVQLLENAMTDVVSKDKDGKGKFLVDGFPRKMDQALKFDETV CPSKFVLFYDCPEEEMQKRLLNRGKTSGRSDDNEESIKKRFKTFVETSMPVVDYFDGE GRVVKVVATKSPDEVYKETKEKIEQRLGKNF LY89DRAFT_704222 MSTEARELELCGKVEMRIALAKDDKLEALLKTYLPPLLLKMASE FPAVRNKVVEICQHVKIRLAGNKDIILPVAALLKQYKENPQYSMIRHFDLMFIQQSIG KLTSSEQMNLIPTILHGLEKDAGKTTCATIFNLFLRLLPRLRLPLRGSKEDNELRQQL GLDEHSEDAKFAASWFAKLMLLVVVRSTATGVSCPGLTVQEYEFLTLSGKQTTWDPSS DEGLNLTQTKILVLAFLTSGAFTDEERFLPALFASGDANTRISGAGEDLLKRSTVSLE DADAISNLLQIYFTLKPPLQTRVLVLLTKSAVSTTFPSQVVRIVQEALSPHDNTNLPA PGLETIKFRNSLFNYMNWVSRIASKSDLAHVAPQLVGFLRRYIEDQGWPVPHERSLDA ASLRALAYETLGSLAKTAPSIVVEKDLSIVRWLLRSLTEEGSSESIFVSIEGALASLL GAFAPPLDPILNKELQLLLLQYMTLQEGGNIVRSARFATVRWANRCLEYKDVVARWID VLALAGRTDERSDVVEEGKKGLDPYWYRLLNSTPAASTCSFPKWDEMVKVFFTSQSIL ENSSIANSMKSGMDVDEVSVFGNFAGSKINAFPSAVNYCRQILLLTALEESETPLAID ADWERQLDVLYRSDKTSRKIMRNHVHSVDEAALNIYLGAAFEGMLRNDGNGLGDCGKC FVEIASIAPAVVISKLAGRALELLPSIRSNNTATRLLAAEAFGILAPHPVNTAESVKD MLTTLLKDAKPWESAVGAEANRVHGSILALGFILSRSSYYGRLESMQDSVVGEAISMI LAILSAAKDASTNEAAFSAISQVSMSGVLTISRISESSLSATEIITMLTTEAKKSNEK AIAALGRFSLIFEEVSDSEPATDDLLATILSKLYDLFGIKQAETHFTIGEAFSCLAAC WDSDVLPLSLDVDTTYSGRMKRHKTLEHLLKKLLRDCKTPKPSLKKASGIWLFSLIQY CGHLPEIQICLRECQAAFMGLLSARDELVQETASRGLSLVYEQGDSDLRDKLVKDLVA SFTGSSTQLKVDEDTELFEPGALPTGEGESVTSYKDIISLANEVGDQTLVYKFMSLAS NAATWSTRAAFGRFGLSNILSESEVDPKLYPKLYRYRFDPNANVQRSMNDIWHALVKD ESVTINLHFDDILDDLLKSILAKEWRTRQASCAAIADLVQGREFDKYESRLHDIWQVA FKVMDDIKGSVRAEALKLSMALTGILVRQVEAGSSSKHAQAMLKEVLPFLLSEQGLES SAKDVRIFAALTVLRLVKSGGKALLPFVPDLVEQLLGLLSTLGEEEGVDYLYLRAKEY NLTEEKIDNLRSRAVSQSPLMEAIERCLDILDGPAMSSLVPRLENAVKTTIGMPSKMG CAGVLASLATRHSLVFKPHADIFLKITEKAVLDRNNAVSAAYARSAGYLSRLASDSAI LRLAAYTKDLYFNAENETRRQVAGEIVYAVSKFATDRFNALASDFLPFVFFAKHDFDE HVKKQFENTWDENVGGSRAVLLYSREINSLSVGHLESPKWTVKHTAALTIADVVTSSG AEISSTDAAAMWPALEKALALKTFDGKEKVLSSFVSFTKAASSFWSKESSIAPQMKKI AIREAKRNNDTYRPFAFTSLGEFCEARTDLDMFEDVYNVIGPCLEDFTSEDKMDTEDD TKVGGKSHESATITAGIGALFRAINIKNIDPSPLTHIPTLLKVVKKVVVSTKITVATS LTIYERAKALFDGLRKRTHTQGTKHYDLLLDYFKVLDIQSGAGTEAMRLKRAEAAEMM VQALVGGVFGMFREGREECKEQMKQMTQEGRKNERSPGVQAVLDRVLKGLVE LY89DRAFT_728652 MTTGRPWGLRLVWAMFRLSPGGTDCLRDLGVDAEVKCDEARPAC GQCVRLGHECDYNPRLSFRDDTPRIVERMQDVSVIGNTVWANPPSHTSTAYIRSAEDL LPPFQLLTTDEEREKKAELYQPGTYHVIANQESFESLPEYRDGGTSMVGSRRGLLQSS NHRSEVGYDVPQRGPRDGHDPNVVILRSFEDVPRRASLQSSYISVARPSSPSHSLTRH SPRRHSSDLHGMASSISSERHERRDAILLEHYRRIISPKLFRRELDDGEEDVFEKEAR THPPVFHAITALAQLTVSGVHTADALEHYQQVIPALQSIVQSSQDSYSDGAFFTHFLL LLYEIAAALHGDASMAQHHNDQLLRIIRLRRQANGAEPYEFLVWCIAVIDIYSLLSMG GSGVFTETLIKENLVPPPERCLASDIPVSSQEQLYLPLLRKIYKEIHLLLFRVAQEAR VMRVESTQRQISAPGMADSESTNTRRGRVQNLLNLINQSYSTWIMQFPGLWRLPTKSE PTSPRVFYCIQQIFLLYRTCILYAHTSMFPNQSNNPMPAYDQIFTVAREIIEAVAVVL SEKRDQVRTVMLPLFIAGFTSKDQTEKQMVLDLLLAIETQDYRGSTDRARRVLERLYE KQRLATMELKNPNSVDWTEEVQRSGNIFII LY89DRAFT_680662 MSEVRKSTEVSHGRGGAGNISEDATPYGDGEIVREGIVGDHGDG AFSTGRGGAANIGSPGLKATQRKDDIAIPETALRPSQENEVYHTGRGGEGNVHTAEPV TKHPEGLADKLKNKLFKKKAAKEEKA LY89DRAFT_728654 MAPKHGLAGLQSEEQLAKRAKSSATPASRSATPADTSAKVPFKV EYPIMDSEKIQTDRQKELMDAADFQVSPFVAKGASKEGELDQYYTVTPTNEWEQMKKY NNFIIQGEVYKNNQFVFVRGEDTPKDKDTEGRPKDFWVARILQVRAKNAQHVYALVAW LYWPEELPPPSVKASDQLGKESGKRRYHGSHELIASNYMDVLDVLSFAGKAEVHHWLE EDDNLQHKLYWRQTFCRETQALSKLRQHCTCKGYFNPEVTMYICDNPTCKIWLHDDHL LDDILTKVYKKHNSNDGTNGVAKINGKKSKNPPYKNAFKATIREENDEPPVAVITDLR PNADPKTWEERIACPECEELLQ LY89DRAFT_680666 MQNTAIVPSQEETKVGFSSDVEKGLTEKTIGIPLESGATIPLSD EIQDASSFETGEIISRRGIKRVFHRLLQRSNVGKPIDTSPPPDGGVRAWVTVFLCHMA LFTTFGWVQAYGVLQTHYVSALNLPSSSTSWIGSISACLMMAMGTFSGRLTDAGYFHQ TFAVGTCLQLLGFFSTSVATTYWQLMLSHGVCIGLGGGLVFCPAMSVVGTYFSKQRSL ALAICAIGNSVGGLVFAAILQNMIPSVGFGWAIRTCGFIVMASMVPANLILKPRNLTK EKAPLVEWVAFTELTYCLFAAGMFVTFLGMWVPVFYLGSFGRDIIGISSKNASSLLLL INGIGIAGRVIPALLAYRFGPLNLMIPLTLMAALILFCWAAVEDYQGILVFDIFYGFI MAAGQGMFPPSLGSLTTDLSKMGVRMGMVFSICGLALLVGQPLAGVLITADGGDYLYT QMYSGAAMTLGVGFMVGARISKMGWKLWVIV LY89DRAFT_680667 MADVDQFDSALDLLRRLDPKHTSEHLNSLISLVPSLTEDLLSSV DQPLTIARCRRTGRDYLLCDYNRDGDSYRSPWSGEFETPLGGSGVGGVDDQGNNEGAG EGAVPSERVRKMEVRANEAFDVYRELYYEGGVSSVYFWNLDDGFAGVVLLKKVATPAG KSQGTWDSIHVFEAVDRARTAHYKLTSTVILHLSTGNDALGEMDLSGNMTRQIESDLP VDDDISHIANIGKLVEDMELKMRNLLQEVYFGKAKDVVGDLRSIAPLTEANRDKATHQ EMINSMKR LY89DRAFT_762789 MAEKPAVLIIGGLGYIGRNLALHIHKNNLASEVRIVDKVLPQLA WLAPEFEEACSQDKFMQADASKEQSLPRIFDRPNGKQWDYVFNCGGETRYSQEDEVYK VRSLALSVAVGKEAAKRGVKAFVELSTGMVYKPDSQPSKEGDKLKPWSKIAVFKLQAE EQLAKIDGLNLIIVRLAHVYGDYASQFVSTALCLARVYQHLHEEMKWLWTKDLRVNTV HITDVARGLWAVADWYAVQHQRNWDAKSMGKIPIFNMVDKGQTSQGTMAEIIGQLFGI QTGFQGQLISTFARLNMDSVVDDVNDEVLGPWAELLEDAGITRPGPLTPFMEKELLKD TDLSMDGARLEKVVGFVYEKPAISKELVQAMIDSYTRIGWWPVAK LY89DRAFT_607191 MASGGGETSGHVDPETLYTKQNCIGGGSFGKVYKGVDKRTGQAV AIKVIDVENAEDEVEDIVQEIAILSELHSPYVTQYYGSYLKGSDLWIIMEFCSGGSCG DLMKPGLIGEEYISIIIRELLLGLDYLHSDKKLHRDIKAANILLGSNGQVKLADFGVS GQLSATMTKKNTFVGTPFWMAPEVIKQSGYDHKADIWSLGITALELANGEPPYSDIHP MKVLFLIPKNAPPELEGNFSKAFKEFVELCLQKDPRKRPSARDLLKHPFVRRAKKTSY LTELIERYERWAVHHKGGDDDSDEERDEAPRAAPANNDLWDFGTVRPVVGRNVARAGL NAMGESATNARSSRSSESGDDYAERPRSLSPTKMKDSGYVSNADTIKAMNPQISEQRQ VSPQRRPVPQMPPSSPSKVPLPPSPEKYRTTAPDTPRANQQPFLSQQNTDSPDYDRSL QEQLQRDMGFLNIASTPSPQTPTRLALAPSPLPPQLAQNPELGRPSAQQSLPKLGPIK LPEIPPFRGHGQPLQRVTNQSAPSLSKQQTPPPPIHQSLTSQNINPRQLGQQPLPALP SKLRDNTPSRESLSSESSRAPTSMPSPAPSSPTGDLDALNDVIFPALEEALKRRQYRL QQAFRGTGTTPKQQRAQAAHEKLRKLVYKLAHVCKEIDHWDKEEPVGMGKDVDVFLEG LLEEILVRVEPADDEEADERR LY89DRAFT_714310 MVLRMHSLKVVLLFFYSINFVSARREDFWEPEVVEYAASCTTST LSCLQDKLASLKHHQTGFCAAYTAAVHTESKGIPPILGLCGTGVANFHERASSACSCF ITKETAPPHAHITDYPAPPGTVWVAYEYKFLPKMPSLSMTWPVGPSFEGMDLLEYMSN CRDFTPNFEMHVLHDDGTEIQCMSFHY LY89DRAFT_607194 MDESIDGFIAFTGASADVARRYLGLTENNAEQAIQLFFDSPDLA TGLNNESQPPAPPIPTASRPEISNPARPSRQQVVLDDSDNDDDMQLDHEEEDEDTSTA AAISRAADYEDDEAMARRMQEELYAGGDASGGYDADGVRAPIGRVTETLVDAGGDWGP DDMHAAVLQQMRARRNNGTGSSRPGLFNQRPVPSIWDASADPEVRREGLALATGGASE ESSKAAKLAELYRPPFDLMHQLSWDAARDKGKEDLKWILVNIQSPSVFYCQALNRDIW RYDGIKELVRENFIFVQYSKDDPRGQSYINYYFPLKDSDDAYPHIAIVDPRTGEQLKV WSGVPIPQAGDFLMQLVEFLDRYSLDVTKKNPVARRKPEKAKSLDVNRLTEEEMLDLA LQNSLANNGASGPKGEDPDELTKSFGDVSKGKGKEIEEDEAMGNADDEGGASLTSPFS QILSNNPHTEPAAGPGVTRIQFRHPTGRVVRRFRVNDPVRRIYEWLKAEPLEGKEGVV FDLKSMGGDLIEHLDETIQTAELPNGTVMVEFIED LY89DRAFT_636654 MGSLEKTIRHLDVLVVGGGPVGLVTAFQLAKFGHASSIAIIEKH LKSSQDQYGRAITLYPRSSEMLDQLGLAEELAQECFACRSTVSYDKHGQEVQGRGWYF MENMKDTQWDFALVLRQKYQEEIFRRRLRELGVTLEAPVELTDVKVDESIAQGGYKIT AATRNGETGIEETIQCKYLIGADGGRSFVRRVLDIPFDGSTTEDKWVRIDGMIETDMP KNRTYGAIESPTHGNVLWAALDHGATRIGFAFTAERQKGYTEFNEAAAVAEAIASVKP FKLEFKQVDWYTVYAVGQRVARHFFTKDCVFLAGDACHTHSSGAAQGMNTGLHDACNL GWKLSLVLRGLAPASLLATYEGERSPNVQKLINYDKDISRLMTMQLPLGWSGDANADP NEVLGVVMEEASTFTSGLSIAFDLNGANIQGSFVPSTDPAPVSPGQRGPDVQLYKPGT NEVTRMHRETPNNARFFVVVFTGEPEYTSSALNELAQAVEASKILSDAKLPISWLTIP AKSGPSAFELLGIMPFGKVFYDSKKTAHARYGVDLQKGGIFVLRPDGWVGTATALKVD AVVELEMYFRKLLII LY89DRAFT_607199 MAEYDLLVLNGLMVTVDKMGEFDIAVKDGKIAKVVQKGGLDGAQ AKKIINAQGGMVMPGGVDAHVHLQEPALFGKGESADSYETGTRSAICGGTTTLITFAP QRKSEPSLLSALAATHHLARDNCYTDYSFHLICSNAGPKAISEFAALREEGISSLKIY MTYEALQLKDSEILDVLFEARKQKIVTMIHAENGAIIDWTIKKLEEKKLFAPKYHVTS HPPVAEIEATYRAISLSGFIDVPILIVHVSSPAAAQHISEAQQKGLPVYAETCPQYLF LTRKDLDKPGFEGAKCVCSPPPREGEQDHEGIWKGLEDGTFTVLSSDHCPFLYEDTNI GKKSVISAEHPNGHFKYIPNGCPGVETRLSLALSANRLKLQKFVEVTSTNAAKLYGLY PRKGALIPGESDADLTIWYPEKNDFQLTNSMLHHNVDYTPYEGKTLNQWPRYTVLRGE VIWARDEGGLIAKKGVGQFLKRDVSTLAGSRSHGEWSVEDF LY89DRAFT_777624 MHVLSWFLRINSLFSAVLAQAPPQPENINITGTNFLDHDSPISN FWGQTFLKNNIPYIDIPNSNIQDVYYYRWSSIQRHHRYTIAGTGYILTEFVQPVGYAQ ALNTIDAAAGHQIDEARWLRSKIYDDDYVLAYLRGPGNTTQYTHWILDAIFRRSQVTG DSQYTTEQLADMVRLFGYWDFVFDSDVGLYYFTPNFDAQEYSLPGYIVAPDGGQLQLD GPNTYRPNVNAYMIANTRAIVQVAMQAGDNDTAATFTEKAEKLEQSLYDHLWDPSQNF FVDVIMPNNPNLSRVMGREEVGIFPYRFGIGLTPEYANVSIQELFDPDGFLTTYGPTT LEVRNQYYTATKPSDYCCFWQGQSWPFSTAHTLKSLAAIYRSGNTSITAHQYVQYLDM YATTQHKNGTPYVAESHYPSMDAWSADSTNHSEHYDHSTNNDDVITGLLGIIPRSDDI FEIDPIIPQNWTYFALENLAYHGHLITCIYDQDGTRYNNGTGLSVFLDGFKVYNGNGT KAQVTLPTASTVALPVTVNIAGNPNGLGSYPQAIATYTYSADNPYKAIDGYLFYDSIP DNRWTNYQSPSPNDTLQIIFARPRNISSVTLALYSDLARGGAVDVPATIEIYGSDGLL ANINTSSSFLTNDRNTFSFAQTETLFVSVNMFNKPGVYVGLCELEVWTQPQTAGPYYA VDALLTGPSVSTDNKANATANGAVVSGLTDEAVVAFSGIQSPGGSATLLLTYANEGDA AVRVGVTVNQVSQGQLSLSGTSGDFGSAAMDVTLGAGKNFISLIGGTSDVKAGVAASK FIGKAPHVIAERPFVFGETVNQWGNSGIKDASRTTPRRHLFLKMIFMLKE LY89DRAFT_693885 MSVFSAVFQFCVQNTYCLLAASAALYGALLHSNYRRLKAFRGPW AAKFTDLWLAKAALGDSQHAVLADVCEKYGSIACIGPNTLVTNSPELWMRMSAARSPY TKGDWYAGLRLPPGRDNIFSQQDEEIHTKRRAQMADGYAGKTNPTLEPTISRHVQNLI DLLRRKYISSDKVFKPVDMGRKASFFTMDVITDVAFGQPFQNLTDDHDTFSYIQNTED MIPTILRMATIPALRALFQSKFGALLFPSDKSEKGVGKLMGVAKQLASKRFAAKDTDV RQDMLSSFISRGLTEDDVMIESVLQILAGADTTATAIRSILLHTMTNPHVYRTLQKEI DTAVEEGRATRSGVIKDFDAKELPYLQAVIKEGLRIWPPVTGMLSKLSPPEGDNFTLA SGEEVHIPGRLETGGTKDEVKRFAMMEKLSELIFGYGKYQCLGKNVASLELNKAIFEL FRCFDFQIVSPTKPWRSTNVGLWLQSEMWVRVTERVANN LY89DRAFT_302569 MAIIDIFHLTQTYAVEQPAHFLLWVTLAVPVLYTVANEFVRRQA RVSGLNGPSGLPLIGNLWDIRTNAAEKYRQWARTHGAVYQIQLGNIPVVVVNSAASAK ALFGQHAQALSSRPEFYTFHKVLSNTAGTTIGTSPYSDSLKRRRKGAASALNRPSIQT YIPHLDLESKDFVSELLRYGKAGTDPVDPMPMIQRLSLSLALTLNWGIRMDSQEDALF EEITHVEEEVSKFRSTTGNLQDYVPLLRLNPFNFGSKKAREMRDRRDVYLKALNKGLE ERMEKGTHSPCIQANVILDEEAKLNDAELTSISLTMLSGGLDTITTLLQWSIAFLSQR QDIQEKAWKEIARLYSAEQPLCDALDDQKCPYIVALVRECLRYFTVLRLALPRVSIKD VTYEGIVIAEGTVYFLNAWACNMDDEVWQDPEVFRPERWIEQPDAPMFTYGLGYRMCA GSLLANRELYIVFIRMLNAFEIQKSDDIDCHPVSGNSDPTSLVAMPHRYKAKFVPRNM RALEKALKEFTVVATE LY89DRAFT_302560 MTDRSSLRINADRLNETLQSTCTSWGALANSTGMRRLALSQEDK RIRDWLVAECKALGCDVKVDQMGNIFAMRAGLAKERKPIAMGSHLDTQPAGGRYDGIL GVQAALEVLRTLKENNVQTHCPVTLIDWTNEEGARFPGAMMASGVWSTKSATGLEACW EIKDIEGISMRKALEVTSYLGDTPCDYRENGLESYFELHIEQGPKLEAAGKKVGVVTS VQGMKWHRVRVSGVEGHSGTTPMIGRSDALVTASRLITAVRDTAKSTNLGVATVGVLS NDTQSQATIPSGVEFIIDVRCSTDGMVEELCTAIFKNFEEIIAAESNNTSFEVVKTWG LPESIFHEDCIEAVRSAATLEVGGSQIVEMKSGAGHDAAWTSKVVKSSMIFVPSRDGI SHNPAEFTTAEDCALGCQILLQAVLAYDEGVKNGTIS LY89DRAFT_302717 MISDVVRSKDGLQYLSSLQKYHLAAIPFENLSLHYSKEHTNSLN PDDLFEKIVIRKKGGYCFESNSLFGVMLRSLGFDIVSVGARVTLVSPSAGWDHQVNLV SIAGTTYLVDVAFGASCPTRPMPLVHGQISRWGATVAETRLTFQDPSNAIVQGLWALE HRVSPANEWTPSYTFALTEFFPKDFEIMNYAVRSQPTSFWTYSILCLRMIFDEEVDDI TGLLVLQGDRLKQRIHTESETLMQCADEHERVEVLEKHFGIHLSHAEQLGILGTVTQL KGGFSLI LY89DRAFT_302772 MKFTALFLAVGFASAIPQPLPASWSNTGEKGSEIQELFKHVSPL QGNDLEARSLPTCHHDNLFRIFLDHRYSSSASAFCSTYITSTMVSTIVPLTTTTITAM ETAATAFSTVIVLATATSTTLAPDAIITVAPKAKRQALAGCPGKAGTYPPARISSACS CLVTPALMISTTTTAALSTVTIASTIQITAAATITETSTTVLDVAGPAAATSTIIGSP APASYCSAGTMFDPNNDAFTVHCATSYASGGATLYSTITAISYQDCLNSCGANVKCRA FSYLLTVSSNNCYLYGKGLLTPSVDPTMDSGVA LY89DRAFT_680674 MAKTFDAAEVAKHNTPADCWVCLYGEVWDVTEFLPSHPGGSKII LQLAGRDATEEYDPIHPPGTLESNLKPEARLGKIDPSTLPKPKADGAEKEQEKEGPPD MQSLLNLEEIEEVATKQVSKKCWAYYYSAADDLVSKSFNGLVYKQILLRPRVFVDCTK CDTSTTLLGHKVGIPLYVSPAAMARLAHPAGELGIAQGISTFGAMQIVSNNASMTPEQ IVEGSLPGQIFGWQLYVQNERKKSEDMLARINKMSDKYKFICLTLDAPVPGKREHDEK QKDVGASLPVSSAVKAGEKAGRPGGGGVGQQLFWGTAADLTWKTTLPWLAKHTKLPIV LKGLQTHEDAYLAAQYAPQVKAIILSNHGGRALDTAPPAVHTLLEIRKYCPEVFNRIE VWVDGGIKRGTDVVKALCLGAKAVGVGRPALFGLGAGGVEGVHRTFEILKAETETCMR LLGVEKISELGPKHINSRAVERDIYDGQAGLEKLGLWVKANL LY89DRAFT_664350 MTEAKMSLPAFSPKPHNTWIKVSVHTAKCDICHKHNTKVVQRCG LCNIQFCVLCIGQCGGTNHEADAASLDWNPDPSSAAAPSARRSKPKKPRVPRTPRTPL GQRTPNKDSPITSNGNPSKISKSQSKPTPRSSRVQGSNLQDFDQLNDSPTPHPRARPS QTQTFQQQPSYYGEEGDDDEEEMHYARTTASYSEEEEEEPSYHQRPRVSAEPAAPAPT QSPSYRIRKRPGTEKKTPVKGRNPFPYESERQVPESRVLYSENGYDQTTHDDMHEEQL RPRHMDDRQYDDRPLDYGPQTPSRQMTQSTPRSREYMSSQEALTTGSRATPTRPGQTA EFEDTRYSSRPDHEASSLEGNRLTERERRPRRRVSNTARARPRAPSPSLVRQMQVDEY RRTFGQIPEMAEVLARGDLAEIEEVVEVAKALMRDANGGN LY89DRAFT_664351 MATTTAKDPTFRSYSAEEAKVYATHRLSYPETLYNKVLEHHAST GGQFTLLFDVGCGPGNATRDVALSFDRAIGADPGEAMIGAARELGGKTKSGAEIRYEA CPAEGISKIKGLEAESVDLLTSAMAVHWFDMPKFWAEAAKVVKPGGTVALWTCSSAFC HPSTPNADKVMEILLHFERVTLAPYTQPGNLLSMNMYDDLPRPWTVSPPVKDFPESKY IKHDWDRDGKLSDGKDFFGGGEKSTLKEIEAGMGTASMVTRWRAANPELVGTDKDVVK VFIKDLKEALGGQDWVERGSGTTIMLFKKS LY89DRAFT_728672 MLLPSAIGCESSSSSSSSSSLPKPYYQPTTLFASPPISPPSTSH SNNNTSSTNTTISSLYSTCRALQSMLSPPPTHLPSPPTHHLSTPIIASPLKLKLRTRG IKNEPADPNPNLTPRKKITKRTPVVAPRGINKRRRALLDDSLETGDSEDEQEQEQEQF DGKENLPIAQHQQQVDGEEEQQGPPRTPKRLRLAPEILPLGLARSDFHALHLQHQLSS QPLQFPPSSSSSLEQRMNGELEEEEEGEGEWTIEDDRLLVELVLEKLKLRWVI LY89DRAFT_302918 MPVDRGPELASVLGLFLGLATLFVCLRLYVKTFLSKSWGIDDAL LIVALIFFATYCSCSATGVHYGTGQHITDIPLEHIPKALYYWWLCELFYTVTTVFIRL SIAVFLLRICVKPIHKYIVYGTLSMVIVFSTFYFFLVLFQCSPYSYFWGQYEGKKGSC INPAAVPDASITHSVVSFTADWILGLLPIVLIYDLKMNVRTKVSVAGLLGLGLLAGIA AMIRIPFIKTLALTDDFLFATTDVAIWSTVEPGLGLMAVGGATLRPLFRSFYNLSTRG GNTLPRSGYMKNPDTPIQLRNDVGGKGTVTSIRSPFGDSSEAVGGSGGGGGPMGINVQ KSVVVSRAESEASSLSGDAMPWGELKGRGDMV LY89DRAFT_714322 MFSSTSVTLAALLYAHLSNAQVFNCDITIPPNPLTATGLATPYK VTGCDQTQFETQGSFIEAAILDTTTGAIQIYHPLLINQDANVEGVDFIAPVVPTLPAN ATVGIWFGSNAATVTLLGTTQGCVNGLDNSIFGQFAYCNAPEFFTAAETAISAGLLKV PAPGTSTKTATAQACPVLRDFRIVDMDQSDNVDTTYLLIDGKKLAQNTDANAAALSMF WDNSNTVADNSTVLSNGSDNALVNDFISPTMGCTPFTTSSITAPNGVSGGLALNELQA QLFPPASGPALVPLNDDFAVINNNGAVTQSLDKTNLYRASVGQPQAADSANVSGTTYC QSYAQSCIFIALNEKLFIGATTPAADVANNLFTFLANRFATSFGPVPSLGCSTIFGVN VTDIVQQTVDGNGVVTAATIDTSTLQKILDGTIKAGSTAVTSATTAASTSKTATKGQG KTAGSSVTSIAASGTASSIATKKDGSKTATGTGKAKAKATGAGSGSTNAAVSGVAAAT ATSTAARTRPTRNAEQNKGANNVFNSTLKARNIWA LY89DRAFT_574838 MAAPAAYNDQVDVFRDIEYPMMTGKTYLDHGGTTIYAKSLIEDF SAKMIANLYGNPHSASTPAALSGHMVDTIRLQALAFFKADPEHFDLIFTQNATASIKL VGEAFRDLGDGSSPGTFWYGYHKDAHTSIVGIRELTKGTSHCFLNDDDVEKWLNDPRA VGDVTNAGALPCLFAYPGQSNMTGRRLPLNWSKKLRHSTAPLHQNSYTLLDAAALATT AQIDLSDPESAPDFTALSFYKIFGFPDLGGLIIRKNSGHILSWRKYFGGGTVNGLTVI GKPTRQKKHDSLHDNLEDGTLPFHNILALGCAIDVHNRLYGSMKKVSQHTSYLAFRLY HGMTSLTHYNGQPLCVIYNDVPERSIYGNPETQGGTIAFNLVRSDGNYLGHSFVEGQA NTHGIYLRSGGLCNSGGISSYLKIEPWQFMRAWSQGHRCGEPGLDNINGKPTGVVRVS L LY89DRAFT_303080 MLYGQTSVHFVWYCHYTALVLIYYQLVKLRELCQLQIYYCFWFL LSICITHRYYVSTYSLTVWSSIDLLPPVFHSFSLFALHTCSIFHRFLFHNKPHSKHPK NQTTFRASVSPSTQRQYHTLFQQPQPKPAKPHQRDKPGEDRKTQAETVNEGKGYRSIG ASWNETIQHFSHLHLHNKIKQQSHTVTTAQTIGNRAKQKHKNTRKGPTNQSNRHTLHV RNPTKILTFTEQRPRTESVSSRSNVLFDTHHQLSVTTQTKLLSPAATHWQQARTRADT EMIYRYSLLRSKETSSQHPFTLNTTNITSANQPRMKKRRSVSGARK LY89DRAFT_303060 MKPVIGVFQAWFCIVVSVFAIVILSVIGALFQSNHHSMTGSMDD PEHPKAVAATVFSAVIIYALFLVGCGFQAYLHFRENRKGAIRIS LY89DRAFT_303001 MTFNLSLLAAHISLLCSTQATTSFTFLPSALHVLAHLVLQGLSH MPGKKFPAENHIPSISGRFKFFTWMNTRRIKQALGSSMRDPGPNELRAQSEEWHLTYG LTGKLV LY89DRAFT_303124 MVTQINKIEITNLRNTVINSILEGRAMAPRQTTLPVRRYIFTGQ MQEPMQHMLRPPFEEYSSKWKCVEPRPTAHSRCEVESCRPAQGWLDDRPRPTPTTLLP VWPGYRWMFRLMRGQGPFQSLHPI LY89DRAFT_303129 MYSSILAVSLTGLLGLVAAQNTNVNPVTGIQGNATTVENNPPGM VYTATLPTTEFFNPSDPRGNVKGSVAAVASSSGIGVDFQVSFSNLPTSGGPFLYHIHA FPVAADGNCTSTLGHLDPFIRGETPGCNSSLPQTCQVGDLSGKYGKITSDPFLATYTD DFASTLPGIGAFFGNRSITLHFANTTRLTCANFTLSSAATSSGGSSSSNSSATTSAPL TQTSAPLQVTGLGAGSSTTASLAVLFGAMTVALLL LY89DRAFT_664357 MLRQISSSTISLLLLATLSGRGLADQILQTSGFSTCLSGSNITV QNLNIEYNNDAKTVTFDVAGTSATTMNVTATLNVTAYGISVYSNTFNPCADSTYVEQL CPVPSGTFSASGTQSIPSSYASMIPSIAFSVPDIAAQATMELKALDTGEDVACITSSV SNGKTVSVAAVSYIAAGVAGAALLVTGASALGAAASGGSTAGSGTMSPSFTEVFTWFQ GIAMNGMMSVNYPTVYRSFTKNFGFSTGIIPWTAMQTSIDNFRAATGGNLTADSVQYL QNATLVFGDGSSTTVSRRAYNQFMNGMMVARDSISTSTSNTTTAGSSNSTSTIETTVA GIKAYVEELSVPQANTFMTVLLIVACVIAAIAVGILFFKVVLETWALFGSFPKGLIGF RKHYWGTMARAIVQLILVLYGVWVLYCIFQFTHGDSWAAKLLAGITLALFTAVLAFFT FKIWQTAKRLKAMEGDASGLYENKDFWLKYSLFYDSYKKDFWWLFVPAIIYMFAKGCV LAAADGHGLTQTIAQLVIECLMLGLLIWNRPYERRSGNVINIFIQIVRALSVVCILVF VEELGIAQTTQTVTGVVLIAVQSVLTGVLAILIAVNAIIMCCKENPHRKRRKEAEKLN RDLDNLTPLDARNSLLMDPTKLNSSTTYDHDPKHPLTKTVSENDSFLNEPANPYSNAT PMRPFTPQSSVARPYTPEGYRMEIQRPFTAGSHRTLHSMESRENLVQGAASLGGVEGS PPRVPRVPNQYAAGGGGYRGVAY LY89DRAFT_303185 MEKATLDTDATIKADHDLSSESSKMDDGNEKEHSDAVITKAEED DPTTDYSSKAANKTSMKDYLRIFTYSTFWDRVLLAVASAAEIATGVTLPLMNIIFGNL VGSFSTLYIVYIFIARWVFSYISIFAFRMVGLRCSARLRLDFLKALFALPVSTLDTLP SGQASNTLTNTANVLQIGISEKLGTVLQFSTLMIASVAIAFKYSWQLTLVTSSVLIFV GLVYGTVIPIVVKLTKEVDHADAKAASIAGEVLGSIRMVVACGAEGRIAKRYAGWIQE SNRRGIKKSPALGIQFAPLFFSVYATMALAFWFGFKLYLEHHIKNVGSIVIVLMSVMM IAFSLSQTAAPIIAISQAAGAAADFFAVIDAPKPDITGLKDPDVSAVSEIVFDGITFA YPSRPHVKVLDNLNLRFEPGKITAIVGASGSGKSTIVGLLERWYELDVEKRYVLPESV VKDKKKTEEQIEKEEEEKKLQALERPKTPIALSGSILIGGQNLDGIDLKWWRSQIGLV QQEPFVFNDTIFNNVEFGLIGSPWEHADAETKKKLVQEACKEAYADEYISRLPLGYET QVGDAGIKLSGGQRQRLAIARSIVKRPKILILDEATSAIDVRGERLVQAALDKVSEGR TTITIAHRLSTIKKADKIIVLRKGKLIEEGTHNSLLADEDGAYYALVNAQKLTMGDDF AGESDLNEDSVDNIQRILSTASGKDKHIEVTTTYKPKGFLGSFGLLLREQTRLWPWYL VLAIGCAGGGQAYAVQAYIFSNLINVITYTGSALSAASNHWSLMFFILALGTGLSYFI LGHSSNVISTKISCTYRQQYFECILEKPIPFFDDEDNSSGTLTARVSNDPTQLQQMLG MNMAMVVVAVLGLSGCIIIAFVFGWKLSLLAVFVTMPIMLGTGYFRIRYEIQFEAMNQ AVFAESSKFAAESIGAFRTVLSLTMEDMICNRYDVLLQGHVKKAFHKAKWTTTVFSFS DSLQLLCMALAFWYGGQLLAKQEYTPVQFFVIYMAVVQGAESAGTLLSFGPNMAQAGA AANRILSFRVKDDVSSKDLQQLEDTDGGVKIELKDLWFKYPTRDIPIFTGLNLTIEKG QFAALVGPSGCGKTSIVSLLERFYDVQRGKILVNGTDITSLNVQDYRKCMSLVAQEPT LFQGTIKENILLGVSESTPTETLYAACRDAEIHDFIMSLPDGYHTDVGNRGVNLSGGQ KQRIAIARALIRDPKILLLDEATSSLDSESEKLVQAAFERAASGRTMVVVAHRLATVQ NADVIFVLGEGGVLETGNHAQLLKKRGVYYQMCQSQALDR LY89DRAFT_664359 MADRRRRSSPDQSVSSRKHEPRRRDSRRSTHYEEIVPEPMTAPP QQQTQQPRSYEPADMRSSSYKATEQQRDEQYRGPAYASSYSSSSSSSYIDISRTFPPN RSGIRTFFTAPSEHRRKLRRRRSSRLFKVGNSSSSSVNSDLAYGTGYIKRPKSRVRSR KGKEIDRERYTERYGEQRYDSRYSDRERERTNATTTTIRNEGRDSRSGLSKAATDAEI LALGAGLAKLAKESNKLDLKNSRNGKKPELGAPRETGHGLASSRGLGPSKISHGSDTF DEDGWESASDNESDASVDSRLAYDNNTKSRWSFFGRKKKFKPLSRKNTIVDPRLFGPA NSLNGVVTQPVGFGEVSSWTSVSDFGQHVGSATPRPADSLSNSQQSLQQVYPVPTSDP DIFAAGRASRSSVMSTEPPAYASSRPGPIPLQQPQPITPVSQSVYEPIYPTRSESGGI LKKPTGSSRTPSLAQAALVGVAGAAVGAAIASNRDDRKDRQREDELRDRERQKRRESE RAETIRESERREAERRESERRDLERRDAERRELERREAERRDFERRELERKESERRRD KRSSPDRDDRKDKRRDKDRKDDTDRDKKREKRRDETRDDRDERCEKRRDERRSERGDR VEERVDVRPVEERRSEHPELVDRRTKSEAAVSTTSVDPFQYQVRESAFPTPTTEIPAG QRTPTVVTVEREPDFSRMQSYSIKDPSSGSNARVEVEYSDEDERDRRSRGRQSRDAPL HEAEDIYEETKHFTAPIAVAAIGAAVAADHHRESRSDKRRDERRSGSRGDYDTYDYKP SDKEQDRDREAERIQEEADRAYREIVMARKIASQVIRSRSPSPDPSVVEKYNTKEEEE IVRIVTPPGMEEHKKKGPYDAPNADFQLDHVIEPKEMHIFKAPSVRYGRAAPEPVFVK RDPDAERPRPLLNLVYPTPTPSPIPEKQKEREPTSSPKSKDREQPKVNTSDVVIGSRG NVVASPTTSTVSKAVTWGENETKHYEVESPSEHRDEFVSAADLPSREVPQEIKSSGSK SKGWGAIAAGIMGAGIGAAAASSSDSPKSSKSKKDDDKKSESSYEYRGVVVEPESPPR RKSPPRRERDQSPPSPGPKPASPRSSHVPGAFDDDLDFTATVAAGLQDTGFDPNIVIN DPSFRRRDSPPGSNGPNLYHAPFAETVSDLGTIPPSAVGSVGSGFILGEVASTPRDWQ SVSPAAEEFETPTKLSKKEQKKRDKQRRQSGDITPLEESSSAREVVEEPINDYFVEPK LSKKEQKKRDKEAARQAALAEEQTTPSVAEEKIEPPEAFFEAPKKSKKSKRSSSSPDE VAESSRTVSVPVDAFDDLKDDDDDWTDTRKRKKSTRQSAPDVQSSRDVRSITSVRDDS PKRPKRRDYDQYVSPPRSFPAPGAASEIGGIPRSKRDSERYESPPRSRDAISDVGQSS STKDKSRRDSGRYDSPPRSRDAVSDIGRSSSSKDKSKRRSEQYERDPEEISLPPSTPS EVSRDGDYDDRKSRKSSNRDSGIFSSTDRDLSQSVVSADASRYDDTEPRRKKKSRSGR DDYDDTRSVASAPAGDDYDDSRKSSKKKDKEKDKKSSSIFSSLFGSKSESGARDDSPK GAKDDEDRKKSKKSKRHSVPDSSSIYSGLGAESVGDVSRSVSNGSNGKHHLDDDLDDG VRSDGEKRRKSRSRSGSTTSTKKDSFLDNAGILGAGVGIAGAAAVAIAAHQHPQSNAA NTNNETAEQIRSMSAERPMAREEILDPEIAERQFRPSIDPQYGDLLPLPPSDPTSPNV EPVEELPELPESRPDTPDAERMSREKGINAIRRNLQETPIKSPSQSAVPLIFKMGNRS TPSSPGLIRSSPGHSPATPNAESLGFPKTRPSRPTSWDKSTEYKPLWLPETVARRGST SQLQDSDDSYPELPPSETTSRSSSLLDFHDAIENPEAEQRSRSESLTLDTKFASTTAG ELLDSTQTTPKAPNFSHEISGLESPIEVFKDASSFHDDARAPERPSTPVREKDSHSIG KDVAAVAAAAGLVSTLGYFASTPSRSLVKSDWMEDLPSAKREVSPTREAQPSERQILE DELPTISDQSSQIDPMTKDRSSYLLRSSPMPRKNDDETEALHSIQEHESNDASEPIAE STYDVEKERQRALEKLSSPREEVEDPFKTEEPTDEFVFKSKKDKKKDKKKGKGLSRSS TQDDLPLAESSRAVVPEPEIDAPSNTPVEQEPFEEFVTTKSKKDKKKGKGLSRSSTQD DLTTFEASEDVIPKSETPVLEVEPTEEFFTTKSKKDKKKDKKKNKSTSPWEPEETGSG TTTPAPETSENVLEEIPTSRDIQEPDIQKPTNDKGITSESTPAPELSRDIADDFSTPR SKKEKKKDKKKGKSTSAWESEQTESGITTPAVEQSEDVLEEPPIPRDSQEPEIQKPVH EPESTLALTEPGPSEETTFTTADEFSVPKSKKDKKKDRKNKSFSAWEPESFEEVPEEP LPETSTLATRELFVARDIEEEPIRSIAEEPYIEPPSVQDKSQEPSDDFSTPKSKKHKK KDKKKKSALIWEPEASDSGLAETLPEPSTPALEEATPITRDFQEAYFDQPVVEPQPSA EESSSKPSDDVPPPASNKDKKKRKSLLSWAWGADSSEPTQEPVQEPIEESNHNASESI AATQEQSKDLQQPQEPMPEISAPKNIMEREDTSSQDTPILHDVIPTTHEPEPIHEPSP APIDLPSTRDIEDTSELLLPTTEPEMISEDSLPVTEEPESWSAPQSKKDKKMSKKNKS VLTWPEDEEESKLAEESTPETSKAVPDAEHDFVGKDEMSNPIPETVSVPQSTSHNENI SHTPEHVLNTNIVDEEPIPTPIERSFEEPTQATQKSDIIPAIQLPSEHGEQPPLESST PRDIEQADDFAPKSKKDRKKDKKKGKSTLAWEAEENQSGSQTPSASLEASRDVSEQAS AVEDGDQFDSFSTSKSKKDKKKDKKKGKTIVLWDEPEPEPKQPAASGNLESTREMTEE PTISTPVVEAEDEFASFTSKKDEKKNKKNKSSSSWDPKPESQLQEPIASDTPIDETRE ISKEIAAPAPEADDDFPAFVSNKDKRKGNKGKSSSSWDPEPEPQVQRPIPSEAFVEEN REIVEESNPVVPEPEPEDDFSAIVSKKDKKRDKKKGKASSSWEAESEPQLDKPIVSET SSQTDQEVQEPTAPTGEPEEEHISGKDQEAKALTTAELDEPRTLEPVEQQALASAREV PETSSIPIDNSIDSFSASKSKKDKKKDKKKSKSFLAWDADTTEQEPESSQTILPESGR SFTEEPSSISFGAIVPQDDISSKDPEEVVLSKSDTKEPQTSNHPVQESLPDAFDASLP KYSDIKEQQDPTIVQQPHHDFSGTNQGFSDTRSFQETPANVPENLEIRDRSLPVDQDA NARDIPIETETETPLHRQDITIPEASSREIQHDVQNVESEPAIPDEFAMRETKKSKKK KNKSIPWDPDESAPVEETSLDTQADAREIAQEESVQDEFVLKSSKKDKKKKKGKSTSA WEPEPEHPVVEEPETTIAAPETVPDQIRDAPVDDESAFTESKRGKKKKGKSMSTWEPQ PESTSTPIEAMSVQPIESFEEFTTPSSKKGKKKSKKSQAWGMDDELPTDDVAQEQSKA VEQDAGLPVPEIPSAKPTPMGGPGAWPITPATPMTGGEAASGQVPSDKHKDYFPSTSA MLPAAAVGAALLGAEAIHDRSVSEKDVSAEPSSSKSMDREEAQIPGSETKPAPNGLTA RYDNDQLSLARQLQEEFGSGSKKSKKDKSKRKSLPATPARQISRSRAVDDLSEDHHRA RSLSIEPSPARSGVSAGTGERPVLYSEEQLELARQLKEEFGSGSKKSKKDKKKKRNFS EEPTQEDDFTREFIQEPQSMTPGATEDTAQLETMDAPRGDGFAAGYQEDQLSLARQLQ AEFGKKSKKDKKRRSTSQTPLEQDTPADDYFGERSQPQYSEPLQYEPPPDAPEPVVQE KEPTRDGLAVGYSEDQLELARQLKEEFGSGSKKKGKKDKKRQSLLRGNTEDDFSSDAF MGESEQQSGLATPLDEGTREPSFEPEDAFATVSKKSKKDKKGKKRESSLLRDAVDDNF TAEPTPEITSESKNIEPSNFDPIVRGLDEPAIVEPEDEFAPVKKSKKDRKGKKRESLV PGEDFQPEISFQDIPAQDDAPQPQIAAKDIEQSAPIEPEDDFAPVKKSKKDKKGKKRG SLKPDTTEEAVVPDTLSESVDKEQEPMLSVTDERVTNLETQGQEPSTLPAEDDFGFPT TKKSKKDKKNRKSTARIDESFNQPEPEISTASQFPEVDEPSTADITSANSGPDVVAES AEFELGRKLSKKEKKRQSLLRSSTFDEPSEPLTESRDVQPEMESRDLAETTVAAPLEE PQDDGFEFLSKKSKKDKEKQKISRQASLAADLPVEEIASSSTQPEVINDQILDRSIEH SSMTEPSTQMTTLESMEARDVEMSHLPAEAEETPKDMFGDYTFTKQSKKDKKKRKDAS KQDSDEASRFSTPMDPGTDLKTIDESSLPSEEISKKSVPEQDPALEGVPSTTDREVID EPVDDWASFPTKKSKKNKKRKGSSKPGSEGPSGTTTPFETIPESIETVKSELPSQDFQ ESVQAENYEDLPSSSMDAQQPDDEWDSVPSKKSKKDKKKRKSGLSTPIEETMLESEQR LEEITAPSVSREINQEEVLSKEEPFPQDLERPEPVTHEHHAVNIPAEIIPHHAEPSEV PFNQESSVPIETRDVVSEPEAEWAAIPKKSKKDKKKRKSGISTPVEESMPSGFSEELA EHSHFIAPEVTAGTKETNFDRDAAIHPEPSTAEAEGEWGSLSRKSSKKDKKKKKSGLS TPVEELMPAVVKEEAIGGPSQHIAAQENTPEINTSTSERVIHGQDATVLPESTFVEPE GEWGMLSRKASKKDKKKRKSGLSTPIEEPMIAEPSMPTTADLEKDLPEITSRGPEFAD APSGESTSQSHAFVDDTLPISQEREPSSTVQNTQNQEPTDEFAFITKRSKKDKGKKAS CGESEIEPGSFVPSGTSGPINLFPQNDSREIALEVPERQTSSPVSFEKGDTTREPSPP EPSPDSNDFDTHHAEAADVFPSDLSRKPSKKDKRKRQATVIVGVDDGQSTAKAPLTSW ADEVEEAEVIRDHPIIEDLAKDETLSHIPSTTESAPVDDFVRPSKKGKKGKKRSSSQV NPADIPHPPIGADIPKDESSDKSSNVATLAALAAGAGLAGATLFAHVKDSPEETNTSE SSTPVRKLSKKEKRKQSIDKRTPKDDMFDDPALWEGEKPRAYEGRDDEDDGFWTPPRE EEQSRDTKDVGFEEVRPVDESSARESKVDVASHATPQQLFPSFAPDAFSKLDEMDPMD EDTEREPEIHPTISTTRESIDTESQPKDSFYEFVPPRPGESTSGITSSLESNAFQDAP HQNTTAESFGQSYRHEPPRSIVTTPPEETDISFERAPPISQEHISTPHRDYVESHDTD RSFHDSPIEYRQSTPLSSRKYARLSDLPVVHEETQESEQHPSGQYHDVTTSHRDSAYE SPIPPQKGFSDLHEHVRDSGVHLRDFSPADKVRAPVSSTDDALARLSWPPVDEESETV DLHKSQRLKVTSKKHYEDGKRSVEVHDSPRPRGDKATDFYRSQRISEEKPILHHDDGH LSRDTLPSQQVKDEAHAELHRTPTIHGHGTRRSSQGSLVQQRLQKFESPDTVRSTPPR AGNIVKQRVQGFETPDSQRVQKLGPETPDLPPPHKPAFETPGSQRSDRSQSSDHDSPE YQRSQRPKEDKYAGLTSTERPKAEKPQGFNNLETGAALAGAAALGFAAARKLSQEQRP GSAQSIRSTSGGVSRLRTPDLHRPDSVNSNRSGTPPLRRSDRKVADLRSLSQRSKPDL AKEAELAANTDSTVSTANPTANEGRVRAKDMADVYDGFGEGRMGSPRSPTRPHSMRRR QSMQVLELENRVEQLAADNRRLAEFKAEAERNFNATQSATLVDKDTEIDALKRTLDWL QHEVTRLTEVNEGLSSANAEIARQHSDRYGMLETQHAQATRELQETRDAHTNLSSGVE GMVRSEVQKVVLDKDQEIAELRAELNAAKEKIREMQRQILASKANDIEFLTVRDEDYF DNACQQLCQHVQQWVLRFSKFSDMRACRLTREINNDKIIDRLDNAILDGSDVDIYLAD RVKRRDIFMSMTMTMVWEFVFTRYLFGMDREQRQKLKSLEKTLSEVGPAAAVHSWRAT TLTLLSKREAFQQQREQDTKAVVHAILETLSEILPPPSNLESQIEEQLTRVMKAAVDL SIEMRCQRAEYMMLPPLQPEYDANGDLASKVSFNAALMNERSGDTVSNEDLETQKAVV RIVLFPLVVKKGDDSGEGDEEIVVCPAQVLVAKSKKARFTDTAQSNHSRMSMQSSMPV DAGDENVI LY89DRAFT_574825 MATATPTVGTYAPNYAWVGPPATYNGTGFDGGDSAVENLNQWFD PGDQAYIIVSSCMVLLMIPGLGFLYSGLARRKSALSMIWACMASFSIIVFQWYLWGYS LAFSSSATNGFIGNLGHFGLQHTLGTPSPGSPLIPELLYSFYQLQFCATTAAIVMGAV AERGRLIPALVFIFCWATLVYCPIACWAWNVNGWGYKYGVLDYAGGGPVEIGSGLSAL AYSMVLGKRQEKMMLNFRPHNVSLITLGTILLWFGWLGFNGGSAFGANLRAVMACWNS CLAAMFGSITWCILDYRLARKWSMVGWCSGCISGLVAATPASGFITPWASVILGIVTG IVANFATKIKFWIRIDDSMDVFAEHGVAGMVGLLFNAFFAADYIIGLDGVNTGLITGG WLNHNYKQLYIQLAYIVAATAYAFTMSAIIALIINFIPGLHLRASEEAELLGMDDDQL GEFAYDYVEVRRDYLAWTPARDEPEKTESNISPQDRHGIPQHSEMINGHSPDRSHSNG NHNGGIIGDRHGVAAEDEIKEKRGINGANGAHATNGHPRQSLE LY89DRAFT_303515 MRQTPHNPIYRGIYDEEINQYGFQAGGCLLFVLADEHSRDSCQF FNDDDDDAGIEHLSSIRRKTVLDFSMQSSIPTQLPSLPLPSPCRPQLSTCMWLAKRNF KLFRYQVSILLTNLSEAFAPLLFRSSRSITLPSEFFWCQILRLSFSGAGPLIRILSCL KFSPDSGHDVFCAGPAKFLSREQRSREVEDKMFRKGIVLSVVEKSQ LY89DRAFT_303516 MWKSQLPATYFLEVTYLKVKGFCPSNEFEYTFPSTDYPYLQILP RLSWLLTLNMSFQLMNRSTMGGISLFLYFCSCSMALFGLGDSLFEEPGLIIHESRLIC SRGITVPNVCMIFSILLFLIFIFTSAWEAWGKGKGERDHPEL LY89DRAFT_575344 MPEPNFDIQPAERPKSSDNPKDKGASSARSISAASVQDLPPILR RRQTRTNYDSRFRTIDTTPLRPSWRPGQEPGIDPSKPNGGRSQAPMLHQQCQITVVNY SSDEMVMHEFNNEQFIEFLQKPQESWIKCSWINVTGLSWDVIQSIGKHKRLHKLAIED LIGGKGLTKTEWYLDHAYMAMTLLKLVSLKEEIDDPDDSDEEKNTWTPFDPSNGFIAG HTSGETQPPAITIRKGQTSPWTRMTRKLFPKRAKQEREKDLIFEYGQSLRRYLGGVNN EWTTYMEEHSPLTSKRLAVAAEQVSIFLTAGILYP LY89DRAFT_680691 MADTILRRGANASVLAQAIINTVIDLALPIVTAYKDVVGSLELD ILTKPDIKHTSELYITTTEIEMIRSSVSPILNIVKSLRDKKNDKVDTPPSTSPGGAPH VRDDDDNSESKVKISAVALTYLRDAEDHIILITESLDSMRKSCTNMIDLIFNTISAYQ NESIKQLTFVTIVFLPLGFLTAYFTVPFTDFADEKHSQKFYWGITIPVVVVVVLFLAR NILTWYYRRMVQRRFLVRSRKANARSE LY89DRAFT_714330 MDSHLDERAFEQVETRNYLAQIGSERYPPSPDDLPGDDPGPPFK AWRLNLVALSTQCNLYVVASEDKLLVFVPLDLRNTLSATPDLEIPLPISKEASMCRGS IDHTHPHCVNNMKMGYLGDLEILAMTFDDGDVIAFYAHVIDHAVRVAKERNTKGTPSE SLTPFFHQNVEWSAWGLAIHKQSRLIAVGSNGREITVFAFACNTLPNTREAYNQEEEG VSESESVYINQLPYLDLLDESFNDACETALDIEFSKDPLFRERLERFENPPEDESESD FETAADQIRGDMVTAAITLSNRIFPEEIREWDTAERLGTGGLFSNLKIQARLPPDGHN IPSLDITSNEKGKAYAVLATDINGNLWSFNLHDAIITKLPSIGGEIVVDADRLNPMGW GVVVIPKTMFRYAKSPHQALGVLDIKKAWKPDCKVDLWQSCFDITSSIREVNMASQIH PAFMRPQSYYDALEALRPQLKLDEDFDEICVDMDKVGATFRKNYYAKLLPMVPMVVGK AQSKLWIYLYKELYELAIKVDNPKEHIKSNDIWKIRQEMDSIRQKSAAPLSFLSYILS RLPTIRNSPRFSTWESCLSDPDFLDWFEHPETYDDFTDCLTESEAEPLAPDHCAVLMC HRLDVQLLSPQPYGMPPTICKDLLRQAMPVHVHRVLGHHDRLCFTALILPLQLVVVGT QAGRCALLTPTRLPPLMSSLEAVITMRIELFLPLKHHEDASRPREAPLMGLAVAPVWN GGRERKSGGGVEAWRLFLHYGDNTILSYELFRREEEKLVVI LY89DRAFT_303726 MRFSTVSAVLAFAASTYAQTAGFDPITAPTQDQDVAAGSTLDII WEPSANYTGTISITLLEGATPATLSLGDVVKAGIENSVGKYSWAIPSDIASFATYGFK LTLDSDTTIFQYSFPFHITGASSTSSGSVTAVSTKTMILSTGTAYTPSSTPTSSANIT SVVKTASSNATTMSTATSVKPTGNSTLTYITPTTTGAGSTASKTGSATTTSSGPASAT TNAAVANIASGSMALFGGLILAFAL LY89DRAFT_303703 MVTTTTTSTSPLPTFIKSQKPKPKNKVKMERISTSNPPICCSKP VMRKHALTYTAKPTTTTTFNTNSPPPTTTAPSNQQFPTTTSSRKSSSGSPNATPDDWL DFSSYLEREREEEGKRWVEELLGGMPNVA LY89DRAFT_607240 MAPAATTLVGETSGNAQVAALGKTVSGKKLKIRSYPKFESLEEE RLYRKQHLAAAFRVFAERGFDEGVAGHISVRDPILTDHFWLNPLSQHFSQICVSDLIL VNEGGDVVIGDEPINAAAFAIHSEIHKARPDVHAACHAHSVYGKAFSVFGRELDMITQ DSLRFYKSHAVYDNFGGIVLDREEGIRIAKCLGNGKAAILQNHGLLTVGQTVDEAAFW FMSLDKTCHAQLLVDAASAGSGHKKIFIGDEEAAFTYDQVGTPAKGWLAFQGYYDEIL AKTNGNFLK LY89DRAFT_680696 MARTRGADRPETSLDAAMRAPSTTTPDVVVLDFIEEEVKPKYTA DPKKDLWLSTVDGRYSSPIIPVRVGPHAETFPVHKAILTKSEYFSKAIDGKFREADDQ AIDLPEEDPNIFSFVVAWLYEEKFIPIKSMSTALVAEPDKGKGREDADEDMSGSESVS DSTGTGSDNSARIRRRRRRRAQRAFENERRKQPGRHRPSCNCAACTSEMMGPPCWSCG ASRNPPPLRHRGGPPPPAHAFHPNGFPRGPVGPPQRRTRDRRRVHRAEEVVIIDEPFN VAGDRMAPEDLRTWSLAYSLSIDVYVCAERYLMQDFKAAIAGFIINSFEVAGLEAAVP TVLYSCKTLQNGVSPVDPLLKKVLSRVGFLQARLGKNFPDETHIFFSDNPDLPHLIMK EMIERIEEDRPDNLPPMDAPHRYFGTGPDEMFMQGHRHRDPFW LY89DRAFT_636708 MARTFRVILTCVFFACLLSSATAQELLVSLDYGTFQGSYSSTYN LSYWRKIPFAAPPIGENRFRAPQPPAPITNGTYNSDQSFDYCPQRTVNGSEDCLYLGL YSRPWSTPQPLRPVVVVYFGGAFIEGGGSFTLPPASYPVLNVSSSNDFIFVYPNYRVN AFGFLPGSQIASDPTSDLNPGLLDQQAALIWVNKYIEQFGGDKGNVSIWGQSAGAGSV VAQVIANGGNTQPRLFSKALASSPFWPKTYEYDAPEAQAIYDSLVEMTGCEGEDSLKC LKSVDVQTIRTAALSISGSHTYNTSSYTWAPVIDGKFLTQPLSQATIKGQVNIDIGWG MYNTHEGENFIPPGLASANNSGTPPFNSSVASFNTWLRGFLLGFSDRNIARVQNLYPE IGSSELIPSYNTSYTRAGLIYRDIVLACPTYWMARAAHNKSYVGEYSIPPAKHASDTI YWNQVNAVQQTQPLIYDGFTGAFASFFQTGDPNAHKLTNASEPGVPENWRTGEEFVIL ADGFQDLKVEMLGERCGFWRSVSADVPI LY89DRAFT_762858 MSCGFSAGDFIEALKLVVAITDAIRATGRAQTQYHEFLYQLEAY KDALEAIQSLYNDGEEPSLILVNLSRHALDSMQLLAEQFRKLQKYSRSLGKGTKAKNR FMSMSIMKIRWAFFEMEAVERCQKNLKARVDIIKMLLSAYHMDRNAAESRRQNEQYQS LGRAIGEDVNEVHHLQLLLYQEVKEVMRAIPNQVLRQQPISFCARGRTWPISLELVQS TKEFITVLKMKFENVWDGRAVRKIEAGDFLLYLLSEDGKTTQSIDLRNPWESLFQPGQ RVNMYMHVARALLPILCKDCGALCTQWTFLWSSHIYW LY89DRAFT_664367 MVTKYLYSTGTSDIGEAKNFPWEYRVPDTEFFDPSGFKVGSTFL ACFSEEEAQDMGLESKLSLSKTEKLALLLQTLQDKLKFEDDTAKPLSFYQVNYDNWRK VVFSTI LY89DRAFT_714334 MEQPPPYTEFSELTLDPDYEWEKEPSVTETETETWLPSVPATNT LTVSLTTNDTFHFCKRKLEENSCTGLLALARQHGPELILGGPNSMTQKCIEAYSNPYS PLAMTLFAEYIETGRLRPAVRHSSALSSHTAYIVLYTISNALASEEFSNLIIDEFISL NGDMVSETNPSMITIIFKLSFPDEPPAALKEMCLQYMANGSLEVLEMELEDKDSREKL KDVVADLALMLVKTTRGRSDHLVMPSRKNSCEVELMVVVMKLSMGTCPFSMTTSMPAI LSIQPLRYAEDLDLYHEHSNKMAKNTSSDPKWSEVEGTLEDKQDQMQARNQKYRHDFI PQAIFFAKAFVTHAENWEKTITNDRIRTQPTSESHSGILQMMRQGHHNHPLSFLLVAS R LY89DRAFT_303851 MLLVELSSPFPLPPSPPPPPTTTTTSTSTFTLSSPSPSSPPPPL TTTLRCCRALAERNRVRPARYYLRGGGKAVLSSTSSNHSFLQRKAHYCPKRCCKWRKS LPLPFVVEAVELPTKKVLIPQEKNRLASLPPAISTKILTTAVLSHKKRSVSASLEPSS FEHTRQLLQNLETGAILVSLCFLPPVIHRLQRR LY89DRAFT_303866 MAVSVQAPFYSKSGMWNLGDPPTAFSSSVSEGLPASMSYQYPLP TPGLDSSSNMIPSFHHPSSLDHHAQTPPPVQGKLESPSLKNRVNPGAGSKAAKVKRSM STPNVRGQATADAAALALSAEKRRNKLGYHRTSVACGHCRRRKIRCIPAPGDAQSRCS NCIRLKKECNFYPVDQAPPPDPRRRGSKSQSGPERTSESSSPSTASGQLPEMAPTLPY PPLNMPPIQDLGGPHMKRQRTESFSPENKVVTSSRTFEYNTAPHQGATNWMAPDASPG TKPQTEVSQSFWRVNQQESPLTPAFSPFTPSLQIPPPQNWPASHPEASPREEIGWNVP QRSISYSNLEGLHNHQQYPPYPNPPPQAGPESYTPKPRVLHSSGMYPPPIQTSGAAVP PYEAVSTTSSDTPHPHSAQSLPPVHMSSNWQQPYSYQKPASAGPEYHEGWSAGHGGQP HLQQQSAPPPPPAYGYPDHGHGMYYPPPHQGR LY89DRAFT_680701 MDELIHVLQPHPEDRLHLRLHRARSVILTAQELVEIRAAQRTFE GAYIRTALGQFSFALVVLKIFTAEFYSIGALFATYGVGIFLVSLYRRYEGNRQFFSEM GEDGLGRKRFRTSGNAVVVLTALSVGAYASLIVLTARLGDE LY89DRAFT_728692 MARNQVSQPSVEDFDALDSMNPVEYAKKEKKLVRKIDIRLMPCL ILMIILNYLDRNALANARVQGIEKDLGLEGDQFNTCISVLFAGYIALQIPSNAIITRT RPSLYLPFCMAIWGIVSSMTALVQNFTGLVLVRFFLGFVEAPYFPGALFLLSSWYTRE ELALRTSILYAGSLLSGGFGGLVGAGVQSGLNGARGIASWRWLFIIEGSITVFVSICA AFIIPDFPHTTKWLSQEERAIATKRLQHTSGSHDTERGPLLGGIKMAVLDYKVWLLAL IIITKTIAGAVTSFIPTLVATFHYTPVQTLLLVAPPYVFATLIALLISRSSDKHSERA FHIIVPIFFGMAGFIIVAATEVLGARYLGLFLGLAGVYGSYNVALAWISSTLPRPVEK RAAAIAIVNTVGNIAQIYSPYMYPSSDGPRYLSAMIANAIFCLACIVTTLFLRWCLMR ENVKLEVLEVRDAVGQEGEKEVEAMEEIVDVGRGGEVVLRRGFRYVL LY89DRAFT_547333 MSSAFNTASTSVDAFRSQEFDDSLGLNHNYLPTTPFDPHNPSPF NHSQTLSNSASPSYTPGESEYSDYQPSEFIEDPFFGVNFDAGVPRVDSIPQDPILGNV AYPSSDLNRPLPDLPDSTEDKAPTSATSTSYPLSPVHSNIANTPSPRVGANDIKSRTT ISQHELTTDLHNSRFPNFNPHTQSAVLQLTPDNSGSSHTSAEGFEPSALSQVENSPSV MASQWGNAPQGGQSRNFMQSSGQYRGTNFNDFGGQLPSIKSEGLDNSQILRNEDGTWR TNESGPLGLNPDTRRDLDDEPIETLDEQTERLRIANKNREIEDWKSQTGGSSDVGDEL PSRSFLPSNDPNDQRGPVVDRRTSEEKNNNIPPVEDGVSVHENQLIEGQTYFNFSDPN VSDADRNLLMSQARLWDDAPTFPYITTTTFQPGTANDAIMRFNRHSDTMSIASRAATW GTRRRSAPSLADIEGIADGSFIKKLAITKPKEETRPRQNSLLDTLTGALSRRGSNRQL KRSRSSQNIPEETEEPPHPRQNSQGSLTVPKRTLSFGRKPTPSINNALEAMVGTMAGP LAGTAHTRNNSISGGVTSPKSPHLGFPRSFMPRHRSKSDLSQDRTAQTGLVGLLRTHG GPPVAVLAAVQQPDLEDEDEEDDDAVDDGDMKVDSDQQAEAIVPNYEGFKAHVRRLNP DMESRFNWLVSRIAHQQEIRYKNLLELRVKHSQAINARTCSAGRHCLALGGSVTLTDA KGNPREPASGNLQLVTEFSDNDSNPGEGALTDETFPQGVPMPPTRNLPAEFECQLCFK AKKFQKPSDWTKHVHEDVQPFTCTYDKCKEPKSFKRKADWVRHENERHRHLEWWVCQV DDCRHPCYRKDNFLQHLVREHKLPEPKQKTKAAIKKARLTEPAWIMLEKCHHETTNRP QDEPCKFCGKQFPTWKKLTVHLAKHMEHLSLPILKLVDARSVDANTIISPVEQILTPI TPGSGIPKNEFSPSPPFLHGISPHMANQYGPRAFDQPAYYPTTGP LY89DRAFT_704253 MRFIKRKDQTPKDSSETKLKIKTIAICAFPAAGGILFGYDSGWI AGILAMDQFKKDFGKPSVDKLAYMGLLYSSTDKSLITSMLSAGTFFGALSAGYIADRI GRKNNIILGCAIYGIGVVLEMIPHGSVPLLTVGRTVAGLGVGLVSATTITYASEITPK QIRGSVVGGYQLNITIGILVAAAINIATQRLHSTAAYRIPIALQFLWALILGIGLLFM PESPRYLVMKDRKADAKKALSTIWGEPETSDMVENEYKLIEDSYTAERDSGAAKATIL DCFRGGCKSGSNLHRTFIGISIQMFQQLTGVNFIFYYGTTFFQRAGIQNAFVVSIITA SVNVVSTPIALWAIEWFGRRPLLIWGALGMCICQFIVAIVGTIEPDSEAAQKTLITFV SFFIFFFASTWGPVAWTVCGEMYPQKTRSQSTAVATASNWLFNFVIGFVAPYLVDEDK ADLGAKIFFIFGGCDVLCFLYAFFGIYETKGLSLEDVDRMMKETSARHSAEWNKWRRA IGEKGDGSSDKADLTEAEDAGASPSSVEQQV LY89DRAFT_575826 MRDGKFTPEFDAFVKEKLDKWHVPGMSIAVIQGDDVCAKGYGFA RLPDEPVRPETLFNCASMTKAFTATATSLLVDDKNHPDVKWDTPMSHLIGDDFVLSDG RYTAEVTIEDMLSHRSGLPDCDDACYGIYAKNPDNTKSIVRKLRHIPLVSPLRTTYNY CNVGYTVVAHMIERLTGQWFGDFLREKIWEPLGMKNTYYGLDDLRKRRGTDDLAKSYR WDKIEEKNEEMPWCDQPEGSGCGEVFSNVLDYAAFLKCMMKKSGPISEEGHKELVAPR IITSPGEEPMPFMAHRLYALGWEIENFHGERTVGHDGAVTGFACKMLYIPRLNWGMVA FGNKDFANDAIDGIAWGLVDDLMGIPEEQRFDWDEDAQRIWDKYEPKTREQLFPKIPD PPIPLSRPLSEYAGVYNHPGFGDMVVELKDGKLQVDATDRTWRFMHYLDHVSGDFFFV DRVEVPSKETGTGKAQFRINADGRVIAFGMDLIAGMEDEKEPIWFERGDLHEGKDEGG SG LY89DRAFT_636725 MTTVLTRLLGEPVHNVGLIVGTSVIATITVLSLSKLAFSRSLPQ KIIPSPRETQLPRLSKEEQEALPYPPDAFPGARDAVSPYGTIRVYEWGPETGRKVLLI HGISTPCVALGGIARGLVEKGCRVMLFDLWGRGYSDMIDLPLDSRLYTTEILLAITSS SLPWTPGGFSLVGYSLGGGVAADFASYFPAMVNSLVLLAPAGLVRPYHYSWATRAAYK NPLPDSFLEWLTKRRMGGGSTYKPMTKTGDQDHPTTGEELKGNRDYKFESAVLTTNNS KSDVTVADVVQWQINNHEGFIKSFMSSVRHASIEEKHETWTKLSTRKDKVLIIVGSTD PVIIAKELHEDAGSTIGYDNLEWREIDSGHEFPVSKGDETVAVISEVWGL LY89DRAFT_762900 MATPIEMDDIAITMDIEVLMSKLTVLERTLSNQSQIESEFEDND SITTISSDDFNDRSMDIRDARLANSILSLDEDIPEHIDASIKELLTTHNNTTGALGYM EFIVTEEYLEYKHSIAAKKATEKKLPRSKGRRSSQKQSTAVTVTEVD LY89DRAFT_574655 MATEPPAAVTKISTHPPLLIKKLSEKAKLPTRGSAFAAGYDIYA SKDTVVPARGKVLVDTDLSMAVPDGTYGRIAPRSGLASKHMIDTGAGVIDADYRGQVK VLLFNHGEKDFEVKEGDRVAQLVLERIYTPEVVEVEELEESVRGAGGFGSTG LY89DRAFT_607272 MATFVMQSLGCEVAALNTVQFSNHLGYGQAKGTRATAQEITDLY QGLKDSYLDDFQMMLSGYLPGAASVEAVGTIGRDLKYKATMKPGSFFWVLDPVMGDNG KLYVAEDVVPAYKGLVKDADLILPNQFEAETLSGVKIVDMDSLKEAITTLHGKYRIPH ILITSIAFPTHGATPSLSVVGSTFTTGAKPRIFGIKIPAIDCFFSGTGDMFAALMLVR FREAVTNVPGLMATAAWVSGDEVEATELPLAKATEKVLASMHEVLTKTKESRDAELER YSKQVGGRDAMSDEKKLRLVKSKAAEVRLVRNLKALKDPDVKFRAETV LY89DRAFT_607274 MLEARLEQADLLKKIVDAIKDLVQDCNFDCNDSGVALQAMDNSH VALVSMMLKAESFSPYRCDRNVALGLNLTSLTKVLRAAGNEDILTIKAEDAPDVLNLV FESSESDRLSEYDLKLMDIDQEHLGIPDTEYAATISMPSTEFRRICVDLMALSESVSI EASKDGVKFSCAGDIGNGAVTLRPHSNVEKPELDVEIELTEPVSLTFSLKYLVNFCKA AGLSKSVKLCLSNEVPLLVEYQLAGSSYLRFYLAPKIGDEE LY89DRAFT_728701 MENNYMSTQDSRQCRSRSNSHLSSTAAQFQGLNLQSSSSSTTAE PGGSLSSSGIHPTSDFRASPPTFLDQNQTSPEPFISAPAPQQQFTPFPLFSETDLDAN WSFISSTEEHSDSVPLVRVEHQPFGDQRSIRSNSNPSTMSTLGIQQFDDRFDASMHGG LRHTYTWPQFEMHEQFMPHHANQGLNSGQLTPHLYDEPKLMPMYARSLSSSPPRASLT PEQRELKRQRDHARRDSKTRVRRERSTSNTSNPYLSSQAGSPDLLPRTVPEYPGALTP SPLLSQDSLQNSPALGSTNFLTPYSPPLNQQPPSEMYGPVFTMGPNDFTSAPAYSMPF SNGEQPSLASYVGRPHSLSLSSAPDQAALYRTQQVSPKVVSSESGDHVRVVHSRPKPQ CWEHGCNGRQFSTFSNLLRHQREKSGAAQKSSCPNCGAEFTRTTARNGHMAHDKCKSR RNS LY89DRAFT_714346 MAEAISINPPISNHHAVFFHGDSYNSDPTMSGRRKSHLLLTYSF LFNHPAEESLSPPDSEPVLPFPHETFLLAPACGPYRPGKDTCEGNVVHRYHSPNSHYY SPAQFDWHRTVDQSVHVWLVLPRGIYIPSPGPPISGVTTKFQVLGRFRNWCVLLYPPH GTSIPISNAKFQS LY89DRAFT_574788 MTTSVPSPELAFSDTASQPDEHQVSIPKTSAASQPTNSMKSTDL SDDSDGFQMGTPASVMSDIVRKNVIDPRTKISSLLKDKEQEWASVVEKKGPLRLLDLP MDVLKEIVKEVTHTNDLTALALTHSALHNLAIPHIYSRFDIVWPDAHATTDPRTGVDA LTYGLATLCMGDVFTDHSQGQYFTCGNCGSQNIAECSHSLNFGSGAGQRRLGNQYPQF TRKFSLGNGPADWVQEYLITKESGKMLGTLVALAVARMVNLETFVWDMPTGVLRDVWL ALSSLQNRHPHQECRLERVWVRWHDNSDAGNLPSGHASNSGASTTPQMLAGSTMTSVG WTIPPGALPSSQNLSQPLSYAQSRVEYPTLSVLPALRSLSVLDIDELDYLDEMSVLIS KSKDRLRELRVGISAKAVKRDFAIAWDGPELQQVDHKAQWPGASTIGERRLGGVLGVL LGRVFDIRKKQKPKVEKKERSAVLPATAITIPEPQHGQVLSIVQHGTQNNDVLLNHIP EALENNSIDEEWAGKDSTTLSEAVLSSSSSPLDLSIGSATHDANGLDLNPPHLTASGA EFAQSDVDSLTALISAHEIGTATHHFAESQPEVVAEPPLRRSHTHSQRSSHFSEAKST DRERLEGKLRLQTLELERIPLSVAVLQKAFDWSVLTNLTILDCAQHDRLWIMLRRHFQ PSPLGPTHSTKHGANMQYHLNLRKVHTDAASPALISFLKETLAPNTLETLFLQDRKRS STVAVTIDAIYRGPLKRHRSSLKRLMLDSSDRIPRSPTSATDSARWRSWMPNRDVLNF ITSGRMSSLRELSIAIDYKDWHHFLQRLPQVPHLRSLNIPYIADHVTPAFDPRDLAMQ VVDVIVLRPEVELCYMGISHKCFEILENRPHDESHGTTESHSSAMNGGAGGAVTDEED EDEDEDGSDDDEDDEEEEDDNGTAMGAPAGIDPDETESELSDHDDSDTDSYDGSEDGR SKVKLRLREILFYDDKVAIFKARHGRL LY89DRAFT_680713 MSSRSRPNRRFNSVQNSDRISRNPSRARAKTISYTDAYTFALRV AYLHHLLTPKAKRKQWVPAPKQPKPRKTVMIGDLVQEFSLIKDSKSAKFPHGFMSPLE KRMQNVVVGSERLPGYNDKAVKRTFAEAYTAFTDPGFRKRMDKERRVEDLVLIFYSKA TASLQKGAAPDDDSWKTLVDRHVALFVRLISQTLKDHGNDKDKPELMSRLATLESKLL TFDQDLYIDSGDGPGGSTIEVVVPLSQDVKDMPMVQTVARIFGLTHSQIQGDIDSNKK TWTEEAALADLKAYQHCLNAGTKRTLRSEDFDIEEAYQAWKKAEGPDLSQMMSEILQA RPELVKSVSGSNKPLPPVISSVSASEDQAYSDLARTISSPIDQNVSYAFDQPVDMSGL SLEDDQPSRHFLEESTYTFIPSEPRAYYRAILTHAMIFDQLHAPQSSEGVPGSPPISK QSIELLTEICVRWRIPQFSRLVLFLDVSAQRFLDQEMGLEELDAAFEFVKNPPPETKR SSAHSHPTSLSSIDQSHWTIQDFALYRQVLSSLHEGLLRDVYDLLQHCYEQKPPSPGP VLMVLETHIESDPSFTVGPGELEAFQAQLAEGLHTKAAAVYRGYLEAEVPQNQEEWQF YHVVQLGKSVVKLCERIQKRYRKNPEIMGVNPLTILVETMFPSFENDAHDLIQRILHV AQTKNSEVDLQDGFDLYRELVEIRSIHHAALPERPFAFHIEGLLADFVWRWIRVSESK MVDLVDQAIKQDQFQVRSEHPEQPATDDERHSVSIIDVFRLFNQTADQIFQLNWDDDV QYAKFMTALSKSFGVGIARYCEVIEQRFAKEMDRLSPAQEAAAAQTKQEKWMQLAKDA WSNKEKIEPFQFYPESFVKLNNIEYAVQQLDVLEKTMNVDACADVIAKNAPPKDKQKR PSKYVFTIKVVEAEELKACDPNGTSDPYVVLGDEYQKRLAKTRVVMRNLSPRWDESVD ITVQGPLNIIATIWDWDTFGDHDYVGRTSLKLDPAHFSDYMPREYWLNLDTQGRLLLR VSMEGERDDIQFYFGKAFRLLKRTERDMIRKITDKLSQYINASLSHEALRHLLSRGGL SSAVTNLWKSRQSVAPALTQVDIENALKPLFNYFDENFAIMKQTLTDASMVMVMTRLW KEVLLALEGLLVPPLSDKPSSQRPLTQQEMDICFKWLELLFEFFHARDEETGEAMGVP ADVLKSPKYHELASLNFFYFDSTDNLIRTSERMATASAQRANQQRNRLSAPASLGASF GGLMGATSMRRSKSIMMSRNLGTMKKAKEEKRKEAQADPSDDMILRILRMRPEAANYL RDRSRQKERLAAAAAAEMIVRQSLAAGGPQFGRNNLPRR LY89DRAFT_728704 MAQESTLAAASAVLQSIGLNANIPHPVHGGHGLKLPGADTPAKV ALENEIAALVARVQNLEAKASTISNHALPDTPNETGAPSAFADVLTGSQSRNSSKSNS IRNHSYSSSLPRDSSSGERPQKLPKLSNDELIETLQQEIVDQAEQIKNGKLKQSAIEA KMEEQAQHQQHLINQFEAKRVATLERELKKHQQANEAFQKALREIGEIITAVARGDLS KKVQIHSVEMDPEITTFKRVINTMMDQLQIFSSEVSRVAREVGTEGILGGQAQISGVD GTWKELTDNVNVMAQNLTDQVREIASVTTAVAHGDLTQKIERPAQGEILQLQQTINTM VDQLRTFAAEVTRVARDVGTEGILGGQAEIEGVKGMWNTLTVNVNAMANNLTTQVRDI AMVTTAVAKGDLTQKVKAECKGEIMQLKETINSMVDQLQQFAREVTKIAREVGTEGKL GGQATVHDVEGTWRDLTENVNGMAMNLTTQVREIAKVTTAVAKGDLTKKIGVEVQGEI ASLKDTINTMVDRLGTFAFEVSKVAREVGTDGTLGGQAQVDNVEGKWKDLTENVNTMA SNLTSQVRGISTVTQAIANGDMSQKIEVEAAGEILVLKETINNMVDRLSIFSNEVQRV AKDVGVDGKMGGQADVAGIGGRWKEITTDVNTMAMNLTAQVRAFGDITNAATDGDFTK LITVEASGEMDELKRKINQMVFNLRDSIQRNTAAREAAEFANRTKSEFLANMSHEIRT PMNGIIGMTQLTLDTDLTQYQREMLNIVHNLANSLLTIIDDILDLSKIEANRMVMEEI PYTLRGTVFNALKTLAVKANEKFLDLTYRVDSSVPDHVVGDSFRLRQVILNLVGNAIK FTEHGEVSLTIRKAEQDQCAPNEYAIEFSVSDTGIGIQADKLDLIFDTFQQADGSMTR KFGGTGLGLSISKRLVNLMRGDVWVKSQYGKGSTFFFTCTVRLATSDISFIEKQLKPY QAHNVLFIDKGQTGHGKEIVAMLRQIGLMPVVVDSERNINLSGGGSKIASTFDVIIVD SIETARRMRSIDEFKYIPIVLLAPVVHVSLKSALDLGITSYMTTPCLTIDLGNGMIPA LENRAAPSLADNTKSFDILLAEDNIVNQRLAVKILEKYHHVVTVVGNGQEALDAIKEK RYDVILMDVQMPIMGGFEATAKIREYERSLGTQRTPIIALTAHAMLGDRERCIQAQMD EYLSKPLKQNHLIQTILKCATLGGALLEKGRDVRTSAKDEAPKAATPNGTPSPGIAPG SSLLRPPLEPRALTTTGPISHGSLESPAIVTADQEDPLARLLMRAHSG LY89DRAFT_664388 MSFQYDPNQQGQFQENNGNGMNQQQGQPQGMAPQQPMQQQPGQE GGSPAPFAQQGGVEGAGGSVSGDAKTTLWMGELEPWIDENFIRSVWFGMGESVNVKMI RDKFSGNAGYCFIDFSSPAAAAKALSLNGSMIPNTSRPFKLNWASGGGLADRRDDRGP EFSIFVGDLGPEVNEYVLVSLFQARFPSCKSAKIMTDPISGMSRGYGFVRFADEGDQQ RALTEMQGVYCGNRPMRISTATPKNKSGGGPAGMPMQGGMGGGQQMAAPGMYGMGAPP PLGYYGAPQPMNQFTDPNNTTVFVGGLSGYVTEDELRSFFQGFGEITYVKIPPGKGCG FVQFVQRHAAEMAINQMQGYPIGNSRVRLSWGRSQNNSGPAGTPYRPAPPPPQYSSMG MPPAHPYGNYQPLQ LY89DRAFT_607291 MAQEEHETLEPPARTSIDDPGAHDLGKARNESSDSDDHFSDAQS GLEAASGIATPVIPLTRVEKVDDETRYGEEPGTVAYRMREQDAAPDEIEVVPEGRRSA SSLSMSNPTSPGGQPIPLTVVEKVDPSSPSHGEVPGTEAHEKRLADAVPDLVVRSGSR SRSSTMRSRSGSTPGDLPIPVTKVERVDSKPAHGEVPGTKAYEMRRGDAQPDIVEEVE DVPGKDISMSLTSEPLTESGSPTVPAPRSPTVNHARRKSSAAGKKGAPVATDDYNEAE DGSDGGFGDDFDEFEEGEEFDEFGEFDDGFQEAAPAPPQQSIQITPSFPVLDFDDLDS PEDIQAATEPYMNALFPPDTIDTSVLPPISAENSIFLTPRSASLWSQLVAPPPLQPPN WIRSRIRRLFLVSLGVPVDLDEILPASKQKKLILPSMNLNPPSGSPRNSTDSRSISRL KNGEGNTSSTSVDSQGKPSRSDSRRRKGPPPAPQLDLVSARQLCTITDEALNGLTIEE LKLHVKKLEDMQGTAKEVLDYWTKRTDEKLGDREAFEGVIENLVKHARKVRK LY89DRAFT_777664 MSFTFGQSTGTGGTGNGSSNPFGTSSNPAQTSSSGLFGSSATPA SSSPFGSGFTATSKPAGPSIFGNGGGGSTPAPSPFGANMSAAGGGGNAFGTANTSGAP SPSIFGGNAATTSSTGGGLFGSKPVGTPGTSAPPASVFSSAGGPAPSFGSGGGFSFGQ KNDGNSTSTPTGGNESKTPAAAPAAGTGGMFANLGNNSSGGSGLFSTGSASTGVTTPA KPSFSFGPTASTTPAGNPPSNVAQGSKPVFGGLGGQGLSGGLFGQPKPADSSKPATAG SMFSTAGPKPGGIFGQNPQTASSSGAPASSTPATQTPSFSFTKPASTAPSSQPATTAA APSGQIGGLFGPKPAGSSTPTTPNMFGGASMTPSTQAPKPGGLFGNTPTTSASTAAPS GAMLSSTPASSAAPSSSAPASTPAATPSLFPSLSGNNPTSTSSPTPSLFSGLGSGSNN TSTPAPAGTTPAPASLFGTQPASNTATQPAPISGTTSTTVPAAGASGTTGNTQSGTGP SLGASTAGPTPQLTRLKNKTMDEIITRWASDLSKYQKEFQDQATKVAAWDRLMVENGD KIQKLYLSTFEAERQSTEIERQLSNVESQQDELASWLDRYEADVDEMFSRQVGQGETL QGPDQERERTYKLAEKLTERLDEMGKNLGSMIEAINEASTTLSKTSKADDPLSHIVRV LNSHLTQLQWIDENAMALQTKVVEAQKMGQSIGSNGYGGPESDAAESFYRSFRSGR LY89DRAFT_728709 MYRQSITRAVRPALRSSLQSRAAFSTSIRAMAGGDTGAPRTGGV ATGDAFTKREKANEDYAIRLREKEKLLELRKKIAEQREHLDKLSEHIEEMAKEQGGEQ K LY89DRAFT_664392 MGYEDSVYLAKLAEQAERYEEMVENMKSVASEDQELSVEERNLL SVAYKNVIGARRASWRIVTSIEQKEESKGNSSQVGLIKEYRQKIEAELAKICEDILEV LDKHLIPSAKSGESKVFYHKMKGDYHRYLAEFAIGDKRKESADKSLEAYKNATEVAQT DLAPTHPIRLGLALNFSVFYYEILNSPDQACHLAKQAFDDAIAGKFDFFCQIGKNHNC LTHHPTELDTLSEESYKDSTLIMQLLRDNLTLWTSSEAEPTAAEPSAAAASEAKEPEV APTETKADAE LY89DRAFT_575746 MDYHTLVDYALSSFGLDGETDGAFESKQHLEPVRSLPYTLLIYT DRLYLASYTTPPSADTLFPYPDPPTSRRSPSKRSARVDGPSAILSDRDRTPPCYFSVD DTLLYNAFHHDFGPLHIGHLYRFAVQFHDILGAPENKNRPVVFWSKADARSRANAACL LASYMVLIQSWAPHLALAPIAQADPPLMPFRDAGYSQADYGITVQDVVYGVWRAKEQG FCALPQFDLEEYERYERVDQGDFNWLTPDFLAFASPQHTPVAVVPTSSALYATLPSTV AAVDAHPTLPTPFKNVLKHFHDRNIGLVVRLNSELYSPSFFTALGIEHLDMIFDDGTC PPLKTVRKFITLAHEMITVKKRGIAVHCKAGLGRTGCLIGAYLIYRYGFTANEIIAYM RFMRPGMVVGPQQHWLHLNQGTFREWWLEEQFEIKMKEKLASIAPTTPTRISQKQYLM NNQIATPPNGSRRSPLGEVDNEQRNSIGVQEDCLPAPTPGQPRKTSRAVDRAVDRHHP YGRNASTTYTTTTEDEHLLQRETEMISIHRSSLDDPDGEDEIQFRMRSSRKSSRSPAS RSISQTTTHIYSIDNDSSNDVENLGSGPRPKTPGAMKSGSGSSTLSKVRSPKRSGDSL RGEKSAGIRKTSGRVGSISSTRKVSGL LY89DRAFT_636760 MTTLLDPDFPCSLTIDLPLPTARLASAALQALRVDKELSPLVRR SFSTISPTSNEVGDAIIVDSSAETVLRTEYKATTNRMLRVAVNGFMESLSVALGVMEE LDVDVLDAEISKG LY89DRAFT_575165 MNNIGEGLPFEFQDFSAPQSQGQQMISQDEGDSMDTTMENGRGM MPQDTTMQDQMPPMSTSANHPAIHAPLNHGHASNESLSDLDAQINFLQQQRHHQQQRQ MQEQQRNFYAQHRMGVPPTPQSIEMHGNPAQYYQPHSDPQQQAVYERFRMQQLKEQQE MAFTPLVSPAVTPLEAHFSIPEYTVPGAYFSPLSSPALHAQNEHQSVYDQRHSGATNS PNDANLESHSAPGSSTILAKKSNKKAPTKIRNTRAVRQSPIVKPRRGKKGSSSTITAQ ALGDIIEPSHSQVSQIKDSSVSTEESENGSISPEHLSDMAPPPIPTPGSAGRSPYIQA QSQAQKANPRTQQLILGSPATPASLMRISSPQGEQPPNGHNNMDIDDPGMDGFALPEA ATTRPQLSRLDTQSDGQITPTLSSTTTKTPGFQPLPSPAFARPRTAASASQSPQIDAM NGSISANGNSRKAPPVSGRSAKKRSSSSVLASPALLPRISPSIKPLLPGGGKVSEDTA SLLLASKSNYQNILEGTHLPGVSYPTELSTNLTSKRTSHKIAEQGRRNRINSALQEIA TLLPRGQTKDSGGEKSGSGDGGESNEPTNGKGTSQSANSKASTVEQAIEYIKQLKNDL AKKDAENQELKTKVTQLEQKV LY89DRAFT_680729 MAHLLFGFRGASANGDRVGLTDAMSTESPIHPILVMEESSDLPN ELLEGFSSATVSSSQEVIIGWQKWGLHEPIRPFQSSTPQVEWRMRLAAETPETPAKAI LQTLDAHDVRSQRARANSLPLSFDRVASIEQAMIDFMVISNLMHAKDLPLAISQPSLA LCYS LY89DRAFT_728714 MAAVSLAHFAHQRQLGLQRLMVNERKRTNARMGHQPLHARKTRR GKEKEKEKEKQKQKQKQKQKQQKGEEQQTRDTYAEQSSSRDNHCLRSGRLIGHAVLVC WGTTRGEYECLRGRNSDDSTMIPSPQYPLDNSCSTLFNNTLYTYTSSAFQSLPITSGA EWSELPMGVAVEGGVCVKSTPKNDTSAAALYIVGGTSNSSDYQGLQRFNFANGTWETL RPSVAVTQNRLYHGAVYLNTSDSILVYAGTQDGSKQISSQTFTIQASEPYTVLAFEAI APPAISPMLMQWTESKAIYIGGSDTNTKAMIFSPSTSWVDSNATLANPIYNTSAIKSV VINGDDASKTLYTFDMTMAPNQVNRTILIDGDGNPVQNAAPVVDKRWEDRYYEERSIE KRDNLTVADWPAYNDTLAPMSTRTTYSVAKDQSGLVVISGGSTSDVLCMFKARDNCWV NATATLDAKSVSSSSADGLGTSPSASIASSTGTSSATATAVASGSGSDAAFPTKLLGA VLGSILAVAFILIAFLALFRWRKNRRRYSEAGHQRRASGIPDEKNAMDFADKGLPQMV TTRQFPGHEQQNSQGSFSSMAILMGRVGHKRGDDKNGSLGSDSSSQFNKKYKTAISKP IPQAEPFQSSSQPLVQPTRDEKAVSFAAKDIAAAEEREAVPRPRGSTKGGRRGSTRRS SGWNRYWSGGSSLNILGFGSKRTTYEGSDRSSDSEYSIQRMPSQVTQTSALVPPLNLV ERPELNRVMSGSPTIAHQTNKFPLSGEMSAQLERSGSVGSFSSYNDDRHDAFSSGIPA SVHEQNTWTPVEGQDWARTSNYSESVYATTLPRSTVNNYPRQTLFPAPGQTPARPPPP PQDMSWLNLGNETRI LY89DRAFT_714359 MASRQRPPHEEDEVMAGGQPSQQQQKQKQTRRPTTSKIYRVMDD IMAQNVNDEASYALPSTSVAEQARLEPTFTGDAYYYLLNRKKVRSLIHVCIFTKPHRP SSRSEGHRNLNTLLDDTTNIYAVASLHFRTRNLTLWLTPSLDDQNTTSLSRAFTSPSP PSTSLTPPTGLLDARLAAGPASSHDAKVSFGAKKTRAIQDVATGYWIDRGFEFVSVEW PSWDAETGGVEGMVVTAVKIPEAGEVAGAFLLEQVLVAPVEGEGLMRWFEGAEEVVVP RKRRGGGAGRKVVIAPRVKGKEMEMGGKGGGGEDVVREGLDESLSLEERGSLGEVEGK GKGKEKEKMEVADVHEVMDLDGYKEVLKPAEAKEKGKEVGVMEIMDLDDISDAETIKD LY89DRAFT_680734 MLFLFSITIILPVIGLIAFIIRTLLSKNETPKNPTTPSITTQEI NPPISPPPLTPPHQGKK LY89DRAFT_762950 LGTLQRNENFHQLHQHHPKPSSLPLPLALPHGVKQGPDLSYKLR QHLKINRQLLGKRQNQFPQELIIHVLINISWDEPLALSLELCGRSKFFFDDFLHFGQI LEGAWERGKAGFEIGGCVWGFVERHCECCLWVGGWGEDGYLHTFYEGRIAVDYHEASV SCWLSRSKCSLRLDLQVSLSAAGTV LY89DRAFT_777672 MPASGPVTVITEKAAAASNGDVADASPLVAELDDFGLPVKKHKV SIPVEDDVEDDEESQGETEGVNGHASKIPEESIDDVKTQKEPQDEESSEEEDFKDARS TPQPATPLPVLSEPKEKEQSDPSPPAELPRPKESVQEVEPTHEEVVEEPVKSTEPEAE AKSPDAPKGDPKEDRKSQIGSGPQSPKSPQSSKSPNGHIRGQSSTGGGVSEWSHQQWT TKPDKTPETEDDEWQTMPAYAPYDIYDDDNKLIAKEHDSDVEADVYEGLGGAGKGYTR VQLDEDAQSATSLDENTQYLFKDVNGGTGAGVEDEEVRDAVSQMQATKDLLTEGQRIA YVGMTRLILSKMLQQAEAMALAKGSKKEVQLGAEAMRMWSQKMMVRLYAHMEISTAEQ IMIEQLAEHGVVPEDLTPTLMQNARVKNPMAERPTSSSDLKSPRTESMASTDISPRPS MSSRQSVSSPGIPPTPKLYDEESAAVPPPYKEHEGDDLPAVRTPNQLPTTANLDIDLR WTVLCDLFLTLIADSVYDARSRVLLERVGENMDISWLEICRFEKRVTDALEMQQAAEK ENWNEDEHKENRRKMALKKRYVMMGLATVGGGLVIGLSAGLLAPVIGAGLAAGFTTIG VAGTSGFLAGAGGAAIITSGAAASGGIIAVRAANRRTGAVKTFEYRPLHNNKRVNLIV TVSGWMTGKVDDVRLPYSTVDPVMGDIYSVLWEPEMLTSMGDTINILATEALTQGLQQ VLGSTILIGLMAALQLPVVLTKLAYLIDNPWTVSLDRANSAGLILADSLIDRNLGTRP ITFVGYSLGSRVIFSCLRELARKGAFGLVQNVYLFGSPIVAKKDEYLKARSVVAGRFV NGYAKNDWILAYLFRLTSGGISRVAGIAPVEDIPGLENVDVTEFVPGHMAYRTAMPRL LRESGWLVESDEFTEIEDPDPENHQQRQRELINEIEEARKEFEKKEKEKEAKGKFGFF SRKKKDIVQKKDWEMYEESKGDPLNARTKVEDSEGNNHGVLFDIDAIRAELASEQMEV KELKSTLPPMKLDLSSSPNPSNPRDSLRETKSFDAASTLKVTKSSGSTLPRQPSSEYI PSLKSPPKYAEEDEEEQVQMTFDTAYYSPPRSAATSSVHLPPNRLNSPLPSPPSPDPN EKAATLQRPELKSSSTLPVPTAAPIALPPLDLGHNAWADDEEDFGMEREMELTFA LY89DRAFT_607309 MRGKRAKQYRKLMQQYGLSFGFREPYQVLLDSGIIRDADRFKMD LVGGLERTLHGQIKPMVTQCSMRHLYKAKDEPGVSYLIDKAKTYERRRCGHHPDEFPE PLSEKECLSSVVDPKGSKHNKNCYVVASQDLELRKNMRTVLGVPMVYINRSVMIMEPM ASTTVDNREREEKGKFRAGLKRASGSLKRKREDEDREDHNESVTEDLPKKKKKVKGPK GPNPLSVIKPKKKTEGDGLASIKGKESKDRTEILPSLGKDEASDQTAKRKRKRKPKSG GDGEGLSAMSEVADDDD LY89DRAFT_575612 MRRSAFRTTVARAIHPQPRRSLNQTTSRCRPELTFSTTVDADNP VPQRRLPPFRFETGLALFAKRAPRPFPPPFLSPPSGSFSDPLSTHHRSRDRRSFVNGE LIRGYTNGDDAVYASDQFIGANDGVGAWSTRPGGHAGLWARLILHFWALETEKDIERS RSPDDAYEPMPVDYLQKAYELTIEATSEPNKWQGTTTATGAQLHFQKSESDPNAPATP ILYVTNIGDSQVLVLRPKDSERIYKTTEQWHWFDCPRQLGTNSPDTPRDNAVMDKVEI EENDVVLAMSDGVIDNLWEHEIIENVVESIRKWENGQGGEATGDRQGGAGGGMKFVAE ELMKAAKVVATDPFAESPFMEHAVEEGLAMEGGKLDDISVVAALCRRNKG LY89DRAFT_728720 MSTRSRVLSFMSSFSSSNRDAQRSPTPTGPAPVQQRQESFRHEP LRHDAARDPATPARGSQPPYNMDGESPQPRVQRERASSRPMSMVQTYQPPLMDVSQDT LPELQPIFTFLNSHANKLYQEGYFLKLDDQNTHGRPNADRTWTECFAQLVGTVLSLWD AAELDAAGQDGEVLPKFINLTDASIKMITSLPTRSDTEAPLQNVLSISTAGKNRYLLH FNSHHSLIQWTAGIRLAMFEHATLQEAYTGALIAGKGKALNNINVIMDRAKMPTADWA RVRFGAGTPWRRCWCVITPPDEKEVQRLQKQMNKKKSAYDRSRPPFLKGDIKFFDSKK TKKMKPIATISDAYSAFAIYPQSKPLIDASTLVKVEGSIIIHSNPPTTSEGFVFVMPE VHPAVTGFEMMLRWLFPVFDTFGLYGRPGRLVADISDPRSLMFAMPKHRRYGYLEILD VSGLILEQGSENWKEGEWRKRMKELTCKRMTAIEAGSQANSRYNSRRSTRNSFGPSRS RVQFDDGASVRSSPSIAWNNGQEPSVGVPRTDSAPAAAGPFTPPRAQPMTHQRSVSET HGLDRYQQAAGGYDGQYDSPPPPPPHAIGIASGREGSSLRYRSDMAPTPERVSSEDEQ VARTTPVRELQDLQTVTSPEPVAAPPAFSHAPGSMPTSKPYHSPELRRANSRMSSTTL NQLAVAGGVATAGYHAVGHDYRDGDDQRRHDMSQYSEERGQRGVLSDASPNEYSANDG LNEGLVAASKPRFSFEQPSPMAPTDEHTDYPQPPPQFPYDSHNLPSTAQVDISPPGSR PTSSRAQNFNNRTYDSPQVFNSQESSSMPPRHGAQPSSDSTYSISSQPRIHTNSGVVA RKPLPTQNSVETPTSAQTASSTHSSGRHVIDEAAFDMIGNDDRRLTPLPDNQMRRQNS GVSSVYEDTESTTSPDYASTRRSVDTQQSVEKPRAGVMKTVGQLEKTTSNDSRKGPAL DIDFGPTLNLTSDRPVRSQSPGLAQSYGIASNQGPDRAPRQPSPGRGLDTAKPSPGRN VTTPENAHYRNNSSDPRTVAWQPGMAMSTNVGQSITPEQFVQQRAAVATATPLYAHQR QQSSNTLRGNTPTPPQGHSRNNSADLLQRPASRGASVALAPSGSGDIASTLSAREQEH IAKMTGQPLISMAQNNNRQAPGGGLVGAIEAREKEKQQIKQGVNSQAVQQAIMQRQQQ AIAQQQQQAMYQQQQQYSDQMPQAQYGNMGQYPQNFAAQAQRQQSWVSPAANVYAQGG GFSAPSTAWTGTPDGRQSPSQYPPRQQQYFPPQQGNQDQGQGRGNAGFHGHGY LY89DRAFT_728721 MAVGGRSTASPRGGPVDENDISVNFDAPSLTLGVNGSVTFHSSG EGTVSNYSLVLLQLPNDTSSFVVKILDVQTDICITSEGAERCGSGAIVYEASPTALVS QTISLILDGPNGNNTDVPPTALDNVFYCLGPNDGTQDICDFYSSVFNIAVVDGSPPVA SFVSFPSAMAVSSTTTAPLLQVHLATTTTTDGNSGPTAASSSLSVTGTSLTTITQTPT TSPTASASPITSHSSSSKLSTGAKVGIALGALAFISLLLLAALFLLRKKHASRKTLSS SQTHPHQPEQVMLTRDMHTDSFSRNLTMLEKDRGNPSSTITTTHTHDADTPLESTSPS TIQRHSAISPYEPISSSSGPTAIAANNNNNNIIPRRKPTNTNTATTSPTATSASPHTP PSEETFEAYHDVPIYGDARHVPQVFSSTPERGVVSSPFLGEETEGMSPEEVARLEEEE RRIDAAIAAAEGR LY89DRAFT_636779 MPRVAQNPRGASTGRVASGSMAASPFKSPVKIPLNDDAQEKAKR LQSRHALHDIQMNQIKAAASPMRKQATFDTPEGSSPSSSTKTPRRTLGKENDLDGGVL MVGGSAVTPMKRVPILANFEEWMKMATDNKINAANSWNFALIDYFHDMSLLKEGDGVN FQRASCTLDGCVKIYTSRVDSVATETGKLLSGLADSGNNKKKKGENEEGEESEEEVED EDGVVRKKPKKRAQRSSEATLASSFAALQLKKFELEFSVDPLFKKASADFDEGGAKGL LLNHLAIDSQGRIVFDSSDDAGDASAEGQGRRRKDDALVEEDEVDPDSMIETTMKDAE EEDDIEIDIGALGSKFFPNLDRLDEQDICPSLKNFDLGDPSSAMDIPFLKAPEDWRQD KEKDQPGEAAGLADKSGFFLDDDNAAGFDDDDDGMLANFDIPADAGFGEGGEAWARDA AIETRMRVHDGGFDNDGMGEDGDGEGAGTFDPETGEYVVSMDHSGKTQGGHDDILSYF DEALQKNWAGIEHWRIKKIKDINKPASATKPRKEKEPFEIDFMSPLNATLAESIYTPA SSNTVISLPKKDWKSKTRNLLPDDKHFNSKQLLRLFLKPKARMGSRKIGFGTKTSSFG QRKEEDVPEGEMDEAYWAQKEGPVGGDEDAPAQGDYDANFFQDDGLPMGGGIDDDDDM EFADARDHFSPGAEDRGEGGMAGINNILNGGMAQTIEGEEGAFGTQLVTQSRRLRPEY VQYARVAKKVDVRRLKEELWKGMGIDDLVPSVPAQTPGRLPTPQPEIKKSADGSLKFT SVMQNLQSVYPKQAMDDISTSYCFICLLHLANEKGLVIEKQEGLMELDIRKDPTAEIT VGE LY89DRAFT_777678 MQQISLNALPRRTLHRKFRFQAFYITVLCLCLFTVISLVADQSA KFRHGTQYGVAQRRALEELDVTRLVKRDEECRLVHHAEDKCAFIKANCPDEEAGLFSY LSLYYCSLPKAKPVAFTILSLWLALLFTTIGIAASDFFCINLSTIASILGMSESMAGV TFLAFGNGSPDVFSTFAAMSSHSGSLAIGELIGAAGFITAVVAGSMALVREFKVGKKT FVRDVGFFIIAASFSMVFLADGRLHMWECSVMVGFYLFYVVIVVLWHWYLGQRRRRRE RDAAARGHYLAATNEELEVSEEDSDDEDAPAGERRTSQVEDFGALERGGSPLLGPHVD DESDDGDEGRQLAAEMASSMRVTRPSGHRRNTITPIRPSLVGALEFRSVLSSLQKSRG SHRPIHLRRYSDDPTSQYDGHSSEPEPYSDHASSIVVTAAEDQDALSKAGPSTRTRAV SMNDAAIMKPTDPAAFSVAKIPDIGIVAATPTFPRNLEVPASDSMSGAHSSSGPVPPS PTFSLSPPPSFGGSREVSPAPSRERPKRDTLAPPDEGFPGARHLRVDFFKDHPESAVD SPQDSPKSRSRPIERPRLTIPNSASRDSSHSRILSPVVPFPAYTDSPLPMSAVSSRAP SLVLPEATMTPESLYNTHSHDLEYEQKPIKWWPYRWLPSPHTLASTLFPTLYTWREKS IWDKFVSVVSAPSIFLLAVTLPVVESESKEDESDEILNERQLSHTSHSRSRSGTIPML VPDSPSLEAEPEWIRYRRATDPHSHPRSPQLRGYSGHNTAAVAVTAESSHHNPHSLPL PSKQNSIGHIEGTSECSTLDSSDWNRWLVAVQIFTAPLFVMIIVWANDADGDARLLVQ MVMYSLLGSLVAFAVLLLTTTPTKPPKYRFLLCFLGFVVAIAWISTIANEVVGVLKAI GVILGISDAILGLTIFAVGNSLGDLVADITVARLGYPVMALSACFGGPMLNILLGIGL SGLYMTITQANSKHAKHPDKKLKYKPYEIEVSGTLMVSGITLLVTLVGLLIAVPMNKW IMSRRIGWGLIILWSVSTVVNLAVELSGVWGETS LY89DRAFT_575375 MTASIGTLSRSDSFLKAPWSGSNSSSTDLQHQQQLASSELSSTQ DADQRNKPRARKPSPGLAARLKALGFGKDSNKSNSRSPSNEVGRIDKDHISKLDHSHQ ANSTTTPIIQPRGRAWSGASGYLTPQPTGGSYNENIVVDTRVLEGGTRRTASVSSGDR AMTTHAEEVDMDSQKYRLPEHTNGNGTKALSDTKQAHFEREPPPDDEIPPPPLAKDTP PGGTTPAEFTGDVQSYFNPFGLQRAGSIYTLSRVSFANQLAQLTSLQLPDAESLSTKV SAIPNSKAASKALMGAAEQIRSWISKASEVIAGLDGEDDVEWAAAGGREGLAEVDNAI TRFEELINVYVSAIESLQGRPDISTVSADELKKVVLQVETILAEWEKIKNTLKAVKNS VEIAMEWEELWNTVLGDIGNEVDVLARLVFEMEEKRHKSLLLETGGEGGLDINELETI VEETPPSKTRLHANNRFSVPASFPLSPNSPNPSTMVQDDSSLLALFARMQPLRASLDF LPMRLSTFHYRAEKIFPSACEELETRREGLESSWKKLEKDAESLRRELGEDRWVLVFR GAGRQAQKMYESVDRSVVKLKEAVDAGTHLENPASMGKKIESYEAKKLHYGPAIERVL SIIERGVKDRLTVNGEILRLHSEMQRKWEALKEQMAELDHILDDIQADKKNQQLRDSI SSMLSNDRSTVGSLYDTPGSSPASSVVMTGLAGPEHMTPIQNGKVRSNSKSSLPQPGG RRNVSMPSNTSQLPRKAPQASPSPGSSRQGSATPTGNRLPRPSLTHMPDGRPRWNSSV NTTGTPIGHNFKPLSLTTPSPHARTSKPPSAHQNRASESKIPLRSPLSRDNTASPAPE TTPSRTSNSRLAFRDRLASPGPYSQQVLSQNSPATRPRHLTTQSSMSALSSTSANRRA SLQPKSSDPTSLSSPLSKPTRPASSLAGNRRVSLLPQPRGRQSSGVTGRESPQAIAGA ASAMRANSGASSNKSNENKQPWK LY89DRAFT_636788 MSWKDPRTASPENSIWLNLPEDTFRQHLVELEAKTNSIPMDPQV FSPDDWLSTSARESEQPHILPLATEQQIADDFAFLAAVTEGAQSVAAVCLEERHSPTP SLTLRFATLDSLLNSNIKDGLQSILQILIEHASNSTTTNPPVVEKLLEIIIKLHHPRL LARLRSTKWSKPTYLSKSHKKPLYADIPNLLHRLSFLFSKSEKALLATIKTNLQTLHT LYSTFETLPSSTDHSSLHHLITTSCTILNHPSTKQFSQRLVSSQKTRKPTPQVASALK TLRQIEKIASYRRVATSLLKASTTYRSLFSNLRIAFLAPYTAIPTTIAYESWAKTLHV HAEVQLAVFYDLQTTSNSSTSPDAPRPRAIGTSKWLCYLCYRFLVSHGRFFPSKTHGR VFDQWTVPDLVEYGDGVRERYRGVVREVDEVVRRESGEIGEGVEEGWRLMPMTSVDLG PWGEEGMGVAREGERVEGGVAG LY89DRAFT_680741 MAAASAFSMFSLEGQTAMITGATRGIGQAAAVALAECGADILLI QRDRSNTETQKKIEALGRKATIYTADLSSQEEVKALTPKVLADGHKISILVNCAGIQR RHPSAEFPDNDWNEVIQVNLTTVFTLCRDIGSHMLSLPPSPLTSRRGSIINFASLLTF QGGLTVPAYAASKGAVAQLTKALANEWTSKGITVNAIAPGYIETEMNTALLKDKERLR SISERIPAGRWGVPGDFRGSVVFLASAGSAYVSGHVLVVDGGWMGR LY89DRAFT_704277 MAILTQNGTARVGKEEEVIASVLHGAKDLQIEHRTLSSPAEAEV QVAVQATGLCGSDLHYFNHYRNGDIIVREPLTLGHESAGVVTAVGSAVTGLRVGDRVA LEVGLPCKECELCKEGRYNICKSLRFRSSAKSVPHFQGTLQKKINHPAVYCHKLPGNV SQELGAILEPLGVAMHGCRRASLKPGSTVLVFGAGAVGLLCAAMCKVSGAKKVIIADI QGDRVEFAVQNKFADAKIVVPMKRFEAIEEKLAFAKEVAELVKEASGEGEVDAVFECT GVESCLQASIYSTKPGGKIMLIGMGSPIQTLPISAAALREIDLVGVFRYANTYEDAIK LVSSGNPQLPDLAKLITQRFKGFENISDAFAMSGRVKDDDGKLVLKVLVDTTGS LY89DRAFT_680743 MAKHYLTILLSNYGNLRMLPLNPHFPYFPFSPPLQPLHHPFSLP LLIHHPQPLNAPHNLLKRPPKFRQKLHRKCIHHKIQILHIM LY89DRAFT_305626 MAQAVNNETFFNATLYVSSVHDAGLRGKRGTSESLYYKAQTIKL LNKSLRDSEAAVSDETLAAVLLLTHVVSLIGEPNEAEIHMNGLRQMMVLRGGIQHYTL DGVFLHMLRIRNHRITNHHPSFRNALQTLHQIFVFLLPNQFSTP LY89DRAFT_574841 MAYLTIVFCHGAWHNVHFFDKVIAILEPLGYRCVTVPLPSASGR VPPTTSLDEDIAPIRSAVLKELDAGHDVLINAHSWGGIPASTALEGLTKPERLADGKT TGVIKMTYVAAFVLPEDMSLQDFVGGPQPTWIVDDDGNLIHKGDPRDIFYHDVDPKEA DEWTAKLKSQSLASFTTKTTSAAWKKIPAEYLVCENDRAVPAVFQDIMIGKMKEAGAD VSTTRLSTAHSPYLNKPDAVADFLKTSFAKSSQ LY89DRAFT_680745 MTYDISIKKVAVAGASGPVGTAILKELLKSQLFEITVLTRQASH HTFPPGVKVCPIDYTSTDSLIAALSGQDALVSSVSMAAVPSQRLLIDAAVKAGVKRII PSEFGCDLKNTNTRKLPVFAGKVDIERYLDELAIKGETSYTLVFCGPFLDLGLRQGIF LNFEQRKANIYDGGEQLISTSRLGTAGKAVRRILTHPRETADRAVWVKDIDISQNQLL KLAQSLTPGEEWEVTHVSTAELEKESMEEIKRKEVGVKPMQGLLLRGIFAPECGNLFQ HVHNSVLGITGISGPDLEELVASIFGTKKLE LY89DRAFT_728731 MSSSNIFRNVQRDESPDFTEPNAMVTLIAFDNGNEVRFTVHKAN VWFYSPILNAALTGLFVEGQTQTYKFSEDFHPQTIKLLCQWLYKQELSIKQLKKDWAN VKDPLYVMEAYKKEDMALAELWVLADKLLMPQLKNKVVDYIRDIALEYTALPLNTICQ YV LY89DRAFT_728732 MSSSTGAAENSKRRDNKPLKRPDMSDPNTLVKLTCTAEGEDYPF TVHKEYACFHSSVLNRAFNSDFIEGQNQEYKFDEAIPRYPIKLLVQWLYQERCKLVQQ TEGWGYDMEVAEREKIVEEEDMGLVQLWVLAQFLIMPKLQDWIMDGITAASFYKAAAP TNTFPWVYDNTEVGSPLRKVMVLLSSAYLHSAPFRENSQHYPHEMLVDLLMYQQEQVA GTNMDAYEAIREVCYFWQG LY89DRAFT_305701 MCLFGKSPAWEDDVVFANRRHGRKHRTRVTETIVERTPKYVPAP PRSPSPIIHPATPPRAPSPAPTSKKSTPPPPPQPTIELVSVEEDRSSSGPKSSRVSVS RKSRHGSRGGEEVYIERERVRERLPPVERASQEYDTYRYVEAPMPPRKEVVGEERIER KRSRSITYQTNPRMSGRVVERERVVVEDGGRRREYYRKP LY89DRAFT_704279 MTVISYHSTLFSDVATLPGAADKTSSHIFLSRGVAGAESDSAPC SRSTSPAMVASIFESETTHIMTLAPAASRSQIGSMDGVNDNGSIRMNAGDADVSDKAI TNEDPKAKESTVSPLLSRKRPFIADVEDESVPDCHSKRTLYNHEDNERGKKIQRGRTM ERGARFPNPLARAESRPQRADPAIGIDARPIEKRGLSRSNTFFEDPADPCAVLEDSLL EPCPQIPLSNSVDEVLNSPCPANIIGPMKSNTWAISDRRLSERDIHRPARALTTVLND CQLQYPAPLPTISPIASTMTKVNSPPNQPVVPLALHLPTEIVQQIFSNLSPVDFNSAR HSCRSWFINSLNKSLLETMLRRGGFSSSLTSDSPGDRITESSTVHNEIWLMSKLLSRE CALGPDWLGNGMSNLKTEDTQSLAFVNVSSVDFTEVAVHYPGPDSAGTVFTISTCGRF LMAANGCLVYIYELNRHHRPDFPKRSGHLLPVTSIICPRRVLACSMDTSSHRYAIAIL LDGRMGLVCDITTITRHTGFEAGTPAGNTTMRTLSGSGTSGQNFSEGARMQGTSFLDR VSLNSSSSTSHGDRVSPDPPFVFPGIATTGASFTPNRESEWQDVFRGDMPESSRTAGP SSRHASLPRAFVVGRDGQLQEFTASPQAIESSSNALRVEDGPRSLYRNLCSDDDPPRS VAICPQRRCVAFGCSSGIELHWVDALTGQDLNRWFPLTAPSDYLFFLPPRKRIDSAKK LRLISSAARPSERPAISERSFGSQAWSSPFWERFGWGANHYVEGDDAPSAQGILTRLR IDTGRSSLTGRMDCSDHYRAVPLSDGYHILFTDPATGLLCLGSDAPVGGPTKLLRKIW FKGPDDSRAPIVYAAGSDLSWGVRVVAGFGSSSEQSIWLFSVPNDVFTANQGTQPGSS TPAWFSPSSSRETKNTDWIQWWPDDGLQEWLNHVQDPVPGILPRSVWPVKIRGQKIGT CSGLVDLAIDSGPQMSIWAFSKGGLATIWKLDDGRHEGVKELWVQRDGTVRQSDGDGD IEMADAFPPSPTALAPESPFRQQSFDGTSTPTSPSAVVTTRCERRHRIEWSQHMIRYD TDGDESSEEVTYEIGESFSRQRGWRSRRSHRLHMRDVVEESTGIARIDVEIR LY89DRAFT_636802 MDDFVSALAKKCNKVDPTAPTTSKGGIVVQLVLSLALGVSAFFG FCFLRPRWKTLYAARKRQKDVADALPDLPDTFFGWMPVLYKITEQQVLASAGLDAYVF LAFFKMSIKLFGVMLLLTCAIMAPINAHYNGLPHWNFTRPNTTSSYSLETLGKSAWTY NYNGDIAIIGSDGDKDLPDTSYLWSYLVFTYVFTGLTLYFLTNETRHIIQVRQDYLGS QSTITDRTIKLSGIPLELRSEEAIKDFLEKLEIGKVETVTICRNWKRLDEMIEKRAYT LRRLEEAWTVHLGQSKSKIDEPAGHILHRDDNDETTPDEGETLLGSNHVTTYEQPRPS TRVWYGFLNLQSRKVDAIDYYEEQLRKLDEKITAARKKEYKPTALAFVTMDSIPACQM AVQALLDPSPMQLLAKLAPAPSDIVWQNTYLPRSSRMIRSWVITIFILVLTIFWLIPV AALAGLLDLCSIQQVWPQAADFLAKHEILKSLVQTGLPTIVVSLLNVAVPFLYDYLAN MQGTISQGDVELSVISKNFFFTFFNVFLVFTAFGTASRFWPVLQDSFKDTTSLAFRLA ESVQTLADFYTNFILLQGVGLLPFRLLEFGSVSLYPIMLWGSKTPRDYAELVQPPIFK YGFYLPSAILVWVLCLVYSILPAGYSVLLFGLIYFIFGYYTYKYQLLYAMDHPQHSTG GAWTMTCYRLLIGIGVFQLVMAGIIALKHAITPAILVVPLLPFTIWYSYYFGRTYEPL MKYISLRSIRREDNPDVNIAGEDIEINRPPGYIRRASTTVDEQREEGMRFVNPSLVLP LEKVWINKTSESNGDASQSDLNREESAASSLSLGDTHIWRDNGDANV LY89DRAFT_305785 MYMNTALVDPDIAADLRLGHHLPLVKKTLQSLKVVEYLGPSAEE STFSSKNVRIEVYVYGLYGNARSLEEDRHFPQAELTPMPHIRFEGIWDELVFTDNIKE DLLWMMINILRFSLRSSDNSRGEVNPLILLHGPPGTGKTSLCQGLAQKIAIRLRSQYD HTTLVQINTATLLSKYYSESAKQVDEIFTKIACLCQEEPNTFTCVLIDEIESIASSRE FSTTGDESHDSLRATNALLTGLDKTNHYPNVIFLCTTNMFTALDDAFLDRCGLKREVA IPSLPSQYQIIRKRLQKLIDRGVIQTDESLLSHEDARLCAELDSRNTPARLLAIAQLI NSCPGMSGRSLTQLPERAVLQHLREDECDMDMALTFIERVLKLPQPKAQETESYVKVE QTEKKLSSIPDPQAEKHERKNDIQVEQHGTEIEIRGMKRTLRMLFEDDLDIEVLQRCI AEARKRPVQE LY89DRAFT_728737 MTVFVVSLFLPHTINFTLPNSNSPRGRPAIPRRSTTKSTTRIDT STKQPSLFDPQITPPQTPIADIVDHDEFFAQNENIERGLNHFPKQEGPRSTIAPSDPH SPAWGAGRVFTQPRSRASSPPPADILKHNKTVEKAKALGRAGVRQPQLDRSDSHDRVF AHADWTVVPADQGNGGLRNAVQAAVRNGKLNDKIWVGTLGMPTDALGDSQQKQDIEDK LATEHDSLTVFCKDSDFDGHYTHYCKQILWPVFHYQIPDNPKSKAYEDHSWIYYVKVN QAFADKIVKNWKRGDVIWVHDYHLLLVPAMVRKKLPDAKIGFFLHVAFPSSEVFRCLA VRKELLEGMLGANLIGFQIHEYSRHFLQTCSRLLCVEATNDGVQLDDRFVDVVNLAIG IDPVALDLNRDDPNVGEWMATMQERYRGKKLIVARDKLDHVRGVRQKLLAYELFLNKY PEWRDRVVLIQVATSTTEQAELDATVSDIVTRVNSSWANLAYQPLVYLKQDISYPQYL ALLTVADALMITSQREGMNLTSHEYLFCQDGKFEGHNKNGALILSEFTGSSSVFGGNE LSVNPWDYRQCAEAIKDALEMDDAEKKMRWDNLYAAIMHHTAEHWFTAFLTRLDKVYA EQHRRNTVSVPRLSINMLGRQYQQSERRLFILDYEGTLASWGSPNDIILTSPQRTLDV LNDVLQDERNTVYVMSGRQPEELDRLFKRVPNLGLIAENGCFLKEFGSNKWVEMADPV KMREWKESVEGIMHYYQERTPGSWIEPRHCSLIFHYKSCEDQEGASRQAGDCASHIND ACEEQRVRAIPIEGAVIVEPIDWSKGTAAMTIFEGLKRDMAPNVGHDSPVDFLMVAGD GRDDEVIFRWANDLERDGIVKNVTTVSLGMRNTEAGCTLTQGVTGVLTVLQKLAMLS LY89DRAFT_693938 MLFLLPLLSLCSAATITSTITTPAATSTPLSFSSNTTFETSILT AHNFYRIEHNASALTWNSSLSLYAASYASHCAFKHSGGPSGENLAAGYSNATASVDAW GLERESYDWKKPGFSEATGHFTQVVWADSVSVGCGRASCQGEGGMLLLLLFLPSWFLG GDWLGDICANDGIGTPGWYVVCEYWPAGNVVGDNNQFFVENVRRQIKGHLSDTVESGV TSWGKRSSRVEAEIHWGF LY89DRAFT_664420 MVKENLDEVVTSWLDVTNDSEQCDAVDERLAKRRRLEKGVSWFV DDSLGIMFFTFGKRPNQKLFPVHTEVACRYSSVITFYIDRTGLTRYPLFTKSEDAARL LMKWLYSQKLEVLQLEDDWEKIQAHATPNAAKEEDAALVELWVLADKLDIGALQNQVI KAIDDVYKDFEYVFPETIAVVYKITSGPCELRKLFVRITANDFERDNFIKEEKSYPRE FLRDLVLLGFGRLGDNQFIYQDPDAFYVYDTEVDGTEDSEGESGEECDDWSDGKENVV EVVPEMPSSTRKVVHGLGLLLNV LY89DRAFT_728740 MASSHALKNSRVSIKSEAKDESAKFVDLREADVEPPKKKHKMGV ESFSNADSLMAFSVGEGDYEKRYIVRKRDARKSPVIKAILKDRDSEGWITHHFEETTD DAVKFLIQWLDSQKLDAIQLRNDDTDDGGGNDDIFAREDDALFDLWILAAKLGLPELQ NVALKTVDAIIRKGNPLRWHNVPISCIKRLYSDESGTLLLRGYMVAACAEFVDFGNYQ HDPFEFPHQFMMDLAAFWSKTSRAKLCKVADFYVKSN LY89DRAFT_728741 MIVKYSDATSLVALRCDFGFNPKKFSVHKETISLYSPVLAKFFE DGSIPKTYVLNTGEGAATRLVQWLYSQKLIIHQLHKYCSEHCAAHRHKEDRDLVELWD LAERLEISPLQNLVIDSMEQIAQHCGCPPWTCILRAYQITTKDSKLQKYLVALCSNTF SRDKPEDYKGIPPAFYRDLAVYACGKLKLLNESEKAYDLENVYCDAEKEL LY89DRAFT_714378 MSSAPNRPTESFSRPSARENRNKPRDIPEIKNPSDLATFVVGRV KKHFQIHKDVLYHHSPTSRAALNSPFNDKQSQTCQLPDVTERAFKHLFDWLYFQDIKI HQLEDEFYSDWTQEGKKNTTFEENMALAESWLLGEKFGFIEFQNLVLTKIHNITNKCH TFPSELLQYAFEHTGPENQLRKYMVALCSMGISPDYLSVHGEKFPPEFFQEMAVFMAR FRDKVEDGSYHWNEDLKVKVSDYFVRTDVVVRSQIIDVDSIRRIPRMSRGSFDEERD LY89DRAFT_728743 METSRSSVCTSEKIVEKTTSQDDTVDGITQAQYIPEIKQSEADN SLSHSTSEEKELCVICQEHVSEPATTQPCNHSNFDFSCLTKWLELSPSCPLCKSKVTT VRYNFTPENTYSVYDVKEERRSNPNLGFREHALVLDSTQRLHLQRFQDHVERQHPQLR RYWPQFFSRLYMLLGHSEFHNHLEMLGEHPEMFRDHLYMLDGLQAPPRLFSGATSLYF NLDFLAYVVPKSDAYIAAVTIALLWAWNRILENHIASLL LY89DRAFT_306231 MATPRPSTSYSYASTSYQNGYATSTSQAQDTTRPGTARSGTARP STGRRSRASSTLGAESQQLICAVSESRGVSPTVGLSFVNITTGEAVLSQICDNQFYAR TLNKLQVFEPTVILIIASCGPPNPSKMYLVIEENIIGAKIVMVDRKYWVESAGLDFIQ QLAFPEDVEALKVAVEGNFFATCCFAAAVKFIDLSMSITFAFHSLRIKYQPSEGSMMI DLSTIHSLELIQNVQNSKSKDCLFGIMNETLTPMGARLLRSNILQPSTQEDLLKLRYA AVQELSTKEDMFYQTRQGLKSIHDVEKLLTSLIIIHVQPDLRQSEQAINNILMLKSFI QSVVPIYEALAGAQSDLICMIRETCRPENIKRTVESIQEVINEDVKYQQKPLDLRNQR TYAVKSGVSGLLDVARQTFKEATEDVYQHVTDINHRFEMQAETRYETSRRYYLRLAEG EFDGRPIPDILVNRYRNKGFIECQTLDLMKLNKRIEDSHQEVVLMSDKTTQTLIDDIR GEIPALFRVCESIAMLDMITAFAHLVTTSTEGYIKPEITDCIAIKSGRHPVREKVHKE KFIANDVYASQQKRFQIVTGCNMSGKSTYIRSVALTAVMAQVGSFVPAEYASFSLIHQ LFARVSMDDSIEANVSTFAAEMRETAFILRNIDKNSLVIIDELGRGTSSRDGLAIALS IAEALVDSRAIVFFVTHFKELAQIMSERVGVVNLHLAVDMSEDNTMTMLYKIGSGYVQ QEHYGITLARVVDLPPQVLEVAERVSKAIDAQSAAKKQSSKAFALIRRRKLVLGLKEA LNQAASGPMNGKALLSWLRRLQEEFILRMDQIDNDVGSSDEEETTADNEGSLDGNSRL AISVDTQN LY89DRAFT_607354 MATVLPPPSKRQRTDAADRARQQQDIEEVPSDAGSLRIQFYDET TGLPIGQGPVLVPVADATPKNLELLLNTLQGHDSSDSIPYRFTLPVQDKKTSEDITTA YPTNIWKTLISPGLISTEEIQNISAAPQAVFRVQTVSRCASTIPGHGEAILAAQFSPR SSSRMVTGSGDSTARIWDCDTGTPVHTLKGHDSWVLAVSWSPDESRIATGSNDKTVRM WDPKTGKQLGNPMKGHSKWIMSLAWEPYHMQKPGQPRLASSSKDATVRVWSANQQTIE MVLSGHKDCVSCVKWGGTGFIYTASHDKTVKVWNAKDGTLAQTLNAHAHWVNHLALST DFVIRTGFHDHTGKVPESDEAKLAKAKERFTKAATIQGEVVERLVSASDDFTMYLWEP LKGTKPVARMLGHQKQVNHVTFSPDTLLIASASFDNHTKIWNARDGKFINTLRGHVAP VYQCGFSPDSRLLVTGSKDTTLKVWDMRTHKLSADLPGHLDEVFAVDWSPDGQKVGSG GKDKAVRVWRH LY89DRAFT_763069 MSLKVIADSLSLSNMASKTRSCEEKEDALIQLTCIIQYSEGGAV VTFIVGPEGATETFIVHKEFACFYSRVLDGMFDESSIKHDRSSQGRRRALIFKFVEGQ TQTYRMDDVSADAFRYFVEWLYTQSLDIEQLKPVGQMDDHRCDKEDLCLAELWILAGK LLIPSLQNTTIKAMWKLGNEIPPDPDTLHYIFNNVAAPNNPVRLLVVRQCLQALSNGD LRVEDLRAMPEDLLVEITYETATYLPEVQSMDLNDLLVTTEAK LY89DRAFT_664427 MSLLKPFTDAQKKFRGFKIGAVALAAAFPEEKRRAIERRHNIEP DCWAKVDFPDRVSAAHALGVPARQEVRSIKVQRYHPVYFWGEEKKLKSPPLTDESMDD ICDTFGKMTPHEVKSSALNDESVDDISNDFAKMNIETVAIGYGLEMQLDSSTTVVSAD DAAYDADTDSKMADAE LY89DRAFT_306530 MIGGSGPQLSPPESQYRQQSRPRNEPPPSPRTQPTSIPAGTPPR STVTRATEQPPAEIVGERTGGQRFASQSDYVQPAQHESPSTSRSQAPLNSSAPQAPIS RSARSLDNITQYGGNTIKVRDLAHIQSFATEEFLSYRGQSGRRRTADDPTLKYEISGM PITDIIEMVAGLLTKITTTNDRQHEPLHRPLPSGENAVNMTGLSSSVLAFHGKNVPSI TILSYLSRIHKYCPTTYEVFLSLLVYFDRMTERVNASPIQALNRDNTEQDNSRPSSGY SAEGSDLADSPPSSRSVPEIQADLERAQYTHAPPSDHSPTAFPLSHFFVVDSFNIHRL VIAGVTCASKFFSDVFYTNSRYAKVGGLPLAELNHLELQFLLLNDFRLSVPVEELEAY GTMLVEFYAREVIAQRQGRIPLDMAYPDDMDVAPQSP LY89DRAFT_306529 MLDRPRHFPEARTILTSVSNPFYHIPICWNWLCSDPQKLIAFVF SSSCLTCWTLGPSLRCVEAKPALQIHTIQAATFSQIARYRRPPQTSSSCEQRTFILTG HTPPVTLGMLQVSSSAFPVDKPSVYPHGMK LY89DRAFT_680759 MDFGTSGMLNEDGIHIDMDRLKKGEVNLGTSIMAINFKDGVILG ADSRTTTGAYIANRVTDKLTQVHDTIWCCRSGSAADTQAVADIVHYQLGMYGIMNGRQ PTTQTAAAMFQELCYENKDRLSAGLIIAGWDERHGGQVYSIPLGGSLHKQPYAIGGSG STYIYGYCDANWKEGMDEQEAVDFVKGALQEAIKWDGSSGGVIRMVVLTAKGANRHLY LPDTNYTVRHQ LY89DRAFT_607364 MPRRQHITLLTFIGAAAFLGIFFVSIFRNQAEGTRHPDYLPSYQ DQVDFNLDEGILHGEATAPKLENATLKAELGHAAWKVLHTMMAKFPDKPTTDDSAALK SYIHLFARLYPCGDCARHFQKILQKFPPQVASRSTAAAWACHVHNEVNKRLKKDLFDC SKIGDFYDCGCAEDPVPGKGKDGFTQLELEKEGLTRGG LY89DRAFT_575293 MVFPPPPVNTIDWNNIGFKVREVNGHIESHYSVKTGAWTKPQFI RDPFMRIHGMAPGLNYGQQAYEGMKAFRSPNNEITIFRPKRNAARIAHSASFVSMPPV PEELFIEAVHVAVSLNASHIPPHETGAAMYIRPLIFGSSAQLGLNPPEEYTFCVYVMP TGVYHGVHPVKCLILEDFDRAAPDGTGSAKVGGNYAPVMRWSDKARNEGYGITLHLDS KTRTEIDEFSTSGFIGIKKDGDSITMVTPDSKNVIASVTSDSCQEIAKSFGWKTEVRS IKYEELPTFSEVLAAGTAAALVPIKSITRNSRNETFSYITDNSDEPGPICMKLLTTLK GIQMGKIKDTFGWNLKVEEVDLSKYAAGEQTNGVNGDSVDKLP LY89DRAFT_680761 MASSKSEIEKLGFAGLPALAPDLGHEIVTILVGSERKKFTVHKR LLCASATMFRGAFSGQFLESQEGTMTLEEDSPAVFALYVEWLYRSSVSVISTDPHAHL RDLYGLYFFADKLLLPALKDKTMDAIQDVSLKHGILQSICTPEMIQKVWANTSASENR EERGLRAFVIHAATYVLVKRAEVDPDDEADSEDDLYEKMEVRKQLVCPNKADLQWMWE LSKGSFEIYRQFIYRIDWKSSLIGDPRKRYHNNPRSVHRFHCSKKGFNAEQQEDMRLS RRLAAATLSQGTEQG LY89DRAFT_306635 MNAPSNQIMMPVGPHHDLLTEKIAIDCEMMRSNIGQVLGRVSVI NYNSQTIFDTFVCYPQPIHVTNTSEQYSGIRWSDIDPQNGAQPFLQVQAQLVEIFRGR IVIGHDIEKDLRVIRMDLQTHTLRLEGVYQIETPVKLDMKTRDTQKYTGYSQYAIGPH GPSLKTLALEVLGRPIKMGRISSVEDAVATMAVYRNAEAGIEQEQAK LY89DRAFT_728753 MTSMTPQQAAKMLTNKLVTIRVGPAKVEFAISKQLICTIPFFSK AFEGGFKESNGVMELVDEDEQVFSTFIVWLYSGKVSRANSQKDVDNLVKLYVFADLCC NTMLENRTIDAIAETFSRYDEPSITISMAKHVYETTPTNSLLRKWLIETLVYDLSRKY NYVGELPTKEALEFVYPLVIEFPEFYLDFFGMLRTNDLHRVVHGGRGDLDDLGCRFHI HGQGETCHRGEPALAAWKDPIPGEAVVFEDQE LY89DRAFT_664434 MAAILNYDDHLGTETVKIYIGPKRKVFVMHRKPLFAAAPYFERA MRQREEKHGKLYLPHDHCGAFALFVEWIYRTVLPDGHSQDYVDSLYELYMFAEKISLS SPTLEDRIMDKIQDISLKYDLTPSLHMIHRIYESPADGRTIKSSALRDFCMQSFLYKH LLKADLGNSEEYKNAKGQELDAVHAICRDNPRLFKDYIVWTHLNLSNGTFGDPRERRE DNPLDRCYFHAHMEGFPCHLTPIDKPDFIGKEHEKKGVRTTR LY89DRAFT_763083 MSEQTTIQAFVRQDQAGDPTITDMLGSEMVVVAVGKVQEKITIH KGLLDNYAPAMSKAAVLLSTQAPIFELDLPNVDVAVFKLFAQVMYSGGQVPSITATML PLTQIVLIRSLAQLYTFFERYHIKFELCNKIMDRIQDGYVVMKTFPSSDFASSIYKNT SPVSKLRLFATSCLAYQLRMEVDAGQLDHFKDFLMAHPEMLKDFLATMKGYVPETDPR NRQCNGEPGCTECGSNKERMAGMTGYWPCYFHIHYGYLHNGILKIVRPAYATEEEVEM YTDPGCYLWNIDGHGAY LY89DRAFT_714387 MSFTSSSPARAASIPRPVHPSLKRKRSQTPIPSSSASNRARDLD GVLLKSRKIAEVEVVEHSLSGWVALKFIPRAIESPEDEIADSENDYDEELSESSSSVT SKHSHRERSADIAESSATATPVGTPVLQNAVLPFKRRQLEDIWDIDGLDINAVMTKGA ITKFTKKQEMKILLWMKVNGARGITGHTNSFNMLLAELGFAEQGHEKNVEDVLRLKVN QRMKSNYTALKAKGIVEDAKTHSGTAKDNLSIKFAAYTEIWLRKDWDGVVECPISYFA ETIELDHEVDSDAYLLDNTPEVFVVEEPMVPKPPPPAPKRRTSKTKGTRVTQVPVEVA VEIPEIDVMKGIHDAWTAGFAEMATLVNPNDPQDLLTKSAIYASEWNVAAVQAQYAGV DMPKKIILDEALDGLLESSGEDEAESENGMAGLGGFHTNRSQSSRQDPATVYAMVDPL HISSPESTPEPRILTQVKSPANRLRLLLKNFGDTGTQRANSSDNLDDELSDGEASSDH ESNDEDAHAERDEDVEEIAAEDEMSGQEEDFADEEDNGEENNYFEPPLTGTTVSFLST DFEIPISPRVAIASQQAALQGSGMGIGTPYEIIPLTCETYKAWKSQIHALLLAQGVWG VISGDDQSPIPYSLADDEEFDKAWAEHQRESREWRRKTGVCVGWMLLTMGAEVRDAIN AQGVEDPIKLWSMLRDEFEVLG LY89DRAFT_636830 MASLRTPALRHVVSGAAPYLRATQRRWAQVHDVRFLATQQSDRI LAKYKEKLDRKAKEEGLRDINELKEVYKDKIQTLKKKAAIQIPIATPPPAEATTPKST SPFPPPPPPPRIPNEKAPSSTPGIKTLSSYLDIEKTRALPQKELEAIWRLRHVNDAQS LCAVIPLDVYRTIEATAKQHPHFILPLPKEGQGAEIHFLQWAFPAENTVTVLFTHLAE YKLRGEFSQPHTTITHHLELAQDKGLVLLQGQVVEGRGVSVDEAKWLVMCLQKFYGVG GEKSERRRLLELFRNGDPEFRVEDLVEEAEKVV LY89DRAFT_728758 MWGCFLAQEASTPMTEDKLRNIFEALDLDEPSFEQPPSEGVKAT KPTTSTTWTLKKDEAEDEEKLLAIYCLYEDLNLLRQDIGMLWTDYRAGRSDLVSVSVA TNAALEFGIYVEAEFLETYPDLTTGYKIMIALLSIFRSPGWSSTFLAVETEQIDKGCY VVVKQVLGYWLSMDALTAWTTVTSIDQMVLSAVCASNSQVYQQDVQKDIESKAADAYE LRILRYKSDLFSIASEAAVHEVLHHELPVVDLVTQTLRDSIANRAGGKSLSAVFSSQA FLDIKEIMGESVSDGFNELRRFRDYLDVQRDLSSLVSSAKGSLDKMKRLIDEDICQQW KNEARSQMFHANGSRLAADSVPAPAFKLLKEHPLLCGLLLFYCQMNLQRVGMHSADSR ISILACSHLYNAVQKAQLLSRQWDDLEHIFSNHSDKDFFLGSRPETLTTILRQWALVN GISTTFFSNHASKCEICTGSSHQ LY89DRAFT_728759 MSGTKYVVDRIFGGTASYDSIVGPGAPATSSQHERVWPEIPLEY RPPAPEIENAVKEVTYILGYLQRVLTPTPLPNDDLQLMSDYLLSLETRNDLTAHVLQQ VDARTNIRALTRILLKDDTTYEFKSRATALAKHWNGIELLISKITPEEILADRPVAPL KTELPDDKPAGWQLDLGEARTAEAARQLELLNIEKNRCIKYWTTVKPPKPMGWAPADG DAWKKVPRADLENGDLFFTPYFKPIWESYNMAHMDASFWTDPDNTAEEEEEYQKNRSE KHQSTMFSLEMRKARKDHATSLGYERVF LY89DRAFT_728760 MQFHLFPLLLLAASPLAASFRCSGALAGKCCNPSSLFSSGTISF GIYSACSPAKVQANTNPALKQYTCKLNTPGHTQGNCCYSLGSTAVICGEA LY89DRAFT_636832 MPTESAYPKLDIPNVDLWTFLFERKDRSFPDDKVIYINPDTKRS YTYGQVRSTALDFGKGLKANWEWKKGDVLALYTPNCIDTPAILWGTLWAGGIVSPANP GYTAEELAFQLKDSGAKALATQKPFLQTALEACKKAGIEEDRIILIGDEKDETAKFKH FTNVRNISGATRFRKAKIDPKKDLAFLVYSSGTTGHPKGVMLSHSNIVANTMMIKVGE GGNLSWKGGKDGQGDKIMAFLPFFHIYGLTCLIHQSIYSGFTLIVMPKFDLEQFCSHI EKFGITFAYVVPPIVLLLGKSPVVSKYDLSSIRMMNSGAAPLTRELVEAVYDRLKIPI KQGYGLSETSPTTHTQPWETWRTHIGSVGRLLPNQAAKYMSPEEKEVSAGETGELWLK GPNIFLGYLNNEEGTKNALTADGYFKTGDVGHQDKDGNFFITDRVKELIKYKGFQVPP AELEGLLISHPDIDDVAVIGIQDHEQATEVPRAYVVPKKGVEGNKETEKNIVDWLAKK VASHKKLRGGVRFVDEVPKSASGKILRRVLKVRAQEEEKKGEKAKL LY89DRAFT_777704 MKSSLYTVVSAISLVSAHPHAALNPKVLVSRQFNTQDPYTNWPT YDQLPLDPSYPTKAAWGVWGADDVQGALNHITNATILAARGEIQLGRAINLNMDLNAF TTPINPNRKPLNHLFQPGDGYTDDVVVLNTQVSTQYDGLRHFPYSTDGNTTTYQWYND LIENFDQVVGPAPTEVLGIQQAAQKGIAGRGVLLDFVGWALTQNMTFDAFTGYGINTS SLDAIAAWQGLPSNWSLPGDMLLIRTGWTKAYLNLTSYNQEILPWDPNLGSVGMNCSD DSLAWLWEKKLALIGADNPAFESLPMDKTIGGVARSLHQVFIGGWGQSIVEFLDLETL AEELHQLGRSTFFLTIQNLNIKSGIASPPNALAIL LY89DRAFT_574779 MPPPTEYSTSSESRYKEKSSPSEVHSGYSVDHENRHDDRRVFER ERSNTSDGQSAQDSRDLHRLSVSSLLSGPPGIPQLSDRSNDRIYHPTSDVQDWLPQYQ DQYQDTTTWGIDRGFKDLDIPRNDDHNAISGASPVALRDHLELVYDRGTPAEFGFGME TYNTAFDSGNYYDKPVPINIPRALDPLPTKLLENPMNLLHHFLNHTAGCLIPHNCSSN PFKSILPQMAVRDDNLLNLLLAYSASHRARLLRQPEPATRIAIYMRDTFPNLRRALDD PSEVISNSTLATAIMLASLEIISPTAFGIEVPWQSHLGIAREIIAVRGGPQNMRSVAL NNKVVNFLWSWFAYLDVLGSLSGGKGNASWIFDYECREENEYAIDCILGFTSRCVRLL AKIAELSRACDSERIGPDLEERPDWKPSENTLASAQKLEAELVESRVHPIQPCTHMQS TGEAAYQWDTIEMAATNEAFHWAGLVHLHRRILGKPSTHDDVQQAVREICGALYKVRR GSSADVCLLFPMFTAGCETQDEKQRADILERMMRVETFGMTQVHKARTLMERVWDTGK PWETLVAGEFFG LY89DRAFT_575759 MAQDAVETKSNKRSRSDDDDSSSDDDMGPQLPSADAPKKKRRKL PYEKLYISALPTSAKYSKSLMHKEQVSFVTMTPLTDFMITSSVDGVVKFWKKGAEGID FVKEFKAHSGETRSVSVSADGRSFATAGVDKSIKIFDVITFDLLSMLTLEFTPKCICW IHSRGASLPLLAVSDEVNHTIRVYDGRGEILDPIHTISGLHRSVVSLIAFNDAYNCVI STDENGMIEYWRPGGNYEKPDNVFQYKSSTSLFEFKKAKSTPTSLTISPNGAQFATFS FPDRKVRIFDFPTGKLYRTYDESLQIIEEMQQAGTALRKLEDVEFGRRMATEREIEGP SLRSKANVIFDETGHFIIYGSMLGTKVLNTYTNRVVKVYGQDENFRPLNVAMYQGQPQ KKGLTTVAMAASNNPLLQESETRDPILFATGVGRVRFYMFTNDENISKSERDVQNEKP TNANARKAVEAKAAESGTAAIIHTTFGDIHVRLFPDAAPKAVENFVVHSKKGYYNNTI FHRVIRKFMIQCGDPLGDGTGGESIWGREFEDEFSTLRHDKPYTVSMANAGPNTNGSQ FFITTEKTPWLDNKHTIFGRAIQGLDVIHKIENTRVYKEKPEEDIKILNIEIM LY89DRAFT_306804 MGTVSEELMARHPLQRLSSPSRGVSAFVHTIGIISFTLSYKYLI DFPTMINESYGWHWQYLTILGLTVAYATFVFGLLADITLSPKLFLIKNTLSLCSAPLE VLISILYWGISAIDKTLVVPPEIHIAPLADIGFHAMPSILLVIDLLFLSPPWTIHAIP AMGLSSTIAVGYWAWVEQCYRHNGFYPYPLFAALDTTQRIFLFTSAAMTMTGSTIMLQ WLYGRVNGLRGAEKRSTPNNIKGA LY89DRAFT_636845 MMWKRARSPHSISESTRTLLLRPLQSRSDPPIHSKEDLEPTRSR FLLVPGLLITSIINFVLIAGLKGHWTVTGSAATTIINNPSTFSAVRQIIASLLGAVYM YSICMIINWASRVIISKRAVTLDRLDFWSAICGSRVDWTLPKSKVPLVLLFIVATRIP AAFWAGALAPTLTKAEMKKTHNMTTSVPHYTQQSLDTYEPESLFNSSDPMTSTPLGIF SFSPVRDRFGFLLNDGASASSQNRSEVQLYKKNDNSNFTYYGRSYGVGASVGLVDKQI GQRFPGLDSFSFNETGTMTNISCIFNRSTDFHLELVLSSDNIMYPNIYEATGCPPWDP PGGNCGGFSEIGIGNDDTVVAVAWWVWPNATVENFEGTLAIAAGKNYTPLNNSQCSVT MVPNKFTVNVNVKRGLIQVVPLNQSMGNGTNPIPIVQGAFTTTAALSEVASTKFTSAI GNMLNSNIYNVKLQETSESTDGKTLRGISEAMEVVTDDALVAYSSAQLMLANEATQVP ITIVVDAVLIGTAPYIYTVAGINAAVLLLVIFEGVRTRGWRGMPRFNYMSVKSTVVSS SMGGNAVARKAEGIHKSSGQSWTGNANDRKNGNIAVRLRRVDGLALTLDGDKYEQRTS QTSDYDMPEWSDRISVRSGLV LY89DRAFT_763107 MAYPDEKAVKNAFITEGTELPVVLKSEFSENNAPVYTQSKRTWR SYFWSTLDVPKDEARFLTKLDLTLISASALGVMCRYLDQVNITNAFNSGMKEDLSLYG KELNYANAIWSAAYVFGQVPSNLILIRVNVPLYIAFLETAWTVFTFAHAGIHNTTQLY VFRFFVGLFEAGHFPAVMYIASSYYKPHELARRNTIIQVFTSVGPLFSGFLMAAVYAG LNGVHGLAGWRWMYIVCGCISFPCALWTALAMPQLPARAKANWIFTQKEVELARARIG PTDSRPLTGLFKWKDIKRWHTTWHVYLFPLYFTFAAQFGQSGGSMIFWVKSYNIKGKT PVFSVADINIIPLGINIIIIVCALANSWISDSLPGATRWPGMLFASIMSIIFPTALAA TPVHPKWKAQRWVLYYLTSLGGTAAGITWTFVNESSRQDPEKRAYVSAMMNAFAYIFT AWTPIFTFPANQQPYIVMGNYVTAGFGLGAVLTVLAIRWFYLRDVERQRRFDGVGGER SLEGSDSAL LY89DRAFT_664446 MAEMNTWHEIDLSSRDEEDPELPEIAAGPSIYSNGWPLGSSTQV QPDPLSSPIPAPAAATIATPFPHRDAAATASRFSSFWRRNKHCFVSLLLILITSVIAF SASFTVAYHIDIHLSESQWDSTPANASSTPIPAVCSGSEEYKLAIEVKEKSLMNPRSL GKSKELDKAAGGDCIEYLDGIASNAAIHQGYAALN LY89DRAFT_306821 MASMRSLTNLPTPFAKKYGVDPQLSPLKKSGFTPTLPPSIIPKN AGLFLDPRTERYQRKILKGFTARELDDLGAYPDPNAKESTLNNLIDGILKVENWQSPT PTAPGFPIVASGEAYLVGPNPGTWHAENPVVWQILEPVLKLTSRFLSNINTNILIDAF LFGRFRELPPNKWVRDFPGAPDCYSFHTRKPEDRNPDAAKTALQVAFPKLLVQQKIQL TLEFLHPDSKIRPNVEALGSSHAVTWGDKAEFGFGRQYIYIGLNIDKYQPLLRNDLSI SEKLAEQYQCSVTLLHEILHAINFAFSFSYLREHDHYTDYEPFFEEHIFSELGFALET SIFDGIIFPFVEMGRFEKTEDKRDNYPHLGFWLLSYPLSTSFEVSQNQRLLVGARRPR FDSFSPIPSIYFENIQQEGFWDVVVRKFGVSVAKPRCSVHTTGTRGSFTPIKPSPMLA QWKMYSDQYLKGSTDNATSEVIEVALSKLPAEKRAEQLQLKRAMDNRRLQERVDFRSG RSDFATRVREAWDFVNASWNIDKLEISIEKLNIVAELIQEEADQQATQISMFVIRKDK DADHRAHLVELNYYMRKMARAFESDVTQKTKDGTLEKIAKDIIVKLETCLVMLHDKNP EPGLSSKEFYDSTEKKKSAAVVSLLTVLQQFPAPMDKIELDLMLLEAQKAITEPKGIR WGTLGKSILGGTPLFGIPFLSAISKLLLSHDGTLEHKDLYWYLESNERASLEEPNNVC SEPTRLSWLAFWNAKKVAVSTLAVGPAPEISVKSSKRSLTRVDDPSSMTVVASCTRRE DDDGVVEAILNQIFWNRFRH LY89DRAFT_693951 MSDTSKPDKDAVASVSVIDVVTPKDEKSSVQTIPISNNSETESD TTALVTVPLSFKLLSILMVSAIGFGSSWSSGITGAMKTALKKGLKINNTEYALLDASE DFMKTALVMVSGVVTDRIGGAKEAILYGNAIYSIGSILVAAATTVRNYKFMIFGQIVL SLGDIATQIAQYKIFSSWFPPSHGFASTLALELAIGKISGFVGKSTANIISERTGDFS WVFWTAVFMNLFTNVMTGMSDPATGEVLTEKNKKFELRRVLELPWTFWGIMLFTLFET SDAIVFTANATELAQLRFGTDAITAGWCSSLLQYAGFFVVPCVGIFVDIYGNRLILLV VCGAGVLLSMCLVNWATTAQGTAAAFVFGSVYAIKITLNNAQNIIVRILTGVLQDDSP ASNPYLKVTPVYVVLSAGSFVVSALLLGIFLVAKGAKGAKSSIYVDIARLQWTRKQRI RNGEAIKERGMAVGLGEEKGARIEHTRERTRMKTISMAAFGILCFWILGSWTAYFWGV ATEHND LY89DRAFT_306881 MSNASSNVDTNLAAAALAISLVALVAAVGQLLQQYFATADGYRR CHRSVMGDYGRKTRLHFRWRELRFETLYTTPEIFLVGNGAPSRVGQVLLTDSKDSREK SLVHDDGVQHDELLQGDGTDYQKIHPYSNHLIEATPKKPRSTNKRKKIYRGEMASWVP LLNPIHQKSGESLTPEEKFRDPSGFPPSNRRLPAIIFRERSWDFQPPDVVRPLAKTTL SDIAVIARRMGMKWKEFRPSDGILRAEGHSQTITSTTVRSLGIVLQYAYTGQNKRLHL AQFNLRKKFASGSIVQEQEEIYIPTATADRLGCGVLRTESLLGLPDLTISTQAEIVKS LSFLDRTGVSSAALSKMLKEDPEFKFRAADLVALTTPPARCLGSSLVQVPAPSDNTLG ITTSSTGRRAFRQCLEEYIVVHRGEVGMPTQRALQICQEIGTHFAAWDHTDEFSKQDE QWVVMRDPKYLDIVQGHLHDLSLMLNEWEQDHTFRYINLLEIHIRMAIFSENGENSAQ RVWVTDYKADVDGYFRLLPKIVEEMKTTGFYDRQMIVDAWVTMMLRAFCWGAIHFLVP GERVPIQYYGSQLPVYIG LY89DRAFT_777712 MSYTETDLLSINTIRLLAIDAIAKSNSGHAGAPMGLAPLAHILF TRIMSFNPKNPKWINRDRFVLSNGHACMLQYALLHLSGYEVSMDDLKHFRSLDSKTPG HPEPHETPGVEVTTGPLGQGFANAVGLAIAGKHLAAEFNRPDFDIVNNYIYCIFGDGC AMEGIASEAASAAGHLQLNNLIAFYDDNHVTIDGDTNCAFREDVVMRFKAYGWHTEVV EDADRDLEGIEAAIRRCHKVSDKPCLISLRTTIGFGSKHQGTCSVHSGPLAADDVKAF KTNAGFDPEQSFIVPQEVEKVYQDRALEGSEKEKQWDKLFARYQQEHTEPGTDLTRRM ADLLPDGWQKSLPTYSPKDKLIATRETSGLTLDSLQAVLPELMSGSADLTPSNNTRWP SAIDFQPPGLRLGKSNWSGRYVHYGVREHAMAAMMNGIAAYGCLIPSSGTFLNFMSYA AGAIRLSALSRMRVIYIATHDSIGLGQDGPTHQAVETLAHFRAIPNLMVWRPADGNET SAAYYVAMTSKQTPSMMVLSRQNLPQLENSIIEKAMRGGYVVAEVGESPAAITLVSTG SEVCICIDAALMLRDRHGVGSRVVSLPCWEMFDRQDKDYRLSVLLDGIPCLSVEVLST YGWERYSHEQFGLNRFGASGAYKDVYKKFEFTPEGVVKRAMATIDYYKDAAPRSPVNR AFL LY89DRAFT_680770 MASNNAPWADAPFALIPTPGDGQDLNRLHEAVFLAREMACAHNG MLRSLNSIYQQCVHVSESQDILDLLQYSKFWCAWLEEHHEAEEKWYFPDIERITGVED LMAGMVTQHKDFMPGLEELTKYVNETTVEAYDGRELRRIVDDFGAILTKHLTEEVEVL AALEVHDGPALKHAYLEFDKELRKGDKSVLFPMVLGSTDRTYEKGPMWPPVPDFLKYV VHYWFERKYQGAWRFSPSTTWGEKRPLLCLGES LY89DRAFT_714400 MTTIGIEGVYRVYMKWSDQADAGLNTLLGRWQLVFRNRQTADEY FRFLTSLTDPTGKYPLFNFLTRNGPQFWYYDVTQYAGSNWEFPRLVLVANTEWGTKVM ISLENDGGGRGFDVIPTQATTEWINGGAYFIKNTNLPTEYWKEDGGLITISDTERTKF VVRATAFLSSDPQVLIRTDKITIELAADASTSDPQYLTLPSGTSRLGLSSEPYTWTFN DFFATLAIEGYTASSGTYYRVINNSPGTSIVWDLV LY89DRAFT_307003 MAAPYLHPQSSFSEVGLAPNTGAVEEPSRASPPKDTNKRGQSPT VSLTETWTSSPASTQQGSFKEKNGSRTSFGRSSTTSETTWFPEVVCLIIGFASIGAII GVLAHYNDRTLPQWPYSITLNTIIALLTALANGTLAVPLSNGISQLKWDRFKKDRTVL TDMDLFDQASRGPLGAFNLIARAPGRMMGSFGASITILAFALGPFSQQVATYQNRMVG TDKIAKLPVAVNYTGVLPGDSSSNGYVPILPMKAAVYNGLFAESNPLNPFAVTCETGN CTWPAVDTLAVCSSCIDVTSMMSRYCPNGVPANGDVSTCGWQLPNGAMLNTSTDVFSM TSYLPSPYGLQPYTTILELYFLGTEAQSGPPLNYNPWARQCTLEYCVQTIETAVVDGT LSQNVTQTTTNSTTVNVGSTNGTLPVSITSPNGSSVFISEAAALGIQSWFSDLFMNGS ASRNASATVVKDQSVIVNLTVGISSGTTYFDTDIVQTFYWDYYEYADGIGQAMTDLAT AMTVAFRTFNGVDKIPGTAYGLEVHLRVRWSWIVVPVLIVLCTILFLAMVIFNANRHK VPLWKGNALAVMFCGMGLDHDSRKLFRGKQSLKERMDVAKHVRVQLDGQGNMAAI LY89DRAFT_574809 MATQQSSSSVCRGQRTLGALRHLWRLSQLTQSPSSPPPLPQTTP ERLASTSEKTILYLAYGSNLSAETFKGARGIKPISSVNVHVPSLDLTFDLAGIPYIEP CFANTRWRDQNAPPSDDDYHKDRWHKGLIGVVYEVTPEDYRIIIATEGGGASYQDVVV PCYAIPPGTSEVDPLPSGSPFKAHTLLQPTDDESNRIRTRAGRIHRPDPSYAQASARY LKLITDGAEEHSLPEEYLHYLHNIRPYTITTRRQHLGQAFIVGFWSPLILALFGLGKL FADDEGKIPAWLASIMGTVFTIMWMSYDKLLKPAFGDGERTMGDDDEEKCRTVKWCEK SVVLE LY89DRAFT_307127 MALVSGPGRAGALPDELQLAVEAHVKYIQSLDTRRDELEYWLTE HLRLNGLYWGVTALHLLNRPDALPRTETIDFVLSCQAENGGFGAAPGHDAHLLSTVSG IQILAMVDGYEELEKRGKVVAFEGKEYKGKEVVGRYLSGLQNKETGTFAGDEWGEEDT RFLYAGLNGLNLLGLLDLVNVDLAVDYIVSCANFDGGYGVSPGAESHSGQIFTCLAAL SIAKRIDTVDKDKLGQWLSERQVEQGGLNGRPEKLEDVCYSWWVASSMTMIGRLHWID GKKLTEFILRCQDPVGGGFADRPGDMVDVFHTCFGVAGLSLLEFEGLEEVDAV LY89DRAFT_693957 MPKQPKVEHNIDLQCTLCPKNPKFSDVSHLLTHISSKGHLAHRF KLQIRAQGESEAKEVLDNFDFWYRTSDLDTLLSERLAAKDQKKAKKSRASNASTTSTT SIKQEKEVAPAPASAPEAPMFRAPVPGMHLLPAPPRANRTPSMAAEDWESSVYSTPTA RRRIPNFTRAETPIGDKVDPNLATPWKPDPEDEDDESDKKSGEKLTDSAKLKGVLWPG MDLFDSATPDMKRLRNQKKDNTVIQGMIATSRAVEPAEISYHANGEFRASRYIFGPLS NENSPTRSVSPKKRRAARKVAPALNDLSVNAPRLRASRGRKAAAGESPQKSGASSLAQ GPPVFLQPAPALNPLAMGLNNRRFHPSTEEEEEFRLTVGGLGLQQDERKKRTFTIFQE APQISPGRTETPLEDHGYALNHGPQSFTTNYSRFDFPNHGLPNYGGPSMTSGPFQVSP TPASKPASYGFGKENGQIDMQHHHQARRTLSESHVYPPQVFYDTSYNPLYNHAYARSY AYSTQFTFSENKSPSGFNPNFFGAPSTYKLQASHMSQVPQSQGPTMGSGNSATQSNDA FGM LY89DRAFT_636859 MVKATPSQSKGQKSISSFFTPKPTVNGIAQARASQASQASQNPP PIRKESSSNIYDAESDDEDIRAAKTASLKRALTEDSNGGNSGLVDRPAKRTKGDESGG SAFFAEPTNPFPSTSKSKISPRTEKYLYNSTQPSAGDQAPEEEEDASEKARKEELHRR WMKKMAGQQIGRRWQTDGDNALIEDGDGEAEEEEETPAPVKTKKKGAKTGKLTPLELQ ILDIKRKHMDTLLIVEVGYKFKFFGEDARTAGKELSIVCIPGKFRYDEHPSEAHLDRF ASASIPVHRLPVHAKRLVAAGHKIGVVRQTETAALKKAGDNRNAPFERKLTNVYTKGT YIDDIDGLDQPTDAPVGGAPATGYLLCITESKANGWGTDEKVNVGILAVQPATGDIIH DSFEDGFMRSEIETRLLHIAPCEFLIVGTLTKATEKLVQHLSSSTTNVFGDRIRVERV EKAKTMAAEAYSHVAQFYADKLKASQSSQNEREQNLLEKVLKLPEPVTLCLSAMITHM TEYGLEHVFDLTKYFQSFSARSHMLLNGNTLTSLEIYQNQTDYTQKGSLFWTLDKTHT RFGQRMLRKWVGRPLLDKNQLEERVAAVEELKDAHQTPKVDKVRSLLRGIRLDLERSL LRIYYGKCTRPELLTALQTLQKIATEYISVKSSTEAGFKSSLVNEAITSLPTIGETVI SFLDRINTVAAQKDDKYTFFREEHETEVIGDHKLGIAAVEQDLDAHRSAAADTLRQHK KIAYVTVAGIEYLIEVANTDLKHVPGSWAKISGTKKVSRFHTPEVIRMLHERDQHKES LSAACDAAYATLLTEISAHYGPLRDAIASISTLDCLLSLATVALLPGYVKPTFSTDTE ITVTAGRHPMVEQLLPSSYIPNDTSLSTSPEQTRALLITGPNMGGKSSYVRQTALICI MAQIGSFVPAEEARLGLLDGIYTRMGAFDSLFTNQSTFMVELSETSSILKSATPRSLV ILDELGRGTSTHDGVAIAGAVLDWVVRETKCLCLFITHYQTLAGVARGFEKEKELRNV HMKFTAQKGSRRLSDSAIDTEGIDDGDEEITFLYEVGEGVAHRSYGLNVARLAHVPKP VLETAAKKSHELEMEVKQKKLGSLSKMMACLLSGETGADQIEQLVVGIEEL LY89DRAFT_728779 MVRHATTNAVIFDEGLGFFLVKALTHGQKAQSDIKFANIVHPTI APELIYTTQYLHVKDALYFKFCNGGDLRQVIDLYVKKEENVPEDFIWHWLKTACSHVA SLHYPLDHRDAVDPLIHRDIWQGNFFLDWPNPIPDPAPLPQILLGDFGSAQYVSDGDV GRGPTGIGNDIYSIAFVLLVLLLGKPLAFAGDNVQQDEVRDLIPDMYSDEIKDVAVEL SEHVVGTRFRVRDAQIVNWSEPDTLDLARDLIALGDRMLARFAEDGPPTDLSWTKPEV SDLPLFFADAASLDEWCVVNNEDRPLEGRYFLVPIQQDSLRILRRSDRLNPGQYRRPV KRSRDDEEQEEASEVTRLRR LY89DRAFT_777719 MPQPTSPAHPSPTLRQQVLTLDAALATNLKHITKQRQQLIARGL VPATAIICDGCGGEKIGSCDKKGEKEDEEVKKEYEKKKWVEYGVIVVVSVAVIVVWKV VERVRRWR LY89DRAFT_607404 MAPKTMISSEVYLLPLKDDGSPDVSGGYIYLTPKSSAPIVVRFE IEGTSSICRHGSLWVNIPEEGAEFRRDKFREFKLEPDFNRTIQISIPIHGAGSFAFYT FYTPLPELTETAQRPLEPTKTELFYIDVAPRLTLEGRQMPLAALSIFSLISKFMGKYP TDWEKHLRGISARGYNTIHFTPLQHRGVSNSPYSIYDQLSWDPECFPKGEADVKKLVE SMETQYGLLGLTDVVWNHTADNSKWLQEHPEVGYNVSTAPWLRSALELDTELLDFSSK LAANGLPTDLKSIADLTKLMEAVKENVVAKLKLWQYYVIDVERDADAIVDSWANGKIS FPEGGFGGSDFGGLETIKNATPTQQAKFLKDKALLGADALGERYKRRIDPATGAALVT AIFGRFEGDTSDSADRAAVRNKLIHILDEVNLPLYKEYDADVVEILEQLSNRIKYVRL DDHGPKLGPIDQKNPLIESYFTRLPKNSTTAKHNQEDLALVNNGWIWAANALIDNAGP SSRSYLRREVIVWGDCVKLRYGKSREESPFLWDHMAAYTRLMAKYFTGFRIDNCHSTP IHVAEFLLDEARRVRPNLFVVAELFTGSEEMDYVFVKRLGISSLIREAMQAWGTGELS RLVHRHGGRPIGSFEVDDVSDNAKNAPNGVPNGQANGHPKREIIRRIKQTPVHALFMD CTHDNEVPAQKRDARDTLPNAALVNMCASATGSVMGYDEIYPRLVDLVSETRFYTSQS STKRVEVGGGEGGIGGIKKLLNQIHTLMGKDGYDETHIHHEDQYITVHRVHPESRKGY FLIAHTAFPGYGNGNGGFSPVHLTGTKARHLGSWMLEVDTSEEATKEVLSDKKFLRGL PSKVIDLPGIRMESNKDETIISVRDKFPPGSIALFETWIPEAEHTAGLDSYVTSGGKA AFADVNLIDLNFVLYRCEAEELDSSDGKDGVYDIPGHGKLVYAGLQGWWSVLKNIIRD NDLAHPLCQHLRNGQWALDYIVGRLERISKKPGYEQLEKPAVWLKERFDAIRKLPSFL LPRYFGLVIRTAYKAAWDRGIELMNENVQNGQWFLKSLAMVSVQQNGYVKSASLYPKK VVPSLAAGLPHFAVEWARCWGRDVFISARGLFLGTGRYADCKEHIIAFSSVLKHGMVP NLLSSGNNPRYNARDAIWFMLQTIQDYTKIVPNGIDLLKDNVPRRFLPYDDTYFESDD PRAYSKSSTIEDIIQEALQRHATGMKFREANAGPGLDMQMSSEGFDQEIKVDWENGII FGGNQNNCGTWMDKMGESERAGSKGVPGTPRDGAAIEITGLLYSTLVWLSKLHKEEKY KYAGVSTSDPKHKVITWANWAALLKDNFEKCYYVPLDSKDDSHYDVNPKIINRRGIYK DLYKSGKEYEDYQLRANFPIAMTVAPDLFVPEHALHALTLADKVLRGPTGMATLDPAD LNYRPYYNNSEDSTDFATSKGRNYHQGPEWLWPTGFFLRALLKFDLMRRKGPQERTEA FQQITRRLAGCKEAIQSSPWAGLTELTNKNGEFCGDSSPTQAWSAGCLIDLYHDAAQY DVSQLSENLSKMKT LY89DRAFT_680777 MATLGAPTKKHKVTIIGSGNWGSTIAKIVAENTKEHPELFQEEV QMWVYEEDVMVPKDSKHYDSSKGESPQKLTSIINTYHENVKYLPNIALPKNIVANPSV VDAAKGSSILVFNLPHQFIGRISKQLEGNILPYARGISCIKGVNVTESEISLFSEWIG EGLGIYCGALSGANIANEIAQEKWCETTIAYDPPPIDSRVATPTGPSPSASRVNLTIT PADEEHRDVLGRISKTKLKALPSEYPPLDHATFKTLFYRPYFHVRMVSDVAGVSLGGA LKNIVALAAGFVDGRGWGDNAKAAVMRVGLLEMVKFGKEFFGQTVHTGTFTEESCGVA DLITSCSGGRNFRCAKMAVERGVSVDEVEAKELNGQKLQGTSTAKEVNSFLKARGLEA EYPLFTAVLDILEGRNTVDDIPALLARADDD LY89DRAFT_680778 MSFQTPPLHPQWLQSHSHQTQIGHLVSSKLIFPCPCTVQPPVHQ IYQAQTSLPSESLFSNPSPSTNSPLSWHETHTEYLRILSGTALITLSHTTQTYTSTSG RITVPRYARHEWRRAFPDGPPLVVQEWTEPADGLKEVFFRLVCALTLIRIRFGESGE LY89DRAFT_680779 MASYYIENPNVGNKNDTEDWRIRGYNPLTPPDLLQHEIPQSAKS KETVIKGRNEAVEVVTGRDVNQRLLVVIGPCSIHDPAAALEYCDKLLALKEKYKDDLL IVMRSYLEKPRTTVGWKGLINDPDIDNSFKINKGLRTSRQLFVDLTEKGMPLASEMLD TISPQFLADLLSVGAIGARTTESQLHRELASGLSFPVGFKNGTDGTLGVSIDAIGAVR HPHHFLSVTKPGVVAIVGTVGNEDCFVILRGGTKGTNYDAESIKEAKAALAKAGVRER LMVDCSHGNSLKNHKNQPKVAAVLAEQISKGEEAIMGVMIESNINEGAQKVPKEGKAG LKYGVSITDACIGWEDTESVLEVLAKSVVQRRELLKSQKVNGHS LY89DRAFT_680780 MAGSAATTVAIGSMAWYYHLYGTELHAMTPAEEGLHPTKYPWEH VAWTKTFDHQALRRGFQVYREVCSSCHSLSRIPYRSLVGVTHTVDEAKAMAEENEYDT EPNDEGEIEKRPGKLSDYLPNPYKNDEAARAANNGALPPDLSLMVKARHGGCDYIFSL LTGYPEEPPPGVKLADGLNFNPYFPGTGIAMARVLYNDLVEYEDGTPASSSQMAKDVV EFLNWSAEPEMDQRKKMGMKVLVITSLLTALSIWVKRYKWSPIKTRKIAYSPPKMGGT GPNGH LY89DRAFT_728786 MAREERVWRDRDSSNDARSSEDEGDGREDVLPVKKKGKSEKRKK ESPGDAEAEREEALPTGKKAKSRKRKSEGSGDDDLGGDEVLPVKKKVKNGRRKSDGPG EVKSEGEKALPAKKKVKGQKRKSINGGKIGKAEIPSSTADTSQDKSQDLGPDYDAPPS SQPRPLSEDEEEEDNGINQMKVEIADSISTHDEEEDVKQVPNGTAPSKSNGTGPHVGT DLLAKKLAGEITEEEYKKRRRDAKKAKKIAAKKLQRQLELAGELPINERRQVSKPKPK KIVVKTIVVKTPKKVRVPKPPRKEPSGIPRIALIGNPKVVLRSGKEIEHDTTIGPLAP VEFVTTPGSKKDKAKKAKMNGSVSNHFKAVYSGSHRIPEAVRKTMEEAQKAVKEAGPI VEKTVEENASAKSKSKKRKREKNQNRLSKSSNPEEIEEPEMEDIQEASKPKQAKSSNP KDVEVSQKEETREAAKESPSKSNNPTSIDDEDAEPPAKKKKHRKRSPVLDDVPSSDVH YDHVEDSKAKKPQLRDAHGRFLKRSVDISSQQLEEKEEQPVKLSSSQQHEKEKKRPAK TSSPEKKKRQPVKSPFFPETIEKSSPSKKNVSCIPFSPLSAPHFGLIQEKLAHDPFRL LIAVTFLIRTHGKHAIPVFFELMEQYPTPEALANAKKDDIVPIIRHLGLQNQRAETYK MYAQIWLDNPPTKGRRYPVRGYPDPESARDVKKDEIIDDDDERHAWEIGHMTSGPYAL DSWRIFCRDNLRGVADSWNGEGTEDGFQPEWMRVVPEDKELRAYLRWMWLKEGFEWDP FTGEKEVASPTLMRAAMDGRIAWDDEGGMRIIDGEGM LY89DRAFT_728787 MPVDPQSFRLSFLVGDLLRDGSEDEPLPKLTLEERDQIRQQLSA LKTRRELSGELSNDQSLRINRILNKQMERLNDCKNAETTLSSLPEHPRIEPMIFHASS EQEEKIVVNEKEAPSDSGSIYEDASEISENSVNDDISNAASHPLPPSPADPVLELLEQ ACAVLRERPDVQEPSEKLIALDPPTVKATDTKVSKTSRDTLAEPLNDDRVTQVRGSWK VFEITLWRLFFVVWCLWEISDVVEARISGLVFLFHGVRGLAGSILGSFLRLFDGVVAA YLGSSRNFSTPQAGSGL LY89DRAFT_636878 MVSLKDIKKSNASLQDLPPGLVAVFVGATSGIGLGTLEALAKNA NGPRAYIITRSKANATPIIDNLKLLNPMATFMPIEGQFSFIKDVDSMSEEIKRFETHI DILCISPGYLGLGGRHDTPEGMDTDSALQFYSRQRLIMNLLPLLERSSSPRVISVFAP GFEGPIDKDDLECRKHFDNIKACRAASTMTDLMFEELAKERPTVSFIHSYPGQVGGRL MDHALASASGLLWLPAQISRYTVLPVYTHLMCITPEEAGQRTLFLGTSTRFPPTTDHG ITGKVDGFVERPNGVGVARSTVMKDGQGNGVYRVNWNAEIVKDSKLIDQYREEGYGKM VYDHTTNVFERSLSGNAN LY89DRAFT_664467 MPPQGKKTLRESALETNKNNPSQLGDPISLKSETADSSPTNQDR GASSSSQSSSSVKENLKSTAPAPTEGDTDGKGKGGHQTLRQKAMQKLEENPSQLGDPV SLKAETADSRPTDQDKGAAGKGGKSKL LY89DRAFT_664468 MPRQTLMANRPQTFTVFPKLPAEIRRLIFEAMCKPRTIEVLYEG YEVIDARGFYTYAPSPPALDICRESRNTVISRYPLCFGSVFFPATVRFNFELDTLFLD EHFREDLPHLVSTFKDAEFNGLRYLALSDYYLVPYTDSDLRLDENLQRTLEALKSLKE LLLVFDVERMNSVPFHALHSRIWRSCFRTEEAAKLGMGLTAWCMAGSNVKTGRNIYLD SDDSDNTDSSEVEQDFLEHLSGNDTESVN LY89DRAFT_307337 MAASFTLFPELPFELRLKIWQFSVPGPRILGVGHEIQYKSFYGR LLPSTIEWRTSDPIPSLLHVCHESRKEALKLYQRSLGVPLKQGKNYIDFGNDTIYFGG PGRGFSDLDVLLQASFNRPGNYLLDMFLGADYGVRDAEKIQRMIVDIDEDRYGRRLFI WDEIRLFTSLRELTILVWEEDSEADRLMALYQRTLSETVRKHPEWSIPEIVVIAMHTK KVWGSVKASTVSED LY89DRAFT_728792 MKPTSLLSLLACIHLSTSLSIPHSSSLEKRQNNPTCAHKSPAQP FPCSWKATPNDPWTSYHDSATLLAAQTSSIASLNPPHRSPALSTTAPENEQRSQAVLS NKEAQLWCGLPGLWTYPCPWTENLPPRLVTPYVFCKWIVSTPGVVIGEPIAWLKKRFL SQTEVSRSRKRNDCGWKGKPMCPTTNVTAASAGVRSVSIPRFFGLGSLAVHLLLAGRG PGVAAVAIPSVDKYRRGGGGLEIATPTNISSPSTIDEKAALQSCEYDGYTGQQSCPLI PTSAAGRSLEVPRIFSFSALLIHAMAGTIVSAIPITGPMAFTESLAAPSFELVRESVG WLVGLLPGFGSDCGEKEVGFEVVKLAEEIGVDYEEYRRERVEEYELRKREVREVKIRD GCENDEADDGYCMRAEAGRREVRWAFMGVLGLAGVFAVLL LY89DRAFT_714417 MLRLALRTLTTTKMTPLKTVAIIGAGPSGLIAAAKLAPTHHVTL FEKSPRIGGLWPLSPDQNEGGVSPEMQTNQSRHTVAFSDFSWGEGKSEFPRAWEVGGY LKAYGERYLGEGTDVRFGAEVSRVSKRGEKWGVVVKGERSEEEREFDFLVVGTGFFGR EKIPDALKGEKISVPVWHSSKVRDVKDFLTDGGKRDLKGVKGRNIVVVGGQMSGVETA AAVALQISSLTNSPGDEAEAREWGAWTVTHVVGKPVWVMPLFFPRDPVGEDGEGVKKN NSSPEFLPLDLVNYNLGWRPPGPIQNTSGHISVEGAQMVHGFMNTYIGTDQTEYGVPV LNMTGNAVRNEPPMLACSDYYTEFVRAGKIKVVEGRMKSISSEGTSMEVESSSGKVEE ISEIAAVISATGFDASSSLSFLPEELLQQLQFDPNDDGFPLALNVHTVVNRSIPSLGF VGFYRSPYWGVMEMQARYLAKLWSGDAKAQQALADDKTMDTMLKLRNNPRRAQFPMGD YAYLMESFAEILDIKRTEPENSTGRSGIVLPPRYLPSTTSPAQVQEAENELSIIDRIF TASSTAGKFVARATFRALQGTWHLDRTISSQLPTFPSGTLSGTASFLPRFPTSKEADM EYLYFEEGDFRPSWGGTMTAKRSYIYHYQEKSDAMDVWFAKGDGKTSDYFFHRLEFLV EGRERELGEPWRARSSHLCIEDLYNVEYEFYFRGTVVERWTSRYNVKGPKKDYVIENL YTRPVRAVGM LY89DRAFT_607426 MENEKPIMNEVDNSSNEKVVALNHLESNGNAPISQKDPGGFTIP DPDAHLSAEEKAAIDKKLVRKLDWTLIPILCILYLLAFLDRTNIGNAKIDGLQKSLHG MTTGQYNATLSIFFVSYAVFEPLTNILLKRLRPSVFIPIIMVIWGICMTFMGFVYNWS GLMAARWFLGMAEAGLFPGINYYLSCWYKRSEFGIRAAIFFSAAAVSGSFGGLLAAAI EKMNGLGGRPGWAWIFILEGIVTVLFGISAIWLVHDFPDDAKFLSEQDRERVIRRLKL DQQSSAEHEEFKMKYVWMSLKDYKMWLGMIIYMGCDMPLYAFSLFLPSIINELGYSST KAQLLTVPPYAAAAILTIVIGYIADRTKQRGLCNILVSFLGIAGFAMLLGSQKAGVKY AGTFLGALGIYPCIANTISWVANNIEGVYKRGVVLGFVIGWGNLNGIVSSNIYYKSPK YTVGHAVVMAYMIVCLLGGSVVLRILLARENKLRKAGKRDHWVEGLSDHHVEALGDMR PDFYYTL LY89DRAFT_777733 MAGHGHSFRKPPRKFRGPMLRLASTLVAETGTKLIRETGSEMRS MFVLAVVRILAVVLVGLVGVVVIAKCL LY89DRAFT_763151 MMVWSWSDWVPPEWQSYEDRASKTTPKATPSAILHSKEQSIKTQ VVQPLNDNLSTTMSLNSSTLSSAPRRNYGLRGSRVNNTHTRKFRHRVSANIQGCTLMK VPVELRRMIFDLALPDEFDGTTPEFLRGFRQFGMLYDEALSAFHNKNYTFVLNKTNNW SFDHLDPKVLATIKTVKLTVTEDIWIVNQMDWISGQLDYPNPSAFCLTDLEKNCATAK DVASVILECHPSGEALWHSFHWLFPHYLSGFSRLTKVTMSVPPNVDRTGALVHIALDD RDIFPDIHEEEIKKGLKSLDNIFGVKHKMIKSLPDNLDKNGKMLAIKYRDRCRWVWEA DVEKGEALVLARR LY89DRAFT_664475 MPIEQSNTSTPRNDSIPKMDTEVEQMIIAATVTGPSRFRSFAIM SGLCSAMFVSALNQTTVATAIPSICRGLNSASGYEWISAAYLLSNSITGPIWSKLSDI WGRKAILLTAVTLYFVFSIICATSQSMQMLIVGRALQGVAGGGLIQIVYATISDIFSM RSRTFYLGMLQVVWATAGGIGPIAGGTLAQYVSWRWIFWINLPITGVSFLILLVFLDV HNPKTKLLPGLKAVDWYGCLSMLSFMVMLSLGLNFGGTTFSWNSPAVICLVVAGIVMF PLFLVSEKKAPHPLVPLRVFRIPSNIAALLIGFMHDWAVFSTEFYLPLYFQSVKNASP MKSGIFLIPITFTQALVGIMTGIIVYKTGRYVDVLRVGVTLLAIGNGLYINLDATSPL GKILTFEVIAAIGAGLLFQPPLISLQAHVHPKETAAATATLGLVRNLATSLSIVVGGV VFSNRMNKQSHSLLESGLSKNLTDIFSGSSAAAHVTLVSTISDAKLQFAVKNAFAESL RGVWIGLIRSSKPYYQVRNGPQLYGCCRQAGQYLEPERLTIFG LY89DRAFT_704310 MRLLQYNNDGDFNLIEFNESHIPEYAILSHTWEQDNSKEVTYAE VISGTGQNKDGFKKIRFCGEQARQDGLSYFWVDTCCINKQNKAELWHSINSMFRWYRN TSRCYVYLSDVSTRKRKVSDQPSEHTWDLSFRESRWFTRGWTLQELLALASFHIDERM QWIVYRETGKEEDKAYSLLGIFGVSMVPAYGEGVAKAFDRLWNRFRETQKCMQDLRPT DPRLDKQRIEATKGGLLQEAYRWVIETSDFQQWRTNQHYRLLWIKGDPGKGKTMLLCG ITDELQNSLAKSALLSYFFCQATDSRINRAIAVLRASYDGAGKALFEDANAWVALTKV FSSILHDPNLVATYLIVDALDECVVDLPNLLCFIAQTSSVSSCVKWVVSSRNWPEIEK TLDTVMQKQRLCLELNADSVSTVVATFIQTKVHDLREKNKYTSETRDIIQQHLSLNAH GTFLWVALRILDQIKALEDSKLCMNVLAVVSTVYRPITINELVTLVKMPNGVNGQYKA LAKIIGYYRSFLAIRERTIFFTPQEPLLRRAQDVHNTIFSRSLQVMSITLRRDIYNLS APGISIDQVEPPDPDPLAAIRYSCLYWVDYLLGSRIIKDSTKNLEASSSVYRFLHQYF LYWLKALSLLKSVSEGFDKSPDLRAFIQDATRFAISTRSVIEQAPLQVYCSTLVFAPE KSIIRETFETYVPHWILRKPRVEAHWSATLQTLEGHSDGVWSVAFSPDGKQVVSGSHD QTVRLWDATTGALQQTLEGHSDGVMSVAFSPDGKQVVSGSVDETVRLWDATTGALQQT LEGHSSEVRSVAFSPDGKQVVSGSGDKTVRLWDATTGALQQTLEGHSNEVRSVAFSPD GKQVVSGSRDKTVRLWDATTGALQQTLEGHSDEVISVAFSPDGKQVVSGSRDKTTLEG HSSWVRSVAFSPDGKHIPTLHVSGEWLVEGTARYLWLPTNYRPTCEAVWGRIVVLGHS SGRLSFLQIQEGLHLLI LY89DRAFT_777736 MAPDSRRRGSQVTSAELSLIHLKNCLVNLPPSLSSLLVNANTVA QNVVIELSHRLPPSSTNTTGNAPTTRSVYVGWTGMQSKRKLAPVVGRDGISGVRGNTS GREQEVPLVEIDATFARTLGLSDGQKVTASIHTDFPLAHTINIEPLTAEDWEIIELHA TFLELNLMNQIRALPNPNYTPSSGPKPAPHPITIHLSPTSTANIVITSLVPEPPATSP FAKIAQDAEVIVAPKTRTKPSRASGENRSVSSRKSAGGRSGASTVRRRSGREDSRPAM FFRGLDRSLCGEWFEDNRDAKDQGLKVWVDRDILLSKSLKGVNWVSVAVVKPASLQAP VDPQKQQQEVESLGEAGKIAPKVVARLVAWDDPPDSHHIALSSALCAAMTCEGIVGGV VKLEPAPQQMSKAAPPTSKDSASQPTSKANSQIIKVYPFALSTGKAKEGLKFGGESKS EREEAGKRIAKMYGKQSSSEGVLDGPITDGLVLGLPRSSEQTAGWDGGIIKFDSTPVE PGKPTCNWFLGSERKFTIEVQTAVSRPSSMSKTNAVGDPIPIEAPSLVGIDSLIDQLQ SHLSHLSSVLLTGALGSGKTSVTHLLAHRLRSQTLFHTTYFSCRKLITDETRVSTIKE TFSRVFMSASWGARLGGKSLVILDDLDKLCPVETELEVGSENGRSRQISEGVSAIVRQ YCSRDSGVVLLATAQAKESLHNVIVGGHVVREIVSLKAPNKEGRRRAMEMVVKENVAE HDHGDLRSPTSSRPTTADGSAGEEEGAWMDSSSATSPLASANKTDGFIVSPDLDFLDL AGETDGYMPGDLVLLVARARSEALIRSVSESPKGQDSGIVQLSREDFTSALKGFTPAS LRNVTLQTSTTTFASIGGLHATRKILLETLQYPTTYAPIFAQCPLRLRSGLLLYGYPG CGKTLLASAVAGECGLNFISVKGPEILNKYIGASEKSVRDLFERAEAARPCVLFFDEF DSIAPKRGHDSTGVTDRVVNQLLTQMDGAEGLSGVYVLAATSRPDLIDPALLRPGRLD KSLICDLPDREDRVDILSALGKKLKLSDEVLENLDEIADRTEGYSGADLQALVYNAHL EAIHDVLGDHDAEIGGAKRTNGTTSSSGTRNFIQFRYGEDENRLEVEARAKSGNNKSK LLAERAAISLKLAEIKAAKKRAKLAQRGQNGDENKGVKEEKEQQEVTIEWKHVESSLK STRASISAQERSRLEAIYREFVVGRNGEMKSGEGAREVGGRTSLM LY89DRAFT_636894 MALLQFRAPVNYDDHQEALEDFLQLFKTSPKSELAHALGDMNID EDDFSDEYDFMDDEDDDGHNTRRQARTQAKTPKLKYMDVLQKVADGYEDEITIDLDDL AKYDQSLEENGTPLNLVASIETNAKHYLDIMAKAVDKVMPDATREINYKDDVLDVLMA QRTARNNALVRAAADSTEAPEPELFPAELTRRYTLNFKPRTQGDEPLKALAVRQVKGE HLGHLITVRGITTRVSDVKPTVEVNAYTCDRCGCEIFQPVGTKTFGPLIECPSQDCKT NQTKGQLHHSTRASKFQPFQEVKIQEMAEQVPVGHIPRMLTILCHGALVRRINPGDVV DIAGIFLPTPYTGFKAIRAGLLTDTYLEAQHVTQHKKAYEDLAIDNRVFKRIEQYRAS GHIYEYLAKSIAPEIYGHLDVKKALLLLLVGGVTKEVGDGMKIRGDINICLMGDPGVA KSQLLKYITKVAPRGVYTTGRGSSGVGLTAAVMKDPVTDEMILEGGALVLADNGICCI DEFDKMDDSDRTAIHEVMEQQTISISKAGISTTLNARTSILAAANPLYGRYNPRISPV ENINLPAALLSRFDVLFLILDTPTRDTDAMLARHVTYVHMNNKHPDSEGVVFSPHEVR QYVAQARTFRPTVPTAVSEFMVKAYVKMRDQQSRDEKNKKQFTHTSPRTLLGIVRLAQ ALARLRFSEEVVQDDVDEALRLIEASKESLYQDQGGFGRDMSPSSRIYNLVRTLAESG QCKPEDADDEDDEGMELSMKKVEERVIAKGFTRDQWQTAVLEYTNLDIWQTAGNGTRL IFIISDAAQMARDAEYDMD LY89DRAFT_664480 MAPKVSQQRSSQGASQNTKLKNAFKKLCGNISKEKKEGDTIKAA ASVSELNEALRNCRKHGMIIDASCIDGLVEALEDDEGDVCSSIEPPLFKVIVQAVLQA NHCLEGERVIYLLASSLLRDTVEANGEAAHATVSSRKATIGKDCLLPILEYFLNRNKP AEFRRWVGITCVQLVRDCEDNVYKLELSGEDLRRSIGQLILEEPNEIIRLICAEIIKT LSNAGIDLQALWPHGTRKLIYEQFPMQSQGSHGWGALFQKYIDYLIDKKEANFESIGN VIPISSTSLDPPHAFGVPHQNMVAFLDDIKMSVIAPLLPITTGQLLDVPLGLMKRVGI NTDNPSQREYADVIFELASSDNMACGLDGKSIELQRIICSIKLDQLQALESALEDCCP HVKIFEFDDSRNIHPIKSEANITASQTSQRSGISEIISEVPKSALQGLDGSASVELEQ DLNGSSHDVERTTHTPVQRDDLNGEAGEDDCSPVHEQPTQKQTQPHRAKKKVKPKAKV PIVPSSRQNDVKTVPPQLAKPASAIGTKDMGKPQVKSGKSSSQPANTQSQTKAVDKPS ATPAGNVGSQSTKVSKLSTARAVAKRDTGTKDVKSSAPSSLLSSALKAKAQARNEPTD SDDITTPEPNDASTPKSMPPPAKPSSKNVQAAAVKGADTSQAQAKKRFNRHGVKSLTP QQSRSNDTVFDVPDDDDDEEEESGATAKASSKKKSTKGKQPSKSTNTANTTAKLAGSK TKASRKSAPAATQQPKASTRHSQRAAATKSKNNLRDLSDEEDVEEINPDKSPQGSCQK TKKAAIDKMQNSQVVQGDIRKDDESKPKPSSEPELNDNADAGISEAVKGTTGPVDDDL MGLEDLELRDLEDQSSVVSLNFAEPTHVMEDDDLYNATPEKSQTKVNEESIAPVKAST SEVAAAVPDKRATRNSGIEMASKLDDLFETLDTGVNSTNDATVDFSMKVPMKLNSSAG DKKLTQNKGQVSNTHQLHSIEAEEQANRKLVVEDEDLRPPSINIPELSFPTAQMRPPV VSPAKSIEPRKPETQKELQKDKPLDEQSLAEAVTAPLDSSGNATTMEAKSPIHNEQRP TSPVQASDKVKQGNKKRKAMAEEETESSKRRKSTNDEEANNKDDLQDMAAQGPQTTKH QTLQKPSTSVNQSPSPVRRSTRFEKANDNAETLKDTEKEKLLVDDKAHRKSTIISFGT QGPRNQGRVSARKTAVEKPARKSQPSEPTPQNFSTDSGRKRKREQTNFADNASPPSKR QESSPLQYVDDEVGAFEEAEEPEQVEEVEAVEPVEEVQEAEEVEHIIINSTPVPTPKG TSMPSRPKHASRPSSQVSRVDVNGSPIGPANSQVDYMGKLEQRLSQDKTVNDAPAEKE QNTAEEIMAAQTRPRSISQVFGPRVTLGSRLKAQPSSPEAVTTRYVAHEKSDEGQYTD VASKEVIAQEKILPNPFADKKRKSSNFTERLLASAVKESRKPSAEVIDNVPRMIRNIA KKEIQYELPKLPRGEPKRAHFQEDMNHRSDQRSRQSGFDPEQTLVEPKHRHVNNLSEP DVTNGSSYQSETSDSSREPLSDIPTPNTQWNVALRPHYRSLADAVHRIADEVIIRLSD EEDRMELMVEQYRQNGTKIIDSLTNKRTGEQTTILQDLEAKKQEMTSAYKDAKGLLRQ TTDEIKENQVNPFEKAWRKQQDEVRKLISEGRKATE LY89DRAFT_714425 MGRDGFHPFKGRVSRHFGDSLAPDDAYLSYYDIRLMKEDVDTLK NDWLTDNTIAFWEEYLEREELKKYPSSHIVLLRPSMAFMLMQTPNPLSLKEALPDFSR TTHIFLPINDARNVSVAEGGSHWSLLLVSVIDGVAFHYDSLTPSNYNEAFLATDKLGQ LLGRPLRFMNLDDSPQQENSSDCGVYVCIQMRHLLLKRLLSANAKEKVSMSMGGKLVD ANGGRKEMLKTIEGFRKEGERRRSHEESRSSSPFMKGKTDSRSPPRIDS LY89DRAFT_307681 MSIPRSSLESIPTSFATVSVGTPEDPLEDKLKAISAAGFQAIEL GFPDLLSFTSKYHTKDVEENDYTSLCSAGTVVKDLCKKHNLSIMMLQPFSNFEGWALG SKERDDAFSRAKGWIRIMQAVGTDMLQVGSSDSPNISTSHDVLASDLRELADLLAPHS FRLAYENWCWATVAPTWFNIWTIVQKVNRPNIGLCLDTFQTCAGEYGDPCSASGLIEN KGLQQKLEMNFKHSLIELAKTVPPEKVCLLQISDVYKAPVPFKDETVDGLRPRGRWSH DFRPYLFNGGYLTPQCVEFAKAVLQTGARCWFSTEVFDGGPDGKGGMKQYEMGAFCKG AMESHKKLLDACADS LY89DRAFT_664483 MAPTAPKKRPSIRTKTSKTSNSGSGMIARPPPAHREGAIISDSF INSKKDKRTIKHSAFVNRIEKAHRQPLKRRRPNKKLKTTMEGLADALPDVEALIQGKI NGEGKIKMKSLRSKPGALKRKEKLERVERERFGKNMAQIMGVKEQVPDAVPAAVMEVE GDAATAPVQNATSNRFAALRAWVNTNMEKNPAFEKASN LY89DRAFT_636901 MDSATKTAERLRIQIAATEEQLKNLKGQLADLEAQSLGNSFQNV SVQEELDPVTHEKWPLAAEDYKRYGRQMIVPNIGIQGQLRLKNASVLIVGAGGLGCPA AAYIAGAGVGTIGIVDGDEVETSNLHRQILHSTSKVGMKKVDSAILYLKSLNPNLKYN AHTSHLTPENSQGIVSQYDLVLDCTDHPTSRYLISDICVLLQKPLVSASALRTDGQLI VLNSPPLLAGNEAGGPCYRCVFPKPPPAESVVSCGDGGILGPVVGVMGVLQALEATKL IASGKLTSSGSEEAKKDASMLLFSTNSNPPFRSVRLRSRRPKCFACSAEAGLTLEGLS SGSLDYVLFCGINVPVSILGRDERIEAKEYAKLKTENRTDHLLVDVREKVQFDICSIE GSVNVPFSSFQGSRFKEGDGKQPTWIPQSLPPDAPIYVVCRLGNDSQVVTKRLKESGL NKNGRYIGDIKGGLKSWKEQVDSSWPEY LY89DRAFT_607445 MLGAAPTLRTAAIGCRLQPTLLTPCTYSTTTSPSPLRSKESSTT SRRRVPIAKRNVAFKSTPSIVRYASSIPSPPPTTSSPEPQAVSSEKLDWNTFFKLRKT RRLLQLGSSIGTSIGGFTLGVQVLTRSDMDAVVSQIPLDPFITLGLITFACGGIGWLA GPIVGTSVFNWRNRKFRSQMDEKEKEFYRRIKLYRVDPSASSMANPVPDYYGEKISSV AGYRQWLKDQRAFNKKRTTYIKY LY89DRAFT_680801 MASPIFKLPTSSEATEMLENNEAMAQLGSVVKSETDKSWELIGY TVAEAQVQIRSAAPYTRGSGPPSAPIGIMPLHDKEGIIFDVTRMDGNIVYIVGFPDKP ALRIAVRVQNIYDFVSPRTLEWFDMAQTVALKEKREAKAKDRATTTQQLNDESRVSDA PRGRGRPRKRPLVVEEESNDTKATIELPRKKQAVEGSDGRPGSSSSSAIQRGLTEVSS ESAFDDDDTALAIARQLRGTPQVEVPTQSFSSRSVSPKPVRTSRNPIARVSASQTSSR QTRSVSASTTSTRPSIDLQVQHKSQSSGPRRDSVAAISSIEAANIYETLEKSKGKETR PSRKMSEIQTSSFYNTPEPTKIRKSSAKPARPKPVKPTKPAVEPEEEYNIDDILGHKF EYEKKKKRTTKFYYIKWSGDYENSWEPERNVGLAAIEQYKQKLLDEAKSQSSTTSSVT RTSEEDDMDVVVEKEGLGTGSKSIMGVQGNGTEKMGSAADSMSGPTKHKATNGKENGA SLKVMDAESADEVDE LY89DRAFT_680802 MSILARARSRPTQPFQIPQRLFSSCASFSRAWLPKYTQKAGTSP HYPSLRLTVHRSSTWLPPQVRRQVSHRSHIEAMASAPDETYLIVGAGIFGASTALSLI RKYPAANVRIIDREPFPCQLAASWDWNKIIRAEYNDIFYMKLALEAKDHWENDPMYSP FYHVANGVWIQDTNMADVISDNYAELGLPDLVKRYTVEEGKKIYDGLFDQAEYEGIDQ VLVSTGTGWAEATKALTKVLQEATEAGLRHVNGNVISLVFNDAGDCIGVRTASEETLT ASKTILCTGAATAKLLADSAPYRKELHAGGRFIAAAMCTALMTPEAEACAHFKDVPIV LHERLHYPGRGGFIPPTPDGNFKWWADITFSNLTKHESGEDISSPPTGPYQGQWDVPE SFHDEMITGRDVIFGEKAKHFGFDKYRFCWDAISRDDNFFIGPHPASKNLYLATVGSF HSWKFLPIIGSYIVKMLEGTLEDEYTQRWAWDREIEREGAHGYWPKRDWKDLIKV LY89DRAFT_728809 MEQGDMILNLLDSVRLPEENSCWVDSWWQAEVAEIITALSSSEI KNDLLYEFQMDVLGRKLVFVLQVLSRFANKLINGPYIDEHTDQVLSQLVEEDDGSLLD YIETRSTALRSIAQHLASASADCTRVWEETQNTDAPSILPDLIWPLRNQNHPPAPSSV DLHNSESVMNSANFGDANAMESDIIDSPSQDWHGNDAAEVPSLGDLKSRGKGKYSCPQ GINCKKGGVEGGKVKVFTRNSEFRAHLLKHEKMYKCDLAGCPNKKGFSRLDQLERHKR LVKHEQSVWREENPGQGS LY89DRAFT_777745 MQRRHRATLAISDDIVSAASDHVEPNSTAGPAGVGHFDPDLVEE VEPLELPDLSFEFESLLSSNPNVWNTPLRLDKRDHQSAFEVQDHDLPAWVGDIFPSFL PAIPPALPLINLENPCIDETGQPYIHPFLRPGLFPRVMREDKEFNSWIKVRFLNSAWG ENLQPSVQNSVPLRDLSSTQTPRSKDTPHPPTQPGQDPNSLRPTASYLPKHFGSIILR TNVDRQLYSFYIAAFCSGRTVIPNDNPVRHEIAPIAASNELVKHSLLALTASYFLDFQ KGSVIAQTAKSHHNKAVTILDQELRSLEWGVPGKEEAITAGLLLIILNEIINWEGTSG QRHPVWYRCGEVVRRILDKSDPGIKYRAPCHVQTSRARPQLAAMYTMHNIFSDCFYPL NLSAKRCPTPWLLHGSNLEQRQINGSIGASPKLMHYFAKITFLATKLYNDPKARTTLK VAKALELALDNIHQWSEISLYYYPKASDIFDKCKLDDDGFVKTASEVLDLVAFTHVVA AKIYLECRVFRRPARYAPVQEWCDQLFRAVRMMPVSGHLLSAIWPLFPFFIGGIVAVQ KKHKDFIRSIYATFCTIARGASQNLTPTWTAMQHIWEWMAENHTDESLEDTDTSWDVA AADPWWEAMVEDMVEHCGRVNVA LY89DRAFT_307866 MMSTQAALASSNNISTISSDPSQAMSLTNASLGRHGNMLSSNDA QPYQALDKEIQHMTTTHQDEVMELKIRVAVLENELKHAKKKKEDGLQTLRVVVESLTR NTTSVPSLASPSGLGLPQTQQHQLSCEDHVSQKKQFEAEINRLRRENRYLRERSRSED IEQIDSSSRSIQEPKPILELPEHHNPQPRSGHEFSAASNGDKGKEKMVDLATSKVESR GLDNIPTGPKFHYKAPEVPTASMLIGSWGQEQSFDEGLPTIPNSPVLTAMKPVMKSFD VGLNSLEDNLSDDGPPQFDPSEKLLDGSGFPAALEAVPSTQKDVKEYPELDKGIDEQL ADEEVAILNFKGPAPNVLKTGFQVGGNKRGEDYDPMTRLEAPRTYIRSQCLWRDPGSS SDSYENIRMGPRTAFEIPKDRSFDGNLWDSVEEREVAIRANAQLSRSNFGRGERAFPD LFRYGIQYRPDEGDSNYLRTVHLSNLPSETDLREVLARVRGGDILGATLLNTTKLTGG LTARVVFIMEASAEDYVLYAADHPITFGEDKQVATVTLINTPTFPLNPSRRASIRERE HSRCIAIPEFPENISLRGLERTLACGSGYRAESLVEFWIDESATLHLEFSSVDIAGSA YGILTSRRIYGNCPPVFEQDPCAGPVEELEQHVPPRPPMLPRHRQADSVNESFELSKH DESGVSTVRHAENMQRRRLAALDNQKVEIPSFSGAGIKSSSWADEVIDEAEDDDGGNT SPSREESLPTATPPNEAQDKPIDKEDAINEHVNILATESVNKLMADDNTKLSEEQKRP IGLAGSKYASFVPEFEDHGERPRLGGGRSFLESASNVLDASTTKTANPTAQKTGMDLH IAEELARDAEAQSENAFNTFTRQTSSENLAVQTPHLDPHQVFRSTQDTLAVSDYKLSR SPPRVNLQELIASSDSSNSRSSSPAATQLGPFHISFLDVNTPTSSSNDGDKTAKPTTA IRVTQSENENASSERRQYPVHHSPNNPVPRQVEFSDDLQDRKNASFEIPPMEEMHSVL FERRKRLGLSFEGMKRKREQDVDEENLTITTDDLLNGAESTRKLLDGEEKDGFVEGEE EKGAVVNPDEISLDDEE LY89DRAFT_307774 MRPSIAAVLSVLSTLQFTNAQTANGVIVPFSTLPACAALCGPLF DVQGKCSPPASATVDDSCFCSDSRLTPFTTGTAGVSQVCGSASCSATSDLQAIETWYT SFCASNNAVTAATTTASSTGSTATSTSTSNTNGSSSSSSSSANKSWLSTHYKWVIMLV VMFVVIVGTWVAACLFRRRYLRRKEKEIEMLPPVALGPHQLQAMTGGYRYGDGVLDAN RGGHAGGHHKEMAAAEATAANGAKRQSRGLTKKRDRLS LY89DRAFT_680811 MSNILRFCGTYKRGQYHIQEPKRGRSSKSAPITPCWTIPYGTEK GAACYAASWKHRSQVLFHAHDECGHFAVAITTKRLGEYLTKSPNPASKSPHPSPSPAL SHFHDASRTFPSYSSQKTQETSQAVNSLSPTSFMTLTPRNTHQLTSYSNLILDKRIHH RVITIIHTQFVSEYDRR LY89DRAFT_636915 MESSKVPVKLVKVTRVLGRTGSRGGVTQVRVEFMDDTTRSIIRN VKGPGTNTLHTFLYSSQRIGRMETAD LY89DRAFT_575803 MPLYYDGDLHESFANNPSSLEGRLLFAVPKKGRLLQAALDLLQG ADIQFRRESRLDIALVKNLPIALVFLPAADIPTFVGEGRVSLGITGQDTVAEHAASVE AMRRERAESGQSTPVEQDNVQGCEEVMDLGFGSCKLQVQVPEKGQYVKPSDLIGKNIG TSFVHLAEEYFAALERDVDISESNGEKPAKKLRTKIIELSGSVEAACALGVADGIVDL VESGETMKAAGLKAIDTVVSSSAVLIRSKNPSNPAIVNLIASRIRGVITAQKYVLCQY NVRRESLPAAAKITPGKRAPTINALEEEGWVAVSSMVEKKKIATVMDELTEVGAQDIL VLDIANTRTG LY89DRAFT_680814 MSSPTRSPPVVRSPPLAEAPKESAVVRLFITPITFISFLLSLAL VDSRNHHLRTHSHSPNRPAPTTIFGRLKLFLHSLVFKSVPESPYAYVKSPDARERSRS GQRNGSGTEKEREKEPWHWHTKQRHLMKAEMDDAFKVRKWVVIFMALMGLGLAIGGAV FVRWCLWGLSNWRAGSGLPEI LY89DRAFT_308024 MAMSMGPPLLPGYSLRVQLFSPNAHNSKDSPIRCFRVVTSPDVT VREFCQETSRIHEINYGEPLAVKKVQDEEGFDITQSEVLGTLFATTSIIRVVQASTNP SIRDSVPPSSALRFDPAAGRKRGASARPESHAASSSWKPNKRQRVSNLDPDEPLPSRE DESEVAGPSRASRRTPSEKIIPDSQGSIALGVQKVFNNTRQVRQDLPMIPETPSPSPP PEVLSLPSKKQPIADTHTPKAVDNPKNEDSSFLSNVDNSSPLREASARAQSHVSRAKS ASYHIQRATERGRSVSTAATSPLSLDQLNNFENGVNSSSKRKRPSPKPILPKHNGTPF RSHNEDSIYENIVSDDEGSAVFRRKREASKAKNSPRPSTDRTQNGVNTPPNASRRTSA AREPTTTPGELPLTPNSEERQRQQQKKQLDEARKARIAAAEAAEQRKKEAEDRQAEEL KTAEKERIQREEHERHEVEAFNRAQAELKQKLIDQAARAEAERIERDKKEAEEAKRSE EARIAREEAEETQRPQDARLAKRKAEEERLAKEKAAEETRVADEQKLEEERRAKEVAV AEAQRLLDKKEKSEREKSEAAAEELKNSELKKVNGSSGSEGSRHSKSASPAATRMTPI LPPKTKPQTSSTAFIPSGRKSNLKRSLSSQSLRSSSPANPKSTSDVAINGVGVEDQLP LPIKLNRKVSFNEETIAPRKETPILPPGRRVIPGGVATTPKAAPQKDATPKPANKASI LPPGRQSSTPIPAPKASRSSKERSATPMTQTKISPQNPTTGTGLKPSVKTQPLKKYGR AAALQKKGKSLSVEQPTVQDAASDAESEADVADNTGTKEPNPAAILPKVLSSNTTIKF EPQDSRNEIEEDQDVVENEDETQSHNSSPRDSRSPIVFRQHPEPIEIVKPQRAASPAS ESDEDGEEEEEEAEDKDTKVSSDDASDDQEDEDDIEPVSTKKADALFSKSDKAPSSES DSEVDAAEESDQEETEVQVLRSSPPEFPPRQRVNPSLVRVVTKQNRNNSKDSLSHMSI NTQDEVDQQLTSSMYEALPAPSSSVSLPIPASSAVTKPKFGVGVSLSSLLAAKSTLSS SYAARANDPRSSSQKLQLPEEEEEDGSEEEEEEESDSDESSSDEEPGPSKSKSTASTM TSKISLRAEDAQKDSEESDSDSDSNSDNAVDEERTRQELLGQIDALNSKKDGDSQSSF SSPQAYRSSTQAKKTPQKKSGSQFITGYNFSQPA LY89DRAFT_680816 MAVETSSLSELLEFDKNYLDRITLRKQIIQEHHSIAIQADSTVI PAVNELYVFLISTYLPTRYPRIFTLFPTTLLNHATNEHLSLTPPSDPVRTFEILGENI DEDFLLLLPSEDGDGYRLKGYVTCFPAGFNTKEKFGMKLREIHVPVPGYKAKLEKSMD RFFDRLEVGKVVKRSNWSINTKEQLFAASGTHLYEGEEAVEEEVNIDTTFLRCERQLL HRLPQTKALCFNFKTYLYPLRDVKEEGSGEDLANAIDGLKEGSVPEMHFYKRGVVWGE KVKEYLRS LY89DRAFT_680818 MLFRRFTIVVAFALPKIADSAGECFLPDGSKDENDLPCFSNDTV SRCCAPDEFCSTNKLCVLKTGGPRYARGSCLDKNYGPTCPTFCKGIDDKEKVDLLPCG DPSLGEFCCDEGNGYACCSTPSKVLTLGVGSTFTEGSAESTIMTSMSKAPQAKTSTTS RRETSSTSKERSQSSTKVQTETSIKTDTSVQIKTTVQTQTSVEAQTSTQTSIQVQTSA QVITSTQIQTSDQLVTSIQVQTSVQTSIVVVTSVSVPSISIQTQPPSFGIPIGTSVLP PIPEPLTSILPTTINTFQHSTASTQPSAGVISPSSITPFSATAESIVQSSPTSSAQDT PISPIVASEVSSSSGAISSSIIPSQTTDGAASVTSSIIAGAISATNNPATSGGISTAA IVVGSVAGALILTVLIIIGVIYFKKRAEKRASARFETSLGITNFGYEKSAWNGDGGSG KFGFALGKSTSKRSDRKLGNISGPIADRTASPVETLRRVAARTSMAPVELPSDQRFAN GRSGSPYQRNSGGGTSNIRPTEPAQTFNMPEQGVPRRFTGDGRGSFGRPVDVGVPHRV VIPERGLERRVSGGTFEAAQQTESAQRPGYPDLGSTFRSETFNKLLYDVQSQPF LY89DRAFT_763175 MASFTPINDEFEQARIRAEADLSTSKQSSKFTPTLEISDSEGDE SDLEDETEFSKDEQLMDILNDSLDESDEEDSKSSMDEDSESDEDSTEMNLDHEQQNTT DVAMAESKFDAEGSKLPEDQAAHLKTNTLNFEEKSSTFIQAPKLSFHDLETQRRQILC GSSKYVSMYISSDADKDLKFKVTALHKKIQELEEAKKRIEREIETCEHEIEVAGKLKI KQQVSTLLTHNGLSPELMAEFDAFCTSIEPLCNKRAGESITCFGDHKNSYIKYDPALE LFKENVEDDYNICHYRCEASKIDGPWVIGVSGVPEPKKEYIVEFWPIKPPVKRGRKAS TWGELFPLLYQLALTAAGNGSEAALDMLVAIPDKDSSCKGGWLFEYEFDSTLKNHAIV SKRWRFRGSYLVEKPVAADERKKKF LY89DRAFT_777755 MAGAEVPSLSLTGDESDESSVDEDENMSSENDYNSCEGEEDTEM ANAEPNPHSEEVEVSNRFKELGEAVILAFPNTVEYEDDETKDIFDDGLDDDDDSSSDS DVSTIYEELSEPDAMVQVVKIPASSLLQDDDEDEHDDDDEERLASNVPDDIYETEQDW TEGETEESTSNAGIVQPETSTAPLNRVLLFADIENHRYNELSREKLIENVNTWKWSVL ELEEMKKRIEQQLIAAEAKVEEAIVDMNEKEEEFSSILTKSGISQELYKAYEAFCTSL DPEFGQRGGFSITCSSNHGNCYTKYDPEFALFKEHIETPYDHYNFRCGASVFKHNARS HTSGGIIICDEPTATCKKLHDPGEYVVEFWPIKCPEPVTGIESTWGEQYPELYSLANA AVRAGSEAAMDMLVCLPDMNATFNGGWMFDYTYEDSLKDSPIIGKRWRFRSVHKIDRP CSTKEKCQRLELALRPENVRPTEGTL LY89DRAFT_680820 MASSNCWRCLSRPANASLLPLSRPSFSTTAFVAAAPKASTKAPA RAKGEKTLRIKKKAFVKTGKPPAPGERKAMRKRIVLSNTNALEVAGMKDLDAEMVDSM VRGEDAVLDGALQSKGETHEDVVGKVVGLKGETVDSLRAVEAFKTTQGWGLFRRPGLL IREESVELCRRMTDAAANKKALRLVIDGEKGSGKSLMLLQAMATAFVKEWVVLNIPEA QELTNAVNDYTPVDGTKPTLWSQNTYTANWLGQIGKANNAVLQNTTMRKEYKLPIPVQ SNISLARLCELGARDPDVAWPIFQAFWSEITNPGRRPVLICLDSLSNIMQTSSYRAPD YSLIHSHDLAIVRHFVNLLSRPTSGLPNGGAIIAATSRSHAPISKSMELAIKQSEEKA AQLRGWPGKVTQRDPFEKSYDERADKALQNVEVMRLKGLSKVEARGLMEYWAQSGVLR SRVDEETVAQKWALAGNGIVGEIQRGALWMRI LY89DRAFT_664501 MADSEPKGPSRLPVVVNKATPYTFDLGLLLASDPNPLLLTSPEN LNDDLAATARDGAQALLNQLLSTCPITNTPNGVLLSLPGIETRLPREKPLPPPKAQTT WEKFAAKKGIKSKSAEAKKKMVYDDATGEWVPKWGYKGINKKADEAWIVEVDEKKERE RKEGAQVQGDGRRERKEKVRRNERLQRANERKQKKNHGGRG LY89DRAFT_728824 MASRKVPQPLTLPNPTIVSNEHEFIDNPKSPLSPKSPRSPRSPF RFNSKKSQSDFPSIEAAESQQIPNFSASQTSPSFSTLQQNTGTQEKEKERPSRSGFFS NYKASKSSSRLQDKDAAAAKQEESMSRDTDHPAMSGKVSTKETARTGTTSTVSSLCLP ELTSDVPLDSNLDKSVTRRPVGGPARSDASLDSTGEFTAGQQQATNTVTKKGKPKPFS ILSRTRSIRDGESPREPSPKSSIAEPEPTYSPETSLKTAPLPIDHDRSFRHMMNSSIR QRSEDRQPTMPRDRSQEKGPKEGSKLKGSRDNSRSQTTREPNPNKRSDTSFSNSFKES SGHAFLSNLKTSATKGAGALSKGLFGKGRTNSTNEKEPVIDDEHYQLKVLNLPLVEQT RKTRISKKLEESRDKTEFWMPAFPWRAIDYLNYKGSDVEGLYRVPGSTPEIKKWQRKF DEEYDVDLFEQSDLYDINIIGSMLKAWLRELQDELLPKAVQDRISRECAGAEEVPQML IDELSNLSPFNYYLLFAITCHLSLLLAHSDKNKMDYRNLCICFQPCMKIDAFCFKFLV CNWRECWKGCKNEAQYIEQEYMLFNQVDMMVPPSSAEGRSSTAVESHDERNVSSSDSS KPSSIDNSNQKAGGAQHKKLPLSQTSNASVSTTLTVVEERSRSRSQTRTSSSDMRPLS PIKPLSPLGF LY89DRAFT_680824 MSSKLILVLGAGPRVGLNVVKKFADNGYKTVGVSRNPGEELSKV ADLALSADFSKHESIKTIFDEVKSKVGVPNVVVYNGAANHGAKDPFDVSVEDFILDSN INIVSAYAALQQAIQAFKELPKGTPKTFIYTGNGLTHMNLPALMNNGMGKRAALYLIE NAAQIHGDQGTRFYYADEREAGGAPVYGRIDGPAHGEFYYELASSEKTGPYEATFVKG KGYFDFEGGIGAK LY89DRAFT_714445 MTEKTKILVLGATGYIGGSILTELIDRGLADSHEISALVRKPYH ASILKDQGINPIIFKDLDDFDTIRDAAKDHDIVVAAASARHEGCAKACLMGLGERQKS TGKVMHYIHTSGASMVGDWPITKKRVDTKVYSDKHDNIFEIEKEWPDSLSPVRKVNQF VVDCGEQEDVKTYVVPPPLIFGPGTGFFTLGFGQVHMVAQLALKKKQSVMIGHGEGIW SRIHIKDLSNLYYLLTQAVLDGKKDLPNGKAGYYFTENGDQSWKFISEKIGLIGKELG VFDTDEVASVTLQEAADEFYDGDLIDAEAVLASNARTKADNARDVLGWTPAFGESEFH HEIIDVVSNMASQED LY89DRAFT_693988 MSISKHSTSLLDAEPYYHSELGNIRRVTAEELPGLQNLSLERLV LAPGSIREPHWHVNGNELAYCFTGQVLVSILGTGNEFSSFTVTAGEMFYVEAGSLHHV ENIGDEEALLIISLRHERPQYFSLHASFGAMTDAVLGNTYDLEASSFQTLPRDRSPKY IVKREGDPMIPTTIQLVNRHKFDMEGMAAPVADSVGSAKTARSQFWPALSNMSMYFLC IAEDGMREPHWHPDTVEMGYVHKGKARMSVLDPSGSLDTYYLQPGDCYFIPAAFPHQI ECVEGDEIHFVIFFDQPMPKDVGYRKAATAMSREVLAATFGVAEKELPEFPVTVKDPL IVVRKNPVD LY89DRAFT_574869 MSLPRRPDSNESPREQRSAYRNSPSRRRQQHDEETGYYAGGTVN TASPSRHQRGPSKSSFAETLPSPLTPTPTTEPLAPVPIVDRSRTPEISRKKSLIRPER GRIDQNHPNYHYRQHAANMNIHPSTTGHDPIMEDLEADAAATETSGLRSSQEGESDIS PPTNKLRRGHGGGPEDIVTEKVGLGAHRSKKLRRERTVKLTKEEKERQKQLDAVKPPS LWNVYCAIVTFWCPDFVLKCFGKPAKAQQRAWREKMGLISIILFIMGCVGFLTFGFTA VVCGSPATRMRINEVDSGYMIFHGSAYNLVESHHPAAKGITNGANVLYDLAEKHGGQD GSFLFQNVNGKCKDLITLSPGSDVPTNSDKDLAWYFPCTPFNQDGSSPVNKTIPYYLG YACHTQAGAREAFYDLSSSGDVYFTWDDIKNVSRNLMVYSGNVLDLDLLKWFNGTEVQ VPQTFVDLSDRTTAYNAAVHGKDVTHAFQSADDKAMAQCFEQIIKVGTVDTETVGCIA SKVVLYVSLVFILSVVVSKFVLALLFQWFFSRKFAASRTSQSSDKKKRQQQIEAWSDD IYRAPPRLAGDVGSTVVGSDRMSKRGSTFLPTTSRFTSPYAGDRLSRVGRPQPTTMAS QTSTAALLPPNPMFKQANGSRNSFIRSDSYGNSGVQSDYEGPGPAGFIHESVVPQPPS DWQPFGYPLAHAICLVTAYSEGELGIRTTLDSIAMTDYPNSHKTILVVCDGVIKGKGE TMSTPDIILSMMKDHSILPDEVQAFSYVAVSSGSKRHNMAKVYSGFYDYGSNSSVPLD RQQRVPMMCVVKCGTPDESTKSKPGNRGKRDSQIILMSFLQKVMFDERMTELEFEMFN GLWKVTGISPDFYEVVLMVDADTKVFPDSLTHMVSAMVKDPEIMGLCGETKIANKRAS WVSAIQVFEYFISHHLSKSFESVFGGVTCLPGCFCMYRIKAPKGGQNYWVPILANPDV VEHYSENVVDTLHKKNLLLLGEDRYLSTLMLRTFPKRKQVFVPQAVCKTTVPEEFSVL LSQRRRWINSTVHNLMELVLVRDLCGTFCFSMQFVVGIELIGTLVLPAAIAFTFYVVI ISIVRSPPQIIPLVLLALILGLPAVLIVLTAHRWSYVVWMFIYLLSLPIWNFVLPTYA FWKFDDFSWGDTRKTAGEKTKKAGIEYEGEFDSSKITMKRWGEFEKERRLRSQAWGSG YGSGMSKEGSHMSGAWQQHSGYGEEYSDI LY89DRAFT_308259 MATQSSPESVNRKPLPPPGSPSKYIEGDYKENAGNEGRKPLPKI PIPSSTGRMHGAPMSPVRVNVQPEDWPPKASVAVIEDGVRMESQTSRESEQFEPERYE HRMPLTTDLPYRPNPNTEIQRPQAAVMSSGDSSSSRSPSNGSSNQPSRRGYPERIDEN DPANMPVPRLQYHHQSHYSDGGNGQMRRPGLQSPSTPELVEAGQSINRHLTPNSQMGM RNSIQRPMSAYSDMGPRGRSPGLTGSPHWGTRAPSAHSGRSDSRPISYIDLNNVSYPQ APPPPISLDNSQLRSVVGSNASLLSMGKTLEMYRQNVKKLNDAETQYAFAIFLIQAAQ EAGLNQEPNAPRKLSPKPGGRDLDSPYIEKQQSSPQELLSEAKHILQRLSDRSYPFAQ YYLADGYASGLFSKGKEDYNTAFPLFVSASKHGHAESGYRAALCYEFGWGCRKDVAKA VQFYRQSASKNHPGAMTRLGRACLSGDLGLNKYREGLKWLKRATESADVQYNQAPYHL GLLYETGYGDDIFLDESYAAQLFTQAADLGHPEACYRLGDAYEHGKLSCPRDPALSVH FYTGAAQRGHPAAMMALCAWYMVGAPPVLEKDENEAYEWARQAADAGLTKAEYAVGYF TEMGIGCRRDPLEANVWYVKAADAGDERAKVRLAAIRAAASGGAPMEVAPPKSGKMKK SQSGNEKTGLNEKDKDCVVM LY89DRAFT_308352 MQVYCTHNDTDYWKVVGLIETDFRFRLEPLQDGVTYHKATDSLF EAARPYIAWSCICPDGIDMEALSPRDPNAHVRAKKAIKKEPSKAKALVAKLTGKEKDH PPAPPTEVREPPSSDRRNGAIYKTGRCLGKGGFAICYEGQLAGTTQIYALKIVKSHMP QKKMEQKFQTELQIHSKMRHANIVQFHRAFSFDKCTFIVLELCPNGSLMDMVKKRKYV TEPEVRYWTVQMAGAIKYMHGKGIIHRDLKMGNIFLDKDMNVKVGDFGLAALLVTGKD WQAHRRTTLCGTPNYIAPEILAKDKGGHDHAVDIWSLGIIIFALLTGKPPFQAATADE IYRRARELEYDWPKLDTSENYISDETKDLVAAMLQAPEKRPDPDMIVQHPFFTCGWVP QAEEMTTSLRERHPDPTQFLSVGARNGRTSLYTKNIKKLCIKCEVGPWSPASKHRTST YREVAEEERAGLTPIVPLAEDVVYRPFNELLQEQIQQEVERRERAVQTEGVQDGLSPE PKKIKTGEPSMSRPSTQSFAAQQRARPLASVSSAPTRLVKTRQPSQESSSRGILGLAS RTKSRKVEEKSSEDTAAVQDRLAADLVSQLNKAEAERKAQLFEPSPEVKQSIFNPKQE VETVSNTNPDSVLEGLRRLQAELERALNSRTTAIETKKSPPAFPIVIKWVDYTNKYGL GYVISNGSIGSIFKSLPADFSRPGKGYLPPTCLVVRDAERHLQNKRNEAYLDRYEIVP ISGPNLEFYENRSEDGLVRVKVNPMNYKAIHGPNGENPKLPVGKDEYDERKRELLVLW KKFSNYMYSFGKDAEYPFDEAIARRPSEPETVSAGNVVTFYQRWGDVGCWAFCDGHFQ FNFPDHTKIVISADGTYCNFYHLPIEAARGLTINGEIPPNGLDDRSMLTYPLQTLLNF MAKPTKSTTRKRPEINPMIQGIPQANDFRRKIEFIRDAVKEWVSNGGLGNSDMEPEGR LKFKGFRETANVKIPMKHVWTTVGARGGDDRRVAWFNPKKPDEIIPDIKS LY89DRAFT_704331 MVPLPLFKFASLFVRHISKYGANWIKVQAHDHPKFRTIAARYGQ TMHQINMRMAVTLLRDKAAEKRAKEKAEAPTVKTEEQMKHEEAQKEKQAAKDKAARQE ASTSVWKRKFRPLPESKAVDLFADVIGDSFILLVAGGLILYEYLRAKGKPDANAEKIA ELNKKLEELDLREKELEESEKQQKNRVETLEQAIEEMRKTGGKRKSLLLS LY89DRAFT_728832 MFCSSLRKRPAWASLPATLLSLRDPTWKETTLEAQDLQIPVPRQ HQRGQVDLFNIILLSASDMQASADAIARVEQLYHKTGGRNIGVIFLLQEKPPQGNTTA NFMELQMALQNLEIPIVPLATISNLQTTLSAFQRQLFLSRSSAASASPPNPAMSLLPY CSTGHIPEHARHVLSDLCHSIPDLAQAAMTRDGQMGLRQWFSDSMPQVAEELIAFWEQ EFVVD LY89DRAFT_607486 MTRGEAKQVKAHYQGKDEDFVIFVDDLPTAEKWKTDKSIPLAHF VSSFKIFITHKHGAQGPYDGASKQALENEFGTTNDDEVIKAILEKGTLQESEVFGERQ GTKNDSMGSRAGH LY89DRAFT_575806 MGKLIKNHWARLIILTAATYQVVAGLHGFFWPKIFWDFLTKNLD PAVKPIPILQSINMLFGFIMLAWEWPLGLIAGSAMHRSIEARLVVLPLAALAGALLYQ GTNAAIYYTIGMAVYFWAYSEGEVVVAKPWSLPQRTRPGKV LY89DRAFT_636951 MDLSDIAIEITRAAGLSTPTIARRTSMHIPRKTSWGTPIPPPSS KTDTAITSKEDIFDNVELSPKSHESTSSPNPGFSTLRNIAALGLSFAADDTEDDGPDK TRFSLDEDSPYTPEGNRPFNKWMRTLQKRATDRRKTVSCDVTGDILEKELFETPNAQR RSGHKKSSSASSYGFVTAVKSASISLASFSVAPRSRRTGVSSRQHRTDRSSKASNVGR LSEDNSYVAGGAALDQAVTNRLLQRRRILEEIISTEESYLADVKFLMNVYVTLLASIP SLSPNIRASIKRNLNDIVELHEELLGELHKAVPHSEYTQSTGGESALPPVSKPRHHHR WRSLDAVPDPATGASWLQKIPGMTAEPKIAAEVAKIFGKKMNRFFIYEEYGAKYEIML KDLANAYKTIPQWEIFQRGLEALASSLASINSQQENARKALTIGDLLVKPIQRVCKYP LLFSELLKVTPVCDCPDSHIEIENVLIRLREATNEINRATDDPRMKMVMEKSWLLQDR LVFPDMSGIQSINAVRALGHVHLCGVLHVSWQTKDGVDGQYLICLLYRDFLLLASATK NDQIYTIQASIGLCEIRIEEIDNGKGLQCHTAPFSWKLVFEYDHRLFEVTLSACSPKE ELEWRSRLADRSCKQLLDVGEQALFTSLSLDIKPLGTVFGKPGTIARRISIHRATTVG PMSGLCQVIIKNTNAFKESASCVNINRSQSLLTTNRIPVLAPSRAERIRLEALLTDVW TRDILPYPGMTGRARGEHLVRASASSMMRKLSVASIASNFTKRSGSMASLHLTTEDDE SADHELPRVTPKRPRSDSTAVQDYDDPTKSRLSVIHDAKENMHQNDSAENLASLANGS PSNTMRRLATMKVKSWSHDGQRIITPPLRTSSANSVRQSQSQQRATTPLSTVTDSAGE DKENHVKAQVLETPTASKSKKSRGLGRAVVAEGIRNFFR LY89DRAFT_636953 MGLQFNMENLHAPTVPSGPTSAPTNDNQLRTNGLTLAELQLKKD NLEAEIRALGSVLESHGVDMNTRLLTPDGFPRADLDVAQIRTARSRIIYLKNDHKALM NVIEKHIHEHFARLAESGGAAEPTTNGGPSNGHIATQPVPQELSPPFAKVNSVVDGSP ADSAGLKAGDEIRNFGYVNIRNHDGLKRVAECVQGNEGQNVLVKVSRQRRELSLTLTP RRDWGGRGLLGCHILPL LY89DRAFT_680836 MAEAAQVPTFKLVLVGDGGTGKTTFVKRHLTGEFEKKYIATLGV EVHPLGFSTNLGNIQFDVWDTAGQEKFGGLRDGYYINGQCGIIMFDVTSRITYKNVPN WHRDLVRVCENIPIVLTGNKVDVKERKVKAKTITFHRKKNLQYYDISAKSNYNFEKPF LWLARKLVGNPTLEFVAAPALAPPTASVDQALLEQYQKEMQDAAQMPLPDEDDNDL LY89DRAFT_728838 MYFSFVSLLAFLAFVEGLKNTQRNVQARSNYSPKRARGLPAEPT DVQTITTPQNITIRYKNPGAAGVCETTPGVNSYSGYIDLDANSHTFFWFFEARNNPHE APITLWLNGGPGSDSMIGLFEELGPCNVTANLTSEVNPYSWSEVSNMLFLSQPLGVGF SYGGEESGSLNPYTGAVENASFAGPQGRYPVINATALDTTDLAAVAAWHVLQGFIGGL PQLGGIASREFNLWTESYGGHYGPAFFNYFYEQNQLIANGTTEGIQLNFNTLGVGNGI ISEQVQAPHYPEFAMNNTYGIKAYNDTVYNYAKFATYMVNGCLDQISFCSTTNRTQLS DLAICTEAENMCRDNVESPYYSYGGRGTYDIRHPSDDPTPPSYFVDYLNQAYVQDALG VDLNYSADANDDVYYAFQQTGDFVFPNFIEDLEMLLNNSVRVALYYGDADYICNWFGG EAVSLEVNYIHKEEFATAGYAPYVVDGTEYGEVRQFGNFSFLRVYEAGHEVPFYQPEA SLEMFRRVLLGLDLADGDLRFGANYSSSGLANATHTEPFVPLPSSTVVSSSASSATGN VTTAAVYLD LY89DRAFT_680839 MSDAILPPPRSSSAAPATEASNRSDSSSGEGEFDTSLDQSFDSG AKEEGLGGFEARAENKQKRKRTSPQDQAILEAEYKQNPKPNKAARAEIVEKVSLNEKE VQIWFQNRRQINRRKSRPLLPHEIAAFGLGGMAALSSDPASMLVFNSSQTVGEPGPSS QQERSSSQESCQEEPEAVEPKAPSEPAKPIEQTVQPLSDPAITLPATLEHQTSSGYSL PETISTSVNDNVIKSFSSTPGYLANRWNSVSSSFSTPASSQPAQMFTPPITQASQPAS CPERIDETASTPASRIRLSLSLDGKAELVTSSPSPPHHQAPRPSSSSASSIPQKRIRT LQRSQSALPFGHRSSSSSSGSPFLPRLPTGRSRDARTWEFCCDGEVRDELTAHAENES HGSAVAAISLIRSASNSALKSNANKRNAPAVKPDSAKYGKKPKLGRALSSLARLQNPG ASSSKLSPDPNTFSKDGLMRSPSGDSDKENWVPHEGGGGNRTRRPLPSSRPDKQANPR AVLGDNHNVPTHATNFGGRKNRKRAPVETNIFEDQENTVEVGEEVEKFMRGEVSPSKK GDLDCIQGLLSLSQGNWR LY89DRAFT_728840 MAIAKKKSKAKTAKQVAKTKKVHKKVPGFDAFAKNPPQLKKRKG KKVKTVEVSEVIEPTGGIHSVEWVQAIQEQKECGFLKLPYEVRIKIYRHAINDFTFFS STQIEPRKKGKKFTSYLWKDPAGDTERLYMICRQTYVDLVGSGLLYQMIPFKFSSPQT MLNYLLVIHPVHRDAIRNIIVDINLIHVPKSFHPLALSTLSSMTNLRYLEVQIIIAKR LFDRFIATAQGPYWNPARYTYGLNEKVIERLMKSVDPATLVEDEEDVKRWKSLETFVV FWAPEWMNMELVDGEAEKLESVAEAWRAAMMSVKSA LY89DRAFT_693999 MADMLRSPTSIHPSLTNPNNPPLPSIDEASQLPLPAEALSHSSA NADGLTKPAFGDSHLRGFSHGSFNSTSSLDLDNDPSESSFSAATTVGHNSPSDASSVP SSPTFSSRKPAFNHRKNALSVESIPRQTIIKALASAGRNHNIQHLSLNNAMKPLHSHS DARPGTATSQQLSAALSDLALRNGTPTTPRFTALQSPCFYHQRFDDVLNIDKVLEEIR GNEWMSHSRLLATATGVREISKQLQRRPIKRAVRNVMIITKARDNQLVHLTREIATWL ITTPRYGSDLGVNVYVDEKLRNSKRFGAAEILQSNPRFHHLLRYWRPEMCRNTPEKFD LVLTLGGDGTVLFASTLFQSIVPPILSFSLGSLGFLTNFEYDKFKEELNNVMGEAGMR VSMRMRFTCTVYRGGANGNDMEEGDQFEVLNELVIDRGPSPYVSSLELYGDNNLLTVV QADGCIFATPTGSTAYSLSAGGSLVHPDIPGILLTPICPHTLSFRPMVLADTMLLRVS IPRNSRATAYCSFDGKNRVELRQGDHITIAASQYPFPTVMKSPTEWFDSVSTSLKWNT RGAAQKGWDGLEENKEAETEWDIDFDDSAYGTSEDNSQVASPTRKSTLTDN LY89DRAFT_728842 MAPSTEPTALLSHLHRTDGSATFSQNGYTVIGAVNGPLEAGRRE ELPEEAVVDVIVRPAAGVAGTRERQLESILQSTLRQIILINNFPRSLIQVTLQITSTP ENENAGSKLVQAASNLPILPALLQTAILALLSASMPLTMTATSAFLALTSDGSARSII TNPTIVQCESADSVHVLAFTSHGDLLVAESEGSFSLQDWETVFKAAKSICCDDSKTNV DHSVMQDGELGDEKGGMMNFVKSTLQTKITADLHWKD LY89DRAFT_636970 MPSSTDNSTIVKEPLPASIPDLRNRLPKLEPRRRQAPSSNPLPV PETPTLPPPPELSSLTFQRPTRRILSPKDHELFLASKTYDLLVAFVFGLTDSVIDTPV SVVRDNDLSPALKTILNILDNVEDVVGRSPPEEQGDSRFGNKGFRDFVDLAAKEHTSW HESLHVPSSAVPEISTYFLQSFGNRTRIDYGSGHELNFMLWLLCLYQLRIITPSDFRP LVLKIFTRYLELMRKIQKTYYLEPAGSHGVWGLDDYQFLPFLFGASQLLHHPFIRPMS IHQSLTLEEFGKDYLYLGQVNFVNSVKNVEGLRWHSPMLDDISSAKNWSKVEAGMRRM FVAEVLKKLPVMQHFLFGSLVPAVEEMSREEELGVPSEEDDPEGGEVVVMVDGMRHVH QMNSWGDCCGIKVPSSVAAGQEMKKRMGGGEGLRRIPFD LY89DRAFT_694002 MSDALKAITAVSAVSSFVFVGYRPDLLPTSRPSYIGTFVLLWIT SFLGWAFWKVILYPKYFSPLRHLPMPKGGSWWNGHFKEISARATGEPHIEWVNTIPND GLIRYLGLLNSERLIVTSPKGLSEVLTTQNYDFIKPSHVAKGLGRLLGIGILLAEGDE HKTQRKNLMPAFAFRHVKDLYPTFWSKSRELVAAMTAHIEAGGIPNDELPEYQKRPDV ENDTAVLEAHQWASRATLDIIGVAGMGHDFGAIADPTSILNQTYRAVFKPTRQAAILG LLNTFLPLWIVRNIPIKRNGDIASAVTVIRDTCRFLIREKKEKLEKKELHDCDILSVA MESRYWGEEALIDQMMTFLAAGHETTAASMTWATYLLAINPGIQARLRAEVREKLPSP DLLDEGVTAQQIDHLPYLNAICNEVLRYRSPVPLTLRDAAHDTTLLGTAIPKGTRVML VPWATNKTESLWGSDARDFNPDRWLPSESNPHAAGNGGAKSNYAYLTFLHGPRSCIGQ SFAKAEFACLLAAWVGRFEFEVNDERELDEDNIVIKGGVTAKPSRGMYLRTKVVEGW LY89DRAFT_680844 MTITALPEATVQLLGPSQALTTPTSLVKELIDNALDAKATTVDI LISTNTIDKIEVRDNGHGIPLEDLDALGRHGHTSKLRSFHELKLIGGLSLGFRGEALA SATQLGEVTVTTKTEGQPAATVARLKPSGGVASQSRSSNPIGTTVTVTNFLSKIPVRK QKALKEASKMLSKLKDLLQAYCLARPRVRFTLKVMKSSKGSWSYAPRSNDGIKEAVSL VIGRDTASQCMEKSLVFSENGSKDDVEGGQDTTELDVYELGPEDGTHQFAIDMFLLRP DADISKIGHGQYISIDSRPVSHDKGTMRKIVTLFKSYFKDCLADSSEKLKNPFLWMDI RCPVASYDANVEPAKDDVLFCNEPLLLEAIEQAFKDFYGERKEPIKPASAQKSIDHAD NIELLEARVSTLRSGGNDESQPSLPEIEIEPGFQDMTPTRNRTSGAEEEPADAIFSVE DPGGSSKKTWTVDMSEDYNEEIERLHKRNDRPRLPDTPQGSNAASRSAVGNSLNPWLI AKMTAPLQRNDRGIPNSLNTPSRRAEHPHTFLPTPLQSSPLSAEPEILRPSTNLIRPR QIFRADDITSLALPIVQDAFLQGPSARQTSDDLEDDLLLGDDSTTFKRRHDFISARQL PDDPSIFPGPAQPGPFKKLKGVKRGNLPFVLPTKFREMADAQDGLRQSKLTLGSRSTR TVENPQELPEDCDLTWAMDFEQRKEDATRQRREQMRIARLQAKFQQAEEDAKLYGGPE EITRNSPHKNRYNAAIATLEAEHESSRSNVPIKEPFKTSLPDGDPRAYLMKRKRSMSV RLGEPPKMTRAKTLKLPLERIPVNENLHSLLYPVQSDIAVLRRITANLKQTDMYIQRG VVGSGLMLDGVEKDEITRKVQAVCENWSGWKGDMSEENVEGGGVQILVSG LY89DRAFT_704342 MATNAASYNPIGEETGPVSGAPPPVSNETKAALKDATNSSATGS HTPGQDAGSKVGTAEAGEREKKVKSEKELERERKKAEKQAKFDQKKAKAATAAPVATS KNKEKKAKAEKDAEKDVLPPYIEETPLGEKKIIKSFDDPQYKAYNPTAVESAWYSWWE KEGFFKPEFTADGKVKPEGKFVIVEPPPNVTGNLHMGHALGNALQDVMIRWNRMHGKT TLWLPGCDHAGISTQSVVENMLWRRQQKTRHDLGRPKFVETVWDWKNEYHQKINTVLR RMGGSFDWTREAFTMNDNFTAAVTETFVTLHEQGIIYRANRLVNWCTKLNTSLSNLEV VNKELFGRTLLDVPGYDKKVEFGIIVHFKYEIEGTDEKIEVATTRPETMLGDTGVAVH PDDERYKHLVGKNAIHPFIPGRLMPIVADDYVEKDFGTGAVKITPAHDPNDFALGTRH NLEFINILTDDGNMNENAGPYVGQKRFDVRYTIQEDLKKVGLYVDKKDNAMTVPLCDK SKDVIEPLLKPQWWMKMKDMAAAALKVVEDGEIKILPESAEKSYIRWMSNVNDWCLSR QLWWGHQAPMYFCKIYGEEGDETDNDLWFAGRTQEEAEVKARAKYPGKNFTLHRDGDV LDTWFSAGLWPFATMGWPNKTHDLDTLFPTSVLETGWDILFFWIARMVMFSLKLTGKV PFTEVYCHSLIRDSEGRKMSKSLGNVIDPQDVIEGIKLEDLHKKLLLGNLAPAEVEKA TKYQKTAFPDGIPQCGTDALRFALVSYTTGGGDIAFDVKVIHGYRKFCNKIYQATKFV LGKIDADFVPQKTGKLTGKESLAERWILHKLTIAAKEINLALADREFMKSTSVVYAFW YNQLCDVYIENSKAILQDGTPEEKRSALDTLYTTLEGGLTMIHPYMPFLTEELWQRLP RRPQDKTPSITLARYPVYDAEMDDPSSEAAYELVLSVSKGIRSLMAEYSLKDEGKVFI QTHDSTAHSTVTSQIQSIKSLSGKGVSSLSILSATESRPSGCVVFSVSAAASVFLHVK GRVDIDGEISKASKKLDKTKQGIERQQKILGDEGYKEKVAKELQEVEMRKLADLETER QAFEETIKQFEALKTE LY89DRAFT_309033 MVSDETYEICLPLLQDAELDDEEKTDKLEDLVKKETELAGPALE NAALDVLWRYRESTTNPTSPPPLRHTVLRRPSPAPWPINRGGAGTPVSSSPRLGVSPL APPGFVPQSFSRAKSSTASPFTSPRPSPRLAFSSPAIPHSPSLNAYEFPTDTSPTQDI YGDYGSENVDWLVNDDGGSNTSSSAGGQSGLNAAAAEYIQPQQSDMSPYDMLRSILGP SKSDEEIEAALAMHGYDLSATIMAFMEGQTTDGMTAQAQTTEAKNAILIGKSMAAEVP RPVTPAGQQRSGVVCRFWLSTGQCLRADCRFSHDLSNHICKYWVMGNCLAGDTCIFSH DPSHFMNRLALEESSTPPLQSSQPGFQFQDYNAFPSLQTMQDQWPSSYSSANAFSNYQ GASFTPPPGFKNMQGYASDGSSQRSRPSSRHQTRESNPAAPSLDDTEAFPSLGAVASK GGKKHHGKRGGHGHGHKENAIPSSLAEVVKMSPSPGPGLMRQDAKKIGRNGSSTSIRN GENSAAAQAIPSPQHIPWLETGERANKAYLKARQDAIKHGGLRNKFLQSAAQAWNRND ARAAKALSLRGQSENDAMRKAHREAARELYEERNKNSSLSSELYVDLHGLHPEEAVEY LEKVLLENQRETRPVYAITGTGHHSKNGKDKVGKAIRNFLNEWRYAYREFSAQGDRST VGGILGIDARSWDKSLTREGVTAAAAAAPEPEKEEVDILSQGHEIGQGKVRLLVRDPP KGPVNR LY89DRAFT_680847 MPADYSSIAKALAVPISPISSPSPERQTPRPAWSSRLSSNRRTT SSPYSQSVSSSQRPSDYKTQILRSAAKAQRKIIKTFLALSLWQRIAAVILGIVVNVFL ILFLIYNEKIFGWLTPYAEKWREITGGWMILWAITFMCAFPPMIGYSTAVTISGFVYG FPNGWFIVATATVLGSTASFMACRTVLSKYVHAWVGKDRRFEALALTLKHDGIKILCM IRLCPLPYSLSNAALSTFPTVHPLSFALATAIASPKLLIHVFIGSRLASIAENGGKMD AGTKAVNYASIIFGSILGAAVGWIIYQRTMARAKELEIEELEAGTAASSRERTYSDGE VDLDAAALMNDDDISLWDNDAREEGYRDEFTDDDEPDVFASGDIGEEPVKGQRGS LY89DRAFT_575450 MPLTDVDNEELIRTLTDNFNILADQFQVLSDRNLILTSKLSYGQ QEYQRLADKYAPGDAEVSSTLAKLQLLPDLQISTPDRAGFVPLPQRKQTSTNVQTAVA IRDGRRAAQRLAQLTRRSTGSTVSGRSISGTSSLRFSARKTSLSTVLEQDFTVQGKKS SLLCPYARTHDNNKPHMENQASQGSVTLNSDGPLTPADLQDRTPHQSSDPICAAMYAE TMASPPPSATGSAAKCPIRYLDQHSPEEVARYFETHKHEIPRSHEVCVKRYQRNEEDI RKLDAKYGNLVSMIQGLGQKHQPMLPSNEEDEALEVERGSNERVENWANAVSADGVGV EDEPLVQNEEDRESRFDRPLKEIRVGESPSRPWGISVPILEPPDGQRPVSPPPAPISD EHIPKPAGKCPFGHEQQKEVQEEQEQPQERPAGKCPFPHAEKTREVPREQPNTTYQPA STMYHDQPAFIQPPEIPKGNGIPQMIFTGPVFIGYPMDQAMAFMQQFRGTQ LY89DRAFT_607535 MAATAGLESFDDVKKPAGPSSSMKGNAFERLPDEIIEQILQLTN PNSFASLIILNRKWREVSQQSHLYAHHLSRCPSYSAAHLSLPLPVNEDSLPQLRRLFA REIKRNLFEAYLRPRETIINIVSTSISSSSAPGGEAFHFSLSPRGHYVLAYSSSRLHV IDVTGWEVTVKREFKILRRPASATITDDGLLLAVLSTDLQVDLYDLSTRPPKHTRAVA LDHTPRTIALSPTGSVLAAAYDSGVEVSSLNPSHVSTERRAVKCYAVDSLSFSRDGTQ LLGTTMQSRSPSTVVLTAPYYDPGGSLPEDSISALWTTSILFPNGSRDCSHAVLLPSP DGEASWTFTYDRVFETFRAVRIDDLRNGTTYFTGPIADASSISKLLPSTLPTANASGD LVAAGFQSSIWLYGVPEDLEAVPNIGREGSNSTTETEVSTPSTSSLIGRRNSAPSPRS GSRQSISRIPQWQLLCDRLRNTFVEGRKIGTLDRVSALAWVDPQSTTFHGERLVGVAP GVAGPCATIEEDGMAPVDGGRIGLLDFDYSPNDGKRSLITIEVGMTEPEVLEEEHRDL DTEVALVRRRTVAQRRGNRNHVSRSATTVARSPRSELPPMMPTIQDLGDPFAPRALPI RRPSQQTTTSTDENASVDEDQEAFDAPYSHTSPRSGTTLRRAATAAAVNRRLHPRAVA QDHIEYRRADGREEHPHESDADNWVPPPPPYTREPIPPLPEHIQRSLLAEAAAATLQR STTQRAPSLDFPGLDSGSLQRSRTIATISSSRSAESRRERYNVQRTLSQSTNMTADRI SFEEEERPRPVTSPRSPNEFDDLYDVSPPGTPQLSPRRASAPVNLIPRRPVGAPASNS NRNVSPPTPGPTMRGTLDQPVSPIPQPNPMESALNRRLQDWEITTQPGRVSPILESAP SPTREVKQNIPPVPNPQVQSRPTSTLFKDVPPRPDELHHLTDLPPSQPVFQARQQSLE SEVSPHPRRSETVPIERSPPKDMDLEPLAIWKRAETAPVAAPHPSRAAPPPPPPSMVM PSADQLARLNSRKGRPAGLADPRRVSGGSNQRPASGNHSRNASGGSMQSMNMQNTPPR LTPEQAFNTAPRAAVGSYSSSPQRLNPGYHQPPQQPYVSIPARRISNGASHNRNVSNS SPNLRPPMQRLETIHSQTSVGPAEPSPYFAQKAMMVSRQPSRAERSAAKNIKDAKKRG WRSSAKENKKKDRLGGDGASSTGWTDISRDSAMPRYAEKERKEKGGKCLVM LY89DRAFT_607537 MASSQPGLNRYENDIDHTPNHALAELSLSSKTIHADDYLNVGQD VAPPLHVSTTFRYDRDPNALKVWTELKPGPLPDSHIYSRYTAPNTTRFEAILSDILKG PSLTYSSGLSAFHALLVFLNPKRICIGDGYHGCHGVISIHQKLTGLKKLNLDCDPSEL EAGDVVHVETPLNPTGVACNLKAFAEKAHSRGAFLTIDATFGPPPLQDPFLWGADIVM HSGTKYVGGHSDMLCGILAVHPDRAKEGWFGKLFSERQYLGNVMGNMEGWLGVRSVRT LEVRVERQAKNAEALVKWLHESLTSKETWGDHHAVKEVLAKIEHASLQEEDIKEGWLL KQMPNGFGPVFSITMKEQTFARRLPSKLKLFHHATSLGGVESLIEWRTMTDSKVDSRL LRVSVGIEGWEDLRDDLLQGFKALYDEQQEELRA LY89DRAFT_680850 MPDPLIYAVPAVVFLYASIYYIIPFVKRILFSHRSGVVLSVSKS PTHSFSKPTFDSITLIAGLGVEGDSHLGVEVQHLSRRKGKIIPPNLRQVHIMQSELFD EVKTVGSNGKSYDIKPGDLGENITTRGLDVLNLSVGTRLKFVNEGEDDNGKCAIVRVT GLRNPCPQIDNFRMDYWQGVL LY89DRAFT_308998 MNDHSLVSSKRSERALETRQTSQSGQNLQASTNLQATYSSNHIG GTTLHALPLPANDQPTNSFHDAGIIERPSHGIGGRGLNTDTLFNQSALGDPPPDPSPP IADDIASGRLPSFCIAGPGYQCWCERCAPLATTVPIAPSPSPYIFETSTSNPSYSPYQ RPDLQSYPSRTIGSSAELDSEIPGLTYSNTINNGSDLARSSYGSLPASSSGSGFSFDP SLSRTTPNFSSGKLSSGFPSYDPSLDDMRGPRPHVPPRVTTPYDARQPSYKERAQRRD PASRPSINASNIEPTASLDCAVFLEAQDILRAKLRHGKPLSETQKESLANVLDISSEQ LEFCLTTKRVIEPLLNNIGSKAHGCGLPSDYVVGWFEQLQSSIAEKAPLRDSAYQSLN TSLQVSERPKKRRRITRNPSSQRTYQCTHISSNRTFCLQESNNFTDWKRHEERHCPQR RWECLLEGSYPDIQCHICGHYVDADIQQAQETHARCFGRDPRKGHDFPRKDKLVPHIR DDHGFEPRLEAWHYPIPSMWKEQCGFCGERFSDWDTRCDHVGKHFTEGKRMIPDWRDP WPVEETSDAPDEDNDNDNDNDKGGPGDHRHDGPGDKDADGEDDPDHNYGPEPRRGKSG NKGQHSQHSQSHGFDHTDDRQNHHSSRTYSSGNGNRQDDCRGRQTRRLGHAPSGMHQN LSLRQSPKIRGPEGNDDIFKSVGKLGYGTFGFVDEVEHATTKIHFARKTIRITHQQGF VPQRELAALRNLKHVHIVNLTASYVFEDQFSIIMSPVADCNLSDYMLREASSRLELLS NLARWIGCLASALSYLHEKSYQHLDIKPSNILVANGQVVLSDFGGAVFWNGSLASNVL DKDCAVTPMYCAPEIADRRGASFIAGASDVYSLGCVFLEMATVLHRESLVDFEQLRAF GSKDAAYHRNPRKSLSWIDHLWDIDGSLGLPLSEELFIIQNMLSEDWIQRPTARDIED SLHLWHLQSKYESGKSNYLIVSQTDDTGKAATLFDPLAIVRQWLHKCRTSHTNCLNTE EAFSPFRILNVGIEGDTIRLESSDPSSPYVALSYCWGKTQPLKTTLQTLDRMSESIPV ASLSNTFFNAVKITRALGFHYLWIDALCIVQDSKEDWTTQVGQMSKIYSRSTLTICIA NDAQTSVPTKHKMIGTKPTAGRLQSPCSTCDNGYKAFRPLVDNTVTTMLLDSKWSKRG WTLQERILSPRILYYSSTSLAWECDGERSTLDRVGQIRDNLKKLGFGQNPLANKQEAN PSFSLMRNFRKTWRDIVREFSKRQLSYAEDKLPALSGIASAMAAVSRQTYVAGLWMGN LINDLLWCRDFATIPILRPKYRAPSWSWAAIDSPVFWSKSLDCPVEKYTRVLDCQTEI LSPLAPFAEVSDGYLEIEGLSRKVVVNCARPEEVLDWKTLERLAFAQWDTLDVHKMTS PDPRLKGIYVEELLCLQVLSEVGLLLRKVMKENAAVFQRVGVYWNQTDESSRLTFNES WKVRSLTII LY89DRAFT_714473 MVEPVSIISGTVSILNGTFTFGQWMYKISGVSAEVRKAVTDLAN IQNDLNEARELRSLKFDMKSSERKYNRLYRQLQYAIKQLDNTVKECAKSLHNPDVDFE TRGKVTTAHRIEWVLNGKDTYASRQNALIIDHNRLMKAISAVNALPDMSPSSPDLLAP PPYSAVSKSGDYWGSLSPSQRRAMRGKSTELIEDEDRGEQKNNAESQSSVPEQDSYSS SNSTISRKMRKLWGEGDSAFQLNTPLETIENSVEEEYVTSRHHRKRHRR LY89DRAFT_777788 MKTELGLMSKLVDKPRWNFLSFAKPTSGHVTTSQTPPPSTIQMP PPIPNIPNFEDYLLQPADDGTLRKAWILLDGLIDSHVKEFYQSDQTTGTVDSIAKDID ECDVVTGAARIQDLAEFMYWPQYRQLGLRICMARILLSSIDLHGPWEDTSLPVQVVKL LGKFQVVHPTANAVDDVALAKWRMLTAFLLSPTAKANSKEPRTQEYYPCVDALDEVLG RFTKKVQNQSEFNENRLRSLYKLASHTASFGEKLFGHPSTWIFQWTTQSTPHQSRNAS QDSDPGTPTAPEEEKDDIVLFPALLRSDAPAKAGKQKGRPVVVQPADVGSGFVSREIT FLEKKKNEPAPSIDDGYTIISQDAELLRSNSEREVPSRPGLPIRRQSTQLSTHHANIV DADYTQRMERERQRPTQERDRTDRAQLEGSRNFYTLQTSDLVYNNSDHYSDENERSTR RRMRRSKKNRSQGGRS LY89DRAFT_714475 MPSSTKVDLIVGIDFGMTCSGVAYARPKMKSPKLIQEWPGTARP GELNNKVPSLLLYGRDNSVKSWGFGCQTSQKKKEWFKRYLDERVFIEGLARSQQQNPD EDPPYATIQEVRKCYEDYMTCLYNHISDKIQKDESWESKRVEFIFSLPTTFTTPQITN SLRPLLTNAGFGTGGRRHSVAFGLTEPQASAVYTAVDQTTEFEDGDIMLVCDAGGGTT DLAILQKEGDDDDGTQLKELLPVAGYNFGSTNIDESFCALVEQRLQGAEGLELKENTA WTMMHSAEFQSWKRVFGTLDEKQFKEFPVKVPALKIKVSNEKAGITLGSMMFSHDDFK KLFDVEVDKMIKYIKNQMNIMSGKCPNKKIDYLVLSGGLGSSAYVQKRLKEAFTGYNA HAVAPSLKVIAAENDEPQLAVVKGLVWDQMQRQKTGKAVLKERIARASYGVVCDVPYD KKKDIGHTPVEDPIDGKQMLKGHIVWVIKKDHPISVDDAKMHEFTRVFPRGHSRKWKS SIVICHNDPYDLPNNTRNGKNWNPDVKELAEVESDLSAVSHKKFVEHQGKRSFFRKGE PYYEARYDVKFVIGAAADARFELWFQGQQWTSPNAIKIDWQEGANVPAHPAEASPKKF FSTGRSRRKYEWGTDDDD LY89DRAFT_694009 MTPRLSGRVAIVTGSSSGLGRAISLRFAKEGAVVMCADIYAVAK ADAVYGLQVPTHEVIKSEGGRASFVQTDVRKEDEVELLVTQTVREFGRLDIIVNCAGV AMADVDGLAGQPGGVRVHELETSLFDNTMAINTRGVFLGCKYALKQFLAQEALPASSR GDRTRGWIINIASTAGLIALGGAPSYTTSKHAVIGLTKQVAIDYAKNKVHCNAVCPSF IDTPLISKITRDTENPIALGTAQALVAAHPWGALGQPEDVASAAVFLASEDSQWVTGH SLVIDGGYTAQ LY89DRAFT_680853 MKILLPTLLAAFSMIVAAQSTCTSSDAYPIDADVAFLTFWTVLA VDWLAIVAVFGKRSLGLTALGKRDLECSATEECLSFRTVPFCYDKTAYTFHAADGTTG NLKTGAYTLPDGRQGNMFTGPYPTLTKGEVTATETSTTPASSGATMIASTPVSVTATP ASTVASQSEAKTGSRGATSTGPVVVATQGSETVLKSDALSEQRSGSAWRVAVLGFGMM MVELWF LY89DRAFT_680854 MSVNSQAGELKAQGVVEAAQDPNSSVTADDAQRKIVAESNKAGV AAFTFDPDASPEEKAAQARARVPEGFHHEHKSKAVAVPTDIDDGTTKGAYDLPPPSTA GALAPTGPPKDANGKPTANGAAGLVDSEDQERWIERAGWAPRFGSGITSESIMGESLL DHQTWVEGKLEDKFFGDWYHNTAVIIFACLSSWLVAVLGGGLAWVFLIMAICGTYYRT SIRRVRRNFRDDVNRELAKSKLATDTESLEWINSFLVKFWPIFQPVLADTIINSVDQV LSTSTPAFLDSLRMKTFTLGSKPPRMEHVKTYPKAEDDIVLMDWKFSFTPNDHADMTA RQIKNKVNPKIVLEIRIGKAMISKGLDVIVEDMAFSGLMRVKIKLQIPFPHVEKIEIS FLERPTIDYVCKPLGGETLGFDINFVPGLESFILEQIHANIGPIMYAPNVFPIEVAKM LSGSAVDQAIGVMAITLHGAQGLKNPDKFAGTPDPYAVVAFNNGAALAQTKIVKENAN PRWNETKYVIVTSFNDSLSLAVFDYNEYRKDKELGVATFPLEKVHEIYEHENEQLEVM AGGKARGVVQADLRFFPVLEGRDLPDGKKEPPPESNTGIAKITIEQAKDLDGTKSLIG QLNPYAVLLLNNKEIHTTRKLKRTNNPIWDNGSKEILITDRKNAKVGLVIKDDRELGT DAILGTYQIKLDDMQQLMEKGQEWYNLAGAKTGRAKFMLQWKPVALTGVGAGTGGYVT PVGVMRFHFKNARDLRNLEALGKSDPYVRVLLSGVEKGRTVTFQNNLNPDWDEVIYVP VHSAREKLTLEVMDAEDFHADRTLGSIEVSAADYVSQAPNGQYLVHDSKDPHEGALKM HGKGSPRGILNYTAAFYPCLNIADPEEEEKEKKETSEAGRTSTDSAKSGESTDPVAKA NAKMTNGKIDVNLAKTLAEGEKEQSETAEEENKLPKLRLTPEELLKYESGLLIFKLMD VEVTRSNVHVEIVIDDMVFPSYSSSTIRSRKVVLDEIGDCFVRELDFSKVTLRIRQQG DSKGDEKKDKDFTVARLTGETLATLKQCLNNPTILKLKDEQGNICSIKVSLKYIPVDM QLDPSESINNMGKLRVDVLDASDLPSADRNGYSDPYCKFELNGKDVFKTKVQKKTLQP AWNEFFELDIASRTAAKFVCNVYDWDFGEKADFLGSADINLDLLDPFKAHEYNLALDG KSGSVRLRLLFRPDYVTRSRQGSSTFSGTFATPGKIVTGVAGAPIKGVGLAAGGVVKG ASFIRHGFKSKKKEEEAANGNAEAAAEMVAEDNDFLKGGPKRSSALPSGPSTEASPIT PPGTALGGVPNHSRSKSFGASSMHSTAPGGAPTGTASFTIMAAHGYPPSSHVMVYVKQ AGAKSKTIHKTEHIKSGTQSVTFNEKKESFKCSCSADTQFQIQVKGHNTFGSDDDLGE GLLVVDESGSGLEKSVKAGSGTVIVKSNFVMNSTENGAGDSSPRTPGGSLRKSILGKR EKDNGRNSRDITPTPA LY89DRAFT_309335 MCLDGGKGHGHASGTWRAAKATIGSFHFLVLALVAARGEEFMPR RGKYIKVSYIFWRVKCWYQCSPLSSSLPRSTNPAQPWSMSRHTANLLRMLLKLFGESV NSSVHMHL LY89DRAFT_777792 METLSKDGKENDKRFRGRFMNKLFKDKKDATTPQEDVDEFLHGP SDKLHMMPVTNGPPSIPPLARIDTASARRWPTAAEVQTTKRTRGQSSPRRSRKGLVVR FTETQPEVIGEGGDEATSPVSDISLRKRAHSHPQVRQPSREEDSRGRSETNPVEYGAS FAERMAEKESFRPEPLRRTQTGFESIADTGNSSVPAMPEIRTEERLSPHNDLSLPRDR RSFADMVKDDMRSGEGQALVKGSTSLDEQLARPDSPGAAALSVTPQMEQLQINTMKNQ HIPIPSPAVSEFSHPPTPNRPGTASLSSDSPAAYSAQSTVSPHNLPRSLQVSQDSHDS PATNSRSSPLSLKGGLYDTKNLTESPATLSRTGTLSLPDAAVAVGDDALREFSRRTSH LITLFRLSMEAFKPLPKCSLEELVRAGLWWFLRGRLNIESTIRDRPASPQAQHTNFFL RQQAYADLAKALWLVETVTAQFPETQLRPGSTDSTSPLADILECRQNVLSSLRKLTMS MKRNNFLPPEADDAPLTQGLDASIWVQDEGSRSLITSQRSTSILPLSEALPLGDTSRT FQYVRMFVEAMLVEEGAPQHYRFPALVTVVRGQKEQTITAVVASQDGSINMTIQADKN RGPTWEDVRWQSKKQMVEVYLPRGFILRLHLTEQNFRILWGIYDYEQQTQGMLERREG EQIIFETVLRNFQYFDQNPQASFPKEAQPQCLLRVFEKTIVQKAATGPRTLHRGYRLA LNTSTKTKNLRGIDQDFLPTMPILYNFLRGDGGLPALLLKVEDAKTKYTMVATFDNVA DRARLHNLVSGIALRSAEGIIAESPLQGFSVSNSEGDIKCLHSLEWQKFSFISQDHAG DLQNAKTVLSEQLRVVMYSKAGTITDRINVEPGELKIRLSVNASNELKILRQPQHDVT LAIAEASVPKDLQRELAASLSTLGNSESTRTYKFPSMTELHLFQAAMTGFVVLFDTVA SSFNISRRRMVVPIYKKWDAAMTRLQVVQKEKIIQLVAFFENFTHGDCMNFTLKSTDV FETSSRGGKYSVRIVDAKFAMPKAREGEHGIDHEFVCLDMPEYPGEHDDITIVFDSEA IRDEFTKALPAPVKVASRMGSVRR LY89DRAFT_575624 MVFIASLWIMPASAVFLDFQNCLSDSTQNDQPLALQIIPLYLDA VFNTTDSDHNLNVTVWGNVTGSTVGTYARLVTPLWNDTSYWNSNDTSAGGKIENEPYP DDPSPKLTTFSSKVNVLTYEPWHFVENFCENALLNGTCPLGPSFSANLSDPYDFPSFG FSNNFYSSYAFTSFTATLLVVYGDQNATPVGCTSAIITPDMGSTLSNVVRYVPAAVLI LVAFSTAFAAISSPWGSTDTFRWTTNYGRDADLLRLVTPGFGDCLQYIQFVALTGGLT LNYPGFYQPIVSKTSWSALMFNESFVSGGNGTQSLVDGVYVTHGTYGMDKLRQLVGMS EVDDVWAGMAIWLLAILASILVLIQIGFFVRWAYRLLNDVPEEDLRAKNMPFSMGNVI RIVFNYFLLPIVALSMFQLVIASKSPAFTVALAVVMLVLIIGFAVWLLYIIGSTRPKS FLFDDLPTVLLYGSLYNTYSDHAAPFALVPMFLTFIRGVAIGAVQPSGIAQLVVLAIC EVITVLTLHAFRPFHSPTSMNALHTFFAVVRFSTVLLMISFAPSLGVTEGPKGWIGYA ILLMHAIVLIFGFLLNAMQTIVEVGARLAGAGGDENGATRGGLVKVFGMRQLSRRLPR RDGNSRQSQLSSAAMLANDEDRKSYIMNDGRLRSQSAGSAGILLNRQSMGLDSNSIEP FGQIPPHALVGSGSSAYTPTTPGEASTFSFIPSAAAPSQGRARGQILGLTAAEAADPY YRPPRFRRPTLEASYSPGAKSRGSWTSGEWTNKRNSQPEGAASPEQAEEGPSISGRNT PTSPPAFAPALDGSPNEPRRSKADYTTREVDFYYGVRGPALNANIPSRRIKTGPADPT GPAASAAGWFKGLFGGKTKEKGKGFEVVRSSRMPPGMVARNAPGIETPPEGVPVATGG IRNGPIDSDDDEPVTAGPSTAGPTRPAAPTSHEDDALVSPIGTDDEEGSEESDDEYEI TRISDVPPTLPGLEIPEGGIELPSRFPSKATSKASSRRERTTDTSFVPNLPTVPNFPQ RVPSNKPTVPRKSSRRKSQSVDMTQQGAQFLHEHRAKGSLDTNFPFDRTGSRKRHSDI STRSSMGPNELADMGHSRGQSGGSALGKLSADFQDDRPTSVGYVHHHPIRTVNPSDNP EFLGSSAEVVDGRNSGASSIERPRT LY89DRAFT_309348 MVSLGHSHRRQSAASNRSSVDESRSDGPEDETTVVEPEQGNVLS HIISQLRPGADLSRVVLPTFILEPRSMLERITNFMAHPETLLPMPEIEDPTQRFLSVV KFYLSGWHIKPPGVKKPLNPILGETFTCYWEFPDKTHGYYISEQTSHHPPKSSYFYMA PEHGIRIDGTLKPRSKFLGNSAASLMEGIAILRFLNRGNGSKGERYILTQPNMYARGI LFGKMKYELGDHSFVRCPELGLSADIEFKTKGYFGGTYNAIGGTIKNEKTGEALYELS GMWNGEMFAKDLKTGKKDLLFNAQKAKPTPPLVRSLDEQDERESQKLWYATAQAVKAR DHVVATDEKTKIEDMQRDEAAKRTEDGVEWHPRLFRPIHGGPGGPDEGEEDLDWILNA NIDGSTPQKQVEQILAVTPIIPGQNFREKNPIPPPRNRTASYNSINTPAAQPTSEAPQ NDPIDFGQNETTNSGIPPAPPSGPADLYAAQTQNGGQQQKELEGRLKSTSTSSVNNTD SLIDFHQDMKQNLSVVDGHSLKRQDTDTQSLDEFVDAQG LY89DRAFT_680856 MANYLASIFGTEADKVNCSFYYKIGACRHGDRCSRKHVKPSYSQ TILLPNLYQNPAYDPKNKMNASQLQNHFDAFYEDFWCEMCKFGEIEEVVVCDNNNDHL IGNVYARFKYEDSAQKACDALNSRWYAARPIYCELSPVTDFREACCRLNSGEGCVRGG FCNFIHRKNPSAELERELELSTKKWLRLRGRDERSMSRSPSPEPTRKRY LY89DRAFT_728864 MEKRMIWPPKVILQTPLGIMRDGTGNWLPRDIGKSSQFSNGHVV VQFGDTFDHDPNGNFLGVRDNTCAIIPDNNNPTLSKYKLDSKDEVPVFVHQRPKEFAI PTKFWSFSGIIEVEGDQTRKCHHEKGIIHGYTWFKITRMTGMDETYLRMGVAKVEYDS RSRQIRAIKPTPGIENVGLAQSHESLSNVELKSATLPSFGSITALRVDDFIYLYGQIL DADKDVVLARIRISCPTPYTYWTGTGWSAFPADCRPIMSHIEHGQIFHTTIFGANSLY KYMFVGCSSFGDSKILMARAKSPEGPWDDGWVLNDADLYNSDPNKPPTGPFFYCIYPH PWALGFDGVESDGMSKTGDFMISWSEGGLVGGVLAASFRFNMEVKRIADPDDEPVKRG GDVKWSCGPN LY89DRAFT_309550 MESPAASAQVSYSGASNGADRPLPPTPDSELDLYSGGDDEISYQ RSFPYTSNTANIGGSEERESYESQGESSRSASHAIGEDVPSSERVWSDVKDGERNSRP PSEELVGPAGASRSSTEFAPDPSQNNDLPSNNSTHTNSSPENPHSNSDTEDNMPFPGR NQPRGSRRVRIEMLPGTVNYNMNQGQANPQYQVIGEPERVVLPRWQPDAEVTTCPICR SVFAFFNRKHHCRKCGKVVCAACSPHRITIPREYIVRPPPGVYASPPHRSRHSVASIS SGEGNIEAGERVRLCNPCVPDPNTAPPQVSPPPYSVGPHANNERAVRPEDRLPSFAEH RLSRRENSYRPRPNATPLLPDLAVPSDAVTHLLRGVQIAENPTPSQMVGNRAPRPLPF MHYSDPRRTMSHRNRPDETDEEEYPNQLNHVAVASSQPRFASPDPQPMPQAAPRRAVP EEDLCPICRREYPTSLRDNPSQQESHIQRCIEEQLTRVQGHTAQAGAGAQHPGQLARI SSGTRRGMTKYTATARDAINGDECQICLDEFFPSQELALLTCFCKFHPECIQGWWDKG NFGKCPTHDHGL LY89DRAFT_309563 MVGVFGGLYIRRKGVVRPCQEGSVGHELNFLSLRQSRKRKNLSS ARFSGRRDPLYVLLETVCAVLLSTVVQVQLGLCHITESYDRITYIRYCNWIKGVDWRA KLAFRLRTLNRRDDQLSFIVLCSYPTLRTSNFAGNGELLTSKTVDVSLALQ LY89DRAFT_637013 MSSFKDIDPRLRDTASNAFSQHAHNNAPFQTPLAPSTSRSPVGP PQRFDSPIGNPYLPQTPQSGGNATDHDGGNSQSGGGADDPKRTRACEACRGLKVRCEP DPNNGDGPCKRCAKANRNCVVTVPNRKRQKKTDSRVAELEKKIDALTQSLAQKSAGIA PTTAGPFPEQQGMGVEPRRNAYQQVTNGGFDSLYASRPEVRAEDWSYPKEPEFVARKN SAPPMVVAGQKRKHLETREYSSTPESSARKLSETPNGFLVDTGNKPAPNHEYADVIDR GVLTADMAAKMFECYIEKMVPHMPAVVFPAGTTAADVRKTTPTLFLAILSAASGSNYP ELQRMLTKEVMSIYADRVICNGEKTLELIQALHVSTLWYWPPEHFEELKFYQLIHIAA VMAIDIGMGKKNKSSKAQSSAGLWRDHPWRRTPYPDPESIDARRAWLACYFLCCNASM GLRRPNLIRWTSFIGDCVEVLETSPEAAPTDKILCQWVRSQHIAEEIGTRFSMDDPGA SVSITDQNVQYALKGFELDLEKWSKNIPPEVETPTLRVTEHVVNLYMHEVAMHVDHNV EEFKPPFTEDNLRGMGDKDQPIPLSNAHIKALSTCLTSIDRIFETFLQFDVETIRCLP VANFVRVAYAVVVLIKMYFAAAQPNSELGQVINKDHMKVEQYLDGLVGIFRASAAEEK SRPSGKFLMVLLMLKTWFHRQRGPPGSVNESAKNTGKQGESSAKSSDAAQPSAPEQRN GQQSGYSPANTPLQLLSEVATGNSGGQSRSDTMANYPGGNNDWQPTPTQVSYQNYPPM NMFGMYGTGGPPSFDPQTGLDYGYTIGDGVEQAMGMPPGLGDFGTIFNDDAFFSGIMD SVGQGISFEGL LY89DRAFT_777799 MSLLHRVTSYESLSPPRGRSPVGSHENPRDRKLSFSPLPGSWDP PAAEEHTHAIGAFEVPKSKRILQVAISVFYCLFAAGIVFGYAAIKPVLIRERVYRDYC TKDELERGVRTCFDQEIHLNLMFTIAAVGTNVAALPIGAILDHFGPRLCGILGSFLLA IGAVFFSFAYKLPFDGYIPGYLFLALGGPFIFISSFQLSNTFPKHSGLILALLTGAFD SSSAIFLLYRMLYQWSNNTFTPHKFFLLYLIVPAFILLVQILIMPQSSYKTVGELVRQ VEDTTDDNVLDDQMDEHTALLRDERRQHRQSIVSDITSLLGSKSGAKHLQKEEVKNRI SGVWGAMHGKTVRQQITSPWFILVTLFTVIQMTRINYFVATIRPQYEYLLSSFTKAVE INTFFDIALPLGGILSIPFIGLILDNTSTLTVLSLLVTIATTIGILGCLPYTWAAYAN VCLFVLYRPFYYTAVSDYSAKVFGFRTFGTVYGFIICLAGLFNFTQSGLDALLHKVCK GNPVPVNVGLLSAAAVVGIALCVFVGWKAYYFRRDRLEEEAEHAGETLMPGARMPEGL LY89DRAFT_728868 MPPLLTPHALHRLAPEEAPSKIEIHNLQTNLPLTDAWGRPATQQ PALISASISLRKPFSSASDTDTVTKGTVHYGILSKAIIRACKELEGIIGSGDEEGLRV PMWGRTVVCWLHYCLTGYDVLPKIPQGPVATRALKQSKRSKKDAEPLLSSENITVLEL EVKLPKASLLGTGVSVKGTFLYDGAGSGPGAYSMVMKLHELRIPAIIGVNPNERLAKQ IVICGVEMEKWDRAVDTWAELEEIVAKTIEESSFQTLEALATFLTTRIITLFLIPHHS YTAPVPTSPHHHPQIRMTLCKPTAVTFADNPVVEFLTDTDPGNNELAEKCWKELGRVK KPPFPLQGRLDDWLTMQGFEDYRDEF LY89DRAFT_680863 MVGHQDHLDAAGLLDEWMNRVANLPAEVAFMQEEIEQKDRQMSE CLSIIAKNDTAIQKWIKINGSHTPNPKEEQLSRVVLENYDKCQILQEEKVALAQKTKQ LMDKHTRWLDGHIKTLQDRGEVPNDPDIPSVLRPQPESALPSRLDANTVPMPLGQITN SATIPHTRQPNQYTQRIPHLQAHATGISSSSAPATPAASMLMSRQARETSLGATAAVK RQKMGLGVLPPSGLARQSSATPGTPRGHTPATASARAGSAGPKLSQKGTAIKKVAPSG SRQAGVQKKPKKSGLSRLKRPGNKNSPSSTNDSELSDAESGSGEEDEAATPPVHKDAD GDEEMGDDEEGGDDKKYCICQSVSYGDMVACDNEDCPLEWFHWSCVNLKSEPVGTWIC PVCDGTTKLPNKK LY89DRAFT_574766 MSSNKIVKEYEHPQDEDVRNEDFRRKVMEAIDKNKIVRPKGYTV SWHSNPAIEKHHFGNSHPMKPWRLTLAKSLIMSYGMHAAMDTFISRTATKEELEDFHT EDYLDYLKTAKVALPDDETVKDYNLGGSDCPIFDGLFNYCSMYAGASIDAARRLANGD SDIAINWSGGLHHAKKAEASGFCYVNDIVLAILQLLRRFPRVLYIDIDVHHGDGVEEA FWSTDRVLTLSIHKYDGMNFFPGTGDLDRTGPDDEANPGAHHAVNVPLYDGIDDTQYI YLFKTIVGMCKDHFRPSAIVLQCGADSLAGDRLGRFNVQVKGHGACVAYCKSLGLPLL LVGGGGYTPRNVARAWTHETSIAIDCDKDLDPVIPDHTPYKSFFHYDTLFPTLEEILV GDPRPNKNSKKRVDEIVSSIREQLRMVNFAPSVQSSVIPPDLSFTKEDVDDAMNEELE EQDEYRTQKETGVGVPMELS LY89DRAFT_664550 MGSTTPLPYWQINIPSQDRTPHCPPYLQNLSPKDLSIISTPDAF YLPLSWPTVRSISASNRLDAFQRLPSDLRRYLAFMYTLKQKHGSVMKFILEERLQWGE GEREAKGRGLFEEESDWKVLWNDWPYGIDGRIVHLVVWTKFALVEGPDGDLTAEARRE VEEWVEKVFGRRVGGENVIWFKNWKSLKSVHAVEHFHVMLFDPDPEFVKEVTNGDVPL CKKLLTV LY89DRAFT_680867 MGGNPRAGRPHVVRPSPLQQVSHTRPLSSAPPTSGLVRDPLFWK RFSVAVHAAEVCDEESGKGSVKSGNTVEIKAAGYVLLFLGDIEQKTDRR LY89DRAFT_309916 MKLDQLFLILILHTLTLSVSVSCSHIPALRTNTYHPPLLRARAT TATTITSATPLQTALCGWLGGDPGQPWTCAVDGECVIATAKATNAVGCCVSGRCASFR TSCVPATAVCNSACSSNKENLICSNDAPLCATFTYPGDYTELRCVSSAPPTLQVAFTF SGFTTPLPLPRMLSTMTSCDSTGRCIPDLTIETQTPSSSKVTSTTPKTGTTKTSSSPG STSTSGAPATSSSDTGAIVGGVVGGVAGVALIGGGLFLYYWRKKRSQRNAVMAAGSGA GVQSPYHSPQSGNAQPAERQSHHPHANGEQEVYGGVMKAELPTQFHEGGGGMGTEAVK VDEVPGPREAWEMDGVVRQDTPLMELEAHEMADRRPR LY89DRAFT_574855 MGLDEQDDLSNVGRPDEIMTDEDKDEEMSEGEGGGAPLTMTVSH AEALNAELDMLDAEIMGPQNLVELYLDQHFHQLGDPYPDPFQEPDYYSNGPMDQEEPP HDIYMHGTGSLVNLPSAMSQVSLHLQHLQEEQEHAESADAEEDPHMAPMNNHSTPSIL LNLFSNLNVPNLSVANGPQWDYVSPSELNNTNTLPGSAGLSQPLPPHLWPTGGSTPSP ALDVVVPVPSQNPSFPVSAEPPVVVADDASDADQNEVDEPFNLSLRDCLYTWGAQTLA NEDSKKQPRGPYLWALFRQGNEKPKIMQRIDLNGEDCDMQRINWTELGVSRLEATVYR KETYKNYQNLRPHQNSPWHPRLNGARPHDDQNFFRFRRMDFDHNVNLSHFQLRNLMAC ASRDHVFYAGRSKIMQWNPRSGTLSGRPRVAMDLTDPIVQPFHSNHQGIQISTLTVGH DILVAGGFNGEYGLVNLRSPKGAKHSEGLITDHMNAITNHIQVHLSRSSSLPLAAFAS NDMGMRILDVNTNKFVAEHKYEHAINCTAMSPDQRLRVLVGDTRQVMICNAETGEILQ NLDGHRDFGFACDWADDGWTVATGNQDKQVKIWDARKWTSSSGLAQPLVTIAAEMAGV RKLKFSPLGSGKRVLLAAEPADIISVIDADTFTSKQVLKFFGEIGGVDFTNGGRDIFV ANCDNMRGGIMEFERCDAAGMDLWNMDEELSGQKERRCLRPRDGYDWVSDDEVVEHPK AQGTWQQRTRLAASSGSSLQYF LY89DRAFT_664553 MAPPPTSVNSTTSIIIPTSTPAPSSTTCPPATVPTPLATTCPTP GVYTIPATTVTITESTTVCAATGTSIPSGTHTAGGYTTTVTESTTVTCPYASTGTSSG VVTSTILTTTYVCPSAGTYTIAPLTTTCSTETFWVYPTPASYAPGTYTQPEVVTTITE TDYVIFCPYTTSSPVLASTTYAPVPASTTSAAAVSTPTKSSSPGSGSSGGELGSSGNQ WAITYSPYQNSGACKAASDVMADISIIASKGFLSVRVYSTDCSGLTNIGAACSAHGLK MILGIFISDTGISGAADQVTDIVSWGQWDLVELIVIGNEAVFSGYCTASELAGFISSC KSTFSSAGYSGPCTTTEPLNIWQENTSALCAVVDVVGCNIHPFFNGDISAADAGPFVA SQLAIVDALCPGKYGVNLETGWPSFGTECNGAACPGTSEQAAAVKSITESAGGKSVMF SYTDDYWKEPGAFGCEQSWGAIQLFG LY89DRAFT_637035 MSNSPHEPDENHADISTSTEEPNKESNFHPPSEMASPSSPPAPT PKKPSAFQRTWNTLNLNPTVITIMIKPAIAATISMAIYQSHDVAKHYLNLGYLMIIIS ITTVPILPRGKFLMNLVISMFLTLFGIGMVTLGQYCGIKARQHTTPANAPLAIANGYN SSASAVNAIFFMINIFAISTLRAARPAFSIPAIQYTILICVGFAYGPQEPTISASHRF IKELLYAFLTGQGISAAVCLFIIPVSSRKVFFAESKGFLMSCRSLLKKQVDFIKVLRF EKVDGDLHVKEKAKALKEASTGILSLGAKLREDVLFAKRESAMGHFRETDISELHGLL RRIMIPISGLATIVEISEGMQEEIGEQGVGEGEDRKEWTDMLSKVRDTFEGMVEILDE SLLHVLILLGFVPASTRGSSTREEDVEKGAGTLPRAGEVGFGDHLEQRIKEFRRTRTE ELKRWAGERGLNSVFRSGVKGAPPSAGSEIAAATSRDILASKRLHIIMFMEYLLWSTS LSILSLVLFSEQKSTSKTTHLILPKLRTLKKWLFGLLSGDPSSSSNLTDPDPLTISSE IYLGSSFSPPKDPEHLPPKNRLQKCGNGLRAAPRWLRSEAVGFGVRVTVAVMSVMILA FLRQTHGFFIEQRGVWCCVMVVIGMSPTSGSAVFSLLGNLTFTLFGMVGAYINWYIVD QKTAGVIVVFFFFMMFYFYFCARFPRFLVAIVAGALTHVLVVGYELQVRVIGVEQATA TGQLYYPLYKLAPYRLLNVGAGVLVAYIFTIFPVPITEASTLRRDLGLSFFLLSKYLS AVTATVDLRLSNRGDSQDKKSPARRLEKMRHKVLEKQLHLLGSMRTNLAFLPWDLRLG GEFPVALYREVVDEVQNITNYLTIISYATESFPSFHLPPPSPSSPQTAPTPWLTRFSH FRRTTTPEAHHLTTLLTLLSAALRNAQPLPPYLALGDVGRVSDELIDGGGELLELGHL DEPGFRAVAVVETGQRCVVRSVGRCVERVRDLVGEVDFSFRLVVEGEEKVKGA LY89DRAFT_714494 MHTSPLALLLSLFLALTTAEPPPPISIIPVPSYIPTIAILPPSD PTTAPLPLTIIPEPDLMPISSNIMVDIPPVSTMIPADDATTMMAPLEMTSVVTDVVNG GGGTSTTTTTTIPGVATPTTTMPPTTTTSTTTQTSLVTPSPTGTKGNSTGPIETSGVE GRGFGEVKMVSLALVGVLGIFVFA LY89DRAFT_714495 MIRSSTSKLRERVLKRYVCWQCLSKFQQWTSNTAVRQASNATRP SRLEDARVRLGQRQFNAGRSARATVEAQSANALPQEPPLYQANIRERLKQWELENAES VNTELPDIVARMSGNNIVNQAIRPQSSEFFIDMDPEESVDEEHNRYSIRANEMDMVDV GLRRNFLLPGDMVEVLSLGGQRRELAIFIQDFTKQAQFYTMSGRWLHKTADIIKFFVP NFVKPEELEAIKPWLPDADLSEDMVDKLQSFDLVQVPRNFGKPLVEKMKAFWAEADQA YQTAATKMDNAHSLVAHSSKFTYATLEQIANKILSDTIPKMEDGKFPYHVLYSLHRSI LQDDIGFRPTLKQIMRGESDYEINSLSEVTALRRITEKIRADRTARIGRRRGFLELPS TEHLNKFVKKAREIIDASRKLREFTECGVLGPSKKEIPEGSNIRVGEVVASFTDRDRD FIYFLESWACLKSFSTHSPFNGIGSGILRAIGRYQNVPLNKTTAFTCLMELGIIPPWE TQASFELRLPYTSSRVIKPSAYTYGTISDQMGSMRRDWGNLQVYCVDDVSACEIDDGF SVETTDNPDEFWVHVHIADPAAHLEPESPAAEWASSLTMSVYLPDRTVPMLHPDWVKS KVSLGPNKPCLTHSVKLNLAGEILDINMTPGLIRNVAYLTPAVLHEAIFEAPPVRSIR YTIGKHSFEDTPSRPLLQTHELSDEQKRDMKLLHKLSLAKQAVKEKKGSLRFFTTQVD ASTSFGGPSWTRPIGWGVRFHGDPSIRLSIPPINDQGIDLTQSGGAMVVSTMMLLAGE AAAEWCHARGIPVIYRISPYNPSKDDPLQYYSDYVLPSMDEAGYPKLEYAMQYLRLVG KTQPSTTPGPHLGVGVNKVMQSTSPLRRFGDLVNHWQIEAALREEARTGTSLVGNTKE DFLPYSKAQIDAMLPRLAERERFIKQGEAKANREWTLKYLVRVWKFGESKIASPLLFY IRSVDPFLRRAGGVLPYLRIGGIMPIPESMRAEELDVGDAFEVEIESIDVYERVLILK YVRKVPIAEVAEIDASLASTLKAISPQSDPVSPSTE LY89DRAFT_310238 MGSSKAPPGHFTILYFASANSYTSKDSEFLPARLPLAKLFETLD EKYGGMKAKVLESCLVTINLEYVDMPENGEDGVIINEDDEVAIIPPVSSG LY89DRAFT_310089 MGGCRRDGIGVTRRNVVKEKRDEEWMARKGLLDVVREGEANQTD TYDDMLRICWGKQECWSCLGTKSEEGDVGCSWCPSSQTCIPNPTHPPFFAPFVNSDIC PLWYERWELRTTPLGCHVSTITVMAVVVAVLSTMLVLGAVGGVVVCVRKWNADEESRG WWKVWRWRVELRDVEPRRGRSRVRTNGGVVQGEEEEGERRPLLDGD LY89DRAFT_680878 MASAIPSHLKSAAKSALGGSSNGDAAEFARKHHGKTQSHMAFEN TSTSVAASQMRNALNNLADTVEDPAEKKLFETEMDNFFALFRRYLNDKAKGNTLDWDR IAPPAQGQVVDYNELANSESVGFLSKLAVLKLNGGLGTSMGCVGPKSVIEVRDGMSFL DLSVRQIEYLNRTYDVNVPFVLMNSFNTDVDTSNIIKKYEGHNIDILTFNQSRYPRIL KDSLLPAPKSFKSPISDWYPPGHGDVFESLYNSGILDKLIERGVEILFLSNVDNLGAV VDLRILQHMVETDAEYIMELTDKTKADVKGGTIIDYEGSVRLLEIAQVPKEHTNEFKS IKKFKYFNTNNIWLNLKAVKRIVENNELEMEIIPNNKSVPADKKGESDISIVQLETAV GAAIRHFKNAHGVNVPRRRFLPVKTCSDLMLVKSDLYSLKHGQLAIDPNRFGPAPLIK LGNDFKKVSDFQKRIGSIPKIIELDHLTITGAVNLGRGVTLKGTVIIVATEGSTIDIP PGSILENVVVQGSLRLLEH LY89DRAFT_680880 MAQIKPRKLCFEENAIAHARGKINTDEYLDGMLDHLTGVRHAQD TPLKRINADGLSMAIQSCLFEPNFKYLNDVALIKRLLVSCWNDSLDIEILAPKVLSAL LPYHISPKIHLSMITIACIRRDLTLLRYCFTLTDSDAVFWAATTSPILVAATATPDPI IWDVLLSYGWSRPNGQYWDICAEGLKIRKVDNNQTHQDRLANLALIAIKDSRRVELLN ILFDHGLVVTNSLLSKAAASADPMTMKTLLENCEDDQELDTSKALLVAAESNGRIIEV LLDGGFHADWKAASSPPSSTDRHKTKDGRDEKKKHASDKKRDGDKHNSSAKRRDEEKK SSRDQKQKTEHREHKEGAPLESRTALHIASAMGNMDAVKTLLKKGARTEIKDSNGKTA EKLAVEKGWFEIAELLKIIHAARKK LY89DRAFT_664559 MATTPELREQPSFHRESPSPSRSPTPALGACPELSRCVSTTSTG SVFSGDSSMGRESLGSSVGTSVSRSSSASYSHRASLGGPEKPKRRGYVRPQGTNFAAS AQSRESVLSLGSIAHLQYYFARTGLLDGKGGRLAKKKDQRGTLDLSALDTSFLSPKVV GSDVDSSYASMGSSPELHAQLGGMLVESPTQEEGDYFSGEEDEDHPHMLPPTVSTYNH REKTIEPPPSLDELKHDLQKALGDAVKVLEEAKQRPSSQPSSPSHNQSSDGEPSSPSS DENRGWYELQGMHILDIMTLAIRAAKMYYTAHDQPARLSTIKTERKIRAELLSVMDVL KRMATRNFASGMRTEERETMENWVAGVYEMLKQEEAMEEAERKQRASWSWLDESWDGR DVEREYAFMKSMDPDSETLPEFKSVDDITDEKLPTSFLADLRTGLRLVKLHNAIVKKS KRPFGAIDKWHTEFGKPYRSAENLRYWIKAAELRWEVVLKVDVMGVVNGSDRTAWKGF ENAIWQWCRKVREEITVDLKV LY89DRAFT_310345 MPKALAIGSRKKRLSLLSSKPSILKNARDSFEWGINELTAPRLK KSEKPQRKARFSMYSGNTTPEEMPPTPPPKSPRRKKKSFATGEHPLKSPYPFAGKEED DTIMSPSENRFGSKISGAIKSLSTGGRRGSLTNNNNKIVITNKARKNSGPDTPVVGKS SFVETINRGNEQLQGIIEKTRKSVLRTAEEKRRDELKKKIVVVGIGDQSPDGRVSEWL LY89DRAFT_704365 MGGETVHTEKKQSSYPKLSHRPIGQWIPNIYKERIAQFYSGGQY EGKNLRAMLDEGVASGTPHVKLSVWDAPDLTRPTFKEAMKGKYRTTSVGESFGPSWST HWFKIQLTIPKDLTKKELLEFHWDANNEGMVWSEDGNPLQGLTGGGERVEWIIPDKFR DGKEHTFYIEMACNGMFGNANGDSIQPPDPNKYFRLSQADIVAVNVEARQLWIDIWII GDAAREFPSDSWEQHKALKACNEIIEAYEVGSQDSITKGRKIAAEYIGKNVSSSKVYE TGTQPIVYGIGHCHIDTCWLWPWAETKRKVARSWSNQCNLMDQYPEHRFAVSQAQQYK WLKQYYPYVFDRVKEKVKKGTFQPVGGSWVEHDTNMPSGESLVRQFVYGQRFFESNFG KRCQTFWLPDTFGYSSQLPQLCRLAGMTRFFTQKLSWNNINKFPHTTFNWVSLDGSQV ICHMAPSETYTAEANFGDVKRSVTQHKSMDQDETSLLVFGKGDGGGGPTWQHLEKLRR CRGMADTTGMLPRVHMGDSVEDFFDRLEKRVEDGLDFVTWYGELYFELHRGTYTTQAN NKRNNRKSEIMLRDIELLATLASIKSGYKYPKEKIDDMWEATLLCQFHDCLPGSSIEM CYDDSDELYAQVFKTGEGILKELQEILGVQDVDSKKAKGNFVALNTLPWHRREIISLG DGQAGVACGDGNLLNIKNFKIAETPEVTVEETSKGVFVLQNDDLRVQVSDGCITSLYD RKAEREVIAKGGKANQLVIFDDKPLYWQAWDVEVYHLDSRKELSSGTTKIVQKDPHQV SVMTETKISEKSWAKTYISLSAAFKGQQSYVEMHSEVEWREDMKFLKVEFPVDVRNTE ASYETQFGIVRRPTHYNTTWDMAKFEVCCHKFADLSEHGYGVSILNDSKYGFATCGNL MRLSLLRAPKAPDAHADMGRHHIRWAILPHQGDLGSTTVRTGYAFNNPLKLVQAPKTL DASAFSNPIKLTGSPFLILDTVKRGEDDEDVTRGELLKRKGKSVILRIYDSLGGTSKG TIETTLDVKKVWKTNILEDDEESLEISKGKVDITLRPFEVATFRLQL LY89DRAFT_607592 MAANMKLSFSSLLWLALQTASVCQAQKYAFAHVVVGNTAAHTQA TWANDISLAQACGIDAFALNMGYPDSNIPTQVANAFAAAEANDGGSFKLFFAFDYLGG GEVWPATGSNSVVSYLTEYKSSTAYFNYNSLPFVSTFEGTGNIADWAPGGAIRSQGDV YFVPDWSSLGTGGIAPYLDNIQGFFNWGMWPNGASNMTDAGDVAWQQAIGTGKTYMMG VSPWFFHSASGGTDWVWRGDDLWADRWAETLVVAPEFVQIVTWNDFGEAHYIGPIYSD SEIAAGSAVYVDNMPHESWRDFIPYYIAMFKGSSFDISRDQMQYWYHPAPTAGGSTCG VVGNNADQGQTELSPDLVVEDGVFFSALLSSAAQVHVQIGTNAVTVYNGSEGINHWSQ PFNGQTGVPTFSVVRDGITVNSGTGLEITSTTTLSNGCTNYNAWVGSF LY89DRAFT_637052 MAPSALEPVSFYPERDGLALENLSDDIDAVNVLKATLKKENPML SKSSFDAEKDKTQFRQYEAACDRVKNFYREQHEKQTVAYNLKARNDFRAQTRAEMTVW EAMEKLNTLIDESDPDTSLSQIEHLLQTAEAMRKDGKPRWMQLTGLIHDLGKLLFFYG AEGQWDVVGDTFPVGCGFDKRIIYPDTFAGNPDHDHPIYGTKFGIYSPGCGMDNVMLS WGHDEYLYHIAKAQSTLPKEALAMIRYHSFYPWHSAGAYYELMDEHDHAMLEAVKAFN PYDLYSKSDDVPSVEVLKPYYLELIDEFFPNKVVKW LY89DRAFT_704368 MSRIQVPPSEGGPKVLPPGCTLENFNAFLTAARTLCTPSNVHLI ELDSPLIDGDYMHPCKGHDMHAILSQDYFVASATISPRDVPEVQELMRLCNKFDIPVW PFSIGRNTGYGGAAPRVPGSVCLDLGRHLNRVLEVNTEGAYALVEPGVTFYDLHEYLE KHGLREKVWLDVPDLGGGSIIGNAVERGVGYTPYGDHWMMHCGMEVVLPNGDLIRTGM GALPDPTIATDPNLRPDQQPGNRCWQLFNYGFGPYNDGIFTQSSLGIVVKMGIWLMPN PGGYQAYMITLPKDEDLHAAMDIIRPLRMQMVLQNVPTLRHILLDAAVHGTKASYTSS TAPLTDTELDAIAQKLNLGRWNFYGAVYGPEPIRSVLLSTIKSAFLKIPSSKFFLPED RTEPNSVLHTRAKTMQGIPTFDELKWVDWLPDGAHLFFSPITKISGDDAMLQYKLTRQ RCEEFGLDFIGDFIVGMREMHHIVCITFSRTSAASKAAAHNLIKTLIKDCAAHGWGEY RTHLALMDQIAGTYDWNGGASMRFNERVKEALDPRGVLMPGKNGIWPGRYERESWVIE LY89DRAFT_704369 MAPTQLQENLVKANEKYASSFTEGHLALPPAKKYAIVTCMDARI DPAAAFGITLGDAHIIRNAGGVAKDALRSLVISEQLLGTQEILIIKHTGCGMLTFQNR DAVGVVQSNLGVAGVEAIRSGFGSDFLPFPDLEGAVKSDVEWLKANTAIPVKIEISGW IYEVETGKVRHVV LY89DRAFT_575509 SKAAIARLRAYKPPPFTIWDRLPLSRRAAVLILLFADRRGDLRV VVTMRASTLRSFSGQAAFPGGKADSLEETPFEIARREAWEEIGLPRDDSKIPRPFRIE HLCQLPFSLAKTALAVRPCVAFLHSDDNSGSKASSVEESMIPRLDAKEVAAVFSAPFH NFLSEKDELREGDTIPGKRTDWYKGVWTDFNDTRWKMHNFFVPINNQKVSKPKIRESG QASIAEHLDEEEEEKMRYRVWGMTARMLVDAARVAYDEEPEFDHNRHIGDEDMIETLY NLGRLQEKVPGGPDLTDGDMKAVHAARAAQAAKTAAPKM LY89DRAFT_680885 MRALPNDVEESNCVLNIQRLSLGTLKHFKFDYMSQDVRDHCIKA TCLRLSLNFQPQ LY89DRAFT_680886 MSDILAALEQLDDLEKERRPKKSTATKYRNPLTSSNAKSANQRT GRPTRPRSNPESKAASAQAANSKNHLAPSSPSYHTDGERSPSVASTRRISFVDLPRPQ AVQRRLRIPTRGASLASGFPFDPKLNKYNVGETEWKDFSDAIIDAAELPKRATWAWRF HKNDVIKKMKRELQYEGDFKRILNAWNRHFRKKGFQVSLELPGVAKIREEDSPEEQEL ARQEAKFFRMCVTPNAEKAGSIYSRTSSLTRSVTGEGASVKTPIHSDEEKEEEREENE GE LY89DRAFT_680887 MDHSHMDHSAMGHDMPATDMCSMNMLFTWNTQNLCIIFHWWHVQ TTPGLIFSLLAVVALTALYEALRASSRRYERWVEKRTDEVPLVTESTPFLWSGRNQVE VSKRAHVVKAALYAVQNFYAFMLMLLFMTYNGWVMLAVGVGAFVGYLLFGNNTSATKD GACH LY89DRAFT_714508 MATPNNARPLDPSIIFGGVEQTSEDERTTHAASCHCGAVQFNVT LKWPFPKYPVNKCSCSICVSTGYLLVYPCHRDVVFIQGYENMASYKFNTKTKAHMFCK TCGTSIGIDFLRAEQGELDPAKHTFGINVRTFKDLDLDALEYTVFDGKKLIPTVDNVL RDKKDQSED LY89DRAFT_664570 MYVTRVSTKVPLSKQTAVQLSKAVTSLYQSHGLCTSTPGLHLTG LRAQPSRSRQFSWTSRSRLRDYFPEPDHDQIIKTDPAWPHPPANAEQMTKISYAHREP KDFSDRVALFMVRMLRWGMDTATRYKHDVESPKKPGDENALVGTKPYAMSERKWLVRM VFLESVAGVPGMVAGMLRHLHSLRRMKRDNGWIETLLEEAYNERMHLLTFLKMAEPGW FMRFMILGAQGVFFNSMFLSYLVSPRTCHRFVGYLEEEAVLTYTLAIQDIEAGKLPKW SDPNFRVPDLAVNYWKMPEGSRTMRDLLLYIRADEAKHREVNHTLSNLKQKEDPNPFV SEYKDTSRPHPTKGIENIRPTGWERDEVI LY89DRAFT_637072 MGFKVQTLWQAPEINPVNGKARSIPVLNPFNKYGRVFFFSWFGF MIAFWSWYAVPPLLPITMKKDLKLSQNEVANSNIIALTATLIVRLIAGPACDRFGPRV TFAACLILGAIPSVLIGTIHNAAGLYVIRFFIGILGGSFVPCQVWSTGFFDKNVVGTA NSLTGGWGNSGGGITYFVMPAIFDSLVSRQHLSAHVAWRVAFVVPFILITFTAICLFL LCQDTPTGKWSERQMAVQQNLQSHGVHASGGLVNVPGAITDRKADGTSTPPSDEKRLD EEAGVSRAKHGSFDHEAPMGEKEMIDTARGEVVVKPSFKEAMSVIFTPQTATVAFCYF CSFGAELAINSVLGTYYLKNFPKLGQTGTGRWAAMFGLLNIVFRPLGGLTSDFLYRKT GSVWSKKILLHSLAIVCGAFQIAIGVLNSHSHSTMFGLVAGMALFLEAGNGANFSLVP HVHPFANGIISGVTGACGNFGGIVFAIIFRYLGTDYGKTFWIIGVMTIGINICVSWIH PIPKGQVGGR LY89DRAFT_607616 MTRHNLDSHISWLLSHEVTFPATVYATNSAAPTAADIVEEEFWG EEIIPGPPRTAAVPARQHRVLTNVAQEFIRPPLPSAKPPLPEITRTTGDSSMGNLSSA SRSNRPGLLTQHQLATPASTSGTTSSAGSHSRGSGLTRNYAAQLSEEKGDTPSARKTV DRRPARFPRAFEPPQTPGLTPRSTVGAKTIDSVDLTGDDRFADLDLRSSSSDATFGPP VVLWREDSASRAEPPVLEPEVRTSKKRKSGDISPERSRRVVKLSIDRIKTSQSQPENL DGFVDIDDVPKRSATKTPSAQTQRSKAVQPCVELNFGMDALDAYQITETISTVQSRTR KSISRVSSFTSGTPSRSTHIQEQSARRSTESTGTIEPCKTTVQVAASPAPKLSNSQSN KVNPTPQKSRKRHVQRTIQDSEDDENFSDVEKQASCSPRTPVKATPRVVKASISPSQC DLSMFSPANRKAIDFTDSKPRVGSPLRPISRNITVGRNQLHSPARRDVQTKSSTSSDD AESKSDRQGNGQTPTPSLGLDDKKLVTTFVKDLSTISLYHERVKNLIVRNSIAVMAYH DEGAPAPTNLKEARKALLDKDKAYNAAIDCGKRYQSLMNDKKLLARQIWELLETNTDT SDLEGQQSVLTLNARNLENEIVRLLYDSGAVQDGFGTGSDDNKALPSTEPSKIPEASI LLPFGSSSTGSAQVIMQTQLPSLQSRIAASHDDRDLEVSLSRSSSANRGLTNVVDTSR DHSPPRPEFRNRNSIVGEPDVYPRTMQINVTKSSNQPIFHRDPSPAEYDFDGNDFDEL LQDEEDLQIAAESQNEIAEIIEDDFGDSEDDVELLEAAQDFENRQSLAEPVTRSRSSP SKEWAWNERNDCWNLRQISENDMYTNVDVDGSLFGYAWSTDVKIVLRDRFDLTGFRKH QLEAINATLAGKDVFVLMPTGGGKSLCYQLPAIVQSGKTKGVTIVISPLLSLMEDQVQ HLRELNLRAGRISGDVSPDERARIMRNLDEEHPEHFIQLLYVSPEMLNNNQTLSKALT KLYRNKKLARIVIDEAHCVSSWGHDFRPDYKQLVQLRVRYPGVPFIALTATATPHVQI DLKHNLGLPDDCLTYKQSFNRPNISYEVRDKSKKTSVEEIAEMITTTFKGQSGIIYEL SRANCEKLAEELRKHRIKAQHYHATMDAQAKIKVQNDWQSGKVQVVVATIAFGMGIDK KDVRFVIHYTIPKSLEGYYQETGRAGRDGKKSKCYLYYSYHDASVLKQMINPKDPKKR DKNIQPEQIDRLLKMVQQMVQFCQNKSDCRRQQVLSYFGEPFAREACRRTCDNCSDPM PHHPVDMTKYAVAATNLVKRVAEDDVTMTHCRSVFRGSSVKKVKDLGHDQLEEFGSGK ELSTDDADRLFLKLTNEDIIMEDHHLNRAGFPVSYMKLGKKCRKLLTGKEKFFLDMPT SGKSVATHQQRSKPQPKSRNDVQYPSTALTSPLAPSPRANRSTKLRGTVKTGTEREKF IATDSEDEEDAFEPVAASKRRTPAARLGPPITSDDQLASLPDRHRQLIHQFVEEAKVE EEKMRNRAVGRQPIFTEANLRNMVIRWTLTLDDMRKIPDINVDRVNTYGKKLLPIVTN HWAMYQAMGDDDEDRDIDPNHQNIIDLITDDEESEEEEDFELEPGLEEAILAAEKSPF FTASATGNRNSTGKPRGGRGGTRGFKRGKTKNYVARKSTGSTSGQSNAGVRKRNTSGA SKRSRGSNRRGSSIVRSFSNQGGSSRGGGGGIGMMPT LY89DRAFT_763262 MLFSLLALGLAASYARASHTLRFACSQLVTERLDPLVNPGSNPS PHMHQIVGGNAFNVTMDPSQDIAEKASCTTCTFSQDFSNYWTAVLYFRARNGTFKRVP QLSNQNIEGANGGMTIYYLSPDNKTTPVTAFKPGFRMLAGTAEQRTNNSVNLFRCYDG YDAKMNYRPNPMGVATTDTTDLPQKYCAGGIRINTFFPNCWDGVNLDSANHQSHVAYQ TGADCPATHPVQVPQIFIETIWNTGIFDKSLWPEDGSQPFVLSQGDPTGFGHHADYVF GWKGDALQKAMDARCDVYDASPDPLVFPASGCPQLTTQDYAVANKCSQKQIAEEDLDD WGMPITWT LY89DRAFT_728896 MAQNNNQEIESQSVAKSRRRGSVEQVRKQQKRDLMTFSTPDVLV TLLVGWESVRFTVSKNIACYHSPVFHAAFNGSYVESTSLEYRMAHVDEPTARLLLQYL YYNALSLVQFDDRCDTHDQLSTEEQHALTVQDNDLVCLWVLADELGMPQLQNEVITQF HAIQEKLNCIPAAPINLIYDITSMESQLRRYIVTFAPETSTLPILLRTENESVWMQ LY89DRAFT_310725 MCLVQKKGYLARSRLIYRNYASVFGQHFPQQLTRRYANITHTAS KNSTHDN LY89DRAFT_728897 MDDLTFSDPKSTITLLIGSSDPPIEMVVHQEAACAGSPVLRAAF CGNFMEGRTKTYRLAHVDEATARLLVQWLYAGKIVTIQLKEDWKYDPKDTEIMIAEHN SLVDLWVLADELQMPTLQDCAVTTLFQIQRKTRNRLNWSWQRVYRKTSESSKLRLYIC HLCALQIRPTSYSYYKDFIPYEMLMDIAQTLSDGLFRNGKLDLKLSDYLVEEG LY89DRAFT_728898 MATQNDFSYGDDIVTIVVKNASDKSKDEHIPVHKRHACRYSPVL EKAFGIDQKKEYKFLDTTASAVSALVSWFYMQEVNLDVHEGKYACLDQRPFSRQLLPD EQYQKAKACKDEVGTLIDLWILGGELQIFKLQNNTMIKLLAVAKTCALSFGPFYTRVY AKTQIGSPLREFIVRLCAWSEDFHEFESRPHLFPQEMLFDLLRTYSEALPPNVASARR HEMQRQYMDFTVTEHARDPDEGREISLSEMLQKYPRPELCPEAVGWGASDPPEAQHNV VKTSEKVENNELQDGGGLPSRRPWESDAGSGISDFMNPNYNTQNETETTPAPITENVE EDLLGLGRLGAWGNVTVVSSTPVGVPSEDLLGLLGSDHGGEEVNIACDCMLVCICGRS LY89DRAFT_728899 MEAIAIHHQLDASKPVGPSVKKAKSVEKGNQTPKRRRQKAKKGK NKGKKDWVKFDIHEKPERLPSGTQVKFALEETDGNGQEDKRPSGQQCAAEAQKKDPES GAEEYVTFVPGWGVPANFSIKKSDISKHCPTLKVAVNSSFLKTQNQTYKLKHCGPGTI SMFREWIHTQRLDLDDKLADKVQRVKLRYDLAFLWCLAQELEMPGLRIAALDGICKIK EYKKGDGQFIPWCALQTIWSITTYDSALRQLYIDELIWGKFYSAWENHADLFNKEMLL QIATAYRKALSPFSESREKGREDYVVEKQEGKGKMKEQ LY89DRAFT_310732 MTTQEDLAALFQRNLSLQNPPYVAPKVEAPVEQPVTYYSSQHYH HSAHIAAPQPARPASEPPQTDQLTVEIILGRHGVDVNSLFPSQIELFKMAEASQQMRL IELWRICPPDYKGHALAQDLGNWPCTSFQQEEVMAKLRYERQMLEERMARTGGDQHSM GSAEDAMSDASTTAPLTPIQGGDGRWQTQVHGAEPYMQSGYEALAAREYEQSTWPSKD VYSHFGSAVGGPKYNPSTDPVYNTIEDIQKYPNVGSDWETQQQRQIAMLENQYGAFDQ EFHHNTGGVTIAGHNGEDEEML LY89DRAFT_680892 MTSIGTGYDLSNSVFSPDGRNFQVEYAVKAVENGGTSIGIRCKD GVVLAVEKVITSKLLKPGANKRIATIDRHMGAVSSGLVPDGRHFVSRARDEASSWRKV YKTPITTADLASRMGGYMQAYTLYSSVRPFGVTAIVGGWDSELELPVDGQVGKGPSIG SGGKVEGKKYGGPGLYMIEPSGIYWGYYGAATGKGRQTAKAELEKLNLSEGNLSLLDG VKEAAKIIYAAHADNKDKDFELEMTWISNLDGPTKGRHEEVPKDLLEEAERLAKKALE GDDDDEETTDKPAEEDKMEE LY89DRAFT_704379 MKFTAAIAALILAATVTATALPNADARCGYPGQPCGKVKARLAE PEADARCGYPGQPCGKAKRDAMPDADARCGYPGQPCGKVKRAAEAFAEALVPKPGHIL PRDLHLTARCDMGSCYEAKRMTRDLAAIVAETTEDPAAYYVSLGLEDEETSEKLKREA SARCGYPGQPCGKVKRDAAPEPEADARCGYPGQPCGKAKREADPEADARCGYPGQPCG KLKRAAEAVAAAIAEAEPAARCGYPGQPCGKAKRDAFALAHAVDLVLGNL LY89DRAFT_714514 MCGKHDLESGGIDYADKRIFKRLMSREMPTLSAVAKTGKAHQFQ IQGAEYLVLQQPLLFAILQQHSLLSLFTMLSRSSARSVSLTWASYQSLVKSAQAPLRS AQTSRSFATVQSDIFKPTKYGGKYTVTLIPGDGIGAEVAESVKTVFKADNVPIEWEQV DVTGVESGDKHSEELFRESIASLKRNKLGLKGILHTPIERSGHQSFNVAMRQELDIYA SIVLIKNIPGYKTRHDNVDLCIIRENTEGEYSGLEHQSVPGVVESLKIITRAKSERIA KFAFSFALANNRKKVTCIHKANIMKLADGLFRNTFNAVAKEYPTLETNDMIVDNASMQ CVSRPQQFDVMVMPNLYGGILSNVGAALVGGPGIVPGCNMGREVAVFEPGCRHVGLDI KGKDQANPTAMILSGSMLLRHLGLDEHANRISKAVYDVIADGKTRTPDMGGNNSTHQF TRAVLDKMEAV LY89DRAFT_680894 MSQLVEASGFQLVETPTGVKAATGANAEVLPPRRSRRLRQQKSK SSLQNNAAVQSNALVQTKAPVQTKAPVQSKAPVQNYAPVESNGPVQRNAFDEAKSSQT SKTGNQSHLETNANLPEPESPPRSPIRPISEVPKDRLNFMEAVAQQERMMYESVQQPK QKSKQGEFEDKFKGRSIREIMGMAKKRKRDPEDEGKGRRRVNTEGGDGETS LY89DRAFT_575686 MSRPEDTLPPDLHYNDAEARKYTTSSRIQNIQASMTNRALELLD LSSPSMILDIGCGSGLSGEILSSIDPSAGGPHIWVGMDISPSMLDVALQRDVEGDLML ADMGQGVPFRAGSFDAAISISAIQWLCNAESSEVSSTGRLSRFFNGLYASLKRGGRAV CQFYPKNDLQRTMISGAAIKAGFGAGILEDDPGTKNAKLYLVLTVGGSAEEGTGGDIT GVVKGMEDVDVLDARKKHREKGRREIKKGSKAWILNKKEQMERKGKVVKNSSKYTGRK RHITF LY89DRAFT_607632 MWILPLVGYIGLALGFGFLTLAIASGLYYMSELVEEHTVIAKRL LTRMIYLVIGVQVLLCLIDGFPWTLSLLSIFSHLVYLGNMRKFPVVKLSDPLFIASCV LVLLNHYVWFNHFSFASLARAPTSRYDPTALPTFTEIASYFGICVWLVPFALFVSLSA SDNVLPTMGSEAPAGAATTKRQGMIKVVVDTIRDSIGEVGRKLGWSRPERDF LY89DRAFT_680897 MGSRKFEKAPEPAAESEEDNTQPTSPERAIEKAKKLMVKYNINR DAKRKAIEEEYEKHAKDVGRRIDKLSNARKSRVTKSQKALWDRLDVLNKKRQSLENTI LMSMKAVETHTLNISSELYAMFEGRIEELQEPSTPEA LY89DRAFT_664584 MGLAAPKNKIKISHDPNNTRWTNDTSSFGHKIMTSQGWQPGQYL GAKDAAHAEFHTAANASHIRVSIKDDNLGLGAKIGSGVGHGECTGLDAFKNLLGRLNG KDEDELEKEQKSRDDLRIAIYTERKWGSTRFVSGGFLIGDKIQHLIDAEAERIRKLAL GSSTESSCSSESEEEETPVETTKKSKKRKAETLEEPEVVVVKIKKSKKDRKAEAASEA IKETSNPDGAKRSKKSKKDRKSTVETDEIPDEIELKRRRKQERKEKKERRERTKFEAE ATEEEPKKKKKSKKDKHKEAEGSSESTTKESTPATPMAADSIGRSTPIMMQGRHAVRA RNIAQKRMASMDVASLNQIFMIKSQ LY89DRAFT_763279 MVHKDFACHYSPVFQAAFNSSFIEGQTQEYRLQDTSEIVVRVLI NWFYTKKVDVEALGFTGESIKTAYYETRFISLVELWILAEKLLIPELQNMVIREFYRL KLAAMIVPTSCFHAIYEDTVVGSPLRRLCVDICVVYLKHSVYRETADQIPHDMFVDLV ARFSSLNRMELDINIEAYQVAEQ LY89DRAFT_728911 MSSSTQAAAQPSRVAVRAHQIRSRPNFNSSTEFVTFTANKDNVG VKFVIHKEFACHYSKVLKTAFASSFIEGESQTYTFPDYGEEVLRLLVGWIYSTNLVMP EWDNIFFEDTNTDYLTQLWVLADQLKIPQLQNCVVRKLKDITDSSGRIPTHCLDYVYQ NTGVDSKLRTWYMHRCAKIMRPYFWSSQNFPPTMLQEVVAYLAERKPEFQRPLFSDDD LTRYEVPEVEQ LY89DRAFT_763280 MSSSNNIVEGDWQSRLGILTHAPQHSAISPFRFDVIRGEYPPQL ENPTKLVKLVAKDGDLEEDFIVHKYFACHYSPVLRAAFNSDFLEGQTQTYTIIDATPH AVKILVEWLYTQLINTAPIEDDAVHVQQRHLLVQLWVLGDRFLIPKLQNLAIHALNRL IMAIGLRPGLSVEYTWAHAPVGSPLRLFFLHAHAVIGEFDWYQQNLDSVPKEFLAELL EIIGDNQGCLEELLPNHHMTRYEVREIIEQ LY89DRAFT_680899 MSTNGNTTPPNDSATRNRRGSFTSQTFNNIFGRSNSTSGGPTAP FPGPITTAAAQDQRRRMSISTLGLSGTSPTQNTAFPFGARRGSVSTAGSDSIDENAID DEEGPGRSQPTTPFTRRVSFGAQALRSVRGSGSPGTSGEGGFNWSEQLRSRAESSVSQ SQRPSFSTSPTAKPMHERAKSITEMPAPPTAVPAPAPARPERKKPDAFQERILKGDFY MD LY89DRAFT_728914 MADQDSSRSAQMTAVNNPTSSLASSRATAATPSTSSSSNEDDTP ASTSQRRPTNARKMPPTFRNSQMLVKLYPGCDPKAEPFVVHKDWACHSSSVLEAAFNS NFLEGQTQEYRLDIESIDEDVVALFVHWIYTQKLDLSPVQASGAETLPTSSNKDIVLV KLWVLADKLVIPSLQNKVIDEIEETRRSTRKVAVYSLSYVCRNTSRDSPLRRLFLAQC AGHLKTSSFASDPLNYPQDFLIQLVMLLKDGMTPEVKERLRPRHDMSVFKVAEN LY89DRAFT_607637 MSPQKCPIILLDGGLGTLLTSPPHLQTFNHSTPLWSSHLLLSSP STLLSAQSSFATSGADVLLTATYQVSFEGFANTAPGYAAKDAERYMRSAVGIARQALS EGRGKGMGKLALSLGAYGATMVPSSEYSGVYDSSHSSITQLRDWHFKRLEVFLPLKEE EEERRECWRNVDLLAFETVPRIDEVFAVREAVFMSMGRAEERPFWISCVFPGEENRLP DGSSLREVVRAMLGRREGASRPMGVGLNCTKVGKVDGLVREFEDALKDLGEDGRGVSL VIYPDGTRVGERYNTVTQRWEVDEEARKGGQEKEWDEEVFGIVIRARERGVWESIYVG GCCRVGPEEIGKLRKRVDKVIN LY89DRAFT_310956 MYLSFYDLENIYCPNKKDYSARLAWLKEIGLDAPLFHYRYTTLY QRQEVEDAWAQIPPHLRKYVERQAVMFKYKTTIKLDSIPLRHVPPEVLPLPISVLAMQ FFNALGCNLNDVRNTMNKRRAWLKDLNLHGPLYSSLCVYLPETKAVDQLHCNSYSVIE RRAIQAKAAYLRVMLNPPKPSWVDFESKYSSSPRSSPKG LY89DRAFT_680902 MRYSSIITTLIATSVASAHPSPLRYTVSEAPNLNLHSTEWNSNI SIQLFAELEELSRIVDISYCVGTTGISKPFECVSRCDEFPKFYLVDTFNTGPLMSDSC GYIVLDHGTGRLGQGRIIVAFRGTYSIANTIVDLSTVPQEYIPYPDSPDNSSDPDQPI LPTHGHHRSLWDYVPKPPVLKKLFGAQVEEEKKPEPVKCSNCTVHSGFWTSWQNTRPF VIPHLKVLKEKHPSYRVDLVGHSLGGAVAALAGLELDALGWEPVVTTFGEPKIGNKGM RNYVDGIFDLPSDGDSEATKPMHAGRYRRITHVDDPVPLLPLQEWGYRSHAGEVFISK PSLQPAVSDLRLCFGDEDINCIASAEVDESWFQDGQAADAAEIYNSADGDVDLEIEEA DGEKLSKRWGVPIPARYKMWQLFFAHRDYFWRLGLCVPGGDPLDWGRDRYRFGDEDGR EEL LY89DRAFT_714523 MPGRRGGRQRSPAPAYQPYRDTGTWVPTPNVEDPTAFPPLPGTL TSPRSIGFTATVALQDTVEDTAAKFSNLALTKIEKMKTPQFSNPSDMVTFIVGLKKEK FIVHKEFACKNSEVLRAAFNGPFLEGRTGTYILEDTSAAAFRMFTEFLYSGKITLHYH NLNAEDDLVLAEDEEHIEMCAQQDTDLIDLWLLADRFLMVDFQNQVIDHMKRIYQICG VMSSCQFRKIYDNTQEGSPLRRLVVDLCCWAFFADYIKDKSDKFPQDMLVDVAIAYRD AAPSNVITSKRSEIPTTDYHVKRQAS LY89DRAFT_728919 MPALSKIVGAAFLAVAAFFASGVMAEVVGVEINQNAWVHLGNGV SAKMGEDSDRTKMFLWLDCTGINDSEICPRIDGADGSTWDA LY89DRAFT_664593 MPVVPKMTTKKAILAIIAFFASGSLASGVSVCNNLPYQLQVYNV PGSQPACAGIQTSHHPIDSCTCHELPWTPLGCGVSIKASKDFDLTRGIVQFEYTRTEF GAAGSEMSFDISDVDGGASGVAGSAFLDAHIVARADGEGAETCNPPRLECEFGKVCAD AYRYPTDDVKMRTCAKRADRWVIEINNPNGGCKANPPLLEQQRPAETVYEIDVPDPDH VWTEIVDVAVEVE LY89DRAFT_310975 MLSLWRSHPPWRMSRIAISGASRRTFSGEGRLILGWQASPGISR LLAVFPPEIPLLIPTDQKQKLRTRPSIWGIQERQQLHSHRNINPRLASPRLPGLSFHF ALPMFSVTPISTKINKLQTRLSKSPGRSKINSVGLKNGQTTPQGGRKRPPNKQGPRNR KCCPTRLATKFGL LY89DRAFT_311033 MPPLSRNPLTIAAVINLATESITEATSYLSTLPHPIEPLEELIS VIAVTSNLLSALQTAIDRYPDLEFSPDLSFLNPLSHDIVYAISQLNGRVEDAKRSKIF QPNDVGLVRLPRNAWILINGTEAKAASLRSRLYVEKYRVRVLLDAVNWYGLRSLEIRD MDEEREFQNVRARLGLVAERLVGVWKDYTPRLKDLENAEQNPRVGNPFSDLVEVERQR SLQRALQLQMMNQQAQALQQMQPPRYEASMEQGQALRAQKEVEQATQQQQQQQQQMQP ENTTPASPTNNDEKQALKRTNSGISCSSTTTIHPDTKYETYLLRRNPPTSTLKTSTIT HLLGIPILWSNTLTHAPATHYIKALPSSNTEITTFRTTSQGSLSAVQHESKLKKDILN MPDDAQWEVQKLIEGRETATSARNVKREWAVVGMVERCRRKVSHGGLRRGRWWERRSG IDKRDQTEWVLVLRGETVDRQERVLGGKNANPWEGKREVQGQQVVQQQQQQQSVLRHV EVRRTMSVEEAEARMDEMLRDMFKFEEHEAFEDESDDEEQDDEKFTVTN LY89DRAFT_311031 MSIRVLLREHPQRSIALVTPSHALIFRHTPSATDDPRNGSLTSL QSTQVRTSVDGAAAPKCMVEFSALDSVDLSDYRTLSPLPVHGTLGLITLNNDVFLCVV TGATKVANLRPGETVEKIFGVEFHCLNSNEFDNTFSDGLDPYSVEGQDYGSALNRREG IEHPCLELQKLLGNGSFYYSTDFDLTNRLQDRSAESTAFDVDNLDESFLWNSYMISPL VKFRSRLVAHEKEALDNSRILTSAIRGFALTITIPVSSNPLRTTTTGLPSSLTLISRL SARRAGTRFNSRGIDDDGNVANFVESETIYWSPTVVGQSDPLTSEKPAGICFSYAQIR GSVPVFWEQAAGLIPGQQKISITRSSEGTQPAFDKHFGELEQNYGAVHVVNLLSETKP GEAELTGLYRYGIRHSALNRQDEKGSKDHQLLRDTEFDFHAETKGPQGYEAASLIRRM IENSADGFAYYMSEEIEDPNEDNQEKPHRRNVVVLQQEGVFRTNCLDCLDRTNLIQTI ISQMAFESFLEHRRERAASDFWMRHSSLWADNGDALSRIYAGTGALKSSFTRHGKMSL AGAIADARKSATRLYINNFADKGRQNTIDVLLGRMMGQVPVHLFDPMNDYVQSELAKR SSEFQKTEAINIWCGSFNLNGRTEGIDQDLSDWLFPGVNPSQQHPEIVAVGFQEIVEL SPQQIMNSDPTRKQAWERAVRKTLNRHARAAGREHYVLLRSGQLVGAALCIFVKSSSL RNIKNVEGAVKKTGMSGMAGNKGAVAIRMDYANTQICFVTAHLAAGFANYEERNRDYT TIHHGLRFQRNRGIDDHDTVIWMGDFNYRIGLSADKVKHLIKIGDLETLYENDQLNLQ MVAGLTFPYYSESRITFSPTYKFDLGTDEYDTSEKARIPAWTDRILRKGTNLRQINYN TAPLRFSDHRPVYATFQCTVSIVDEARRESLSRQIYEKRQLDIGNTSASNQHDDSDDE DLIGYDSIEPGLPPASSDKRKWWLDGGQPARSAIKPPQNGFIPNPKRPSNPYSVTDEP DWVTVPRPPPPRGSRNTTSQPPPNPRPMTSGATNGTRKLPPPFAPSVSELTRTISQAS LQDDMPTPRPAFPARPIERRLSTSTTNSSSSKKAPPPVARKPVHLTASPSLTPSPTLS NISATPVRNPIPTQKPRATTIDQSSFTPPPRRTIAPRGLGIDADFIKREIEDKRGTAT PPPPPPQPRRAGGASRTNGTSITGDGTEDEGPKPRLPPRRPTTTDLLGSDEGAVGMSW EALKPS LY89DRAFT_664596 MTAFMECVMQEILQHPDAFEPRPCGPRTFKPLRQRARGRFSGDI KSPSPSRAVGVEEMDTKPEEGTPEPDDIIDRSTLCLPDFTGNSILHGGDNGFKNVLRQ YFPSSESNAAERLVELKARLREASTHDFWGILMEEMCDITGSQCGFVAKRMLVDDQDS AVEMPELGEPGSCLMGVAFYINNGADVKELYRDYRYHAYGTPCAHMRHDKIFIVPERM TEFVPNNPNAMPWKHSEAFIGLPLFHEGKCFAHFGMIWSSEGATKRKLGWTFIEMFLH SLEDMILQRILEGRGFAKESAAPDSAPAKVIPLSAITASQSLKPYARSLSHELRTPMQ GVVGMLDIMYSTVLDAIANQPSDHVRAVFKDLKNHIEVVQDSSRRAVEAADNVVHAYD MNMQMPETPLTPNDLDILKGLVTPSLESDLQSPPTSRKRERTEELDFFPGPPMKRMFA MTESDILRTYYPEAMNSDGPTISITETVTSTMPPSDVSVTESEPRFSPLLSPAIVSPT LRRVITREFLRSLVNEALRNGHPTSEVHNETDLGEKIDVQTISSRGEIQHRTIHLEIE SDVPEVIITEEQYLQFALQKLVDNAIKFTEHGSITITVKISRNAQVVEIWVVDTGCGI SEASKSNLFKPHFQQDSSISRSRDGLGLSLFNAKAHVRKKLGGDVTLERSDTEGPSKG SEFLIRLPISSQEPGFTDTPLVGSTPPPGLNQSCRVSPWPDNNVPYISSLDSSTSTLP SRCKQPKQASRKRQSFNPQLAADYPLNILIAEDNAINRNVAVGSLNKLGYANANITVT FDGLEAVKTYESSLDKPPAERFNAILMDIWMPNMDGYEATRKIVDLARENGESTKVIA VTADVTGESVERAKASGMEGFLAKPYKVADIERLIVENFDRCC LY89DRAFT_777844 MTSQFSDGDATNSILRPRHRSIEGHTTFSSPSTMIKFFISDENN TVEFIVHKETACNISPAFNAAFNGQMLEGQIQQYFIDDTTVRAFRLLTQYMYSHKIRN VQLGSEWHNTVEWWEEHKDIAYDENMSLARPFLPVELWVLADKYQIPKLQNLAMTALL DVQYQNLTVTPRS LY89DRAFT_664598 MPAPHYLAPSSEAANQLPGLVTIAPSGRSTSGNNGVVDAEFPLI TENSGSEDKLRSPLAAPDSSVIESSGEYDEWYDGNDSAVRADFIAANSVPGPRWREMN MLMFIIAFMAAFHATFDRGKFIRGSTLEYRIKNTTPDAFGILAGWLYTRKVDPYDDND EEKEYHGSAITALAEAWSLAEQLNLPVLQSGILQTLVDMQYLHKISLDDKTLCYLYKH TSPGSPLRRYITVNTVREKSIRDIGWDGSLGNGYPKQMLQDMFLLLMQDRQGYGDLRV GDNEAKIDAKRFFVPVPPFA LY89DRAFT_728926 MGEERATSLPSNITKHAKRKTKMSTPSYWSSLFKKSSKLSFKPM GIIRFIIGPDRHSCDIHKEAACRHSPIISSAWDAAFDSELDENNRLEYRLESTTLRVF EMIVQFLYTANFDFKMVKFNKDDFDARLSNLIGLWILTGELGMHTLQILTLKELGEIW YEHKLPLSVEALSLVHDRTGPESMLRAFIVPMIDAHDEVSTIKVPEGCPTELQMDLVF CLWRTTESKSASEPKNSSSNADG LY89DRAFT_728927 MATRSAGNEKSLGHGQKRQREDEPDFSNPTTLVTFIVGAEPNTE KFMVHKEVACFGSPVLDAALDRTSIEGDVLKYTMDSTTPRAFRLLVQWLYSRKLKVLQ LRTLSESPTTTHQARVEAAQSEDESLAELWVLGRELCIPDLQNHVLDKIFAIYRFRSR IPFTTYHYIFKNTSGWCGLARYTIDFCLRYLEPACLEKHQIHFPRKLLVTLTMSFTKQ RDLRVESGSAVLEDILPATYYESNNNDVILAANEEPEGIFE LY89DRAFT_664601 MAGKPKTEQVAKRKDTEDSTGPRKKHRKSASTFSKPAIFVTFLI GPDRSEFVVHKEVVFNRSQVLAAVFSSDCIEGQTQTMTIEDTTEAAFRFVVQWLYSEK LEIAQLEAWKDKVAKDTKFLTEAEQMIAIEEDQVLAETWVLADKYGLSGLQNLVVGYM TDILKCTCIPSTRTFKYIYQHTSDDSQLRKACIISLPISML LY89DRAFT_311363 MSMTAEQTTTTSFTCFPRLPPELRQKIWRFALPTSRIVQVYGNV RPYLPRFEVLGRGKGHPEPLAVLAHTCRESYHVMRESYDLLFQHQTKHGIYFNSENDI LYIHDRYAQMLFVERNKSKNDQHYLEKHAVRYLAFGRCMWGRYCDRDTLWSYVPYYHI GLLESLTGLKHIAFIANGSQDLRGLTASGLFQEIRARMRDCANSRLPRLPLPVNYDFP TEDLKLELLQDVTDFQKSILDS LY89DRAFT_777846 MTTTGKPPGPQVLKTVVLDPKASALQIYGRSHSPVVKSASPSKS LSLDLDNVALAYFLSYHIVVGSGILDRGQYEFLPELLASQQHVDPALHHSLNAAGFAA FANSHGIVSMLHKSRVECNSAIRALHTALQSPETAAKDSTLVAAMLLSTFETVTYVYH QDVESCLDQITGGLALLKIRGPRSLNTRPGRQMFLQAYSLVVAACMQREHAVPPTLFD LRNNIKPSLDQDSCSWQILDLMVQFTNLSASLKNNSHQETDRSSYVLSAATQLDNEFQ AIASELRSTFRYEIFRYSHSSLVYNGIYHVYDDAWTIRYWNYLRLCRILLQKMVLDNC HPSLDPTPARYQSISTTIIQLSIDVCATVAQHAGYLPLLQHQQLLSRELLNQNASQPE AGPYTAGIYSLLHPLFVIGKMKITPMEQKEWIISQLEYLGRLSGISQAMAAMEVMKL LY89DRAFT_607648 MSNEKPPTVLITGCTDGSIGHNLVLSFARQGYQIFATARRVSAM SSLIAIPNITLLELDVTSPDSIRTAHETVSEFTSGKLDILYNNAGVRFMSMGIDTTYK VAMDTMAVNFSGIVEMVHVFSDLLIASRGKIVFTSSGAGKLPVPTQAMYNASKAALDS YAMTLRIEMQPLGVGVINVITGQISTGADTMGTQALPPLDAKSPYKPIEKALSKFWTS DRPMMDVKKYSDAVVQRVIGKSSPEVIWMGTGFVWWMNFLNLAWLFNVLMAREFGLNK LNMEKV LY89DRAFT_664605 MSSRRHIRTLRRLQRKLWDSIRKMEDRLECRSCSVPRELRQAIG SLSIYCGKRIDAADRFIRRYRKQFATRFFHWILLGLPGFNLFTEFHTQLVDCQQWADV IIQTMAILLLSAEARAISINRDLHPQNVPRGAEEVKCWVHLPESFTYCKVEEGWAKIE CREDLKEALEVTQIQHPRRIRNRNDLVSNGVDLDGLELLVGRILYNGSIPSSTESISY TRSPPPIESRPNQHRRRYRTTRAVSPNQRPEANNALTASITYTRPIGQRDDLSTRIQV PKRDAHQPSTTIPDDQRSRRPRSVQPTNIHPKDLLSNTFQHGPAETIALNIPLLNTGP NIRRNDLPPDVRPPNTRLEVRPTDTHNTRRVDIHPAVGPRTVLQDKLERVYPFHQPYQ PTPAAKHWVANGEPRIVDLFVRKDEESSRREDCDVIPDVTRQGQTKPRSQRQDKYTRT EEIQSQLVHPQQESHVTVEKSSSQRPEPVLRRSRRRSPNYNSENEQLQHGVPLTRVPL SAKISPSDVPHMYQKHQVGGDIRPLPLAQRRIINLADRRDFTERPTLNTMEKIPQHDR TQKNVRQKEEHVRPQGRPKVETHSGIGVDLPRDQTRNRTAIDNSIAPVLKPEQLPRSR QSRSKHREPSVNHTHKTNAAHFNGSMDCDTSSFPDTPLPHRVSLSKDDRRRLESLEIK QPVPRRNSLQFRGDLRNYATPITDHNANNHRNMADNANMERKPDKFLQKRPPGSSHIS SEKTQMSRTSSRAPSSSGYDPGIGMSRTESQTSNPVFESSTDSAARRGSTKQMPLAYK FDEGRRGRRDVSASSYDSGIGMSSDAESQR LY89DRAFT_311399 MNTTNSKFSTHVNFVPSPNSRGTLSILWSSLFTILACTWTVQHL NVPEQRNSCKPGWMGTLTWYTRKFLKSARWMIVTSIAPEVVIGMACYDLVTANITLRS LEKIALEDGVPWTLTHSYFANMGGFVIESGVEKPSAVSTYISMADVEVRSTSQAGPNL RNRREKRHEIRRQPLTNHLPSFVTGGVNEISYHNPYHLTGRQICQLRKEGILPRLPHI SEAELTDRSKSDGFVKAIAFSQILWDIIQIIVRAARKLPISQLELAVIAFAVCAMIMY GLNWSKPKNIGAVTAVIQYEGPIPQGVLTLIQGWHSYLGYILIADDRGRRRHGSPIRN DSTQDDPHDYWNLLAILLGAPVFGGIHVAAWNFGFPTKIDLIIWRVTSLYSAACSPLL LFGGCLVQGVHNKDDRVLYTFLSTVMVLYIIARVALLVEIFRTLFFLPPLAYVSTWTK DIPHFG LY89DRAFT_763293 MSFTCFPQLPTELRLKVWKHALPGPRTITIYTCIPGPPDRQVRG PARLAARAPEESTLNVMYYTCKDARSAVKGFYESHFGAQLGDSIWFNNDVDTISFDHI TAAYRYFKLCEAYSLNNQNPLSKITKLNIAYPIDGGPVYHSSFFSRVLCRLIYFAMKL CPDLKQFTFFMHSNLDIDQMNNWLSRVSRDAVGGPWNLDDLKFELVRLQAPPNAYSPL A LY89DRAFT_763295 MSFTCFPRLPAELRLKIWKHSLPGPRIITMYMELRAGHDLLKAR APEESELNALYYACKDSHNVLKAAFKRQFHGVFMQCPIWFNEEIDTILFASEKAMSHF FVESSQRRSTNRTGPYSVKYLILGYPLETLLLVRLGSADELAKEIMFLVFQAVTRFIN LRQLTFVLGSAYEFDTQAVNMEFRSVNHMDKEAPMYLGAGYGENVLDDLKFELVRLTD VQESWSN LY89DRAFT_680912 MRLSFFTVGVLASLVIIVAAWSKEDQEIFRLRDEVEAHEGPGVT FYDFFGISPSASQDDIVKAYRKKSKLLHPDKVKQKFIADKSTGKDKKKSKKPGVHVSK GPTQAEIKAAAKAASDRFARLGIVNQILKGEGRARYDHFLSNGFPKWKGTGYYYARFR PGLGTVLVGLFIFVGGGGHYLALYMSWKRQKEFIGRYIKFARHAAWGENLNIPGLDAA VTPPPADETDPMAQPLNRRQRRMQEKDTKKDKGDKKSKLSKSAKASPAATPPVGATGP RKRVVAENGKILVVDSVGNVYLEQANEDGETQEFLLDPNELQQPTIKDTALYRVPIWA YNSIASRFLNKAEVTEEDDDDFDEEQNTPTSSSGAEDFEVLEKVKTTAQTENGKAIRR KKSNRGR LY89DRAFT_728937 MSRIQIPLDILTSRLNLGDRFAGVRSTSISTRFANLKPISEFLD LKRLSKPANFSEIQSRVNYNLGHFSSNYAVVFLMLSIYSLLTNMLLLFVIVLVVGGMW GIGKLDGRDLSIGSFKATSSQLYTGLLVIAVPLGIFASPISTILWLIGASGVSILGHA SLMDKPIDEAFSGEAV LY89DRAFT_728938 MWERSRVEELDSDDTDTQGVGLHSEFKRFSSDTLQFTGIDLGST TRARKTYTLQDSEVSDSDSAESEFEGTDALQIAMRDKEEALVQSALTRIRRAQEKGKK EVKLNKAEVDALERRRKRMQAAATTKQRKASGSSGGSETERRRRSDRNITIPIASQPN SRPSSSSRKTSKSTSKRPGDATPPVMLVAGPDGLAYAPSATYVPPVASGSRNSPTRPR SASTQQLRGAPAPYFTYQQPRHISEGNRPPSSSSTSSRRPLPDDENWEPSSRRSSASS QNYTLNPFEYQISSDAPPPIPAQYLSQSGSQPQPRRNVSGPADVSYASVRRSMPPPQT TGTGSYPFSSRGPANSDPSIPRRRADYDYDLRDRERGPEREEINLVSSSEEDEEDESD DLGNGVQVFVDEREERARDRDRPVSRKPVAGDGRGSARRKGKR LY89DRAFT_311419 MKRVIFLNSLIILFSALLTYALSVFYCVGLRLKSEVRGWDVIMV ICTCLMFWAFHACIVHLLFGDYGFRE LY89DRAFT_680914 MGWFDGSSAVGSSSSHRDSSHHRKSSSGGKHHSSSRSHSHHEPR ASSIFGLGDPKHNSSRSIFGSGDHKHNSSRSSFFGFGGHRSSSSAYKRSPRGGYLKRI YSQLRRLLRDLMYYMKKHPMKVFMLVLMPLITGGALTGLLAKFGIRLPGGMEKMFGGK PQGGMGIGGNGRGGMQWERTHVEGHGSGGALEGLGKVVGGMGGIGGAMSLAKMFM LY89DRAFT_680915 MTGAPIDYYAALGIVSTASQQQIRDAYKRAALKTHPDRVPSDSP ERGERTRKFQLINDAYYTLSDPTRRKDYDSARIYHGFGSGASTASTAYDSDTDDIPDP GPTPGGFPWSSFGFSSKAKSKEEEQKFESEQFGDVFEEMLREEGMAEGNGRPTGMFWS VVGGLSGGAMGFIVANFPGMIAGAAAGNRLGAIRDAKGKSVYAVFQELDQSDRAKLLS QLAAKVFSHAVGI LY89DRAFT_607663 MSSFSRLVRFLAKDGRTYYGDAILPHGVTDIAKAKQAKIIKGQI FGKHDVTDQVADIRLLLAPLALEDVNTVRCLGLNYEQHAKESNMPIPKYPVLFYKPIT SIAGPTDPIPIHPLAQQGTGLDYECELVAIIGKPCADVPESKALDYVLGYSVGNDVSH RDWQIKHGGGQWALGKGFDGWAPFGPGIVSSKLISDPQNLQIWTKLNGKVMQESSTKD MIFGVAKTIAFLSQGTTLLPGDMIFTGTPQGVGMGRKPQVWLKDGDVVEVGLEGVGTC TNQVEFIKAKSKL LY89DRAFT_311482 MLREGESFKRPPNRKKQQSFNSPEFWEAVNSIALQSKKHRSDTL SITSIIQSVFSEKSRTRSQRRALRRFTREIELYLQAARTLPKQSLVPSITATSISANT VLELKPYQSQFQSAGLAVTSEEQRRASPPREFLNPPQTPPKDEKWEKMAILSRKSKIE PESSKKEEKGKAPERGPPSFASGSTGTTVLGFTPPHEKSYPRPKMERKQPSLESDHTI LGFTPPHERMITPPPPPPNFSELRPTTKRSLPWLRRNSHSPEASPTKRMSFAPVEQNQ QPRASTPLQGWVSTFEIADKSTGTKNEDEIQIPKNRPKSTSRQSSATRWRDSRVASRR VTSKSTKEETKNPIEPSPAVVNTTVDGTTPCHHVNNYVNTAVQADVPDDEQPQPEVSS AQAEPSLIERAPILISRNKTFPVPPKKCTGDCYQATEDLIPIVPPKKSARPSRVTWQG VNSSTQTETLNTPIDEKLEEATDAQKKKLFFPSTFPTADRSSTFPFYSRTVRSSRLVQ QAPRMKSPNKSMDETKSQIFQNKENAGTETSNPPSRRPPSPPALCSQCAGPIDTNDEI LVPGPTSELDPETEEISKSRPTHACTSRSSILCQQCFPSRQVSLELSPLEATSPVEVS YHPLSRRSTRPTIPTEIVTYPEEVPHFVEQPTPLPKPCLDSVEGKEMYRTMVKETLDE FKKQIPIADPMKQFPITRVRMGPPSKPQMAPQRRRPLSSSAPRAAASPLIAQRPLVTA AACSLNHVASSTTSLDTVPAKSNQITDKQVFRGLHVATAAACDEDVDRWIEEITGMGV RKFLAGLNAFEGLGVNTLANVAKRAARQRRDQMNAWEEVRKRKLASQSREIELDRTQY QVQGVADDKLKVGEFVIGDQGVYWNGGDEQEEDDQDDIVMGDQGLEMKQKRDGLLGSM SQRRDYRESEGARERAVKMGWRERSVSG LY89DRAFT_311590 MSQLSQQNEGPSDAQTTQLQFFPQDSPTGGRNQVYYSPNNSSPT APDTGRRSQRKAAPSPLQTSTTATSPLSSVTPTRTTFPRSGVDHTSPSSSSVHQSPQQ PRSPTERLDDLLASEKSFYTSETSSVDSLPEANPPRYARPVNETNEATRSVSDPVLTS KPPGGFSTGTRPTMAANNPAHRAEMGRAPPRTSSIDSAISSLSGHGHSKSGSQDMKSD GSADIASLIRAAGSPEALIQHLLENKQSISAQNAQLWRLVDKQRAMILGLNKDLDRAV KDRERYRKKFKELAAQQISNPSPVDSNPGVPSEAGSTTSSDTRIVEVSKPTGMEALVS AGLRDPEQDHPHSPIDVALAPYPITPPAMQLQAPSLNNMVEAEHKMPSPTQHAFQQYN PDAPQAGFEAGQQQRKAVDVAREVPYNATLPPSRSLPSDPPKGPPPNVPPPRAPMSSQ TPSVAIIGATPNPDEGIKSFPTPPRKAPPAPLNLGKKNASSHLRQTSGAGEETDSDYD DILEVDELPAFTERGRRKTREEDDKEREIAAMKDAEIRSLSKKSAKGSKSRPTTPKES KFSVKADPMPLSPRTIPISPPDAHLRHFSGSESNSGSLAGVLSEASGLTSVSPPLMSP GLPMSPRPMDRPPGSPLPRGSNHSSVHSPPMSPRMGGFPGAVPLSPRAPRQPIPLPPN TPMSISSPGAPKGEPLQLVSPKPLIIAKKTSDNVDSSPKDDTSPVTITPSIKSGVYKG LITEEYPDLLLPPNALPSIDVRVASSRLKPSRASIMFPKNFEEDPVFTLAVFARSDGK ELWRVEKDSASLANLDQVLKQSPNFTAKTPERSLFSGHAPAKVDARRAALDKYLDEML NTQMDMNSALEICRYLSTNTMEPYAEDVPSRDSGSESPVKTGPGGRPLKNGYLTKRGK NFGGWKARYFVLDGPVFKYYDAPGGPNLGAIKLQHAQIGKQQQQSDNSSPSRGEDDVD NQYRHAFLILEPKRKDSSSLVRHVLCAESDQERDDWVAALLLYVDIKDEEDEEAPVQH DRTNSAASGNVNGSKSKKKIYAASTRNQPTPDPADDGLRGVSYENTKAGNVPVGVRKN SSTPSPPTYGYERDPLAQLPQSKNISAPKNAQVIQDSSSWGNRQNMLAPAGQDERIKQ KKRSFFGFGPKPRASADMQEPSPNDSNTNLSQLAYEQHGPIRPAFGAPLGEAVRYNHP VNVDIELPAVVYRCIEYLDAKNAAAEEGIFRLSGSSVVIKALKERFNNEGDINLVTDE QYYDIHAVASLLKQYLRELPQTILTRELHLEFVAVTELNDMDEKIRALNGLVHRLPRV NNALLRYLSGFLINIINHSDRNKMTVRNVGIVFSPTLNIPQPVFALFLQKYDDIFDQE PDEHGPGVEVTVTAPPLTPEDIRSPRRQKFQDLPTPSYDQQSFQQAHPFPMPQHYHQR PQYDTGFTPLQPAYEQKLQAPTMAGPEYGRSAPTLAGPSYEQFGGSQTQRQYGAESSS GNKTKRRESSMFGMNIGLGPRKPSNNRLNDGRKHPFSLSNISFPTIYNSNR LY89DRAFT_607669 MAAERKERSGIAVGLNKGHKTESRVVKPRVSRTKGHLSKRTAFV REIVKEVSGLAPYERRVIELLRNSKDKRARKLAKKRLGTFGRAKAKVDELQRVIAESR RAGH LY89DRAFT_607672 MASHVVVLDGNYRRHQVKVTPGKYMTEVLEEACKKFSNLNPSNF GLKNNNKPIDLSRTFRQTGLASGAKLELVQVSRTPSAVSIALQLPEALASSAAGGRLT DKVPSDTTLWLILRKFESSGGANLNFTARGVTKVENGASGAGRIFYEMPNLNIMGREL STFADLQKTLAQLGMNGGSALIRLSFKTTDQPLEEAMSEIGKYFKEEEAAATEEVEPR KDVETITHALQRLPSSEGESKDVDMTSGTSETNTTTEDPSPYPETVGDLPPPLTPSKR AAEKSPSPEQVLGPDQRPVSVYRPPSAHVPLAATTPHNESDYEPTIAHAKLHQSRLLN NTHNKRLLSDAEEERVNKERAEKLANTKEVSIKIRFPDQSSIVAPFTATDTGAHLYAF VRGVIVAEDQPFKLVWNGKGVQTVSNDEKKLIKDLGFERSVLVNFHWEDDARESVRKA TILKPQYAQTAREVAVPEIPSVDAGEEDKTPVVKEKPKESSGEGKQKGIPKWFKGLGK K LY89DRAFT_680922 MSSPFSINGGACVAMVGKDCVAIACDLRLGLQSLTVSNNFPKIF SYGDVYLGLTGLATDVSTVSDLFRYKVNMYRLREERNISPSTMANLVSSSLYEKRFGP YFVSPVVAGIDQKTGKPFICGFDSIGCIDFAKDFIVSGTASDQLFGTCEGLWEPDLAP EDLFETISQALLNAVDRDALSGWGAHVYIIEKDKVTKRLLKGRQD LY89DRAFT_637136 MLDAYAKSTEEVLKFFSVSETQGLTDSQVQASKEKHGRNAIAED PPTPIWELILEQFKDQLVIILLGSAAISFVLALFEEDGGWTAFVDPAVILTILILNAV VGVSQESSAEKAIAALQEYSANEAKVIRNGKITRIRAEELVPGDIISVAVGDRIPADC RVLAIQSNSFAVDQAILTGESESVGKDTAAVLDLKAVKQDQINTLFSGTTVVTGHATA IVVLTGSNTAIGDIHESITAQISEPTPLKQKLNDFGDTLAKVISVICIIVWLINIPHF ADPTHGSWAKGAIYYLKIAVSLGVAAIPEGLAVVITTCLALGTRKMAAKNAVVRSLPS VETLGSCSVICSDKTGTLTTNQMSVNKIVYINEAGNDLEELDVEGTTFSPHGNISSKG KIVADVANKSNTVFQMAEVAALCNDAQLAFESKSGTYSNVGEPTEGALRVLVEKIGTQ DAAQNQAKAGSAAQDCLHLASSWYEKRSPRISTYEFSRDRKSMSVLVGNKSQQKLLVK GAPESIIDRCTSVLVGANGKKVALSKSLSELLLKEVVDYGNRGLRVIALASVDDVASN LLLKKAKSTQEYLQLEQNLTLLGLVGMLDPPRPEVAGSIRKCKEAGIRVIVITGDNRN TAETICRQIGVFSEYEDLKGKSYTGREFDNLSESEQLEAAKRASLFSRVEPSHKSKLV DLLQSAGEVVAMTGDGVNDAPALKKADIGVAMGSGTDVAKLAADMVLADDNFATIEVA VEEGRSIYNNTQQFIRYLISSNIGEVVSIFLTAAVGMPEALIPVQLLWVNLVTDGLPA TALSFNPPDHDVMRRAPRKRDEALIGGWLFFRYMVIGIYVGLATVAGYAWWFMFYSEG PQITFYKLSHFHRCSSQFSEIGCQMFSNDMAKSASTVSLSILVVIEMFNAMNALSSSE SLLTLPLWENMMLVYAIALSMALHFALLYTPVLQTLFSILPLNWAEWQAVLVISAPVI LIDEVLKFVERQMFIEKAPVAQIRAKKE LY89DRAFT_311691 MTNERESKTFLARLCEQAERYDEMVTYMKEVAKLGGELTVDERN LLSVAYKNVVGTRRASWRIISSIEQKEESKGTDKHVSTIRDYRQKIETELEKVCQDVL DVLDESLIPKAESGESKVFYHKMKGDYHRYLAEFASGEKRKVAATAAHEAYKNATDVA QTELTPTHPIRLGLALNFSVFYYEILNSPDRACHLAKQAFDDAIAELDSLSEESYRDS TLIMQLLRDNLTLWTSSDSADAEAAPAEAPKDAEKAAEPEVKAEEPKEAPPATEQS LY89DRAFT_664621 MPNPRRPAPQIEIWRSEVSRSFTPTEQVYTSPPISLPSFWKKIL RRSFISSPLSLNKNSKAEGQNGDRTEMYDKGAGVHEGDRERESSLQFGSEESEDDVLR ERRERLERAARLLRSGGKEKKEEEGRRDGG LY89DRAFT_680927 MLSASNTYFGFPVQIISFPLLCTLKGVCVVVLSRVRLCIPQPRR RTPAEILKMTGYYVFVFGLRKL LY89DRAFT_575001 MSDFNYGGTDEESAEIKKLNAEVLEDTDNFENWEKLVRAAEQLE GGLNRNSSPQAIATTRDAYDRFLAKFPLLFGYWKKYADLEFSIAGTEAAEMVFERGVA SITTSVDLWTDYCSFKVETSHDPDVIRELFERGASCVGLDFLSHPFWDKYLEFEERVE GHDKIFSILFRVTKIPMHQYARYFERFRQLAHTRPLAELVPAETLASFRAEVEDDNSN YQHGPKGEMEIEREVRTKIDNYHLDSFSRTQTETTKRWTYESEIKRPYFHVTELDYQQ LANWRKYLDFEEAEGDYSRTVFLYERCLVTCAFYDEFWFRYARWLLAQEKKEEEVRNV YQRASTLYVPIGRPGIRLQYAYFEEMSDRVDVARDIHQAILDIMPGHVETVVSWANLE RRQAGLEAAIQVYKNQIDNPQVDLFAKAAFVAEWAILLWKIQGSVDEARQVFQKNQQW YLQSRHFWMKYLEFEIAQPTSAATETEHYARIKQVNGDMINRSRMALSTKKDLSSYYL GYLLQRGTKDAMKEFLKIDKELNGPVSVQPVHLRPTATSSGNQSSSKSLENGHGPGEV DEATLRKGEVKYSSYFQEARELPPNAQGLAPFL LY89DRAFT_680929 MLPNPAGGRYGPESGSPNLYPHPYEHLRPTNTTNSSTPLSKNNM DDPVHKTLYAQGYEMRKKVVGEDYVATTLEKGSSDFMRPLQQFATESAWGTIWTRPGL SLRDRSLLNLVMLTALGKWIELGTHVRGAVRNGASEVEIREALLQASAYCGMPAGMEA FRVADRVLNEMVEKGELVRG LY89DRAFT_728952 MASATRTFARASSAFRTGTRNTLLTVSRQTFRCQRRGYASEPTK SSSSGLLIGVGALALGGAGYYFYTQGNGSLLSGSSGETKGIFTPRFEDYQKVYNEVAE RLEEKDDYDDGSYGPVLVRLAWHASGTFDKETGTGGSNGATMRFAPEGDHGANAGLKA ARDFLEPVKQKFPWISYSDLWILSGVCAIQEMQGPTIPYRPGRLDKDIAACTPDGRLP DASQGNKHLRDIFYRMGFNDQEIVALSGAHALGRCHTDRSGFEGPWTFSPTVVTNDYY DLLLKEKWSWKKWNGPKQYEDKTSKSLMMLPTDMALITDKSFRPWVEKYAKDNDLFFK DFSAVITKLFELGVPFAESTEKMTFKPTNA LY89DRAFT_728953 MFSTTPLSLLVLAISTLTTALPAVTKRDTCTLPTSFTISGFTAF YPAAGNTHNQTTSFEFGDSSTSSELTCTVSGAHGPDDVFSCSDPTVSFSYDGTETSSG SVTIYQMVSGCAGCIDGSVFVSTDCIPAEVPFGLGTNCEMPTVSLTGEFSSS LY89DRAFT_311773 MIYFCRYQSFLCCILMFICKSPTDTDSDIKFSLFDLRLYIFVLL RTLLNALNIVMLCYIISTCLHVPLQVRVDRPYLPGIRQYFALHLQLQPSFAPALSMSF SLA LY89DRAFT_637146 MAALTPATKDLLFIVGLGLTASALVATVRRMLLFSRDASIIPPS ENKPSYITQDVEDSLKLGTLDKLLDSPNFCIQETTAIIICERALHDGTTIDALLWHIA QPNHDLREKGIRALSMMLNSSTVKIINKPSTYAALVKSLEYSVTDYEHNGYDLDWDNW HLRDIAEQGCLMILGQLVDKYGIEGVVKARFVERWLAKEPWGDTDEERFFFFAESLRK NYRLNEITVPLFRDRIGRRQLIKAKLIPHQFEMGTRQRDTRMINGESTAGEDFDGMFV ESRRRRDQSAEDDRIRRRNREAMVLNDGTRPLGRDDIIQRDSTFSR LY89DRAFT_607692 MPPSQLKRLKFSLREQGIIGPQQSKKQKKQNSQNGAKKEKKVHR NVALNGIREQFNPFDFQSLVKKPKFEVTTEHGIKGGVRRPGLAKSREEEARREAYIEE QQRRRKVGGILDKRFGENDPSLAPEDKMLERFTHEKQLRHKNSSAFDLEDDEEPGELT HMGKSLSLDDPALVDDFDEEDLELSDADDHPSDEEGARKRRRSDLEGSENDEEDEEDR PERKKSKQEVMKEVMAKSKLYKYERQAAKDEDEDQREELDKELSNIHDLLRGITRKPA PAPVVDLGGMNPERAALLTGLDKVKFDKEYDMRLKQLAQDQRAKPTEKSKPEEQVIEE RARKLQELETKRLRRMQGEEEDSEEEDAKANKNAPKDDYEEEEEDFGFGAGIKTKSTM AELGVEDEDDFLIDDDLVASGSDLEEDDSDSVSDAEGEQELEDDEEDDFVKGILTEEE AKRPEFLTGANAPLPEVELPAENGVNGNLAYKFSCPQSHEELLEVTRGINILDFPTVI QRIRALYHPKLNAENKKKLENFSVALVDHISYLANQDQRPPFAVLETVIRHIHSLART YPVGISNAFRRHLKDLDSSRAMSPTPGDLVILTAIGTVFPTSDHFHQVVTPAILSMGR FLGLKIPQNLSDLATGAYLCTICIQYQKLSKRYVPEVMNFIENSLCVLAPKKFAKLPG NFPYHEPKASLRIESAPDTSRRLQIYDCIAQDLSEEEQEVLKSALLDINLGLLDAAAS TWTQKSAFTEVFGPALRIVQHLSGKNSRPKLSTDTQKSLTKLTQKLTLLLTQAHLSRR PLELHHHRPLAIKTFIPKFEESFNPERHYDPDKTRTETAKLKKEHKRERKGAIRELRK DANIMAIQSLKEKKERDAAYEKKYKRLVAEIQGEEGKEAKAYEREKEWRKKGRK LY89DRAFT_607694 MVSKIFGSCLLGFLFTNTALAATNCPLLGPDFPAPKNLSSSQTF QAALTNLTQLLLQSISSGNTTYGPLDAVKTSFSIEMFSIHEERSLFSSQFSAPALATV PYGVKNVTADTMFRIGSSTKLISAYTFLIQAGDRKWNDPVTKYVPELLEAAEALNATQ DSIDYVAWEDVTLWELASHMAGVGRDYAGFGELDGLLDPAPDPTALGLPPLNATEVAT CAGGSMCSRAQFFAGFTERHPVFAPSTSPVYSNAALQIFAYALENITGIDMPTMVSNS LITPLNLTHSSWTVPTSNASGVLPEGNSWSLDLGDETAAGGMYSSTSDMTKIARSILT SSLISPAQTRRWMKPRSLLSAGNAAVGAPWEIYRLELSPNDRVVDIYTKSGDLPGYSS VFVLAPDFDLGFTVLTAGPSATTNSRILGGLISDAVFQAVEDAAREEAEANYVGTYEA ANKSLKSTITITIDPMKPGLGVTSWISNGTDMLSPTVEAAGIAAPSARLYPSGLKKDL GNGETEVGFRAVFENLNAGVIGGIFGSACETWFSVDSTYWGTVATDEFLVTVGEDGKA KSVSPRALRVELVKS LY89DRAFT_637154 MGQYFHDLLYTLTNCMSCFPGSPQLKINNRSFKILRLLGEGGFS YVYLVQDTGNEAQYALKKIRCPFGQESVAQALKEVEAYNLFSPNPNIIASVDHCVMAD RSDPGAKTVYILLPYYRRGNLQDIINANLVNHTKFPEKRLMILFLGVCKALKAMHYYK VAGGPGGARSLQNARKIRGQAARADQDAEEEVEQRRGRQKGRELDPDSEQQEPLMDNE VTQSQEGVAPGEIRAYAHRDIKPGNIMIDDDGEQPILMDLGSLAPSPTPITSRSLALA VQDQAAEHSTMPYRAPELFDVKTGSTIDTKVDIWSLGCTLYACLVGKSPFEMRSDETG GSLSLCVLGGDWRFPDEGAGGKKKATNQPGQTEDSEIHESIREVVRKCLKVEAAERPD VNELIEIVEAVIAELPEDGHS LY89DRAFT_575243 MTVRAKKFTPEVLLSAPRRSAAVPNAEGTLALFSVSTYSFQSHS KTSEIRVLDIKNGQSKVLTDDLGASEPTWLGEQNLVLWLKGGEKGTTSLVLGDAENLD NKPEVITSFNGGVSNIKVTTIDSNTISLVLSGLATPEGELYNPETTPKPQSTAKIYSK LFVRQWDAYVTENKSTLWYTTLKKSQGIYSMTVAPLRNAIPRSLNLESPVPPFGGAGD FDISKNGIVFIAKDPKLDPANYTKTDIYYIPLRTFEESKPPAPLLVKTGNLKGYSGSP VFSPDAKSVAFTKMKSIQYESDKTRLMIIPDIMDLSNVQEFYETKDGEGSWDLRPEGI TWSVDGEELYVTAEENGRGKLFKLPASPRRARDLPKAIINDGTVTDVSVLPDNRLFVS SNSLVDNSIFSILDPLKPADQTTISSNSKGGKAFGLSQDQVDEFWYAGAEDYNVHAWI LKPSNFDKTKKYPLAYLVHGGPQGAWNEGWSTRWNPAVFAEQGYVVVTPNPTGSTGYG MALQNGIKNNWGGRPYTDLVKGFEYIESNIPYIDTSRAVALGASYGGYMMNWIQGHDL GRKFKALVTHDGVFSTLNQYSSEELFFPHHDFGGTLWENREGYEKWDPAAHLKNWATP HLIIHNELDYRLPIAEGLAPFNVLQTKGIDSKFVSFPDENHWVLKPENSLVWHQEVLG WINKYSGIEAEQEELGGSVSDMRI LY89DRAFT_728959 MPPASGFPNQSTAELASNTLWEYQLRKENKVILEQIRKIGEKRD ADVSENMRRMQEGEKHRLTLEAKVLDLEKERKLQDARAAEHERECAAKMAEMEKHLRS RLTDEELQKVMSRVQQMNNPVQTATEPQPATVAPRPSQPKTRLIGEATRQEPAGMLTG PLREKVSVPPSKPRVANRRPSTRSNSEGAPLRAEPVPAKEAAAIIPKLIQRHKALKQY YEAANDAFESLAITDPQIEYDFIAAFLSGLENKKTADKVIQALMALHPARGTLDGGIK LMCGWEDVAVGFKRAGFDVTGAKEEIKRKKRTLNPRTQLETGFGN LY89DRAFT_574778 MASTDKGLEEIPEGQIESNYDETVDSFDTMNLKPELLRGVYAYG FERPSAIQQRAIMPVIKGEFFSSGEQSFQCHDVIAQAQSGTGKTATFSISVLQKLDPN IKQCQALILAPTRELAQQIQKVVVAIGDFMNVECHACIGGTSVRDDMKALQEGPQVVV GTPGRVHDMIQRRFLKTDSMKMFVLDEADEMLSRGFTEQIYDIFQLLPQSTQVVLLSA TMPQDVLEVTTKFMRDPVRILVKKAELTLEGIKQFYIAVEKEDWKLDTLSDLYETVTI TQAVIFCNTRRKVDWLTDKLTARDFTVSAMHGDMDQGQRDLIMKEFRSGSSRVLIATD LLARGIDVQQVSLVINYDLPANRENYIHRIGRGGRFGRKGVAINFVTAEDVRMMREIE QFYSTQIEEMPMNVADLI LY89DRAFT_680938 MKNSVIPLDSGFSSREDVQPSGGSVAFVESSSFEESPNSIPHHP MGIRPAGNQYTASIISRNRIGTFQAIPDEIIAIILEFFESRGLRLIGATCKFLHALTR SEDLWKTLFIESPESKSGLFQWRESWLATYSNLTEKQLSKVRCDNVFSDALYRPFLCT HTPLTPYATNIPRGNAIPRFDNLSPTEFSEKWSDKPFILTNPVREWPVYQTWDTDALL QQYGGVKFRAEAVDWTLSSYVDYMNNSSDESPLYLFDRGFVEKMGLTIGKDKPKPSYW IPECFGEDLFAVLGDKRPDDKWLIIGPARSGSTYHKDPNATSAWNAVLRGSKYWIMFP STGSSPPPPGVYVSEDQSEVTSPLSIAEWLLGFHAEARKTPGCIEGVCNEGEVLHVPS GWWHLVVNLDASIAITQNFVPRSHLPGVLSFLRDKPDQISGFKKDVADPYGTFIEGMH AEHPKLLEQALAELERKAEGKKRKWGAAVGKDEEETNGAGFSFGFGDDSDEEVP LY89DRAFT_777876 MSENQPPAAAAGATGDIPGQPYYDKTRQHLRELLHKRKMLERSL AMQEETIYKKETDYLEDTPGGNIITGFESYTKGITNVAGGRRRGGVQEINRVFSRSSV TWNVNAESPMDTAQSTPVAAMAPTPLTTSFQKESGGGSNHATPTSATSANKSGGAGKK NKKGVNGDDSETEREGNKKIRTNFGAVRK LY89DRAFT_312323 MATGVDAKLLKSTKFPPEFSQKVDMEKVNLEVMKKWIAGKISEI LGNEDDVVIELCFNLIEGSRYPDIKKLQIQLTGFLDKDTASFCKELWKLCLSAQSNPQ GVPKELLEAKKLELIQEKISTEALRESRIHMFLLDVVEDAMIAGDRLRLHPALLLSLD LSPAPAPHLDDVAVLLPHVEDLAHQIDVDIHIEDVGLEAEGGVSIVLHVGEALLPRAL DLDLRGPPIAEDQHLLPPAHLLHHGLENLVVIPARCLGLLLFQGQGVGHQEEDRHAVE TGQHLRRLQQQMKTWLTRLLAHPKYHSATSPQKSFQKFQSWRKNKEASSFYPTL LY89DRAFT_714560 MVTLRDLTWPHFVDIDRSKTSSLLSAHSSSSSQPDQILHTSSRS PELQNSTSPVRCVSIVRRRAIPALAPSCARFETCGHNSLWSIEKPDDMSGCITRAEEE ALYGPYARLPTPPDGSGEDIGLDINPHLPPEPLSIDCSVCSPDQERYDDLPPLPDSPL AIAKFGFISRESFDTSVRGLVQEEPDSPIGGYPAAPLKNRFAIGNIGTTAMLRRMAAN LDGARSSNIQPVGLDATTREWSCHDKTLDRYRLGRTRFSDPFANALTKDKQVRRGTDP LDSGADDSGYTTVMVTAFETPVRGENTDMSHDGARASATETNQENNGRQPRLPDCCIS PTETEYTGSIEMYNTKGFAGDNVKSYVSQMPFSTSRPGNVPVRGIGTGGIDRTDFAIP GGVHAPTLDPGLPNSDIIPPEFPSVGIAGTVPVVTGLPVDASGELPTPGTPKRVGKRR RAANKGKRGIRKCRRLILRRPILVLIVGRQLAGPTSEALKLISSGVPIEPDVHGLTEA AGVPAPPPPPPVPAPM LY89DRAFT_714561 MAEGLQIAQVIADLQTLQNADPTAATNLLSASKNPRPSLSTTAS SRRKSSNAIPRAGTFTDAPQQARFDKLGRRIVVPRSSAGNGTGTPPPPLSRSASSFGR LNGNGNNNGFGSLGGSLSASRSGSGVGTPVEGTPDEDMKRAKTLLELFEMRGKFKQMG DTGLTRAKQRVDNVVEKYAKMELEEREKAAKARHLDA LY89DRAFT_575389 MSLIFRRGIANMASLKNASKVVCVGRNYADHIKELNSARPKQPF FFLKPPSSILLKDDGPVIRPRGVDLHYEVELALIMGKKVKDIEESDEKGIFDAIESYA LSIDMTARNSQNEAKKKGLPWSIAKGFDTFLPISDIIPKSDIPDPHKVDIYLTVNNEH RQADSTELFLFQIPRLVSDISKVMTLEKGDIILTGTPKGVGPVVPGDIMRAGLKVGGK ELDAAKIEVAVEESTSTYEYAET LY89DRAFT_664639 MLSTPLNMPKWLEENSHLLKPPINNYCVYNEDVTVMIVGGPNAR TDYHINETAEWFYQYKGAMMLKVVDESLPSPSKFKDIIIHEGCMFLLPPNTPHNPVRF ANTVGIVLEQKRPGESLDRLRWYCGNPECRELVDEQSFHCTDLGTQIKEAVNGFRDSE ERRKCKKCGTMAESAPKPGEIKDPNLE LY89DRAFT_680943 MGCPNSKSSENVEDDEADRIISSQGRAASTRSSAPAQFDGRAKP NLQNSSEETIVQQPPPERSAPAASPQQISGPAPAATGSLASENYISMKGMIIDNPAGV PFSLDADVVEARLEEIREELEGHNNENVTEGEKEYFIEQRCWDTY LY89DRAFT_664641 MSSRVTRRAGAAAKAKLKAIVQAEEEEEEEEDSYEDEHVEEHED VAKNHASTVLQTESLPQPVYETFQTAADLSNGLCIVRKLDDGRYEILNLTNAEASTLG NIDTGRLIRGDESGARQQILEEAEQQWKESEFKIGQVLGSVKLRIFRFLKLPIELRFK IYDYTISSAKTLHIGSHQYSPENILGGLHLTGTCRQIFAETRSMFWRNTFRVSGICKQ IKLIVPTLTDNLREVTWTWWSTKNRDSKTLRMFADCKKLRKFHLCLTKYCHIGHIYHP TVQHKYQDEPAVQKFCKTNGFDLLVSLRGFELVTVRNECDPTLKILADKLSEVELKAF ESFLMQKLTQPKDPDILTYFPVIKTAALTVGKKTSKDTTKSRSTAKASTKKGTLAA LY89DRAFT_777884 MSTTTTKVAEDPTHSEDGRQLARIQYIEPEVSVDPWDCNYFAIP KAKETIHSIQPLNDLRPEVFSSNNLYKLATHAFTAVKHQSKLDIAGGEGHDREKAAAT LIPEIQDIIKSATGAKTVHVLGLGLRMKEPDPDTITLRERDPNAPPERCGIDLKATEM DLTKPYMGGYTGKDKIGPARSVHIDYSPDGARQILRNVRGDVIESAKDIIEAEDAAAA AGKDIAQYDGRRYGMFSVWRPLKKVTRDPVAVCDPNSIDFERDLAEHINKQPSDGGDY LAGLSMLRGNYADTQKWHWISEQDVDEVFIFQFYDSYALREGRPWGAPHGGVTLVGKE DGEVRNSVEARCVAIW LY89DRAFT_312617 MELPIEMRFRVYDYIMTFDNLLSYGSLVKLALRSTSGLQLRGTC QDIYNETKTIFWRNKFCIADLGKSFKMGLSELLDNLREVTWDWHALKILDPQTLIALG LCKQLKVFHLRVTRSVVFGGDHLFDRRQYTYQDEPLAAKFSRSNGFDELLQLRGLETI TVENHDHYKFWVKDKYLSNAELKAFETFLASKLTLPKKPPVCRYHILRFCALRC LY89DRAFT_728972 MQQGNYTSLTRAFPRLSNLTQSTGRNPFLGGQDFTHCCLLAVNQ SLSVVNGFIVENTPSFIEATVEELLSATANSQFPCGAAFNGNKLGAPVVQVPGSWLER ECPGWQISSSLNQSQWITPFVGFLLPAVVFCLSVPRRRKVTIYEKLFSPVLSRPNGWI FAPFGMIMAVISSILDTIIWLSMCFAFASPMLLSGFYEAYLDSLLLHFIHEQSKARML TLDMKVRLLFVVLCGNLDLDPDLDSKDTLQVLHNHQSDSEHWPNYERNPISGPFNNNS AWTHIEYMIQPVRTYRDMAFLTPRQWPMHDIKCGIQGCIRYDCLEVPLPRTPDTLRQI AQMKTRLRTMLACQPSFGASVGAPVAFFLGAFVFTIAVTLNSHGDHDTSIEIAFGMWF MLIPHEAIVSGLLLAGNNPNTLEGMLAHDIEGLGDIYSEAERRNPFNEYFALAYQSRY RPKWLWSRGRSKRDWIDKVWTNYWYKDGPEPYVPICPSTSAKDLKKETSMGLKGWATI LALSIMLLETPYVLAFMTAFYTPKVGFSCRTLTFTSHAINQIFLMCLWFWAWSGAPET CPRYLSFLSFLKKGSWLDRSGFYTPTTTYLAWRSPKTRFTMRSVWAVIWFGAATVLGL STVFVTIGGTFMQLIGVYRSDFCDFNELEWTRPHGNVLIVISTNTALDIKDASQYWMS TGITATVFLAVVCFGGWWYQRRLQALFQNVVSDLDNLEYERADIVTTSSKLKRGQRSV RASTR LY89DRAFT_728973 MASLRATSRLFTAARPAFRQSIRSFASVSDTAKGGAVPPSKTST IKEPTHDPEAKIKTFHIYRWNPDEPTSKPRMQSYTLDLNKTGPMMLDALIRIKNEVDP TLTFRRSCREGICGSCAMNIDGVNTLACLCRIPTDTKQESKIYPLPHTYVVKDIVPDL TQFYKQYKSIKPYLQNSTPPPNGKEYLQSKEERKKLDGLYECILCACCSTSCPSYWWN SEEYLGPAVLMQSYRWLADSRDTKNEERKSQLDNSMSLYRCHTILNCSRTCPKGLNPG LAIAEIKKEMAF LY89DRAFT_680948 MAVLNKSLVPRNSKAVCLLLTAASGFMATTIGYDGSMMNGLNIL PSYTDYFSLTTTTLALNTSAVWLGGCIGGLFYGYVVDAIGRKNGMFLAAAFTTFSAIL QAASQNIAMFVIARIFIGFGTSASGVAGSTYLAETLPHNWRAWGLGIYYDFWYIGGLL SAGITYGTAQMESTWAWRLPSAMQGFFSILCILILPFIPESPRWLIHRDRHEEAIEAI SLAYSDGDHEDPIVLAQYKEIVDTLKFEVESGETLSMVQMMKSKGARKRTLLNVSVAV ISMLSGNNIISYYLGTMLDNAGITDSTTQLQINIILNAWCLVICLSGTYLLERVGRKP LAVVSTALLILFIFIIGGLTAAYGTSTNTAGIYGTVAAIFLFQGSYSIAWTPLTMTYP AEVLNFSIRANGMAVNTFFANGIGLLVTFAFPYALTAIGWKTYMINGAWDILELAFVI FFWVETKGKTLEEIDELFDGVKHSDVPDLEAIMNGKADLSNLIVEGEGFDAEPTQISV EPSKKAD LY89DRAFT_575055 MPGTLKVWPDWPEFTSSKGEADPTGSLLKYKQEIVSINGQENIQ KSWLKVCKELETLTDEIAEKGTNIIPEVKYEDMLNLSEEKKEELKKRGCFVVRGVVSE EQANTWFKDLKQFYLDNKSDISGWPEETPFVLRLYWSPTQLAARSHPRHLRLQRILNE FWHDSPTSPTTSPDPLSYADAVRIRPPKIPFPGLGPHIDAGSLCRWVDPAYRSVYDKV FAGSPEELDLYDLEKRKDAKQSMDGGYHSTVLRAYQGWTALTAAGKQEGSLLLYPDVK TTIAYVLLRPFFSPPESDKEEDIMDASKWKFDISSGWFPGTFRNESQRAAPGSHPHLR VRECMVNIPRMEPGDTVWWHCDMLHAVEVEHLGENDASVVYVAATPTTEINKAYMREQ VKAFLDGGKAPADFGGDYSVDRNESEFKGWVGEAGILSGEEGRRAAGLVAL LY89DRAFT_694085 MAVFSKLFVAFLTIAGSFASPIDLAELQNNATLVERRAPDFYIA GPRSDVRSLKRQATESPNYSQDYIASGANVQFTPNGNSFSVTFNTNSDFVVGRGWQTG DTTPINFDGTFKVTSGVGLLSVYGWSTNPLVEYYIMEDASNPPQQGTIKGTFTSDGGT YTVWEHQQVNQPSIQGSSSTFNQYLSIRTSGRSSGTVTVENHFKQWASYGMSLGSLNY QTISVESWGGAGSATQTVTKGGSGSTGTTGTGTGSGTGTGTGTGTGTGSTGACAALYG QCGGTGFTGATCCASGSCTAANSYYSQCLS LY89DRAFT_777890 MATEAGSVDASATGNGDPPARGGRGGGRGRGRGGRGRGRGDGAH NENGGYNNRQSHRGRGGGFRGAANPHGARPQAVPGNAGTAPALGPGSGESKAGKTIAE GADESEAEVCFICASPVMHEAIYPCNHRTCHICSLRMRALYKDKNCVHCRTEGTFVIF TDNAEKRYEEFTDADIASVDQNIGIRYESNDIQDDTRLLLRYNCPDGDCDVACLGWPD LHRHVRSVHHKKICDLCSRHKKVFTHEHDLFTDAELTKHMRKGDDNPGAVDQTGFKGH PICSFCNQRFYGDDELFVHCREKHERCQLCDSLGDGRPPQYFLNYEALYNHFKADHYV CSHPSCLEKKFIAFTSEIDLKAHQLSEHANDLSKDVRRDARIVDISTFEYRQPYVQEQ RGGRSQREQREDQGRGRGRDPNAEPIPASSAQPLRRDEQAFQRQMEIRTAQAAHSRTF GGQLTAPTPPQGLPQSRVRAPPNTPVSGATQAVNTSEPPELSQQEQARQLRHREVIER ASRLLQNDSTKVQQFRDSISSYKNGAFSATGLIESFFALFTDTTPGPLGTLIREVADL YEDKSKADALKSAWNNWRAINEDYPSLPAASGSSGSSIPLTWAVSSSAGPSAASNGSG AKSKKLLKLKESTAASKRSSVSQSRSWGTSSTASSPSTSSVVNAFPTLPPATRQNASS TKVSTVSWAASSAASSSNPGSARPTPPTSRPASRNVGGDAFPALPPAAKPQSTIFGYG NGRMVRRDMGSSPVTTSNPWGPPSASNGGGSNTDALAETDDANGKGKKKGNKGKKQVL MNWG LY89DRAFT_312741 MSLLPAERAQYSAIIDNILNKADLTTISRKKIMAELATTLGKDL SHQKEAVKSLILERFQIAETKAVKPAPSTEASTTNGHTSPLEREDINVKHESTPPSSR DVSTPSASITKTEPDSDSEEEAASPKKKRKANKPVDDDAKLAAMLQAQENRTARATRG GANKKTPKKVTKKPRKKSEKKVKADDDSELEEIGSDGEVKEKVKKGGFHKQYHLSAPL ADLVGEATLSRPQVVKKIWAYIKERDLQDPKDRRQILCDERLQLVFKQDKVHMFTMNK ILGKQLYDVEE LY89DRAFT_312690 MPRRRPPREGHVVNNFFLFAAAILLLPWLVEAQQQQRPAVQQRH ESPHESNVLEVTKIPPLETPLTHNRRKNTLSVRNNNIVKKDVAIETLAPAETAVAAPP ARLSSIRSAGLTSPHIARSLEDWEVEDFVLLATVDGRLHARDRLTGKEKWAFPFGTPM VETKYHRRNRSLVEEDYDPMSIDDYIWIVEPSRDGNLYIYRPSGPNPGLVNTGLTMKK LVEDMVPHGGEDPPVMYNGEKKTQMVTIDAYTGKVLNYYGPQGAIVNEQCQVSNSRGD TDECPRQATLTIGRIEYTVAIQGRRDGRHVATLTFSEWSPNNYDQDLQRQHSKSLDDQ FIFPGHDGNFYGVDLSKQVNEDRAPRYMHKLTSPVVRVFDVAKAWGDKSDPELVILPQ PAPPTIEDQITTDRRASSIFLNHTEDGSWFAMSGKTYPWAVQGSRQARINQQGWIDHR PQWDVMNNDQLSEALVGLHSIEGAKSDPILTIGGSLPDNNQSEQTFADNTPSLLDDPT WLQRLLLLPKLAAESLLEFIKNPFWIIILVVVIFSNQREIRSWVGRHASGKGLGRVLE SREPRAIPSVDRLPEIPEDEEIKLPVPERPTSIVIDETSEDKESTDDIQDEGRPLQET AASKLDVPGEEKATTSPEKEKKKAHRGRRGGVKHKKGRATSQGASEDGAATPVPKPVP TVEDAVRDAQNLGQQAKMEPDIVTVPTDPTEVSGPILRIGALEVDQESCIGIGSNGTM VFKGIFDGREVAVKRMMIQFFDIASQETKLLRESDDHPNVIRYFAQQQAAGFLYIALE LCPASLSDVIEKPSVHRDLAHAGERDLPNVLYQITNGLQHLHKLRIVHRDLKPANILV AMGKDGNPRLLVSDFGLCKKLEGEQSSFRATTAHAAGTSGWRAPELLLDDDAKDNEAH KTMVDASTDGNSGSIALNPDLLPNRRATRAIDIFSLGLVFFYVLTKGSHPFDCGDKYM REVNIRADKYNLDKLDVLGDYAFEARDLIASMLSHEPKQRPTALQVMAHPFFWSPKKR LNFLCDVSDHFEKEKRDPPTQALETLESYAPSICGSDFLKPLGKEFVESLGKQRKYTG TRLLDLLRALRNKKNHYEDMSDKLKSHVGALPDGYLSFWTRKFPSLLISCWNVVYEVE WDESDRFREYYQPAGL LY89DRAFT_763340 MASFNPTQIFDDGTTEEKGENARLSAFVGAIAVGDLVRSTLGPK GMDKILQSASTGEIMVTNDGATILKSIALDNAAAKVLVNISKVQDDEVGDGTTSVTVL AAELLREAEKLVDKKIHPQTIIEGYRIASHAALAALEKSAVDHSNNTEAFKKDLLAIA RTTLSSKVLSQDRNHFAELACNAVLRMKGSSDLSHIQIIKKAGGKLIDSYLDEGFILD KKIGVNQPKRLEKAKILIANTAMDTDKIKIFGARVKVGSTGKLAELEKAEKDKMKAKV EKIKAHGINCFINRQLIYNWPEQLFSDAGIMSIEHADFDGIERLALVTGGDITSTFDH PDQVKLGHCDLIEEVIIGEDTLIKFSGVAAGQACTIVLRGATEQLLDEAERSLHDALA VLSQTVKEPRTTLGGGCAEMLMAKAVEGAALKVDGKKQIAVGSFAIALRQLPTILADN AGYDSSDLVAKLRTAIYDGMTTYGLDLLTPGGGIADMRELGVIESYKLKKAVVSSASE AAEVSNLILPHVYFC LY89DRAFT_704423 MAPSFDHLPDPEEDDYDEDEELDFSDLRERFEVQLEQGLDAFVV IDGLPEVTEETKPKLIKFLKRKLDAAGKIREESIHMPLGEDGKSERFAFVEYTTPAQA VAACKQLDGVPLDKKHILRVNKLTDIERFGREGRIDEHYTAPHVEEFQEKEHLRSWLS DPSGRGRDQFVMYKDDRVQVFWNNEKDNPESIVDRQHWTESFVQWSPQGTFLTSMHQQ GVQLWGGPSWTRQKRFAHPFVNLVDFSPGEKYLTTWSNRPIVIPEEGHPALSVDDDGK NYVIWDIETGKPLRSFANIELPSNSNDENGQPVKRKIVWPAFKWSADDQYVARLTQGA SISVYELPRMGLLDKTSIKIEGVMDFDWAPAVPHREGVKTYEQLFCFWTPELGSNPAK VGLMSIPSKEIVRTLNLFSVTDAKLHWQSNADYLCVKVDRHSKSKKSLATSLEIFRVK EKGVPVEVVDSIKDTVINFAWEPKGDRFVIITTAEVVAPSAVPPKTSVAFYCPEKVKG AGVGNFRHIRTYDKKNSNAIYWSPKGRFVIVATVHSQQSFDMEFFDMDFEGEKPESDK DLTANLQLMNTADHYGVTDIDWDPTGRFVATSASIWKHAMENGYHLYDFKGEQLREEP VEKFKQWLWRPRPPTLLTKEEQKTIRKNLREYSKVFEQEDADRGASADLAVVEHRRRL LMEWLAWREQMEEEVREERIELGLPLDPIEGLLKKSEQPEGDEEVIEIEELVEEVIEE SEEIVS LY89DRAFT_680954 MPPLATVGILSIGEMGMGVAKLLIAHNYRVVTNIEGRSADTHAR VESAKIESLPSDSSLVSASDYILSIVPPRDALATAHRIKNAISSLPSPKPTPLYYLDL NAISPRSVREIATLFSSTSSIKLVDGGIIGGPPSPKDPAADPSPPPPKTTVSNHPITE HAWNRPSIPTSGPNPLASAPISGEHLASTLNARHISDDIGPASGLKCCFASTTKGFTA LCLQSFTTASNLGVFEELQKEMETRIPGMWKSSGSMAGMPPKAYRWVKEMEEIAVTHA EDGGFEGGAGLLGSQGKGEGKGVGIFDAVAEVYRAVAEDTILGEEKTERRKRGRTRED VAAAMGEGLREKKKKVA LY89DRAFT_312841 MFLTWGFGALLLLHGAAAHNPNGFQAFGLARRANGTTISTASTS ASSSSASPCTTTVTSIVGQHLDAIAGSCQTVTVVSTLPIQSTTASSIETSPKQTSPST TASSVESSPRQSSPSTTPTSISTTPKLTGTTTSASSITKSDLGSTALQSSSTSTSIEL VAPTSSISIISTSSTAQNNGQTSSQSPASVMPQCTATVTSTVGQAFIPVAGVCPFTVT IVTSIGGNIVQSTSSGDQIVTPAFTTSDQQTTSILTTSSLLQTSIGPVPVSTSTSIPP VWISDGSSSVQVSVSTVISKASTVPVETTQTPNQTPVTTPTSFSQSPTQSGSASNTIV TTQTTQPGQAPTTVTTVTESSTQVTTVLGSTSSAGIQPTSQTSQTGIIVSTGSEITSP ISQTVPTSTDSQVSTQTSQTGVTVSTDTQTTAPQSTPSSSIGTPVSVSTETSQSFSQV GTSSVQTDSATGTASQTATQQSSPTQTLASNTNTNTNTPSPTTPASTTSYAPILYGSQ TLTRDVSSNLIFGSQTLSPGSAITVSGEVISAGTGGAIIINAAPPATTSSSPSAAVIV VGGTTITAASNSDFVIGSQTLTPGGAITESGEVITFPSSISTPTPTPTSGNTLVTSTL SLGQQGQLTSVLIGGQTLTAGGEVTVGGDVLSLASGTGKGQATGIVVVSTVTVMGSAP TASKKSGAVGSFSVSRALVWGLVGVVVVLGSF LY89DRAFT_664656 MHFWRALWVVIWLHVQCCYATPISSTTSTATVATATPLVVPPSQ LWDGSDGPWSSFYIRVGSPPQSLRVLVSTSSNQPLVVLPGACDSDDSNCATDRGGLFQ RNASTTWSPNNSSTGGLYAVVLDSNVGLIPEVQYGSDTLALGYLGSGAPALTSQLVGG ITTTDLYTGIFGLNPSATNFSSNAPEVASYMSTLKAENQIPSLSYGYNAGNGYRFNTA FASLTLGGYDKSLFDPNNLTFAFSTESDSDLTVSIKSIAQTSSSGNGSLSSSSFSAFL DTTTPYLWLPDEVCAQFEEAFGITYDNTSGLYLVNDTLHDSLVSQNANITFTLGNATS SETVDIVLPYAAFDLTASSPLVETPTRYFPLKRANATSQVTLGRTFFQEAYLITDYES STFSVNQMSWNPNAQADIISILPASLTSASSSSTPSPAPKKKSTVPTSTIVAATLCSA AFLTILIASIILIRQRQKKLDILVKTPPTPAAAFTFAHNVTPADCAHCRTHTPSPLPS PEQQPMELPSGSPTQSSMSSLQSSMANSNRRSSTPVPSGLALAPVSPMSPVPTFPMPP SSPGPVIPPRYSSLDRVASPTSYYFPQQNIYELPAREEVLGRGTPVESVLQAALDQVV LNHNQQQYIPYQAPSPRTTTQVERRRTLHSPVYGEDEHPPPLRIQTQHSGGFNSTLNR QTHNFTFDIHPQLRTARGARGSRDLIAEHAERMREWEDMRGPLSPPPDRPLPALPGVG GERERRREEVESPGSGTLDLMEYSWLRLH LY89DRAFT_664657 MDRALDEIVAERHRGGGRARGPGRRGGRRNERTEYPRDGVRKIL FQLASRINTALVDDASRGGAENRLEKIAEILTQNGFMISLTTIVRVFDGLFGNISLTI LPAGRRSVRQDRRYSPEPVYETSSAKLRVENLHYDLTEEDLDDLFNRIGPVLKLSLTY DRAGRSEGVAYVTYESSYDAKKAIREFDGANAKGQPIRLVPIPSGPSAGRRNPAPAAT RGSLFDRITPRARSDSPIRHSDVSGPPPSNVDRYVPGRGSRSRSPRRTAPKRDGRRPG ARRERGERTGGGGGGRGGERLAKDGRPRKTQEELDAEMEDYFGGGGGRENGASEVTEA KGDDVDMLEIL LY89DRAFT_714581 MQMRPRSPFQKPIMAALLLRIRAASQIPLSRGSFQHVKYSSTMR RVMKDSRFKDFWKQAKGKATDVPSFRQLTIEVTNNHATPDPEFFTCLTPLRPTKPSWD VEWMSYSRRHQPFEYKSLVILFTPDFLPWFNDNTFIPKILDRISHSSSSVTDEVSPVQ VKYRVVCACVDGIAPEESYGTFKGQPVTKGFSFLHAPVKTLIGQRRKAIEDESSQAAI PTPAVVLFARGGPETQGVAVPLANTMFQNGKASVLESSVWGAEEGKFVEIIKKEDMRF VYIHALTGINRFMESFIPACPVTPFHTIKDGFGNIVRTLDFGSDDVGPASQELEKVVS TISDRLGGSKVDVWALIVPPGVADAEAGNLPEPGPEYIGFWLRRGGKMCRVVSGGGGW GPKQGLLSLDPETRLPRPASELEDKPLETMDEDMISGLGNIAETGASIQFLVVDDGLP PPLPMGRKRFTSTRKSIVFGSIPSTIDDIPETNDSLQETRYQYRVGHFGCVSGSGIFF QHGNPSSKTTSTSERGLEVEGAPEPEKASGTTQQAERKEPTFVRTKIDLPYSYMYFDQ RIMSQRNAGKAAAMVFEKRKSPMNAPAEHYKILKPSKFSARIYHGVEEENTGSMQGTD PPR LY89DRAFT_694092 MHQRNVSEFIGGDGKTGSGEILSTSPTKRPPAGRRGHAHRRSAA ISVSDLSMVLKPNPGAARGSSAPNSPSGDAAYQNSPFPGSFDTTIQPTGVNGNPVATE APVNKVPKNTRVGFSDDLEFIPPKEKRGFLSNPRISDVRPRTAEPILDQEGSKSQDHP PRRRSSLPLLTPRSVKKWSFFGHDTTSGESSPKSRPTSSASSAKESKKAETAPSSPEI NTDVPFPTIEPTISRRSSMSRKPSKKQKRVKSWAGSILSRKSRQRKQKLSRRSPTPPL RYTSPIDEAPTFEFNKPDYTGPPKPEIQTDFASWKPRKVASQEESMSPIIDLDAALGP FNTPSSFGDEWDSSQKGARRKKAMHSAAGLGGFTGPGMHYHRRAESAPEFENPRFGLH RLGSSSTMGMEDVFEEDEDEEWEDSKASDKEPSIKTGEIEDEEETGLGIGIKVVDSDG LESDKSIDFEDHRGIKRKDSNLSDGRQVGSTKSLHSTTSLVDEPIEEESGSPVEIVDD SIPPRPDSGAQSSDSTATPPFRANAVKGLAPVEIQPFSLQPPYQTPASPRSIPSSFPS PRSPFSYDAQRISTAPSSITDENAFQSLLLGEPGPEVRMSVDDVPSLTSSNSTMTRES GHPGFNNPQFRDGQRSASLSSAAVTRKRSSMASLSRLISSSHGEKSKLSIENRAPTPD GEKKEKASKGKRISRMMQFWKPKDTSS LY89DRAFT_680959 MSSSGALSTTIAVFSSAALSSYISTSTTELIEVLTSLPAISTPA LSTSSSSSCPTHSPFTWTITVTTTLTSTTYLPSFSFSSSSSTQALNSSSPSPSSSTTT LTLSLPPSQTSLFISASPLTLASTITHPSTTVPVTTTLVSSAPTGTPNPAALHCGVHG LADGDWFMGSYDMDDMLQEVTLGGCYEFCLADYNYDGSCISYEFYPADGTGAPRCNLY SASVADSLESVNPYVPNVWSDVLCGSPV LY89DRAFT_313051 MEPVPETERMEEFRASPVPTLRLLDTQDTSMNEWDNKKPPTIRR STEPTPQSPRSPVTSSPAIPYRPRNTSPYSRGHLRSKSSASALAPAMSRAQSMPGFNT SGHLSVTTQVRPASPVRSPIRTPRKPVDEVFPGLPMRSLRDISETESPAADDYTPRAT ERSSSPILGLPPSTSNFPRTRRPSSPLRYLVAQNSAAGSTAPSTPSSVTSSPSYQSSR FSDTFLGTSGYSGTYSYPGSFASSSVPSTPTSARSRSPSISSLETIPDSPDAEEAALE AERIAQLKAAADAADSGEEHKTKGNLDVSGTRGRSLGAAFGRDKRKRWSVCGAERRGD LNLDTIWEDGS LY89DRAFT_680961 MSSYFSASPVAVGVVAISFIHHRLMCPAWFIRPASAASSCSCSC SCSCVWCLRTANRNSSRAIGRVCDVLSHTRPKIITVTTYLSYFPSPIRFMHAVQAFVC PHVQCWKPTDDRCNSHAGPSRSFKYHFMLCFNSLTGKLQAKSLTEPRLTPGDRVFLVC QCGRLQ LY89DRAFT_313255 MGPVRTLRRSSPITMVVGVVLIITIFVFILSPSSSDSAASQLRK ANAASNPLSPPTSPFRKQSEKANGKRIPPPVVHYHMNNVTSSPDPVGNRETILILTPL ARFYQEYWDNLMKLSYPHELITLGFMIPKNREGNAATAALQEQITKTQKSGPMKDRFA SIIIERQDFDPPLASQNEAERHKMENQKARRAAMSRARNSLLFTTLGPSTSWVLWLDS DIIETPPTLIQDLASHDKAIIVPNCFQRYTDSKNKPAERPYDFNSWQDSETARDLGDK MGPDDILLEGYAQMATYRTLMAYMAANGGDPRQEIALDGVGGTALLVKAEVHRDGAMF PPFPFYHLIETEGFAKMAKRLGWSSTGLPNYKVYHYNE LY89DRAFT_313225 MGTTLSKTSSINTIQKQSKINMDISTISILVLWYAQGFLIIITS LKRIDNAMPQERRYYTKKKSTHNRSSCTTFDVFAVLQYS LY89DRAFT_313263 MSKPSKSSSSSSSSSRTPTKRILTELTAFNTTYPPTSPHPSIHS LLPTPSNLLSLNCILQPTHLPPSTGYSTGRFLLSISIPPTYPNNPPTITFLTKICHPN IAWATGEICLDVLKENWTPVLGVVGALESVVRLLAEPGVDSPLNVEMGALLRQGDVVG ARGLVGYWCAEERFEGALEGGVGG LY89DRAFT_540464 TTVAVLASTLISSTSAIITGVEAPSTVYAYNPFTVTLTTADYIQ SVYDVAVAFGVAPGAGYPESLGNVMSTAYLGPSKSNILTPITFDVTLPSGTQNGPYTL SAEVFSLFGAAYSGSVSSFNTTITV LY89DRAFT_574896 MNTGDLHVPNVDFKIPNVDINIDGYFVGPRAMEKHSKWPTFMRL HGSITPRMLLPMFIITLWSIIVTGQEEANIIAVGVSSILLTVLGFVVGLSLSFRGSTA YERYMEGRKCWTALTVQSRNLARYIWVHVLEREGDQGKEDLMAKITAINLIHALAVSV KHQLRFEPYTFYPDMVSLVGHLDTFAKAAEHPGLQNPKHPISRWKEIGECLGLNMATS NPRKAIKRSIKPVGNLPYEVMLDLSAYFEMVINNGTLSSTIIYGQVLNAMAAVTDTIA TANRVLATPLPIGYATLISQVVVLYIYILPFQLFPSFGWNTIPATMVAAYIILGLEAM GNELEIPFGNNVNDLPLNNFCDEIRRDLDVVMSSYRADGSFVDLMKRSERVNKVLWPL SNSPQGDWKRRSKEEIRSALKAKVVVGETRRLSAERQSEERAVVMLPV LY89DRAFT_313281 MTDHISEKVIEAPTFNYPFAAAPDIIRAHQKDAYFEGVLLNHLS NLLRRLYGARFLHTYTSEARTFSELLYLGLTTFIGNRTLGEEYCDIIQIEDDTLKLPA IERRAGYILTSILLPYSLTKVLPGFRSRIRNKLEANLRRMGRNKQTTTRSYQIQAYIL EHLSTITSPSPIHALTLTIFYFSGAYYQLSKRLWGLRYIFTKRIAPSEARVGYEVLGV LLVLQMSVQTWLHLQNTIRNPVPANANSIMGGSAVLEGGVEISLDPHAMASNNELLFE SGGGDYIQHSSVEVGKTTHTAVLQGPRYDLSKAEVMPWIKGQNRKCTLCLEELKDPSA VSCGHIFCWACIGDWVREKPECPLCRREVAMQHVLPLRA LY89DRAFT_637222 MDGDPAVEPELDSFSLTLPLPYRVALVVVLGVWAWGANLHFLSL LKIDVPALLHYPPRTSPRTDPPHHLSTYRLASLLTIPLALSLFLFWILSHRNPTLVIY YDFLPISYLCVLLGLFLLPLRRFSSSGRTRFLTTLQRVSIGGLAQAHDGKFGDILLAD VLTSYAKIIADLFVSLCMFFRPDGSATRRPDRGCGGQYLVPIIIAIPSLIRLRQCLIE YVRVRALNARNGGTIGHHGWGGQHLANALKYASAFPVILFSALQRNLSMNHANIGLTE VSLYRCWVIAVFVNSFYSFYWDVAKDWDLTLFSSLWSRIHPSSRTAYTPLNSHSSSSS TSASHPQTNQPFGLRPRLYLVSSTVYYTVITLDLLLRCTWSLKLSPHLDHFADFESGI FLMEFLEVGRRWIWIFFRVETEWVRNTGSGGGSGSGGLLGMGMGIEDGVLLGDMYGKD DEDD LY89DRAFT_694098 MGRTPSKNPNDGPRPVAPGSAPQYPLSHNAPMGQHSTYDPYHAM VSRAPPPEAMQVQDGLAPLASGTQPAVQPPLVKSLTHDGRRYELVVVQQPRRARMCGF GDKDRRPITPPPCVRLIITDILTGKEVDCNEIEHGMFVLNVDLWDEFGHREVNLVRHS GSSPGISSTTPASYQDGPGVYSNIAPQQQPFKLEPGQQGAYNPYPNQPQVNPYSNGQP APYNNGSQYQSYSQSTNGSGYTPQSYSQAPQGVYYTTTPGGIHGAPPPQGAEYGQHQL SYGNRQYTPADMAVQRAPVNSGQPQGMFTRNLIGSLAASAFRLTDPEDKIGIWFVLQD LSVRTEGAFRLRFSFVNVGVQGSASTGPGKNVSSTTVNTGRAPVLASCFSESFTVYSA KRFPGVVESTGLSKCFATQGIKIPIRKDGPGKGDKDKDFEDD LY89DRAFT_664670 MSSYGSFLDELHVDRGSPHYDPLLLLIKLSLTSRPAIREVRIGY PSLVRTAKRHRKPMWKSSATATFISYTWTESIRKVHAQANTAQKAYIAVQEAMLAELR KEEYTRQYYLDVPSFFDHLEIAEFWPPLAKDPKFMMRFIKACKTESQPSTIKQWKKKM RESREKRDKTFHFSEG LY89DRAFT_704432 MASTEPPAPATSNRPPRHRRGPRRGAHRGGANTVTETTTEVSSP ALALRPASVAPESSPAPAQSSSRGRGRRGGHGGYGRGGRGGGGRGGSQLMVNGQRAFG GQLTSAAPPASEGSLAGDAPEFVPGQPVAPRPRQPPNPPHRRMSKSQAPDIATRTHED IANGQYECVICTNEVLPNSKIWTCKTCWSVLHISCVKKWSKNEVSTHQQRAVDNGELP PPRQWRCPGCNLPKRELPNNYTCWCEKEIEPRSIPGLPPHSCGQSCGKSRAGHCPHPC ELMCHAGPCPPCHSMGPSLSCFCGKETSSRRCIDTNYEAGWSCEQVCDELLPCGLHTC QRSCHEGLCGDCDVMVESKCFCGRVEKELPCSEREDEMESQIDDKTWMGSFNCGTECR RPYDCGVADHVCESTCHPQDPEPAHCPFSPDVVTHCPCGKTPIDSLIPEPRKDCTEPI PQCLEKCQKALSCGHVCQQICHNGECKRFCTQTVDITCRCGRTSSKSVCHQGTDEPPM CPRVCRATMNCGRHECGERCCPGEKRAGERQASKRKHRALNAAPTGDDNVEPEHICLR TCGRALKCGNHSCALLCHKGPCASCLEAVFEEISCACGRTVLQPPQPCGTSPPECRFD CTRPSTCGHPPVKHQCHEDDESCPKCPFLVEKGCLCGKKTLKNQPCWFSEVSCGLPCG KKLKCGIHFCTKPCHRPGQCEDATWPCQQPCGRQKTVCSDSCQDKCHAPYPCKELTPC QAKTFITCACQHQKQAVKCLACKSSPGNTDKTLECNDECLKIQRNAKLAAALNIDPAT HMDDHIPYSQETLDFFTQNQKFAQQYEREFRVFAADEKEKRLRFKPMQAHQRGFLHSL AEDFGLDSESQDPEPHRHVCIFKTPRFVSSPMKTLAQCVRLRPVVAAPEPATLSKSSI SNVEPFNAFLLTNPKFGLTIDELHADLKTELSSSTHVFEISFLPSGDVVLRPSTAVTG SWHQNIEKDLQALKPGVAKKVAALSLASGTILCAVDTYLNVLKREDDNTGAGGWSQVA KGGALTKRPVQTNIGVKSSFTVLGKKREVVKEKKEKVEDAVDDWEKEVEGWGDV LY89DRAFT_313384 MVQFLPLLSILSLASAVLLSQRQKAAAQVVKLTSTENLAVRSNG QILATNMQSPNLYTVDPVAKTSSTAIVLTGASGLSGIGEVLPDVFAVIGGKGIYRVDF TGTTPKETLVKEITEASNLNGLAVLNNNTVLVADAGRGDVYRFDVTTGAYSVALSDPT MTPSGSIPFGIDGIKYRDGVVWYTNIFKNSFHKVPVDATGKATGAVTTLWTNLMGDDL CFGPNGKIYVATNGKNSVIEVDPAVGKPTTVATVTGSTSCGFGRGSADKDVIYIGASQ GIFQTTISM LY89DRAFT_313446 MVSFQCEGCGDVLTKKKLDGHRNQCYGASYSCLDCMIHFQGTDY RSHTSCISEAEKYQGALYRPEKEKKNKQNNSKALVPHKAYVEDADDEYQENTHVEIVE SPMPAAPSPPSAAPGFTSEGSPVNVFDFLVASSTPNQSRLELTAPEPMHMFTDGREEE MDDDDEEEEEEDTQRSLVRVRFGDAAQTVNDLIEYGNGPVATDVYQYETPAPKQRSER KKEKKDRDHKEEKKDKKRKRLHVETHDLSSREDETMTDAPPVLHSGLTGGLNRLMSRP EVFPPSPDYSGGDAAEPSPGSPLKKTKHTKTVKRGRVDTISNGIMSLIGSKRVSSREH SDERPKRKQRKHREASDRPKMIEYKPMAEGAVQEESQMVVYTPHSPRAELLLSFVNKG PDSERGVSMNKALKRYHRERVSKGLALGKALEEKELWRSLRMKKNDRGEIVLFL LY89DRAFT_680974 MSSSQDNSASYLQQMTSVLGAVANYMRLPVIISSGIAALLSSLL YFKQKALIYPSHVPNQSRTTVPRPSEFGITDFEELMIPTPDGEKLSAFYIRPPNKRAQ KNVTVLMFHGNAGNIGHRVPIARMFVQRMGCSVLMLEYRGYGLSTGSPDETGLMIDAQ TGFDYLRKRAETRDNDIVIFGQSLGGAVSIQLVAKNQNDKRMVGLVLENTFLSMRKLI PSIIPPARYLTLLCHQVWASDTFLPSITEVPILFLSGLQDEIVPPSHMRRLYEICQSP TKIWKPLPGGDHNSSVIEEGYFESIADFVANLDTKTG LY89DRAFT_637233 MASPFPHLTSTGPPPPSREVMNAYRTAFSARVSEGSLRPLVIPY HVYGYVLLIIYLCIPHTNRPWVYAARWPVLGVIAWWQWKTLWEASSMSMATGFAAGLM AAWGVVWACTWLVFNRPQFDVKRVQRSSANFFSDEKIAKQRKQNGNAKIETNGHATAN GKSQEISKDGQFVAEYYWQSYPDTLKDRLPWVIDLVINFRGPGWNWAIPPLPSPPPSI MTQLSEPISASSRSGKSTIGLRRYDTFSALARARLPTFVVGYFLLDVLKVLMMQDPYF IFGPTTHHLPSYLQGLSPLALRFIRQALSSFSIILSLEMVFLLAPLIFSLTLGPSLLG LRAEPWYYPTSWGSFSNITTKGLNGLWGSWWHQTFRFAFSAPSNFLIAEGYVKAKSAA ARISALVFAFGISGFLHAGGSISQFPRTFHWHAPMFFMLQAVGILVQSTLCALLHPII RTLPRTVRQMGNFFYVFGWLFWTGWWLTDDFARGGIWLYEPIPISPLRRLGFGEKDAG WWCWEHLGVGWYNGKRWWESGIAV LY89DRAFT_680975 MDSPQHDTEIAMASQQEKEADPKMPFDYSQDSFWESTAFYQQSL ATTPIDSGFFEQATLGLSINTSSPHYARGLTNEPSGISYSDWGGSISGDMLSGGEFSP GPRSTKANTFPEPNTRNTTGPRRPSAEAIARRRKQNRVSQAAWRARNKELVEELRQEI AEYSEYNKTMKETMRSLLKTTESLKGVIEHALALPEPKTSQDDGKQSTSEGQLLSPPE SSAEGTTFDLDAPHDP LY89DRAFT_729003 MSSFPQDKGGDQALNSPRDVGQVFQTSQPVKGDQDGDSMFINVT ESPSLDKASRTKVRVQVMRDFHQRRIQKTTGKTKSQNDGHDPTKVDMKGQTQKFRLGK GVLKRWVPVKSKAGRQKRAPEAQKDKPEREFEPSVETSYANAEFNENMLEDEDLLHPS SIWETARLYQAPSNGMLDPFSAMAVLITPRTQLLLHHYFSDSLLSSWLMMPMRRTLLS LAVHDTAMFHSFLCHYATKFNVQFRTNNSTESMYHATTTASLINEKLSDSSQALTDET IGTVANMAAYESSNGSMSSMVIHMDGLEKMVNLRGGLHEGGFSMIIQRIIGWADYHVA TAILQKPRFPPLLLPETAGPQDDHSLRTGLPQCCPFKGSGAPNFEQLSYGIRDLAEKL RIIRQASKIPSEDIWYSDKIYFLQRNLFDLAQSGSDATPLDRVCALAALIYCGHCLRD IPLSYAVTANAVTRLKNSLELLELTSLHEQHLTDKCFWILGFGGVAAEGKPEQEWFAV KFRAMSDYMGLPDWTVARSCLDRILWQVELDAAGERLWEASP LY89DRAFT_777916 MFAEVQRMAPSYSDYVFAQSKRNPCLINLCQFIANDDARYGCRI ASLQFRSDCKKPTRVDLGLQELEAIICSDVHGSGRLIIVEDLNGAVIETLGSGLDINP LFFASHIHGPKVEITSSKPSAAILPSKMLSQNFLSLQYQRSVDFGPCTMVPKKMSRDC NVPRKVVILPPMKDTRIGLEQQSCSILMSTTRSNSWLGVILVDKPNSDKYTSPEKKMV LPSRPFQGGYEDFSKRPSLFDDDRGYPERSSLLEDLIYFWTKELPPAFDNEQPTLLSL SYYPLKIAAAEWVSYISVMSNSIKQFEYTTEAPSQKDGLQKIDSDLRSLETWGRRCLQ TSSKLQAALDFLKHHTKDNSGLEQYSMMIQDFEHIMGLVYTYGHRLEIMVPVVTSVLQ IADTRRSLREAANVTRLTNLALLFVPLSFVASLFSMNGSITRHGLAIYFAIAIPLCAV VFFVARLPVIDFASISLAFRYKERREARMKSRG LY89DRAFT_313594 METDSLSVFESSNRIDNSADVIGSFIADWVQIKYFYSEAASLAQ ELCETLLASNAIRAIVTHRVKQGHRLEAKLRERVRKLGREYKTAREIRDDVVDLAGVR IALYFPSDREKIDKIIHDAFTEVKHKTFPEQDSNRVSDSMSLVTETSLDFQQRFHGYS ADHYRVRMRVENLATKKLRDDFQKTNPVIEIQVASVLMHAWAEIDHDLVYKTLTSGPA SQQELRLLDATNGLVHTGEVLLQQLQTAMDDRVAYQNKPFSEQYELLSFLRGQIKKAK GSMEHLDILLLVLKITDLDSPRKLGEILEGFMIPPKSNAPIALIILEHILCSLGEDQR RNNNLYLRPRSVVLRELEIDKTNSKCYSTDVSTRDKILDQRDILEMAVSVADIMRFPE KSILVVEGMPQKFRKFYALYNLVHFATIRPDKESKEGEKWLCEISLRELDPLWTWFET NEDVLFRVSLLLARMNVEEVDQELIKLRPSSGFQRLPSVYEPNAGYLSPRHISPGNMS PGYFSSGSLNRGDDNTDSRVSRTPEGSRSPTTSPIPGNQSKNQRPERGSPAARATPQN FDTDEENEEDDSPVVIPERTRRPYIESTSRPESENRLDRDKGAPIESRAEKHRNEAER SPEHENVTPTPGKRRYASERVPQMDFISTRSMPEARVEREEFMNDQPRLTSRGPNRNA YVEEESSDGSDAEPRNPMVRVHQQSGRQSYRPSSQLPQPRTKRRESYHATSSPGEYTM PEVPEVPEPPDLEQDPERVAEPRYRGQSSASMTSMPVAAAPTKPKRRDTGRSRRNDYY RSNEPALRRRFEPLGGRARSMEEPAPSFSGPPAYFPTDYREPQPQRPQAPRLSTYYPV SAPSGPPGSGW LY89DRAFT_714600 MLSRILFGALLPLLTSATQTVTLTVTATQPLTVYSTQPASTLTV QQTLSPITVYITQTDLFTVSISTSTKTKTTTLTVTASNVSTLPLTTIQITQTLPPNTI VQTYTQAASTIVLTSTLPASTSSTTFTQYVTVNQTVSAISISTTTLKTKTKTLTLSAS TLFSTVTSIAATPCYATSTPDMILNGGFEEDDAGWTFYEYGTTGATSMTEVQGTVSNP AYEGNYMLGVEFLSNNNPGIGIISPTFNSPPAYNLSFAVRVDAQNSDTTGCQGWLYSG TGDSPIDDWSQMDLSKFTGQTTWVTYSAIFQGGILEDTIEIDIDSCLNGPVWYFDSFI VVAA LY89DRAFT_313678 MDGFGFSEAFQRANVIVKLPDKQQKEVLDLLFNTTSGAGMNILR IGIGSSPDSSSDHMNTIEPKNPGSPTATPDYVWDGKDSGQLFVAQQAYARGVRTFYAD AWSAPSFMKTNGNENNGGSLCGVSGTKCSSGDWKQAYANYLVAYIKFYQAAGIDITYV GFLNEPEYSASYAGMLSSGTQAADFIKILAPTIYTANLSTGIACCDSEGWSNQGTMTS QIKSAGAESLLAIITSHSYTSSPSSPISTSKRVWQTENADLQGAWQSAWYSSGGAGEG MHWASLIHTAVVNANCSAYVYWVGVQGGSTNSKLVRVDGDSYIVSKRLWAFAQWARAA TPGSVRVGVSGGSGLQTSAYLRDDGTLAVLVLNTGTSDASVSISTAGDFTANTTKAWI TDNTHDYSDTATTISSSGLVSGSVSGRSMVTFVLSK LY89DRAFT_313584 MEDRSPSSSNTAEKGDSQGQKQAACLNCRRSKTRCLRNAGDLKC KKCSQAQTECIIPDYRVGRKKGIKNKRDGLSKAVFAIEQAIKKSRTKSTQSEEDRNTF HLQHLLNEAQGLLPQRPTSAGSVRSAGHLPQQEINETVPESSAEEQFAVDDAENPLQL LARASDLSAPNAQTSFATSASIHHNPSRAGNDQDLQDFFGPFRPSLDVGPDIDPIDLG LVTEEEAIALFAYFYDNLSHTRWGLDAKLHTPDFVRKRSSFLFASIMAASALFIPTAA AISKRLSSHCKHLAQSIMVKRHRSPEIVLGFMVNIPWMAPGKHWADDETCSYMGAALT IAIDISLNKLIVPSPSPSLKGIHERRPPSDYISARKALDLDGFHDVDPASEFGRRLLR RRERIWLALFVLDRGVCLARGRGFTVPLTPIIEHCDNWHQSDIADVWDGSMVSSAMLR RDLEVLISDVKKTCDGNGIRVMNGDNTAQSLQHMIDGFFTKWYTTWAFATGGMKDNSI PPYVEILVTHGRLSIYSSVINHPTAPVEVKRFFRAAGLSSALNVMRAAVQGENRLKSM PNNTAIMISFAACFAFYLSTMGSAANISLAPSIRKLIEETAALLERIGSNPPHRNGTS ALYGRHLREVVGSFPARPDGLSQPLQQTSFPISRNVPIQPQLPTYQNQAAFAPIQMSE LLPFSAMSDDQINEAINNAGDELEMYLPSFQMADNRLDWLDSMDWFNMDAGMNLGQ LY89DRAFT_680980 MAKAQQPFWLGGASASMAACFTHPLDRTKYCMQVLASKQPMLKA MHTFAVRDGIQSLWSGLSASILRQTTYSTARFALYDALARQMQQRTGGKLSAAQTVAC AGFAGGLAGMIGNPTEVVLVRMCSDGVKETQQRYRYPNALAGLVRIGREEGVRAFTKG LGPNIVRSILMNVSQIAVYTSAKRQLLSNNFVPLSDGVPVHIAASLIAGTVATTVCAP ADVLKSRLQNAASAKGQAPSLMKIIVTAIRSEGPGVLLRGWTPAWLRLAPNTVLMFIF MEQLQRLFAAKNTL LY89DRAFT_607788 MATRRIPSACIAASSRRTTSFIPHSRIIPRFHSSRQLHATCIRL EGQKANVGAKRDPAPKIVRGQSKIFKNADEAVKDLKSGAIVLSAGFGLCGTADTIIAA IEKRGKDSLDNLTVVTNNGGTASGGGLSPLVVSGQIDRLIMSFLGNNKALEKKYLNGE VAIELTPQGTIAERIRAGGAGIPAFFTPTGVNTLLQTGDIPVRLGPVDKTTGKTTILE AGKPRETRIFDGKTYNMEQAIKGDVAILRAYKVDEAGNCQFRYTTKTFGQIMAKAAKV TIVEAENIVSIGDIDPDNVHLQGIYVDRIVPATVEKVIEIRKLKEVEGQGDGKKSDSL LRRERIARRTSKELKHGYYVNLGVGIPTLAPSFLKPETKVWIQSENGLLGMGPYPTEE EIDADVINAGKETVTIIPGGSTFDSAESFAMIRGGHIDVSVLGALQVSANGDLANFMI PGKVFKGMGGAMDLVGNPDQTKIVVATDHVAKDGSPKIVESCELPLTGARCVSTIITD LCVFEVDREKGGLTLTELAPGVEVEEVKSKTGAKFKVADDVKSME LY89DRAFT_607792 MSKLSSSLKALIAAPYARPNTTPASPHVRSIYEALRTEAKGKNV GVPAWLSLSTAATMTMNSPEGLTQLYELVTDKSKDKNQSVQTAELMREVGLKCIGFNG VPRTINCLGAFRSSLPSEIVSSLSTTPSRTSSPQNINATLSRGSSLWTSIYRPFDSKL FSKLADSHPDLPVFIVNHEYGALFSDPPELNRGKVGRVLTSVIAVACLRAQTGVGPQV TSHVFGLRKAFEDGSANAEGEEVVEGGEWLAGEEGNAWLLQAVDRIVEAIGEGKGSTF APGMERIKSKL LY89DRAFT_680983 MAELEKRDGMAEEKYMENVHEKNPTAAGQSNENAALTRKILFKL DLRILPILALLFLCSFLDRTNVGNAKTYGLEASLKMTDHQYDTGLAVFYATYIVSEIP SNLVLRKLSPKIWLPVLTIFWGIVTMCLGFVKNYSQFMAVRAILGICEGGLLPGMVLY LSGMYTRGEMALRLGLFYTAASLSGAFGGLLARGLTSIGKRGGQAEWSWIFIIEGLLT VAIGILAYFLLPNNVETAPFLKENEQIYARSRLHLDMPSRLAEDGSEHHHEAFRWSEV RRGIFNISTWLSACAYFGILAGLYSFGLFLPTIIVELGYTANEAQLWSVIPYAVASVV TVIIAIVSDRMKLRGVIMLFVLIPAIIGYAVIANISVAHPKVKYGMTFLMATGMYASV PCVLVWNSNNSAGHYKRATTTALQLMIANSGGFVATFIYPNKDKPQYHKGHTVVLGLL VMSWFL LY89DRAFT_664687 MQTSLADVNLSSLESAANPPTFPQFRDLPGELRHAIWRMAMPGP RIVHIYERKVRNPVEQERQKRLEQQAVERETNSCHEQLRPDPERNAHAQRMDESRAAR RADMPRISRTLNLRRSLQFGWESECEETWEGSRTDSRWDGMSDLWTTMLRRSTPLASS PDKSNRRLVADSGKTPLDSPHSGRLPGTWGIESDSYRPEIIFTCQDAFQACKYTPYFS GPSTIPQTYFNPEIDVLHISEESPWSWVDLDYGPEERLDRFITQLAVGSAGQLKSVRH LALSIAGCNRWSNKEDWLLKLLGCFGNLEVLTLVIEDKPWLDIKSWKSKHRSDDVFLD SDVLAPAFDNYSSSETSASDRSKFKASPKIPPLDIDLNRLEALRWRQIATGNWQGLSP NWKLPIIKINQIVDRRIKLKFDRVRKEVEKRRLAELKAKKEVPDSGLDPA LY89DRAFT_313741 MEFQEKKGPEPDLYQTDSGPRQGEVTQVKNLRDLEAVPGFWTKV HELSEKLGAETIGVEQLPEEARNPNQKPRSTFFVWSTANFHYAAFTTGALGPLLFGLG WWDSFLTVIFFNFLSDLAPAVISYLGPRLGMRSMIIVRYSFGFYASKVIVLLNAITCI GWIVINTIAGGGLLYDASDAKMPLVVAIIIVLVISLVTSFLGYKAIHLYDKYGWAVLI VISIIVIGFGGKHFVNVPMAKGDDARLGVLSYGALVFSWGITWVPLAADYSIYMPSKT PSWQTFLWTFCGIYIGSTFAFLIGIALATLISNPDPAYNFPAVYDDRGIGGLVGAIFE GHGTGVRGFGRFIEVLLALSIMGANIPNIYSFGLSVQAISSWTQKIPRIIVTLFGFAV AVTVCCVLRNRFVEALENFLNVLSYWCTPFAAVVMTEHFIFRRSIGYNVSVWRDGKGL PTGIAAIGSWACGITAAFMGADQTWLVGPIAKAIGGADIGWELGAAVACVVYLVSRTL ELKTTGR LY89DRAFT_607800 MSKSERVLFPTPVSAVKGAICFTCYKATTKLNRCSGCRRVCYCS AECQKKDWRRGHKQLCPVLQGINALDSPKQSQTWEEYRDGMWTRVSAFRTQKAKFTVN DERVLQYQPYCIGCHLNAFQLPTGSTLKLCPKCQIAAHCSSCDLSLHDSVCSDLREVM TAERFAVAHYTETGEPSLSMPTGTPRTTYLPISTASSWYDYYTKISDKQMVEGLLSHD LKPLAGNDEMASALRAATEKMSMMISIIAGLEAVYPDLGTKEKVDLHLIGANAKELDA LMLFEELLHLLPCLKEVNCSFVGLELPNPMDAGGRIVLDCCPECTKQKKVRSIEMHKG AYHDFAKSPAYKKPDLAVAFQTGHSQECVDDWTPTIRYLVHAPHCTMFTTFNEKEMVE EIEILKKLDAKFLVDGEKNKWQGMRPLLEVIEETENSVYYNHQYWYVVQGLLVST LY89DRAFT_637256 MSSTGVLNGSSSSTTAHNNDKASAPAENGAGSINSRQGYASHWD YGGNPLAHVNTGDSARFPAFAGYLQPGLYKPPKSNIANPAPLGLCGFALTTFVLSLLN WHTRDVSEPNLVVAPAFAYGGLIQLLSGMWEMAAGNTFGATALSSYGGFWISLGIMLT PGGFEIAQSYTNPVHFNYAFGFFLMGWFIFTFLLWLCTMKSTVVFCTLFFSVWMTFLL LGISYLLTPNVTGGSQNIACQKAGGMFGLLAAFLAWYVAIAGIADNNNSFFTIPVWHF PWSEKGRAARQKTEEANV LY89DRAFT_763364 MHMTSAAGGPALTMLAERYTHPTGKEHKVISYTGLSGMTPLVSP SSPTIRSRKKHAQLDGYQRRRFQAISTKELESMGAFAPRFFDFLYAVNIPINPLFHRD KWDYSLSNAAPSYRLPGGLAGYWEAQNDLVWQMIQEPLKLASCFLENLNVIPWSIILL NGDYTSIENGIPDVWKGGKLQRVRRRPGLLGVPPGFRPKREKMFKNIIFRITSGHTEP HAGWQSGDFFLGRTWSAGDMTVIDLALEVLEPFLISDISPAERQLDVFRVAVILLHEI SHAMWSRAYQGLGRPAHFPEPYFEEQCIAELGFSFEEAVFGGRPTYLTALGTGKFVDG NGLSCGAVYSSGWFNSLAAKYVQPERPDSFPAITPLPPDWNEMKSYPIPLEYFQSLSH SDFWTVHARAYQDAIKMGPKLLGTRLLITNQTLDIMTVQDSEDRTQIPIDVSAAPTVQ SATEFENMRRARARKIIEAGTNIQAKANARLPQLQATMNSDINARGRSNSASSGSGRT EDSNAPPCTRYEEIRRYLFANSGQGRLCLDTMYFDMPENTLLNHIMLLGGIRLTVQEW RGFLRLASDRNEFLNWVPTGRGIVRWTALQEIYPNPSYVSPFSQFQLAQFQAMSTHNN WPASYFHDIDPNMFLVEANNYFQDILSNTIWDANDLRAYIDHHDATMTLWW LY89DRAFT_729016 MNSRLAPPIPPAGERKDYICKLSTREHGLWILKRDDNIAAFQDA IASGLTDDQAMEKLGGTFYATVDRASKPPKELIRKAEAAYSLLAESGERFHAALKSSE IEKSVWYFIVNTDPWFRPRGSARGTLLLHDKVGRGVWVINGSDEAKFQIFKNRESGVD DVDLIEESGGIHYENSIQISPNSSRILRKLGVDKYIEQFCVDPVDLRMMRWRNGQILV ECPLKEPAQKEYGSPYWHIHRADLHRGLLQAAEELGCQVHLDSRVIAIDPSKPSLTTK DGKTYEGDLIVASDGLHSMARSVVLGKPGPPVPTGQMVYRVTLPARTLKGIPELEEIV TVPRNNHWLGPNGTVLSYLLEGVHDTLINFVFTCDVEGWMPEGVNQRPGDLATVKKRF RDWDPRLVKMLDHIGDVLEWRLFTHEEMPSWIHPSGKMCLIGDSAHAMTPYLAQGAAM GIEDAAILGGLLEKYPSTETLHDALLKYEKLRLKRTAKVASASVDSRWFTQMEDGPNQ EDRDEYLLAHPGIQKGHRNIRSQQEFLDWLFGYDAYEELGCNLLNGKNGYNGVEHSGV A LY89DRAFT_575315 MDHHAKAKKTGKARIPDHLRRRALVSCDRCKKRRIRCSRSSGSD QNEPCQSCLEVGVQCESTLPRKTRIYGSVETLSIRYRVLDALIKGLYPQKDTNNIDTL YAIAEAHDIAIPTFDEQTVAEEVFSQPPKPAASSPQHSAGSAGSPSIASRDSESVHPD GKSGKVVEEKLVPMPQGPSHYIGPSSSFGFVLTVRNMVAEFNAALRSIQPDDERAKIS SDFAASNWSKALEPIVKEESEDSSEDNIDRSLGEPSGRIPTRKSRAPSLLKRTTVLSL LPSKEVTDTFLETYFDRVHPNYLLFHRPTFQMRYEAMWSQPQALMRDLEPGWICCVFM ILVFGAQALEERDARQSMQIQRHYLQLVQARMHQLISATTLINVQAILLLQLYQHNCT ERNSAFMLLGCASRMAMALGMHREGTSGGFDDMEREVRKRVWWTAYMFEQNQCAILGR PCAIDDSEVNVSFPDELMLDGGSSVPPGYIEYSVRLMKLLSDIRRKIYAAQTGSTQQG EHPKMGIAVQFLLDLDSWHHSLPPALRLECMSPVPKHRRAIILLHVHFHNTQALVTRP FILRKVGVQLARKLGRHVRSQDLDKEELNLSHACGTYSMKSALLLHQLIANGMFDGVQ WVDAYYIYHSVFILALDFLARPWDEQDTPEDHARKQAVRDVMGALQRVKLCPTFTVLT QVSLQLAKIVGIFDTQPSQPEQPEQYRQYMEQQQGAIHFDYGAQPQGQSGNVLQTWFQ KDPVDLPWDLKDFFGTDTYVAPNQMPPEHGYPGMPMTMAGPGATLSGNYHNHLPEVAE GEDLLAPVPPHTAYTQWGAIDTPFAQHHGSMSKPPLNHMGQP LY89DRAFT_680990 MSMDESKSGREERTTEDATKSFSERDPEKYEESNGFNSSENLRL DNNGLPLVPQPSRFKDDPLNWPSWLKWAVLIQVGFMAFLGPYNSALINPSLVLLSNAI NVSSKTAAYTTTTSIILGGISPFIWTPLTNYHGRRPITLVAILLTILGGIGSGVSSSF SELMGTRVVAGFGFGGMMSVGTACVNDMFFLHERGEKTGVYSLFVTNGAHVAALVGGF LGQSAGWQWDYYLGALSTALSFIIAFFLFPETLFSRDPNFLSNRHHERTYTQMLFDFK GNMIPGRHLHVSDFLQSFRMLKYPSVLFPFWYYTWSWTFINVMPAVSLATIYTQFYHL SAGPIGACLGISLIIGSVLGELSAGKLSDIVMLFFARRNSNIRKPEFRLYLCTLSAIF MPLGLIIFGATVGKYNQYVPLVGLGVGVFGLQIASTTLYAYVSDCYKPQTPESGVLFN LSRGLSFVVGYFALPFADRVGYFWAWFTFAAVLFSFFWPILALMRWGERWRIRLGEPR FHRYL LY89DRAFT_313984 MDGGINADQNFYSRRPNSSSPEHQQPHRYEPPPLPDFEARTPQL PPKLPFLTSQNLQAIERNTNGYAGAGALSNGGEHDPDDFYRDYRGVQQASHNYSDNSA SGMAATASESRPLQSSLRSNGNGTTPKHPSLAQARNQLKPSSYRSASSPLEDRPGLNN AKSTPALNGYSRQPSVSVKAISKQFEKNTESSTSVARKPSPRINTSATAPAYLRDRGG YQARNANTPTPSTSRAGTATREAGKQKSPVSTRPTQRTRFAAEDQHSNNTLSGTARIS RPRNGASGINPQASKSMSNLSPTSPTSPQTQTSRPLFGEVVPNEQGISSIGYGIPHAA TRRTSDSSLHPSWQQHSRSKSNDISPTSPDAWYKGETDLDHVDPNKPRPRSHNRNHSD FPDSKVNTMNGVTPSFQTSNPPASVQAPTRLPGPSKRQSTSSTSSIPSTRSNSPFTSN RITNSKLRKPEQRPWSPAARANTPTSRAKTPTTRHSPRGKGRDPEKSTSNNASLKAYI SAPPPKTSPPLRSSRPRQPVSSATTATPRQKAVDRSGSPQHVRTGMKVTRNNGEGFTA RERERKISDVPLTAVDFAARRQLIQRAYTKSIHESEQKEIRAANLRRLSERQARASLA AQESEKASHNVEKDEEPVLAEAQPILEAPAETKPSPKPLQISTSFPRPEVPPATAHRA EIDQDSPTLGMPGSFVEDEEPASAISCATGITEFDNEPQTEAPRLSLLPSRPDGFSGH ISYTEDLMSPEQAYFGMQNMSSPDNESIRIMLDGTPVEEHQPESTPTNDVFARDPSPP GAYQQSPEVAEELPIFASTVTTASPKQTTPVHSRPQSPLGSCDEQISNDESPILPNET VLPQHVEHDVQIHVAPEISFPDEVNYVEPQEHATRLELPTLRTALAPSVMINRLSQEF LNTPVTDIDYESSDGLAQISGGEGDLYDREYMDRPRDDEDSGRNFRSSHQSAWTDYSV GTNDEYSEREGYSRPVTTYETEDKPVPPPKELSSVVPPKPEGYSPLPSPRFPPQSSQL SIRHQLPPLTTGEGLGLGFSDSSHDFGSSTSIPLWPEYSPPPVPLADDASPAPPSRTP PPPTTTSSMRPASSLYQSSQNDNGRYTESRRASDDLYSPRASLSTPRSSTQISFDDAT IESSSKSTAVPEPSLETEEEKKAAEKTRKRLYQRKMVIKELIETESVYLKDMNVVEEI YKGTAEACPKLDHSDVKTIFRNTADIIAFSAKFLDELKSAASSIYSPRSRARQSKAVA PSGSSTSPTVSDRFSIAATLTDESDDEKDRKTFIGATFSKHLKKMQAIYTDYLKHSEI ASSRLATLQQDAAVQVWLSECNLVAKDLTKAWDLDALLVKPVQRITRYQLLLKELAES TPEDHPDYEALKSAREELLILLQGIDEMKKRLHVVSQIVGRKRKESDVRTNLAKAFGR RAEKLQSNANRPPEDEAYQALHNTFGVEFLRLQVVLRDVEDYARHQKEYVAKTLQYFS SMELIMRMSASKYPEIESKWVRFNLSMRDMGTIAIDDHIGAIQREVVEPLEKVIQMYN KPGDAMQKRNKRRLDYEKSLSYKSQGKKIDEKLAAQVAQYEALNETLKIELPKLSALT KSLGRLCQIRLLFLKTEWYGIWQKKLSGVLEASQIPKDNNDILEMFHRDFKYTEVKLK ELGINNGSFGNGGVTRGSQSTQDDESRRARPSNLSNRSRGLSINSDKSPSLPTPEFAK RNSGQFSFSPLMSNGPGLPQFAYQNMPYSNGHSRAGSGSPATPDTASSSRPHHGTMVR PGTSRSHTSDTGMARGNSDYNTPHRRESGSTYNSHWEPPSSRPYSGLFHSAMPMPDGP EESQRSSRASSRDRNISGGYNVLYLAASLFEFNISATKSEAGYPYLTYQAGEIFDVIG EKGELWLAKNQDDPSDEVGWIWSKHFARLAAD LY89DRAFT_313971 MAGKRKRKRTGHADEGEKRQKVAGGFNGNDPIVKHALLHQYYPE VTSLREYLLARLPLASKIRRRKILSLGRKPEDKETDQNISRFLDRTLVGVYQNGDFSQ DERWRQWTTFSQKPDESTSFANLSTAGVYSQSEIIDFSIWLMFSKTQKFNGRVQHLLC QGFQKDATARHMNREEHATSAIPGIVSTYPNVHVTSMKAWPWPQFLALFGRQGEKMMI DLILDCGIFVPVENAHGSYYQLSGQPLSEIQMIGQRPHARSMAKKNADDKHEARAPSS INFVRSRMMYARASTNVQGEVHFGFKHNHLLNRWPLKAKLLRNRDEEAQGAAHERSTV QVLMYMFPRQFGLHNVFVNEIDLWQAMQPFKDYTLRDEEIITRFPGIPMPKIPRRLRG AAVCLARKLQINHHRCSYKMLLDHYCPIPGQTSRHVSSETSQCTNGSTKLGTQTSLTS NGSKLHTQAIIPARKPSMMDYATPTAMVSAFCRAVLRNLVPLDFWGTGLVAKENRRII DQHINTFVELQRFASFSVHHVSQGLKR LY89DRAFT_314076 MILIDFDVCTCLPRVLSFRFKMAGANLLFTDTFKIQAHAMFLDT SFNSLKTVLSNVYSAFIESATKMWTYAKCLPAGKQPGTQLILKTIRDLIELAFVLMKS KGKNKKNVGYKFALSKMQVECNECILQHLEEATE LY89DRAFT_575086 MTFSGHASPNSPMPGSPPDLTGSKSSKSSSFHSSYLSDDNSILS DVGNFEDIGLDDESRAEAEIGDFEVKTSSNPYDATYNADLRSAAKQRKRIPMSTTHGN RNQRELTSGKTRPAFPSLRGQVRSATTDGLGLLPIHNGTAPRRFTTNAQSLPIAKRNR STSPNIPRSPSYNSALKTRRGSWQANRERKTALELEKECDEEDGDDVPDECFLENVPI SPRPPQERTKSMPPSASTSPERPPKEKVRSVGNGTSPRPAEQGELRSPRAGMNRNASM GQFPINHDNFPKGRAKSWTDALSELSPEAKALTEALEAHAEDEEQKVVDPRLRRSFSK SNRPATVEKQRVRSAIAELPPLRRTDIMIDPLPISKEKEAVLSRTRPSWLPPKNPAEE KKHLKEYQKMMASAIEAEKKREADRRAKTTCRDDTANSLLRIWEEHVLPNWDEATRQK RTRELWWRGIAPRSRGAVWAKAIGNELGLSDSSYTAALRRAQALERTIASGSQLSGEE QKKKASLDRIAKDAETTYPELRIFQPDGPLHRSLVDVLKAYAMYRSDVGYVPGTSTIA ALLLLNLPSPSASFCALSNILNRPLPLSFHTSDSGATSRAYSLLLSTLQARSPQLHKH LASMNLNPEIYLRDIFTSLMTGCLSLDNASRLWDVMVFEGDAVVVRAGVAWLTNMEGK LFGAESPKAVYDIVRKGLDNMEEESWITSVRAAGKQ LY89DRAFT_574878 MTSERLIKPWKYGPQNTYVFKNARLIDTATGDILSNRTVKISHG IIQSVTLTENEYYLIESSNETTIDLKGKYLCPGLFDNHVHLWAVPGEATLGGMYQIDN EVSMSRQPFVVQQMLRRGFTSVRDCGGASLALKQAIEEGAIQGPRLFIAGHALSQTGG HGDSRGPHNHSECCGPIALGLVCDGVPACIKAAREELRTGADFIKIMSGGGVASPTDK LENVQFTGEEVRAITEVARNANTYVTAHAYTPRSIRHAVDNGVTGIEHGNFIDEETAK YMAERDVFLTPTLITYSVMASPDFPGFLPTESEAKNAQVLKFGLKSLQIASDAGVTLC FGTDLLGPLGIAQTQEFVLRAQVLNPKAILQSATINPARRAGLSDFLGQVKEGFAADL LILNENPLHDISILDKPEKHLLAVIKDGRVFHSRWSKLPQDALPEEPKIE LY89DRAFT_637280 MPEIEIKGIAASNQFPTEKDGSLGEVLDVEVGALPFTAEEERRV KLKTDLVILPLLCCVFFLQYLDKQSLSYASVFGLITDLKLKGTEYSWCSSIFYIGQLV SEYPFIYLMSRLPLAKFVGFTIVIWGIVCMCLAAPSNYAGFATVRFLLGFCEGAVSPA FVTISSIWYRKSEHPMRIGAWITMNGLAQIVGALLMYGIGKNSSFPLAPWRILFLICG ALTSAMGVVFFFVMPSGPDTAWFFTAREREVAAMRLARDHEGGDKTNFSMPQLLEALT DIKSWITFGFGVLVTMPSLVLTFASLVISNIGYDKFHTMLYTAPSGAVQIFFIWIGVL GCHLFPRNRSLIVMILVIVPLIGNILLLKLSLSSGWGMIVASWLASVISDIFSITLSL SASNVKGNTKRAVVNTMYFIGYCAGCIGAPQLWLSNQKPRYKQGLITDLVAWGILLMV MPYYWYICHSENKRRDKLEAEGGNTAIFEKGADVTDGEDLSFRYNC LY89DRAFT_575639 MRSQLLTFAFATLSAGHGIVTNPTSRSAGNAMKAVCGQQVTNNL QSNEYGDIQQLSQIGSSQPDFNATTCEVSLCKGLQFDDNSANVQTFTPGQVVPITVDI QAKHTGTCNVSVVDTATNTMIRNELIYFPVYASTSTELPKNNTQFDITMPDVSSQCGT AGACVVQWWWDSRESDQTYMSCVDFTM LY89DRAFT_729025 MSSERPAKRVRQACEPCRRKKSRCPGEQPICSHCSRLGQTCFYA DERQQSERRSTPPTRTHLPPSSEGRLEDRLKLVESQLAEVLAHQGHPRRSVSQLPQSP IISSSQFYARQETPQHADSALPSWNIILAAAKTYLQFCDCQPLPLFHRATFLQTLQQR DPEVLCSILALALRFEEHGTVSGGYEEAARNRISKRVFEGRVELSTIQALCLLTLVDF SDGNTRRASFNCSLAMSLAHNAGLTSEPHPDLYDREREERRRCFWSLFLLKRLHGADF MILDFSAEDNFPWYPETTGDSFNSSLRSNPEAIEHPDKGIVAYAIQLSEVWFKITRYA RRRGKPNAQPPWASQSDYATILAHQMDFETRMPYIHRFEPAKFSQKTVEQLNSNRDYW GPWLFVQFLYHTNLCLLNHPLLLSLRLRNFKCVIPEIFLQHTADLISSHASWIINFID MLEAKPFKVTDPFLGHCVAIVSTIYLQESFVEDAGTREEKQACFEKCLKFIRGFGPQW PHLDRIAMKLQKLAETVSSTYVASEEHIRQNRKLLIDLGQFFEVLEYSASSEMPGIAG QLFGPSLQTSLLTSRTEMAQTSVLPTPTRVERQEFGNSTPAPSAQQTPMDTNFVRLPQ DNLLAYSDDELAVLAENFFHQRQDLDGNVNWWNSQF LY89DRAFT_704455 MGSVESNWRELDIAVVGGGIGGLAAATSLRQAGHRVTIYERADF AGEVGASISCAANGTRWLEEWGVNIPIGKPVVLQKLISRDWKTGEPTNVYDLADYKEK WGYVYNMFHRVNMHEMLMDSAIGTSHFGLPAILKCNHKCVEIDHATGTITFENGVQAK HDLIIGSDGSGSTVRKTIGIIPDRKQSTSHCLHCIITTEDVKRLGLTDYTENSAIEYW GGQDVYKIVYSPCRGGEINSFYCFFPTELSKNPGEGWNHSIGVEEFMEPFGTLDPKLL AIFRNSYDIKPWRLFVHQPYDHWQKGLTCIMGDAAHPMMPDQSQGACMAIEDAAAIGI IYSRRHDFTGSPEKISAGLKIYEQVRKPRATRVQEASLRARENITERIGFSSNTSNPL YKVTDEKNKLTIEEMNSYDMYADVEKKVTG LY89DRAFT_704456 MLGPLNINLADFNVSCENGFLPDETPLERLQETYQEWEAIIEKL PLLLQTKSLRASIDRMQILSTDHLKSEPEWQRAYLILSFFTHGYIWEAGGPSQRLPPQ ITIPFLKISHHFDLPTTATYSALNLWNFAAISPDADIRKIENLRSLSTFTGGEDEEWF YLISVTIEAHGARVIPTMMKAIDAVRANESSVVTDALIQFSHCVQEIGEILKRMTKKC RPQVFYNEIRPFLAGSKNMEVAGLPNGVFYDEGEGKGQWRKYSGGSNAQSSLIQFFDV ILGVQHSPTKGSIGLKNGFLREMRKYMPGKHREFLQHIESVSNIRSYAETTSDNQVTE AYNRAVEELKKFRDVHIGIVTRYIINPARQHSPAANAGLNLAVASANPSSTKELHGTG GTQLLPFLKQSRDETRDTRIP LY89DRAFT_574578 MGSIAVETQCTKLSFPADANSLEYAQSLDAKDHMRLFREKFVIP SKANIKAKKLSKPDHSEPCIYFCGNSLGLQPRATAEYVQTHLDTWATIGVHGHFRQLE DSPMIQWQLLAEHASKQAAPIVGASPFEVGTMGSLTTNLHLLMASFYTPTAEKKKIIM DWKSFPSDHFTIESQIRGHGFDPKEAMVMIGPDEGEYEISTEKILSIIDEHSSTTALV LLPGIQYYTGQFFDMKTITAYAQSRGLLVGWDLAHAAGNVPLELHDWNVDFAVWCTYK YMNAGPGAIAGFYVHERHGKVEYDGDEPIFRHRLSGWYGGDQVGRMNMDNNFRPSPGA SGYQVSNPSAIDLASLCGALSVLNQTSMADIRKKSVKLTAYLEHLLLTTSPSDRAFRI ITPSNPDARGTQLSVLLRPGRIDTLFEMLEDAGIVADKRRPDVIRVAPVPLYNTYEEV WKFVQIFNAALEKCA LY89DRAFT_729029 MALCALLFLTVYLVTFGRCQSSMEFSFPPNATQAYDDTTIANIS VHFNDNMILEYQLPDSDGQLAVTQYCYASIKDMENDNGATFDKTETYNNTGTLHWNIG NGNGGNYTGINLCRLFIANYTIVNCQWGPWGIVNWNQTDDTLLYSQLFNISAAKNGTK PAVISNNKTPTSKQVTATAGTVTCPPSGIPASPIYTPVPTVEQIPPMAGNLTFPS LY89DRAFT_314228 MDRPPAGGEISPEFTPSPSQYSSIQQPPPKFEQERLVTRKPVPS LGKTHYEQVNPVPDSWQGFPDEKGFQWLPGLWTHVPIPGMLAVIGALICIAGTVAVLV RSDGMPVTDWTLSPTVYIALMTTGTNMLLRFAFHEGNKIAWWYRAIQGGTLRDLHTRW ESGDGFWGALGAGRNFNLVSLASLAATFIVIDQPLIQRASTIVPAQFSRLTNVTATIA PEIPYGYTGAQYGRGSYQQVMTQPMISAFNDYNTQASITTGFAGCSDTCTGFVDAGGL AAECTTISGPIAYLQSPKTNASNDILDSAFLAQSPFNVNFTLVPQMSETNASYILMMV SYTTDAHSSNCGGTLIQRSCKLQSATLRYPITLKNSTLELGNITTDATIQSFQPAGNN SISIDGGSDYDRWTLGGLYLAATSLFQANATYQWIGALGNMLTLPDTLSNQFLETPIG NDTLYGLGLPAVCSSNWTDPTSHILSALNQIAFRVSINAAGFPFRNTTSPPSPQILTM QEVRTINVFQSVYRFLAASTVLTVVCVALVLPTFIGWWELGRSVTLNPLELAKAFDAP LLSGPGSNAPLHELVQTMGMRNVRYGEVEGRGQLSRRELKLADPQELVRPSPGVVYE LY89DRAFT_314238 MESWRLKDDLDLEDFGGLWLSHPTSRNGRICGVNPESVGEMTLD QGEGTDQFT LY89DRAFT_694126 MSGRAKRKRQSPIVALLAKSMSSGTTVVISPLVSLQDHIVERCQ QAGISCVKWDARQCHSPSQIVIVTLESAVSKTFGTFLDRLQGLHLLERFVFNECYTPL DSTAEFRPRMRQLGELVERGVQMVYLTAMLPPHAEPEFMNIVRIKADDVHMFRSPTSR PNITYSVVEYEEDEFGRGDIAAVRKLVEQKLEDALDYYAYYRDVSDAAVKDEIRKAWE SANGRVVVATNAFGLGINRLDVRIVVHIGPIY LY89DRAFT_575893 MQQADEEARRQITEPEEAREPNLWLRRVGWVEHLGAFDRKELRE LVAPVKDDELELDVLCKAFDWLIQDAQYHCIRPVVGLEALFEANRKEVDKDIRMPFNS WIDITTVKAYTEVYKQLLRYIFRSKDIEPEKRPGYELTERQQMCMEDVWTSIEEFVWW KEEQAGGSRRGVVQEGESDEEIEWMGRI LY89DRAFT_577076 ILYTTYYKGQQQTGKFKDNIRFLPTPVGDLLLDYLVVVIPLLQV FLRRSAPHTIISPYLWWQDGKVWANNRLTRCIEQACSRASIPRLHIAN LY89DRAFT_664708 MVLALGESPLRLPASRGGRSDHRGLWVTLLFTCRSEAELARLPA KAIPGLRLLDGFQCLTCSAHLTRDCKSIQRHVSKAHQQKLALHKKSPLWRGCKLQTFF AENRWVRYFVVEEGKATTRGSSECSFIASLDSREADFFTQLNEDAAIAGEDAKAEANT VHSFGSHKSAVVPWLRRTGIEEHTRGLKKDEMHTSFAVPKNAESEPELFLMLEENAWE AASQSAVKQDRPALRNAMSDLSIALVCHEFGGNRYNSPLLSFCAMLSVKPHTKTWKEP GNYNSCLSGVIWVAQLIIFHASACLEKAELGDTLERIEQYYGKFLKQDTETPMGEILG WRLLLFTISKEVVGPHQA LY89DRAFT_704457 MSSYWVWREVGVQCVNFPWESIQLTEADVGNFSDIAFGDRTKLN ASSPATTSACKAFPGSFDWPIDDEWNQLNSSLGGALLKPSPPAAVCYSGPQYDLNQCN YILQNASSSRFYLDDPLTVLTSWPEGDTCYPTLSPTQNCTQGGFPVYVVNATNVKQIQ IAVNFARNRNIRLVIKNTGHDFNGRSTGAGSLSIWTHYLKSFEFLPEYTEKNYSNIAA RVSAGLEAWEMYQYMDKYNMTLVSPGGFTVGPHGGWMAGGGHSTIGSIYGLGSDQPLS LNVVTADGKFVTADMDTNPDLYYALRGGGPGTYGIVTSAIVKAYPPIHVSETTLAFNG GPVPIFNVSAFGNFTLPINFTFPLNFTLPPPPASTLNTSAFWEAVNLYHAYGKPIVDV GGTAYSYITKTGNGSFSFTTNIEMAGMNASEAFTFLTPLYTAIQALGIPVNQTLPVTS LSWGSTRQGEGDAPGDQRFASRLFPYSNWEDETLFNNTMVAIREVVEQGYTFHGVNIK PDEKAAGYPGNAAVNPAFRRTIMHADIFDNTLIRGATREVVEATHVKLNNAMDLIRAA TPNGGSYVNEADVQEPNWQQSFFGDNYNKLLKIKRERDPWGLFYAPTTVGSEVWEVRT ADGLPTQNGKLCKVKQVRLESI LY89DRAFT_694128 MARIILPFLALLAVLDVTQAQRPGAASFVVPTAFPTSVFSSYYV KAAPTNEPQPAIHDPVLNITYPLNLTNPETIPLADLDPVYYPPAIANFSEVTSEALVK LALAEINSIIYESGGLSGNCSKCIAALSVGKMLAQTVPSYVPAAMVSLCQSTGFASNS SCQTSYGAGNFGAIWTQVLALADVTGLDGRYICNTLSTTFCSVPTTSPLNVTSLFPKP KPKNATAPKASGNLVKVLHLSDFHLDARYSVASEANCSSGLCCRYSAASTSQAVFPAP LYGAYKCDTPYFLGLSALQSIASLTGTGDTCSSPAWTIYTGDLVSHDPENEMSQAYVE YTETSIYSMFKSYIKGPVFAVLGNHDSSPENIDAPHKLPGPLGQQFSWNYEHLSGLWL NNGWIDVATAKEAATHYGGYSVKNHLGLRIITVNTDFWYRSNYLNYINMTNPDVSGML AWLINELQGAEDAGERVWIIGHVLSGWSGTNPLVDPTNLFYQIVDRYSPHVIANTFWG HTHEDQVMIYYSNNGTVMNSSTAQTPGWIGPSVTPLTNLNSGFRMYEVDTGSFDIYEA YTFYSDVNAYSTLNATGPTYQFEYSTRDAYGAAAGWPTTAPLNATFWHGVTEAMVTNR SLVTTFNTYQGKSSIKSPNCTSDACAEAKICYMRAGSASLGKNCSQGFDSVQSPYTGK NF LY89DRAFT_376614 MGTRGLLGVVIDGQRYATYNHFDSYPEGLGKGIMEFLLSLEPKD WAKMAEMVREIEWVDEDSKAPLELQEKYINLDFADETLNDGSDGRSDWYWMLRKMQGS NALPEILSGNLKHMIQNVKFLKDGLFCEWAYFVDFEKKTIEIWAHGQFLEKISLEGVN EEGLEQCLERMEELGEDSYDKYQQKTR LY89DRAFT_729037 MQSPPSILNRRGGILGRRNGLQPACESCRKAKVRCDIAASDTIC ARCKKRKKPVPCIFLEAPMTKSKRESSTEQATSPIQTMNPLSVRSPTLSTSTPKSVHS AFSQKTVEISGFLGSTSYSATLQHPELHPDEGNINVQAEKVNLDPRDIATGIHILKYL PDENTCQVFLDLYLQNTVGIIGLPKRSMKTILGSIFTFGSSLNYPYDDEKLAAVSSQI IENGQATTDEPDDANEWIESMSGKTNLRWDIIGILFVAFAYAIIAAPDGVYTLIDERL ASRDRKVVVKELKKCIEGCIELSKKRLTPMFVNLLYKNLLLETILEGDACLSVWRLHH DLAAVTTAIGLHCYQGTPNVTLRSEMTKRLSAACFFNDKEIAMFTGRPPALSHRYYTC PLPLDLDDDVLMEGGEPLQRAIEALDENGWNRKGEVCEATISRRRFLSALIQDEVMEL FIGNPTHFSMERVNALKDRTVDTFSAVPHLITATKEQLNASDSTYVFWRLLFGRLDYL RIHFFLERLSVERGFESKQKLLDVSREMVDLTVYLWLQRDRTLARRYDFDYLIICYGM PSTGILCAELLRQIRYPKTVDVKVPCSEVVRNLNFMIGFLEWIAPEAGNYKLCQHMAQ IIKRVLEKVFEPAPVEEALISDRQLESFDANLWGIDGVDDFDWLNSVDWGRRPFPDVP AA LY89DRAFT_637302 MTLLPAVLGAIVSGIALQLTFYLLKQRRVNAQAVSRGCQPAPVA DSASIWLFGLPILWSMIKADRDQCRPQLFAKSFDDLGRVHTVRKAILGFRILATRDPV NVKFIYQSPSFDIGPARSQALMPLIGLGAFTAKSTSKNYRSFVRSHLSLDKVSRIEVR QTHIQYLLQSISIGEDGWTTKIDLAPLFFHFTLDIASEIVWGKSVDSQAAAVPSRGGS KILLEQCFDSGKSFMAKRCNFLSLYWLVDSFGFRSVCAQLHHEVDKLVEGRLNSDPSI GGEQPVILDEIVKSTQNRVDLRNQTLNLLMAIRDPAAALLGWTFYHLARHPKVFAKLR MAILESFGKEPITMLDLAKFHSCAYLQHCIQEAHRISAIVPLNERFAVQDIDLPRGGG LDGTKPVFVPKGTHILIANYAMQRHPEFWGSDADLFIPERWERRKVGAEYSPFGGGQR GCLGPKFGHAIVASMTIQLLQRFDSIENLEFAPVRYRETFESHSGNGVVVRLHNADD LY89DRAFT_637304 MSENDTTNDRSDRPLLEGHESEYGTRDSATAVAPAEGTFKRNLG AINAFAIVISIVIGSGVFTSPGSIDANVPSPGAALITWLAGGVLAWTGASTLAELGTA IPGEGGVQPYLKLIFGDIFGFLAAWTWIVAVMPATLAILSIVFVESIFSAAGVTDQAG NIEHKLLSVLILVMNAANGISTKASTRLNNFFVTTKFVTILAVVVAGIAVVIMGASNP KRDIGGRDWRTKPWFGFRDSVEPDGSIIEWGKLSEWEMLGHFSAALYGALWAYSGWDK AIYITAELSAPARQLPLAINTAVPTIIICFIAANAAYYVLLPWNVISTTDSVVVTAIT RLLGPSFGIIAAILVCLVVAGSLLGNSFVASRMTIAAANQKWLPSFLAVVGRVGTKPP QNIEAGTSKGSASDAPLNALLLSTTFSAVYIMFGNFRALLTFNGLGEYSFFFLTVVGA IVLRFRQPDLDRPYKPLLIIPATFAIVSGFVVVRGAVFAPIQTIILFVLWIIGVGFYQ TRRLWAKED LY89DRAFT_376643 MCRLGHLILDRGKGLLMCWCLARELISHASKSHPDPQAWIGMAC DTSSAPAYPWSVYNVTKYETMSSLDINMQKPQIRTRLL LY89DRAFT_777949 MAFAIHEASVDDAEDLARIYVACHIDDDVWKILTANVKHQDHVE WVANNSRAQYHLPERKVYKVIDTATGKIVAYATLTSPYPSSEEERATSASTAGKSLPP GINEGLMKEFFGMLSSTKAYGYDPDKHFHRKGTMVLPEYQRKGLGTMLNAHCNDLADK AKAATYVAARPNSLPMLQKSGFKILADEKIDMTKYGGSREDGKAWALIREPQ LY89DRAFT_767517 MSHPKQSIHVGLCGAGIGGLAAAIALCRAGATVTVLEAAAELGE IGAGIQMTPNASRLLMKWGVADLIGDNLVEFEELNMRKRDGTKVGYTRMMPNIKKDLG YPWWVVHRAHLHAGLVEVAERHGAKILINSRVTSISYKSSQLVTVTTSTDSTYTFDLL IGSDGVNSVVRRTLFPDIRPTPPTSNCAYRAIVPYAQIREDLIARELIEKLTMEVWMS DRSYIITYPISAGQDFNLVLSHHVPNDRLVESVEDVDIKEVRDEYADYDPRIKRIVDM IPSAQRWPLLVTGPLKSWTSNEKNIVLMGDAAHSMTNHMAQGAATSMEDGAFLGRCIR SVIAGQITLSQALEIYEKERMPKTHFKQQVSFLNGAIWQLPDGSAQGARDAAMQAELE GRPFSRSSNLYGDPQTVLRVYGYDAEAHADGAVHEYLKGRKRGDWRKGMGIEKEVLDG IVRWWLPEEEGREEANEYGVQIESKL LY89DRAFT_777951 MSSSKNPSSDPNAMENIPLQDNYEPQHQYQEPSEEVERQLKVAT ASPDLEPQNTKAPAPKRKPGPPPRTGTGDTQSSGRKEGAVSKITGKAKGYGKSWWGHV KKGEMPWIQWYCCGVVDGHECDTMNNRMSKECKKCKHKVCNKCVKAKT LY89DRAFT_376667 MPPLSTIFVGLQGAFGVLNRAASLLFRSAAEKNVEILKVPSIPA IHAIAAGSISIGAFYLNTALRHDTTMMWWCVVGRLIAIPVFLQHGGPWRNVAVFEAAC GLLTMGGLLWDSWRDRGTKEKGP LY89DRAFT_577054 MEIDVPFDPSALGLKEIGNLASWTVSSSKPGCGVEALREEDTNL FWQSDGPQPHHLNIHFSRLVSIVAIRLFLDFEADESYTPTRITLLAGTGYHDLIPFSA LSFEQPKGWIDVPLDHVGGGDDGKTLRAFLVQVKIVENHQNGKDTHVRGLKIYARDDR ARGTMRLESLRADGIEGKGKTKANEAGQTNGMERTWLLEPDWMGEPELR LY89DRAFT_681011 MSPSLVLSVTFTLAVVTHVLHPYGNIKSLLFLLLFPIFVLRVNM RRLHSTIVSFIH LY89DRAFT_681012 MRACSEQRFHIMSFIPGIRIAMPFMVFVISYTANSPDCSSHSQP SVWCLLSRTNCLRYRSGSTHIVAVGDP LY89DRAFT_681013 MVKIAVAGATGELAREVIDALFATKRHEITLLTRKNAPVGDSTS GITWRTVDYGDKSSLREALLGIHTVLSFINQQTPGQSGSSQINLVDACIAVGVKRFAP SEYGSDSKEDLPFWAGKTKVQAYLERVNKDVKVLEYTLFQPGLFLDYLASPHKTAKYV TPLDAFIDFQNRRAIVVEGHEDAVMTLTTVQDIAGIVARAVDVDGEWPVKGGIMGNRA TVSEIIAIGEKVRGGPFAIDKVKHEDLEAGVLKTSWGLGKRHPSLTAEQQDQLAGVLK GVLVGTLLSCVKGAWDVGDGWNQRLPDYAFSKMEGFLATVWEGKP LY89DRAFT_681014 MLSRLQQAAMQTSQPSTTAPRLEHDAPKLRSSCNGCGTAKVKCD RGQPQCTRCKTLNLSCVYERSRKHGKPPRKRPESTLGGNTDRERDIPTMATDSRNANE PTEANSTDRNTDMLSTFHSTQHEPNRFELTSAFYPLIPQDEWPQIDGFGASLDIFAAS TIDPTFNLNISFGKDKSNTHSCPRESYEIFRDLICPTEFLHAPESNFDTVSAQFDQVL HFNRTAIERLTRILNCPCSKSGHRAMVHASSISRTLIWYQQAAGWPSTLPVSSPSCHV SSSQSPYSPSKTAADSGPPSQVQATGFTVEHVPLSVGTFNIEDQNMQTAFRNQLVLCE LKRLANLIDLFSRQDSGEPAAIGLASLSSHLGSWLRGEHARTVRVLRSRLTALNESMG F LY89DRAFT_681015 MTRLSFRPVDPTASKPFPVANATLPYWRTEHHEIDSHRSTEDLP TECDVLIIGSGLAGASTAYFLTDDNPSPPRIVMLEAREVCSGATGRNGGHCSMGSPAF IDSVIQKHGPEAAKELFLFTAAQIYAMKHVVEKEKIDCDYMLDRFVETFLNQSDADNI KETYEAQLKAGLDYINDVDIVNPKYVEKITGIKGAKTATSVTGAQLWPYKLVSSLLAR ILEKGCLNLQTHTPVHSVSVSPKGISIVNTPRGSIRAGKVVFATNAYTSGILPLYADK IIPTKGTNSHISVPKDTKFPPPHLNFTYGITYEPPQTRDYLIPRPDGGVICGGAKHTF YDAKELWWGNFDDSTLFPMASTRDHFETVMQDNFVGWEKSGAKVDRIWTGIMGNTADS FPHVGQVPGQPNHFILAGFNGSGMSMIFLTAKGVAKMVRDDVAFEESGIPRLFKTTES RLKLEVKP LY89DRAFT_694132 MADNKDVSPNLEKTVSRHSATAPPPELLASDADAELLAKLGYKQ ELRRNFTMIEVFGIAFSIMGLLPSIASTLSFSIPAGPVGMVWGWFLASMFIFVVGVAM ADLGSAMPTSGGLYWWTHFFASPRTRNALSFLVGYSNTLGLVGGLCSIDYGFALMFCS VIVISRDGNWTPSNGDIMGKLQTVFVAMNLILIAATIIALPIGKHQRNDAHYIFAQTE NLTTWPTGWAFMLSWLSPIWTIGAFDSCVHMSEEAANAAKAVPYGILMSIGSCWILGF IIMIVLAACINPDLEAVLGSSFGQPMAQIYYDAIGKHGTLGLMSLLMIVQFLMGLSIL VAASRQTWAFSRDGALPFSSFFRVISQKMGYIPLRCVWGCVFCAAILGLLCLIAPAAA SALFSLAVAGNNLAWGTPIFCRLVWGQHKFVPGPFYTGDMFSRPIAWLAILFLVFGII LAMFPVGGPDPTPQTMNYTVVINMAVWGGALAYYFIDARKWFTGPKITQQAIADEGLE VQINDGPSPVESNGGDIEKMAEK LY89DRAFT_694133 MERRMLSKEDEAAAAGDEVEVRREDQDKINKFSRLHQRETTLEE ELQAKHKEKEDLEEISNELELADEDDNIPYKIGDSFISLPLPKVQELLSASTSQIEED VTVVEEKLSTIREEMTELKVQLYARFGRSINLET LY89DRAFT_377304 MAPYVKTLELPRDREASDAGLVSTPPLHRPNILSRVISGVSRVD ETDATERYNLEEADNKEIASPGSSSSSVTSPERKVISWDHEDPENPYNFSSAKKATIV FIGMVVVVNSTMGSSLPSNAIPIISEYFHLASSYTEVLPISMYLVGYVLGPLLFGPLS ESFGRRIIMTSTFFTFTVWTLACALAPNFPAFLIFRVLTGISASSPITVIGGVYADIY NDPVTRGRAMAVFMGGTCVGPLLAPLISGFVAPALGWRWVFWIGLIVAGASWIPMIFL PETYGPTLLAKRAARLRKTTGNQNIFAPIELEKKGVKQMVTVTLTRPLRMLFFELIVS ATCIYLSLAYGIFYIFFSAFPIVFQGIYGQSLGVSGLMFLPIGVGAFASIAVFLWYDA FLRKAQALHKPWTLKEESRRLPLACIGGPMIVLALFWLGWTSRTSIPFVVPMLAGIPF GIGFILIFMALLNYLTDAYEIFAASAMAAASCTRSLAGAVLPFAAAPMYDKLGVAWAS SLLGFLSMGMCVIPFLFLWKGDRIREGSKFCRYLKEKKAKELAELERDRQRRLRLNEL NHGGNDVVSEKV LY89DRAFT_576987 MKSSFSLAALAFSATKVVAFPSSMFHVDISEEEKRSIAGIAATI EAGAKNKRAGTPLAPGFSASQQYVSTTGEYAFVAPGPTDLRGPCPGLNAMANHGYIPH NGVATITQFIQGTYDVVFGMGLDLATFLAVYGAVFDGDLTSWSIGGPPPAGLLSSIGL LGTPQGISGSHNKYESDVSPTRPDLYEYGNDYKVILSQFEQLYSSPLGPNGYDLTTLT PFRASRFQQSIDNNPHFFNGPFSGVAVQPAAYTFIYRFMSNKSAEYPEGYLDGDVLKS FFSITGEPGNFTWTEGNERIPDNWYKRAIGDEYTIPYFNVDLLAAALEYPQFLDVGGN TGTVNTFTGVDLQNVTGGVYDLSTLAQGDNALCFAYQFAQQAAPDILKGLFSSITPPL SKLNSALASVFSELSCPQLEAIDTAQFANYPGSTGSY LY89DRAFT_377561 MSYFEQQDVAIQDTHVDVERAFPKPSVHDSEKTESTTDITKASI QDAALEFDASLPMNWPLLKKIFNIAVPSLLCFVVAFGSSIYAPAVPDVMRSFNVSETT AILPLTTYVLGLAFGPMLSAPISETLGRLGTYRFTVPISAIFTLGAGFAPNIHALCAL RFFAGFFGGAPLPVASGSAADLFRPKDFAIAGAFILYFPFLGPAFGPVVGGFATQDNG WKWSQYVLAITMVVLWIPVWFLEETYLKIILARRKQKLEAVEQQSKPPASKLLFGILF ITLLRPAKMLVTEPIVTFLSLYVAFGFAVVFTFFSSVPYVFGLVYDFDRGNSGLVFLS IALGCTIAVPTVIILDRMTYQKVMRLTPEKVAPELRLWPAMYGAIGLPVSLFWFAWSA KKDVHWIVPIIAMVPFAWGNLCIYVSTSMYLIDTYAALTAASAIAANGLLRYILGGTF PLFTIQMYEKLGIGWATSLLGFFSLAMLPIPWVLYILGPRIRGASHFETKNSS LY89DRAFT_377321 MPYNIAMVSDNFFPQPGGVESHMYQLSTKLIDRGHKVIIITHAY EGRTGVRYLTNGLKVYHVPFLVIYRATTFPTVFSFFPIFRNIVIREQIEIVHGHASLS SLCHEAILHARTMGLRTVFTDHSLFGFADAASILTNKLLKFILSDVDHVICVSHTCKE NTVLRASLDPLMVSVIPNAVVAENFRPLHHPSYPLSEFGNQIVPPPQRLGPHDTITIV VISRLFYNKGTDLLVAAIPRILASDPNVRFIIAGSGPKAIDLEQMLERNVLQDRVEML GPVRHEEVRDVMVRGHIYLHPSLTEAFGTVIVEAASCGLYVVCTQVGGIPEVLPAHMT VFAKPEEDDLVAATGRAIAALRANKVRTEKFHDQVKMMYSWTDVAERTERVYDGISGA ISEAAFYGYDAADAASWSATRGRAGVQSFALIDRLKRYYGCGIWAGKLFCLCVVIDYM LFLLLEFVAPRDAIDIARNWPKKEKEERIHKPRSGYGR LY89DRAFT_664727 MASQIYSSFESSMSTPPATRQDASSTYSRYIPPSKKKQPGISLP NPPRADSQSPPPSPKRKLAELEDHNDETSPVQTKKQKRKHMDGPEIVSNLQQISKPTA VLIPAADYYGPDEPFQSPAPTKGRKEKKKAKEKEKKKKQKMTSTVPSDGSTVPQSGEA LALRIGDKHKKIKTPKPESDEIPAAVLDEKAEDENKSDDFKRHRKLLKKREKSIRKAE KLAKKDPEGSTAEILVEPSLPHEEEEIHDLVPLPQPEPIPEPPEPSITASLPPWIASP IRVPSTTTASFGDLGLSNDIAAALEEKGFKDALAIQAAVLPLLLPGKSQQSGDVLVSA ATGSGKTLAYVLPMIEDISRNRHVGVQGLIIVPTRELVAQARQVCDVCSSVFSKVDGY SQRPLIGTAVGNETLQIEQEALMGEELVFDPMGYDAETKAANAAWESSDQPLPDRELF PQYEYKARIPGHVIKPFVKVDILICTPGRLVDHLKSTPGFSLQHLRWLVVDEADKLLD QSFQQWLDLVMDQMQSYRRHIRDRVRKVILSATMTSDIGQLTSLKLYRPKFVVLESSS SDGMDSAHLLALPALLKESGVKVEDDAIKPLYLIELLRRVGLISNVVPIESDSSDTDS SDSESDSESQASTDRDSNQVSDLMSPKTNNTDPQAPIGVRPATSGVLIFTKSNETAVR LSRLVTILCPTRSSQIGVLTSTTPRQTRQRTISSFSSGRVAILVASDLVSRGLDLQNL AHVINYDVPTSLTSYIHRIGRTARAGKEGHAWTLFTSSEGGWFWREIARNQRVQRVGN VKVERVNIKKEVFDNERQRYEDALDALEKEATSRAVRQTEREPDSENLQSNLRDCCNN ANGSTEENLVENLICDDEHFQVGRIYESIKFTLVVECLSMDRDLKKVFENPSLFAEYE HCSLRQQHILLGTSGTLQ LY89DRAFT_377540 MSSNSLETPGIPVLGDAPADAPKHKFILRPMRFSDIHTLGVYTA EAYAGTPVMDFLAQKAHHDHHIFIRGFRQNIWERITSNQHLNLVACVASDPNTPIGYG QFVRKGNATNYSQSSGVEKWIMLLLSWVIGAYFLVEHRLWPNLTCDKDALKMFEGSSG LDTKRYWTAHPERANRWHAQSIIVAQKWQGKGVGRLILSEALSRAKEENVVMGLTSSP MGEKLYRKMGFQMLGDYTYRVGGDVGGGIMMWSPQGTEDVKSK LY89DRAFT_377352 MSLLKSNLHRLAAIVLCFAPASVIGDTRNWNPTGQGCVDPKGFL SCYDTQSSNADSCVSFCNSNNVQGTGAYTDCILGCNGAWLASNVGCWIQSCWNQVYSC EYQLTALSYFDGTDLVQNANIPFYPPPDDASAGACSCNLGYVYGNKTYINFNNACITV AGSGDLDATYECECCEFSFPVSNILNVCPKSDLSILGFQQELNDTQQLISKSTDNCAI LDNNSDTCVSQFGYPFYGTITNPLNLPADEPGTEPLSNLPGNAFTDFGEPAYTLTLFP GYSSVITPAAFNADAGVATGSVEVGSAVVTATAGSSGQGGSVAATATGKNTGTAATAS GKSTNTGSAASSSSTSTQKSDGLRVESKAGAVLGAVFASFALFM LY89DRAFT_564431 TAKAPASPFTWVTLLTRISYLPGAILLAYSLHRHKSKYPLLVLI TSSFPSSLLPSLERECSLTNASILHISALSPPPENSPSSLIASRFEDTWTKLRVFELY RYGYEKLVFLDADMLVMRNMDELFEYELPGKDWIAANHACVCNLDSDSWAAEDWKKEN CAYTGLKPGSSPTLVPDLAFSSGKRTHTLLNSGMFIFSPYQSQWEDMLKFLRSDERVK EFLFPDQDFLAEYFKGRWQSVGWQYNALKTMRYWHKSMWQDEEVRNLHYIVDKPWSRR VGGDGVAGYLGRDGITHQWWWDEYERWETERQQKGEEEIL LY89DRAFT_704470 MYALPYMSAKMSASEKPGNVQPNCFTSTLGQAAERPDTNLSTVS ELIDLQAKCHGDRFACAFPTPRDGVEWSCDIFSSDFPSLQGASHCLDSGGRGAYSTLN NSVDFLFTWLGLMRAGLSVLLIAPQCQPEAIVHLCKSCDALHLVYDEAYRDLASSAAS HAESLAIHQIPWQSQSHDIRHLMSHLKPFRRIVSEAVESDTAYIHHTSGTSSGMPKPI PQTHHGAVSVLPSFDGGDSATFTTTPLYHGGIADCFRAWTSNALIWLFPGDKRPITTN NILFCLNVSEKAAQERSTPQVKYFSSVPYVLQMLAEDPQGVSMLQKMDIVGVGGAALP AGVGDDLVSSEVNLVSRFGSAECGFLLCSHRDYETDKEWQYLRLASTKLLQFEKQDDD SGLYELVVRPDWPHMAKRNRQDGSYATSDLFEPHPTILNAWKYHSRSDNQITLLTGKK FDPAPLEDEIASSSPHIREVFIVGNGKQVPGALVILKSDMSACREKEIWDVVKNINGK GENHTRISRGMILILDAKTPQLSRSSKGTLLRALVEKRFAMEIEQLYTVSDSENFTET VNGLLERPMKDEYCDFYRSGVDSATCTQIRSVLQKKILGRGELLPWNVVYNCGNIQNL SQYINDLRGGSLNDSKTSSGVEQQMLDLVRRCSNFETSEEPDDVVKRQNPEPYTRVVI FTGATGALGAHILDILRRDRSITEIYSLVRAVDQNAARTRVSSSLIKRRKDSLQDHDN VQCIPVRLAQPDLGIPAELLEVLRSKVTHIIHAAWTVNFSLPLQAFEEDNIAGLYHLI KFSKSCSPPARLSFCSSTASVLGQSHPAVITEQISTSPSDANALGYSRSKWVAEAICS AASNEEMMAGRIKILRIGQLTGDTVNGVWNMSEAWPMMLSTVDAVNCLPQRGDKLTWL PVDIAAQAVIDIALGESTDNPPTKDCPVYHIVNNSTEKSWEDLLRWIREARSKPFGVV EPDEWMKSLEKLESHPAQSLLWLWKGSSAEEENNDNREKQQARFEVANAEEFSKAMRS VRPVDKELIHKIWNWLEEQMIGSNESPEDKAL LY89DRAFT_575897 MSDLKATVAETKTSSGHVGFRVEGYEKIEYDFTFIDGVFDLENC NLANCYKKWKRCLAVTDLNIYNIYGKKMEKYFEHHGLELKIHKTKIGEKAKTMPTLLS IVDAMNEFGIYRKEPVLVVGGGLVTDVAGFACAAYRRNTNFIRVPTTVIGLIDASVSI KVAVNYGEMKNRLGAYHAPIHTFLDFTFLRTLPTAQIRNGFAELIKISTCSHLDTFNL LDKYCEQLIEKSFGRADGSSKELIDAADKINREGIHEMLKLETPNLHEIGLDRVIAYG HTWSPLHELVPKTPLRHGHAISIDMAYSATLANDRKLITDAEHRRILNLFSRAGLSMD HHQFDEEILAKATSAILKTRDGLLRAAVPNPIGTCTFLNDVSAEEMNAALRRHKELMK EYPRNGEGLEAYVDASDTGYTENAKMEEEKVLEAAAMKAGSLNGTNGTNGINGMNGAK TATNGANGTNGFSNGHTDTKVKNNGVAPNGVNGNALKSVKVADGQ LY89DRAFT_729060 MPSFDESKAYANQEETFFDDGREEELVKFITSKPELRGSPRAVL KGIDEYARTKKYLMNVGEDKGRIVVDIIRERKPKVMVELGGYCGYSTILFADAVDGQY FSLERSPKFAKNIKALVDFAGLKNVEIIVGPSNEGLQQLYDRGLKSIDMMFLDHYKPA YTTDLKLCESLGMINKGTILAADNVISPGNPPYLKYVRSSVKEKRMALTQETTDTENF PGRSAAQYGSIEKLDKVKGNPNIIYKSELVESWEPTGVKDGVELTKCLGVDEEA LY89DRAFT_681025 MSLQHNIEQKNPTPVLSRSNLSQFAWKSRAVLLVALIFVLYSAS RTNPIQWWRSIPDSYATEQSLNVFEWSQITPNEELIYHDCGNGMQCARLEVPMDWNNS ATSLKIAIAITRIPAKVEVTDPRYGGPILINPGGPGGSGIELLHRQGLQMQQIADYSA DTQPVLGDPEKPMPKYYDIIGFDPRGVNNTTPTLVCFPNAFERLAWNLQSQAEGLIGM SNNSVPRAWARAKALGRSCADAGGQQLGHFLNTTPVVRDMVAIIERHGQWRENEARRW LRDQACKNMASTGLQHEDSKRAVIDRTRWIPGREKLLYWGLSYGTVIGTTFAAMYPDR VHRVILDGVVDVPDYYNSSSRSSVQDSDKVLDRLLETCYESGTREKCGLFDPRGPEKI KTTLFSIIDSAIVTPLPIMPSTRQGPQILTASDIDMALHLALYKPLKSAVQLFHALHN LTLGNTSGFADFKRNSMKSDIAGQPESCKDASPWTPECQTSGILDETGSLGIECSDGE DIQDWSQETFNAYWALLNDHSKVMGTRKAASKLMCTQWRLRPKWRYTGPFEAETRHPI LLIGNTLDPTTPLNNAHKISRGFPGSVVLQNDAIGHCSNAAPSLCTAKAVRQYFQTGE LPSKNAFCEVDEIPFTGPISSSFNVLKTPEEMLLLEASKHMAMS LY89DRAFT_681026 MGLVARDDVVEGDYGKVKRDDVVEGDYGKAKRDDVVEGDYGKVK RDDVVEGDYGKIKRDDVVEGDYGKRSDVVEGDYGKRSDVVEGDYGKRSDVVEGDYGKR SDVVEGDYGKRSDVVEGDYGKRSV LY89DRAFT_729063 MTYSTESQPETKKSISFESAETDSSSTGLLEKFNNTEALEDDLR FIRQPPPRYSPRWLMVHICVLLLYTCILVGALFKVWSLPEQKLLPSHDNALDMLYSPM RDAVRYEVKTLHNSVNATSKYKGPPTPEVDKAWRAVFDYGELRINDEDLKHMNRTSVK LADGSGQYVGSPDASNTSQAVFQTNSNIERNQTYHQLHCLWYIFRNVHPEFYTVEPTN VPVIDHLDHCIDSLRNFIQCHATTSVQTYSWLPDLHIPWANFEVDNICVDWDYYTNWV TQHSIQDIYDPHIFVHPTMGPSFPDGKPTTGTKGHDHGIGGA LY89DRAFT_767551 MSSKEYHPLALGDHRDDESEDSLPPTYLSDFRKRRTSSALQWGL YVFGLVLTVAVTAFVSTAIAQQHLIRTAPGDGLDSNMPTEVRIISKTPPDQMEKIWDT LITREQGLVWIDNPEEHGFPPGLPNGPGGQRNVYGLSYAHQLHCLMMIRNEYHALQRN ESKQILGPDGEASLDTMTKRRIHHIEHCFDYLRQSVECVSDMTIEWADPEPDELGNLY HINGYGVLHQCRKRESVINFSAARRPPLVAHPEEGGIDGQ LY89DRAFT_576321 MIQVNGFIAKLKASTQSSKCRSPTTRREWRSLSTYEKDGYINAV RCLLSKPSKVRPEGVLYDDFPYIHNQIGGYSHGAAAFLSWHRYLLHLYETELRTTCGY TGSLTYWDWSLDWEALANSPVFSNTTGFGGDGNPTAPNSVGNGHCVTDGPFSDLALPF FNSDDHIHCLSRGFADGDVHGRLPGDKVQPAAIDEILRQPDFESFFMKLEKGPHDQIP NGIRGDFIKFTAPNDPVFFLHHSQLDRLWWMWQQRDPLVRLSDYAGKARHGSEDRASL QDVLPMGKFAPDMHVSDVMNTETGFLCYRY LY89DRAFT_637354 MTTTVATSNHPITGAPKPAVTAIDGSVPLRLEIRNLQKNTDQWN LYLLGLDALKKLDQISDLSFYGIAGIHGRPYKIWGGVANANPKGSWQGYCTHTSILFA PWHRPFLALYEQTLYSIVQNIAAQFPSTSRARYTAAAATFRIPYWDWATQHADDPEGY FPAIVSTQYVNVITPTSGGQVTQIANPLYSTTFNPLIPGDFSTGTTQSGWPTTLRYPS DPSSPSATSQDSQVTASMKTNYPNLRDTVNTLLTDPKYTNYTTFSNHQLISNDAGDEG SLENVHDSIHGTVGGNGGHMSDLDYAAHDPAFWLHHANVDRIFAIWQALNLSSYTFDE ADPSFEGTFVMLADTEDNLDTELSPFTDGSGKTYWTPNTVVSTETFNYSYPEIQKWLF QNDTQYQQNIRNCIQNLYGATADSIANGTSTTTTSAATGTESQQPVVGSSCTYTDYIT TIKTYKHGLGESYRVHIFLGDFNPDVTTWHTLDALAGIFMVFGRNTTPGAASETGCGK CKQDAKAGVQISGIVSLTAQLLFEVKKGNCPSMNKADVIPYLTKNLHWRVTLHDGSEH PREDVPGLVVSVNTTEVSVHVNGLPQRSGVYEAHPEVTAGRAAGSSAPNA LY89DRAFT_767578 AVTIAREATETAAMLRTGLNDISKLREAIQDAQKDSDRASLLNW LSCVDPSSNFNSARDKHQAGTGDWLLRSNVFRRWKTVENSLMWLNEKPGSGKSVLSST VIHHLEYVRKQDPTVALAYFYFTFNEKEKQTTRGMITSFIKQLCYCCPDIPEAVQELS NYQTQGHTPDLETLEKTLLVTMDGFSSVLLLVDALDECPFQNNERKKLLLSHRRIHRQ SPSNLHLLCTSRREEDIEAVIKPLLPSGSLFDCPENSDDFDVELSAWKISMDSDIGIL VEKALASEDFRIWPEDSKQEAERMLFKNADGM LY89DRAFT_729070 MLLTRMFALSLTGALAALACQTRDDCSGDQILVLAPRGVTGARN QIVNAAIGASHV LY89DRAFT_729071 MTGNIKRAFHDAPLGQIHYRYIFTSATEKKAPVVFLHQSASCGW CYQSMMKDFGASYDPVEDSTSTRYYVDIFMGLFRHLRLPKMHLVGHHSGAALAMEMSA VYPSEVFTCALSGPALAIPEEQAKMFKNLARELSKPKEDGSHLMKVWNLMNGELYTDL DIKNHEVIDTLRAWKGRDQAYAVTFKQNKLAYYEKITVLILAMCSEEDVLWPCFHYCQ KLVSLKLCKGIKALTGNVQQPNARCEITTSNFIDDSKDIKGSIAYYHVDFLEKLGA LY89DRAFT_729072 MSLPSYQEALARPSIPSLVAPYLDLSTLRSASRVNKAWSRDMNR QLWGDALKSFSNSTHPFQQMTRFLEEAAKYRPDLREMITTIDLRPLLARQHAFDLRQE FAKLELDIGYRRILQHCLVFHNLRFLVLDELTRKGECDQTGFHCPNTRILLLSARGIS LSGYKSFLSLPEFRDLMYLDLSYTSYSNAFEIPLEFKNLRIAKLRGLRLTKIPQFILD RGTSLWSLDVRDNLLTGDCLGALYQHCFQKKHAALAGTDQALNDVHYLYESPPVYHRT SEEDLTTADFLSIRPDSTDAFINYFKENCDLYKAYPSSRILPGKDPIYIHSGLTQLYI SGNKLNSGNVNFLLSTQNRLQVLDIGSVRAGTLQYKTMYAVWTGCEMITPLYGCRLEQ LRIHHSAVTRIPNILSSDSAVGYDLESLKQAENMARKDWSNRLTPLSHHRLKSLTLTD IPTKSYGPLIRQLTLLLKECADQEEKLAAVRDTMSPSRRAPQVLSGLKTLRLEFLPEV AAPAPEASSVSGDRDADGFLARSLGDFSFFGGSGGAEWVEKKGTGAGKGGKGGKGKEK GKEKREVEPEDVVEALRGFRRAQKPAGWGGTLELVWPRGR LY89DRAFT_767595 MKMFANHHVSYAPNEETHGGSFSSYPSTSSSFSSDSSSSSWESE MVMMTPASTPRRGSPSMVKLEGTSVQNPSPTSSPNRYEAQAAFCPITSIPQDMMTVFN DPNLLFQPDSNMLSEQVMVDYSSFHSYNNLNAGLSGGMDNHLVCDTFQASQTMSLEPP ALVLDLFSPESGMSSSPVFEDFVVPSETTFLNAFDMHSPMAPVKSLHFDISYETEFDP HFAIDEASDAGSMSYYMPTHQPSHHEPASSSPAPTPSRTSLRQSLYRPPPSAAALQRV QEIKAEDFPPRSHFRDTKRDELYRDLRRDGAPSSASAARLRRVKRESKDSILDGSIRL ERKAKRVCLFEGCNGKFQRQEHLKRHERTHLQNAEVFKCEFCPKTFGRSDNLKSHVYL HTLPDGTKKSRRTAYNPKASAKWHEMDRKRGKSAVMRDGDFKDEQGGKILRARVAGY LY89DRAFT_377816 MNYMSSIGTADTRLLKKTFTLNVLTIPTVGILCGEWTPILAAVI IKIALCMVHVLVQSVNQRARVRVPKPIARTITTNLGVLEGELLSSRGFSLTPTFFLRP KSGQTRHISPILTCSLPLIEQHSARNAFLSISIQV LY89DRAFT_377817 MLHVMPISFGFFGVLGGFIAFAMFSCVEGEGNEFPAWTRGPEIQ LSHLTHQRSRPFRSLHMDQPQ LY89DRAFT_637359 MPHAFSMPAPGFQAVILCGPGSSFPTFTANPDENPKALIPIANR PMVWYPIDFCYRMGVTNITLIAPPSSAPAITAALNTNPHLTGLPLPKPDLLAPRALDQ NTGTAEIFRLPEVRELIQGDFIVLPCDLVCELGGETLLESWMVKDAGLGGATGGSKKV TGPKMAIGGEKGGRRGGIGVWYETKGDFSIKGEETDFIATSPLEPSPVVPPKSSLLSH VSKLVYSVPTDTLNDITEEKKSMPIRHSLIRAHSRVRMLSSHRDAHIYIFPAWVIDMI NSNEHMDSIGEDVVGWWAKAGWQVGLGEKLHLREIFTTPEPDESDDDDDLVNKPAQID VDYGTLSTTWTTDLVLESDSDEESEDEDESKPVTPEEVPELVVPPILAYVHPKGGKLV RRVDTAPLLLNISLQLAKLESIDAVGKEASSPFAHQNKVAHPEGIASKTTVTRPDCLL AENVTVEEKSIIKETVIGANCHIKTGAKLTRCVLMDGVVVGKNCRLTGCILGRRCEIG DDSTLQDCEVQENLLVEPTTEDKNNKLMSSEGMEATEAEIQELVDDEEAMIDDGDANT GIAFD LY89DRAFT_637364 MSYSYDRYERTQRGSSSRRGTFSYWIPLALTVTVATVGIAAWIW SERSDEEDELPPPPGGDNVYPRPDYGRNPDGSVRTGPPSYADVRPGEVAYGTAPGPRP EESQSYMARMSGALRRTPSPQQMFDGASRSVMGGIGAVGAVVGTALGSIMEENKDAYK DHNAWSEEAEARASGASPSAGGPPIEMRSDSIPGVSSARVPVNNGKRKTVAVVVSADT NLDDLDEDSGEFHEHASILSHLPRNTDFSKIRLFVLIYAPGLKEHPLDAVARPPGSLS SSFSNISHPQAQTPGEEAEKLLSSSSSSPAFNAVYSEALSLVEKETMVLPFTTPTGHV HILRHLNPDIVYLQESLAGDNGDAITHLQSWLRQDVVLVVGADGGHGGLADSESEAEN VEKKSQQWWEREDRVGRGRGIAVVEGLRVGDDWRRRIENRE LY89DRAFT_681031 MSHARIEEVSDSDPSEGDISDAMSDFDEREILKARPSAVPAPKP AASLINPSSIPTSSRRTDGTQFQSAEDDSKYKDFQCIYPVYFDKNRSRKEGRMVGKEL AVENPMAREIVNACGRLRLETLFEPAKCHPKDWANPGRVKVKLRGGNNSSIKNKHHLY TMIAEHLRLYPTTTTTAQLVKVPGVPPPDPSKPYPTPAVPKGWKMGNILPYYSPAISG GGVSENFFKDMMAEMQGAGGGPGAGGMPGMPDMSAMQAMLGGMGGMGGAGPSGAAGGS GGAPKKDKKKKK LY89DRAFT_377887 MRFHYELNNKDELELRASGISTLYCPLSFKVDQVFTDLPSKPPP SISRHPSPASSASSCTLKDESEVEDRSTNEYGFYHDGEKKVKRVRREREIGTQRSRPY ALDSHNFSSLIGRDKVSTAFEHLKGGPSTLEVNGGFNTRKRQRSSNSKEIVPGEIGSG SERGSERDLDRTDRHTSSRQAFDLDIQQYMHEHQQQKIEDGKVLIDIDALEEELEEEI NNAEIQANRPISQPRRNIPARNVPVALPWIKVDSVRCGDSTLKAGKTVELQGGHFLLI ADVLKNLKTDVFKLRGWQLKRCSSFKGQFRRALNELSFVYEVEMDDPRPAEEQSRVDI GLDHVVRVRELIRTNYLYPAPQPFDPISNDQAVNEKWAREHDRLVVRWKHTTQYANRA ERLRVLTYPLNIQETRIETLTEAECSEGYHLDPTVTRYLWRGETVLGGSGVKEGTTNH RRSGLSEHRKQSFQGGKLAALEFCPICGDSFPEVEVLFQHFQENHQKHLNYSQDRRRH EPSSSSKAQSSSAKPRNNRHGKGSIGVIGGRLASGLRLDSDTQSQRYTYGDTCTTRGA ALAGLQVIWGLDVDSNSSQTWRKNFPHAKHFEMWSWDFVALPDDLKQLLVDILHLSPP CQVWSPVHVVPGKNDEQNFSSLFACEELIKKARPRIITLEQTFGILHPKFSQAFNSLI QMFTFHGYSVSWRLVELQRLGLAQRRRRLVIFAAGPGETLPKFPRYTHSDHPGSGLKP YTSVESVLADIPRHAANHDVNDMLARGTLKAPWDASGIVTCITCNGGGRGHPSGLRNF TCRELASLQCFPHTHVFYGGAIRKQIGNAVPPLVANILFREIVKHLEKTDGVVRQVHV LD LY89DRAFT_777979 MAVNKSVIGTSIIPLAGRGLFLTELVYAGELVFNVPRPWLCVVD GKNLRKTCDECFAYNGVLGTNNPEKTEPFLECKCKVVYYCSETCKANAWKTHHKIECA AYRKIAQTEPEINSLNPQFERRFRLITRLFALKKMGTIPEEDFKLFFDLAQGEEATDA WIEFTIERLYATGLTHMSPSKLRRWIQAVGNNDCEIDTPRILTTEELEGDEPYAKKVE AISLGACVDPYYSMINHSCMPNSYWVFNGRELQVRAERDMVAGEEVTISYIPRGAYVD RTISLASWGIHCTCQRCSKGVIEPTGQLREAIKEALDEQKWKYIRPTAQCRILRTLIS DVWCDGNTWGAWPMRLLLVKLHDSLRAADQEWGVLQVLLRLRYSVDDQERPKAFLCER VQTLRLLVSFVGSVYHGRCGSGAGNLVVWIKSLYPYYRRLLLYEVQMCYGEDSALAKW ELKRNMEEEGVEQGDEAEEKEIFRRSITLLLTWASKHESLSTS LY89DRAFT_777980 MSSPPFTSIPDRATCQASIKVGLSSIKGAGRGVFALEDIPAGGP IFSIQEPLLNIVDDDVQSLSHTCDNCFAAQVDELWTVDNTSIEFKPSWSHHHRYECKT YQEIINNADPAAISMLTKLHLRSIIRILELHKHHQISEEQWAEFLFLSTGRRSKMKKP DFVSLANAVAKIAKSFTMTELSLNKIIDLLCIFHNNQLVIGLPLLRGERSYDPKKYSV ISGGIILDPLAAMMNHSCSANTRWYSNGKELRIRARMDIKIGDELTICYDPTEDYTLR RSHLKDYGINCDCPICHFGDTGPTGPFRRKMLHLAHSNLKRKTLDMEDLEIAIEDMRQ HQMGYGIYPMRDIHQQAYLAYFSKGKTSECLKLALKIYYQVEPVIKPPIYEDYRLCTL YTIISLLNPPPPGTTNLDELPLVVLMLIPNIFLYLRDKLARDTEKCYGADSIVAKFER NFFVQASDPLKEDMSGSLKYSPLDKSVEGRKELVKSMNELLEWAGMDSLNEKDLT LY89DRAFT_545882 LDSAIRIPSRVNRGSESLLIQDSMLGSGKGLFMTKAVEEGDLIF SIKRPLL LY89DRAFT_637374 MSSYLILTLTALVIFLGTFSVYRLYLHPNSKFPGPKLWAISRVP MAYNLARGRLPYRIAELHDKYGPVVRVAPNDLSFITAEAWNDIYGKPVGRPQLAKDPV AFLRNPNDYADLLFEPDPTEHGRMRRNFSHAFSEKSLRDQEPIIGSNIEKMVTRIREV CKDPIDITAWLNYVTFDIIGDLTFGDNFQCLDSGNLHPWVSNLFTWVATIGVLGMFQS VLPVAHLLLRMAPKSMRDSEKYHRAMTKERLDKRLANPNPRPDFMSAVQRFVDRPYGL TYMEVFQTCSIFMVGGSETTATTLTGMVYYILRTPRVYNLLVEELRSTFKDSSEINMA NSGKLNYTIAVVNEGMRILHPLPGNLRRVTPPEGWVVAGWKVPGNTLVAVDSYAAYHS RSNFHNPKEFVPERWMSDPPAPYKNDKLKVLQPFSVGPRNCIGKNLALMEMRLILAKI FFNFDVEIMPESVGYVEGLKVYTFIKRPPFMIKMTPVAV LY89DRAFT_576264 METIAEAKSRPRPTSPVKPPRKRKRIVISCTECHRRKQKCDRAS PCSNCVARNKQSLCHYENESARKAQLLEESNAASSDDGGSFGIIKPAESDEAAQVTAF GYAKSNGNNNTTLGIFKKLETHDVESPSLTSTFLSTVPDQTGLKEKYKSLIRQLPSKP YIEKLVATFFREVNWQYYPLDEGTFRDNLKSWHNLSFSTLNKGPLELPPDLQFFPGLL FQILALALQYQPPDYDPSLDSLKYAAAMSFDDLASDYSDSGCQILCLLGKRNTTLVTV QAGFLRTAYLKNGGMITESWHSLSQTIRDGQEIGLHKANSGHRRKGDEKPEDILESLW AEQSRRRLWLVLSLWDIHMAIVLGRPTTVDCRDGKPAFPIDAPIPKNRREVAPAPRSE SDPPTSLTMLLWSAELSAPLWDIFNLEKEDPNQNNFTKVETMHRLIKQISLHCPPYFR ATNPDTTFDSHPDCYWLPRCRPLFQNSAAFTIMALHRPYIFTNAASRTAALRAGLDIL RAQRMFFSFLNVAQYKMHSLVLNTFDAVVLAAAIYILHPAENREDLDDTLQHFDWAME RFQVISTRNSMAGGALNVLKAINVRLKKALAKPQQQYSSTSSTASSNPSPALPTPDSS THTKQEYPTPTSHHPSISSASTTSTSQSNGTANTQYTLPTISNLTEATPALTTAAPTS WEAFSNTMPMAPSFDFSSMAPLQPMHDLLYNDLGTVDGNSVIDPNLPVSGALDGGWSA PGGQWQFEGDFGNDSFWGFMNSYIT LY89DRAFT_637378 MAPVASTVEMAMSHIEGVTDNARARELYKYYQPSAPINQDTCFP VPPPGSGLPSDAAEEQSDININSDVTSSKISSPDTALTAFCQLTTWRTGAQRAMLSVI DSDTQYFLAESTKTVDLLDNNNYAPGDGIWMGCATVTKPGRLCERTIAVTPAKPGNYP CFIVDDLSKDERFNTLPFVTGPPFLRFYAGVPLITKRGIPIGSLFVVDDRVGKGLSED KIHFMGTMAGTIMRHMEMVREVEQHRRGMKMSRGLASFVEGRAELAEAETDADDTEGA KVVGQFETESSVRTRSKGSTVGSYTSAVGSIHSIEQKEKEYSAALAKTEHIIQETHEV FQSPGPRPDIEEMSQSTSFTAPSTKILSPNDTYDKTSSPGDDLSETTSMKMLFSRSAN LIREAFEVDGGAVFYDAQTGFSSNMKRASAGGSSAQDDSFPDSAPDSHASGDDLHSSN EIGSDAEAKQSPLTPMLSPRTTSPGLGDGGFSRSTIDNQKLVEILGFSTPEASSIHGD PLPGPQSFIPFVEKSLHVLLRRYPRGKLWTFDTDGAVSSSSEEEPVKPLSLDPLQRSK DAHRRKARSKRTKSDALFLSRQFPGVRQLLFVPLWDASRSRWLSGCFVWSTEPTRILS KQNELSFLTAFGNSVMAEWARIDTEIADQKKSDFIGSISHELRSPLHGILASAEFLAE DTTGWAKGLVNTIDSCGRTLLDTINHILDYSKINHFEKNWRRSKRADRRPRHGSNMGS LSLRQSDLPMLNLYQTIDISILCEEVVDSVFSGHIFQHTTAESFDMVPGSRDKMSDAT KKSSLDEMLGPQRYNYLGVVVILDVDMQNYRYHTQPGAFRRLVMNLLGNALKYTSHGY VYIKLEAVEIADLDTAPSTPSNTSSNESMPRSMITLTVADTGKGISAEFLRSKLFTPF AQENSLSSGTGLGLSIVRKIVSLLEGDIKIDSEVGRGTRVQVTLPMLREMPTTTDSSS SASTNKSLITATHETDHTITELRSRVIGQKASLYGFDNDTKDAILQDMYRVLKAAITS CLVKWYGMKIVPLGQKTNVIVCNESKPSDLESLVAHIPKQKINPAIVVLCAHDTRFDH TPHLEGSKCNVSYVAKPVGPLKLAKAITACLDGVPPSMTPGIDSSLSHSPENVDRDLS STFDSLMVSPRGGEVLDNTRMSADSENARKAIESPTPSAIVEKNAEFPFPQSLPELND KPEMSHGSTMPATKGSLNPSAASSSQAASTTLSKMKNVTAKLQKSVAKVESPTFLLVD DNHINMKLLSTYINRRNFEIVHQAQNGLEAVKQVQARPEGYDIIFMDITMPVLDGFGA TSQIRAIEESRRRKAVETEGEKSSPPPKSEEGSGGSVPARKSALVIAFTGRSSMEDQA EAMRVGVDLFMIKPVAFSEVGKMIDNWMANRGRQETQ LY89DRAFT_664752 MNSSMMDTNMNSSMGNNMSPNMDTNMNMDTNMSMNNTMEPKMGM DAQSPIQKYQSLLSTNKFVFLVFFRGHWCPFCMGYLRTLTALSPSITAAGGAVLIVTA EPSSFLPSTREKTSYTGDAISDTKNELATHLRAKGLLDVAITEKKGYEHGMAQPAILV QSKEGEVLEKWAIVPSAMNLGGAKDRPELEQVWENVQAKMAGKMKVHSSYKKIGALSF LWKKIFG LY89DRAFT_729085 MCCRNNISYRNGTSHIQRRPTLLRQLAEHLISKRQAKKALARSS LIETSSANQFLFESERPLAGGQRVIHGTFGVPRWVDEQEETRMTVSKIDWENGDVGEL PPYRA LY89DRAFT_767629 MWLHYYLEITAGIICLVSALVWRSRKFGDFPLVGKSNDIHEALK EGTKKYPTKAFAIRTSPPLVILPISMIDEVKSLPESKVSAARELFRRAAGHYSKMGTN SVAAIKALRNDLTRKTTTIPTLIEETDFAFSNTLEKYDEWTPVRVFPNINRVVSMVSG RMFLGKQLSRQEEWISISTQWSSDVFALLRRTQRYPSWLRPYITPYLSQTKKVLEQRR RAKKFLGPSFEEQLSAKREGLPSPGEESLATWMMKYLTPRHMQVESLVRHQLGISWAS VHTSTLALTQIIYDLAARPEYLQPLRDELEGLMRGCDRELTHADLNKLMKMESFMKES LRINPSTVVSPLRLTVEPVTLSTGQTIPAGIPFGFYSYSINQSKALYHSPGPEVFDGF RFFNMRQKEGEEHKHQFGATGPSESFDFGHGIHACPGRFFACTEIKLLLAYILRNYDL KLRDGEARPPNTLDEIWFIADQTAEVLFRSRDSDMCFQA LY89DRAFT_377990 MRIPRTPADAAILSAMLLPCLAVASGTYDCNNIVLKGRQWHLKE LGGPKSVLQSEELASGFKNTTYTIDICKPLKRENKEINCPNFARGMLSVDAVLSWTFT KTQLVCAVERDVTKDGKADSLMDSWPLAGDLRDYGGKDLNAKWESLSESNSHADSEKE GLRLSMNGGLHKVNNEQRAQKVIVEFICDHDLFGDENLWDPEDHYESVPDKRAEGDDT DGPKNGETQDPTGAPSLQFLKYDRSGTDMDILRLSWRTRFACLDAGDEKTRDPNGHWG FFTWFIIIAFLSTAAYLIFGSWLNYNRYGARGWDLLPHGDTIRDVPYLLKDWMRRVMS TVQGGGSRGGYAAV LY89DRAFT_767633 MDLSRLYKQLPTELQLRVWEQTLEPRSLKIFDQSSRDKFFELYE DSSPPVVLQLWQRAVISRQYPDWRKAMSKLLSLETFSVISHEMMPKLDGENDKFKQAI ERTLLSVQRENDDWQVPQVCVIRTGDVCPTRRRQTDQLDFVDFARGGVKEAYLLKVTR PRCSCSACAGRSALGLLSQGFPTARQILVWSKKDSQKPYTPHPSMFSIWPNDLATQAH GGAKFIVHDSTLVPASAMAILTFTSFMATTTLLEDLAALSL LY89DRAFT_576156 HDHSSELSHIKIDFTPSSIQKHLQGKDVVICIFSGSDLRLSPVV VDAAISARIKLFIPSEFGLDTSSPKIRELLPPYQTRFEVQEQLRNSSLKWKAIYSGIM LEDGLKTGGVLGIDVMWGSVVVFPGAASLKVALSTYEDVASEIISALTAKDAADTTGR YTSTFTATLDDLIQIVEKQLDRKLDRYEGNIEGARKEAAERMKMGYFDGGVALMGRIA VWGPETGAWEKWAKNENPQDWQQTVGKMAQLVRDGDIGGDGCGC LY89DRAFT_777990 MTEPTRVYQWVCHGLKKGEECGKVNPICKVKCYRCGSDRATCGT DYVDDAGSEPNETWDCCNCRHGNAEDETECDHCTHDRCSTCKLRGPRGPDVHLWICDN EKRKNILCGEFNTVEYTECKKCGGPAVNSHPWTTHRYTRSSRVDTWQCSNCAGRNIEE DKVCGYCPHFRCDECYEPDRSITGSYCGSDLGSDAGTDLGSVAYSDAAENCPKESIED GSALFGRECSQLKKGFPCQQRNWEPAENCDRCGNSRQGRDIFLVDRCPEHTFVWVCCV CDGGNNGEALDEECEYCPNHRCDACLPGLRGNSICLSRR LY89DRAFT_694153 MAENIQRIQISSKTEADFDYPSANKPCKTGYKIISPAVTDSSRI PLICIHGGLGMTHHYLSTHSILTEKYGIPVIFYDQIGCGFSTHLPEKSIDQIFWTEDL FIAELENLIAHLNLKEYDILGSSWGGMMSSRFASRRPAGLRKLILANAPADMNLRHES AREYCLDLPQDIQEILLKHEKAKTEDSKECNEAYLEFPGHVCNIYPFPPELVASLQHA TDRTAVMAMEGTGKFKYDGALAEWSMIGEAKKIQVPTLLINGNKEIASDKAVTPFWKD IRKVKWVKMMNSTHSPHLEEKERYMEIVGEFLTEQ LY89DRAFT_637387 MPLNILISGSGIAGALFSFWLLRAHPDANITIVERDPALRLTGA SVDIRSSAVDIIKRMGAEEEIRRQSTQEEGMQLVDVDGREIATFRATGRSDVQSMTSE YEIFRGELAKIFLNPITDRVKLIFNESVDHFEQHDDGVDVTFTKSKQMKKYDLLVAAD GLGSRIRGKMLNTSPQEQLHDEGVHVAYFTIKEDLLQGGRLAKGFSDVGGRALYLRPD PDPAGRTRAIFMNITTKGDIEMKERLNKTLREGNESYMNLMEELFQDMGWLAPETLKG MRQSDDFYCSLFGQIRSPKLQDGRVVLLGDAGYATPGFGTSLAIIGGYVLAGELLNHP GDFKTALKGYEDILLPFVKHTQGGTIGDYAMQLLNPQTQWGIKIRNTILWFVTRTKLD QMAMTVGAKLGFTEKKVPMPDYPWPAKS LY89DRAFT_714670 MSLLFSTLYFFSATAAPVTNLTALQRDIAPSWVPDPAGRGTWSL LYSCLFTLLLCVYTAIHLNVPPPNDTRVKFWLRKTKWVGIAIFAPELVVYTAFEQWLL AKRFLKDINEAFEKSTAGKDQISPPPERDAPSKPPFDMVYAHYAVMGGFAADVSNMHN TLRRVTFTTDGILFLAKHGRFLETSRINIQDKSKADTLAKGLVCFQVLWIVGQAIERK VAGYPVTLLEVHTIVHVVCVIVMYGLWIRKPLNVQDPTVIDFRDRLDLLAYMLQVSSP HHGNLVNFRYKRRHRDKITTREPTFLWWASPQSVSSEVREAPNEVVSTPDETQVLTKY DMTSNVANIAEEYTPRHDSKVVCRLISGQALPCGLGPDISSVTSLVYLLHPRDRICAV SLSQADIDRLNLTAKFIIKVIEGGYDPFKETRYHDSDLTAEFYKNTTTLGMFSRDAST AGILALRSQNYDGSFLHDINSDSAYLASAMALIPTAYGCVHLGALSIIFPTTIERLLW KASCYYLIATAGVTALISLVIFANNMRRTVWRRHRPRPNNAGRRDHQRSSLDIRIDNG IGYAILGFLGTIAFLYVCARLYIVIESFVSLRHVPIGVYKTPSLNIMGNIPHL LY89DRAFT_681042 MAIMDFVQRFQSLQVQRDNSDELIKVGPSPVHVQATAHTFADRA ILCYCLWEFVRDFDRIY LY89DRAFT_378088 MVLIDGDGMIFNEALIRLGIEGGKQAATQLRNTILENCPDATDQ LEIMAKVCANITGLSKAMCRDGSLNSPDELRDFTLGFTQGKASFDFVDVGHGKERADS KIKECMRWHLRNHNCKQILLGISHDAGYAPFLDEVVTPEDRSRITILEGPPAVRELQS TGLQILNFTNIFRAEKLIDRTFVSAPTPPNTWAGVTSILPAAPIPSPIASPAARNGAP APKASPIQPPPVAKPAWTPSPRGLDPPITVNAAVLDKIKRRTTSNKLCNNHYLRGPCA KGDECCFEHKYKPTEEDLKAIAYLTRLNPCLNGQDCELEFCIYGHHCPSVSLGAGGKE PFCTAFGCRFAKEDHPPGTIIKHPRKERDYERY LY89DRAFT_681043 MLKFSAVTGANSRFASDNSQNTGLVCVFAGATGGIGAGTIERLV VMLQSATFYVLGRSASRFAAQRSKLESLNPSLKIVFLEAELSLIADIDAVSKKILDAE KRVDILYMSQACFPINVPQYTKEGMGIDLCFALQFYSRLRLTSNLLPLLRNSQRPRVL TVLSGGKEAKMLDEDIGLQNPQNYGLAPAISHCATLTTLSLEYLAENDKQITFMHNYP GLVSTDLFARLSAPESFGILGKGLFAVFRFVAATLLKLFGQSPLDSGARQVFVLTSDK LY89DRAFT_714673 MDSIDAFGVETKVYGGIPLPNRVETDKLLAFMVTRADPSPGVLA RASIKKGDLIFKVKDPLAIVGDTPEALRKTCDFCFATVFPIGGEDTWMTTDGKIENKL QPCTGCAVVYYCNENCRASAWEHHHSRECHSFDTLRQMQYIKAHRGDLHMLSSAKFRL LLRYVLLFPKYKIVYEAFDYCLPGQTNLLLDPHFKNGLYLYLARLTKMIIGTQLDEAT LQHILATFGYHASPVALPLVKAHSTCVFMEASSTAMVGICVEPFVSYCAHDCNPNSML IFEGNELRVRATRNISKDEEITLNRAGDRPDHEFRRQFLKNRHLNCRCCLCNSPLPAP TGYLRLQTLKLCALGTMKAANRIEDIERGIQAILTARFGYGSLHMHKLHEILASAYAV RAEYATALKICLKMFYVIEPLILNMHLEPFPIHVRASTLFRVTCLLESDAIKPPPHIP RLPRNIQVLVPKVSMHLRKKLLNLFPLSFGEDAIAVRLERNALERNMDYLMIGRRHQG LLWRYVPLEESVEEKTVFVNSMNELLAWAGIEKLEERHLV LY89DRAFT_681045 MRLVKIDSALVAANEENYNSYFHTEAEAPGESIPSEVPQSFKRW LPLIAKSQNISLEQIQITNITSKQARFILEAAQSSLHTREPNRLYAEELAELALSFNT LNFTLKGLFLRLDACSAKDGVRGISPLRTAEEIVLRITTSHRATNSILRCLESGDEAF ELFFLPFNEHMRTENEYRVFCAPPEGKITAVSQYRWHKPNFFSARPADEISRAMERIM NGAQEVHGNILDEVKGGNGGEMDKLLLQQGFTFDVMFDEESEECKLIELNSFGVRSGC GSCLFHWLRDWDALYGRPKDGGGEVEIEFRISV LY89DRAFT_378172 MEKIKNLINPGRAQDNETLYGSGTNTGNTETTGTHGGLTGEGSH LASSTGNTSGLAGSQATGTSGIGSTNTGVASEASTDQAGRGIGNTGYGQSSQTSGLPG QGSHLSGARDTTSGTSGLAGNQTSGLGNTSNTAGTGSTSGLTGNQTSGLGNTNTSSTG QSNLARDAAATAAGTTAGNTRFAHQGQSGITSGTTTTTSSHMPGSWDDSYEQGSGNTG FGGANTSTGLGSGTVNPSTTQGTGQLGSSTGTSGLSQDPNTSSGHHLSRDAAAIGTAG TIGEGVHHHRENERGLGNTGSSANDSGLGTAKVYPPTTSHSTHLGSGSNYPSTASGTS GYTSTLPDRTLGSQQPGSTTGYGTGQSGNTSSGHQLGRDAAALGTAGAVGEGIHHHEH DKNLGNQEYTGTNRFMPLSSSTAQTGTSGSQPLASNTGYGSNQSGNTSSGHHLGRDAA AVGAAGAVGEGIHHHRENERGLGSTGATSGSHSYGSTTGVSHVPLSLLAWILSDTS LY89DRAFT_681047 MATQAPAVQPPKPKRKRRSTAIQPSITDFEYFPKLPYELRSMIW KIPCFVPRDVDIWMRSEGEVRLTEIPERTPGERFLPNSYHTKSVPPIILQVNQESRRE GLRWYRLEFGINMKCGGVQYILPPKIYINWSVDLLCVKDSNCISTTSLYSDTSFSKLC RDNRLKTLALNVKNFKYWGPIKANSFGIPLRKMSLSELIFFDDASSTSKANYRFEAFQ KTKRNGTLSKVIQAPGVLLEVSTARDMIITSWDTYEADLEEKKKNGVEGLVTLPPRPT ITLMRFITPRPRKP LY89DRAFT_681048 MATFESSDQEQVYSQGQSLSSGQMPTAFTCFPDLPIELRLRIWT WACFHPRDVHVGVKTVRTITPWTNPSPIGLVDYRYYFSRTSCPLILRTTRESRSEALK RYQLDFGIHTSIERLTCSIPPRIYVNWEADRICIDDDFALYGAEALRDLLLDRKVRSL ALTVRDKKTPLLLPPLLRAEHLQDIFLVWDTRRFCPDGDYRSVRNRESYRGNGWEAIR TLLISKWPSMELPSSDFFWHQGDTSGGLQYTEEGSNVTLMLNWPNDRKLPLRQLMPRP E LY89DRAFT_378222 MATSSISNLVTLGGTKQSVDTQPLPSQQTFTCFPTLPVEIRLQI WKFAAHISRNVDLHIAEQYRQPRDSYCPNDTICFYASHTRVPAVLFANLESRKESLKW YNFEFDMKSALSREDDFHRSICINWAVDRICFKMPVSRAGETKFSDMYPTIIDRCKAM HIRSLAVIVDARNNPNSHTYWFIATFRFLDEILLLADTEEAAVLWASERDQGFDIIPF PDGCPSPRMLKLGRYPFVIFDKYMQILSNSWATAAEYEQLAGIEIKTRPQVKMMRIFV S LY89DRAFT_378243 MQGGRTSCSFLAEMCSCLETSTATTVSKILREVNHITPHPKSLG DVSDIYPVLALFGPPSRLGYTTSYFPTRRGIPMRCHQPAADRQP LY89DRAFT_729102 MDSIANFVVTHKPQLDFAWHLFIYLPLILRPSFFKYFTSSKPAK YAQLPHIPLVVHMILGLAIVGRYQVRALVSSPSAPKPESLDIALGVMNAVISWRLCKY ESKGNPRIVRTGFQVMALMVLFPAYMCYMTASPVWYHSMVKMHNAFIYVRWLIMGGSM AGIWSGFHELYTISVFFGGILGVWEGRYPWDGVLGVPLALVLHVALVVVERYNSSLIT PETYKSPSSNPFLGLMLLLGLVDVQTYKDLKCPAVPASPTDEKEELVAETKE LY89DRAFT_704485 MESSTYILLSGIGAMLLAFLLHQIRYRLAVANIKRKNGCLEPRI YPHKDPIFGHDLFLSMGKAMKAGKLLETTQKLFNTYGKTFQVNSWGTTTIYTSESTNI QTVLASSFKNFGVMKIERRTPGGSIMAEGIFSADGPVWARSRGLIRPTFARSEISNFN SLEKHVSRFIELIPVDGLPVDLQPLLKHLFLDISTEFLFGESVDSQLPDTPFDSVQFL QAFDTSMSGLAARMMLGKLKFIRGFDTKWKAANKTVLSYVDRHVSRVLKERPDFKLDS EDGGQKKYILLNEMAKETQDPIDLRYQLINVFFPAHDSTGIAISDIFFHLARDPERWE KLRAEVLTMTASRPLTFELLKSMNYLRYVFNESLRLHPTAPIIRRICHQDTILPHGGG PNGQSPILVRKGENVVLNLYTLHRDKDIWGEDANEFRPERWETARPTWEYLPFSGGPR ICPAQQMVFTDAAYIIVRVIQQFARIENQDPRPWAERFRLTVENRNGVKVKMVQS LY89DRAFT_576629 MGLILFLLPAVAAVVTIYCVVAHVRHAAKAKSFGCQPAPLFRPW DVFGVQNFKIETNGMKTNRLSYAFLDRKKEMSAKVGRDCKTFRIYYPPGETWYYTFDP KNLQAVLATQFQDFQQPAARVGALDALLGLGIFSANGAKWEHSRALLRPQFIREQIAD LGLFETHVKDLLHALLGAVEPGEWTPTVDVQPLLERFTMDTATEFLFGESVHSQKDDS TDTSTLFSKTEMMQFVEAFFAAEKTTAKSMMYGDLYWLTHDRKFKEQCKAVHNFVDTY VWRRLRDSGSDKKSGTGKYVVLDAIVADVKDPEELRSQLLNILLAGSDTISGTLGYLF ASLAQYPHIFKKLRSIIIDEFGTFDRPKQITLSGLKSCSYLQWCLNEVLRVYPAVPVN FREAAKDTTLPVGGGPDGTSPVFIPKGYLVAWELWTMHSDPEFWGPDADSFNPERWDG RKFGFNYLPFNAGPRICIGQQFAMAEIAYVTVRLLQNIDSIDGSALPKGRLPCDWKLV DKVAGGVNIKLHSANKHLR LY89DRAFT_378289 MGDIYAGGSCNIAAIASEDCNATAIPSNDVDGLLDEPCEITTMW SNHNNNRFKIHRRWLWKEDVDETPLMLRGWVVQERVLSHRILYLGKRQVFWECQELQA CQVFPEGVPNPFFLRYAVKRVIPTQWQ LY89DRAFT_681053 MTKVISAPEDDLRTVVESRTREWHFHIYFLLQSPAETAAALALR DAVLRLRRDGAFVAVPLFRVNKSPMGPHPAGSYEIWVPDSSFSDVYFYLASNRGNLSI LIHPLTANQRQDHDNRAGWLGKPWPIYLDILPREGDIPLQYPELGLGWSTSPKQEISY EERRRRGAEVEALLADNSEAAPAPAP LY89DRAFT_694161 MTICPLDTYEQAWFNYVPSLPGNALYEGIFALLLLTQLGLGFRY KTWGFSLGMVLGLILEVTGYIGRIELHYNPFKKSGFLVYLVPLTMGPAFLSAAIYLCL SRIVVLYGTRLSRFSPRTYTITFISCDIFSLVLQAIGGGMASTSNSQSSLHTGEHIMV AGLSFQVASLLLFIGLCTDFAFAVRRAGISNSANKIYNIFLFKAFLFALVIATIGIFI RSVFRVAELSKGFHGPLDNQEGTYMVLEGMMIIIASLSLTVFHPGLCFQGQWHAATFK VMGRKKDVGDKGAATGSTSEMDVMGKRAAVAEAEH LY89DRAFT_378319 MADTNNLAELGGDHPSVHLSTAEPAPAVSSADAALMDPDAEDEE LTSEEEEEEEAEEEHHDNDNDRDSAYGESLQASDMASITSEITKHRYENGRRYHAYRD GAYWAPNDEVHNEQQDMAHHLWLLTFDDKLYLSPIATPQNVLDIGTGTGIWAIDMADI FPAATIKGVDLSPIQPSWIPPNCIFEIDDVTLPWTFHEESYDLVHIREMFGSIGDWDE LFLQAYQTLKPGGYLECAEHSVTPVSDDGTVGPDHVFTRYGVTMNELARRRGKEFDVW VTLEERMKKAGFVDVVAIRKKWPMNGWSSDQKEKELGRWNQLRVSQGIEGFAMRMLTT VGGWTPAQVQIFAAQIRAALRDRSVHGYLDVIVVYGRKPESYEKSY LY89DRAFT_681055 MSHNVGSSLGSESLVTNAPVLIIGAGCTGLSIAQGLKKTGINYV LFEREPGNLQRARDWNMGAHWGIPILKTLIPPEAFAKLDAAQVDPNRPCPPADTIKFL NGQTGEQIGAATTPHLYRLIRSKLRALIADGIEIQYSKTLSNITYSDDGATVTAHFAD GSSTTGCMIIGADGARSLVRNLLLAPEKAALTTLEFAASIVQAKYTIEQVKFLRSWHP LFVAAPHPAGYMAWVGLHSAPKVEDPENWIMNHYISWPYSHAEQERDKEFSNEMRLKQ VQGFAELFSDPFRSAFAWLKDDQPVWYAPLTQWDPSLPEHRWENHGGRVTLAGDAAHP MTFQRGQGLNSAIKDAAEIVSQISDFVKSNKSRAEAIDAYEKEMIDRTGTEVKLSCEN TRMVHVWDEVLDSPLVSKGFSKQ LY89DRAFT_694164 MGTPFISFLGPSNLDDYDNKFSFSQQPESIPKTFLDAMEVREEI FVREQGVPQENEFDSDDPRACHWVVYASVNTTTQAEQKDAAGNVVVRKQSVTKSQPIG TIRLVPFPHPPHPEPGSSYAADALETDPERDFSQPPPYIVDRATTYHDGKEPYMKLGR IAVLKEFRGAGIARLLVSAAMTWIQQNFTYFNPSVKTVGMDGMNASNIGEIPVWKGLI CVHAQEQVAKTWAKWGFQLDEGMGTWMEEGIAHVGMFQRLNLEPSTAE LY89DRAFT_607969 MMSYYTGNLSGQIPGLLPAPYYWWEAGGMWGALVDYYHYTGDPT YNAVTMQALQFQVGPYNDFMPPNETASLGNDDQSFWALAALSAAETNFPNPAPDQPSW LALVQAVFNEQVSRWDTQYCNGGIRWQIYPQNNGYTLKNSISNGCLFNIASRLARYTG DDMYAQWAVKIWDWMSRIGLIDANYNVYDNSEADILNCTQVDKNQWVYNAGTMLMGAS TMYNYTNGSALWQTRTAGLLSTLAFDFFPGGIMKDICEQYNACNVDEHSFKAYLSRWM AASTKMAPFTYNTSYPLLVSSAKAAAAQCNGSPTGNVCGLKWYNNGTWDGTNGVGQQM AALEVMLGTLIKQTTAPVTNSTGGTSVGNPTAGYNSSSVPPGEVIVPANHGDRVGAWF LTAVLGLAAMWCWLFMSTSVLEGRGGKPTVAGRKARVSRTWEGVWKGKGRETSVDLGE DGGVMREVQRPKHASVLSKRSIGDDRAGDRRSIPIYRGGDLAT LY89DRAFT_729112 MPGARGSPQGCGTCKRRKVACDRQRPLCLRCTKAGLECSGYDKV LTFVNRDASNIHSSGRQALTSAFQTGTGEKRQKSAQRRNAASPSMRSRSESPASIAVS PTKSIVKQEHLVSQEELHIALRNGTAAASLQDRQKLFGTSVIRLPMLGLLTRYYVAEG DALNQAELYNQINKVLDPTRIFDSAIAAAALTRCGQVDHDQELVFQGTDQYGRTIKGL MKVLQDPKLRLEDETLAVCVLLSAYELFAGTDPYLSPWISHMDGVAQLIKLRGPERHQ SSLGHQIFLHYRTSAALQAVMSRKSSFLSTLEWLTIPFVTTPKDFFHLLLDLVFDLAS ILELSDTAAIISVPEIRTRQQINARCTALSQRFESWFKAPQLGLGRTAWRKIRSKIMV AEDRKNEGRVFTSYYEFENLVVAQVLLSYWAASIILSTTALLNVAALAVLTPPSTAES SSSQESMLDSMKKNAIDIAKSIPYCLQPQHKTAGPIITVHPLHVAMQVFSKFDMQREL RWCEEVFDIVCRGGSPFKDAPSEESGDARTGKESGEVELRYQLHLPKFGKSALSLG LY89DRAFT_681063 MASRSPHRLLSSPLVAILCLFYLFSSTALAASAVLGVDLGTEYI KAALVKPGIPLEIVLTKDSRRKEASAVAFKPAKNIKSGDFPERLYGSDAIALSARFPG DVYPNLKRLLGLGVDNSVVVDYAARHPALKLEEDKTRGTAAFRSGAFTADEAPWTVEE ILAMELQSIQKNAEALAGKGSSIKDLVITVPVFFSAEEKRAVELAAELAGLRVLELVS DGLAVGLNYATSRTFPSINEGGNPEYHMVFDMGAGSTKATILRFQGRTVKDVGKYNKT IQEVAVLGSGWDRTLGGDALNAIIVDDMIAKFVASPGAKSVGPTIEAVQGHGRAAAKL WKEAERLRHVLSANTNTQASFEGLYEDIDFKYKITREEFEQMTESYAARIGIAMQKAL DMANLEANDIESLILHGGAMRTPFVQKELEKFIGNADKVRTNVNADEAAVFGAGFRGA GLSPSFRVKEIRASEGVTYPAGIKWINIHEKPQHIRLWNANSHLGSEKQYTFKNQEDP FAIKFYQHVASSEDVSKGSAEKDLITLTTQNLTDSVAHLKTKFGCTDGDINIKLSTRL AASNGEVEITKFILDCEVEAVEEKESMVDSVKGLFGFGKKDQIPLSEEEAESSTSTSE SASSGTSTSTDGSASASTTPSTKDAKAKDAKSKSLKPTKRFEVVPLKYTVEKTGRPQL PASELTRMKERIAAFDDSDRSRKLREESLNQLEGFVYKVRDLLENEAFIAASTEKERA ELETKSKAASDWIYSGGTDAPRDELKSKLKEMKDIVKPIEVRKEEAATRPEKLKALQD ALNQTQQVIIGITEQIANDTKAHAEFSASKSAASTAKTTPAPSSTPDEFAGLEDDEAT TTTTAPVEEATLDPPVYSEADLILPQSQYDTISKWLSEKLSEQEKLGPTDDPVMLSKD LEAKAKTLTDIHVELIMKSMRKPFKSSRPPPKPKSKPKKTSSKKKGKGSKTESVDPAS MTMNYGQGGKPMFTLGPDGELPSEEEILAFVEQNKKDQAATEAKAKKEGKASGDDATT GESGDKKKHDEL LY89DRAFT_637429 MSLKEKSASAEKHDRLRVIIVGGSIAGLTLAHSLHHSNVDFVVL EAGKELAPQVGASIVVFPNGARILDQLGMFDQILASTESLTLGTNWTEDGKMLLQTDA PLLIEARTGYPGSFMSRQLLLDILSKNIHDKSKVHTSKRVCDVKQNDDGVVVTCEDGS SYTGDIVVGADGIHSTVRTFMQNHIDKKAPGKADKDRKSITAEYNCIFGIGGAVEGDL IPGETHRSYCNGYSTLSFVGHDDSLYWFLFSKLDKKYHGKDIPKYTKADVDEAIKPFF NIHLTDSIKFDKVWEKRTFANMTSVEESINENWTSDRFVCIGDSIHKVTPNAGAGGNA AIESAAALANSLATLKNTKKPSLVEIQTALRQFYEKRHERANVIIETANKLTRIEALE TLKDKAIVYYALPYLADSLVNRNSNSTIGAELLDFLPEPKRSLEAMMPWNPNGGLGKE ESRLLRALYAVPLLLITYACHRTMGATISALVVPSSAAGTVTLSPSVVVPLCTKFFGV EGLDKFISKFVAFFTPAIANSDPIGQLQALAFLGDLIPIQTIWMIEGIRRGNSGTVAD LLPTILGVLFQIRGIGYIAPIYYFLHYVQSPLENYAAPDNRMMQMGPVKTIIPTILLS YILPSVAMFTAPSLTTRQWVNGLFWQPFPIYASILQRVLPRFVKDTTQTDRISRPEAD MPYLRAAYGFAATAAACGYLYVRFKSPVSLMKIFFDGIANPTEALPLIMGATKALKYD QIAAFSAGAIWTLLSFADLKKAKKLEAGWGRVLGVFAGTTLTAGPGAAMAVMWAWREE TLAKRRSRTVEKK LY89DRAFT_378431 MKMRFVSLLQVCLWGFGCASIVNGYELQERDAATPPECSSTCLA TYIPEFCGSISNVTCACTHPNVTAAVSACALQSCNVLDILQLERYTAVSCGIENDKSR LNMVLHVDYTVPFLTFCFLTGRIVGRLLLGVGLGSDDWTMVAAFISYLSGVGTSLGLV LNGFGQHTYWLTENQIITALKFFYITELAYTLTTTLTKLSLLLFFLRIFPNRQFRLQV QILSLLVILTGLSLIIALAFQCIPFSGYWTNWSLPFSSQTKCINQYAALYAGSGLSIV LNLIILLLPIPTLWKLELSIKRKVNVLVMFSVGSGVIVFSLLRLPSLRKLKGSSDISY DQAPIIVFSHLELSFGIICACLPACRTLLEYFLPALKIKLDGSEEHGDDRYEDGSGTV TGGGKSGLGRSRRNTSNTSSKSLVELRERERSDGDVDAEWVKNGGLDLVESGKHGMSR TDVTTDTTSAEEQGRMEPWQSKERRKSANMEAVIFKTVTVEQSHESREASMSAHAR LY89DRAFT_778016 MDMRGGSRDGAVNRTLEGSERDNIVIESADVVSPTLPGSHSHSR GLSESQNLLPNSPKNLFEYSSTTRYIFDPPENTKYDPRSAPKINGRETPTWSGVPQSL RRTTRRRWQDAAIDVLAILASMPFFALASALIWVDGEEVGKGKDNSLEQLIKGAATLF PLVFSVVVGRTMIKIASWKAGRGTSIGFLERLLGSRTVGGTIITAIGLRSFTIGSLIL ILLWLLSPLGSQSVLRILSTTDRSTNSTTNITYINSRQQSYAGQVEMNNWSNGLASVV SASLLAPEEVKSSSMDTWGNVKIPLFSSLSNISEDDNGWRQIPQSDFSLVHSSLLGIP VSDVGNGNSTFNLESTYTELTCSNRTSTVTRGTGFFINPGLISTTGPFIAAQNITSTT AWAIGYLGDDVTSLLPNTSIQALDTLPINDTTMNNVLPGLLLYQDFTGTSNVTSIYCI PSQTYVESTITCASAPNTSPSCTVTAQRLSLLANKSSAITPLSISNLFRGLSSYLPAA TPQLNHVDIMQNYIYSPLDNPFIQSAQYPLYTSPSGQESRFLNLSFTDFSIRLSQVLN AVLQGSTMNYTTYLTSSSSFSSSTSTISSTPSDLDTQIQLLAPTLSVPSTTTHQINTY KISPTYLTLFLLSTTFLLLTALLSLLLTQITLSPSSYINHLTSLLRDSPHLPLPAGGV SISGFRRAREVGGMRVRLGDVGNVDGGYEIGVGAAVVVGRVGLGLLGEKDGRGGVRGL DRRKLYL LY89DRAFT_576246 MSLKLENKKRPRTEIGSISPPPLRRKRHADASEEVVEHHQPKSK DDDAFQIFSWNVNSITHLLPKTQRSIKSFFAPPSPQGEGQDEDGGSKSVSLRDFLKRH RWPQYVGLQEVKISPKDEITKRTVEKAANGDEGPAYRAYFALPRDRYNATGWGGKVYG VCTLLRHDLASLSGQKTKEVDWDLEGRVLVTELPSLEMKLVIINGYWVNGTTNPYRSP ETGQVFGTRHDRKRQFHSLMLEEVKSYQAKGWEVVLVGDMNIARTPLDGCPGIRLGLE HVKNRVDFNHKFFDDENGMHAIDSWRWIHGNKRGYSYHGEKAEDWGSSCDRVDLGMVT RGIVDRKALVGAEIYESVLDRGGSDHVPISLVLSTKVIMTALSTSVDVG LY89DRAFT_714694 MPPKPFNPPRPRQSTSTTTSASKPRGRPKGTTTTTTSAQKSASK AKKDPKRTSTSARFSALPSLSPETRRELEGTQDDEEMILSSSEPEPEDDPFALPAEDE EEEEEEEEEEDRKQHIPEDLLNVILHQFFKEEGTRLHKDASVAVGRYMEVFVREGIAR ACWAGNKGGNGGGLEVEDLEKLAPQLILDF LY89DRAFT_607980 MDPTFKFPASPGTPLFQVSPERVNQQRVYDESPAASVSGRHTRE SSVHDKVAQFNSLAFQGKQLERKANDAALKRAMLGREEAESEMRRYREETRELRRQLE EGKDRERRVGERLETVMENYGRAKETHAHTQTLWEKEIRRARKEAFKTQSMTVKLQEE LKSARNTLKAAQADLEQEKERSLKREQEAFAARYQLVGVQEELSQMQENVKLVEQERD ALRTIAKNEEIARIAAEGRLPLPPSQENDEFASPKKARKSLDPVTIISSAASEEEMDD LRMLLEWEQQRAERAHDRVEFLEMECRLNCCASRAVRELAAAAAEHQASAQEVKPRSS TIFIPAEAVFRTVSPPPEESPWISPAKKSGTHPLPQLPPRQSEEVRFFARTPSCEPPA AAMISDSNASLLSLLETPASPPRTESRTTTRTESPSQESDCDTVIVTRQEEEAPAKSI KIETFHTVSTTTRIPLANPPDLTPPTMLPSALDPALSPTMSREEALAMIRERRGRARS LAQGTMTPRKQMVEGGVNRRDISAPAIRSGNVRGRSQARVQV LY89DRAFT_378711 MSNEAPKKQAVMGVPPFVIDFLMGGISAAVSKTAAAPIERVKLL IQNQDEMLKSGRLDRKYDGIVECFRRTTAQEGVASLWRGNTANVIRYFPTQALNFAFR DTYKSMFKFKKDDGYWKWMAGNLASGGMAGATSLLFVYSLDYARTRLANDNKNAKSGG DRQFNGLVDVYKKTLATDGIAGLYRGFGPSVLGIVVYRGLYFGMYDSIKPVLLVGPLE GNFLASFLLGWSVTTGAGIASYPLDTIRRRMMMTSGEAVKYKSSMDAASQIIAKEGVR SLFKGAGANILRGVAGAGVLSIYDQLQVLMFGKAFK LY89DRAFT_378810 MCDTEFCFPQILSSPLLICPILSSFLSSLLLLMNITPIPFWIRT YLQRHLPSLSPTPTLLHYLSRSPIQFPSTILHFLKLKNKKSEDDSINRAQPLIDTSV LY89DRAFT_729122 MQLLQSLLALATLLLPLVSAEACVAGGPSEQVSFAKGCCFNNAG TWYQFYDVQAICIFPDDRATSYKHCVGYIPYSQLDTVCIPGDGGVGLPSGSATLTATG TGRVTFTAGAAVSTFTS LY89DRAFT_664789 MPAVAIQRNVFGHGSNEEALPNLSLSTSPSSPKSNLHPPVLPDI DLTGKNCMRPSEGDEAGSVSVATQAKLATFHGQGSSKVTPVTCTLPLSDGMTADAIRF DKTRQDFLESRSRFVSDVSRILRGDIIAAMPFVRRGMVVEEESEDCLEFGDRMRWGRD AVEARPGHLQGLNLQWVIFRIRLAAFTAPACHLQHSASPTLRDEKDRILCFASTGMSL CSTLYSRLDYQGRRNNWQPQAVSKAH LY89DRAFT_714699 MQFTTFALSALSIGSALAASSVTVHVVQVGAANGTLAYFPNNIK AAVGDMIQFQFAPNNHTVTQSTFDQPCMPIAMNSNVTGVYSGFMPVTASATTTPTYTV MVNATTPMWFYCSQGKHCQNGMTMVVNENTAANSTRSLANFQSLAAKATVNLPGGAIA DGTAGSTASNSSSSSSGSSTSSSAGTKSTSASAAGRVRVGEADV LY89DRAFT_681071 MTHLLFSLSNNWKSQAPNSPPAIDQFLVPDWIFTSPPPYRKAND KASAEVTTPPKLTTPHLSSSPSLHPNSNNNQMSSYQYAQAKAKNANMPDVRQRKAAGT SSNSSTGTTTEKVKAEDKPSILLDVARTIVFLLLASSAISYLVTRESFVWGVKRPGWS RPEAIRAWIQGPTQYTDSDLLSYDGTDASKPILLAINGTIYDVSAGRKHYGPGGSYHF FAGRDASRAFVTNCFQEDGHPDMRGVEEMFIPLDNAEVDALYSRGELKVLREQERRNA RKEVDKALRHWVDFFAGSGKYPRVGYVKREKGWETKGLKPTLCKRAQEGRPTSRKRPE GK LY89DRAFT_607992 MAPANTGAKKQKKKWSKGKVKDKAQHAVILDKAISDKLYKDVQS YRLITVATLVDRLKINGSLARRCLADLEEKGQIKKVVGHSKLSIYTRAVGGDD LY89DRAFT_681073 MSDNEEIEVEAVSGYQVLPKEVTDEIGSIKLFNKWSYEDVEIRD ISLTDYIQIRAPVYISHSAGRFAQKRFRKANCPIIERLTNSLMMNGRNNGKKLMAVRI VAHSFEIIHIMTDQNPIQVAVDAIVNCGPREDSTRIGSAGTVRRQAVDVSPLRRVNQA IALLTIGAREAAFRNVKSVAECLAEELINAAKGSSNSYAIKKKDELERVAKSNR LY89DRAFT_379014 MIKMDAYIHFDRAVRFMICAGHKCGSRSDNRNDAEIVGAVLAMG WCYITPYAGVDPKEWFDKPVTRDRLRDRIEILRQRLDAHQHSRQLLGEEESMRDGIHI AIHQCEDDIGHLLHLLSKMNHAAGNEDNDATEQTATEGNVDDDEKLAGEVGQLNIKDA IKQQDSVPE LY89DRAFT_379002 MATTYTIQIFNSSNINRSYSLFQSVPTPQNSPDCFTNVYQRSPM IVSGNSSYCTFSMQKTFYAIYGTSPKTLSAGVTVTSCNSAPITLSSNDGTPPASSGTQ LALSTIEKNGKDPMWGAPSMTQGTLPNSYGISCDSSFSYPNSSNICIGFGATDPHTGQ VIPVATIPAIPGTDFFFEPKNTYYIAAGSWALGTVVNVAALSTTLTVDFTQISMHDAI FTHCADGSWKVGPPRS LY89DRAFT_379009 MDPISILTLTGTCVKLVSSFAGVVMQVDSIVKAYRDVPRDIVLL RSQVSTTKTSLDKLRRLLESNHPCFQGEMDMETLEISLDACGIVVSDIQVHVTAVSQE VSGFRRKAWKRIQHLWDADAMKENERRLGLQLQSLSVLLTVCSLESEGQR LY89DRAFT_664796 MSTDKNSTESLASDVNFLSCLLTHPQISDLSSHPPVDCITLCVS SVLYQATTLFEILQSHPRLTKSLVLCGGIGHSTPLIYQAVSRHPKYRTITSEIQGLPE ARVLERILNEFFDIEKITSQGCRILIEDQSTNCGANASKTRELLEKNGIETPKSMIVV QDPTMALRTVASFQKVYEGVEMDIRSAPIFVPVTRVGQEGQVEWDTSDVGITIEGLWE KERFYDLIMGEVPRLRDDTEGYGPKGKGFIVHVDIPGEVEDAWQRLVKTSNGQATLSP DLLSKRFVDMVATTSHYLPQSTTPLCPQHITHIIITWKYLFEYVVVKLPVYDP LY89DRAFT_664797 MAAEPSFPRFRELPLELRIQIWELTIVPRYIRIRIRETYGNAIS GRAVNDSVVPNVLQVNRESRALFLPRYPMMFKGIYSIRLPLGSNGVRFNLDLDVIVLN TFEKSESVMSILESPKSLSSRLNTFTLSISKEDLISIRRVVLPAHFITFLRLVFTNNH HHHYHDYEIHRLQSLETILIPCGMTTTPEMITYINAFMLEPKRRCPNWQIPGLQFISE TALDEVEHPLANDLEQSTRWQRVRSWFRR LY89DRAFT_714707 MRSLSPIVVACLLFVAGGVTADNITLKAPIIATPSEHWEGVDGT WSTFEIHVGTPANVYRVLPATSWQETWVIYGAAAGVCNTSVGVSSNCGDARGGLFNST DSSTWIQSDQDFLGLDATLGYQGVGQYGFDTVGLGYTNTSDSTLTHQIVAEIVTNYWW FGILGLGFQPTNFSTYGNPQASFSDTLYSNGTISSMSWSYTAGAYYRLKSIFGSLIFG GYDESRFTPNDVIFTMTGDNLRDIVVTIRSIISTTSSGNTTLMSAPEFAFIDSAVPEL WLPTTVCQAFEKAFGLTLDSASGLYLINASTHSNLQSLNPNITFTLANQKSGGATVDF VLPYAAFDLNVTEPVLTNSTSFYFPIRSTDDDSLYTLGRTFLQETYVTAHYNSRTFNV SQSIFDDSASPTVIALPANLPTSTSTAPSSTSSTPGSKSGSASTGSSKLSGGGIAGIT VGILLAALLAAFLLFCIFRRRRTNREAAIRRDEEAKGPVHEIDPGRRVDPHSTSAYSE QASGLTNEVDGKDARVEKWGIPVMVPQELEADVPPASATSSENGDGHARTGVEMSGGA AGRGGLSPVREHKAKTPMAEMEAEERGLGEPMPERGREREEREEDIVSPNSDTMPSIM GRARGAVRTSGELGHSPTISEGTWSPNSPVQRRGSRFEERL LY89DRAFT_729132 MKLSILFTLTAAIHISATSLLLEDRQSANWTIGQQVQTTSGTVK GHPATNDSQVSEYLGIPYAQAPIGDLRFAAPVKYAGNASLNGSAFGFSCPVKQGSSSL PTIQELEAANVTAVGIQVLGILSNDDGVYGEDCLNLNVWTKPQTGEAKKAVLVWIYGG GFNSGSSSIPGYNGANIAELEDVVVVSFNYRLSILGFPGNPSTTNNLALLDQRLAVEW VRDNIANFGGDPTRITLFGQSAGGASVDFYSYAWNSDPIAAGFIPESGTVFSWGLPNS AASSASAWFTVTAALGCGNSASDPATVLSCMRKQTYTAILNAIPASTATGALLGYFGP TVDDTVVFSNYSQRTPASVPMLIGNNNYEAGLFRTEFALSGIFLSDTFWTDFNLQEFT CPTGIRANASLAAKNPTWRYRYFGVFPDMAVSSEAGTYHAAEVPIIFDTVPSSPGPTV AEVSIANYMRGAWAAFAKYPVNGLTGYGWPGYNTSQDSLVRLAYENVTGVNGINPYRY DADCVFVNVSSTNGSESPALPDLGAGVTPTGTGTVPSQTGGGGSSGTGASTGASATST KSGGGRVEVGGWVWIGMGALVAACLL LY89DRAFT_714709 MASINSETKSSIFITGGNGSLGSAIALQIARFRPKKYHLILTAR KITDPRTINVSSTLKTLNASFEFQTLDLSSLDSIRSFAATIRERVSRNDIPRFSAGGM VLSAAMNTLLKDTKTKDGWNEIYGINVLAQILLIRELLPVLNGGLVINIASAAHAMAA ANYFSNETKTEIGGEHAEENLGLGDAMKRYGASKLWVIMASHALQRRLDAKPNNAIKI VSLDPGGMSGDSNLGLDHWVLNSLKVVLGFVRPVLGRLKKDAFNPPEVPAKAVADLFE MERGGLGGKYFVLDDEVESSGASLEVKSQEEAWGKICRDLEIEREV LY89DRAFT_714710 MATFKYHPLQYPDSFRLILLQPSQQRFLPLYCTLECTTISQCDR EIIDHYTALSYVWGDPAAKKGLIFIQGAKRVAAVEIGKSLEDALKALRDKKREVRIWA DALCIDQSNLVERGSQVELMGQIYSTASHTVIYLGDQAAGQKVLEAIPSNTSGTISPW DINGLKTMAETTLLKMPWFSRVWIFQELLLSKDPRVQCGTVRARWTNLCRVLLSPGYR QRSKELQVLGDMNSAISVHKQKLFVHLTARRGLGATNPRDFIFGHLGIAADVEELKAY VKVDYETSCGKTYNDTARYLLEAVGPETLFHHTSDYSEESRVEDLASWAPDWSMPSAG LATMYRNNTLNTQRLVAKENYFILEHKRYPVLSYLGYEVDHISSLSLTLPEPKFFAET ERNVYEQAVTELTTFYHTGSGVWWSGDEEGRHRNFNLRGRETQHQDLCRIIHEEWIKV LGTELPKLSSSSTPEEARLHEKFVENFKTWVTERGKRQIIVAGGDSDGFESLMWLYLM KQQSKDSVLTGRRLAITQSGFSAVVSKFAEEGDRIVFLAGSPVAYIVRPVIVSEDENL ELQNALQTLVANQKSTDEDGSKAQNFETALWNSVVNCVLVGECYVEGEVGWTRDKKAQ AVYTLN LY89DRAFT_664802 MHLNMPLLLAGLMSLAIASPSLRNARSEDISSTEMILTVNGTSS EVLDPDSIIKCVKDCATIIADGVCIGKAILPPVSPTAVTDVYACVKNDTVGLCNCASC VTGAKALGAFLTKYDICDQLSTITTPPS LY89DRAFT_729136 MVALGLGPVVLVVTWSEFAAGAVLMALRIYTNGFIIRRWNPDFW WAFASFICAICSSVFLTIAVDYGTGAHFSPAASPTSNPDPQSQLYQLIFTTFSILAIA FGKMAVIQFILQLEGTKTNGKRILYFCAASNCIVSFIFIPLLWAQCDPVNKIWDPIVA GSCHGTQTYTTFAYFQGSFGATLDTALALYPAIMLWHLQVKLHIKLGLITLFGFGIVA AITAIVKTVQIGTVKAMEDTTFHQGYLDIWASTNLWVVFIASCIPTIRPILVRIIHKV TGKEGNTTMATGYIHEGTVKDSKDDSAKSRKGRAYSRVYPRGQGGAHATTKNVFESEE NIVPGKDEIIMTTEYQIKYEESQDSLSEKGLGRTESWKEQR LY89DRAFT_681077 MPALPPQILQRMGEVAVIIPRDLSQSDIVEAFIVVFVSVFGVGG AIWYANKHC LY89DRAFT_714711 MRYFATRHRNFFISLLDDRRLSNEDLSCPESGHQLAINDSCKMV GLLRDSFDPRDHYYVPSPDVQPPAKVNLRQDHEQLISEIYDQLKTSSCTANATAAAFW YEEKAGRREVTWSDAGPSRLFIYWLARGAYEDPYLNIYWPSDSGSCPRDAMMGIAKCG VCDEKDWPFDANNINTRPSDEIFAKAKPHKINAYYRLDPQRPDHDDKKLSTEDKDKIG AAVLDNLKHCLTEGYPVVFGFFYYLPAHDSYDETQTPFVLKDVWSLKDKPFPRHTHTQ DLPTELRIKNKAGNPVSPGHTVLAIGYDDEKQAVLIQNSLGSTWGGNGTFWMPYSWIT DCGATNDFWTIRVTTAPEDAPPPKWQDVHQEILGK LY89DRAFT_681079 MSPHGCNQPHIHTNVIALDPSKWGKKIPLIDLNTDLKGNTLAPG FGINHWKDFCARTVALLSKGIDAKSGWVVENPQSDSHALACSQRFYAATYNYINAIND IPNNAPVPSKPLMTGPDDEGVASVLVDEPEPEQVDAVAIYKAMLMMDHIAAPEEPALD TN LY89DRAFT_704503 MSLRSPRSPTDFSRRGFQPLPRETEPSNPAMSYSPPEQVGIPLT SVQTRSSSTGARKPGEGAISSSDFANQPTFRDDEKKGLFKRSGAAGRRKVKKINSKPV RVGTDGEEISVNGLGRFYNKVVNFSVVTRYLVYVAPIAMLIAVPIVLFAVLNNEALFA GTNIRVYIFWTWIEIVWLSIWVSKLVSKAIPYVFMFLCGVVSSGTRKYAMILKAVEIP LSLVGWSVTSLVTFTALTSAKLNHAPTLHWVTVVKQILGPCLIASILYLIEKMLIQLI SINYHRRSFEGRIKDSKRSVHLLGLLFEASRTLFPMYCPEFREEDYVISDSIEVMLAN SLGTPGHKRSGSATPMKLIGNIGGGIGRVGDKVTSVFGNIASEITGKQVFNPNSAHSV VVEALEKTRASEALAKRLWMSFVVEGKEALYPEDVEEVLGPARKDEATEAFAALDNDA NGDISLDEMIMKVVEISRDRKAISASMRDVGQAIGVLDQVLVAILFVIVIFIFVAFQD TNFVTTLATAGTTLLSLSFVFAATTQEFLGSCIFLFVKHPYDVGDRVDIVGPTQEFLV VEQISLLFTVFKRIDSMKMVQVPNIVLNNLWIENITRSKAMKEQLDMFISFDTSLEDI ELLRSEMESFVRHPDNSRDFQSDIILEATGIGSMDKMQLKIEIRHKSNWHNETVRAAR RSKFMCALVLALRKVPIYGPGGGGEPLGGATNPGYSVAVPDSWAVDARDKAAKAKEGK RLVPSKTKEKDTGSSGPASEEKAAGQLNSRRPTEDPSAAWGARDDVTLGSREGSLDRQ RSQEIDELKQTLLKRESTRGRRRPGESMPPVPGSGAGAGFPGMSLTPADERAGMSFMG STGEVTIPVNPSLSLSGRTTVIGGTTQMVVSGATVPFRTSGAMSPASTVSSTSTKKKN AAAATGVPGLLGVVVGGMLGVVVML LY89DRAFT_546065 DPSRASALSSALKSIQQRISTAAKGRSVRLVAVSKLKPASDILA LYNEGQRHFGENYAQELLEKAAVLPRDIRWHFIGGLQSNKCKPLTSTLPNLFLVSSVD SPKKATQLDKGRSELSPSPDSPLNIHIQINTSNESSKSGVSPGFEATALAKHIIDTCP NLNLLGVMTIGAIARSQEGGENEDFATLLRERDRLEEELGEGWKGRLECSMGMSGDFE EAVRGGSGEVRVGSTIFGERPRREDFVVKGEVEGGK LY89DRAFT_704505 MPPQNRAAWLMNKQDPVFIVKEAPYTAPEPNEIVIKTAAVAINP ADIICQKLGIIIPHYPAILGCDAAGTIIALGSSIPPSFKIGDRVLGNARPDKPLLPSG IYKYSAFQQYVVLKLPLIAKIPESATFEDAVVLPLGLLASSACLFHSSTLGLAMPPRE QESGSGSGRGEVLLVWGASSSVGCCGVQLAIAAGYEVFGVASARNHQMVRSVGASKVF DQADKDLVEQVVDALKGKQCVGAFDAISKPETLGPLCDILDGAGGRKLVAAIAPGAEG HAKKGVTIRTNFGARDFEETVGPKIFGGFLERALEEGSFRYLPVAEVVGRGLEDIQKA CDILAQGVSAKKVVVSL LY89DRAFT_576680 MADEKHEKSSIHTPPAGESDNGVHSVIQVGDIIHVHATPEEEAR VLRKIDLFILPLMGFCYMLQYTDKVSLGYSTQLGLMKDLKLVGTEYSWTSSIFYFGYL GWSWPSSYLAVRFPLGRYLAVSVMLWGLVLMCHGATKSFTGLMIARFFLGVTESAVAP GFALLTGMFYKRKEQPSRMAIWFIGNGVANTVSGVIAYGIGKAHTSLQPWRLLFLVLG TVTFCWGLLLLFLLPDSPSKARFLKPEERIIALHRTLENKTGVMDEDTFKIEQMWEAL RDPQAWFLALYQFCVNIPNGGLTSFNSIIVNGFGFAPLTTLLVQMPGGGFQLSGLAFI AITTTYVKNSRLPCMIILVSLSLIGAIMVYTISDEHPWARLGGIWLTAIFAADIPISL SLVASNCGGFTKKATANAMFFVAYCVGNIIGPQFFFTREAPRYPTGIKALLCGFALGV FFLFCLWFYYIYENRRRDKKYGPATQISETEELEEDISNKTDMQLIHFRYLR LY89DRAFT_694180 MAPQTGRLAAFTRPCGLIWFSITPSGFIAFEDTTIVPSLVEAAT GVVLELGPGPGNQIHRFNYSVVKQVYGIEPNANFKADIDAKLEKHGRQDKYKLVVAGI EDSDVLRREGITEGSLDTILCIQVLCAVKDPKTVMKEVWKLLKPGGKFIFWEHGWSRN HLTIVEQAVLDPAWSTFIGCHMTRNVLGDILNGGEWENPDEIEEPEDAFSCLPRIQGV LVKKA LY89DRAFT_704508 MLDPRLHSIWIKFFRGSAGISTGRISCPGKLRGIEYRASLIKTC LFQSIVILWRELGAICGADNPRATCIARPQPNSTDDTPVILDAFKQCGQGGNIIFPNK TYHINTVMNTIGLKDCQIDLYGTMLWGTNITYWLANSLPLGYQNQSSAWFLGGTNLTF RGHGHGTLDGNGQTWYDFVNGVSNYPGRPHALTIWNTTDSVLSGLRFVQSQMWTMTII HSQHVLLEDIYVNSTSHTHSPARNTDGADTMFSSHITFSRWTVANGDDSISLKANSTD ISIKDSVFYKGLGVAIGSIGQYKDVFELIENVYVGNVTCVDTAYAAYVKTWTGEQAGY PPNGGGGGLGYARNVTFTNFHMRNSTKKGVFYITQCTTFSGVAGDCNSSLFNVRDVRF ENATGLVGTDYVADLQCSAASPGEGIEIKGVDLRDEDDEVVGMYECENVVDTVGFSC LY89DRAFT_576706 GTMSRLALHIKAIFFRALQSIFTFYDLYLSQPIPQRASFTRRIN STISSNPGSFDLLFFTPPSYTLPSTTKASPPIFSTSSTKKHPLLINFHGGGFTIGHAR DDPRFATSITTQTSAVVVSVNYRFAPSYPFPTAIEDGVSAILWLWRHADEYNLDISRT ALSGFSGGGNLAYAVAIRLQQELEQLRKEGKLVGEGKIVSLVIFYGSVDWTQTRQERD ASNPNLIPTIPPSLFKVFDEAYLYPMPADMRDPLLSPGLASDEVLRNAFPERLMMVNC GGDQLLAESEKFRERLVGLGKRVDGGVVEGVGHAWDKKATFGRGDVKRDEAYAGAVKH LNEVWA LY89DRAFT_729146 MTSTTTSLPIIDLSPFLSSSSTPESRLKTAKELVQACHSTGFVY ITNYGISQALLDEAFSWSKKFYSLSDEEKSYAAHPPDSKLFRGWSKVGHEMIPPLEGE KKEGVMDFTLWHGHDSNAAQPNIWFPESILPGYRDFVATFYDQCWSTSVPILRALSLG LLNDEEYLLDFHSNLENELSFRHYPSISESKVRSGELDRLGAHTDFDSFTLLWQDENA GLEVKVSGEGWKSVQAVKGALLMNIGDILSRWSNDYLISTLHRVHLPPVDDNYMGDEN VRMTKPRYSIPYFVVPKSDKVLEPLEGYFGEGKDKKYEPTTYSELYHNRVDGIFRQDA KAR LY89DRAFT_694184 MNTDIPNILPHERVFPIQIGSELFRLSGASISSDAPSYFSQFFQ CQLKQAEENGDDSNSIRTLYIDRDPVTFKDISLHLQGYHVAPRDGSHFVKLFADAQFY SLPRLIFQLYEESIFISIGHRDFQIPRELFSDPGNSPNYFSLGFAVFFSTPTEVFPGL SREGLLRPPSIMPPSVPNRSADTFAELLHLLRGYPLHIKSEDHRAELLRDCRYFHLKG LEQKLIRHSITFNLARKRSEIALRLEDVRQSGISVVTFPSDSDSTSPPPDPGPATPVY NASHFSLAYVNYARPFVDEQSYELVLEIGDECTRLHLSSMRCEFFGDGKARISKLFDV IATKLNLPNILPLGLLMKKGGASSQPPSPGNTPISEDWVRCVVGEEAYVRLDGKEWRG HGNLPERGDGDSGGSNASSVIGVDESFGEPSRKRRRGLDGGVAVGGGDERQSWVIKTG QWRLRIQNSRNGHRGVECLLVAVKLDAVSGEFGRNAQRGFLGG LY89DRAFT_637487 MNTQLLLQFLSLCFVTSVVGAQSASNDTSTTTSDEAQPNVGWVA GPKQRGTLILVYGCLSTIFASTWTVLHLNVPGPEDTPWLKALRKAKWMAITVLFPEFI FSKAVCELRLAVADLYAMHVAMSKAQLKWTDTHLSSRRTSMSHSIQNARSGAIEWSQK REWTLLHSYYANMGGLLYTTRALCNRQDIPPCTASSLASALEHRSGVELLKEFNLRKE DIEDKSKADWLLKAIAMSQISWLILNVWARHVAQFPITQLEIASVAFSLIAIATYAAN WWKPKDITSSTALTTTVEPGLWYQKPPRIFISFIDRLLAPAASEYVPDYSRIRRISND RVWMEGQPPLILFLTAVSSLMFGGFHCLAWNFEFPSKSELVLWRVASIASTTLPSVSL GISLVSHYLSTAFADRRHVVSVINSLAPLNHFPPAWWGLLERRPSLAILVLLFTSLRS TPKGVYDDTPWTRFLPNIS LY89DRAFT_694186 MAPQERGWVNLFVDRFVGWWSGLPGESCSYTVEKLRIPVGHVHL AANLYHPTIPKPYGTILVRTSCGIGPLMALGHARMFASRGYQVLLAACRGTDPSDGQE VSMGVHEASDGLATVSWMREQSWYTGSFGTSGPHDFGRFIWGTGAMESHAVAWTDLMT SSKRGIIPGPAYIKKQPEILRPVYDSVPLSEGLDKHFQHDTPNFLRLFNTHPDPSDPV YKDLSQHTALQRAKIPILQFTGWKDIGLPSVTEQYKALSERGVSTFMTMGNWSHLGAQ RGTTIAEGFKFIEKYLANRGEGFRTSPVRAFFTGSEEWRDLPAWPPSPTSTDELYFGP GGILSRDLPSESTQDSTFRFDPKEPIANIGLPRPFDDMIPANYEDTSLAERSDVVVFT STPLDSDLEVCGEPTVELHHSSDYPHVDLLIRLSEVDNNGRSNRISDVYKRLDPARES GPLTFKLSACAHKFRRGKRIRVIIAGGAHPAYIRNLGTGENPGLGTSMQAVLHTIHHS ANAASSLKLPILSA LY89DRAFT_379316 MDRSQGAAFSKKGSEYRSQYATLTTTPTPPPRASQESEYRSPYA PVTPPPPPRRSRTESLSSSSGTSDSSYSTCNTPKSLSSDIFRPRTDSPDSFVHTRHAR LTAPVYNYLAIILLNHLLRSISTTPSVSLEGLFSQEKQDYQLYHVALHPFAGEISTVG FPYQQVKELDHLEIEILSNKRLEDGYMVFSIEARISACDENGAPTVIGQGNGDPKVGV VMEQRNGEDKLVGVSVGGEIKVKLEAHEFQAKENNKDMFEDVAMGGTIKQEGSELDGL EQREFGMEVEYQTVQNNGDGSPVQPKSSTPLNSKQQDSYMVGGPIQPYTSGIALSSSQ HDFNMVGSPVRRESSSTPSNTSQKKLNRSGTIKLETHQLTGEVIVVDGKPRKKITVNQ TAVQNKNDFSDDVFLIDVKPRKNITAEKTAVQKWNELKWVTALKWLRMISKRTFNAYG EARSEEGIRELEKRMPELAILDDADALFKVLLPFGFAYEG LY89DRAFT_664817 MAGFMSFGHGQGPFPPCPALPPRPPTPPEFTRQRRPLANPSMYA RLTCLLTNYLQSDLHASAAYRGRNIFNVWQLPSQGVIIHSASLSPNSVLADDVRLSTD MNLNGFVVTIRAIKNKSKSGNTYYSLTARLQAYDQNGGLVSFLKNEREESEINIYAVT ITDGRADTREWDYMSFKEALTRLRRSSLFLFYDWGEDCSDAHRQALIQRLRLSNATTF VHATEASFMAKINELS LY89DRAFT_767791 MIPVDIDDILAGIASARDLYEVGFQKASNPSPQYHAFGQEIRSL AINLDILSDVIKRAHYDAGASFERTQYGARSKRPMPGSSILTGFGDTLGDCCRLLNDQ RYFHKIGRFVTSITWYLQIDPEVRAMKERLSCQNMKLSTIFKIIDAQVLRDRSFYITD STAALLREAERLGQQFRGSEDGKEPAKQLSGFVVIPPELENAFMRFTQRTYPDLSVLT LDRGIDAAITYFNGITKREDKPTPNQAIYLGSIVDIMMASWILQAVRSTLEYETAAKA PSITAFERQMDACGLTIDRFFDVFDESLQEALRRLLGRDILLPPTPDLLETFERDRPS LPLPPDVEGISAAASPRQETRILAAEYGTKIMNVTRARNNASIVPRQNQMLIAIRDNY DFDHVEIVPSYALRTWATASVHPLTMLFKTDGAAPFSRNLVFQQNKDLFEFQQAITGF KVKHDDTRVLATSQESKILGGSRRQDLCRLQLWSAPPPVIDFADVSGSSSSRSTSEAR RASQTSFSSSSTMTGQSRKKPSAPSMFSSTSRKSTSSRKSIAIDTPSLPGFQEAVTPE VTIEEELNENDQELNPSFRCVLERKSSYLQGRRSRETTVPSHWDLAAAGLHKRDKETE IVKRLKHVILEFDTRQNMSEFMTKFQQIKEIASWRLAKFVQATG LY89DRAFT_608035 MGYYSTVCLIFNRMIGTGIFNSGQIVFANTQSIGISLILWVLGA VLALAGVIVYVELGLTIPRWPSGANGEKISTPRSGEALNYFNYYLKRPLFLATCLFGI PFIVLENTAANSVSFAQNILDACEVTETPGAIIGIALAANTCACLLHAISRKWGIILN NAFGTIKFFILLFIVIVGLVWINKGVARDNYDIKTSFSTTNSPKAPYPWAEAFLFIIY PYGAFHQINYVISELHEPRKTFPRASWWGVLTVAVLYTSVQMIFAAIIPKADLFVPGG IDVGSKFFLLTLGTKMKASHVKTFFSILKAISAFGNIIVVTFTCARVKQEIAKEGILP YSLWFAESYDFSLDRIFRGSKSRPKAEVNSMLSEKTPAGALALHWLFTTIMVIVPVMI IKTDKGYSATAAQTFLAGIFAYVIDVCCFVCISFGLLCLRFTPSVRWSEKSELRSSAT SITAALILFCCSLFPFICIWIPDPNDRYLARSGKLVSWFASQTAGLALITIAFIYWVI FRIYVKVRSSREGKTLHIRREPKFKQDSHGLTQILEIVTIQWKRDVDLRLDEIEETDD GYRSSTLLSGSTARNNRRLQDFGARDRLSPLSGSSGVHEMAQHNYSVRRKPVMSEMP LY89DRAFT_767793 MNLKHLPPSHKSNRIPEKRDKEDVMKGSLRPSTSASRTTSKQGT SSRTSSTPKPIYLPSNLRRTKRESSRNTPSSLSKSSSRDRTQAGTAVRNQDSTSARIN QSRDRLPPSNMVSAYPSISGMSALKSTPPSFAKSTLRSDKDQEMASRQTKIDRLGGRE LAEQENWVQSYIKDRRSCPGGVDWIKMKGGYKCVADQHMMTNEIIREGKGGMFFLDSD CLGGSVKPSEYIGPYYLDTRNGKPGIWRLGEMKNKPKYYPDKLDYDGGAIENEASGAV DSRIGSGRQNFRGLLGDSVGYFVNTSGPRFSRK LY89DRAFT_778049 MPILHAVPLTLSKLAQLTESLLYHRVTSPPPANEGTYVCHPANI HGLMLFWLGFCMVVGFICAWQTRFLAGRLYGQREMVSDLANLPGDELVPTLKQMCKGF GIRRSLQIEDADGRSDDWGWNWDSGCEADE LY89DRAFT_729156 MDQKEMNLELKSQVIDRSYVDQKKLVQKLKNRYGQGPDGKNNFK IQLRLNRYTIMFPANAETLTEGEINEVCLV LY89DRAFT_379359 MVSTLKNELVAALDRYEWPRGQHGFYIPIDYLEKYVTVEAVSAQ LLTDCPLLEPQKVADYAETIRAKAQKLYTILLCMDKGHCIADFLDGGISDINLPFLRS DHGTAASIQTPGTKHELCASNHFTTCEKHEHTGCGIKALESWQSHAIRALSRDQWQVL VPIFEPLQDGKIPHYEFDMNTIFPYVEDHQAVNDSYVKSGGYSRVWGVRMHWAHQKIY KSTDPNVSEPLLAIKRPFSRDIRDFKKEANMLSTLALRQHPYLVKLLATYKYKGDYHF VFPYANTNLRGYWDDTRLPYRNRDTYMWGLNQLCGITSGINAIHNFKATEYPLESAEA APNLPGLNVSVGKYLTVAHEEQKYGRHGDIKPENILWSNELSGSGNEGILQLTDMGLG RFHRLESRSGIDATGLSGSPTYAPPEVCLEKKISRSYDMWSLGCVFMEFVTWLVEGKE QIYQFASARNLLVGEDTYDDLYYTIITQDNGSKHAVVRQSVIDWFKHLKEQARCSDML KDVLDVVETGMLVINSEDRKKCYDVVKELEKIISKAQTSDSYLLGDMPITDPESGVKL PMGANTRYAPNSTPKPKAVGEATSQILLEDLPGIVVSAHELEGNNVTHGEPSGGSNTH ASSHVRQDLSRTTSYPGTTLPIMSSPLHQKLQ LY89DRAFT_729158 MHGIEWVDSTVIEDIDITFKQTFVLDKKDSNLVLKRLRPISETN GDSEALKFLWTTDVSDFEAIQNFSHRADPCQIYCIRQKHSYARLYITKELFDQLLIAY SVFSRIWDFVLPFSFKTRESDLVNAPFRFRQLEHLKSPELGSFECAYGFRYVELNHRT LARRENPDYDPWSVRQSAVYQRYDSKYNRIMFILITPSETARKNLEEAVKNAIARPGR LNAFDLHRILLSTLHENWRLYVRSLENLMTQQSERVVLAEVKDENTKLSPLTDLKVNF VDRQRLKMIEDKVLDLVIIFESLYNTLAKLRKQCETHCLGAACYECNCASIIDELEEQ MHEAEVNLKKADILHKRAQGTAQLLSDLLDYENAQIAQVNEKALNGLVKETKDENSKM RVLTERSTADAAAVKILTVITLIYLPVTVVANFFSSQLIRVDEGGAISVVSGSWWFAV ISVPLTIITFIVWRWWVSHAIKAQERRQEESILDVDEVRSLRSKCSWASVLRSRPRRR PHDDITGA LY89DRAFT_778053 MPAHQPAQQKASLEQQIIEGRYIERDKLMKLLEHTFGKGNFVVR LQLNRWILAVPMKLTEEQIDTCCSLE LY89DRAFT_575871 ILPQSQKLEEQLVDQLIEWPRGGNSYFIPIDQQKRLVTPMSIME ELRRVEDRENQHSKEELDDTTAKISNTARKMFSILVCLGKGKCIYNFLKEGLTDDHLP FSRFVAQATSNGTGVRTKLCSHRSPGKPIKSMANWSWNETTGFARDQWWMLAPVFKES LNDPRKVRHYNLDDNCVMPFIEDHERTDTIASGFSTVWAVRVHPAHQSLHSPDKKNPN PLIALKKLNSIDENDFRLEVEMLKAFSNHKDRHLIKLLATYRIKNRYHLMFPHCKYNL RTYWEANPKPKFTYTNVRWFLAQCKGIAHGLMTIHEYKSSEAGKAARLAEIGRSRLGN NLGVNPIPVKEEERIYGRHGDIKPENILWSDESESGDDCASSQELEQIGILVIADFGL MDFHGKQSRSMVQADVPASPTYAPPELRLRKSISRAYDIWSLGCLYLEFVTWLVCWLD ELDKFPHARALTSSSEINDDLYYTIIEEKDCAPRAIVKESVTEWIQDLHEKPRCSQFI HDFVDLIGEMLVVDQNQRIHCGPLNSRLHEMCMKAKNDHGYLTDLIPRRPRAGDSTAT EGSPTSPTASQGDLLRTKSQIPADLDTTTKSPKTRAAIPSSSRPVSYVRLRSPSPAGL RSSSPAPSIFLTEVDQKENTPSQRLGDDT LY89DRAFT_694187 MGTESRLERILRSPLLFTLLTALLAFLYTWPKRWLISGAPLLTI LLLAGEAKGHRILPSIHLWPIFTTLHLGYAICSTSWLLFWVFTAFAYPTAFLVSLFQF EPVGDVARRSLRRILKDLQFIDDKIAFFDIPALEIDTEVDGLMVLRGITFSLSSLSFV VHGVEVGIKLSDDMELSIQTEEVKVKLFRGIEVGDCFANLKGGEYEMTFGSLEGHTRD VDGDAVFVEATPLLKAASIYGDRRSLDESRSATPNGYGDAFEAKEVKMTEAMTDGKTL EDAGAKEGLESMKKMSPDNEVASGRYQRTVTFIKETNAIYEARKTVKSLSKKKSVDER TFDHEDDNALRAAICSQLHPEPSVPHPPQKSIKVTTLQNLMPAYIRRFTHRLPMLLRL LLNPLSYFHPVKISSITAAASGRWIDSILIEKIFKSYWETDSEIRSLKNRISAWLSDS NFVIELGPIIGLAQVPFIPTYDINCHLSFSDVMAYRALPKDIDLKQVVRLGGADATFI LPSFLLPHHEHLLPPVPTKEAKQDLEKDIEDADGVPKEVQAQHDLEQAQKDETNVKIA VHARLPACFDQELLDFIAALVKATKVVEMEKEPSVMDEEVHGIREFSRALKGGLKEGV KKVVVDGVVNESVAFQPHSYPSQFPTRTA LY89DRAFT_608045 MLIYTKRAAPKAPKRRSRAGCIHCKEKKKKCNEKRPQCDRCEER NLQCEYEPVKPRKRRRTSFAGSALSHENESPTFCNDRWPGRFDYFDASSVDGGHKWES QSYQYPESEGSLDWDGPYENGNDVEEVIRTEHMAEPSTAVARSRSQYPDLAMIAPSPV VSPLVEFCSPVFQEFTEKRNRRALVDHFCNVLSHLIVFKEDTGNPFRQLVLPLSHASS PVMNAIFALSSAHLEYRGIENEEKSLDFHNKALQGLARLIEQNEESNREEVLGAIMLL VYYEVLVQKGSSNIVNGHLKGAMTIMKSGRQITTPTTVFLERVFRFYDVIAALSLGTP PNKSTQPSSTPFPVPPVEGLPTESSPLNAVDTLLGFSTDLWPIIHRLSHLLSHKQSLE AAIASGETSKATVLRTELESTSQAIELALTNWKPICIPVSTKESESALPQSTRIQSIL NNAEAYRHSAFVYLYRTIRSHPRTHSQVQKHAHLSLEACSNVVNLAEQCQDGPMSALL WPLFVAACEAISDQDRELALNAFCGTERRQGMNNIMRAWEVVQEVWRKADLGEEVGWR DICGERGFNIVFG LY89DRAFT_664827 MKSHPLNLAACFAVMFPFITTVSSLNFSSSTSSGNASTPTFSNS TSFSNTTTPSLACPDNTDVSSDLYHPFLLYAISENNISSVTLLNSDPLGSLFHISGSK DSLVDNQGVFTLHNGDLQGGLDNQPHNAGNCTILSAGFGDFVVDFPSCAVNGSGAITN GTGVGIIGTKWIARPACVNQQTAVILLPDLGPNTTTNDTYFHAIPSAPPLLFHTEQDS VDFYPVAYLVVVEAAIEDLNQPFALSAREDFEEFGFPDD LY89DRAFT_576166 MAPPGPTFDLYKELELTAGATAADITASYRRLALLHHPDKNENS VEATAKFQRLNTAHEILKDTAQRGEYDRRSSGSNFGFSDAADFFNSEDGYDSADEFDP DLRDPFDIFWSHFDHRYGTPEPQESDTEEEKQKARDPARDQARDQAQEKAREAGGARE KQRQQDAEMATDKEKARRKENADKSKGWDEKKYKEPRQRQEQVWRLRGDTTPAAKQKS CPHIDFWPKEEGNKKGEKVKCGSCTKKRGTSFKCPLCDLVACQVCVSDFSKEREKAAK F LY89DRAFT_379347 MQEIACEMECISTDLLEVCNAEHADSRGTTSTPCLRSQDKLITE LSTPSHLLLHLTGNQRCRNGTLNRRLVGDCTAQDLNSHTLQPLGRGHHTSQRGTVVLH CPHCVWLLRLSSLCRDKDDDEEEPVERGSNAPKFPYNPPPEVQQIHFMDGTHSSSRLH AFMLS LY89DRAFT_664829 MRLTGTCWSCTVALAAVFFQGTWATELEKRADRRKKFNKDGKYR SNSWPKLAQKVVEGESSDDERYLRKSMAGQPVHGLAPTPRPTTTKSTATEVTAPRITE GPSLVRWKIGSMRWDVIQDGFLGQKRDADMCPSSYQPCPSSLGGGCCPSDGYSCGTSS CLPTSASPATACGFSGYIGCDIADGGGCCPANYICAAESCSPSPGISTSQACGVNSFQ CPASLGGGCCLNGMGCALSACYNTNPITFTLVETFTTTEANSGTVTLTSTITSTTVSG APDPTETIGVLVPKVQASASPIAKQQATSAASSGGGGLSTAALGGIIGGAIFFLSAIL IAAIFIIRGLNRASKAQELANSRQSGSSDRRSRQSGQRRGTLNQDVDTMSIDPLMMRG SMTSGSFHRASNVSSPHNTIPEMEGSNSPPVFLSPFSPRSPPHTHYPKGYNPVVSSDS QYSQSSGGYRNPSLDSSPQMQHNPNGYFDLPVQHQNNNRISLISSQGRRPSHGRNYSN SSEVSQSSSLAAELDAGKDGSRKSSLQAESNERSSLQRFMTRLVRRRQSDPPALTGGP VRTDWTPSPREGLGYIAEAGESKLAVDHQNQNVEPPQTPFQDISLMDQPPGFRMS LY89DRAFT_704517 MTHNSSTYLSNGIHALTAGSPTNETVILLPGWPQIAEAYLPIFP LLSPHYHLLALDPPGLGSSPPSPHGYDTLTISHLLESSIHPLVPPTQKYHLVGHDIGA WIAYAWAAQFPSSLLSLTILDSAIPGYGPQVSYPLPEAVNIKLWQFSFNALSDLPEIL TQEKEKELLNWLFDHKAVHPERITQQARERFVAAYEREGAMSNGFAYYRAVAESAGQN RVFAAKGRLDIPVLALGGSKAVGAGLVGMVGPLAVEVEGGEVADCGHYVMEEQPEVVA GRLVEFFRRASSGENSVDAVK LY89DRAFT_380048 MGQDQVQLQVIAQSGTRSDRDNARKVLNVLQRGRHWVLVSLLLG NVITNEALPILLDQDVKGGWFAVLASTILIVVFGEIIPQSLCAKHGLAIGAWSSRYVL WVMYVLYPVAYPIAKLLDRLLGASHGLFFDRDGLKSFVMLHECLNFSTERLNKEEVTV ISSMLDLNSRPVSGIMTPFAKLYTLGTNQPLDEMTRYNILNSGYSCIPVHMEHHATTF VGILQVKSLVALNLMEQITIGQLNLESMVVVRPNASCQELIHVFRDRKVKMILVTERG TPHGEPLGIVTSRDLMNELIGDPLSFKEGE LY89DRAFT_380064 MASVTMSTFVALPKVKLKQLVGTFEPSIELLNKLRGLLVPSPTT SQKVTSAKYSAHLPLQPNRRQSQSVCYDGGTDLVYPSSITRSEPRDIRAVKAHRSPRH SPKRTRTTRQVSHVLPRLVHDNVLDPWDILPGEREGYAITFTSSVTLESLIHSSSRIP SEDADSYFKQAILGLEYLHRSNVAHQDLRPENLIITVNNVLKISNFEKAHYSGPSSKS QQVPRRNSCSTPEYVAPEVFVNSSYDAQAVDMWAMGIIYIEMRRGKLLWIVAAEGADE CYDRYLQERVSLWGYRPIENLNNEHCGRVITSLLDPAPAQRYTASRVLKSTWCSAIKP RIPNINETP LY89DRAFT_380069 MTEGNLYQYNPNSAIAAVAAVLFGISSCFHLFQMIQKRTWFYLA MTIGGFMMTAGYVFRVLSIHSPHSLLLYILQAVLLLLPPSLYAATIYMIYGRTVLFVN APDASIIRPTWVTKIFVIGDVFSFLVQAYGGSMEAQASKAKTGSHIVLIGLASQLIFF GFFLVVAIIFDRRMSKSPLRYTVPTYGKHNWRSLLLLLLGAAVFIIVRCLYRVAEFAP GNGGYLMSHEWPMYVGDTLPMLVVQVVFHIIHAGDVFPRGQTQKSEEESYINLEER LY89DRAFT_576221 MHAIPVSQSLPETLDPNIFSSPSSPKVGSEPQGHITEQVQTIWN PYKNRYRVLASCILSFANGMNDSAPGALIASLERDYNIAYGTVSIIFVCNALGFIAAA FFISTLSTRIGRAKSLMISEALLMIGYTAIVTTPPFGVVAASFFVTGVGMAMNLAICQ VFCANLANNTALVGAYQGAYGIGGIFGPLIATALVSRGSKWSRFYIIELCLAALNFGI APRTFWKYEQESKSLLPQPASEQQDRERGTVKRNDRTKRQWQSFKTLMSNKPTVLGAL FIFAYQGSEVAISGWIISFLVQFRHGDPSKVGFVTSGFWAGITLGRFTLSFLAHRIGE RTFVFFVTVGALILELLIWFVPSIPGDSVAVAFSGLLLGPVYPCAVHVFQRLIPRKMQ ISSLSLIGSVGSSGGAVAPFMTGMLAQHVGTFVLHPICIGLFVCMGISWFLLPKAERR DE LY89DRAFT_681100 MPTWIVTGSNRGLGLEFVTQLAADPKNTIIAATRSISRDLASLD ALKSKTKNLHVLECDTGSQDSITKFASIISQLLGKDAKIDFLLNNAGINANSKMTSLT MTADALTNHMNVNVMGPAKLVQVLEPHLQDGSVVMNMTSGLGSLGYNRTKENPECTVY AMSKAALNMLSVHQAAHLKRKGVRVVMIDPGWVKTDMGGSGAQLEKEESIGEMLRILN GIKDDDSYSGRFFLYDGSERPW LY89DRAFT_664837 MGGFCFDTSNAESNFLTGNRTRVTLTKIGVCTLADLAPELLPDI SEEQIQDKSKADGLGKALACFQAFWFCTECISRLATGLSITLLELNTFAHALCALLAS GFWWSKPHDVNEPVLIQGPAMYAACAAMCMRSRMGAEHPSEISFPGDRHVGRIWEKSL GTIASQDSGLLEALALPRVAKYTKDPPIYSEVSVEGLGDTTIFQGHGKRLGSKLNPSL RLHMGQSLFGFGFRRRMLYSARDKKLQDIGILHIKRPFIDLTSSDILLWRLASQGLRD YPLFHNLPQGQYVGHENTQVHRSFLTEFCIDRALNVPGEEKNFSGDNSRSILYTFLVA GLCYGMLHLSAWHAPFRSHAEAVLWRISAITLAVSGFVPFLFLGANYLPDTKTWDDLA KFITRLEESHLGLSTS LY89DRAFT_576660 MSTSSTASAQHQQQQIPLPPPKQIRFVNNEGQPPAKRRRINAAC RTCRKRKTRCDGKRPLCSTCTENGHDCLGYADAPEGVLKKERKSEDLSSRRIEDYDDG YDEDDKSSEGTTKIEPGTVSRHHPNRASLNGSPDLRRVESNGQSYFDANATPRRNTAD SARRTASFDKYRESAVFSDDGPSPLERTPPLHTESHRVPYFRYFGPTAIVPGFKQMVV SVREHRRSTGAGSAVALSPGSDGLGRSGSVYGGTPSQAGTEPRSSIDMPIYDPTDPSP VNKLIIHLIETFFAHLGSNYPFLRRKSFMQRVEEKSAEPILVDAVCGLAARFSDHSLL AQSHDPPYPKSEYGHIYAQRAKAAVVDTFPCPTVAAVQACLLLAYEGFGANQDSALWM YLGCAIRMAVDLGLQKLDGVKHQGQKDPGYRRSSNDMIQSNPPSTITAQEKKEIEQER IDTLWAVFMLDRVISSGTGRPVTLRDEDFELTFPEITANPDSGWPNPFPALIQIIHLY GRVSDLLNNIRDVQDVTPKKIEGLSGMEKDLTQLYQKLDHRLTFNAANFQHYVKSGEG TNFILVHFWFHTLIMLLHQPTLMHSFEGQILSLLPNSRELSMSSAKTIADILAFAELI DPRSFIGNPFTSQPMYIAACAFLMESAAHTSSQPTSRDASPPRSGNSRTPVKDTKATQ KMNEQKQKHTLLASAANQNYQRCYKALQQLETYWAGTRYILVALDQKAKGIWDPETYT EQEYESTKIRHEMIPGWQGKLSMAAPSPRLADLRSPRMDNLPGSPAIDPTTAIGWSLT GTTNSPSSNLTFMYQNMTEAAQPPPPAAPSPGNMIYDPIRQSLPEAPAASTSTSHYSR YGYQPRRQHSQPMPPPAPKFSSIAADAASTSDAEMLLGLQNTAYSHATPGSHHSYEHA ASQSMTSPSSTRQQDSSTNPYDFQPQGNTPGVYPNSTSYLSMGGVGDMMMESQEIDMS TLGGDMMPWLEYLPHDVLNFFDNNGNVGQAGMSSNVDLGMGRNNG LY89DRAFT_704525 MAESEQKPTPENMTMMQAFEWYVPDDHKHWTRLHDNVPQLKAIG IDNMWIPPGCKASSPSGNGYDIYDLYDLGEFDQKGSKGTKWGTREELVTLVKKANEVG VGIYWDAVLNHKAAADHKEKCEAQEVDPDNRNKTTSDPYEIDAWLGFDFPGRGEKYSE QKYHWYHFTGTDYNAENNKSAIYKILGDKTKGWAKAGDVDGEKGNYDYLMFADLDYEH PEVKADVIAWGKWLSKEVTLKGIRFDAIKHFSEDFLREFITTMDEEYGAGWFFVGEFW KDSLDDMSRYLERMGKKFSLFDAPLVYNFSQMSKTEGADLRKVFDDSLVQIEPVNAVT LVMNHDTQPYQALEAPIEPFFKPLAYALILLRNQGYPCVFYGDLYGIKGEHPFPPACG GALPALTLARKLYAYGEQDDYFDFATCIGWVRKGTWDRRFGCAVVLSNAGPGEKRMHV GEMHAGEKWTDVLGWEQGEVEIGEDGFGAFTCPGVSLSVWVNKDAEGRDQFGKFDSDI YKS LY89DRAFT_380175 MRKTPPPQNFEIHVDASCLSDNAENDPTMSAERIPSNESSQTVV HHDSWTGEDLKSEAPVANEESEKANIEHETQIEEKENSPPSADINEEEDTKEPEPEKD IFEEKQVDQPTDETVEPEPTDGEEDKEREARERRMERIEAEIQAAARAVVANIQSEHY DGNEDSVLSAQTDESYDQDGTELTYDGTEVSVENGGDDSFVTDHDATSEHHSDHEGGD SSSHHDGDVDDDVFSSSDRSKRSSMDSLHSSNDNQKLLTSPAVGEEAASANEGEPISR IPSVASYIHTPRPPGDHTPSKVLSRPPFRTPSSVRAMQMSSPTASLFSSPRSTKRHLP TVSRIGTPNSQYSPSKRTPTRLKPRKAAPLVLLHVTVLPLQWPYSYLMSLNDIPESLQ NVKESWKLLQDKVGDTVLERGILLSHPQESYEVLEERLYEALELPVRPRAKILKCGHY MGPLDPETPSSDEEGEYFEGADIRDGRKWCDICGRDVRVEEVGDLPKGEKRFTVKIYA SNGLMRAGAWEAAWREMERVDVELEPFVDANLRAELEHLAAITPQEVHAEHVEDQDDG FEDEEIIEPVHDHSNEKERKNQDARAREKEEMISRMAKEDEMKQRILEEDDIRKRMAE EEEMRNLMAEEQELKQRIAEEDAMRRHMDDQEAMRQNEERMREIYGQEAERPQSLRRN SSRSSVHDDSSFAELLLAAFKVVLRDSRNLAIGILSVLVLLLALSAKTTVTELPLPKI MDNASVPQITTTVFKEAPTTSAQSVQPFTEETIQLSVSMNPESVSQVTTTVIREYTVT EKAPVSTSAEIVEVSPSVSVSQVTTTVFREQTITELAPAPTSSDVADPCESLVSAKSQ VPVAESEATIQEELVENELALEDHTEALEPEEGIKVDDINTPDDPLSSKEPSILNEYL TQTPLGPELEPSVDPVDTEHPSEI LY89DRAFT_729175 MGKDKPQKSTSSKPAVPLDKDAFRNLTKKQAWLCLKRLCEEVNW KWFRDSLEWFKPASNIQAGNHPGSDWDQWKIWVRKDWISVYKFQCRFMKDEPFPIKTL VAQEYIYLMVLLFTGWNEDFRFASQRQCEILNALHSGGDFLKFVKEWCEKQGEEYGNF EKSEKRGPGEYHGFELRVRHIESYATQEFPKVKLLVHVNNLKSFVACRNGAS LY89DRAFT_681104 MRYEIEKLPSQPARTAVSELVRFVRTHSPYYRSLYRDLPSHIAN LEDLPLTNNDEYWEASNGETNEVITTPFIDGVVLRSGGSSSIPKTLLMTRAEWHLTAQ INSVMMAEASELIPGDRVANLAVQGGLYSGFMTYGYAIMNCPVPIVNLPISGNEPLES ITKSIIEFKATVIICSVYRSTRLAEHLQSQQVVLPNVRRILFAGEAFYKDLRDLHRAV FPNAEIRPLEYGSVELKILGFPINRPGNEKDADVDPIYRVNTSSAIMEIIDGNGSVIR ENGRRGLVVGTNLIMRLQPKIRYPVGDSAEWVDYDAGLFRFCGRESVGLKIDNAHVTC QSIRKIVAAVLGGKMVAYQVVVSRSDKKTVVTIRIVAEKPEMSEEIRTRIEGGIMEIT PAWRERRDEGRIAPLKLEWVAFKDLVQVESSGKLKEIVEKRYEES LY89DRAFT_681106 MDLTTATEPETRAKAHLASNPTNKTNGFEPSSRPNAWMEVGQAA YDFRSDYVTSPTPAMLESIIKTTLLDDVMMEDPTTNSFQDFMADLTGKEAALLVSSGT MGNQIALRSALTSPPYSILCDSRGHILHMEAGGAATLWGALVEGIQPSNGHHLTVADI QKSAVLRETVYDCPTRVISLENTLSGTIMPLSEVRAISAWARSQNPAIHMHLDGARLW EAVAAGAGELKEFTECFDSVSLCFTKGLGAPIGSIIVGPSSFIKRARITRKVMGGGMR QTGIIAAPARVAVEQVFLGKQLKKAQDTAKFIADAWISMGGKLLKPTETNMIWLDLDA AGIDKLYFAKVAREIGVRTMEGHLEDRLVVHYQICQDAVDIIINVFRVVLQNSHCI LY89DRAFT_681107 MDVSPGAEVPEVKSPELHEINDEPKSEPVEQMCATGEKDATASG NHVVETEGGIEFLVTWQDEESDLGNPKNWAMRRKARALVGMSMFVFISVFAVSLIAPG LPAVSSDLHIEQPAVQEMVLSIFLLGYTFGPLVASPLSETFGRMRVIQFWNLLYTIFN ALCGASQSKEALIVLRFISGVFASATLGIGGGTISDLFRSKDRGKGVAIYSWCAVAGP LFGVILGGFIAKYTTWRWAFFSTSILSACIQLIGLYMLEETYPPLLLRRRKWSIIKET GDTRYYTLHDHLDHVTARVLSQNLIRPFKLLATQPIIQVMALYNGFLYGNTYIFFADF VNLFTNRYHESVQIAGLNYVSIATSSGLATVIYSMTIDRIYRILSSRNGGQGKPEFRI PVMVPGTLLLGIGLFWYGWSADAVLHWIMPNIGCGLFIAGATICTSSVNAYIVDTYGQ YSASAIAAISILRCLAGFTFPLFAPYMYDKLGYGWAATVLGFIALGIGFPVLGLLWKF GLYLRHKSPYSSAEKVPETRVNLVSNSTC LY89DRAFT_664845 MSQEIAFTTHDPACDRCRAKKIRCDRVRPKCKTCKRDETQCKFS NKEPKSIQASTVAQEDIERRLGSVEDHLVEVVRTIKESENRTYLRMLQLENRLLNGIL TTGGETSTATSNYSSPTMSTNTNPPVYGTGVGQMFPYETSLVCNDSQWTMIPPDLGHD FASKRIGPYQHEPVGSSGSTSGHIAGVSLADWSRRIVDSAEISGPNSSALFSEFDLSV PGELIGPDTSTFSSAGGPSGANCCCSKSHKV LY89DRAFT_576667 RRAIFFTDSNGKRHACKAIDGESVLDVAKSYNLEVEGACQGDCA CSTCHVIVTSKLHYDALDSPTEREEDMLDLAFGLTVTSRLGCQIHMAPALDGIELKLP GIIQEKSVSL LY89DRAFT_694197 MFTKSAIPLLFNRHAPHLDPNSYIAAAQVFPMRLNSHVAEDLID WSNTPQDPMFQLAIPQPAMLGPTDLQKMMAALQKELPKTDLQQIAEEIRKKLNPHPAN QKTENVPRLRGEEMPGMQHKYRETVLFFPSEGQFCHAFCTYCFRWAQFTSVGSSQTFK SNNGEQLRDYISSMPQVRDVLFTGGDPMVMPARILASYIDPLISNGAPSHLETIRIGS KSLAWWPYKYTTDADAKEILGIFERVVKSGRQLAFQAHFSHPRELEHPAAQEAIRQIR MTGAQIRGQAPLIRHVNDSSQVWRNMWNLQAKLGIVPYYMFVERDTGASHYWNVPLDR AHRIFSEAYSGLAGTARTVRGPSMSASPGKVGILGVENVRGETVFVLKFWQARDPSWV GRVFFAKYDAKATWLSDLEPAFGEAEFFYEPRYKELTTLMKDGSSGQLLNSISP LY89DRAFT_729181 MANTICVEQSTTSTSTTIPAQTTVISGSSTPSPTVISASGCSPS ASESTATPLTSQAVASSNIIVIGTDTVTLPILLSTTTLNTDGTTLTLVPTSTSSSGQT ITTDSSLLVWGTNTVTIPTSLTTTVTLITSGETFTFSPTPASSSSASLLSSNTSTLPN GSSISAVSSTITALPNSSSASLLRPNGTSLQGDTSTSSASPNTTTLPNDSSASLLPST ILTSLPGVSSTASPLSTTSTYLPNGSSTSSSILATSSSSSSSSSASPLPLVTITTLPD GQTVTSSSDFLFWGTHTFPLPTSLTVALTLTTDGETFTFLPSSSNSPPERTLTLPDGQ TVTSNSGFLFWGTNTLPVPTDLTSAETLTTDGETFTFQPTATSGTITSSSSSSPQSAS LTETTSTSTVLPLKTFSIWPPNFTLFPVSTTVSQPKSTDKGGVIPSSAWFFSICISWD INIGGWELDLPPGIYPAGPPPGIEFPPGISISGTLPPWPPITIGNDNIPTYSSEPSSC ERETTASLCPTTSSIGVTVSAGVTLTTTASTSTCSTISGCNVQNSATTTTEACTTPEV VTDIWMSCSFPGTATTSGVCQTASSSLVSGCGVTPTTVSCTSGPASSAIGDNVNVRRA GLSLPACDFGPDTQKYVIYPKDGTNTVATQAIIAVLADQTFGIDQTTIYTSNTVTMGI NYWLVPLSDMQIPPIAASLAPYIASFYMQNAKNTPDPTISWLPNVYKHLVFVSQQSPV PMATLGGFYFFDGSIPPGQDISVYILDSGASLGHKEFDLIRANTRWITVGEDVNPNGN GQMIAPAEDDSQTDPNSEFFLFEGTWHVHAKPRLGKDHVVACGSTNEDYIEGLSRVCD DLAAQGITQSSTTTSVLLLALLFPRTIFVRDGIDKSAGFSGRMQALLAKAVSQGVFPI TGSGNGGATTIDGWPANFGGTANGQAVIPQLLVVGSVAVTENEASNGQISPSCSRDAA QNLPHIYAPGLFVTTADGNFLDGTEYNTDSSGTSDAAALTAGLAAYYLVLANSGSLKL ADMSPAGLKAYITSSASSWARDAGPGASTIWNGAKPALDQETCAWVPPGTAAKRDSLG FGLWDRQATGSDAPVSIPVTATCGVPAALSSYLATESIALSGIVSSTITGSGITTSTS VTVPSLSQPSNITNSSTSIASSIHSSTFSTVMCNSATPVPGQCGNEGCVYVIATEVQN ALCTTDYCYCGGNFGPLLTTSMSGSVSSGCDYTTVPTCINCASASCTSTMIQPTTTTT SAIASSKPTVAPLTLGPQQCNSAADFPGHKDIEPDTLNYYIGFACAGSALTSDTISST SNPTTPINVEVNGVYYYLAITWVEGCTNTVSSQHPYEPIPGNTDVTCGSLFENDYKNC DNTGVGGSIVVGCLQYTFTPANYGVTS LY89DRAFT_563331 LLLSSSNREAILKVFSGQDIVKPQKWFTYAIPGLPSGFYGPLGF VPVHPKLVEQEIITQTRTVPVRVEPSRHGTDIKSGKTTWIASFTKPMVPFSIFSTSSK ARLIQKPYRLTQHLDGCLG LY89DRAFT_714745 MPLMPLTLEQKDAIREYLHADPRGKPRGGMPLLVYFVITAKSPV RRLLNILVHPSDFYDPTGPQVPLRRQNRVQIYLSETCRIVGIPEALILMYDVADRESF VELEKSYDKICDVLELEKNYDKTDKPPARGLFKRVTDRLFKRPQWEAPVPYPIFVFAV ILNRSASRQVTYDEGLELSDRLSAIFLETSRTGLNNNCFDDLASRSILARTKKEPNRV DS LY89DRAFT_608078 MSILVSKFCFGILFAAAYAQATSVLDCLDANKVPYVTSSSTDWT AYQIPFNLRLPFEPAVITVPENEAQVSASITCAAAASLKVQAKGGGHSYASFSSGGQN GSLIIEMENFAEITVDPTTFIVKIGAGQRLGNIATEIYAQGKRAMPHGTCAGVGIAGH ALHGGYGYDSRKWGLALDHVVGLDVVLADGTSVYTNATTNPDLFWAMRGAGDSFGIAT NFYMQTEAAPESVLYFVADLSASLSSAENAATGFEVLQNWSFTSPDLTPNITFGTYAD FTKSFIIRGWCMDCDEAVFTKSVFPGMLAGFPGYVPTVQNLDWITALVDLAGENLTQP LGHLYTEHDTFYAKSVVSKEAEPLSHASLVSYWNYLIANLGNKQWFSIINLYGAPGSL INAVSPDESAYSDRDSLWVFQNYGYTGTEPWDPTITPLIDGMNNATIVPQPDGDFGAY LNYVDPDLSPAEAHLEYYGASTYDKLLGLKAKFDPGFVFWNPQAIGTSMAL LY89DRAFT_778073 MTFSPDTLPDLKGKVFIITGGNSGIGYYTVSHLAEHGAHVYMGV RSLEKGTTAIANIKKVHPSANIDLLQMDLMDLASIVAAAKHFLTHETALHGLVNNAGI MATPFEITKDGHEAQWQTNYLAHWVLTEHLLPLMLHTAKALPPGSVRIVNISSSGHLS APKSGINFEDLSLKESGTWARYGQSKLANILHTKTLHKEYGPGSKGEGEIWVSSVHPG LVETNLASSMGESQSGIMSVLSGLRMLGLMYPAEKGAYTSLFCVASRDMKAEQSGAYL EIFKRCMEPRWLSGEAKDEKLAERLEEWTGEVMRREGWVR LY89DRAFT_681111 MIRTYQLPPANSTVSHIQLTPLPQLAEARSQEDDWTGTKDAAAR RRAQTRLNTRAYRKRKAQARKVEESTATTGTLAKSEALVECWDNEQQSVSIVPASRIK LFYSMRYPLLPSDKSRKEHFNIIFPLCPDHLITLLQFNALRSMAVNRTLISGILTSPL DCDEEVIHVIPYPAKPDLIPPALLPTTLQQTVPHPDWIDLFPCAEGRDRLIRAVGTFD EDDLWADCIGGLYEGFPDDEIKQRGIIAWSPPWDITGWEMSEGFLRKWGWLVEGFPGM LEATNRWRMERGEEPLADDCTSQNVIL LY89DRAFT_637544 MDGLSLYEQKSLLIQRELDAMGMGKYQWYIWSLCGLGYFLDLLW AQAFGLIATPLQKELGFSGIDGQLGDIFTAFSSGLTAGAFVWGVLVDIIGRRWAFNGT VLITCIFGLSLGAPNTYNAVLVLTAFNGFGIGGNIPIDTTICLEFLPKNRRFLLALLS IFQPLGVIVCSGIAYGFIPKYSCDSELLSCHSSSLAPGAPCCTKSSNYGWRYLMFTLG GISLFVFIMRFVVFTFQESPKYLLSKGKDEQALRVLDNVAKTNGTQSRITMATFENLR ESEGQRSSSDSSGTAMIREGNLVGSKRFVDAPMESIKTELKRVSILFSTATLARLTIL VWIIYAFDYWGFSVAGSFLPTILARKNSSINVSISETYRDYIIIYTPGLVGVALGALL IQVPHVGRKYAMFTSSLLMAVSLFLFAAVNTQASNVGLNTMEYFFQSMFNAILYGWTP EAFPASVRGTASGVASFWGRLFSIFSPLIAAHLLEKSLNGPLYLAGAGVLVCCVAILG LPGKTMVGDDL LY89DRAFT_637548 MATPSSNSPSIAAIQYLINHVFLPPRLPQEDDSKAQHESLLINI TIDALSKFKVHVNNDQSDNITSVLQMMIVLKNIHPPHSNIYEAEFLQALGDLPIKGEY LSSINSTQVNCLIGGTIPLYVRAQNAGLLIRKIDKNYHFEAFELSPLNEQVIKTKGRL RRMFPGRVLLVKPEVFEQPDFQATVAHTLSKMGHQAVIGTQPQAKKAGQMLDEDRDTA HPKMVTELFFGFLGAVAEPVDGHPLWKNTREEVLWLDSHRPWRRSPQWLLMRVAMQHT AEAVYKEFMMFFMAYVLEMSHQRPDIDSDLIHIMHAKIIRRLLKLNPTSDCPGLEFVQ VVLQRTSNVLRNRWTIIQKQADPTCNLSELPQLDFITDTIMSLPGLDQYLQMRHKRKH QSNSVAFQSGSKLIKCSAKDLPPDVQSSNPDILPYNVKNVESWVESHLDAWVARELDH NTTCQRLAGLIQSYFAAASRLCQGNPETTSIMLLTILEIWIACDKVACHVCNILQHYD PGIPRELFTSLLLPLKSQMLRLLNAEKYLNDRRRIADEKVPDIFKHFGSVDSFSVRYF QQSSEHRELFEKIVRHATEQRQAKCAELAQKKKLYNDLIKKCDLIVDHESREVLVDSY TDINGERHEIKMPTHPSTCQKCAYKTQADRLRIETHEWPLPENNMNAQSVVFELNVPS WFGYWRDATVFFLIDILQSEYSVSQSPRSRYPLHTYSGLSSFFKQFSGMQRIGMLSED KPSGNTHRREVLVSTSNENAVCVNHGPKFKYHDSTLGCFVDSFCVSDEISKRCTFTLP TRVASLQRFIFRPELLPDGPKPNAVPASQSECPVSMSPAEYTALSTLPLGCRIQWRNI EVELYMPTVDFKKVETTLVILQVIYQAGRLEGNGVLRESHAIVGDTQFATTLLVALEQ ALQRIKENWESSQALCTFISLATRVLSLTASKNLADKYLLYISSIRCVAFKWAMYLKK KASTATDDKQRAEFRLKAVEIALICLTSFNLEGEYLDRTLSVQEDASLFFQCCFLIQE EDYSISSSSDCMVTLLYHRWKMISFRSYHILSESITQGDDAALNDAVKKTWSAFEAGD HWQKVSRDLDYWLVSHTASNSASGSLTVHFNLLTGKLLVDGLPLARLPSKYEQHSTYR SLFGNSTLDIMPTSAPGMQFSGKEEFSGHTVHFNMVPSQDSAGKTVKDFLVRASRQGQ SFELIPSRLLEGEFPSDLVTNFAHWYNVTDNSVEFRPIGDPWTRCLDTCWVLTKSKAG FSSRWRLVKESQDTLLSIKSATARTLSKILAPLEDPTQIHIVLNLGLSPLMINIELPR FQLGFRLQSQTSDIQSKQFRGMIIDTDQSLGTLVGLSNKLVLTQRKRDRRLVLVPEGP VSCQSKAYGSVSHVNVEVEKPLSTRVHAYNVDRMTGKLSDNGSLQSKLYLCYLHSLTS FCLADPLTRSTGTEQALSILDSAAIKSIVQLAPSNIELLGLLGYLTPGRSYYPTNERV MQSIDWSMHVGFMARHGSFHKSVQSIYDQAETYSIFQPESCIERRHQRTVDLHLLERD CIRSSTFRVSGSGAEEHTVKHDQIYTSRDQNQDSEQEHKAFAMAYIIAHRRRYLHKPV RQRLADHLLAILSEARTVYGPSRPLPLSDIRYDGLLLQNHMVFLAQHWMPLHHALRGA RSSIDEFRLMIWLSTLGYSTNADMEALQAVASFYTTPEVGLISAPPIISFQLDAGTDV KPDELLKIFRPALFVLTRCPEGTLKKKPYEKNNQFVNRRQSEFQRNQDKALNALATAL KEQWPCEVPTMPGQEQRKTIDAYMNVEQAVQLAKPRFKVWFENRRYLKYLEDISTVLS VQSVKPVPRPSYVKKVPTPSLQSEQYQRFVSTDTIFSCSAPQLPQLNKTSITGLVAHY PEAKLEVPLLNILLESLEQSTTSNYEENYAKALRGSLGSLQGFENRLHLQLDRGVRKA RLSDYLISCRVHVQQVFDAIICCLASSNNSISMSLAIEVNQWPRLSPIFLLRQLNRKR WSGIPSRWQECIIQYGLAFTELHRAERLLSYADNEMELIRELQNAGHTNWSPFEYPES LLLEVESGIMIREVQEQIAGHMRKPASGANSVMQLNMGEGKSSVIVPIVAAALADGSC LVRVIVAKPQSKQMLQMLVSKLGGLLDRQVYHMPFSRALKLHPEDGKAEAMGKIYRDC TQNGGILLVQPEHLLSFKLMVLECFIADKALIGRSLLRMQEFFDRSSRDLVDESDENF SVKFELVYTMGSQRPIEFSPERWLCIFTVLDMVRQFAFEVKNEFPQSLDVDQRQPGSF PRIRFLRPDAEREIIGRIAQHICEATGMNGLPIVRRSKPDRQAVYRYLTEANLSPEEI ALVEGPGGFWTSSTCNALLLLRGLFACGILSFAFGQKRWRVNYGLDVTRKPGTKLAVP YRAKDNPTARSEFSHPDVVIVLTSLTHYYGGLQDDDLSMAFAHLLRSDQADIEYGVWV RDAPSLPHSFKTLSGINLKDRIQCEEQVYPSLRYSKGAIDYFLARIVFPKEMKEFPHK LSASGWDIGKTRPPGLPLTGFSGTNDSQMVLPLTVGHLDLPEQEHTNALVLKYLLQDE NSVALMKPRFDASISDARALLAMVIAMPEKVQVILDVGAQILELDNLEVAKEWLKMMS NDEDAQAVIFCDDNDELCVLDRHNNIEALQTSSFATQMDVCLVYLDESHTRGTDLRLP TTYRAAVTMGPNLTKDRLVQACMRMRKLGKGQSVVFCVPEEIKARILARASKLSDASI DVSDVLSWAISETFVDTRKSIPLWAAQGQRFEQQSELWAATCTQDGFVMSQSQAESFL EDEMQSLDHRYRPRVRANSVSLMQPSSNQNLNKIAERCKELNNLNFVSATLQEEQERE LAPEIEQERQTQKAAPAEPADHKLHADLMKFVSAGVPVPNSKAYIPAFSSLRTTSAAK GFDVSQFPNDLLVTEDFSKTIQVSSYSTYISDSFQRPVRWVLTSTGPLGGTTIKHMLI ISPFEANLIEPQISGSKMITLHPYAPRLTLGFLPMDRLDSSTCPSRSPSLIVPRKFVV LLNLFAGQLYFSSFEEYTEVCDLLGLAWEKSENGSSVAPDGFILKSGNSSRQSTFKQS PTMFLQVLMTKVRNHCESIEKTHMGKMLNGTLLTRADFEKLEDGLA LY89DRAFT_380366 MTTLSKYRLYLMDLPFEIRLHVYQDVLDASRIHKPRLMIYCGWC YNLTSTCSQVRYEFLSLIVGNRMYHKNVEMFSDWARSSPLAMQKIVQSLGFTFSRAFY KIADKLPRHLAGSCDLHPQLKVILERRHVQSTMLLLHNDSESQLALEEIASFWEALHL FPNVQKIWVHASDLDDYSSNPPTLRYENQANLIMEIISFAFPHVLSYEAHVDLLPLSQ LKRFPELRHLHFSGYSKTSPEDALSILQSLKHLDSITIYQFTEEPPQSLWSAPNLMPL HSQHLVMTPEIIAQLNPLRDVIITSDTDTIHTSRWHVVPLTKLMMEALLAHSQSLRSL KITQRYTNPQLESDVLQILDFAHGSPIPHVYLDLTLPSSLGLSIDPKHFLPRTMGKRM DGRTSPAYLRVGTGKYIPSERELEWRQKTYAPRPGSSMPVQVPGTSIYLPLDSFMEHS EAW LY89DRAFT_576795 MAWDHLAINRPHLVYIILGGFTSLFMLCSSFIKERLYIGEATVA TICGIIFGPHAANLINPGTWGNTDQVTLEFSRIVLVVQCFAVGVELPKYYMEKHWRSV VFLLLPVMTFGWLITSLFIWWMVPALNWLQALTCAACVTATDPVLASSVVGKGKFAKR VPKHLRDVLSAESGCNDGMAFPFIYLALYLIDYRPDADKVAFNWFLITILYECVFGAF YGFMIGYIGRHGIKYAEKHDLVDRESFLVFYFVLALFCAGSGSILGVDDLLVGFAAGV GFSNDGWFTQKTEESHVSNVIDLLINLAYFVYLGTIIPWEQYNNHTIGLTPWRLVVIA IFVILFRRIPIMVALKPIIPDIKTWREALFAGHFGPIGVGAIFVAILARAELETGGAT PLADYPDVNAPNYELVTVIWPITTFLVISSILVHGSSIAVFTLGKHINTLQLTMSYTQ DVDGPGWLNRLPRISSTSRSQAKSYASETDGEEMKMEAFPPGGLPPTGLPREFLRRQK EEDNSAPGSRASSLIPRRRKKKWDDGRGPGGPISQSAIYPQRRSQSAHLSEQTSDTLT PGERSPEGSPLKEKDDDDERKESELGEIQDDPEMHEILHRQSNEEERDQHKSDAERHP RETNVYQEGDDVIIENADGEVVDEKINSRTMEERRESLAKMESNIKDDLEHPMQAYKN LRKKLGVWRHKDEPANEQGEKGKQAHRKRGPALAYQFGNTIIVEDEDGEVVKTYELPT GKQNKEQGEPSTNAVRQGMTRMSTWTGLTKKDDAIKKEKPTDPEQSTRPRMNWGFSKT GATKDEVPKHDDAEDDRHIRFTIGGAGRRLTKEDFLKEIQSLDPKARCEVVAESDASP AMKDLAKKDASRDSPGSSRLFGASDVERASRKGTAKAVGAKMARDRGVDVDPEEDQSE DSDEERAIRRQKAKQEGHHAMANRNARARTISNAGAHPSNDVPESEAERRRREQALKG VDEVTPAQMGRGRSRSRVDEEPESAVEESAAERRRREAALGGEREVDSDDDDGTPRVP PPVARSRGIRFAQSPVRGKR LY89DRAFT_681114 MLYSSSGKIKRASAPKSRNGCQTCKARRVKCDEQHPACKTCLKS NRDCTWKQNKPKSQRILIPQNADQLSQTRPSETLLFIDPREASYFKAYQEDAAPELSG LLRSSVWSYTILQACYQEPFVLRAVVAVGALNKAIKTEYMVSISSPSTKESTQALAQQ HRAFALASYDRAILGMKQIMPEPKKCSSLRKALLACLLVYCMEIFLQSPNTAFYQTQA GYSLLQEWISQKSQRNLGIQSPDSTVIEDDIFYEFARTDLQHAIRWGERDLIRHSTRR QEGTLTIKNMPTRFTDLEEARVYQELLMRRTFHLIGETLARIMLAKTEIRHPFTTDTP GELVDPCSIPKTLLPERDQYISDIHRWYNAFTPIFAKLLRSPDPLISSSAALLRLLLL NAEIRLAGTFFVLETDFDAYLPHFAEIVSLSKHVAAKQTQLYPKNQPAFLFPDTIDRP LCDVALTCRDLPTRHEALSILRSTAHRDPTRNQARMVTRASFLVSLEEADRLPDGSLP ERKRWRLIWVLNHYREEKKHLTLIAARRIGFPVGENYPAKREYARRTFTQEEAEEMEC GAWDEGMHFAAWPPRATLRMPRPLQWRGIFDQLTGNEGRRSRLG LY89DRAFT_729195 MPPKPIPPPLEIPASFAALATNGNSTFQQLLNHSDPSKGTFSQR YWYSFEYWKGPGSPVVLFTPGEEDASGYTGYMDNITITGHFGQAIDGAVIVLEHRYWG DSSPYSYLDTENLQLLNLDQAIHDLVYFAQTVDLPFDTNHSSNAQNAPWVLSGGSYSG ALTAYTEHVSPGTFWAYHASSAPVEAIKDYWQYFYPVQQGMPQNCSSDVTLVIDYIDE ILTTGTDDEIYALKKSFGLEGLEHNDDFASALENGPWQWQSIALYSGYSEFFEFCDAV ETFALQGSNTTAPATGVGLEKALAGYANWVNTSLIPGFCQYYGYTDERDLSCFDTYNA SMSFYTDYTVGNPWNRQWNWFLCNQPLDFWQDGAPRGTPTIVSRLVTAEYWQRQCALQ FPEVNGYTYGSTNPNVNVHSVNKYTEGWRLEDTTRLTWTNGEFDPWRTGSMSSQFRPG GPLNSTAQHPLHLIPGGIHCYDLIMASGLASPAVQEIIDAETAQIVEWVAEYYTQ LY89DRAFT_664864 MVTASTKSVKWRACLLALPTTQAQHNLQEISSHAKFSDDYLINT GKTISSLIQSPGVVRRTTGARVTRYLCLYIVANRENEEATGWDLRLGYINMFLAAIPT ETSLYLVGECEKNRGSKRATLKLRLNHIHFFTRLISAERKLPVLPGIKISSTSSQLAV LQRAMKGIMLDSKSELDNNIFACA LY89DRAFT_575935 MPTAVAKDGVARRSRKPNTPKTTDPVPEPSSSFSAVGNRKASEH GELLDVDPNNGRRKRRKTTPIEQKKGNAKNAEAVVQPANSTKSFGAVSVESGLNTTGS LGAGSYLDTAIQAADALAEPNQVLPKEDLHVLEESSTTSKTDDSKPRKVLRFNPKTGT IGSPPAKKPQAPSAETKKTAGGRGKKPKSRIVTIRYGPGQLLPSTIGQKIDLISNGTK PILPAKTEIPIKLPERTPPKPVKPHPFFSGKVQTKPESPQKPDKHNATVVDLTQPKEC VPLPRTRPSSCSTPLSLAKAPTPFSGLGSSSKITKFPGAVEPAWPGKGMVHVRGLDPD STASKELLSSTPSLISTGKKSKYQAIRILEGEDILANLATDLTISNVLQSLRDIDPTE FPPVPDCLRLPVKHLEPGFAVQKRIRKQLRTRFPAPRAVAQSSSEDEIQLNNDTRPRI HPALLKTYELVSTSLSAFDKGHCETQPWTNKYSPKLACDVLQSGRDVSILKDWLQSLT VKAVEAGLGNGASSSRRPALKLESGTKRKRKSKKLDGFVVSSGEEADDMDEISEQEDV ILTSGQGPQRRTIVRTGDLNRDSGRSTNAIVISGPQGCGKTAAVYAVAKELGFEVFEL NPGSKRTGKDILDKVGDMARNHQVQRLPGLAQVDGAADEDRRRVDEALANDLKSGRQG TMNCFFKTQPSLKPETKAHSTAPAPKTSTQEVQTKLDGAKPKAAAKTQRQSLILIEEA DILYKEDTQFWPTILELITTSKRPIVLTCNDESALPMETLPLHAIIRMNPPPVDLAVD YMLLVAACEGHVLERESVQTLYESRNMDLRASLTDLDFWCQFAIGDQKNGLNWYISRW PKGTDIDEDGNMIRVVSEKTYQVGMGWLSQDVLESQMYHLDREEEALHEACDGWDLDV GNWQESLNMGSWAKNMQEQSSNIVQSRASLRAYDEFLEALSSSDLCSGGLFASENQLV IDPSVPEITTKAREDYTLASKLLDAPAIINYTTLSKDISLWMKSRSRNYLYLEQHVKM ALEVPVELSRPDEAQIIQLIRRQASTSDPSLHRRDFSATFDPISEPEKTTLYGPGQLE ASVFDRTMNIIAQDMAPYVRSIVYFDARLQAERAKLSNLLSEGGGRKGKRMRTTRAAM SALEGGARSTTRREKYFGSLLNPHLVMKTGMQSWMDAALMEMSASASRRSSKGSLDIS KMESDKDELLDDQ LY89DRAFT_778082 MSSRFSIQRPHVIASLPQPIDSTNGCNVVGEVISGGVGTKKRKR SELAVGTDGEGLSLYDISSSKLITSYALPPQSSFTCPPVSVRSKISKNTIERRTYVST RTSNAELTLFRETISGLSTESSTINHSLTSSSSQVVFLGTIGASKALEATAATVDVLS VHEDGEIRCFDGDSLQERWVSPSTALFREAPLHPKETKVEFAQLTNAHTASQGILKGR QDVFALYPQEISVSGFNPAILIVITKSTQEAAAIRTLHVLSLPRRAAIHTNGLQQSVD SLLAAEIPSAPGTENIGGPPTFSLQAASGVLQQLRNETLTTFDLSDTLPKEQNRLKIA GGQSFLRLSSTSVLVSSPHSMTVYNPKYQSTLATVELDAGGSSESRKRKRESMDQSST VQRDQCKLVGYFPRLAVAIAILGNKLVGLQVEGHQDRQGRLQTAGLLIDSIGRSFNGH MRPTDNPGVTKINNITTLGHFLPTGYDIPWADQTSELETLFRKGECDEALFDSKIASH LGMDGTGEPELGQTNGSSSPTEETHNPVPNTNISTKERLSPSDIDRRWVVYALSKMFK FVEDDAGESRLSLSFYPPDTFMWLLTNGFMTIANIEAGLRMSNSAFKSLPDGCLVDMI VDTDRDMDLLLVLVAKNYLGAAELLHVIRHLMESLGLLGENPNTKQGLLENGEDFEIP NGDVEKQFELLEAEMENDLELAEYRLGAGSGTRGHALSQALSKLYARPSTDIVRALQT TFSSQEIVCLIYLLRFELARGAWTTKYTETTEFDAADEETAQQDNAIILLSGLLNNCV DAIGAGGWLSGEARLVDGDPFEAEELISSLKLEISAALEGIEEAVYLKGLTSEMIRYG DAVEAALPNSIQPTHVPVILPPTSENTNFLPVGLKVEKPIALSKVGVGGAVHQRTKRD IGYLKSQKVGKYSRERIRV LY89DRAFT_608105 MSFGTSPADVDTVVAFCRALYRKCRDAGGDYDEISHEVRGLHTV LKHLKYEVEAPDSPVNDERSIWARQLAPIVGDCDSTLHELDDLVQEYTRLSTSPSASR GDIDRRGSNLDTLGLIRVKLLSHKSNLTKFLDNIQLPQTGEEPADLDNHDGHLDAILD KVDVIAARIQSQNGRTSTKNDDGREVWEQFRRELIAEGFSSEVLEHHKDVLRAYIREI DQQGLLDEVPSTSQPVAAPSIGAERWLDSIKAVRLNDKPPTFSSLDLTIEDASAKAMV MREENMKFPQSMKFDLQQPESRRNGELELKQFASSSGHERTRGSSHRLPTQVPRLITS NENDEKDVQFFNTDGSSDEDSSNDSRRRRKNFQLSEVIKTTELIAYSQALQLHPHSPS SFRSTQDYFDEDINARSLPYQPKKQIEYGTSPVQPGAIPIPQPNPRTSNSSFGTSPRP FRLAPDEHGNEIPANAKWTKINRRLVSPEVLDQDHRRYEARPEFVAVLGVLSRAEIES LAERSRILRDARHRRSLPTQAPPPPHPPRPMPVPGTIPAQHSSSRHRSGRDTPSSSSD SESSDSDHLRRRKDKSRRGYAGSNIGAPVSGYPNPYGQPLPSPVRSPGGRDSWKDSRG DYSGSKDHDRDRDRDRDTSRDKHRDGSRHRRHRDHAKSSDRRDRDRADREKYQKSSRW KENLTAAGIGGAAVSLLNVLTEAAGEL LY89DRAFT_681119 MLQKLAATSHILGLRLSTVMTGNISQVDWSCKRFVIQDRHDELT RPPRQGSKPPPTQLQPSPRAGLSSSLKTFPSQDEGYPSCHVQIFHFPVTRRYDSDVGQ RRSFDRICHKQQQDPHLKAALRCTPNAEQYVPHWHTSPTMRGPTSHAAAVSQRMRVPK HQWWKLKTSRAALIPRTTLNPSCFSNVSPFLAYPDLV LY89DRAFT_608108 MTVPDEVDIIVCGGGSCGCVVAGRLANLDHNLQVLLIEGGESNL NNPWVFRPGIYPRNMKLDSKTASFYYSRPSEWLGGRKAVVPCAHILGGGSSINFMMYT RASASDYDDFQAKGWTTKELIPLMKKHETYQRASNNRDIHGFEGPIKVSFGNYTYPIM QDFLRASESQGIPTTDDLQDLVTGHGAEHWLKWINRDTGRRSDSAHAYIHSTRSVYQN LHLQCNTKVDKVIIENGVAVGVRTVPTKPLHPSQQSRTFRARKQIIVSGGTLSSPLIL QRSGIGDPKKLQRAGVKPIVNLPGVGLNFQDHYLTFSVYRAKPDTESFDDFVRGVPEV QKAVFDEWNLKGTGPLATNGIEAGVKVRPTEEELAEMEKWPTPHFKSGWDSYFKDKPD KPVMHYSVIAGWFGDHMLMPAGKFFTMFHFLEYPFSRGETHIVSPDPYEAPDFDAGFM NDERDMAPMVWGYIKSRETARRMEAYAGEVQAMHPFYAFDSPARAKDMDLATTKAYAL PGNLTAGIQHGSWSMPVESGKPPKPSMLNSNCQHIYEDLKYSNEDIKHVEEWVKRHVE TTWHSLGTCSMAPKEGNSIVKHGVLDERLNVHGVKNLKVADLSICPDNVGCNTYSTAL LIGEKCAVLTAEDLGYSGKALEMKVPNYHAPGELSGIASARL LY89DRAFT_577056 MAAPQDPEPPAVSEAVFSVPYTRSPNFVGRFSALSQLFGMWKPG ENGRIGVVGLGGIGKTELVIEFVYRVRELSPATPIFWLRTEELQDGGIPKAESNSQTL MVIDPGDRFDILLEGVPGDRLVDRLKSFTGTIVLLARSAQHGRELVAARDLCEIGDLE LEASVDLFRANLGIDAHLVSTESQIQEVAKLITCSPRAIIQVAKVINCTGMNLSQFLD LYQRGDPFKLRLFSRIDPFSYPDFDSSVIGRGVFDVKMFRSTYRHFNRFLYQLFFLGG ASVPKAIFSSYDPLDMVIILFLIKGHFLVVEDASNQTYTIHPLVYLTIRNAIGFERSD SEGADILEERQWYEDVVLAFSDHYPDAKSDSRAWWKDCFAHLIGGYDLHNDALRIAVA TIYQRESAFFKRKGMYTEALKMAVLARNVLPNPMPTQQLSIIQDQVTLLSLLARYRDM HQVLQGYSADQGPQSSIWKKRMLAKLEQIDCANQYESAVDSFQQILLASEGSNVPKAD LFLSMDDVGQALMHKGRYRDAAVVCRKALAERKDTLGSSYPDTLSSCHNLARILKRDG KLEEALRYIQGALLGRESIYGPDHPETVFSKVVKASILIAKAISTADFDEAETLLLNC VDRLTARLSVTHPLVLSCKSEIALIMLGRGNYENAELMNRTTMTVREEGPWLEPMTHP DTLTSKHQLAEVLRLKEGCKAADLLSERVLTERTAVLTKGTMSGDDFHPDQLASLHHR AIVLSGLGQHTEALEKIDLALTGQKTILGDDHPDVSLSMTWKGEILRAQLPKRDPERG ENLDAIESMHKQALERLTWVFGPEHQSTLQCATNLALLKHERGGSARAEAEHLHRQIY KAYQRSVGGLHPETLKSKARLAEAMSASPLADPEEAKKLWRESCAGFGKAYGVDAYVT AKAYKEYEKSLRMHLDQ LY89DRAFT_729201 MSPAPVTFSAPPPPPLAATSGVWVLISSSSTIPTSIAAITPSPE KKDGSPYYVVITVTNGDGTYITTVLLGDSYPTTFSALSSSSSGGNSNHGGIPTSSIVG IAVGLVLGFLAFFAVMYVYYLRLRQARERRRRRRGGRRKGGSVGGESRGGGPGPPPPP PPPGGLAPANP LY89DRAFT_576915 MEDKANNNAEPHGLATEVDVEKANAPPLSRVQTEDEYPSGIKVF IIMMAAFLSMFLVALDRTILGTAIPKITDQFHSIDDVGWYASAYLLTSCAFQLIYGRI YTFYSPKWVLLCAIGLFELGSTVCGAAPTSKAFIVGRAIAGLGSAGILSGVINIMVIT IPLHKRPMYQAVFGAVFGLASVAGPLLGGVFTTKVSWRWCFYINLPIGGVVVAVIVLM LKDKPSTNTDTLKQQLWKLDPFGSLVFLPGIICLLLALQWGGTTYPWSNARVIVLFII SGILLSLFVFIQFRMGDNATVPIHIIKQRSVASGAYFSVMSPGSMMVMIYYLPLWFQA IKNVTAVHSGIDTLPLVMSLVVASMSAGAITAKTGYYVGQLIASSIIMSVGAGLLTTL QVDTGHAKWIAYQFLYGFGLGLGMQQAGMAAQTCLNKKDVMTGVSLMFFMQGLGGSVF ISISQTIFTHGLIKYLGDSTGISPNIIVNTGATDLRNLVPAADLGTVLVAYNKALSDT FKVGLACACVTIVAGFTMEWKSVKGLKHGGQQSPPADNNIPLEDQKAPAPNTDEGHVT DTETAVGKETPPTTLNDTPPTTAVEPVRE LY89DRAFT_380662 MLSTTSGQQTDAGPLPPPPAYKITRGHSCILCQQRKVRCDRQKP CANCIKARAECVPSAPTLPRRRRRKLAETDIAGRLKRAEQLLRSHGVKIDDEEADEVH EEMPYSGRPEQDRTLALAGPRRRDHSHGALFKDKENSHYVESTLWENLRDEIQDPKDA LQGSSDSDETNETGLYPHPEALLVGFGSQSADLSTLHPLAVQIFRLWQTFLVNVNPLV KMFHAPTIQQLILDASGNLENIPRHTEALIMFGASRPQLLAKYSHGTQQALINARFLK SLNILTLQSLVLYLLGVRKAYDPHSLWILTGVCVRISQRLGLHRDGSNHKISPFDAEI RRRTWWQIVFLDGHSSKLAGAGFPAWLAKFDTKIPLNISDSDMSPTMKEPPKEKEGAT EMLFCCLRYETAQALRSARKSKGKDGGWHIAPGPEVIPEKDKAIDELEIRFEEKFIRF CDPSIPLHLLAIYIAKSVICTMRIMAHHPRQYPDKGASMPQKEKDMLFSESLKELEYD SLGHTTKSIQGFLWHITSHFQFDAFIYIISELRHRTDGDLVDRAWQQVIFSYEHRPEM ITDTKNALYVAIGNLTLKAWQKREDTATGTYQVPPPRFISQLRAQRNIPDPPLPRNAT PPERQDHIARQNLITTFSNNVPQNMTYNNTAPDGWSHVDYGFDVNMNMTEITPVDWEY WQTLMDGDLPPYTGGGSGGGGADNNGQWFS LY89DRAFT_729204 MPPFPITRLPDAQRLQLDLRQNQDVVTLTLPRISTTITTIVTLG GSNPTPTPNPNPITTSPNPQSTSPVISGGGGGGTSNSATIGAILGSLIGFLVIMLLLF CCCSNNATFSWPWFAFWRRGGSESSGDSYYSYDDVSEVRVRGGGGERKMAFGVTRPGK VRVGRRRGDAVRVKRTIDERDRGSEKGGGLKGGGGREWGERELGHERDGGLKGGNRGE NARNANIRDGGVRGSERDGGLKGGGGGRREWGEKESVRKGSIRGGPTRGGVSERSYRR RSVERRRDGCWGRFLRGNARRERVVERDRHSWAGKREREFDDELEYVDD LY89DRAFT_637576 MGKKRVGALEKVDADLANLQYKIRRDPKSYTEDFLNQYGQYQSQ RDIFLASPSTATSTGIVSFRDLIDFVAHVADYFPADTASFPSDLKTILTLHHAELESE LREKIVGSLVLLRRKEVIDSAELLNTLFPILVQTPSKTLRTLLFQKILSDLRTSNSKS INHKLNKTIQTALFNLLTSDRASAKGIWAVKITRELWKRQIWTDSKAVEIMKEAALAD NEKVIGGGVRFFLGGDKEREELEDESSDDDAPDVGKMKHQIGINKKSRKSKQKLEEAV KKVKKTEKKKQQPHPLNFSALHLLHDPQGFAETLFSKHLQNSKSKLNLEQKLLVLQLV SRLVGLHKLTVISLYSYFIKYLTPRQPSVTSFLASLAQATHNLVPPDALEPLVQKIAN EFVSEASAAEVASAGLNAIREICVRQPLAMNDTLLQDLVMYRKSKDKGTMTAAKGLLS LYREVGADLLKKRDRGKTATMGIKAGSIKERRFGEEEVGGIEGLELLEQWKADERKRK RVEKGLPEELGPDEVDEDSEDEEQKGWENWDAESDASDDSGGWINVDSDDEINISDSD DDKPAAKKVKLDTLAGDEEKENTDKDQVLEQKISKLATTKILTPADLAKLQELRLEAS LSKAVGKPSSRAAKLKALMDKHADDGLTAEDIELAAKLRKTTKEERVALAREGKGERG EHKSTQALRRAKKDSEGKSTTNREKARKKNFLMTLGKAKGKGKRSLKQVSGTLKAHVE RSKRGGKRGNVGQ LY89DRAFT_704540 MSQTHSPPASTHDSYYDLGSYHRRITSSSQDAQVWFDRGLIWTY AFNHEEAAKCFEHAITIDSSCAMAYWGLAYSTGPNYNKPWEVFDEDEIVTSVNRTHRA VMQAKEHAANASPVERALVDALQFRYPKEWPAKDFSMWNQQYAEAMESVYKEFQDDLD VATLYSDALMNLTPWQLWDLRSGKPAAGARTMEAKAVLDRALATEEGLKHPGLLHLFI HLMEMSGTPERALTVADHLRGLVPDSGHLNHMPTHLDILCGDYRRAIASNSDAIRVDE KFLAQEGPLNFYTLYRSHDYHFRIYAAMFSGQFKIALETVEQLERSIPEGLLRVKSPP MADWLEGFLSTRIHVLVRFGRWQDLVDLELPNDQDLYCVTTAMVHYGKGVAFSAMNKM TEAEEQRNLFEKSLKRVPSSRTLFNNTCTDILNIAAAMLSGEMAYRVGKDFDLAFNQL ERAIYLDDNLPYDEPWGWMQPTRHAHGALLLEQGRVEEAVKVYAADLGFVDTLPRALR HPNNVWALHGYYECLVRLGRMAEARIVEPQLRIAEAVADVPIKASCFCRLKTAT LY89DRAFT_380683 MTRTFGSFAIDTLKLFLIHWEAFEPHYRLKNKPTDWLAYAMFPL FWTTFRSITYLFFHAATCPVRKEYGWMIAKRDILQ LY89DRAFT_778091 MALEPPWKAIAARKQAERLSRIPKSWLLSSLPPSSTLDVRSIPR SSGILTPLELGITENNDATSLSAAIRARKYTAVQVTTAFCKRAVIAQQVCNCLTEILF SGALERARYLDSYYEKTGKTVGPLHGVPISLKDTFKIKGYDSSIGIASLAFKPATENS LLVDILLEAGAVLYCKTNVPQTLMALDSDNNVFGRVLNPRNRKVTAGGSSGGEGALVA MRGSVLGVGTDVGGSIRIPAMCNGLYGIKPGSMRVPYVGQENGTREGASKIGLMASAG PIAGSMRDCELLLRTVSNARPWERDPSLAYGLWEDQGGIERKPLLGVIRTDGLITPLP PVAKVLDETVQKLRKSGVEVIEIDAPEFKKCQSLANSFFGIDGANHVFDLLEATKEPL TGWLSTRLRRKTPMGLDKLVEIHAKKVQLETEMLKLWKDHKTGRTIDAFICPVAPHPV PPIDRWNGVSYTSSFVLLDYPAGTLPIRDFKEEDLRGELDNSKPLGSWDKINRELWDA KTIDRNVYLGTKLSVQVVAPKMQERRLVQAMSLIDEVLQNDRSRQAKL LY89DRAFT_778092 MSSIQKVAVLGASGNLGQIIVPALLKAGFDVTVISRPGGRGYTQ ANNDSTDAKAIKVGEAAYDDLQSLTTALRQHDALIEVFNPAATTNDHIIMRAALAAGV KQLITNEFGFDTFHPNAGGLPISASKIEAQRALEEELLKAAADGKPAPLAWTAIITNT WYDFAIRAGFFWLNPTERTITRFGSGNQRVSISRAALSGEAVVAVLHQPERFRNRPVY VASYSVTTNELIALAKEISGESDRLWKVTDVPDLVNFKEQGLALWNEDSKGRKSGVDW RHSQAFMMLSTLQLFDEDNQFGADFSDKLEPGWGESREEFKAHLQQLIEAVGK LY89DRAFT_380697 MVNAPIHVAVTAQSVCQSCRIGKQRCDKSLPSCSRCASKRLHCT YVGTVTPPLLLRRPCCLDLSDHIARDLLEAARADHSSSNLSKLISSVFQSAQVTVESL IDDYFFTIHTWLPIIDEEHFRSRLRFWPQTNDRPLATLVWSLLLVTRWPCVDGKHSMN NSLYQTTRQLFVVQISGAVTLELLQAGLLITYYACGHGLPREAYVTLTTCVTIAQLIG FDFAEMSDNSLDQSEHSTCRWAVVLLDRMIALSSLTDPLPSVLPPHFGPHTDCLFSFL DSNEQKRSFDVSSRAALHLGIALSYANDPRPVRLAGSTYSDTYCRTERLVRDLLCAPR TAPDSYTYCEGTSFAVSALLALQTASPSGEESQKNSKEVLLLQSTLRMIRENLRMSTY ILQEKSTNGLSVLALCALSRVAVIFVRLYISELTSQDFEMMRSNLERFATRWAVCRRY LEYIDEIVAC LY89DRAFT_608133 MSLSSFVNPLAVALIPPFATNTTVLFEVLTEATLIRPLPDCDQD PLKNLTICNTSLSPSERATSLVKLLTLDEKIDASIFYVPAVQRLGIPPYTLWNEALHG LGTSTGVDFASNGSYSVASNFPGPLLMAAAFDDNLIEQVATAISIEARAFGNVGRAGL DYWAPNVNPFRDPRWGRGQETPGEDAFRVSGYTKAFVTGLEGPSSSKFKRGIATCKHL AAYDLENYRNVTRFTFDAQVSIQDLADYYTPPFQACARDAKAGSIMCSYNSVNGIPTC LDPYLLQTVLREHWDWDAADHYVTTDCFALDVAFDSHNYTSTPEQTAADALKAGTDTD CGIFFSSYLPKALSDGLVAEKDLDRALTRVYAALIKLGYYDPPETQPYRNISYQDVNT PATQNLARQAATSGMTLLKNLNKTLPLFTPSAGNSTLSIALVGEWSNATTEMLGGYAG APPFIHSPLYGLQQVSGISVNVVVGVLDSAPVLAAAESSDIILYVGGIDNTIEAEGLD RVNITWNATQTSLITLLAKLGKPLIIAQSGGGQLDDTDFLANPNISSILWIGYPGEDG GVALADVLFGNVAPAGRLPVTMYPASYESVPPTDMSLRPNEPLNPGRTYKWFDGAVLP FGYGLHYTNFSATASLTFPSSQDTGDIIRRANNTPYIDQFNLGDLVINVQNVGDVTSD YAALAFVSGEYGPLPRPKRELVAYSRLVGIEPRCTKTTGLPLKLGALTRWDASGRRVL YPGVYRLVVDTEPELAVVEFEITGSEVVIEQFPTA LY89DRAFT_380729 MLPRLQFRCRALLSFLLVCLCIYLFDPYDNPAILFLRWHGGKVR YHTKSVFLPSGYPQHTRDVLCNITSDDIGLIIKTGYATKSRLESKLRVIDGRWKNENV AIASDYGSYGEMRMNDQAVQVHDVLAGLIEEVEDQSPVRVRLYKKFKKAIKYQSSMPQ EDILKSGWELDIMKHIPALELGLEQLPDKKWYLMIDDDTYIHIPSIISILSTLSPSKL HYLGKALGSYTLRFAHGGSGVVFSHAALAHIFQPKHVPLLDQLKLKSLTSEVELGDKL IGELAMGTGIYLNEEYSTHFNGESPWRSKVTKESVCSEVVGFHGLEVEQMGKVKEGLS AVQSVVRWCDLGGLFGGGEFEIENNKTRDGALTAVRQGWDFVGQVGEQPIILEGGDAK DCEKTCAEREECLSWKSEAQKCWAVPWATGGEKTGDKIVSGLRYERLNHLIQSCVP LY89DRAFT_637592 MSLNGGGGAEVVLADTTTAASPWANATAGQVLLNAGNNTVTFID DWGWYFIDCIYVTPSPPPQPHKVSKKLVAPNPLPVTQALFETLLNKYGSGEIFSGQAD PTGVTWLEQNVGKTPAIIGLDMIDYSPTRVEYGANSTAVEDAIEFDARGGMVAFQWHW NAPADLINNATVPWWDGIYTYGTTFNLTAALANPNGTDYGLLLHDVDAIAVELLRLQA ANIPIIWRPLHEADGGWFWWGAWGPESCKTLYRLMFDRYTNYHGLHNLIWLWNSVTPS WYPGADVVDILGYDSYPAVGDHGPVDAQYQDLIALGLDEKMVTLPEVGNIPDPTLLKL YHADWSYFVTWDQDYITTDTYNNLTFKKAVYDDPTVLKLTDLGDWKGTATVSHTASIP STTVTQTLSSSSSDMLSSTVASASKSISSSATLS LY89DRAFT_664879 MPIQDTGRVAVLSIVLDHRKGYSPQLAERDQCLSPSATNNHINQ GARLLQPNTFSDIFAMIAVIGVMILFWLSASLAARNQRKRMIRRLVDVHPKDRSAEIK RLADAERIVSVYQESNYETYSDVVDEKEKLASVGP LY89DRAFT_714768 MFSISLSLSLAILASTVTFSSAGKTADPNGFTYTSCQSAYDFLE LSPLFIQVDNSTSMTVELCTSQCSSSYSFAALYGSQCLCGQSFTAFAPSSQDIQCNTP CPGNPAETCGGYQNDVARGSEWWSLYEKTESPVTATSTMTVVLALNPSYTSTLYSTVT DTVVSCGVEVESCPGRTTTSSLPGSTTVVWTTSSIPVSVAVSSSGNSTICASSTIAGA STTMSAVVETTSATTQWNGNATVWATSVVITGTPSLVPFTGAGSTVGVGTFGVVISFV CVSLALVLL LY89DRAFT_380751 MSPATQQSTSMSHSNSQASCHDPLDSLVDFSEYDKMSYQSPSIS PSTSKSQFTGRAVSNTPSTLPSSQSDLSGPSHNYGMYRQQTGIPQGAVASTLAVNQQM GQYNFSESYLTGFGANDDFVDFGTAPSRNGSFDPSVDMDFDSPKPEPAFFYPEQSPEF VNPSAIGGGNLSVPSAVLPTQSSNVGRLWPGMHQQQAALAKAQAQQKQQQQIIQQQRQ NAMGAQQRQQQAQRPRGSHQPTDPIVEEKISQLLNSMRQSSVATDGDDGSAGANHMAH VQRMRKEEEDMDEDERLLASEEGKKLSSKERRQLRNKVSARAFRSRRKEYISQLEGEI ATKVNENQDLRSQNRALMEENTRLSDLTRMLLSSPSFSGFLDTLASNPAAAQTAQGVV QQPQAQPQQQQQQQLRKDINPYAAQSQMQQQQIGMAMIPEQSMDFSMLDLGNDGFPAY QPQVFSVLSIPEAVIDTESSRRSSTRNSMPIPHSLSSLPPLLPSPPRHQPQLQSTSPS SPTYPSSPLHTNSSSWTIPRPKLPCVAWID LY89DRAFT_380755 MIRFPQASVRVRVQLIQVRLIQHARKVSDVGGTWWTCCRLRYWC DNLWRSSVVIPPCRHATKGADEVDLKKECEGEKSGGHPCAVITGQKQIDLQRRAEWIW VSGRTSLSTPTKDDQWLLLSILDSAGSDQWSSSGKAISRIGPDIQIFEISVSSTEVRN GETSNEEPSIYHSVCPHTRAAKLTIATDSDRRSSCSNTTDGKQCRGIE LY89DRAFT_380797 MVNHKLQERIDVHCHALPARYRQHLLDNGHQHVDGMPAIPIWDP KDHIRIMKERNITKTILSISSPGTNLTPKSSLEAAATTRQANEDIAAVCAEYPEQFFF FASLPLPLVSESLLEIDHALDTLGAVGFCLVSNAHGLYLGDPSFTPILQKLNDRKAII FIHPTTCNLLLPSPSAQSQAPSAQPATDVLPVTVLPYPRPMMEFMFDETRVVANILLS GLLDMYPDITFIMSHCGCALPPIIDRIGAFDSILYSRPDSSQRFKDLLRTRFYFDLAG KPFPDQIWGLVRMLGGGGEGMGIGEAELEEVSKRLVYGSDFPFTPEPAVVKLQGLMDE GLGKIFGEERKKEIYVGNAKRLFGL LY89DRAFT_729216 MYLSIANICVPTFSVLAAADPLEVPLPPPLPQAPSKTNPNPPQI RQASTTSLSPSSSIDSAAISSEASSLLSASSVSSSLSSSSSTHSSYTAATATATALTG STGGGDTQGPSSGSGAAGLVVQWGVMGMLLGAVVLGVGLL LY89DRAFT_381320 MQFFTFRDLKAATVRCFAVIVVRCLVVQVGKAYIMIKIKICVVH RKGLWFEEHVSSIVFQNLQAMMMMNTCASELPTFKCSRTHHPNNDRSQDPKVPKHPST DLNRTINITSLPANTYPPPHPTSPLSCLTKLYCLTCQPPCCCCTNSWTKPTYHY LY89DRAFT_381470 MAGPIQNTYSRSPAPSTKSYDSSSVSSAASPRPQGVYEGGLMGA SPRPLSGPHPQHMNMQTGLPMGHSSFQNPYGSATTSPGPPGMDSMASTGSSSGTPGAT GGQMSAANMQAQKRAYRQRRKDPSCDACRERKVKCDATETTSCSECSSRNVKCQFTKE TNRRMSSIKQVQDLEKQIAQVKRENSHLRSMLSMREGQMDVDTEGPQQIALQLPEIGS HPKRRQRPPPPQDLSRVRSNIRNFGRGIFKPPAPYRQIGSQAHFNPPRPDLPPKHLAD HLLSSYYASVHIIIPILHWPTFEQEYEAVYKAGSLHGVPPVWSSLFFSVLAVGTLFSS EPSVQRPHKGKEYIETSRVLIDTWNDEFVIDHARSAILVSIFLTEMNLKSAAWTWLAS AVRISQDIGLHNETGPWPMIEGEMRRRVWWGIYVWDRHMSLELGRPLLIEDADCDVSL PAAIDDHYIHDAGMLVPNGVAPLTNFILPIIHVVRAVSQLIKTLKSPVISPSTLQTFD THFNACMASFPPSCQISAHEPLDPRMLAPVFHLMNARLILHRHNLTTSCPPEIRSNAI EQCIRASLDSTNLLARAMTASNSSNPSTPPFGPTANSMTCTHIWRCTLFLLFGGHFDA ALTCIRASSSIAALRDVNVACGRNLAFFLGVLIEKRRAGGLIGSTGYRGEREMDEELI AYVSGDLQASTENSWVWTGSETGMNIGGVSSGSSGGPKIGATLLGDGREGTTILTDAE ARDWGGWERVEYFVGVLAREQGGYAQPVHSNVHSRYGSGSSGGSAGGLAPDRGQERNR GNERMSITNII LY89DRAFT_681135 MAGHEITRYFICAIRARLNSRQTPVSDGRGLESTSPDFPRPTSP SPIQGVAPGPEKSGDDTHACLTYSITTYSG LY89DRAFT_664886 MTRRAQRLFSYRPEGTWQIAQRQLVYGLPSAMEPARRWFLRSFF GTVVFHQAVRKVRRRHGEDPPAGFSLSLAFFPAWPYNPSSTAKAQQHQHFGLGTVGGP CEAPGMACLALGVAGRITEQEVTREVEKLASWKFDGAQCAAEQIHGPPGKSQHFSTRF STHPALANVTKLTLGHFPRWWADEISREGPEEPCQQGIAVPAWQDGRSAPEWNASMMM ISRTEFERCVSLVSSSGNGTELDLARRCSGFEVQQRRIENITLPNFHVVLAHVEAQYQ YV LY89DRAFT_381339 MCKSAHHQFLHDMPKCEHHLHIEGSLSPTLLSSLAAKNSISLPD SSVDPSFASPTALEQRYENFTSLDDFLHYYFIGMSVLLHASDFESLAYEYFTRAHADG VHHAEIFFDPQAHTSRGVSYGVVVEGLVAAQKKAEKNFGISSKLILCFLRHLPASHAG ETYTEAEALGHFSDGTVVGIGLDSSEVGFPPEIFREVYDAAGKTTLRRTAHAGEEGDH TYISRALDLCNTERIDHGIRLVDNEELLKRVASEKILVTMCPLSNVRLRCVTKVADLP VRKFLDEGVRFSINSDDPAYFGGYILDNYCAVQEAFKLSLVDWRFIAVAAIEGSWCDE ERKKTLLAKVDDWAEKHKSMA LY89DRAFT_767874 MEPRPTAGSSLAERRRRTSFQLPEFHAVNRSWTEFSANVQQHVA AGTPTKLPDNVNSPVSTPDAFKHLQQHRKYLSGDHSTKESDIEQYIRSSRTQRTLNGN QSSRGIGHFLLSSGSPPLFSGNQNSHNIGHHLRSSGTQPLFNGGQSSHNIVPYSAKAT SMPTMSNLRARWEMQGTLDSTPESNMSDLTSTTQPRLEANHTQEDSVIMDQPPAKRRR TTKASEKKPPKPKAEKNPKPPKTPKAPTPKTPKTPKTPKTPTNPTQTKMKKAKTSKVD VHEDDGAIGEISGNMQGQDVAAEAGSNVPSTSRNGGLNQEIYAALNSQYQDEMGDDGL ADDGPSLLNLLSYSKTGELQYTYKTDDPELMLREYQRMNTEVASQHANMPSLQGSHDQ SDLLPPIPDVCFPQPSFNYQVSSSPMQGNNSYEQQSFKEGTLTPQMQGNSVCPKQNNG TGHQTNHGNGQLRTPQMSQNFNQSPRHYASMIIASSMPRSTNNNSSFNSPSMEQPGPS TFDPSVFFDHSNLRSMNTYFSPNTGPFNKYPPNYNMDHMIGYSNQVNIAPMNDYTAID NGFQMAPGNARPSLAPGSVTASNGNFMRNQQARLATNYSNLDRAHQIGGRPRPRQNHN LANPDNMNRMIGLPPSAPMPGNLGNGNQMHNGVYQQRSTSAFDDMGNSTHMTGDMQTR PSATSENMEDTKQMNDSLLQRLATAFETNINQSGSIQQEPTSELAATNFDMTILPESG LDNDPFGDAAALRSLGLDPDAFIMQDGIPDFLLNPTMTSLDATVVPTHNVAPSPSVAG FDITIKPGDSLEVQTLKRMLAEALGSNNHNYQPQDFTVPQQDSTQSADHPTQQFTLPI SDNKLLEELLNTTSNLQELNQITPLDLTGFVTPGMPDPFFDEFRAAGIEFDETLASTL EPPGSLN LY89DRAFT_576402 MASPATSTGSSKVDAVVQKVAANTPEKLSGVALYSRFALAGAIC CSVTHGALTPVDVVKTRIQLDPVTYNRGLIGGFRQVIAKEGAGALLTGFGPTAAGYFL QGAFKFGGYELFKQQSINILGYETASNNRTAVYLASAAIAEFFADVALCPLEATRIRL VSEPTYANGLVGGFSKMLKNEGVGAFYAGFGPILFKQVPYTMAKFVVYEKVAEFIYSK VDKKTTSDAMQTTINLGSGLVAGMAAAIVSQPADTMLSKINKTKGLPGEGTTSRLIKI AKELGLRGSYAGIGARLFMVGTLTAGQFAIYGDVKKALGATGGVEISKS LY89DRAFT_576011 MAKLNLAPAGADDSFTTTVYGSRFAAEDLPKHEMPESEMPKEVA YRMIKDDLSLDGNPMLNLASFVTTFMEKEVEDLMTESFSKNFIDYEEYPQSADIQNRC VSMIGRLFNAPVNSEGAAAVGTSTVGSSEAIMLGVLAMKKRWQNKRKAEGKPFDKPNI VMSSAVQVCWEKAARYFEVEEKYVYCTPDRYVIDPEETVNLVDENTIGICVILGTTYT GEYEDAKAVNDLLIKKNIDTVIHIDAASGGFVAPFVVPELEWDFRLEKVVSINTSGHK YGLVYPGVGWVIWRAPEYLPKELVFNINYLGADQASFTLNFSKGASQVIGQYYQLIRL GKHGYRSIMTNLTRTADYLSESLQQLGFLIMSKKSGDGLPLVAFRLDPKADKHYDEFA LAHQLRQRSWVVPAYTMAPHTEDLKMLRVVVREDFSKSRCDQLLCDIKLCMQVLDDMD KEAIKKNEEYIHKHATHSGKSTHNHPHYRNEKHSLQGKSGKTHAVC LY89DRAFT_714778 MGNVQLTRAPTPKEAPLIRPPSPKDIPDGLKSFTSFTKLPIELQ ANIFSLALENHEIIPRLIKTIFDKTTRSYSYSFAMPPLMITCHLSRQISKDVYPSLLP NSTCPIYFNPATDFLYCTSTGDLPYAMNEHEVEPVMSFLESSPIVAKIRFLVLDSSYW TSHISDMFSQRDFASMPELQLFTGIEELFLVAPSLEQELANKRRAYGRIMTNNVEFHQ QRLDRACAAVIKSDVESPLSIVPGFRVYSIGTPCFNRRWELERAFGITTLELFGGGWS KTSNSGLFRAGKLIPRYNEIRALLK LY89DRAFT_714779 MPSITSIVKHILLLSPLATTSQAFTVSIEQPNGVYIYDGSTNTH IPVSVPDNLTSIQPESGVIIETYKLARRGDSLISCGHTVLADYVSAKTAFLSQCNGQM LSSKSNLYAVHGDAMFFRCAYSEGTCLASDASAWFGAVDTQCGSRMTGWVKVDHGLCR EYRPGATPLAETGPEDQTGLAGLAVSYGSTWSCLTVQQPQSPPLVRG LY89DRAFT_681140 MGERIGGSGLNATSIAAVNINSTTNNINLFYVDSLSENLYNMQF TNGAWTTPLPVAHRSFDAWNPFAGLGAAYSVGLDQLQVFFTGLDQRIYQYIGSNASQT TSTSWSQQPSSQRLWSNADYVGADITAVGWKNQIRFFQISQGKMAQGTLNNQTWSETF VA LY89DRAFT_729225 MKSAQPKHDDVGTPVSEISSLSAAQTPIDDGKHVVISPAEKAAY FPDEKICMPVGEGIEVHQSGPNNASRLLPPPIYEVGELPERQYRPPFWKRHLLWIMAG VVILIILIGILVGLVVASHNGRSGQMKPMVVNNTRNSVASSGLFLKDGTWNMHIFSQN TTGGISLQVALDGNSFNPPQKVPLTIMPKIGSPMAATAEQDEATGVVMINLFYLSGEH NITMSAITCASGSAKCNTISNCLLPTNIPVNEYTGLSAVNVNDSEDWRVYYHDN LY89DRAFT_729226 MIARELRAEPTSAIKSLSGHRMDNSDQTSSVPNTPRDQFPRSSL GQVPQNHPTWASQDSRDLNLNETQATAISVPVSWTYLPVELWRSVCDVLNREECTVAE EGDIIIKKDPAIRDETATKKDYASISLVCRLLHRIVEEYLYQSFSWIPYFDKAWPPLD VLKERNLKEISKSKRDKRAPFPWRCGPPPYLLLRTILNRPNLAQYFKSVKILGASPTS GLFWNMFEAREGSFSGKEFDLCEKTISRMPDVSRRHWLSGLQKGRLDVFVGLLLLRLS RSKKLKTIDIRIRECSTLESVVFDALDISCIMQAVSRYASVTSVTFSMDKDEKKSAYV DLEDHTEMDMYHVDRQVWKLLKFPRLESLALHLCSPCHTWQTRLPIASHLRKLTLKYG AMEATNLGTLLSSTPQLEELDCDLVYDRSINQRLDCEKLNRSLSKVHDTLAYLTIGLK VLWPDTEDGDGPWDVLKTMGSMKHFRKLTWLNMPLITLIPKVPTIPGFHPGPNILERN LPESLISFYADREPTPPDVNTQREAERIVVEYVSRNVRLMENLRWGSKSTTELGSQAI LLQVLYNYYDDFSTS LY89DRAFT_694227 MAASQKNPKMIYQLQQSQASVPWCHEFEKMISGMNFHAPNAPIM LDHKFKVIKALHSFNNPDIIACSTFQSLKQQRMKIAAQILGRLGTGTNIETPFFCVWG CNIFIGNNVYINRDVSIHDNVPVHIGNNVLIGPGACICTATHDTDMVVRRESGGSHAL PIVVEDDCWIGANVTVLPGVRIGRGAVVAAGAVVVKDVEEGFLVGGVPAKVLKRLR LY89DRAFT_576208 MRASITALSLLGALSAVHADLHHLIVGTFGTESLYTLEFDDSAL TLNLIQNLTVSTASSWIALSHDKKNLYGTALSSGSSAVPAFVSYALGNATDITYSNLV DLGGNCSSSKAIFVMAATESPYAVYGTPFGGTADCGAVISVDESGVLSELIQNYTYKS TSAVHGLAMNTQNTFLYSADDSGNTLWTHSVDNTTGELTYVASLDGPATGSDPRHVAI HPEGQYLYVILEGANELAQYTVDQSTGIPSFQNVTYPLIPSGSDSSSFWSDEVALSYS TNYLWATSRARSTNATGYISAFSLATDGSITSQLFLSPTTNSGGTANSVSPSPFTDTF VALTDSSVGFVEIWQLAENGTSASVVARADLDDGGCCANAVWYS LY89DRAFT_381708 MATHEQLESTSSRPVLDPTVNVQEKSSKETAPSTALKRNAEEAN LDEKTTIHIGTRRSALAVVQAEMVLADLKKAHPDLNYEIHAMSTMGDKNQTTALHDFG AKALWTHELEAQLMDGTLDLIVHCLKDLPTQLPPKCVIGCIMEREDPRDVVIMKKGLE YKGLLELPEGAVVGTSSVRRSAQIKMRYPHLKFKDVRGNVGTRLSKLDAEDGDYSCLI LAAAGILRMDWGDRITQYLDSKTEGGGLLHAVGQGALAIEVREGDEKTTAILNKLANE ESTLAGTAERSLMRTLEGGCSVPIGVETTWIEKGKLLMKAIVVSLDGKEHVEAERLGE VLNAKDADEFGWDLAHVLVEKGATKILEAINLNRPIIKDGGGA LY89DRAFT_729230 MASIARSLRPAASQFSARSCRRAALWRPSPFNARAFSTSPAALH KRFTKEHEWIELSEDGKTGVIGISSYAAHSLGDVVYVELPTIPMDVAAGDSIGAVESV KSASDINSPITCTITEVNDLLEEKPSTINKGPEDSSSAGGWFAKVEVSEVGVKDMEAL MDAKAYKEYTEEEGDH LY89DRAFT_694231 METDGGYEQRGEVQDEAMGGTDEAHTPTRRHTQSQSADFPSRHG YSASPTLDIDPNARYPTPPTSFPPSISRPNSGLSGNGGYMQSSYQDQSARGTTDQSRN KNSVVIKVGMVGDAQIGKTSLMVKYVEGSWDEDYIQTLGVNFMEKTISIRNTEITFSI WDLGGQREFVNMLPLVCNDAVAILFMFDLTRKSTLNSIKEWYRQGRGFNKTAIPFLVG TKYDHFVNFPREDQEEISNQARRFAKAMRASLIFSSTSHSINVQKIFKIVLSKAFDLK CTIPEIENVGEPLLIYQSVQ LY89DRAFT_381682 MARGKARCKESQHPTCAVYRPLVKRPPLIPPVHREALVTPQYTL IVPRNHQVMDDSTALRQSAWGAEGARRPSQANRSQTEDETTFQDWLSPWCQEPPDAEN RDVETCCLGFWVPCALYGKSNWRLKRVAMGEDSSNASWKPSMGCNGNCWGWFGLCCLT AVVIPCCGPWCIAGIVTGMQRTRVRGTYGMQGKVVDDFCKGFWCSCCTQMQIDREIRA REGDKTLRYNKQYLASLQDTHIDTQPQPPELMSYAPSRQASEQAMELTQVTTKPKKLL KSPPAIVNRVDEVRVQISAPQPTRSRNGEPIRRGEPQQQAEKLKDAEEIIVSVDKKSR HDTKKDYGNAKQLRAKPHASVNDAEASKEPGKKANTSSSSAHENVAAIQEKSRHDRLL EEHTIVDCVEVETAMKDNKLEKQESRLLIDCTIVEVGDNKTSGMVEQHEMGDCHGASS SEDTQELSYVHDFTDCPVDKAVLDYYESQGTKVQQHSLSDDPRTSSSRGRYIEQHRLS EDTRVSSARGRYIEQHRLSEDTRASSSTAHQPPNASRQHTELECLELHQAGSSKTTGR QNRSASFGTDTTVTKSPNGLKEHRLVSCPPGFSVKSSSDDRSQDSDDNHASQKPDKKR LHASSRSKKQGNALHIHDENCLTGSLRHKCALNFEITSSKQAGDVDSSNSAGAATESK SGHGQKQRRFKGLRKTNDTKTSSGSNDNKSSRSAEKSGQSVHSQTSSQGGKKENSQNS RGGTSCKLVGFPYGEFEETKIIAGSSKSQGEQAKELVKIVVTEVEQESQDGKGSSFWS KMTGSTKGKEASSECHT LY89DRAFT_694232 MAFSRVAALRSAVRGIRPSIARPQCFRQVVRRGYASGHGSTTQA GGDTLWAVGAIAVTIPSCWYLLSNSPDTEHGHGDHGDSHGKEHEEHEEESEEESKDEP EEESKDEGEDKKASTESEDKDSDKSEDSDSGDDEKKAADTPDTSDDEGDEKNTKKSIP DAKGGSKKRLESNNAVKQGEGDRSDKVNWEKAAPSKESKGQNSQDGKQEGLSNTDTKH STDITNDPSKSKKSEGGPDTAKVKGTVDPKRPQV LY89DRAFT_608170 MATQVSSNPTPQQVAQLLPKLHDADPDYRFMSLNDLFQILSVGK HDFLHSDYNTAARAVDGILKTLDDQNGEVQNLAIKCLGPLVTKIPASILPPLLEKLSN LNTENSVDNSIPAMALRTVVATLPRPVSGVSPAKEVVEAYAAISRVLIPRLVGRLVLN KAQKGVKLPPPPPGMLDLESGKDIDPEAVDVLIEVVRCFGPMLHQSEVEAFQTLLAGI LETDRASSVVKKRAVVAVSLLAMYLTDDGLSSFVSQLIESLRNSHLTLVQRRLYITIL GSMARSISARFGPYLKTLAPFVLAALSQEELTEQLENSAEDGEPDPETDDVREAALVA LDGFLSSCGNEMRSYTEETIGALLRFLKYDPNYNDDDDEEMGGTQPEEDDMDEFGDDD DFEAEAGFDDDDDDASWKVRRCAAKALYTLISTRASGDLLDDGTLYMQVAPVLVQRFN EREENVRLEVISTMALLVRKTGEGVVLPYSDESSEYTNNPPQSRKRRRQSSTTAFDRK SVLSMSAGLTSPPAEPVPASGPRADLARMSPILIRSTTKLFKGNSIPTKQALVRLLDD VVSVQRGGLADYFGQIVDPIIDAVKTTAGSTGSATTMSSGGATSATASTLRIAALHLF GDIAKTHSSSVLQPYLGKIVPGVVSAVTDRYHKISSEAIGTVEQLVKALTPPRSRSMH EKHQADLQMLYKVIVGRVAANDADLEVRQRAIQALGILLARTAGPEGSALVSAADRTV ALDLLSERLKNETTRIAAVHAVDTIAELTTVQGQLQPQWIREVSLELSAQLRKANRSL RGASLAALKNLVIVPANRKALDAETIKGLVSALLPLLTTVDLHLLGPALLVLSALVAD NARLVVSDQLNTALCGLLKTSLSGATLDAVLILVANIGKGKAGQKLMSGLLNEVNVNG DPAVVGKVIGTLLVEGGPSIGVDVNSFMAEAVNPDSDDARRCLALAVLGEAGLRMGAN SPLKPSTFTSQFATRSEKVPLAAAVALGRAGAGNVQLYLPDILSTMDKGGNAQYLLLH SIKEILQQASTSSLDISKYTKSIWDRLLSASQTEDNKAVGAECIGRLAIIDPNTYIPQ LQAYLQNKNASIRAMAIQATRYTLPESDAAFDGALKNSLIDMLLVMLKDPELENRRLA LTTLNSASHNKPDLIIPNLGVLLPLVMNESVINPKLIREVIMGPFKHKIDDGLEVRKS AYETLYSLMETAFSRMNILDFYNRVVAGLSDEHDIRALCNLMLTKLVILDPEETSRRL DTIADCFRKILSTKLKENAVKQEVEKQEEASKNVLRVTLLLHSAIPAASSGVGANAGQ HQTWRVYWEWVEKDFDAQIRSLRDGEKEGGH LY89DRAFT_664901 MSQDYAKGKGKASGGEDSSSNNPRRQASNRPQYVTVGSGSTSES AARLQALLDNDSGYGGSVAGDSFNGAPTWNPTLTEDRPTPSHSPMPRGMNDMVESDRR VQANAIHQLWYNSHRATLSRSIAKVMESLKSLQEFNATWPATYPSVQRAETAQPQRQD PRPGLVHTQSTAPGATSFPENRPGQLRRSQTYGAEPESSKSAEQRTTPEPRLVTPQIA QEFSILKLDLKLGALHQTELVHSLEKNSIASLLDGKISSSIKHLLSLRERIEDTSSKV LITGDLNAGKSTFCNALLRRKILPEDQQPCTSIFCEVLDAKENGGIEEVHAVHKDVHY DRHDESTYDVYTLLDLEKLVTSNEVYTQCKVYVKDVRAIDQSLLNNGVVDISIIDAPG LNLDTTKTTAVFARQEEIDVVVFVVSAANHFTMTAKEFIWAAAAEKAYIFIVVNGYDN IRDKERCQKMILEQVQGLSPRTFKESTELVHFVSSNAIPTAPMPPGGPGGNGSGSSSG GGGGDGDDGSDPKGKGKDKEKIQDFENLEQSLRRFVLEKRARSKLAPARTYLLNVLND VQTLATVNQEVAQSELDRVTKELNDLEPLLEASQKAKAEVSDDIDKTIEATCKDIYDH TRSTLLQSISQAADEDLGVPYPGLLNVFQYADEIKEAMLSQISASVTSCEEHARTKTI EGVSAIKQLGLLHLGDEYTDLSFRSDVMFRRKRDVLARQVEIETEIWDFFDWSTLIQR QEKMAGTGMALTVATAVGGQMVGGWGWVDGALGAAKVVGNNNLRKLILPGVVVTAIAA TYYILSQIPTSLPHRLSTKISTQLQAIDYVHANSDRISSSVRRVLRFPADNLRVGLQR SVEQLGTKREETKKIRTESDVARKYFSNLVNETGRIRNTVEAVDLEGPAPGVAGGYDP LY89DRAFT_637632 MNIVEWAFGKRMTPAERLRKHQRSLEKTQRELDRERVKLENQEK KLIQEIKKSAKAGQMGACKIQAKDLVRTRRYIEKFYSMRTQLQAISLRIQTVRTNEQM MQAMKGATGVLGSMNRSMNLPALQRIAMEFERENDIMDQRQEMMDDAIDDVTGLEDEA EGEEVVEQVLEEIGVDLKNSMGETPSGLQNNAVAEGRVAQAIGGGGADPGDDDLQARL DSLRR LY89DRAFT_704559 MFGSSGSPPKDGDPTSTDNSSASKTIEESSSAEKRSGNGSPQGR QTGEQGGNGEKKRRSSGVGTKASSLLASAKNSLHFSPSPNSAGFMRAAETNTQTPLQK LGKQDPALSVPQGQHNNSAGESLPGPRSTFTVGVWEDKNKKCRRTMEDTHAFLYNFLH TPAPALGAETTSTKSKSNEELAMTESTPSLAPTVSGSDMMETDNGYFAIFDGHAGTFA ADWCGKKLHIILEETIRKNPNTPIPELLDQTFTTVDAQLEKLPLKNSGCTAVCAVLRW EDRIPNAQSATGSTAIAPATAAAVKAQENEEKSGDSGVDMSKTPSQVNPASSTASVST NSEATHARLRTTASRQRVLYTANVGDARIILCRNGKALRLSYDHKGSDENEGKRVANA GGLILNNRVNGVLAVTRALGDSYMKDLVTGHPYTTETVIQADLDEFIILACDGLWDVC SDQEAVDLVRNQPDPVAAAKQLVDHALARFSTDNLSCMIVRFNKAALLTTTKEPSSAI GVEGDPNSVPGKPSEVEKIVGDAKRKVQEDGVPGVGVSGSNSGKGHDPKLPDESAVDD SVKQRMEMEKVVEEEPGLVEGDDPEMSVEGLQSVSPDTRTKLKLPEGVGK LY89DRAFT_381948 MEQDFPIGVNLGPSHITSSYLNVGNNVVNVVSIESEQAWNELFL DTLRVKRDYEEKKTHANENCVKETIVSTLAQIQKATEEKLGRPAQIKVIGYPEHFKST PYVSTLARIAIREYPEVTTPYQVRPYLDCVRMAYGLNTSEALGYGLSLDLDEYNSLLL HVDYQKDFLEVSIMSVTEHVDNRERILCIDKFGGSGNDKSATSEELAELKDRFQKLLE EQIRDNQYCQTQPEDFRLIIFSGSAPASEFQRIREAIVEIVPNFSERFRDEIDPFWVG ARGAAQNAREYTINPPVKRIGHWTEEEFYKSLDDQCAPDDVDGHEL LY89DRAFT_714791 MAFMPVSAIFILLLTTLQANFVSVLQENVSDTSSDDMGQLVQRE ITPIEQERLNELQWNYAGIWWEVAYPGAGNEDGGCTNFPVQGKGSKRRKVRVTYQCKD LPELPPGALGCAGSAPAYTVQGGVWSQEGTTTVFCPSFFNRVDDVVDSTSLLNPIQYV RFVTYVSDNYAWYFANKYYGKLWGWQDAGTPWATQNATEDSDELAAEGSGNCGSASPA NFNVTALPLDVENTTDISWPSQCSPIPELDSIDTNFACIYDPPDDPDDTTSTSPSPSP SSAPTSVEISSVTQTSSSPPPTSTACNCNEDGCSTDSPACCENGTCGCTCTAAGCTGA PCCASGTCG LY89DRAFT_778119 MAKNFPIGISLGLDHLTSACITHDNQSLPVACVKSSNVWKKFFL DTLRVKRSSEEQREYLEKEGAADIITSALSEIQKATEARIGKALTIEVVCYPEHFRHD DYAAFLARTVYREYPMVKNSAQLKSYLYCASLAYGLNTSEALGYDPGFDIEDSNSLLI YFDYQTEFLEVSIVGVAKYYHVRERWFRIEGFGGTDNIASDEEIVDMKARFRDLLDAQ ALDHGCGPTQLEDYRRIVFSGEAVASEFEKIRIAVTKVVPDFIDGFRDFIDPQWVSAF GAARMAKENTLNPPVYVGHCF LY89DRAFT_637639 MPPKTASKVTKRCDHAVLTYCSTSHADEVKFKRQTLEQRLAATT ARGTLKSASDAAIECSGEFPAPLILPDDDLAQDPKHPTQSLKSWMQGNYRNKVTPERK TIYLAMPPEYDDDMDSVKKWAHPRQKKISGIEQPKAQDVLEYLQAFYHGLPVKLFPSE TLRFTSEVGDMDDMSAADKKRQLIGLNFKNGYASKGIRTRPRPKGDYSHQLNLDDLLV AAIWALPEDAYALMMLVEHDMYEDEEDEFVCGRAYGGSRVAVITTARYNPVLDKKQGV TREHAWPASHCKAYLDTMLDYEADNGKKKKAKSDSTVVVEETPLQAAVAAHYSRPSLE ASPSPTSLSGLWLGRVCRTVSHELGHCFGMGHCVYYACSMQGSASIEEDARQPPYLCP VDLAKVLHGTGSNMKERYHALLGFCDKYNDVHLFASYGAWIRGRLKKLKGVDEEGTEA NPLILDSD LY89DRAFT_608185 MTNKGYYKGTGTGSTGSHTKHGGYVIDWAKVRTYVVPKDLADFK LTPFVTRKMKPVKGRFENDPKGAFSGEAYLGKWKVENGED LY89DRAFT_681152 MPGRQSHGRAPAKKPKLQNKSKKRALDAFSIASHENPDRLKVRQ HRLGAQEGGNRPNKRRKTEEDGDDEEEDGESKPKQPRKKKGRFDELDIEEGSDSEGNE WKLGQVDSDDDSDLDSDEAFGESDEDRFHGYAFSGSTKEKSKKKAPRGKTLNLDEDDV EESDSELEEGDLGEDAVDLATMLDMASDEDEEMGDQGTEGSSEDDEEDGSDADSSISS AGEDDETSDPAKLAALQNMIANLPQAEEGGRSKKRGNEVEGSKLPAPAKLTLEDMMSG ITDAQDRKALKFLESASSKKKARGKLEVPLAKRQQDRLDRSAAYDKTKETLDRWTDTV KHNRRADHLMFPLPDNEAAQAKSNKELVPTNTSKPFNELEATIQSILEESGLAAAHGK DDEDHIREFEELETNKLSMEEVRARRDQLRMARELLFREEAKAKRIKKIKSKSYRKVH RKQREKEERLNQEALAEGGFVPSEDELEAQDRRRAQERMGAKHRGSRWAKAMKETGQA AWNEDARSGITEMAQRDEELRKRVEGRAVRREFENGSDEPFDDSDDMSEDDDAVEQDR LMRQLKSVAEPDLVDESLPGARLANMDFMRKADAARKKQNDAMVEDIRRELAGEDTPS EEEESGLIGRRVFGPGSAKAAQKKTKSTVDVLEIRADSEEDEAAEVQIHGTDRTTPRP SVSKAKPSKPSLKPTLRSQPVEPPATEGGAWSKVPLKSATINDAEAKRRRHKKNNAID VEELDLSQAAVIASKPKAKKPQKTTTLEVESDSDDSDENAVHLPFAIRDQELIKRAFA GADVVGEFQKEKEDVTKDEDDKVVDDTLPGWGSWVGDGLSKKEKAENKGKVLRKIDGI KPQDRKDAKLDRVIINEKQVKKNNKYLASQLPHTFETKQQYERSLRLPIGPEWTTKET FQDATKPRILLKQGVIAPMSKPLL LY89DRAFT_729243 MKATGWLTVLQALAVFAVPLQGGHELWKPVQLAPSSVQGSVETA TVLPQTNQQTTIHEPTLVTRISQESHESVDWVDSVSVAPTTTFAGTTLAARSAAPLAS IPISDEGEHFASVKTSTVVPSVPVRTTPTKSGTAQVLPSLTTAIPHTTGSVITSLVTE GEPTLPPLLSTSISSPTSLLVLAAGATSSKPSSATNKSPLVMGSQNIFQPIATNAPPS AIGTRPDHPVPRLGIQAQSSPIGTNKFYANFFLGSQTAAAWTHPYSVAWSKGGGSSKS WGMSIQHIDASQRVFGPDPNANPAQYFINPIGIQSIVLSAMELGASTTISMDTVTEFS ANVNLLQSPGAGPSVTFPLVQGMGFVSGIYTGSTPILQTGVFFRSITKVTTSPKPGVT KYSILLEDGKVWLLYAYSPSGAGLEFTVVNNGLAQATSGFNGIIQIAKSTSSMSEALY DAACGAYPTTTTISGSVNGATGSYTLSFSAAGMLNTDLLMFALPHHIESFDANTASGV TSFTLDTTTKGTATAVVGNSWTMIENLPVAMGFGPYNPSAGNPGSQGSTKFSFSQSTM AAMQAVAQSEISQNMSIQTNLNSMYYSGKALAKFAQIVYAQAALLDNPGLAQAGLNEL KQAFALFAQNQQQYPLVYETAWGGIVSTASYVTGNSGVDFGNTYYNDHHFHYGYFILT GAIIGTLDPTWLPANAPYIDALARDIANPSPLDPYFPVSRNMDWYHGHSWAHGLYETF DGKDQESSSEDAMSAYALKMWGFASGNANLEAVGNLQLAVTARAIQNYYLYTNSNVIQ PPNFIGNKAAGILFENKIDHTTYFGTNVEYIEGIHMLPLLPCSSLTRTKEFVQEEWDK YFSNGRAATVQGGWRGVLYANLALVNPAAAWQYFTAANFDPSTLDGGASRTWYMAMAA GMGGAQ LY89DRAFT_577038 MAGPNGTTANEHTGLISGQENGGAGRKKSSLTEFFEFFIKKNHT AGMESENKFVRYPANVMQATKVTLYSNYVNILLVFVPVGIVAGAMGWNPTVVFFLNFF AIVPLAAVLSFATEEISMKLGQTMGGLLNATFGNAVELIVSIVALREGQIRIVQSSML GSILSNMLLVLGCCFLAGGIHNSRTGTANGIEQDFNSTVASTMSSLMTVAAASLIIPA TLYAALSKSQENSDENILILSHGTAIILLILYVLYLVFQLRTHSTLFDAETAPEGEEE EQEEEEPQLSPWAAAGVLVVVTIAVAVCAEFLVDSIDSLVETAHISKTFIGLILLPIV GNAAEHVTAVVVAVKDKMDLAMGVAIGSSMQIALLVTPFLVILGWIIDQPMTLHFETF ETVVFFLSVLVVTYVIQDGKSNYLEGAMLLGLYIIIALAFLVYPDDATDVPSIVVRSV KAMVGY LY89DRAFT_681158 MTSALPEPGNLTIYPDYCQSLSPTIGKWVPLSAIDVSGLRDVGV YCEQRKLYHFLNHPVKWVKITGVVVAIDEVREKKENKDKKIFTLDDSSGVCVEVTVPA PPVFEAPDAGKKHLGQLAKLQEGKGDKKEDSTPSTENPVVPWAEIDVGTVIKVKGRVN MWWKEFQVEGVKIEVVRGLDAEVRAWDEVREFRETVLGVPWVVGKEEEERCRKVRERE LRRAKKRPREEKGKARVEERKDKVQRGGEVRKRKDLERVQKLDGVVKRRKDTEGESLG SKNKINYPSMAVRKAAAGKYDALGI LY89DRAFT_681159 MPRKAKKDIDLEDMMDDEPPKIEPYTVLGIEKTATPDNIKSAYR KAALKHHPDKASEDKKEEAHAKFQEIAFAYAVLSDPIRRKRYDVTGSTSESIDIDDDF SWAEFYSEQFRDIITPDAIDAFAKQYKKSDEEKDDVLAAYEKFKGKWAGIYETVMLSN CLEDEERFREYIDEAIKRGDVKPFRAYMSESESAKEARMKAARDEGTEAEEYAKELGV HDKLYARKGKPKGEDELMALIQKKNASQGASFLDKLEAKYAGNASSSARRQNKRGTKR GSEEMDDGEPSEEAFQAAAKRLKEGNQYGGRKKSDGKKTKRKKA LY89DRAFT_729247 MESMTTIHLTPTKMRIRNLRQSRRRTQQRSKWDPADQKTTRVSL LGTMDWLLLVASFIFIIISVLLISNGTCSHSRGLSASAPVCIGGHLSVQSWLAIVGVE SGALGIIIPRVSRLLVSKYLTHRLVYHGLGLAKILNSQTTAPLRAQLVHGSNAVFCFR IPFLVATVAFSILYKWSFVKVSRFDTFPLSTTNLPIPLGYDATGHITTVSNNLVDALD PSNPHSSLFVFPLSSENSSNANYTQIFGPSLNPITLSAPSNQVSTGTLTFCAPSFYSD NTIVSSSPSWVPPMLTTDSNGDGLRITNTDGSLIDISSANGTITIMAGIFGQTDVTPH YTSYLSANVSVCKGYVSWAINNVLDPSSSAISTTPLLQTPIDITCIMEPFDLDTWMSA STTEFVLRLLQGLSWTSDDLAKRAMDIVLSTIDHTSSIATLDTTSLPSPTTETHPNFD PSSNSNSSSTSNPNSTSTANFPTDLAPPACSLLSSKSTPTSASDSTSTPQPQWIVSGQ ISDFGTGQTLLGTILQACITLFSLLTLLLLFTPGLPLITEWSAQWLGLVYGLAPPRVQ ECVEGTSAGGNNAREAGEEIEGPTGGIREDGEVIEDEREKRRGDLSVFLGSAGGDGPE GNPYLVLGLEKTRVRRGWGHV LY89DRAFT_729248 MDSQELFRDGEKSVLSQPRDQLRTHRKRRQFLHGVILVLLVSAW WYSDSIMSLVWPSPQRRLTEKAIERDVDFADIVPSEKLVWHPCFASNFCARLTVSMDY HRPLNESKDNPKVHIALLMVPGKHPIWEKQSKSPLLINPGGPGGAGTMAALAFGPYIQ KVVGESQDIIGFDPRGIGATTPRADCFSYPAPGTQDEDQARGLFHRLMWQLQGTEIGL VNSSSDSLAKLDTRARALAKLCENKDELHGKDSILRYVHTPSVARDMLSIIDAWDEWT ETQRVESCHPVPEEMKLSEDPKDDSKALDTKGKLVYWGFSYGTLLGATFAAMFPDRVG RVVLDGVVHADHYVEPVWEDSIQDADTIFNSFSTYCHKAEGQCAMYRDGDKPEDITKR FESVMDRIKKTPLMFANKNSNMPLIITHSDLKRLIFGTLYSPTGLWNIIATIFDALSR GQDSVLDSGIVPELPLLCQDLLPYWAYPTDAQDAIMCSDKRYTLNETIPNLQDRFDRI SNYSSFADVWMTVMIGCDSWGVSPVDPPMRWDDHPAHKRKPINTSFPVLFVSNTYDPV TPLSAGIAMAKKFVNAGIVEQKSEGHCSLSAVSKCTINKLRGYFLLGQVPPHPSKTGH TICEADEWPFHPYRGNPFEAESEEARAETERMIAFQEMQTISLHMMEFWGQKSMKLPM HMIAERQPKEALPRLL LY89DRAFT_576834 HVAHCFDYIRQSLICSGDTTLEAFLEADGETLRKQGSSGWGVAH KCVDFDALSRWTDQHKDPGP LY89DRAFT_664914 MTPRKLCSYTRTCTKYATNALMPNYFHQVAAQEARHRDKPGKRF GYGYDHENNWLFQLPIVRLYSGVPMVAIFFMISGYALALKPLSHIRSGSWDQLTDSIG SAIFRRGIRLFLPAFVTTFLVMISIRLRLQDFPGYSILPGVIEPRPRHFAGHYEQLLN WCHFFVAELTNPWDWKVHDYAYDSHLWTLPIEFRASMILFLFPICISRFQLLFRSVLA SALWIYCMYCDKWHVALFISGMCFADISLETRPSLQNRTSYKHLVYILPLLILGLHLL SFPIRHSGETMGYIWLSSITAKYTNWHTIGATLVLWSLNSSEVLENMFTSSFSGYLGK ISYALYHVHGSALHTFEYGTVSFIWTVIGKETRIKYEGGLILGFLLVAPVVFWWADVF ERLVDRPSVEVARWLYVRVFLADWKLLIGSQMSAGWHAGSTRKSNTFARWYKRATPRV HSQRTSLKIEVN LY89DRAFT_729250 MKVSQYFASIFVLSAGLSMAAPTDSLQTRQDRPPAPGFKMLVKD SAGTQIQKRQDRPPPPDKVDEVEKRQDRPPPPDKADEVEK LY89DRAFT_681160 MTSLRHFNAMDVFKFNATNLDPLTETYDLEFYFSYLARWPNLFI AAEAQDGTITGYMMGKLESSPSYLQFSEHYLPFHAHVTALTVAPHARRLVLAQILTRS LERGVDEYDAWFVDLYVRRSNKIAQALYGKMGYSVYRRVLDYYVDGLTGDAEDGLDMR KPLRRDRDSKHIRENGENFTVTREDVF LY89DRAFT_608208 MANSLDATAPVYLRHFSFFQRLYYGIRIRVMKSLATAFFSLFRL PGVRDNSILPTYTTVYPCQPKLTNRVFFPKSYKPDDAPLPLYLDIHGGGFALMSPCVD DWFCTEFCNDNKLLVISLDYPKTPAHPYPAGVQAIADLVDAILKDESLPFDRKKVAIG GFSAGATLSLAAPQDARLKGKIGGVAAFYPPTNWTTSIDWKLSTRPKDAGPDGLKSQA GMFDYAYFKPDQNLKDPQASVAFASRESLPPKLCIVGCEFDMLCRDSELMAESLAKVG NGPRTGTDTAWEQNGVRWEKVLKEVHGFDLIPARGEEKARTKARGKKMHQDAAEFLLR EVYV LY89DRAFT_704571 MQQNLLVVLGLLTAQLNALPLNINLGAYSPALVVGDGEISFGGK QDVSNLMNALEGAAVSGATAAAAAAAPAASAAPAAAAQAQPATQAQAQPQAQVVPAAG TTDQTTQITSLQGMGKEIAPRVVPLIKSTTEKRDIAGFNAALNYATGALKTSPEVELG TGEGGSGVGITQKAGGTTSTTTKAARNVEEGPARKMKTTVTTMFVRGGPAQAVAERSE ENSSAPMEKRAPSPSSIDGVNLNMAEGQVAELTFVETRAVEDDDEE LY89DRAFT_637670 MGKTAPIKKKGGASVHSRAAKRASSPGIDLDKSLKDLKPPQDTK KQRPSVLAIHQGSGVTKKKNGRKTVLSARAKRRQEKGMDRAEAVMDKTEKKVQKSKGK ARTVQERAKNWDELNKKMLAQKAKEEALALEKEKENWVDEDEDDMEDVEVQDDPAAEA TVTETMVVEMITTDSIAQSVPLPQPEVDEEEL LY89DRAFT_681164 MNPHGQQVRASFHGDPNTFEYHQDYLEGRQSLAGGGTFFAVLVC LIPFVYQIFSTLGYDLLPIPELLWNVLVYVTPSRLLDAVENYTNPLRIQNPALRGIPR THASKSESMRQLLGLDTAGGLIGSVAQASRRRLSTLPGVNITNLDAEGKPAGLGNWDN SCYQNSVLQGLASLDTITEYLTNPSMEVETMEESLKPELRMAEALKGLIETLNDSENN GKRIWTPATLKNMSSWQQQDAQEYFSKVLDEIDKEIGKVAKLAMSSQGFESDDSSNSS PPAPSTTFRNPLEGLIAQRVGCTKCGYSEGLSMIPFNCLTVPLGKAWEYDITDCLDEY TKLEQIDGVECGKCTLLKYQRMISTILERSTMPPDSPAYQQAKARLEAVELALEDDDY EDKTLVQKCKIPSKNRESSTKSRQAVIARPPKSMVVHFNRSLFDELTGELRKNTAMVR FPKILDIAPWSLGSAEDGEDVSTEEWKLNPDQPMIASTGRPSRLRGPLYELRAVVTHY GRHENGHYVCYRKHTTTEIVEDLTPETEDQKSPEQWWRLSDEDVMKVTEESVLNQGGV FMLFYDCIAPATPIPSVPITQIPEHVAMSEKIVNTLPVKADEVVKSTELPDLDVVASI PLPDIDDEDLFSNDSQDQDSVLTTRDESIATTETDISEYDEDENLDKDQVEAEDYQPA KAILVPPYIRQPGINDENEDPTKQKMLGPASSLVMV LY89DRAFT_778135 MASFSNVVLASMAFMQVVLAGSPKTCSNPTLSCHNTTAVADTCC FNAPGGQLLQTQFWDTSPSTGPSNSWTIHGLWPDHCDGTYDSNCDASRAYTNITAILQ SYGKTDLLSYMNAYWVDIDGDNESFWEHEWGKHGTCISTLKPSCYTGYTAQEEVPDFF QKTVDLFKTLDSYTALANAGIVPSSSATYTAAAIQSALKASFGYAVTIQCASGALDEI WYSYDVLGSVQTGTFQPAAPVGQSSSCSSTGIKYLPKSGGSTVTSTSTGTKTSTTPTS TPTGSFSGSGYLNAYTGGSQDGCLISAGTWYTSGTCATYTAAASGSGFTLKSSKGSCG ISSGTFTCASGVTATVFTASNGLLAYNGATTFYATAVPSGSTQATVYTSSKSVSVTFQ WESI LY89DRAFT_637678 MKSEELETLIRANHILHYQEVLDAYGHVSLRHPDKPDVFIMSGD RAPALVSSPSDLIEYNISDSSPVDPKSKRGYQERFIHSEIYKRYPNVNSVIHSHSDAV LPYTMNGVPMKPSFHIAGFLGTHVPVYDIIPLYQPGDQQDMLVKTERLGSALASTFSS SSEQKEEHDHNVVLMSNHGFTVVGSSVKQAVYRAVYTRVNASIQSQALILRSAASFMS SALSGSGTMPSSGPGSISGFGNSGLGGEGGGAGLGEMRFLDKKELSEGCMKMNDSSQD RPWALWVREVEASPLYRIEK LY89DRAFT_767913 MSVPPVEAKVGARKNSSTSTDLATNGHNSQKVEQSLSLLSPIKS EAGERQPRLAEQEALLGIDRKVKKEEEDRKEDLGEAEVLEQHHYVLRSHEQKKDWDVT GTWKISCAAIPDEPDMKLTIYIAKSDGKNQMFAEFNFGIAEGVFRFEKPTRRRSLRIK SKNQDMDDDQEDSEYDPTNFFLAKTDKPTPTNPTWNYRWRGEEPKGPIYFGADEVLYS IIFEEPKGTKLTGTLGGGPWGECRFTGIKVKMGREWDDESIEDEWYAYGRAAYEGGEI LRLYD LY89DRAFT_608227 MPPKRMTANPIKAPRYRPGKVVAEADSSSSESESESEAQAQKPI SAPPKVTTAGGITSNLSKVDLNERRNAAAAKEAARIEEEKKLKAGEEEGFVTEEESEE EDGSGEEDEDSEEESSEEEAPRRVMMRPTFIKKSQRGIGNAAKEASKTEDELAKEEED RKKAAADELVEEQIRKDIAARAAGKKNWDDEEEVDEVDDTDDVDPDAEYAAWKLRELK RIKREREAIEEREKELEEVERRKNLTEEERKREDEEYIAKQKEEKEGKGKMAYMQKYY HKGVFYQEDAQAEGLDRRDIMGARFADDVNRELLPQALQMRDMTKIGKKGATKYRDLK SEDTGKWGQFDNRRKGDFGGFNSDERFMSDRDRDRAGASGANSMPVGERKKVVGAPEG PRAMREGSERDGERSRDGGDSYRPNRDRSRSRSPRRDLDDRHRRKRSTSRDSDRYESD KRRRIDSR LY89DRAFT_778138 MADLTDTELTERFAPAGSELEPDVLAELQSIMRLHSIDVQELWY KWESYSMKMGSDDMKLNIETARALKKDVQDSLERESRSKAHVLQSNKRAGGATPRNIP SNADVFGILDGLVPNTPRVGSANRTNGTGKRKLETPSMSRVKVEPVSSPPEFKTPYKP GEQNGPVASFHDRPNAGQAVEVLNDHLAGPEPPIAPFGEPRIKLTANSDMKKLSYKPM AMKSSEASEILDDRIDEFMGLIQSHHKLEDSAFGSAASQSTNEIVAVGRIASDSLTGK LNEASLVLETSRKMGAGLRTPLKLEALSGFQFFPGQIVALRGINASGESFTVSEILEV PLLASAASTPSGIEAHIQRLKGDPDAMESDSDPAPLSIILGSGPYTADDNLDFEPLHA LCSQAADSYADALILTGPFLDIDHPLIASGDFDLPEEALKEPDTATLSTVFKYLVSPT FIQLVASNPHITILLVPSVKDAINKHVSWPQEPFPKKELGLPKSVRVVGNPMTISLNE IAFGISSQDILTELRTAEVFGGKPKDSSLLARLPKYLIEQRNFFPLFPPMDRENLPKT GTPSGKPAGAMIDTSYLKLGEMINVRPDILIVPSALPPFAKVVESVIVINPGYLSKRK AAGTYTKITVYPHSLSETERGSSVVAHKVFERARVDIVRI LY89DRAFT_382665 MAMMTAKVGNTTIRPTIPVQPTTTPISPYENIEINDSLINFSPY RQTAWLQRSENWLNLPKDWFPRKVLGRGTYGIVGRWDYNGDNTAMPRSIAVKQTGVTK AGPLMLESKLLRLLTLVGDEHIIKLYKGCHLEGGSGTDRELDPLPYSPDTFAGPKELD VSKIYIEFMPGGDARQWLKELEKDKKYVVPEEHLWRILNCLARAILILEQGSDNPAVP DADWKRPICHFDIKPENILIGERHSGEETHHLLEVFKLADFGLSLFESKDYNSTSDTR LEKWQISSEWRGSPNFTSPEQWHKQHEERYIGRRTNVWAVGAVIHRIICKGNINMNKW YPRPSESGGISLMTTGESKNLWNPTICPYSSKLIETVASCLSQNPSERMTAADLLTKT RSVLEVYDMGFRIPNPDALRLENTPSFNKPPNASFDRFTGTPPKVTDVTYADEDSGNF SNRYRPPIAVSSEQPYGPLFPDFKEEIRVPESKYRFQRLPKTPPPPSKNPPPKRRLTL ASRQPLAPIQEGVASLVYGKRRAPPVSPDVAMKKQRTDDFIRSSFEIDPMTFKVVEVP KFSVEESPFKPKTPSPLGKIQPLLLSSKSFEERLSAGFKRPSPGQTPASFHPSDESMA LY89DRAFT_681167 MAPALCAICENARALVKRPKNGQKLCKACFITIFEEEIHHTITT TKLFSPGDRIAIGASGGKDSTVLASVLKTLNERYNYGLDLVLLSIDEGIKGYRDDSLE TVKRNAIQYDMELKIVGYDELYGWTMDQVVETIGKKGNCTYCGVFRRQALDRGAKMLG IKHVVTGHNADDVAETILMNLLRGDLPRLARSTSIVTGDESSEVRRSKPLKYAYEKEI VLYALHKKLDYFSTECIYSPEAFRGSARTLIKSLERIRPSAILDIVRSGEDMAKLVPA EVTGISHCKSQKVSLSSADGEEEGAGGCGSNGRSSGGEMAEMEKKLQEDEEASSREFD VASITPRKANGQRHDRIGGRNGLKKDPGRKLTRQTLGTCKNCGYMSSQDICKACMLLE GLNKNRPKMEIEVDVEDEESSTLRRRMEGLALAAG LY89DRAFT_681168 MVKWPEYVRLQRQKKILNLRLKVPPAIAQFQNVLDRNTAAQAFK LLDKYRPESKTEKKERLLKEATAIKEGKKKEDVSKKPYAAKYGLNHVVGLIENKKAAL VLIPNDVDPIELVIFLPALCRKMGVPYAIIKGKARLGTVVHKKTAAVLALTDVRSEDK TELSKLVQAIKEGYAEKYEEAKRHWGGGIMGAKAVARTEKKRKALESAIKI LY89DRAFT_681169 MASQRGDAFDYALKSNEAWANYKGHQNPAFFEKLATGQSPSILW LGCSDSRVPETTLLGLQPGDVFVHRNIANIISPTDINSNAVIEYAVVHLKVSHIVLCG HTFCGGAGAALGDSRVGGVIDAWITPLRAIRKAHDEELNAIKDSAKRAVRLAELNVEA GVQVLLSNFVVEEAVKDRGLKVHGAVYDIACGKVRDLKCGNSGSLPGSATEEELEIIK GNHGMLVFGGDGAKMAIR LY89DRAFT_576614 MPLTVLTDANVKDILQSLDREELLDLQSSMREALHEYATGTTSS GASAANQPNRTVIESSNGTTTLFMPSTSSSGIGMKVVTLAAPPASPEKDAEIDSEATT PQGALTLMDASGRPFGFLNAEEVTAFRTALASSLLINRRTKVKTLTVFGTGKQAYWHV RLALLLRGPTIKKVHFLNRDFNHRAAMCMKSFVGYDPAKKRAEGWFDTTFDLTSLKYG EIGRILKDHVRAADVIICTTPSSEPLFDHTILTNTEGRRRARLIIAIGSYKPHMIEVP PEVLTQAIKVHGPGFHFHKHAEEGGVIVVDTLACIKEAGELVQASVPPDRTVELGELV MLEQMHMPQEDDSAIDDSPEDSTNENVSPVSPDSSRSLARAFREHSIDGGPKSASVSR KSSFSRKGSFSLHSRSGSMSSLRRKKIQTEKEDQMSRWLSGGNVIYKSVGMGLMDLVV GSDVIKLAREKNIGVTVDEF LY89DRAFT_694257 MEYTRLGNSGLKISKVIFGCMSFGTPEWQEWVLDEAAALPLLKH AYDVGLNTWDTADIYSNGQSEEIIGKALKKYEIPRNRIVILTKCYFGVAEDGTQPPIA AASVNDGLMVNRTGLSRKHILDAVDQSVKRLGTYIDVLQIHRLDRDTPREEIMRALND VVDSGKVRYIGASSMAAWEFQTLQNIADKHGWHKFISMQNYYNLLYREEEREMIPYCR DTGVGLVPWSPLARGALARPFTDRSTLREKSDSGLTRLIRSKESEIDKQVNERVQEIA KKHGVSMTTIATAWCLSKDKVNPIIGLSSKERIDQAVESVQFASSGKLTAEDIAYLEE GYAPKELIGLLTGSQSYATHRG LY89DRAFT_608242 MFSSSVLLLGVVSISFLAIYKLIIYPAFLSPLAKVPNAHWSSPI APIWILWTRYNDLENRVLHAAHLKHGTVVRLAPNELSINDLAGLRTVYAGGFEKGEWY SIFDNYGVPCMFSSWHSRTHSARKRMISNIYSKSNIHNSPALAAQAQAILYDRLLPKL TSASGPQSHPNGVDVHDIWNATTMDFITAYIFGLKNASNFLAEEVYRKHWFGLYHARK VYTFFPQELPHLTVFFKKLHLHLVPTWVNDANRELEVWTQERCHSTSAYIKNGLAAKD NDPANVPVVFQAMLAGIEKEERMKGAESVLKNETLQYPELSIASEMIDHIAAGHETSG ITLTYLSWELSKNIPLQDALRAELLSLSPNMSLSGSGSSKSIPSSKDLNILPLLHAIL METLRLHAAIPGNQPRMTPYPSCTLGPYTDIPGGVRIGSHAHVLHRNSEVYLDPDKFD HVRWLDDQNGYTEEQRRERDRWFWAFSSGGRMCVGSNFAMHEIKLTVAAVYANFRTHI VDDEGIEQTDGYTCGPTSNKLFLRFEKVVD LY89DRAFT_778146 MKFVVTISAQAEFSSETRTLSFIPKLDARNSKKRKYLGEHDDDD ADDESGDESEDDTMPSFERTCLMDDLKENQVYGHLSADRKFCKEEEKFVPGIWAVACP WCEKLPGYLQWTDDPLQSILGHIVHAHKKILKAKGLAPLKAPRMIALWGTRVLDGVAP ESKKERSNAAKRSKTESGFQRTTFNNPVQSRALVKTQLRKQPEQPVKAPRKENFIVAR YGPGYSLSNEELTKPTPSTSMSLLDDSDYLYSDIDGDGNEINKDKQRSSPSHTATLPP SSNQSGRLEFAQPSTISFAQEDQSLDRPMLDKPTTPAERDSQIESIRFGAVLDLPNSP ESDNASSETPASVSTSLDVLKEPLDLTMNDAPAQEAESQSQSSPKPDVSRPSTSVVSS LQSPEQSQSSSLPKSASKAPAPIFRESFDDPQYYR LY89DRAFT_681172 MLASILYSAALLSLANAHGQILAAVGESGTSVGFQVDPSIPRNC TTISPCQQDATIIRDAEISANIVNECGRTELTGNIDVGENTENALAAGAVTQVKAGTV MTVTIHQVNADGAGPYVCDMDQTGNSLATGQTNLTVTNNVPGVNGFSQAKFQDFNISV ALPSDLACAGASTGNVCTIRCRNNALAGPFGGCFAVQQTDTAAKTNVANTISTAQTLE GINEQIQHNQADLGAALTANANAGSTDGLANAKAADALAGLSVTSKAAAVQTVVVETN AAAAVATASATTTSKASKATATAATAKSGKGKNNNKRESPLKWAKRVVDESL LY89DRAFT_694260 MGVPFEALIPYGIMLAMFGVTGAGLSKIRHMQNGGKRARHSVDQ WDRVLMDRDRRLTGFLRGQTDNPIAPAGFELNNPWRLEKRIL LY89DRAFT_714817 MAAAVLMEEELQHSMATSEEDAEFEEDDELAADILAEQDAEFEA NNQLHNGMLGEPSEDGVEEDEEMLSDENAEGEDEDEMADSALNNGEDSEEEEEDEDAE GVGAVKIQPGLLEDDEEDAESGSDDDDDPSVASVEDDDESKASSDVEVEGEWEAAAEE DEEEPANPNRCIFCQQDEENDPSEEFELYLACGVCGDNAHRQCARNAEALKNDEDAEN WYCPGCVENKLVPADADADPEAEVGEPLDPAARRISRDRIAGDLLPPQRAIKPDSHSV FNQLIAPDDPMDGSRLLRKRKTSSGDAEPEETPAPRARTRDKGGSSSQPKALNVDGQI ADPDRMDVDQSEKTNGLLTNGNSKKEAPISPRASRSLRPKSATPHVKVLEKSSTSIKI QFRLDSVELRRVLSQPPKPKKKSRATSTARSTTAPSTFVPTSYSQPFYSLHDKEIDEL KSKPYGGILKEAEADTSLTLPKPEHRRHFDEARQKAEEDWKARVAAAAEAAAASGIKK ARKVSGPASQIEYIEFGQYQIDIWYAAPYPEEYSRNKALFICEFCLKYMESDIVAWRH KTKCPWKHPPGDEIYRDGKIMIFEVDGRKNPLYCQNLCLLAKLFLGSKTLYYDVEPFL FYVMTEYDELGCHFVGYFSKEKRPSSLNNVSCILVLPIHQRKGYGHLLIDFSYLLTRV EKKTGSPEKPLSDMGLVSYRNYWRLVLCYYLKDFKPGDKIPSIRKISDDMGLTPDDVI SALDALQALIRDPTTGTYALKLKTDYYREVIRQHESKDYAKLNPKGLVWTPYIMGRGN ASTFEHGPPLNTVAPREEDEEEELSPSDTKLSKTSKRDFDSFVNGDAEAATKSLGKLT TETGELIKFGTPNGDSAGSPSKSKVNGISKKLSYFEAAGTIPATRFEVFPPAPGSKNR ATPRTVLSRPPPSRSKSSSSARPKPRRSTGSSSRRSSAARPKSGSSRRKSGGTGRGPG RWPKGTKKSDFGNADSGPGLPPRLLKQRSKLGNEVLLGDEEEEEEEEEEDVEDEFEDG SDEGEEGVAEDAIVYETTPSLKKRRDRDLRGKGQGLGKPPLQGKPGFGKGKGFLTADD EDEEMLDAPEISGDIEVDAEGEDDE LY89DRAFT_767935 MRSLGMRGKRTGARVALSVSGGPKGVYAGWNHLVNLVMFEGRRY LVDVGFGGNGPTAPLELRDGNVMQWGSTGDEVRLLWKGIGEFESPEEKCWVLEHRREG GEWEEVYCFTEVEFTEADVGVMNWKTARDLRGSFFNHIIICMRTEMEGEDVVGTVVLR DGVVKRVVRGESQTIRVCKSEVDRWEALEEHFGIVLGVQERNGIKGMVTELKGKPEDE LY89DRAFT_664936 MSGVQTSHQFAAAATSQIANLHRDDCKLEVRQQPSNARVAVGKE KDRKPVDPPPIVQLKIPHQIDPHQNFLQSPYFFLSCCLVNDSPNQHSQGTLGTALAGT LVSSLHRLKDNSNSDGAFFVFGDLSVKVEGQFRLQFNLYQMRDEHCYHIATVTSDSFT VHSAKNFTGMAESTFLTRTFSDQGVRLRLRKEPRTLLRKRGPADDNYQPRTYNKNSNR NQNNDVERQPVTSPDSQDSTSQGQNEGVDSMTAHSAAFEHRPSMGRHYSEQSPTVYAG TGSYEESTKRPRTASDHGQTPSFNHQTQIGDASHYGGRTYSDPQPSYGSYGAQITQPS TYGTYTSPGGFTSPIQGRDPYNRDFSFAAPKLNTQLSTLSPYENQRSPNTAYFQQTYA PVVPQSPYSTSMLPTTVGQRMQHAQTAIADLGIGRMSQSPMTLQGVNSMAPPAGGRMG YPVGSIPRRDSASYANYPDINQNMSLGGTASFPVRSGPPSSGGFIDR LY89DRAFT_664937 MPDASSRSEGCVPGVKGWDNDSKLGQYDSTTLIPSHTYGAAAVA GVRGRDFFFFSKSYSSATACGPVTERPTAAVRPALMDDRAYVLGSAWHRIWHARALLV AVWVRQTVKDRSLSSPRAMEDRWDGKRAALEWDWNQRGTTAGSRDANADQVSSSPRSP NVVVAWTGSSERAQVQEEEEGYSDAREFVGRGKEIEGKLFNYLLRDSFWLGLVWAAGG LLENPPLLGWPRVDVVTIKMWLWWWWWWGRVGSVDMRQCNNHLVCLAWSGLVSSGCVA HVQAFVRTSTRQMYLCTYGAEDGPITAPPKFGLRSHVVLVEHSRQPKQAGLDVYTVTS CGWRYTITGLWGPQPGSVREVD LY89DRAFT_767939 MKEIEESAGICEIVATVVVVVVLKLGVVAFPSFVESCAREKKRG ERSQHDLDLSEREIEEVERKKESYSQQLGRWCRKLEKEGYGLWVTVRGRQAEISSSTN FANLGCSRVGCKPSQRLRAGSHSSALPLSSRFEPIFLLRACVAPAMLCTAMNEGYILT SSIQQRYYYISFINPSQSSSRNGPSDLSVDLEASFLVACCISVTPSWRRQAIDPFGTS GRASAVKQEGNWPRAAAKENGRIWPACWVRRPIFGVPCEWMVVERSSVFSGGEGGTLE AARGDGLLQRSAPAVGDEAVLSTGVNNGFDRPLPYLGPYGTGGW LY89DRAFT_729275 MANKPPIEQIADRWNQHLRRKNKITPSWACTLCPERRIFNSNDA LWEHAQHDHLQSFPSELNALQKFREEYEAECAQKTSRSLPSRDDPKEQPNLNEANRAS PEPPPSNRPLSTPTRLAGARSISGLGALNLGTPAEDVDMRDLSDEAAGVQPRKRAAIG DGISAGSASPFRDSSASPPPRRSKARPTSAPFASPEAETRDMFDVPRPTSSTRRQLWT ERDAPLTRSSVQDSSNIASVQVQKARKLLSSPRPQKPSQVSQSTRSPAPLAQSSPAAS QNLFSPASDDSYDIIPQPETRPISQEQLVAEVKGIYAGLVMVEAKCIEVDNKQAILAQ GTSQPKLNNEQWQALIALHRTLLHKHHDFFLASQHPSASPALRRLASKYAMPARMWRH GIHSFLELLRHRLPASLDHMLAFIYLAYSMMALLYETVPAFEDAWIECLGDLGRYRMA IEDDDIRDREVWTGVARHWYSKASDKAPTIGRLYHHLAVLARPNAVQQLFYYSKSLCV AIPFTSARESILTLFDPILDSDPSTMCHRLPPLDIAFVKAHGLLFTNKTDHFSSAKDE FLTLLDNQIGRVTRKFMEQGFHIAIANSVALTGFAPKDNILMQIVNSNTASSTSMHGL DDGTPQIGAPRIGDSYSINATLQIVLQRIGDPNVLPFIHVTLVFMHNMTRRASASAMV LLQKDFPWDFLAIMLNTSRAQYKSSSRIEAEQFPIPAADVRPFPEDFAIRGLLWAEDY FPAEWFTNEKIDEEEDKPLERPSMFAREDEQDSVFADTNRSSRGRQLETFLSRAKKAF EYLADILFLQQKAEVRSYNSESLTLGKLWCIARRTVQCLPKSLAGIVLLSQMQVVSAM PTGPLSPEAASSIPGTIALAAILGSILAGTMYFPHWRETSRLVIPTGLVNIACYSIGM DSRISAADLAVAALFAAGLNILLVKDKLRRHMRTGGLMALIIAMLGMLTAFVLASSLT FQEGERYSNQLTSVTMGLLPGTFLWEVVFLVLVQLLGPGAATEGRVHSYPRGERSRSP VRRNPLVLPRYNDYRFQPRAM LY89DRAFT_778153 MSSFPSFYGHPYLESSPDGGDSKTYYIQQYSKEIQCNIDSEAVC ATKKLGKTKDGPDRGIRIFSILASLASATAAAIIMGKYHPRPFETAESALRTEERRPS LWDFPRRHLEKLSQFRELDSMNPGGRETKMHRMGCTIAIETIKASNSKGSLAEASLML VQSKLSPLVQRLQTNLSDPPPLLFLAACIVFSVAIGNLWESQKQNGYRAWIVGLGMMI GTIAALRTENILQEGKGILAMSAIVALAISSCVHSMMRIHMIRKRFKAMLAEHTVDGH IEGEKRLAAKAGMDEKQSDLATAPEKERTTTETVGN LY89DRAFT_714823 MQLIDYDLLRSAQLEIRVLTLCPLKVTASEDDITSSTSATRIKC ILHTVSLHANPSYIALSYTWGEPTPCKTIQVNGKAVAVRANLEAALLHIREENRPVAL WVDAICIDQSSNEEKSEQVQIMRDIYAKSEYVLVWLGVAAQDSDTAMKVLKETGELAI KARIFDLRGKDLENLSNPEGDDRLNSIKTSLDACAQAADLTPFHTSLNDFSEREYWTR TWIVQEVSVARDVMLMCGSMKLPFETFAAASNFCGFTRLRLAENMTIAQWMDPIRGPL IKAAMARAPSAAPNIIIGIRRRYQRETGNPESFFGLLKRSCFDRGAIHPLKATDSRDK IYGLLGLDPFPLQLGILPDYYKSTKETYITTTRALIVSGKINILAWSQQHKVIKGLPT WVPDFSSPLRDPCGENDRIGSEEAIFCASGQQAASILSHENENVMSIRGVLIDTIDSM GSPWRTSDSNELFNYEEATRLFDEIEDFCKLSEKCGSTLTQDKEKWPEAIWRIPCADQ EGKSSGRVRASSAVHSAYLELKLPDAKFKHASLDRRRYQRVMMSLYDRRPFISTEGFV GLVPAHSQQGDLICIIIGANFPFILRKTTNEQYELVGEAYVYGTMDGEGLKLGKDVEV LNLC LY89DRAFT_637708 MPSYFYHLKFELYPYPSETSKEKQEKVWIPREGSGVFQNSGWLD HKRVGGEEKVGKGLRSGGGDCGPGRAVDEESPLAGFQTAKRDWRFGRVRIESLDLDTN MESTHTPGPRMRGESSSAGAGSNGRSAGLNAATASVVGGGRVTKARFDPVEGKNTEVG WGIVHLYRDGEETVGLEREEIYAAEDTSDEDCTVLCVPAVPSYLTSSDFLGFVGEKTR EQVSHFRMVMTGRLNRYMVLMKFRDEGVAKRWRKEFDGKVFTTMEPETCHVMFIKSIT FQTPASSKPNTSFPELSHDPFTPSTSASTFLKPFPPPTPNLVELPTCPVCLERMDDTT GLLTILCQHVFHCDCLQKWKGSGCPVCRHTNPSLAASSPSNTSAYPYDPANPPFGSGE ASLCSVCDSTEDLWICLICGVVGCGRYKGGHAKEHWKDSAHNFALEIETQHVWDYAGD MWVHRLIRDKGDSKVIELPSSSRTGGGDDMVPREKLERIGMEYTHLLTSQLESQRVYF EELVGKAVAKASVASTSAATATQRADEALSKLQTLEIENRKLKEETLISLEKDLEREK RKAEKSSEVARGFGKSLREEKKVSEGLMERIEFVNKSVAGLGEEVSRLKEENAELREE NRDLLFSISAEEKIREMDGGGGEEGLERGELEGGSLVVPVVEKEKAGGGGGRKKGKGK GKV LY89DRAFT_694268 MQIFVKTLTGKTITLEVESSDTIDNVKSKIQDKEGIPPDQQRLI FAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNV KSKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGMQIFVKTL TGKTITLEVESSDTIDNVKSKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYNIQKEST LHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKSKIQDKEGIPPDQQRLIFAGK QLEDGRTLSDYNIQKESTLHLVLRLRGGQ LY89DRAFT_383983 MASFTQILRLSNFFLQHAPKSSLLCHLTSCFQFMSESCHLHLPC EIRVQARLSKATIPKSPSVNIKSRPRLHHQRWLASGMLTNLSVSRKPAVTSHASPQQI RFTMLIRSWEYSQRLSEAGLGIVSLLPLRGESAEKRADRAGFVRHRFQRLRRIPDDGL QVDGSIG LY89DRAFT_576425 YNTIDHCCHRTSATMSSNLDFSSEGLLDLNFDHRDPRYPFTTSM AARSTWPMPLDTMASGTVDDESRSPGHNQQYAAHHNSPTLLTDWPMQQQQPQQLPQYI QDNSLMGTQFNAFGGTFQSPLEYHLPSTQAAFEAGLQMEAPFNGLAQSVEAQAAMWAN WHEIENAMNFTGANDGLPSIGRQSLGSNSPTGTYLEVLSLPSSSSDNGWATVDHFPNF EAYQQAQNAAIFNPGQTLHLRTHSDSSQSDGTGHTIESFVGSFEDISYPYSPYSPDSD NYLDVSGHRNCFHGESHHHNHETVSPAAAVAPVPIKMSPSSRTTPSSTSSPPARRNSA PRKSPTAKATKAVIRRTTSNGKKDTEKRVGRRRGPLLPEQRKQASEIRKLRACLRCKF LKKTCDKGEPCAGCQPSHARLWQVPCTRIDIKDIGYFMKDWRADYERHAGRGVSVYNI KGFAQKEELIWITHGYGFAIPIMAREVYVSDDSCFTVDWVETEHEEPLEFDTRTERMS AGSEGISTEALSEYLDKHLDGPFEEFIDDYFEGTPFITEILKTAHRYYVKEKVPVIRK ALKLVLAYNLTLHVTMVEQRGDEHPMEGYIDDEDSKYNGKIIAPVMINFQIKCALADM WRELQKEILEELSSLYSSVYSGDRLKNWPTIFMLASILLAVWEEMQFDCHYRVPDAAA VNKFCSDMETTPVGVIVGLFHAISQKLPSFTEWDTRRHGQLLNNNVAVCEAMTEMKEH VTKHESYLKTRPDAKFDRRDFDCLSNKFLSKLVIRAN LY89DRAFT_576435 MTFLRGKKQRVRFVRRAVAGHDRPNNQQIEQPELCSQGRSDTLD EIFESLFPFVLPGKTASMIPDLFTTLPPIRDLLHTGSSQVQDETIQECLPFLSGLKLD IECNEHGIPHLDRKKHITFLHHSLRNLPAPFVAADASRPWFFYWALSGLVAMGEDVSQ YRERLISTLAPIQNSGGGFGGGNGQMSHLAPTYAVILSLIIVGGAEALDIIDRKAMWK WLGALKQPDGGFQMCVGGEEDIRGAYIAAVIITLLNLPLQLSKESLFWSREATLLTNL PEWISRCQTYEGGVSSRPDAEAHGAYAFCALACLSILDDPHIIIPEYLDVPCLISWLS ARQYAPEGGFSGRTNKLVDGCYSHWVGGCWPLIEACLDGPSISSGDHLSAPLTSVGHL YSREGLIRYILCCCQEQSKRGGLRDKPSHNSDSYHTCYVLAGLSSAQHKWHFNTSATK TESSGMLTAAYQWTSDPILEYSQIFDEEDRVNTWHPIFAIPEGASERARSHFESKGGF LY89DRAFT_384054 MGGFYMAYLESLCRRRGWRDPLYETYRSSSGFTCLVLVNGREYQ TDLAYESDGLAQENAAMRAFMVCRNFSVNGGMLARNGVVQGLPANEHSGRRRRAKN LY89DRAFT_681182 MKALILVGGFGTRLRPLTLTLPKPLVEFGNKPMILHQVEALAAA GVTDIVLAVNYRPEVMEKHLAEYEERFNVKITFSIESEPLGTAGPLKLAEEILGKDDN PFFVLNSDVICDYPFADLAAFHKQHGDEGTIIVTKVEEPSKYGVVVHKPNHASRIDRF VEKPVEFVGNRINAGIYILNPSVLKRIELRPTSIEQEIFPAICADGQLHSFDLEGFWM DVGQPKDFLSGTCLYLSSLTKKGSKLLASSSLPYVHGGNVLIDPSAKIGKNCRIGPNV TIGPDVVVGDGVRLQRCVLLAGSKVKDHAWVKSTIVGWNSTVGKWARLENVSVLGDDV TIGDEIYVNGGSILPHKSIKVNVDVPAIIM LY89DRAFT_681185 MTGNYNRHSMQVFADFQHFESPRNSRSSPQRYNDEDELYDGEPR TPTEKISSSASSTYTLDKSLPDPPYHIFTLGKKKQLVYIVSLAGLFSPLSSNIYFPAL GQISRDLNVSLATVSLTVTVYMIVQGLAPSFWGPLSDTKGRRITFIGTFAVYLVACFG LAFSNDFASLMIFRGIQAAGSAATISVGAGVIGDITTAQERGGLIGVFGGIRMMGQSI GPVFGGIISQYLGFHAIFWFLFGLGGLTLLLILLILPETQRAIAGNGTIRLEGVHRPL IYSFSPSSDELLERDRTPKKKFTIKSITDPLKLLKEKDVILTLLFGSIVYTVWSMVTS STTALFQATFKLNDLQVGLAFLPNGAGTVSGSIIMGKIMDRDYRKMERVYKAEKGIPE STKLNKKDLLDFPLEKARLPQMWWIILLFIVSTALYGFSLELNQIAIPLILQYIIAFT ATATFTVNSAYIVDLYPGMSASVTAVNNLVRCSVGAFGVAIVQVVIDAIGAGPSFAMF AGITLVMSPMLVLSWFFGQKWRDERREKVMRREEAKKVNDMEKEVVDEKK LY89DRAFT_681186 MAKDEIHEHVPHITKHKEQNPMTNWVYDVFLWTFSILVDLFFRE VHPRGSWKVPRRGPLIFVAAPHANQFVDPLILMRTLRTECHRRAAFLIAAKSMNRWLI GWFARKVGAVPVGRALDMVKPGSGTVYLPDPISDPLLVRGIGTKFDSSEVQIGGLLVL PSVNGTAANSEIAEVISAEELRLKKPFKGAAAMRQLTGRNDVDDNGRLMDQNVKRTQD GFEGSKYKLAPKVDQTQVYDAVFDRLSAGGAVGIFPEGGSHDRTELLPLKAGVAIMAL GALAANPDSGLKIVPCGMNYFHAHKFRSRAVVEFGNPVEVPKELVELYKGGERREAVS QLLDTVYQALVAVTVTSPDYDTLMLIQAARRLYNPTGKKLPLPMVVELNRRLVKGYTH YKDDPRIVSLKKSVTDYNKELRYMNIRDHQVEYAKFSIPKVIFLLFYRLGKISLLSVG VIPGLVLFAPVFVASKIISIKKSREALAASTVKLQGRDVMATWKLLVALAFAPILYNF YTILLTYWTYRNRVQGYVPDWVPLWAVVIFGYIFFPAITFAALRFGEVGMDIVKSLRP LVLSLNPQSSNTIHKLRERRKLLSAEVTDVINTLGPEMFPDFDAARIVADPFRGDDSG SPISPTTRGFHRRDSSHSGISDVETPPRFQRSVTDASIGGGSSMHGGLPRNESFKNLG NIALFATRPSSRSRSRSSSSGGAVGSSGFPLKSFSTLDSKDGFEEVSMKIRGAMRERG QMRRRKSEEAREEEDDEDSEDEERKDV LY89DRAFT_608282 MGLPPWETSDSQLFALITGANSGLGYSIAARMIDEFITTPGTSP KKHLILILCTRSPMKTRFTISRLRAHLRKQADYSPYAAKQRAKMEEQGAEYKWEDTVQ RVHLVGVEADLCNLKSVYALADKLVNGTVGSPDATTMDGLKLPHGSPGTQSYSKDIQQ DTWALSQKPGSIGAQRAWGWGLSGLRIPRLDAVILTAGIGGWKEGWRGLDWPLAIKTV LFDTIEAVTWPTYKLADIGAVVKSQLSSNSESSVSEDTQPLLDNQQKVVEPPLSSVFC SNVFGHYILAHELMPLLSRPASSASTSGGKIVWVSSVESLEEQFSLDDIQGLKSTHPY ESSKRLIDYISLTSELPSVQRISAPYFDPSNTTTASKENQAIPKISLVRPKMYLTHPG IFASDIMPLPGFLVFIYKLVFYFVRWIGSPWHPIDPYKAAVAPAWIALTDPDALDDMD GHGLAKHKWGSATDTGGEERVMKTEVPGWGWNGEIGEIDAEKRKGRKRSTADATKESR EDFEITGAKCWSEMEKLRVEWESILGVKGSNGQGR LY89DRAFT_637739 MRGTVVASMKVNRQVLIDNSQVFKAMMSSDGHWKETYASTIDME VDNIPSVEVVLRALHGTMKEELYGASVSFPDVWEIIQFCSYRQIEVLKLKGWFAKWLE NALKVKLDHDDLKMLLFPCYTFDHAKGFASMTKTLAYNSAEHTTEYNPTDYRHLHLDG NAIGGLNGARGSMRGKLLRGLFDPVAAFLEAKCDCKANALFAYHIGLSKTGIWPIHDH HKKSVQDILDSPGMINFQCTIPDGACSSCRSRLSSTKVTELRRKILNDFHGLCLDCMT MTKTGDIDSDYWDHDRMHEWDAGCRIQHSQPTWYFSYMGRKTDMKNHQKAMKRAK LY89DRAFT_664954 MSDFALSSSQANAEISASDATTVDGQSFWDNDNLRGRLQAALRQ EIRNRLHPNKEVEAYWAKKDAAAKLALKAILGGGDEDEAMNDAGESEARGELAATIDH MHVDA LY89DRAFT_664955 MSSTIIPDLSTIIARFPPVPGLSCRQQSIEIFYLEIVDPAKYKA ITFSQSFRDIYGEPMTYYTDFLRFMNTQFSKKIVPKLKSLSELVNNKTFTFIWFCKTV DNTVKQLGLATITRPMMEAAWVYYAFSMFESERGKLGQEFWDNHRRRERLQAALRSVI DRRFHPNEKVEKYWIEKREGFGLSRDDVARKEEGCDEDPRQMRIVEDEDEDEDEDEDE DEDEDEDEDEDEDEDEEMEMEMEDVEPENERRDLVGCRKHAH LY89DRAFT_608287 MRSSDHFQKMLDPAGNFAECKQKIVDLENANPIAVELWLRYFHG KLDDESLKIPIGELRALIECHRRYFFPLEKLNKWFEQWMEHKGGKKMKKFSLDELRKL MYPCQEFNHAQGFAYATKKLVYETPGHVHEDTPLAFGHLHLEPRIIGAINAARGSVKM KLHEALYINRVFLNASCDCRKEGLFAYETALDKTGVWPLEEVLHGRNSISLQRVLSGM RKFEYEPPNDYCELCSEDFGASTVTRAINIAQSNFDGLCLDCIDNPHSRDWDIEYTKH HSFKLIKAKHIEWDMGCRVKHEEPSWYFSWIARKQRADAHE LY89DRAFT_576597 MAKYWFPQGRNNDNGWRLDIVSLLAVIGESSIESHSQALTSSWT CILPRIIPAPQVLLKPSRPTRMPVTNATVVGVHNGTSVPSLNYFPNIIHPLDDLKQFE FKVIRIKHKGQPDVGQQLHISTEKLSSRNDFSTSASMDIGIMESGMPSPPDRPTIRRT FTRMVTMHEKDKPRVPPQFFSPLNVLSVLSFVTTIGLIIWAALIKDGTAVVALGTISL VSSVVGYASWWEPILMKRNFKSKVPAGDVIIRTREGAFLLVVCDEDVARELYTGTEEC QYYVGTQTYRLLVGLGTFLLMVSVVLLGNCSFPMQAAVGTSYIILNGSFWGASLIPKK RFWNLSNYDFKVVTPPDAVDADKAQAETLEGRASFTRTMWYAIRETKKIGWVKRSGAA PSTHEWELWLKLAEENAVAGKRTWNAVEMRESIVGQAESSNPKSPIGKDLAEQHVPAQ VIDAPQAR LY89DRAFT_729292 MYHQYDRSEPKPVASDWRKVLNADVSSVEDYNKAVERLQAAEKE RAFDFQITTSASHNEKRAAALLHKIQAYDWDHTYGTPHDDEGNPTEKRTQGEHFLGNV DLINKTELMKVAKRMPKGAHLHIHWNSCLPARFLIRQARDIKAMYIRSTLPLTTPEHF ATTRISFMVMTPYEATHVKGAEDDEKETPLGNVFDPHYVPNRWMPYKQFQELFNYPGD FGETLTRTERAERWLEHKMLISEDEAHGCKQTGHGIWEKFNYRTQMMKGLFAYESAFR NYTRACIKDFVKDNIQYAEIRPNFMATNSLKTDDGTGSIGNEGIMKIINEELQSTMEE LRKRGDYFGGMKVIYCTPRSFQKDQVAAALDECIDLKHKYKDLLCGFDLVGHEEMGNE LRHFVPEFLDFQKKCKEQKLDIPFLFHCGETLEVGDKVDGNLFDAVLLKAKRIGHGYA IARHPMIMEIFKEKNIAIESCPISNEILGLTPNIAGHNLPILLANNVPCTINSDNATF YRSSLSHDFYQVIIGSESMNLLGWKQLAKWSLEHSCMNPDEKAAVTAEWQSKWNAFCQ WIVDEYSWLEFWEPRPGRH LY89DRAFT_681190 MSGNPNMQKIGKRKAPAGQKQPAWLFPEGKPSAAPKAQAQDKSR NKKDKQLAKDLLKRRADGEPPKSAPPSQLLELIGAFLTEYEFTNTSQAFKTERKTRGE IADVSEDVPSLSTVFNDWLSLKDQGKVSGQNSGTETESTKAKKQKVAAEKSKLKELSS SESDSSSDDDSDVEMADAPPTKKVSSKRSVSPSSSSSTSSSSSDSDADDEKEVPATKA SSPKPKVNNLKRKAESSSGSSSESDSSSDDEAPKAKKTKTTSSSDSDSSSDSDSSADE GDEKAQAAKAVSESDESSSSSDSSSDDDEPKTGKADAAGSSLESGSSSDSESDSDSDS STQSLAKKTPLPDSDSDSSSSDSSSSDSDSEEKDTKKPVLSSDTSATLSDGPKKTSDT SDSSSSDSEEESKPKTAKTVTTRALSPPLPPDPVIKQKKKINEPFSRIPKDIKVDSRL ASNAYVPYDYAQKAHEDLIVTKGKGFTKEKNKKKRGSYKGGPIDIDGKKGIKFED LY89DRAFT_608295 MEVSLQNGPGGVNGGSSLSRAERFEDEKRRIIESCFGKKDEDGS LLESYITHIKITEDSNSPSSPPPPTSDPSSKKPRLIIVAVRKSGRVRMHKARENVNGS FSIGKTWMLDDLSAVQSYSGGPAASLEEEQQKQWAGNVGFTVTIGKPYYWQANTQKEK QFFIASLVKIYTKYTGGKSPELFGFDAREKDQLTGASPAQRPPPPSLQAGPPQNPSPS YGSQVRPTRREPSREPPILRKQPSREVVQRPPGQIPPSGTSFTSQTSRPQFRNQRMES PNGSLDASGNGPVQNQQGLRKLVGSNQSQESFTRSDDGSSLPPRSRNGLNGTPNAPGR FQDRSVTPGSQRATTPDSTLSSSTRDNNNDVPPVPAPLTIPPERRRPPMPIIGDARQR AQNSNDNIVPAPLSSPGMRREDLRPPTRSSDRTSPRDRDADNTQTTNGFSKPDVPRDV PVEKFQQQEPQNLVVDPPEPAPVSPIESPAVQMPEEPVEEVRPGLGPMIKKKSKGDIA GSFMRAAKAANAFNSFKPRAGGAAERLREAALAKSPDGPDGITSVVPAPSLLRAMSGD SGKLPTASPIAEKTSPSNSVDNIPEVKITVPVSQNEPVAPATYDPGQGPLSAQSDGSL TDKSKPREVKRTKTAAERCAGELQSIGIDPIIIGDRGGDLVDAWEQFGWTPGEGGGMR TKNIDQMKEEIERELNKVQAGGWLARLEQEDDRIKAVHDGLDKCIEECDELDALLTLY SVELGTLNEDIAYIEAQSQGLQIQAANQKLLQAELQTLLGTISISSEQLDFLREASLE NTNSIEQIETSLVMLFKAMLTIDPSLGISVSRPSNDGGSLSSGRPGGFGNSELGSMRV LQEKKDVYKNEISMFLRRLKPFLQIKFRAAVAEIQQALEREKGSQLTRAAGKAKIDSR NHDLGRDLLWRYSPLMLFSREVDRLEWEDLLKMYEEEFRALYKDEFREALFSWKRIVR KPTGDEADLLFTSQTEKQAEGIVTTTRKLTVKRSQTLAKSLRSPLGDNSSKTTIDRAD GRLQPYELFGGYLDELVPIMSMEQNFIVEFFHISSLEQFDFAEAVAATPPNARRGADL RRPRVMDPNRDLAKLVVQSMEEVYDFFSSDMQSLIDWTLQSDPLQGVGVIAAVERKLV TLEESSQEFLTRTLQKLHQRLLGLFTKFLDEQVRAIEETKVKINKRKGVIAFIRVFPS FSLALETMLTTSHDLEIRETVNHAYARINKTMFESLKVIARENPAAHIAGADPEDKEA LNYQILLIENMNHYLEEVDSRANPVLEDWKQNAAQEMDEHMTLYLGAVIRRPLGKLLD FLESTESLMLSRQPGEPSSKISSMPSHSKATFKKVLASYDSREIRKGIEQLKKRVEKH FGDADDPNLSRGLVSKVLQNCEKYYEKVEDRILTISRDVYDGDVIAEWTRAEVSAAFR K LY89DRAFT_384578 MLPYEIDQDPDYVLIKRWVLEYEQDFLWNHTRQIREYRRSDLIR PMESRPGILSHRQRHYDEPQVVIERRKKHPRHSSPSPLLAWVAGTSKHSKKKSHHLED GLRVPGPPRQGAEDIIEPNEAVKAEKVDDLLAKWTTTVVDENQQTKKADETKADDAGE EKLGEEFLRSTRLDDYYSDDEDTESDFVTSSSVLSSRNRSWFRGGWRRFLPSMHFRRK WKKARRGSISSDSSISLVDD LY89DRAFT_384584 MLQIAHLASQQRPTKNQSDNQSHDKELRDVIARLKLREERLTRS LEQAIYRPLIHHNSKFPRSRPFSFRPVGGPPLPPPPPTWGPLNPRPAPWKYTSKSDKI DVIIPPTSTVTGTPPSDEKSEEKDVQMGDPSEVQSPRAVEFDGNSKPDKTDPPPEATH SQEDTAT LY89DRAFT_384595 MASQIDDAVTAPTLLSDLSPRDEEPQVSSTESSDLRPITKQRQL HVLISAFLTICITIGISQSYGVFQSYYISPTQIFLPPNTQSNSAFVAFVGTLGYGLTW AGSIVVNPILARLDPFGTRLLCISGVLLMSLGFGLASLSTQVWHLLLTQGFLYGIGSS MLYFPILSSAPEYFDAHRGSAMGFILSGSGIGGLVFAPAIKALLSALGPRWTLRALSL IILTISLPIALTASPSRFVGRRPTHINTKLALKPAFLLSVGAGFLQAGGNGLPLTFIS EYSIALGYTAGFGATLLAVMSGTNSVSRVMTGWAGDRWGRQNVLIVTVCMCVIVVLGF WLGRGYNSLFPTTIAEVFGLQAYASVNGFIYFVRGLGTMFGSPVGGKILGESRLGNYR NVVVFDAVLLGGAMLCVIGVRFLDAKEKRVWKWKA LY89DRAFT_637753 MPSNNPFEPIAKNFSTTLLKGPNLKDKFGELGHRVEVLTSWFVQ AQSGIRIENQPTAASNKHNALHLLAENLTLAQFPFLRNPSQPLDPTPVTSLKNTYVTG SRGIVIPVGFKTLRFAIHLIFNIRTVLGTRLPIQVVYAGEDDLPEYSRNKLKAVESDI EFIDILTIFDDSSMGLQDSWAIKPFAALASTFEQVILLDADCMFFQKPEVLFDDLGYR ETGALFFHDRKLWQHGFQERHKWWKLQMKGRKPSATLLKSLVWKDDFAEEADSGVVVL DKSRFPLFMGLLHVCWQNTKSVREEVTYKMTYGDKESWWFGLELCGVPYSFEAHYGSV LGGERSKTEVCGFTISHLDQNNRLIWYNGSLLKNKAVDKKQFQIPVMLMYDGDWKKGR TKADMSCMVKGKLRELSNSEMKAIKDTVPLAQKADEEYSLLSF LY89DRAFT_681193 MIDPSQYRQIGFVVRSDLSKKIQSFAELITASQALRKAWKRFML PTSQQCMKYRSTDHADIRIFRHNRTSLLRAGDDHGFRQLQKLFSLQDSALVISGSDGL TRDRDELCRFLASFENDTMMYFFDGDNFRRRFKSRDLASRLNPLTSQRQQSTDIQSFA KYLDVLKRIEKVYVSSSSSTVGV LY89DRAFT_577166 MSYPSRALNEAALETFIYRPVTSEMIAYLAFKASEVIQCEKSTE DSQLPPSPPQTPPHDDSTTDSPSLPSLERFITSLVRKSNVQVPTLMTTLVYLERLRQR LPPVAKGLRCTVHRIFLAALILSAKFLNDSSPKNKHWAEYSNVRGFEPFGFSKTEVNL MEKQLLFLLDWDLNISEDDLYTHLDPFLVPIR LY89DRAFT_681195 MTSLPIFHAADPAILTRRNLIQPAVRRRRQISSSLMLSEALSSS SSSSGESVDSGVSLRPLRPSFTVPEYIESVEALEYIGWGDEKAAELWARWEILKQTER NEATFETDFLEYALSAVPCPKLEDRQEDWNAEMLNWGVKTELREAIMDEAYTNIRLTE TAQYWVKDTMEIRYLSLERLQRDSQMRAQTSTTSTSNTNNTTNTRGNPVVIPQPATSS TVPGSLALYKAIAKDRVRQDSTGKISIESLVSGIPSDFRGVGGTTLYFTPSLQVAEHY RGYIRRRYEASAPLMLALSIPNSFIEAIPPYILPFGDLWKEIVYTSRRGLLLRGDLKR IHRLPLIIAPIAQSYSRTISSLDDYHQISSPRHVLHVEDSEAIQYVFQGDDMLYRLEE YGEVKDIS LY89DRAFT_384646 MTSLRDAAARRRMQLNPITTSLNVAQHQSHLQTPLSALSSSSLS APFAYNPSYTPISAVRQQYNPQAWTASPNVTPESGSHFRPQDPEAFATAPPPPPYSPP RSNRTSMNEEPLSGVSPASRISPANQYRSSPEPTSNPVFPPPPPSSSRARAGSSDRPP SLFHNISSFARRTTAEPPREPPRVSPEISRDNGPRRPMPISIEAPSSESEGSQHAETR PPASRRAASTGAISTPSSSRSCGSSTNRWEPGMPLPPPPPGPPPPQSRSQSMTRSTDR VVSPPTRRPPPMSTLGPVPPTPAGWVDEDVSGRGRSPNRGLTIDTSSVSSSNAPESNS GSSSSGLARAHAMKGDPRTIRQRRNESKTRRTAAATEEASNNPWAEAIVPSDIVVPTV GLARRPTITRSTPRSARSHQEETPRTGESLSVSQHNTPVQLGPSSTASRGSTPRPQVS SNRLEAPTPPFSPNQFNDDFAEDSPAIPPKALPTPPLQSQPQSQSRLSVGSPSNRPVS HILHTANNDSAVMAPLVPSRPDSRQSSASQVSQISPAEQFSRAAIERHQAFAQKEAAA ATDSERVRIFADFIVAESRLRRERYATAIDAMGSEILELTRDLFRPYANSRRESNTSR SSNWTPESSAGPRSPIPTSRSHRGSLTAALHDTPKLNTNIEPLSGSMPGSGSRPGSAD GNGNRPESTWWTGYMPSLSPIPSMSVSEAPDGSDSRGRPSSRWWEVSQEGSTGAPSTR LERSKRESKYMGMPKELREAMQFETLDTPNGKGIDMSGPSQQRSDYGPDEYPQEKVGW HDQDLSLQKTPSKSPAMFSPALMTPNPHDLDVSRLVTLPPPYPRHHPAVNNNHPDLTA IRTTVRQLSDYTEVEATKERFTHTSAQMAEEQKVAATKRRNSLRQTISREIEAGTMTY ADAAAMEASAAASEAEKTKKASKVDFELFQSQVVIPLNDLLMDRVARATELFDQLKSK LFVDAQEQNPTTTQEEGDEQPELLEKLTLLKWIFEAREQLHRELFDLLSDRNDRYKDM VITPYRLANNNDKVANAESFFADDATKRKLAFEQEVLKRTEEFLDVIEENVVRGVEVQ LSAFWDIAPSLSRIIEKIPRGNLDGFRIQIPAAEYEENESYVDFPMQYLYSLLGHCEK STYQFIESQINLLCLLHEVKSGVTAANCRLMRAQRVQQGEKEEDVERELGEVETDEEV RLTDDLKEKVRVVEELWGSALGRELGGCKGMVEGFLKECGGWDDDQS LY89DRAFT_729301 MATPSKLRSIYRSFLRELPSRPLSEKSRSPLQARIRNTIASESS TPIEQAEQFLQYVKAQRMYATLLERYNPGMNMDEEERVRLTARRVGMDLPEEYFAPTP EETSGDGGKNQ LY89DRAFT_575996 MQRRPLNGSRGPPSRPAAPKFRHESLSYYWDTIPPTQDQLIHAS KFFNKQVPKFLWSAEKFKEMDYGNSPEVCFLGRSNVGKSSLLNALLLRRLAHTSSKPG RTRLMNAFAVGAEDDLLKRLVVMDMPGYGKGGRAEWGVQIMKYLEKRKELKRAFLLID AEHSVKPADRQIIELLKQKNVPFQIVLAKADKIVFPGSRTPSPGALEARLTELRETMN AVKDIVQPDTEDDGGAVGEIIACSSEKWIDGKRMGIDAVRFAMLRAAGLEFRPRITTS KPVEIISHDEISVMKNRSRG LY89DRAFT_384723 MSKVIRSVKNVTKGYSSVQVKVRNATSNDPWGPTGTEMSEIAQM TFNSSNEFYEIMDMLDKRLNDKGKNWRHVLKALKVLDYCLHEGSELVVTWAHKNIYII KTLREFQYIDEDGRDVGNNVRVSAKELTSLILDEERLRSERSDRKTWKSRVTGIEEFG GNGPHERPQRRERPQRAQRADEEDAEYRLAIEASKYQEEEDRKKRQSRNGEPDDDDLA KAIKLSKEEEELRRRELEDQNAASLFDDDPIQIAQPTGNQGYQQQTQVDWAGNPIDQS MQQQPTGYMNNMYTGYQNQQPTGYQNGFSNGFGAQPTGFDPYGQQQQQMQPQQTGYNP YGQQPASSFQPQQPEPLVPASTNNPWANNNQAQTLKPTPTGSNNPFASGFGRPQTSHT PAKPTLATLSEQKTATFNNTPSYNPITSFTPPAKTSFTPPPAKEENPHHARLNALLST GEGLDTFGNTGDLRIPAQHTAPGTFVNSAGAGLNRLNAQQTGTNPFIHNQYTGMPQTS YGNNSAGGFASHQMPAATGPAGMSNGFGSGFGAQQASNPFGTRAQQGSSGQGDLIQF LY89DRAFT_384833 MASSGAPTHPNFPSRPYSSTFPPKAPERNGPAFGASSHTASRDT ARIERERQERERAQREAAGAPAPNPYNITDEQRDEITEAYGLFDVDKDGRIDYHEFKV ALKALGFELPKSEILALLVAHGIPPDPATKKSRGIIGGGGGSNRDPVPPGRLVLTVQA FLSIAAKLISERDPREEILRAFALFDADDKGIISLDDLRRVSRELGEGLEEDELVAMI DEFDLEGKGGVGREEFVGICMG LY89DRAFT_681200 MSGFGGFGFGANNNNQQPQNTGFGGFGAANNTTNTGFGTGNTGF GSTNNNTTGGLFGGGSTGGFGSSGGAFGSTSNNAFGAKPSGFGGTTTSAGTSLFGGTT ATAGSGGFGGFGGTPASGSSPFGGNNNTGGSLFGANKPAFGNAASTSGPFGGGASSGF GGNTGAFGSPASTALGATTGECQGTGSVPFQAFVEKEPNSSTNQQNAFQSICFQQPYQ KFSPEELRLADYNQGRKFGNASNQAGAFGTTNFGGFGTNNTANTGFGAANTNTGSNLF GGGASNTSFGASQPASTGFGAANNTASTGLFGAKPAGGLFGAQPAAQPSGGLFGNSNN TGFGANTGGFGSTNTTTTNSLFGNSNTANKPAFSFGGAASTPASTGTGFGASTTPSAF GGGGSLFGGNNAQQTATTSFGTQQPAATTGFGFGGSTTQNTGNTSLFGGNQQKPTAGL FGAPASNTGLFGNTQPAANTNAFGGSTNTSTSTGLFGNKPAGTNLFGNSTPQNNNSGS NLFGGGFGAQNQNQTQNQPATGGSTLFGLSNNNQQKPSLFGNTNSQQQGGLNLFGTTG NQQQGNNLFGSLNNNQQQQPSQPSNSLFSNSMFNTSQQGQQNQQALTASIGDNGAFGS SSLFANLASTQVNNPGPIATPLSSSVKQKRAPAALPMYKLSTPSASRFSTPTKRGFGF SYSTYGTPGSASSTASTPGTFSSSLLSGGTFNRTLSKSVSTSSLRRSLSTEDNILAPG AFSSSSSVQRFGSTGSVKKLNINRSLRQDLFSPPNAPPQQNPSTPLPGILKKRVSFGG NGNGSESPLKQVDNVASPSSENMGYLRPPPGTNGTKLNGTHSQQEMEQVTNERNQLTI VREEEPAPPVQPPTRSVSQEDQEPGEYWMKPTKAEIEGMSRVQRSKVEGFTIGRKGIG HIRFNVPVDLTKLNIDDIIGNIVTLNIRSATVYSNPAKKPPMGQGLNVPATIALENSW PRKKDLKTPTGEKSGTRFKKHIERLKKVPDTNFLSYDKDSGIWEFSVEHFTTYGFPED DDETDLDRTSEFGQSTLSAPPDTPTPKTRTPGSARHDQSFASTSQLTTTDSDPDDTFE FRTKRKPPPGAFDEQVEYVGDEMDEEYDEGNQESFLDERSVGSPSENGVEEPMDQDDV FHDGESVSIVDQDMAGSYPEADNTAELGEDSQDDEDMDVMAQTPGVVMRARLRASKIA STPTKFSAGDDWANTLKKTVSPQKQDRALLKSLIDIHGNEQGPEPTPMPRRHVSDGRG FATSIDLMNSLFGQARSPIKTAKNPVKPKGFEWPYPKRTKTGDHDMSPMDDTERAFHD SMKPSWGVDGTLVYAAPPNAKPFGRSSRRIRNTDGLLAVQIGGVVSENRDVRFAKFSN EASAAHLEKHKQLTQINNSNRVPSAGLDAQFTFSELINLHTSKEPAAVHEKLVWQLAS ILFDEMVIPEELRDVPKVKDRLRKDKLSIFWQSLVDEASSKHVALGRDEEEKAIACLS GHKIAEACDLLVNSGDYHLATLVALIGSQDSIRQDMRQQLNDWQKSNVLSEFSQPIRA IYEIISGNVSVCDGQKGDIENRTESFIISKRFGLDWRQAFGLRLWYGLSTKEPIDAAV EAFATDLSQDKETAKPVPWYIEQKLPVIWEDRRRLQRQDLLWGLLKLFSFDNIDLEDI LCPENSQLSPLDVRLNWQLCQALTTARHVQFTETDGEKVDRLTLSFAAQLSNEGSWLD AVFVLLHLSHSDARETALQDHLARHAGLIGEADSQAFSTLIQTYKIPADWIWEAKALY MRSVEKDPRAEVECLINAGAYNEAHRTFAKEVAPLAVIELDYTTIRNLILGFSGKEDT IAEWHLGGEIYTDFLRLLDAEKHGSVDDLVLERLLAGLPAVLEEARHPSFMETVAIET ISGVVAKTVVAMGKNGEKSNLHKILRLPLTEDKFLKHTVELSLEYYRGLMTSAR LY89DRAFT_778181 MSHPHPSSHALDDELLSPLTRISRAPSPVPSIPPPAYTPRTNSE IPPVPSIPSIPNSYPREPTYKSPPSPTPSIQDPYYPTYPTSQFRAMNASSSSSSSSLD EIEGKIATARERRCSGTALIVMGFCVGGVVVAGVVVGAWFGSLRWRGN LY89DRAFT_384897 MAATIEELEGLFDDHPSLDASLQDFEPTSSEIGHSPRFGYPSHH SGFRSDSESEMADSVSGGRYSPPAWRRLDENGNRSSGFWNKRPTALGKRSRDSRESSP EYESADEGDDTLAAAARVRLPTGSLSPEKRRSPSPDPYPAGDKDFGNTFGSMIKHEEQ EHAIDPSVENKNNFIRFALRAEVQHRTEPFEATFSYFRTKFDRMTKSWTSLFISLIIG MLSMVAFRSLIQPGLPSPVPDLVKVAGLAKAFEPLIFYSENGVQQIGDLQATGVAVWD LGESVRTTNMTSAPIIVKELDDLSESLKTLAIELTRFFANVDGDVDGILIVMDWARRE LSQLQLTPPSPVTSAYDNVHTLLSRFGLLETPSGTPTRAGALATSIFGVTSQQRTRQT LQRTFTEFLSVLEESINSELQHSLALFSLFEAIDRQFGNLARTVARESDAQDSAQDDL LSSLWTKLLGADAGRLHKYEKNKKLLSNIRAKTVQNKNILEDHNRKLLMLKANLEHLR RKLVSPLVRANGSTLGVQEQIRGLEEVSGYLGGVREKQKSKLMEYLYGSGSQGRRIGS VERSEIEGRWDR LY89DRAFT_681202 MPFTYPSPNAPSLSPPPRTIDGAGFGKVALITGCSSGVGLATTQ AFLSHQYEVLGVDIHEVDYAQIAPRDAGRFHFHRGDLLSEGECDEVVRICVAKFGEKI DVLANIAGIMDAFEAADVITDHEWERVMGVNLTVPTRMIRAVLPFMKAKRNGSIINVA SKAAVSGAAAGLAYTTSKHGLLGVTKHTAWRFHDEGIRCNAVLPGSIDTNINDSIEKE HFDDAAFAVMKPIWELHNPAGEKPSITAMEVANVILFLASEGARAINGVALPIDKAWG VI LY89DRAFT_384942 MEMFQRVLKRMPSSPNLPSLDDVKTKAKTSSRLAFFRRPLRLKG NSSISIPLGVVLLFPCIVVVLILVLFVRHPKSGVGILMPAGAPPAIRKISEEHDKVFV TGCLEPDTSQPRANAAFVVLARNKELDGVIQSVKSIERHFNRWYHYPYVFLNDAEFNS TFKETITNYTSAPVEFGTIDPKMWGFPDWIDPKVAKEGIAKQGDAAIMYGGMESYHAM CRFYSGFFYKHELLAKYEWYWRLEPEIKYFCDITYDPFLRMIESNKTYGFTIAVKELK ETVPNIFRYASAYKRINNLTSQGLWEMFVEPQPEEPKDIPEEDTSKALPEEILRGDPS RASLPDIDPEAMEGEKYNMCHFWSNFEIARLSWFRSKEYEDFFQMMDRSGGFWMERWG DAPIHSLAAGALLAPRDIHYFRDFGYRHTTIQHCPANAPARQMPRSPFLESTTLDEKK RKEEDEYWDSWDPVKENGVGCRCRCDTDIVDVEGKQGSCLAEWVDVAGGWASP LY89DRAFT_681205 MSKITSKNLSYDSTLPPFLARLQANNNSRDDRHEFAIARPKKAR TAEDEAEDEPVYFNEESGETLTKGEWEAREAGNDEEKADEKDAAHTLSKEDPESLKAG KKEEKVAAIGVGKKRKVGKIVGGEEDDEPIAEEKKAPKREGKKVEKGKSAKKAKKVKL SFGDDE LY89DRAFT_729312 MVAEIKRTVKLITEQRNIDKPALQEGFPMKSWNIEVYMLDEMGN EKPATCFQKVVYNLHPSFENPIQTFTEPPFRCENEGWGEFDMTIDLFTTEKGGKNTLA HDLNFGKPRYEAKHTVSFKNPSAQLVNILRETGPVGDENGKKVKKESKSGGKKGIDME KLAESLVKLNEDDLLHVVQMIHDNKSEDTYTKNDIEQGEFHVDLYTLPDTLVKMLWDF VHSPSRAT LY89DRAFT_681207 MADAGSGPQLNKVETELATKPLHGDENKEESKEAASTGPTLTEQ ATNAASSAATTVTTAASGVAENVFSMFGGGPKKEKVEDEDRGENSGSAKAQKEKDAEE NPEDEAPESEDVHFEPVIRLTEKVEVKTNEELEEQTFKMRAKLFKFIREANEWKERGT GDVRLLKHKENGKTRLVMRRDKTLKVCANHYVVPEMKLSPNVGSDRSWVWNAAADVSE GEPEAQTLAIRFANPENANLFKEAFIKAQQENEALFAKST LY89DRAFT_555008 MSARSEAPSDEGILGESAYEFIDTDGESRDDGATESVASTDFGR PDDVASLADTEGSGDESEDEDRHDSSSPAYPGHEHAVEQAFNTPTIGHSAAIILDDLD KPLVQSIEFDEPLSLGAETVSVKHTVADLNEEQTADALKGMKVHNLPSRVVVTICQTM TKQGLSTRDPLRILYVGSHSAKQDIIHKIASSVTASVESGRRAHHLRHSMSQLYNVVP VTAFGSERTPEIELMHSSGYQIKVEDCIFAQNLKYEDNPEKPDVIKLTIDDNFAYHSV PEGQGFIVEPHWELPHVAVFYCSDEDDIEARRTRTIARKFMSRHSIPSIVISHRQLLD RGQCMSLDQHSIHMCLESRDSNGRGNIVHQRLPIDLASFLNIDARQMNRNLAYLTGLH EPSDAPIPAMPSKKTLAVDSLDIEKTTYSLSDSIRSVRNRHGGAIYRAVLPVSVLLLS VLAAVLSGVPSYRFYQVNSAPAISVNSKVMPAVSISSTPTTTLSAPVPSITSASTSLS VQTQTRTVTVTQSIAYSSGPNSLSVLPSMELGKVPPQVQQTPKPVNKSSFCTAEMLGD REILIRIPSATKLSWLSKEAMSVNITRDNVTVETERAYSSDEGIVLLLPKKEAWGVLN VSIITTKKPRVNETFQVDFGSTASQAWQSLINKLSALVSEDGSLVDPKVFAQMREAAE NMAEEAVHQGQSTLSHMEEFRRVAMEQAASAGASITELAKSLSLEATKRSAILSKEVG IQLAEVEAKIAKVKSLQNLREPLDEGLVKAQIRSKLLWLKLQGKEDEYRAYEKRAAEA TRKGSVQAPK LY89DRAFT_778190 MSAAQKRLTQVSGHLAGSGSKIAPTEGDGSSGRAKLLQKNPDDI VVTACLRTAFTKGGKGGFKDTHAADMLVGAFKALIERSNIDPGLVEDIAVGSVLPPGG GATEFRAAALAAGFPVSAAVKSLNRQCSSGLQACVDIANAISAGMIEVGVGAGVESMS LQYGPDAVTEFSELLESHPESKNCKVPMGVLSEKMAKDKGIPRTVQDAFAASSYQKAL KAQEAGLFDEEIAPLKVKWENPKTGETEEITVSKDDGVRPGITAESLSKIKPAFAKDG SIHAGNASQISDGAAAVLLMKRSTAERLGQTILGKYVAASVAGVAPLLMGIGPWAAIP KVFEKTGINKDDVDIFEINEAFASQCVWCSNELGLDMNKINPKGGAIAFGHPLGCTGA RQVSTLLYELRRRGEKVGVTSMCVGTGMGMAAVWVAE LY89DRAFT_704616 MDSMPIRPIPVPSADALKIAEPETKTQHVEHHLPKGLSQTEDEK AGHWFIGSIDCGTTSSRFLIFDGEGTPISNHQIEFENIYPESGWHEHDPQELVNSVDE CIEKSTAAFLEKGYKKEQIKAIGITNQRETTICWDTNTGEPLYNAIVWPDTRTTSIVR ELKAREGADELQQLCGLPLSTYPSSVKLLWLLNHVDAIKTAYNEGRLSFGTVDTWLIY KLNGGKEAGVHVTDTTNASRTMFMNLHTLKYDDKLLDFFKLDQKNITLPKIVPSSDKD AFGALASGILQGTKITGCLGDQSAALVGQCGFNPGEAKNTYGTGCFLLYNVGDKPVIS KYGLLATVAYDFGNGRKPIYALEGSIAVAGSGVKFLMNNMGFIKHSHKITELAETVKD NGGVVFVTAFSGLFAPYWIDDAKGTLFGITAHTQRGHIARATLEATCYQTKAILDAME KDSGHKLEGLAVDGGMSNSNLCMQTQADIIGIPVDRPVMRETTALGAAIAAGFAVDIW KEFDELKEINKEDRTIFTPQVEKKKSDAMFRKWEQAVEMSKGWTQQWEEEN LY89DRAFT_729317 MASNQQNGQMNASVPMYLHPLGGVSFLGDPARKLPELIFSRGRP QPEATCLPPDLVNTNPHWRTLEGAGNPDRDMIGQLNSYHGLPVRDAPVVDNYPLTLAP SAPQLPVTPMIPVAQAAPVVPAASIVPAAPVGPIDDPLYLYRVVFPPEYETIAAEVVR NLKPALKAWIRNDGQHISAFLSDLKLEEFLRPRVVFDHMTGNLRQRTLCDDDPKRPAH LEWKWNRTSEEALQKKLEGKNQFYEPDDECMEWKDFKAAIQVRFIKWCVEKVGYRAND V LY89DRAFT_577036 MNCPSRTEEPEGTGFNQNPSALSADLTTRNDLNGIANARELRRI PSTGIEGVVEPVPDGDTEKKKDIGSQGMQAVALDAKSSGCHVGEESGTTMALETLGAQ EGSGGGSGNGGRLSHHFERAKKAIITYGKFVGPGFMISVAYIDPGNYSTDVAAGATYR FKLLFIVLMSNLFAIFLQSLCIKLGTVSGLNLAQACREFLPRWLNILLYLMAEAAIIA TDIAEVIGTAIAINLLIPQIPLVAGCALSIADVLLILLFYRRNGSMKGLRAFEMFVVA LVLGVVICFCIQLSLIKDTSVGEVFRGFLPSSAIIQSQGLYQACGILGATVMPHSLYL GSGIVQSRLEEYDVKNGIVPSSAVTNANDKAPYYPSLPAINFCLKYSIAELSISLFTF ALFVNSAILIVAGASLSNNSTAASADLFGIYDLLSSSLAPAAGTIFALALLLSGISAG IVCTIAGQMVSEGALNWTLAPWLRRLVTRSISITPSIIIAGAVGREGLSAALNGSQVA LSVVLPFVSAPLIYFTCRNKFMTVRPHGAREDADEEEVVDGNGMRSVQSSEVPQEGVK MRNSWFTAVITVLIWLVITVMNVANLVLLGKGQGA LY89DRAFT_608352 MAQPQQQQYPMPSAFSPPPGSSPTTTQQYPVPPSKRQRLSPIPS SQPGSPYVQSPYMMSPNTSTTSASASPHFTNVQLPNVYNTPYSNGHTTSPMTLTLPQS NHQHPQQLQHQNSMNFNANQPSQQSTYNNFAPPMATPQGTGTMGPPSKPVEKEKEDGI DVMDVLGGTGVNLREEEQYTFQMYNSSFNSQLSGSQSGTISSGHSYTQFPPGDEASFY GAGPANAAGERANVKDQDEFHKKAADKAWHDAAHNLAVSRQKELNNPFLQVHQLQKKM EKMTREHGLALNMDTKGTMGYFKVPNQFPLKEVRVSTTMGPDAAFVSTSGGFIPADSM LVDQVALLSIATKHRLRGLVEDAAKLAKARQTGSHGIVPEEWADVAVPSDLASSTVAS EGGPRYGWESAVSPHSNPRKRSFSAANKSATPITGSKITNEVVAALRAEALKERDFEE ARLRKRSEQAGGGAQRQASVVPGTPGSIAPEVIEKAPTKKEQKKKAEAKVNEALTHTS ANTTASQFLGGRGMFGKTKKYSWMTPGAGGSASGASTPGRINTQGLPGTPGGGGPPPV EKYTVDGVRRLGQWREDKEKGRDIQMRDWISVLEADGRAKKTLQKAYLHLDHSDPK LY89DRAFT_637805 MASKRKAAAMAPEEPVDPSDELMFLCLGGGNEVGRSCHIIQYKG KTVMLDAGQHAGYEGLASLPYYDEFDLSTVDVLLISHFHIDHAASLPYVLAKTNFQGR VFMTHPTKAIYKWLVQDSVRVGGASSSSQQVYSEADHLSTFPMIEAIDYHTTHTISSI RITPYPAGHVLGAAMFLIEIAGLKIFFTGDYSREDDRHLVSAEVPKGVKIDVLITEST YGIASHVPRVEREQQLMKSITGILNRGGRVLMPVFALGRAQELLLILDEYWGRHQEYQ KYPVYYASNLARKCMLVYHTYVGAMNDNIKRLFKERMAEAEASSDTAGRGGPWDFKYV RSLKNLDRFDDVGGCVMLASPGMLQNGVSRELLERWAPSDKNGVIITGYSVEGTMAKQ IMQEPDQIQAIMSRTTGGARRGPNAENEKVTIPRRCSIQEFSFAAHVDGVENREFIEE VAAPVVILVHGEVHNMMRLKSKLLSLNADKANKVKVYSPKNCEIVRIPFRTDKMAKVV GKLADIPPPTSDANKSQLITGVLVQNDFKMSLMAPEDLREFAGLTTTTIVCKQRMNLS AAGIDLIKWALEGSFGSIDELPESRSKLKMNGDTHMNGSNEEADEEFSNLATVYLVMG CVIVRHKSNGEVEVEWEGNILNDGIADSVMGVLLSVESSPAAVKQSSSKHSHSHDLPS RNSHTDTNSTERLERLFMFLEAQFGADNVSPIELPKLKSQPAITASLKQEKDEEAASD DSAEEEHTQLEVSQRKEIERLHKIGIPVPGVEVKVGNMAAKVWLENLEIECPSRVLAE RVRIVVERAVEVSAPLWV LY89DRAFT_729321 MATESPNLQAPDYSHTLSGFFHIYSHRKFLGFVADVEDCNRVLL TTHGRVSQREMEELYTSEVIRLYHEWCSDPRKGGGLPEERRVPFLSRAFKLMTSSEAY AYWDFKRAEDIRAKAQGTLIFDSVIRVAVREENARQESLEKTPINGSNKKSET LY89DRAFT_385148 MPPSLIFFTGAPPAESLEWDEDHLLKTFEGPIARFTGLDTTAQV SIIPATFEDRPAWRSLPLVREQLPTGLSQTFDKHQVFESGWQHQSHGASFFTTSQVES LISQLSQDVESQDQPSSLQSVEEVLSQFYEESYARHEDVQSSQIAPASESGSSFTSDE LSYESASFDSISRPRGNVPIAGHLSNLKDMPNANHLDSIQPQTMTVNLIVGIISMPQP RNIKTRRGANVELIEVLVGDETKSGFAVNFWLSSSQPVVGDMRSVLQGLRPQDVVLMR NVALSSFKRRVYGQSLRKEMTKVHLLYRNRVDRDDVGGCYTAADLLSGEHVQPQVEKT RRTREWVMRFVGVGVGPSRQNRRSGKAIEVAKEALPPDTQ LY89DRAFT_637808 MSYKRSISAKQDVPKTRSTMATFKSLLFNAKNSLTGVTPEPITN LFPKVDPEIDGEDCDHDCSSCVVKWPKGFKIDEEDELYGHIKGWSTHVLVATGKTDWV RDVADEKGSVMEAIEKKADVKPSNGRLMLSASNIPTPNHNSDYSEPTTVLLLPAFTII ENVSPKNVNTLMSEYVNKAPTNTSPLEPVSLPASLPDPLPTAEQLTSRPCPHRALILL CSQKTRDARCGQSAPLLRKELERHLRVCGLFRDLDDERPGGVGVYFISHVGGHKYSAN VMVYRKADAFGLDTIQRGKLDGDVCPPPRKEEGEAEQMGAAQCIWIARVRPEDCEGLV KFTVLQGKVVKPERQLRGGFDRQRGVFSW LY89DRAFT_608360 MASQYPLPETYSHRRTKSSVLSNLSFMHKRTPSTGATLSSNVSH VSPHVSPTFEAMPFLPHDHPHYRALGEIQQNQQTQAQPLPKKSRDGGRPNTSETGSRP LHKKTLSSISLKSLAGKDGEKTSKTKEPKPNKPKKTKSSTNLATLLKRPKSSKDLNKE AVEEAARVQKDKENQSPTCADAPRPPPIYAQFSSEFFAKQPLGGKFLEDEIDLYTPEN YSPRKQRNFYEGPGYQPSLLKRDNGSATSGFQRPMSYLPTSFSIGDIGRRISNGSNHS VELMRRVSGGKRPSLERKATATSAKSEKSAKLDKAAPNKGQRVLAAVSALGSKPAKSP EATSVPVVDDKDVDREFEAMLDRRNIPEHQRGKMRKLAMTMKKDFIKQDWAEVAAAKN GRPGTNSSDSSADATSGTQDVPAAKTKRPRSRTFTLSRNHKDTTADNKKAKAEGTIGK HSRQPSTENINSGTRSFTASSVAVAQNLVARAKGQLPDDFISYMRKVQKPELVEVGRL HKLRTLLKHETVAWTDEFVTQGGMAEIVGLLHRTIEVEWREEHEDALLHEVLLCLKAL STTALAFQHLSIFQQTLFPALINMVFGEDKKGPSEFTTRNIISSLLFTYLKSAPLAER ANRARILLGYLKDPEPVETERPVGFVLEMRKPRPYRIWCKEVTNVTKEVFWIFLHHVN VIALPGSRFHEEEDCDLSAGGFDSTNPYHVYMAKHFPQEQPPVPAAPYVGGVEWDATN YLASYLDIINGIMASLPTREERNTLREQMLVSGWEKCFGGTLRTCKEKFYGGVHAGLR CWVAAAVEDGWDTKDVRCGPCIESKSSPKKSPKKAAPVEDAPKIDMKLDFLGGGAAGK KPVNDDVWL LY89DRAFT_681218 MNQQHHHNLRSHPHPSESPQRTPSPTRCPICLEDLSTNTAQFLP CTHNFDLPCTITLLTGPPGPQARQCPLCRAKIAAVQYNFVSAPISSCSTHIPGSSTFD SGPFIRPDETVTPSMSEWEKNRRRTLRALRLVEDYDPSLWQLFHMGVFVREGGNVVLV ERMVSLKVMQVDGTKTVALLVRSLSVKVVEKGMVEEPDLDQEEESPEDVEEAREEVRL LLKAFGVTREEGPWRDWVEMKVDDPEFKVLRDGKLLEVGARKFLVVQRKHGAEDITIT NCTSLTELFPATTILSSNWADEDYDSDSNLIDGISKAARVRAVSLARQEIQGVFQLFR TLSSFEDIRDLERGSTGILDSVKQEDLECEYCEAKHRNGDCKLPKAAELTGAFLVERM AL LY89DRAFT_714863 MESGTESPVRCSICMEYRSSDVARMVPCGHEFDLACTSRLLKSS GIVGRNCPLCRSRIEEIHYNFEDGTHEKHITGPVRAESPLMSGPFIRPDEELRTDMAP AERDRVLELRRLRQGGGCRIRMCLAKRGIHTKEGDNFIVMHSCIDVRIDQVPHDAGTA STMVRKFVIATLPIDAESEAYVHRFGPLNPAGIAQARDNVKILLARVGCFEQDFARFH KNGLLYKILYDDKGLRINVRKTLQIVKDDNGGEDILIVSTLKMNEIYATRPPAADPDK LYGIERSKREQVVRLAREEFEGALEFAFIQNPTIILSEPTATGILDVRPGIHCEHCDL GGHRNGDCELNKIRKIFADHGELGEDDEF LY89DRAFT_637815 MAPQSASHEVSNTEKVPSRVPASKSVDPALDEKSESAGETLPGW TIMRPLRMRGLKHKGKGDAATSANGSSHVSSNGNRVGSVDSKGNDELKVTSTNDTGDP GEIGEVEALHEVRSDDELLGPEDDGSSPQRVAADRGLESNGANQADGTSTGRVYKVYK RRWFGLVQLVLLNIIVSWDWLSFSANSTTTSQYYNVTPSTVNWLSTAFLFAFVAASPV VIYTLHHGGPKPSIIAASVLILLGNWIRYGATRSGEHGNFGGVMFGQILTGLAQPFVL SAPTRYSDLWFTNRGRIAATAIMSLANPFGGALAQLIDPSWANSASDIPNMVLYIAII SSVATIPSFFIPAHPPTPPSPTGHDEKQPLVPSLKFLFTSPEFYMIMIPFTIYVGLFN SISSLINQILQPYSFSENDAGIAGALLIVVGLVTSAVTSPIIDKTKTFLLAIKLQVPI IGICYLAFTWAPQTRNLAAPYAILSILGAASFSLVPVVLEYLIEITHPVSPEVTSTIC WTGGQLFGGIFIIISDALRASGPNDGTADNHTTRPPGNMYKPLIFQTVIALVVVPLPL MLGLFGRRKHTRMRRVEADKERTASRDAENGGVLAI LY89DRAFT_681220 MGFFDTFTDILEAALPWGEARAEAAEPEEKDDEKESGEEGKEDE ESKDEPEEAEEEEEEDEPETEDPKEKFEEECKESKQCSPAKHHFDECVERVTGADEGK PVDKKHPNEDCVEEFFHLAHCANQCAAPKLWAALK LY89DRAFT_550248 MYQFHQEQHSYALQAAQARELKSNQSWVMTTSNTDSFVKLPNER ILFTSPPRVSLQISTPNTFPGAEPLSIKSDAGIVYITNQRIVYLPSSPTPALQSFSSP ILNLQDTYVRAPFFGANYWVGTCKPVSGGGIPPAHAAVELKFTFREGGAFDYHTTFEQ IKERLQQAYSVARESGQHGAGNVDLANVHLEQLPAYEPAREVEPEDDGPTILSPIPTR PGRDSGIDGVRSPPETDSPKLEQSAPDEPPPGYEEAQAQAVGVDLDRRLRDEAERQ LY89DRAFT_681223 MATQSEENQPTLVEIRSTGDILLDVHFKNTSECTKSIPKDALRS LRTRKLPIPSPRILYRVRLDTLKKHSEYFRIMLKPQFAEGLAVEKALKDLADASQVAS ELEPEKLPRVSIIDEDTATKTFGREAVFRDMLRIIHGADPITSPITTQFLTVLVLMAD HYNVTSTVSRHMQKVLVAHKYPITLDKNGEEILRQRVLIQFHTDQGIRFANSTKDLIL KGSTRWTGMEDLRTHEYQASWWDLPSGLEAELTYRRTCVLRTIASIQTQYLIIYSSKE RQCKLGYDSSASCDSFQLGEMVKFLTRKGLLSLVPFQAVSPEDPEYIWPEAYSGDIEY LIGVLRQCPSYQIDHNHGHCGLRTRLLPALDYIKSCIDTGLGIKLNRSKTGPAFESWI PSTSVPRKKSFWVSGKDGEDVDVGGYKAKEFEFVPSRSKTTLGGGGRSVERTPKGLFT AEKWNWVNEPETPMMRTGPTLDLGRR LY89DRAFT_694308 MVLSPWNSGSLILIACLVILVLPAPAAAFGAGNIPSIAQVEGLN FRHGDIEDMLKTVAFIKGHKWTSMMVKRVYFGNWLRDYSQAVDVGSLKGVNAPTIRIL VWVLSFLSFGYATEEFEVTEERLGVYRPEEHIDNPKDYADNKDAREFDPRLRGPVQQV ELDVDMNTGMKNYIANESGGWATSAGYIKFSFARSIHFGRVYTNGSGNTRGKEADLCE ALRCLGQGLHCMEDFGAHTNYTELALRELGYHDVFPHCGIATEVNIRGHRIFPLVTGT FGAVDFIHSVLGEATDHFTQSEVEEMDVALKGAEIAQNRSDSQLNDGQRGIFGSGGGT DFVSLLTQIPGMGGGLASTARDLQAQSTAQEFENETARADASTQFAAPPTSGAAGATS TIPGMSPNFDPIKTAKQIYPILEFRDKVVKAINNTIAKIPGLESLIERISETLTVFVL SLLAPFIRPIIEAVSKTLKDGSSTVVEASANQQFEPWNDPHCSNPTHSMLSKDHFSNK LNGVAGRVATTILQYAAPRILYAWENPGVPVEEVMNDILRAFHHPALRDESIEIHRNM FNTVRKWVDEQSDRQALNGILSSESVKAGRNHKTIGEKGLDHSHGALGGHGKTSGSIW SEIQSRDLGAMEGTDGYVAASYMSSSPAPPSPAPHHSPNFGYNNNAPRPGSANYDRPT SSGGYLAPNPAPGSYHSGPQHHGGHGHGHGHHQQPPYQGGYQSAPYPDQQRPPYPPYP GGYQQGPPPPGGPGYWPQGPPPPGGPGYQQPPYGGGYPGQQPPYGQYPPGPPGGYGY LY89DRAFT_768007 MSPSRTLPTLLQAEVAAHNSSQSCYVTIGTKVYDITDFLESHPG GGDLILEYGGKDVTEILKDEVSHTHSEAAYEILDESFIGFVATQKVMETIVKSTHPDE IVPLPPTQEGLKELQAAGAAEGVYAATGMASEEDLSKETDLVNDYKTHKFLDLNKPLL MQILFGGFSKDFYLEQVHRPRHYKGGESAPLFGNFLEPLSKTPWWVIPVVWLPPVTYG TYLSTFGVSSNFEAAAYWFLGIFLWTLVEYILHRFLFHLDKFLPDHPIALTLHFLLHG IHHYLPMDKLRLVMPPTLFVALATPFWKLAHFVFYWNWSVATAVFCGGIFGYICYDLT HYFLHHKTLPAYWRELKKYHLQHHFMDYENGFGVTSRFWDQIFGTQLAPPPLKQK LY89DRAFT_694310 MSALDVEALLDSTANGTPVENGSSKPKDLDDRHRNERNDRRDRD RNRDSSRDRDRDRKRRGDRSRDRNRREVNGDKELIGTPTSDHGSAHGSTKSRRRSRSR EDRHSRRHRDSPDETGRRDGDFYRGSGRTRSRSRSPNRYYRPRGDRRDREEIDAGKPR EDRRNRNDRRASRSPKRESTPPLTEDERDRRTVFVQQLAARLRTKELIAFFEKVGPVK EAQIVKDRVSGRSKGVGYVEFKNEESVPAAIQLTGQKLLGIPIIAQLTEAEKNRQVRN TETAGSNPNQIPFHRLYVGNIHFSITESDLQNVFEPFGELEFVQLQKEEQGRSRGYGF VQFRDPNQAREALEKMNGFDLAGRPIRVGLGNDKFTPESTASLLQRFQGQSHQQQFQG SAFSGAGGRGPQAVGGSNFDRAGGRDNDKGAGGASALDDTDVGGVNFNNYSRDALMRK LARTDEPAPATKGRDERREILKPKTETKPLPVNVNMASRCVVLKNMFDPAEEEGENWE KELEDDVRAEAEEKYGHVVHIALDPNSQGDIYLKFDRVQGGENAIKGLNGRYFGGRMI SATPVVDAVYSSLFSRTKAI LY89DRAFT_385378 MSNRNKNQKKAPAETPAGLTKALEGLGRIRGSAATPQPTMQPSM QPSGGMTFKDRDAMAKGEGQQNHPQEGGSSSSDTSGGGVTLTMAPHKPPTILEVASNF QERLNTLIAQVQQTKLSQTVKKELTEEIKSIAKEFRDHFVRIQQEIKLLKDDKQHYQR QLVDTARRLDGDLEASRKDIEKLRLEIKELREQAKQAEDNLENANLRFKEETDHLNAR LQMQDEQIKGKRALWLESNSASGARRSAMTPVRNPFESPSAQSSFNKGFGQGMMGHTR SPSTTTSPYNSFGSGIGNLPSPSPASTSFGSNVAWDASPPKMRLPDGSASRRAPGLPY LKALPAAESPSGIISNSAARRIVTEAGDVPINPNYGALVLFNENADEDLSPVFEADIT VILLAIEGWVKEYAHVPNHGAIQNIMMNNKDLWDYMLNCTYPGNRQDAYNHTMALVND RGCRYWFVMRMIAHYVFTEMLSIEAWHGFGNNLDKILKDVAKQLADKKGLLNDKRQHL VDRRTTAVQTIVNDPMYKEWRSKQLHDHSRRLRNMLGPMLNIDSDRTDAGRDLGTLAI QVWDLSAKMHTTPLTFQIYFPETGTKFVAAHMIAKDRPNIDPVSIQVNQPRIKLVITP VVTLRDDHGSKIKAKNLHHAAVLLMG LY89DRAFT_681227 MPSGSAWSLSPQDAAPHRSIFGSLRKLGRKNSNASTTSNPSRTP SPSSTMGRSNPFSPEDVGRNPLSNPSPATRRPDRPGMNAFTVPSNEPPPAYTPSPTTP TAAQTFELPASSAPVPTPVATHHSGGEDPYSFLATFDTTLLIDDSGSMAGRSWREVSQ ALSTIAPIVTKHDSDGIDIYFLNHKSSNPGAVNDGIAAGGYRGIKRAATITEIFERVR PGGGTPTGIRIHNILKPYLAKLEKETAEGREMKPLNLIVLTDGVPSDDVESVLLSAAK KLDKLDAPPFQVGVQFFQVGNEEGAKEALEELDDGISELVEGGVRDMVDTVTWTGGVA SSEGGVGLTGDGILKCVLGAVVKRLDRRRASGEVRRS LY89DRAFT_778209 MKFIQAFFPLAFAAVAVAAPTATLDKRATTICGQWDSVATGTYT VYQDLWGESAATSGSQCTTVNSVSGTTLAWSTSWTWAGGSSSVKSYANAVVTQSTIKQ ISAITSIPSTWKWSYTGSSIVADVSYDMFTSSTATGSNEYEIMIWLAALGGAGPISST GSAIATVTINSVSWNLFKGPNGSTTVYSFVAASEATSYSGDIKNFFTYLTTYQSFPSS QYLLSIGAGTEPFTGSSAVLTTSAYSTVVN LY89DRAFT_637838 MLSNRGTKYAQSQDIPWGFAPGGSDRYDKVSNPNGVISFSMAEN SLVHQELEDFVPKNVTIPAEAFAYRYSAGGGLRFPIAMANHLNEYFDPHSPVNPDDIL TAAGLTAIDEMIAFALGDPGDAVLVSRPIYGRFELDFGNTAGLKIVYTDMEGVDTFAP EIVGKYQKALDASNAMGVKIRFLMIVNPHNPLAGRCYPETTLKEIMKFCQTNDIHLIS DEVYALSVYDTESDLPNFTSALSINPSGLIDKDKLHVLSGMSKDFGSVGLRVGSLITQ NAELKKAVSANMRFHNPSGMAIAVSTAILENRKFVASFLELARQRLRESRAYTAEVLD KAGIKYEPGNAGFFLYIDLSLYLPDLKSTGPDAERGKEFALAQKLLDGGVGLHPCEEQ NDKAGHFRLVFSSFDRDTLAEGLRRLLSVLEIRIS LY89DRAFT_385616 MGSLEQDPEFLREEWTLYGIGVVVILLRFFARIRTVGFRGFQGD DYMSILTLAMFTMDAATVHIIYYTGTNVEASVVQKTRPLTSEEIAMYTYGSKEQLAAW YSYTALIWTMKFTMLFFFHRLTVGLWQHKYVKCLGGACAVAYIAVVCTLTFGCFPTQR NWQVVPDPGLKCTLKMQNFLVTVVLNVVTDAAILAVPVPLLWKLKIPNYRKLVIAALL CSGLFVITAAIIRVVLTLGSNPSALNINRWGVRETIVGIVTINMPILKPLFSKSFWTT GSFKSSSYLHGTARNIQERSNKGPYELSSKAGGKRFWSGDWDVEAGDVKIRSKSEESL DRMQSMGNDSQELIIQRLKGITVHETYPVKSEDVETGDGLGWESNANQVSIHAKRTEE SRRQT LY89DRAFT_681229 MQTSRRIFFNPTSLPGLRTKPQRRDFYHGGSTPRPRVGLRKDVW RGIRRESTETGEDQSGHIAAGFNEGILFFDNLFPLKLTWLLRYPLRSERNLPDLLNRF HSQTLSVLDPIGLVKQAIPASVPLKITEILPRLKDGGAFVKFSHPDAVTAKEVEGLVG RYLEENPVKPWFSPFRGIRTKLVVGKPWLEDLHRFPSCRIKVEFVPTSPGGQAAELSQ ETLYSLFRKYGKLAEITSQASDSKVLPKFAYLDFARLRHSIMARNCMHGIKISEAQGG GAAGTELRLSYEQKLKTHWIWDWLLNHPRVVIPAIAALTAIIAAAVFDPMRTFFIRAH VEHAFHLKDNKIYKWFKNQAGDIFTFRLHRGEEASLGAIFDDRKEVIDQLRTWLMETA ETFIIIQGPRGSGKKEVLDEALKGRPNILNLDCKRIVEARGDSATISTLASEVGYRPL FAILNSMSSLIDLASQATIGSKSGLSETLDSQLKKILETTATALKQVALSHRNKDDKD ASLNDDDWLEAHPEFRPVIVVDNFKTDEVAVVYDKISEWAAALTTQNISHIIFLTNDI SYSKPLTKALPDRVFREIVLGDLTPEVAKKFVVTHLDQDEHDPTGEAVKLSPSIKHRD LEELDECIAVLGGRLTDLEFLARRLKTGQTPKKALAEIIEQSASEIMKMYLLTLDKGD RKWSPEQAWFLVKSLAEQENLRYNEVLLSNTFASSLTAAATNGEGVLEALAAAELISI KTHNGRPATILPGKPLLRESFKKIVDDTALRSRLDLAVLKELITIETKSIDKYENELT MLGGLPRQRELGPRIQFLLTNLAASQQKVEAWTKEVGALKKILAKEY LY89DRAFT_729341 MRNVAGLLVLWFCLGLSFVHGNDTLWFNADAGITKFQVTMIVPS ISRGLGSHGVWPGLQNDDDTFVFQSVVSDSRVPGTWQFFVEYCCNPDYQATSVRVYPG DSITSTFSVGANAQWTDTWSISPGAAGRAAGATAQSGSSGNSFSNRGTIDKAVLSIEL QNSGVWDFGQVQWSSLSITASTTQSWCNGAYVASSSFKYAVSGGASHTSGSSTTCVYN SVTFG LY89DRAFT_778214 MGWLWSSTPESTSSPSSQDPKPLPPPQSLPETLTTSTASKPLSR DEVADQELQSFLQEIATDTAPKKQKYNRISRAATNNGIPTTNSDSNEPLGDQLLPTDM SCRQAFDEAFYCNSFGGRFNDLYRYGTLRSCSEHWNNFWFCMKTRSYTGQGKEDAVRE HYRSRESMKYSKDLGKESSENVWKSRDKKVAWGTAFNEPFPPQYEGSDEEWRRLEIHM CTFGRR LY89DRAFT_577032 MGSNGTGSRSANRIRRDAPPQLLGRGQRNSSVGLRSASIVGEVA MAQPAEPQPYVLTAEYILKKYRGHPPSLIIHLHPTHFRFDQQEGSFTYKSPMRMVLEH IRQRTVPHDLLEFMQDVQFYDGCMIVQIHDHKSIAPSQGPNRTATGPGKTVPFSVHNY NTFLTPSSYVPYPVQNIGTGKGKDAEVEDKIKTAEQKDKENMPAPNLPGDIQRNKSIS PPRKPKVITVVLHPTPLSNHIDLAIKAGEAYASPEDRRGSRQEVNGGPLSATVPPTPT TGAPPTPQLNMAPPAKRVKRTKAELDISNVYGFESQVTLARTAELKLEPVANAAESAA LLSSLAHPMHSEQPPAPKTRKRTVAEMAADEALAADQERFMLVLDERLASNTAGAPGG ANPANGDGPAGVSFEPRFERFKTLENIRANHEENKRQEKLRREEAERKQRVEQERNKL EENNKRAAEEKNRATAAAMQQAQQQQQLQQEAQRRRLAQQAQQTHQSMPTQIQAPHAH PQANGIVANGMNAQPQRFHQQQVSQAQTSSPIVRNGTPHSHSSPNVNNMSNAPMQHST SSMGGSPPRPGSVVQQNHHPMGAPASQGMAPQRSQQSHAGTPRMPSSTPNVQVSTPLN RQMSQTPRMSQGSPLQGPMGQTPQVPMMNGQPMQMSAQQMQVLQQQRMQQMLRNQAAA AQGMGGMLNTGHPMTQQQMMQAQAQAMRAQQLNQQLGQGNPMAQQYAARLAQMNQATG MPQNMNQNFMNVNSNPQANMQQMQRLQQMQMIQQQAAQQAQQQQLHQQQQQAQQQQQQ QHPDQQTMMREQMMQRQIAQMANQLYVSQRQGMAAQYPGGLPPDVDQSLKQRCSAAAR QQFEASYRARQQMAVAQRMNGMQNGGGM LY89DRAFT_778216 MGRHSKERKAELLAQREAEERYQSEESDYETSTLQEMQNRQKER FKASVENEAANVKPKAKKAKKVALEDHTLNSQNGSSLALRRRKPGRVNRASKLDLIKL IQNLAEALTTVGDPEDVKTLLAEDDVLHADIVSTLHKNCHVIKTSLQHLGSIADMPDI PDVTVASTLPYYGKYVLYGPKESKLLYLLSVAEVFKANHSAYSKRKTLGFVRAKGTSF PLQCAISGWTNVLDFHPRMLDTEFWLNEVKYLAETLDHNFRSGCWDKANDREDGDGYA SHVEPTLMLYYAYHLATKVFGIKSPVRKVIQSIWLLDKIGETFEAELVLSKAPCGPCK EFKQLIETFTGIKFTFVIMDNVAEVAPKKDKNGFDIYPKHAVQEEDHQEIIEALDMML EYGATPKKTVPSFVVEIPTPPKAHTPKTKAPKPIAQFEAPDFHTQYPEPRPIIGSSPR LEPLYTQVVTTSKTVQKRKIQSFSYESPKKVTILDDSDDNVSEYTPASSQRSMSKFRL SHTSTPTRKTSTYTPPTPDSNPFSLEARVRAKEMRKKKRQAVGNEESPTAAKKNRWQD YRV LY89DRAFT_714882 MAGGISVRDVDAQKFIENYASFLKRQGKLPIPGWVDTVKTGPAK ELPPQSIDWFYVRAAAIARHVYLRKTVGVGRLRKVHGSPKNRGSRPSHHVNASGSVDR KVMQSLEKIGVLEQDEEKGGRRITQSGQRDLDRIAQTVQESLEGDDEDDE LY89DRAFT_385878 MFFPLRHAAVFGLFGAAFASPAYHPRRQAAASTGSCPNTVTVFA SATDALTITVTETASIEYVTIGSVQTITSTLSVCSLSEYSSSAAASTPYSSSAAVSSS YSSQAASSSSNAASSTLYSSSSSPSSTLDTSSYASSSSSAAVSSSASASQSESSSLSS SAAASSVYSSSGSPSSSFYSSSSAAASSTQSSPGSSSAAASSNVYSSSSSAASSSVSS SGAQSSSAAASLSQTSSYESSSASSSAAVSSSAYASSTISSSVPSSASSSSSGAASSS AVSSSSESSSVASSSSAAASSSAQVSSSASSTYGASSSSAAASSAESSSASSSQAASS SAYSSSAESSSASSSQAASSSSYPSSSSQAASSSTESSAASSSAYPSSASSSQAASSS SYPSSSSQGASSSAYSSSAESSSVSSSQAASSSTAASSSAYSSSVSSSQAASSSSYPS SSSQAVSSSTAASSSVYPSSTSSSQGASSSAYSSSIESSSASSSQAASSSSYPSSSSE AASSSSYPSSSNEATSSSSYPTSSSQGASSSAYSSSVESSSSAAVSSSSAQASSSAYA SSSSATSSSQVASSSSAAAQSSSQSSAYSTSTSEPSSSSAAVSSSAYSASSSAAVSSS AYSSTIDTSSSAAVSSSAYSSTIDTSSSAAASSSAYTSSVASSSSAAVSSSAYSSTVD TSSSAAVSSTAYSSPAASSSSAAVSSSAYSTPAASSSSAAVSSSAYSSSTVDTSSSAA VSSSATSSPAISSSSAAVSSSVSSSSAASSSSAAVSSSAYSSTADTSSAYSSSSAAQS STARSSSSSAAQSSSVESSSSIYPSSSSSAAVSSSNQAQSSSSASSSFSAAVSSSAQS SSSAAAAQSSTATQSSSSSTQASSSAAQSSTYTPALPSSTLATSTLAASSTVSAGVPE VTIYSTIIDYVTNVQTEESTVFDIETSVIIDYTTQVDVVTVYATAPVCSASPTVTNPS FETALGSEWAINTSGASGSSVERYLDTDYNDPNQVQPFDGDYSLELNFPAGSTSSDII TITQSGITVCPGQAYGFIGYGVAGPNVAGVCKVWFCVTPEFGTQKCTASNSRTRVFDN DITDEADSWAPFELEFFNSNDLSLTLDLEINAYCTAPGGVPASMYIDLVTVTQ LY89DRAFT_681232 MAPAIGPAIGIDLGTTYSCVGIFRDDRIEIIANDQGNRTTPSFV AFTDTERLIGDAAKNQVAMNPHNTVFDAKRLIGRKFADAEVQADMKHFPFTVIDRAGK PVIEVEFKGEKKQFTPEEISSMVLVKMRETAEAYLGGTVNNAVVTVPAYFTDSQRQAT KDAGLIAGLNVLRIINEPTAAAIAYGLDKKVEGERNVLIFDLGGGTFDVSLLTIEEGI FEVKSTAGDTHLGGEDFDNRLVNHFVNEFKRKHKKDLSSNARALRRLRTACERAKRTL SSSAQTSIEIDSLFEGIDFYTSITRARFEELCQDLFRSTTTPVDRVLADAKIDKSKVH EIVLVGGSTRIPRIQKLITDYFNGKEPNKSINPDEAVAYGAAVQAAILSGDTSSKSTN EILLLDVAPLSLGIETAGGQMTKLIPRNTTIPTKKSEVFSTFSDNQPGVLIQVFEGER QRTKDNNLLGKFELTGIPPAPRGVPQIEVTFDLDANGIMNVSALEKGTGKSNKIVITN DKGRLSKEDIERMLAEAEKYKAEDEAEAGRISAKNGLESYAYSLRNTLSDSKVDEKLD AADKESLKAEIDKTVAWLDESQQATKEEYEEHQKELEAVANPIMMKFYGAGGEGGGMP GGMPGGGPGGFPGAGGAGATHDDGPTVEEVD LY89DRAFT_577078 DLHFQQNNTKGHASAFTKSVIKTTGLRVIKWPPYSPDLSPIETI WNNIKD LY89DRAFT_714885 MSQPETYSYSPLSPGAKNIRLLRLLPYRNESGERTKLQCELLEY SLQDLGKRTHLYEALSYTWGGQEKPCSITINEKNLNVTTNLYAALLHLRYHSLERILW IDAICINQTDPEERGQQVQLMAMIYSKAHRVLVWLGETADDIEGALEDIQRAANEEST EVSNKKINEKAISNLLQRQWFQRIWVLQEVAAARHVVMMCGSTEIDGYAFCLGLKSLR KSQELSDTAFPRLQSLPALTNLIERAGLRPKYTTNSSERYSLEIRSLAELVDMFHTRQ ASDPRDKVYALLGMSSDDPSKAGLQPNYEISWEVLFQQLVKFILGKDTLVEAFCRKPA IKSKGCILGQVSSVESDHGQSVNIALINAAWPLRKWSLQASAKSVRKGDIVCLLKGAS KPTIIRLCGDYFAVVVIAAIALNGRGSTGQPKLSKSTLHFSRDLLLVWDWEDHLEDTQ EQGKNENWTKISQVPEDLKAELGDHLDEVTRIWNVALVLGDLKEYGKAEERLREAIKG YEIANGEEHLHVLKGQYGVTPLSWVARNGCNAAVKLLLAKNSIDPDLQDSQYCRTPLS WAAGGGHAAVVKLLLETDKVEVDSKDNDGWTPLSWAVKGGHEAIIRLLLERSKVEVNF KDNNNRILFWWAAKGGDEAVVKLLLETSKVEVDFKDNNGWTALQQAAKGGHLAVVERL LQEKAEVNAAGAADYNGRTALQAAAEGGHLAVVKCLRDAGAV LY89DRAFT_576525 FGFSFGDFIAGIGLVRDLVTALQDGGGAKLQYRRLITKLMNLER ALTKVRFLKVDDYHVSQKIALEQITMQCQESIEDFLRRNAKFNTTLGAQTTFSKWSWR ANLHKIQWAMCQEDAIDKLKAKITGHTLTINTLLATIHL LY89DRAFT_729350 MASVEPLPPAEWYKDVAILKLISGGNAIKISQGLGIPLALYDHF RMQFTHYSEDNGLLSMPNTQTFSASYDLSRASPDFLKSLWRAMLNDAKKANNPYRRLP SQPPPTTTNTPAASPLDLRPSKFPHNLLPGTNRNDLENILARQAPYDTWRRDFLAVVS PSFDEAKHEIVSYNIYDQTVCCIDGPSPFESVLSRAQSYRQAYIPFVVRLKGTNDGEA FFAREDHRPQRPPPPSPSATFRLTTYVKSYLRRGSAILALPFRPALERLGQTDLSNEA GAEPSPNSPASGLDRSSSQPQNTVAPGLSNGGTLLATPTSSLDPSAATEAALASASSS GDNPLAAGSRHPSPSPTTSSDGTLPLESSLTSGPGEEDRVPDSRGRKRPVVCVPDDVE SDDLYSATPRPKKRLQSSPPEHDGVTGDISDTNDEEEPAEDILAKEAVPPELEDEDDL RVEMLQNLEDLVTRRCENMTHSVYKATCQLYTGANKFTNVQASPRQKIPLPGTKGNHA WLQQLLCSFWLLVRFRVCGGAFCCDDPGVGKTRVFILVYLTHLFARICESSVRLFEAG KSGCEHLPPDAPPGSVCPSQDQFPVQCICVPGSLTRYFLGNLGPALMFCHNDEGIQTW EKQFDEFIDVDSAFWKKWNVKPELFIQVSKKTHGSRRCPDSSKPQLWANWGGPGPTYP NPKSTAKLVLTMPQSFFKNVVQEFGRPATPAEKALWAEKMAKRDPARHGFLKHIPVPK EWPDPKKRLENYHPRVFWSLVGRDEFHLDYTMATAQMRALR LY89DRAFT_729351 MSFGFSLGDFIAAIELANKIRKEFVDAPRQFKAISDEVRSLSIV LQDIDVNLSNHQINNQQETHLQEVSSSCRNVLNELEKTARKYQELECKGGSWSTKAKR VWKRLNWEPDDIHQLNRKQNDQERCIILDWLTTIDYASQQSDFINRRQAGTGQWLIDS EEYQTWVHTPKKTLFCPGIPGAGKTILTAITIDDLTMRFQSEADVGIAYLYCNFRQQD EQKTDHLLASLLKQLSQERASLPDSVKALYEKHKDQRTRPSFDEISRTFQSVAIMFSR VFIVIDALDECRTTDGCRTRLLTEIFLAQAKSRTNVFATSRFMPEVTEKFERSISLEI RAGEEDVRRYLEGHMFRLPGFVVRNPELQEEIKTNIVKVVDGMFLLAQLHLESLAGKR APKAIRTALKNLATGSGAYDHAYEDAMERINGQIKDQEELAKQVLYWITCAKRPLTTS ELQHALAVEINESQLDEDSIPQTEDIVSVCAGLVTIDEESSIIRLVHYTTQEYFDRTW QEWFPNAQTDIATICVTYLSFDKFEIGICQNDEEFEEKLQSNQLYDYASRNWGYHARE AFILIPEVISFLEKKPQVEASSQGLLTAKRWLGLVGYSHQFPKQMTGLHLAAYFGIEP AVKLLLKKGADIMAADSGGWTPLHWASRGGHVEV LY89DRAFT_694323 MQRESISIIFLLFGLRMFHILHPENVKMVLFENFDDYGFGDRRA VFAPFLGSGGVVDLQPLFFNLTLDTTMAMLLGKLVYSLRIDNGNEDSNRAFVESFITA QESLVKRFRIAFFYFLYAFLSFRRVCSTTHRFVEDYIHERNLQSSEAGELYGFIDKLA QKCGTTAELRDQLLNVLLAGRDTTACCLSWIVRFLVRHSEVMDRVRTEIVSVLGKMWY LAFIIKESMKIFRLRLYPLVPLNNKTAMRITILPTSSRPNRKSPILVKRGKVVIMSQY EIDELKDIGWAYFPFNGGPRACLGEDFAIMEVLYTIVRLLQSFPLVKLPVGEKNEVVG SEKQRLTLVLSSADGCRVNLGQSIAS LY89DRAFT_694338 MSQVHGIQQLYLPEGEPTIDIVAVHGLNGATQTFCLKYLTTARI LTWGYNANVTDFSGTTSSDRILQHAHTLIAQLWADRELENAAERPIIFLCHSLGGIIV KRALAYSHSRTAHNIAHLHSIYTCTVAGSLFKLTAPIPKKILRSNSSLVHALEPESEI LQNITDQFAPLMSKFHNTKRSGIAADHRGMCKFEDSTCQGFRTVVSALRRYSKEAPQV VKARTKKASIDMDSQRCDEAAELLRAVQYFPMGTFPLSAISSFRQDKALLLPLSCVVE PAE LY89DRAFT_681235 MSLRANFPSATQSRVNLNFLRDLSEYDEVKPYHISGIISADHES CRTNMQFEKRGQVPFYNLRGQEHELSIDKHGFEMITVPKDISHLDVKGSQKQEYISHM TEIVKRRLSATLVLCYDYRVYCLALQVFLSAESASSFDPVIKTKISRMILIWGLRNDP MR LY89DRAFT_704645 MAINPLTATAAELQAKLTDNSITSQHLVKIYLDQIARHNGSLKA VIATVPEKLLEKAAAELDNERASGTVRGPLHGIPFLVKDNIATIPELGVPTTCDSGWS AVGGQTQSAYVRGGFRDDDTGGGHSNPGGSSSGSAVAVAAGFCPISIGTETTGSLIMP ADRSALYTIKPTVKLIPQDGIIPISFEADSAGPMTKSVLDLANLLDILVDPSKTTIPE GGYKSAVTGSWDGIRVGWVEPETWLFPHEIVKYEKDVTDQMLRDWRSAYKQLTSLAEV VKTVKIVSLEEATAGGDMDIWNAFHHKFKPLLEEYLAGIDDCKICTLEDLVKFNEEHA EEELSAGANYNQAGLLRALNYNMTDDQYHKILQYARKACGPSGIDKALEENGVDVIIG PGDGPLFNLVGGAGYPVASLPLGYSDFNGRPFGLQVFAKAHQEALLVRVQSAWEANFP KRQPPDLKTINPLLV LY89DRAFT_768042 MVINVDDVYIALHHHWILDVSIFPDGRQRLQLALLILVCAYTAS RPGALVYVERNIKVKKSTSPILDDSLDEMDELDADEMDVDDDPTSPEEHIEALKTLCY EDVTLVLLPNLAGIRDIFAMEVNSTSLLGKLCY LY89DRAFT_714889 MRNSTLGKLRAYWLGCVVCMGGFLFGYDSGILGGVLTLSSFVKD FQYSKAHQTRVNSLAVGLQQAGAFLACLIIWPIADYLGRKKTLMLSSAIFCIGAIIET INTHSMAAFYVGRVVAGIGLGSASVVVPMFSSEMAPKELRGRIGSFFQLFYTFGIFTS YWVDYAVLKRLPATAQQWQIPIALQLVPAGLLGIGMFTLKESTRWLTKKGHHDEAWES LVWIRADESQATVDEMEEIRMGVEIEARETEGLRPAELLERSNITRLATAAAVFTAQQ ATGATAFAYFGPQYFKLLVNGSASKNLLLTAIFGAIKVAACLFFVLFLSDRIGRRKVL IAGAAFMAACQITTAAVVKAKPAGREGGEVTSSGIATVALIYMFVIAYNLSWGPLPWP YVSEIFPTRIREIGIATGVASQWLFNFVFSLTTPYMISNLGWGTFLLWGLFDAVIAVG SWAFLRETRGLSLEEISHGDFGVLVGGKAGDERVE LY89DRAFT_637870 MSPNNEHPTSSNTHHVTARQSYALRAEKATHPLTSYLLRLMTLK SSNLCLSADVSTANQLLSLANSLGPAIVVLKTHYDLISNWDYNPSTGTAARLARIARR HGFLIFEDRKFGDIGNTVQLQYTEGTARIVEWANITNVNMVPGKAAVDALAEAGRKWR ERKRYELNVGLSADRQRERDGRKASIVSITTVSQHFEPANSPRSGGEDFGEEVLRGIE EAPLERGLLILAQMSSKGNFMNKEYTDACVESARENSDFVMGFIAQESLNRQEDDQFL CMTPGCQLPPAEDEDAEVKGDGKGQQYNTPQKLIGQMGADIIIVGRGIIKAADPIIEA ERYRQKGWEAYEERIA LY89DRAFT_681237 MSSKRPNFLIIVADDLGFSDLSCFGGEIRTPNIDKLAKKGIRFT DFHAAAACSPSRAMIMTGTDHHIAGLGNLIEWTNISGQNDPNGTMSTAPQRGMPGYEG YLNERVVTLPEMLRDAGYLTLMAGKWHLGLTPERSPKARGFERSFAHLPACSNHYAYE PQLETPDKIPDFMTMSFIALHSEDGEYVKKLPDGWYSSDGYGDKMLKYLKERNESKDE RPFFGYFPFTAPHWPLQAPPEYVAHYKGVYDDGPDALRLKRLQRLKDLGMVDQDVEPH PVVAEEVKEWSDFTPEEKAKSCRAMEVFAAMVECIDANVGKVIDYLEETGELDNTFVC FMSDNGAEGAAYEAYPMVQGSMIQHLQKYYNNSLDNLGNGDSFIWYGPRWAQAATAPS RLYKAYTTEGGVRIPFLAKFPTETTLKAGTEDSITHAFGTVMDLAPTILEMAGVKHPA PTYQGREVVSMRGKSMLPFITGASSSIHAYDFINGWETCGRAAVRCGDYKIVFIPKPK GPEKWQLYNLARDPGEIHDLAEKDPGRLEKMIKMWDQYVLETGVIPLAPELGEWMRAT EEQMPENVWMEYEYWKDGARETPEEFMRQPKRFQRVVKAI LY89DRAFT_681238 MVFARSVVPFLRTARSALQQGSSVSPLQHALRRQNGGASVLNAA RAYATVFERTKPHVNIGTIGHVDHGKTTLTAAITKRQAEKGMANFLEYGAIDKAPEER KRGITISTAHIEYSTEARHYSHVDCPGHADYIKNMITGAANMDGAVIVVAASDGQMPQ TREHLLLARQVGVQKIVVFVNKVDALEDPEMLELVEMEMRELLNTYGFEGDETPIIMG SALCALDGRRPEIGETKIDELLEAVDTWIPTPQRDLDKPFLMSVEDVFSIPGRGTVAS GRVERGTLKRDQEVELVGKGDVPIKTKVTDIETFKKSCDESRAGDNSGLLLRGIKRED VKRGMVIVQPGTTKPHKEFLVSMYVLTKEEGGRHTGFHNNYRPQIFLRTADEAAALFW PDGTEDADSKMVMPGDNVEMRCVIHNPVAIENGQRFNIREGGRTVATGLVTRIIK LY89DRAFT_778229 MNFTLVVPNGTTNHGNPHLLCTPPKWYDVALFYFANYFAHAATV VSYPGQGTLETALAVVKALLLPGTGVFPAAETTLRHPALIRGDALAQANRARALCMVV KSRPRRLCFVNNPRRGIAALRPNNFQLERLDAKHRWWECKSAEGRVSMGSTIHGEFKL PRGYDLNYVPLTASLEFAKPGEVLVDPGTTTESMTGPMFLDYSPRLESTYNFPKLFVS LAQATWACVTLYRARGDQIERYGYAAFGLTVAPYACMSIINIVANLLAPDYPSIFLVR TPSMDEAEAKGGYCSGELHVKINPESAVMHDEYTYSAAESGILGVLLSLTPLAIIGGL SHFRNQKSTSIQRGFTMSWVVFGIFTAVNSASVYSSVDYDWSGQRLLGNGRFSSLGYA YKSSLVLRNIYFMAVWGVASIGGMVVVGKMIAEYGICTWI LY89DRAFT_681239 MSVLNMHIIIHSLLFLATVIPAAHAFTNGSLIPAYFCNPKPDGL PKSLGELIPFTVKDQNNALAFNSNASANLNVVAVTNSQPGNTGYMLASFHNTVNRITP IEPGLGVTLANSTSTSLIAGKPNLLILDSLAAGVALDGAMLHARDADGVPVGSFSDTG GVFVVFPGCGKNKEGGWNGVVHSMVIGCNQTYTKLSYHAPKCAPGPITLGGLSVTDNG FGVWNKTFEVSGSECKTNGTTKAAKVVVEELEEVGVGKRFWRV LY89DRAFT_778231 MSSSAQVNRPTNIKAKEEDVNRKLQFYGIASAFQAGKVPSNEQI DIALNSFLKSQALSSPSKKLSAEGQALVADFRNVVSEAKYLLLSKNEGNLLQDFIWQS QKIDGANAALPGAPVDKETAKQHGNEALEGLRTLGTLILSNGQFRKLLNDAVILMRDI AGDAAMKAADKVNPSEDQLNQIDKPADDNTWHDVPDMSAGNIKQQIKSQYNQNKPIDR NDVRDAAGNASQAAHPDGSRDPTDTAALASQDQQYGTASGVDAQSGVQNGAATLKQRA SENIDADTKQKGRETRDRTKNYLSKKMPQERREQTIWRLKKLVVECQGHPDYQQAITT LLDLAEQYATHANTVTQQSTGTVKGAHADTALQRAETDLRVLIERFANHTSMDDLFDS LNTIYRDADKDPELKGWFKKMDAYIRKCLKQQGYIMEDAATEEWNRLYDQGNFLLRDR YRNHTDRIVDETKFLADQFDQDPQNKKFGQSMQKLFNDLGNDENGKPTFKPHLVKDLT EVILPGVFESIRYVPIPRIEYSDPMIDAVIENLVIESDNLMPNVMEVAGDNYFRWGRK GMANKKHHSFMISVSGIQMDLRDVAYYVKKKEGFPSITDLGVADIFLGGTGFSFKLKL SSAHKKDQQNFFKVDKVDVDVKNFNIKLKQSKHKVLFGLFKPVMLKVIRPALQKAMEK QIKDQFNQWDSFAYKIKVEADRAQQEIIEDPENAPNIYQRYVTAAQKQFAQGKQKAQN AADSMADKKANVAMTKQDSIFPDIHLPGGISSKATEYKELALKGDSWESPIFKLGTAG VSNDVPRAQEVTRKNHSVTSGGVRGPQNIGNTGSMSNQLSDHSAQSVGTSGLGSNTTA PTTAGIGSTGNGSAGYSNGSISNNTAGFSNQVDQAFSKDTANGPSLTNGKSNGTPVAG SGNTYNTTLGANNPVMTGTI LY89DRAFT_714896 MPSNLERKSQRKRQTRLTFDPVDLSSSPSTMSPAKVRYQLDGAK RTPASSGQGSADAFESDNVPHSSNKDDFSPAPRKKTGKLPFKPLPTPAKSSQPQAQAD DSSDSLEIEGGPKPSTFRQTRSVAKFMPTFESKKKQRSLGYDGGYDSSEGSEVEDITP TKNKSSTKKKTTVVNLVSESDDALPVTPKRKPVKKGPFSSSRATRSSDSNGKSRRQKS VTLSDSDDDELVPLSKIGSARKALPHTPVLPRLRTSRKKVVDDSSEDDPIISSPKRSQ RPTYQVEQDDEDEEDEDIQPSPIKRYRRPVVEDDVDEEPVISPLKRPRPTVESTASPD DDISPTKRRRQGNQRNVDSKRGEPSSSHRSSRGRSSNSESTTPQRITRQQNTKRKHRT AKEKQMELLKRRRNGDNSELTDSESESESDGEEEFQQLEEFDDEEIEEAPVRPSRRPR ANQTGDAADSDNFITDDEDGDIGVPGLSAIPLEFTHHAHKQLKDHFKDAVEWMVHNKI NPAFARDDPIYRQAFKKLNDECSGLAKSKFASSQWTKEFTRAVYARPLLEEHVLIPGE GFDMASGLPICHACNHRKHKPSVALIFNGKAYDKVTLEEIDQGSSDSDKSKSAQDSED SDDPQAADDKCTSVNSQDQDIPPATRRYAVGPVCKTNAQQTHTLVHWKYNLNQWVISA LEAEGELTPAKLAERDDMNAKKRTKYTNGVVDRWEEMNQIKQLWHDYKLVTSTAREMK EKGRGGWQ LY89DRAFT_637881 MPLSFGRRVSILPRDRQRQSTYPLANSSRKKDRRWPLVLRFTKG SIHSAILFPVFIHSILTALIVWINQHISRDFNLPSTIIPSLSIVVGLMLVFRNQTAFQ RFWDGRCQFSVVTTSIRCLSRQILVLVPAPPMQSSTSLHTVMSNDSMIPKSMRNSRDT LSDRAVTSGEVVLSRSDEIRTIETVNILIAMLYTIKNHLRAEWGVALSPGTSLTENGQ ESTGDEYKDLLPAGLKGYEHRGLGLTLELATFVEKFINMGVSKNWFHNAASAAMLGEL NALIKAYGAMEVIRLVPIPVAHLIHHKQTLALYCGILPFAMASEMDWWAVPLVAFVSF TLYGIEGIAQTYEDPFGVAKIDINMDDIVEDTRREVEVLLTAWQTQGPGDGGIFRPRV SAVPKSDNSSEFYDGELNTSEESGVRSGVRFVVSDMTEGSGSYRDDDQVEIRNLRTSR LSSAVSPGTVSAGSGGSDYFDANNA LY89DRAFT_681243 MVELVEVEDESFESKQIGPSDNEEDYYTDTDSEISTDDEDVPDE ETIADRLVALKDMIPPTTRSYIAGKVETTTSWFKSGLLFSGKTLWVISTSALLLGVPW ALAFAEEQQMVEMEKEMRMREMGGELLSSGGSGTAGALNAQLGAQQGKPAL LY89DRAFT_681244 MSLNGLDDQKIKEAHDTAVAEPGGWFLLKYISRDEVELLGRGNG GIVEIRNAIAQYEEPSPLFGFLRYRRRNVLIKYVPEECSRLVQARVTVHFNAVTERFS PHDTVFPIATSKELRDTTLSAACSLHTASGSTSSSTSSLRRRRLMEIAEDAEEEVRAK RQSTVPEERPQTAKTGSVTGTQPLSPAQPELPPSLVPSTNHQPSTEAEASLPPRDTHL PEIRSRSPTRLEGEPRKSSQSTRPEIYSYASYSSSGRPKVKLGPRPSLDVGGRPHTSG AASFYRPVSTLPPGLKLFSKGSKKDKDRPKSQYTSDTPSMTLSPPPIPNSMMNPTQEV PARPHTSGGRSTVHSGSFMKPLPSPTFSTAPKTPGITPERARLLKAMELRKKQMSAKV APPQDIASPPPSEQLSSPVSEGQRLSNGAPKVVHDTLVILDDMAKVDDSAIAFDARST LKTDESDATRSDSYPVSPVGPSERAESTRASSISESTDETVQEPVHAKEVADQELDLD EQKVETEVRDEPENITQESTDIPLLKPEEHQAPSLALLNPVSTPSEIRQLEPSVEEMP TLMEDSESAQLPNEVPPAESSTAAVEDSLASPDTSYPVKEIVIPVAEQLAQPLVQEAQ LERESIPSPVSPVKQMKIPRSKFSVQDLRAVDIAPEQPTAPLPSSNSRSTMLPAEKSP VASSFSDRSLAEDGQPKKRQKRKGIVEPIRTDLDLTDRSGANSDAHFSDDDDLMDELS SAVVEEAKPISVSKSPISPVFPSPKKQNRFSRVFSAPMRKESSQSSMLDPSDANKSTP QRSPSRSVSAAASYLNRINQEPAKPIAKTVNLGSGISQRIKALEKLSSSATPPPGSTA PPPGTSTAFFSVRKASIQAPSKSPSIAERANSLTRNSPSPSVSRDSSPETLKIRERSG SIQNRLDAFKSSSTPTPAPRSRPESISVTARIIRDPDQPFPAKSEAGKDPADYTPLNL KQSPLIIDHQKAIVEPPKETIQERRLSKERRLSNASKSTTKERRSSITIIKDLISDRR TSFSERRRSINLEISGVSSPGARSPSRPPSVHASPAHHRPMSISSRKSTSSRDPGNGL SPPLTANSLSSNGEDKPDKKSGRASRMMRRMSSSLSAGRKQIAAVMSPTVREENEPIH GSDSQSLTPSYPSNSSMSSVSIGDVNVQFPDSLLWKRRSVLLDSQGFLLLSPALTAHG SGRDKSAGGALRRFHLSEFRTPCIPDVEMQELPNSVVLDFVEGGGLQVACEDRAGQAR VLQSLLLLKSQTH LY89DRAFT_386304 MNTELQVREHPRSTGLRRFGERLLPQRYQDYKFSPLEYPDSIRL ITLYAGEGSSPLRTSLREVRSLESAEYEALSYTWASEDGDASLSASIICDDFRMKVTK NCATALSWLRHPKSDRTLWIDAVCINQRDTTERSSQIELMRRTYSNASRVLIWLGEAS KEIDPESKLSCSHIFMKHLQGMAAEIRELHAAGKAGKTSPLHRQLLADIFAWKQSGMM TTTPLLESFFDFVDWPWWARLWVVQEASFAKSAVLICSEQTLDYFDLRIWADVVSECN KDNDARIVSVELDNVRSHLRSVEWCTSGANWLDTGSVEIVWAFRLLQASDPRDKIFAL SGLFEKLDAILPAPDYFKSPIEVFTDVVISFLNQSRSLEVLNLAASCEPLSDYPSWIP NLSGPPVVPAYHSYSHNASRNSSAVFEIPSVNVLQVKGVIVSSIAQISHASWAAHRWS LPLRAIIPGWQASCRFVDLKGSYRSSYRRGESMKEALWRTMCWNNTISGSPLPQSSDI TSWKEIEDWIDLILSSENADYLERLVSAQRNLLHLHIKYHAPLSRTTDGLLASVPYTT EVGDVVAVLAGGQTPFVLRPNGSYYRFVGPCYVHGIMDGEAYPEDPGKLEDISIR LY89DRAFT_386354 MKSFTLTIVSVLALFCTTNADFPGIDRQDMIEEMEHYLVDNAGT NSVPIVSAVSSCSNYAGFASDPQNRGEQTSAQWVRFPFHDFVTADVAAGTGGLDASLG FESDRAENHGLFVNDTMEFLAPTMNAYISMADQVSLGVLVSVAECGGNAAAIPLRVGR IDALAAGPSGVPTPFTPIGPTLAQFAQAGFAANETIALVACGHSLGRVHAVNFPEIVG ESPITSTNLDGGVTFDSTPTVLDPSGMNEYLTGTGSGGGPLVTTSNVSARSDFRLFSS DNNVTITNLAQNTGRICSGLFEKMLNTVPSTVTLSDPITPMPWKIVDFIMHCSPGGSV RIEGFIRALWTTTPPPNKITYNTVTSSGNVSRQHASDAASGSGSGLFGSTTYYHFVIG TDSPGTTSMNFQGYSYPIDDTIFVLPTQSTVKPDANTIEVKAAVLTSSLAGGTMEGTL YVPETQQGSMAKKITMVTVPMKFLSTNGNYTLFDGLVSVSNVEGVIAKVAVGSLGSKT VKTKLFNGGI LY89DRAFT_714901 MDSSQSIESMAIIARENKPLAHRKKSNVELIGERLGLRLPNYAK DFVHFVNTIGYKLFIVDLQQLNGDTVMRSKAQMAWHRLVHQKYRFWHPQNMTSAEKVR IRSQALNQISGSAAWRKADIEEYWRGKMEVLGLKWNTKNSSTKVSESSLDNKLIPKLQ SSDGHVPEAQVLARNEDIGGGMSSKTDMSKEEAKCMDEKQLLQEQIEENAIIDKDWEQ EKDEGLADTEEPVLQEDEDLTTPSTSAGITTLKSVTDESQLHEIPAKAPTIPPNFSAN FRNPNSNHRTIYEDFDDSDSDSDEEDDRFKRAIDLPPPIPSIEEVEDVPVQKEEEVVD TLQSSPSIGNVEDIPQKKEEEEGAETRQPSPSPFYDNGDDLPDYEDHEE LY89DRAFT_637897 MDPSASGSEPQTAGDTGGRPLKANKANKACVPCRARKVKCDAAV TGLPCSSCTSRRGAKDCVLPVRKGRTRKVIHASPTPSYIAGISATSSGSKLENLSQYN QSNQSKPPTSSNSLDCQSPRQAEPDLLYLNILNDAVKESPESSGHHNASSTPYRIASE ASSTLRNFPRTELPQLDNIDNEYLLKKGVFDLPPSRHLDTLIKTYFDHVHPFAPVLNR ADFVRGYQSGSYSLFLLHAILTPASLHVPADVLSACGFANRSVAQESFFSKAKLLHDF TAEGDLLLMLQGSIILCMVILDHPTDRDFGYWFHNAIRLATKLDLCNTCVQEDKPRKV LKLYRRIWWALYSLDIFHVFINTRRSRLLENTSVIMPRTEDDWEPEDASGASSGLLSA VTPQQKASPVVHCELAQIFGQCLSIVTNKPQQDPRQMMHPLDTWRTSLATKMHVVGNT GIDVYYLNIQALSYRFECVLCRLIRRQSRHADWNEWAKQRLRSAILELDTIAMRVLAG GTLQDFPSSFVTTITALLAIHIESALDPAETDLVRSMARISISHTMLVLTQGKDIPVL KRALPIFEKILAKQNLYFVPPDNQVSAQSQPQDSSMVDAYALPHTQTSVVSSQPEQYE NNPSLDMDFLGFDFLDEWQIGQLDFTGRY LY89DRAFT_768096 MAVFPEHAVSDDDVPHKAKDSSRFISWIRSLLLRTHLDDDVCNQ HYAGSGTDDNPYIVDYLQNDRQDAMNFSKGRKWAIAVLQSLSMFVVTYASSVYASGIG GVMQRFEVSEEVATLGLSLYVLGFAVGPLIWAPLSEVYGRKSIYVVSYTGYVAFCVAA ACAPNITALLVLRFFASAFGSSSMSNAGGVIADIFSKAERGLATGMFATAPFLGPALG PITGGFLNETKGWRWLLGLVAIQGGVIWIGTTLVTPETYAPFILRRRAKALSRMTGSV YVFRIDAGMPPKTLSQQLLVSFTRVWMLLFREPIVLLASLYISIIYGTLYMFFAAIPI VFQVTRGWSQGIAGLPFVGVAIGVCLATLAAGVDNKRYVRLCKAAAANGCAVEPEARL GTAMAGSIVLPIGLFLFAWTTYPSIPWIVPITGAMLFSCGLVMVFISLTSYLVDSYVV YAASVLAANSVLRSLFGTAFPLFTTQMYKNLGNQWASSIPAFLLLGCLPFPFLFHRYG PQIRSKCKYASESAKILEMMRRRHVVIIRGKPNGLEGEAE LY89DRAFT_729369 MANKKKGKKVEEYEEEFEEEVVPRCLKIPTYNQKFHHIISRISY RGFLEAVAQLNAEPNGDVLLCFGHRKIVDQAWEDLVMEAYEGWKTDHSEVIREMALRN ALAQPNASLYDTFCVKQINVASNLTSTIAVEAMEMIFKPIVVKYNDIYKPIQHPKKMF RLVELPRESPEPEKPKEKRKNKKRGRKADSEDDYKVARGGEDDENAVAPIVLAIRRSN GVGSYRNRDEFDQEDL LY89DRAFT_665032 MDLGTEDAAMANLRLAFGQNLTVRTGEQNLARLEREVAKLKSNL KILSFTQLVFVIGYKGFLKDIETCNGPLTRNMRDVTDLAWLKFLEQEFLKWKTEHSSE ETKKSVLGKGCEQRQITSAGIEEFWAQKAAEELNPKVEENIMTQIRATKDEWEDDEDV DMDQAVSGKSGPSIKNSLSTTEFVQLVHSIKFRGYLGNVESVNGKGKVQRGAAEAGWR KMVRELFSVWATDSKSHGYDKEKELRDALDQVNGHGKFEPEIYWESDKSNAVRSKEDI RKARKKSAAKKALKNKAKANTTQGGIAKRVTMTRSQRIMEKNLSKKAVSALESMISNM GINDS LY89DRAFT_704657 MASTSLKHPLDPLNAEELTQVSSLLKAKSPDKSLHFKIITIIEP PKAKLRPFLKAERNGTAGPPLPRRASALYYHRGTSDLFLAEVNIESNSVDKVEKLDPQ FHGQNDIDELIELRDACLKDPKVLEQLAKYKLPEHLEVVCDTWPYGRDSEDNLPRYIQ CYLFARSSHPGSNHYDNPLPISPVMDMITKEVIDIIRLPTGSDATLNHDAVFETHAPK EYHHDLQSQPTRTDLKPLIVHQPEGVSFTIDGYLIKWQKWRFRFGFTWREGMVLHDVT YDGRELFHRLSLSEMFVPYGDPRYPYSRKSVFDVGDIGAGVTANNLALGCDCLGVIKY FSFVISNSQGQPVQKPNAICMHEIDDGIGWKHTNSRTGAVSIIRSRVLVLQTIITVGN YEYVFMWHLDQAAGLHYRIQATGILSTAPIDKGATVPWGTNVNEGVMAPFHQHVFSLR IDPCIDGDKNSVIEEDSVAMPMDDSNPFGVGYVTKNRLISKSTPLDSAPNRVHKIINP SVLNKSSGKPVAYAIHSPMKQMLLAHPNSWHGKRARYAFHPFWVTAHRDTELYAAGDY TYQSLPDGKSDLEGWADRRDKTDDTDIVVWHSISLTHNPKPEDYPVMPCDTMVVSLKP SGFFDQNPALDVPQSTQKSNGSKLVEDTALTIAVGEKDADCCAPKSKL LY89DRAFT_714904 MAFQLWQLCFIALSISWLELCIHRAIFAPLSKLPGPWYSLFTDL YLMYKEFSGQRREYIHELHKTYGPAVRLGPNEVSFTSLEALKEIYQSGGSGYDKTEFY TLFKQFGTRTMFSTLDKGNHSQKKRHIASQYANTNIMHPEVLGGIQDRANAFIRICEK SKGTGMDAYIYLHCFALDCASHHLFHPYGTKSIEGADLNMMEELSYHDSLMRNLAQYR WPTMSFWLEKVFKPRPAPLANDYVLASSRTSDSGEHSLTYKLQNSKDAFQPIQIAAEC MDHMAAGIDTTGDALCLLMYQLSLSESFQVQDQLITELSINKDKAFDDLPYLDAVIKE GLRCFPPIPMSQPRYAPTGGRTIDGYFIPGHTIVSCQAWSVHQLNEDVFIKGDQFLPE RWLDPKAALEMNRLFFSFGAGGRGCTGRHLAIAEMKCLLREVYIRYKTRVAPEMRGRM NLSDQVISSRPLDQTCKLIFEPLN LY89DRAFT_714905 MSSEDIHFGVSEKSSPRPQDSSPDREPGILHDARMDEFGKFLVP TPTTDDLDPLNWPNLQKYTIVAIVCFSYFMLTYFTTAPVPSFTLLQVQFDATYSQVNW TFAIPALGLAAGPLFCSALADIYGRRVVMIVGTTIALISSGCTSIHGISFGGYLAARF FQGFGIGPAANVGLSIVNDISWEHERGFRVGLWAMSANMGTLVGGLVGGFLATVDQYW IAYHVTMLFAVLLVLELFFLPETLFPRTLVVSYEQNSDSQKISESAPAGSASSLKKTK QLGFLNFKKVPGIPHPKPYVTAVQFCMIWSYPTIVLSTLAYVFFHYWWICSVLTMEPA AYYNYKLQIQGLFFLGLIVGVVLAEIFCSGRLSDWIHGGERLPEMRLWLGYPAAVVSS IGLIVWVLSIDRQWHWITGQIAFFLYAAGLQIGNTVLSTYIVDNYPEHAMEVITFYTV IINMSAFINPWFINDWVEKSGYTWTFSAQAIICTFGIIPTYFVLQRYGPKLRRPMNLG FADHIDP LY89DRAFT_729374 MDNTISTNKHQRSHAGCTRCKRRRQKCDESKPCGRCTKARVVCE YAVNLRWGGRSFDRSRFGDCLKAGARKVVGDRQKEFVYTALANVATPEPAVLKAFPSL TMEEKRLLFHFTDEASRITCCNPHVQKELCSLIVPMAVESPALMNATLAWAALHSMTF YGSIAGESATEAMQTIAKFKARSIEGLRRELQASTSIDALLATIRTLCQCEIHSGSDH GSTWRVHIKGAKALMNTIDHSGAASSSRPRLLYRWYASLDSLALLSSSSTPIEDSELP EDVRNLENVQVYLDDYNGYSTDLSYLLGRIGNAIVAQKRRDLLFPGLSVNLEADFLEN AICQIMERDNSATPSFYPGVLEKLSPHAIQEYAWCNQAYQHTALIYIRRHLRKLPSDS PEIQDSVKTIIECVRLITPAYGLSPTIVLTTPLFAAGCEALGPDRTVIKELLIQLYDC LKIRNIKLALEVLENYWANCGPDDDIEIVLRGQNWDFIPY LY89DRAFT_681252 MWPNGFGTPGPFQTNFNPDEFSQMPFGAPQGQQFFQHQGMMPFN NLNAEERNYAPVDQPFAIQGAFMQNQNIGPQFSPSPFMTPQATPPVSLASRAPAQMNA RAAELKAELLKRKTERASSATPPGPAKQAAHPENSKHGSEKNVNTADQKREQDVNELI SQYSGQSTPATTVKQEKKASNITNVAQIPASPTPSAKPQVPSNKVTKAANNGKTQTNR TKDKAFGSRHTSNGSVSEGEIFEDPIPHRAASPMHGIEPKSNDKMKMPEDRQDRSSRD DHSVKPPYPRSYREDARRRTPSPKPRVPPSNGRDDRREEAESRMDRRQRADSVKFEGK HPTDAERQLVLRAEPRNEATRQPQSNGALARVELNRQIREQNPPSLLDVLPHDEDLRE WLEITGYHNEIYRSKILNRRRAIAALDAQRDKLLAEMAAEERGVAPPVVLQQSAPSML PPPIPNRAEDRVESKSIASVIEAQPERVVSNKRPYSELQDSRDAPSTEKMSRTEPRSY AHPAQKVKDEDDFDTRRPRSSGFDSNRRSSPPRREDHEVPRQYFRGRGRSRSRDGRSL SPGRRPYEDRQPPRSRAYDSDYYPRDSFNDRSFEYTSGYRGKDSNYRGRGRGRGRGDS RDSREYPSGGQDFKNEPQWGSKIANNRPYRDGKGFERGGRGDTRYFIVKSFNEENVLK CIEDSVWTTQLQNGPVFEEAFETCKNVILVFSINKSRAFQGYARMESLPGSVEIPHWQ RAITWESAGAFRVRWLVICATRFHRVGHLKNAFNENQAVLIGKDGQEIEEHCGASLIE LIDEEAEQALRNSWVKTENWEDLY LY89DRAFT_637907 MQFLTRNLDHPIRKLVALFLCWKTLLLLVALCSPGPGYDTSGSL TEASHGKEQLPVALRHTISKLIRWDALYFVKAAERGYSFEQEWAFGWGFTRVIAACTE FLKAAGIPHYDGLEAWVAINLAHIAHLISVLLLFYLSSDVFPGSSQNFALTAASLHVI SPAGLFLSAPYAESSCAALSFAGCLLFMKSLNRNGRATAIHDLYLILAGVSFGIATTF RSNGILNGLLLLEEAFRTLFVLSYERSGATLRRLLAAGLGGMCVGSGFLLPQYIAYQE YCGCKDTVPRIWCQGTLPSIYSFVQSHYWNCGPFRYWTVSNIPLFVLGTPIFTILIVS GLWALQYTAANGPPRATKQYDVKSSQISFGREGISVLRNMAVSQLFLAGMTLTTAHVQ IITRISSAYPVWLWYLAASSHEGRLLGIFVKFMVLYGVIQAGLYASFLPPA LY89DRAFT_386607 MFLNSGVGSLWNHEHEFSTRTQLQPSNSIPRRSPLTRSAFSTPP PRKSTTYEDSPVVIPRPERPLSEQLPRIKEPVVRFQEVEQEAMSEDGRSVANSEGSET TTVGGGKQRRRSIRKSTTFQLAHPAPTLTQKQRLLQIRPKLLLQLQRLSPGSRPIPTL DILPSTIVVPRLMKKFPRMFRGHSALGFNDVLIVKSEEYDAGFETTVDESDSDEEGIA RRDLVAVICQMRRDSGGSQGKAEIVLGDGSLWVASPMPNGLYEFTTTDERGDVTTARW VRRATKRKSVDVSDAANLHNDIKFTFSIIDPNSRRHPILATLTQKKLDIPDTFTSLSS SAGRHPPTSPIPHLPGELDGDEERSTERSTHVIDESMKSLIQVTSIWVALRQGWSPYF KYNDAMAVPNSHTLATGRVRSSSLTPESVRPPIARAGSNTPDSGNSAFGAALSSKIRR SSAKISPVNGALPRGDRFAPPKRSLSTGTAFVQRAAARRAGLPPSTVASDSEGESTQS NFPIHEKHNALNRASTPPCLTLPCSSTTTPDTPTRPHRRAQSAYIPTSSLQNGFTNSA SEMNSSTIPPSNQYIDPIVKVKIGRWKQFTNLFRRKHNSSE LY89DRAFT_714910 MEEGYTNGRNHAQFLQHILQNGHSSDTISRATSPGFGFSSNGIN SEKEKRYLPRTYPYFELLPYKVEEEAERSAALEEILRQLYIAVKAEDISPGAVHWTRE LRGWLNLKFEISRELRVKLVKMYYMLALAPGMDWVATDRFESMFRFLIKKKHYLKPGE DLLLDWRPLWKEIKSIVLPSEMATNQGGRKRSHKNIANMCAFAAQYFDPRERQAMLEE VLPYFSTSDITGAFIVLGTLNFLMPTHPAPNEPGQLQPQDYLPTFFHLWSLVNRSKAV DNIFFDLFSRLARDSLSSGHVEFSEFGIFTKDQSDLIFTALLRLTEIPVGQASSPYSG MVDLGAGLGMYLERDKKKAPVGYSIARWIVMSLSPLCIDKPGSILSNLEGLMVSIDTF FHPSNNGAWTDMLAQIVFHLVDFFVMRWNREQSGELDTPPERRINDALKRRFVLSLKE VMFMGLFSKKAKSLNAYFGAIQGLSYLEPHLILPGALQRFYPSLQGLVEVHRTQSSLC GLQLCANVLTKHKGLRCHVTALLALALPGIDANDLTKTMHTLDFIKSVAYSIPFVDLT KEHSEIHDSSLAIQWVQGEMERMEREGPNIEIDYRTELSNEDEANILRSSTAGFGDFV LSLLGRIFTLLENLPELSRARSGSPEESVINTLPAALTPLFASLSPEIFDMALEKVAT FVGGHVVHQARDAMAFICNSLCKANPEKTLKIFIPMLIVGIRNEIDYNDAASDRSSGS DVLPRDRALVWHISMLSMIIVHIGDGLMPYKKELFDIALYMQEKCRGIPTIHISNYIH HLLLNLTLIYPVDGSLYEPEVFKRGLDVEDWGRMTKPTELTINWHYPSDAEIELAVEL FESQVKTAIERLTNLTGPNPAVSRKGKNKEWSDEVSRNLAQLRLITSGIATLFDPKKA SGQLDRGHDHDGDIPMNGEAANGDDESDEDNPLAEAAEDEETRPQFHYRAGYLLERNS ATYLHIHKLREDVGQLLSRIHGFLTQHQEDDVACFTALYQAYRTWITDVGNERSAHMY ERVSKLYDADVRAFKVTGLRKVYPRPLLIKRAGIYHFHRAKHNSSARHKSDLDKKLLL ELASSSVSLYAEVRINAQSALESALKSLIGGRPLVIPPLLSTFRKALDENDFDRIKGS IFALLYGSLTKTMSKDWRFAPELIDLYIKTVTVDKPSIQKLSNQVLYGGLLDFGKSLE NFLVIDHELVGIFEPRFDCSEKISARHKFILERRDKVEEKKSALALKLIETSKNSHWK IAGRCTLFILNAGVRVQSIAPPSLVEIAINGAINDHPGLRASYAEAMLRIFNHLETRA VYGHSVENFVREIENPVNRVEVTVPKDDPNWTQKFLEAFAKPEIPEYFVDRDFPGWLV WGKKFRATKGHPVDFEDYDDLENSIRNQMGALLTREWYQKYFGYMKQEPRDSTADRFR VHNAALLIQTFDLTFSGVTSATFEDIKDLVKATFEDGSDKHQHRATAEIMAALLLSPL ERDLKVRNKVWAYAVPIMLDVFADGLTPENITYWMTCLHMIIGGRDPRQAHEIIDRLA SFRLDMSSNAAFKESSKVQLLEFAIQDAGWHFRLEKPILDDFLAHIDHPYKAVRESVG RTIASIYRTRYYEAFKDVDTLLKENKASSSIGVKPYVPEEQYSAKIKDVFNRLEVWRG ERTPGQQTPSSYTSGSKTVLLWLDTTLQSYECTQLLDFFPDVFMEQLLHMMDVKEDPE LQRLAYLVYRHLPNVPFRAGEDGEFISALIRIGKVSTSWHQRLRTLINMQCIYFRRIF LIKPEQQQALFAAVADMLEDTQHEVRSGAATTLSGMIRCSPVALRNNILSALRKKFTN ALLKNPMPKKSPGTSTPVNTNQQVIRRHAAVLGLGALVTAFPYVTPPPDWMPEILALL ASRAANDAGAIGKTVKTILADFKKTRQDTWVTDQKYFTPEQLEDLEGVLWKSYFA LY89DRAFT_665042 MVMGELVQRAETWLYRGIAPSLGTQPPIAWMDVQRFLESAPGFD VLLLMDCCYAARTIKGFNNKAMEVLAADPSLEEQSPNHIIIMGHRNPIVLKPLGPAQS GSDAICDDDPNGHPPEDDSGSEDSDTWPAITLGIQKPRVRNPWTRSVEIIDVHEKRHN VTVLLDTSASCSFIKFSTVHRLDLEVFPRRPQDVWVFQSFDGSQSLSQSYTTIKISKT DGTGSLGPFSLFVLPTETDDSLDVDVSWGGVDISTAGLLNPHFEMLHNAVEERIQAEE NSNQEGAETENSDAVLSEELVKQIGQMRQLVQEELARRAATEQD LY89DRAFT_387039 MFSHRLELLQSVHTMSGSRTPKASESAEVNRIIQEYLQHGRMAR ERTRLREQNILDVIGLVRNQNDQDEDLHEKSVQDASRSIIDSLVEAGILSRMSRRRGA ERGQRGHESDQDGEDEERQEKVPAIDTERDQQGKTKRPRRQNGPITSSTTAAKHSNSG RPSQLSSDPGFVEHDGVDEHPDDEYVFPPDASPLTLYGRNPQLRRKTAKQLGSMALSS SDNEVESHSPPGSPCLMVTPAGSPGSQAQNSEGERDDASPALNAHLDESIIQDDDLVS NNRSDRVDTQTSVKSSPSENPPAGLHATCGHQSPLPATIDIPELQPSLDDERNDDIVR YLPGSKNVVRGYYRLNCTHCANFRPVERSNAVEEFAEHLRVDHNISFADKDRATVVRD HCGVFIPDELMGKLKRPDTRLTGPSKNDRQPEINPSAMRERPQTHDVRKNRQLQVSRP SHFQHGYENLGYQYNPSRPQTAASEISATVLSEARPVMQTHARPGNTVLGMMKDIPWL LQTQTSTVLPELPVTVSKQAPTPASNSNGAKRASSSPELSENNSSKRSRQSGSSKARE DVGLSKRAPLAKKQVEVPEGNENSADVRAKHPDEDIGGENHGEVEAGEIVEDPLNHG LY89DRAFT_576828 MSAVPTVVVECDNGNGFDGRLGLRVSSVFVIGIGSMLGALLPIA AARTKRMHVPPVAFFITKYFGSGVIIATAFIHLLSPATQALQSPCLTGPITDYSWAEG IALMTVFTMFFIELMAARFDIFGHQDSGVEASDPSLNLLRDSEKLAENSENSVDKTAN MELSTRSHDHEESTASSSRPTTSTHNPAGSGAPASRVNSRIPGREDDFTYPPGGENHL GHQAEHDIDDDHFAAQMTAIFILEFGVIFHSVFIGLTLAVAGSEFTVLYIVLTFHQTF EGLGLGSRLATAQWPSKKKWMPWALGTAYGLATPLAIAIGLAARTSFTPGSNKTLIIQ GVFDSISAGILIYTGLVELMAHEFMFNPEMRKSSIKMMLFAYLCMCVGAGLMALLGKW A LY89DRAFT_608465 MISLTFYVFACVLSTLVYYILKTQKSKKLPEGSRPLPGPKGLPF VGRVHDVPSVATWLKFWEWNQTYGPIYQMEIFGSVHVWISDEGIANDLLSKRGMIYSD RPVIPNLPDNRTSGNYLALLGRTDTWKRQRKFAHQIMTKAAGDDNYDYPTIECKRFLY NMLDDPSNYQLHVEEYTSRTISRLSWGSASHAQELRRGTFGLLQTISPSGAVPNVVSP LAHVPSWLSPWKKIENARHEREATFFRYALNTVKDAVAGGTTVPSLMKMFLEGREKVG IREEEGQYVVGMMAIAGALTIGSPIQSYILAMCHYPEWQAKMREEMRDVCGERCPEWE DREKMPLLRAVVKEVVRWRPPVPTGIPHCLEQDDVYNGYFIPAGATIHALEWGISRDP KTYPDPDSFRPDRWISPSFPTYREPLTQYPSLKGFSQFGFGRRTCQGVDIVEQELFLT MGGMAWAFDIGKKKDDLGREIEVPLAKYTSLLIAKPEKFEFGLTPASDERLRMVIDAW DHIFDLEGESEEDTSAGEKEAILSC LY89DRAFT_387139 MLEFMDYVQHAFYNASHWNFENSYSQLTATARALLEFETPRGLC LNVSSLSSPNFATSYAIGSVGLVDGSLSYLYTSLPLHTTSKSGDIDLHKVIRGYRQIQ ELRKPDESWMWEQWQGGRRVDKRDTLLYGRLYLPQSTLEALYLRRMSPTQQLKLSAVS DSRLRNGGTILALHQYDVGKYSAETLYSTDGGLIGVRGLYNFGPDPRKEVTEAPRTED KFYGRFSAGAEMYYGSLNKSGGVSFGGRFATLPAHKGVPLTATLTLNPLMGNLSTTYA VRAGKNLALCSKFDFNVYSYESDVMLGCELWRMKARVPKKKERSMAAKLEWRLDPEEK NPVPEPEIVAGVLKARVDQNWKIGLLWEGRIKELLFTLGSSIDMKRKDQPFRAIGLEL QYSS LY89DRAFT_778251 MPKSKRAKVVHLTKVDKKGKELTLKLFANVRECLDQYQYCFVFS VENMRNTYLKDVRAEFSDSRLFFGKTKVMAKALGSSPEDEYQPNTHQLAKFLVGNVGL ICTNREPSAIKEYFDTLAKTDFARAGTPAAREFTVPAGVVYSLGGEIDAEQDVPMAHS LEVELRKLNMPTTLKKGKITLDNPYTVCKEGDVLDSRQTRLLKLFGVATAEFTVQLRA YWSAATNEVTD LY89DRAFT_768128 MTDKLPPNLLALFAPRPPLRFLPPSDHPSGERKTREITGLAQFM PSLKEYVETDEYEPTESWLQKHDRKKLEKKERQENLLKEGPMSCEGTPICPEYLLSSL SEIWTHVSHLEQDMLTVFSIDKPQDDPNIRGDAFKTLIVARLSYDATEQDLESEFGRF GSIERIRIVVDTHQAEKKNKKAKKHRGYAFVVYEREKDMRAALENCDGIRIKDRRVKV DVERGRTVKGWKPRRFGGGLGGRGYTKAIPPRPVGPGGFGSAPGGPGGFRGGFRGGFD GGRGRGGFRGGFQDRGGYGGGRGGIGYQGGGGFSRGGGDRGGYGGSNGYGAPPNAPAG PGGRGGGGFGGGRGGYSGSGSPDRNGPGYSAPSGGYDSRGGGRSYEDRNGGYRGGNRS YGDRDAPRGGSGSNMEPVRPRDGGFRDRDRGDRDGGYGGRPREDDSRKRGYEGNSYEE DPRKLRRY LY89DRAFT_729386 MVVQGLRKVTVGQNGVGSFILQCKRLDFHYCDWMGSSKGMNSFI ANSLQKFAAAHPQIEINVSPRPRKHPVIIGHYINGREKAVCVRNLQKEQILKKAELLR DASGEKLKKVTKPVTSIKESVRGVWSPYHGGPLTV LY89DRAFT_558216 QINLGSASSFAVLSLSTITNTGPSTINGNIGTGGTSITGFPPGI VNGNTYIYTQATTPLNDATAAYNTMNSYTGVDLTNQDLGGQLLEPGTYSFTSSAQLTG ILTLSGTSNSNTSWYFKIGTALTTAAGSSVLLEGTAQACNVYWQVGSSATLGAATQFV GTVLAEASITMVTGASCEGGLFALGGAVTL LY89DRAFT_729388 MAHVGGPPPPPPPPNFRPPGLFPGGGGGGQRPQPPPGVRPPGTG GGHGPGPQLPPKIIQQSSRIRDITPQKLLTEADCLQKLTTYAAFSVRKVPALSPKERP SWARAEVTEDRLDQADIKKQIKKLGEKGKTVAEKRAALAQFQQGQVTALLDDLASKET DRNFEWSLVQMDRIEKEVPSMMKPGKKAIETVVMILYIKRAPLPGLNAVMMFQNIEKR RIDSLRPPPPPPQQHPPPPPHDFRPPSAHGNKMGGQEPLFVDIGKGHQKSPKMRHRDK RFHDRDDSSTGSSDSFDTLSDSESDASSSLDTSISARSNHGRRRNSRAATRSHSRHRP KYSLEDRRQSPERRPSDAYGVPHHPQQHHQQQGPQRPYVPDVPRVVPAFDPITSAYQA GKEDARAELGDRIQSPVAPQPVIIERIIERPPIERVIEQPRIERIVESPRAVVSYARL PERPRYSEPRYAEERYVDDIRSQEDEYLIRRREADDHAIMREERMRREAEEYIDRRPL DRPEFLPRRQSYSFRGDSSPSISPTRDIFDRRPSDPREREREFRRPEARRVFTSPISP HPFAAQNLPRRYPPSMSSASYDDRGW LY89DRAFT_694353 MARQFFVGGNFKMNGSVETIKKIIGYLNEAKLDPKTEVVIAPPA LYLLLAREHLKPGLEVAAQNVFDKPNGAFTGEISVDQLKDSNITWTLLGHSERRVILQ EDDVFVASKTKAALDGGIGVIFCCGESLEQRESGKTIDVVTSQLKAVKDKVADWSKIV IAYEPIWAIGTGKVASTEQAQEVHAAIRGWLKKEVSEKVAEETRIIYGGSVSEKNCKD LSKQTDIDGFLVGGASLKPAFVDIINSHL LY89DRAFT_576259 MPQRQLRNPFASTPSTAVAIPPSDKPTARRPSTSKANRLTQFFS SSPKSKEQQSAQAALLSAVAAQQQRLLDNPSPHISAPSLSLPTISLSTAKAGEANMDE PPTTLFQPPSVAEAKRQARIDAQFGPLGSQSHRYVSQHVGEELEAPVMDEPPYYYLLT TYISYLILIIFGHVRDFFGKRFRAENYKHLKSANGYAALNSDFDNFYVRRLKLRIDDC FARPITGVPGRYITLMDRKTEDYNRHYQFTGTYTETLNMSSYNYLGFAQSEGPCADAV EESIKKYGISAASPRADAGTSELAMEVEDKIAKFVGKPAAMVFSMGFATNATSFPALV GKGSLIISDELNHASIRIGARLSGAMITSFKHNDMQDLEEKLREVISQGQPRTHRPWK KILVVVEGLYSMEGTMCNLPGILKLKKRYKFNLFVDEAHSIGALGPRGRGVCDYFGID PSEIDILMGTLTKSFGANGGYVAAEKHIIDKLRMTNAATIYGESPTPPVLMQILSALK IISGEMVPGQGEERLQRIAFNSRYLRLGLKRLGYIVYGHDDSPIIPIMLYNPAKMPAF SHEMLRRKISVVIVGYPATPLISSRARFCVSAAHNKDDMDRVLAACDEIGNVLQLKFS TGIAGGAEHLPDGVTPEMEKEWQKANGLQGVVTPPRWSIREVIANGVQDVKQPLR LY89DRAFT_387569 MHRRLQTYPSQPSPAQPGILMLATAAAAAAALTSIVSLHKILSC ASLGHFSRLFLFLLPLLSPIASIRLDLTSPHLTSPHLTSPPLTSPHLPSTSSSFLLRP VCLCVCRLSNVNGRGRQQRKLSNSRYIQACIWWNKDISGATSRTRFPRDNHPTISTPH LSHEPASTVTGHIADSATRATYLHRCTSGSDKSARH LY89DRAFT_729391 MPLLNTLLSITNFRNPFLRTLLPTIGTAFALQTLVAIPSIAVQS ERFYDLSGSLTYLSVTALSLYLPALRARAASSLAGGVKPPFPGLWDGVLGRGSGIGGM EGLNWRQVVLSAAVGVWATRLGSYLFQRVLSDGKDSRFDEIKKSPPKFFTAFMAQATW VSLCLLPLLALNSIPYPLLSTLPALKTTDVLGLLLYTGGLSFEIVADRQKAAWAAAKK RKEHDEDFLTSGLWAKSRHPNYFGEATLWTGIAVMAGGVLASSVGLRGMGTSGVGGRV LGVGLAAVSPAFVTFLLLNVSGVPLSEEKYDKRYGDRKEYREWKENTPKFVPKF LY89DRAFT_388345 MSSIARSDHHIEYMRQALELARKSPPKPTNYRVGALLVDASADT ILASGYTLECEGNTHAEQSCFIKLAGEHEVAEEDLASILPKQTVLYTTVEPCFKRLSG NLPCVQRILRLGKAIKTVYVGVKEPEKFVGENTGRKQLEDAGINVVLVEGMEKEILEV ATAGHVRDG LY89DRAFT_704673 MADPPLPRIKVTDAQFPEGSATGTSGLESPSTTTDGGQSARPRS ATSSEGNAQSNGKKSPIKIIRNNTTTIKEVNGSKPTSPSAAVQPSTAIDPLSHVRTAS ILPYIAIGTPEIPDESLKDR LY89DRAFT_388370 MNAHVFSSSIGANGYIPQHKEPPRYIKVRAHNKKVREFNRMFLA QELSGTKHTSSDEKVPGLTTSEVDSTSHKLSKSPKTNGAVWATEFSKDGKYLAAAGRD QVVRVWAVISTPEERLAHEHEEDVSSSTSGGERLSAPVFRSKPIREFDGHTGDILDLS WSKNNFLLSSSMDKTVRLWHISRQECLCTFKHKDFVTSIAFHPRDDRFFLAGSLDSIL RLWSIPDKSVAFWNQLPDLITAVAFSPDGKIAMAGVLSGLCLFYETEGLKYNTQIHVR SSRGKNAKGSKITGIRTTTFPPDDPEGEVKVLITSNDSRVRLYNLKDKSLEMKFRGHE NTCSQINASFSDDARYIICGSEDRKAYIWNTGPIESENKDKRPMELFEAHADMVTAAV IAPTKTRQLLNDSGDPIYDLCNPPPVTLLSREESRGESAASSVVRAGSEKRHSDPASV STKTPAYLARSEHNDGLIIVTADYLGVIKVYRCDCAHKRRVDLWESNSFSKKKILARS GSIMTKNSGGSHSRRGSISQASFTGPQIQSDHILSWRNGITDDGSVRRGSDRSVSPGK FHRNSQSQSISNSQNNLASQARQQQYAGTPTMTQQTPSVSTTSPPPSIHKTTTRNSNA TASQPPTPSFTYAAADESPLKLDAAGKSNQFWNLTAWKQQLGTARDPSKLDSSSLMPP IERGSSVISKLSSEDGTSAEDEDESESEALTCRKCGGKDFRARKSPGRGLLMVCTRCG STVE LY89DRAFT_681262 MHTGQPGFKHREKKPELRVEYIHMGALLGYVVDILVGAVMEMDV ANEMKGRVIRALNKVVWIQNDLFARHYLSKGEGSVMMPVGEGEREGGGCPFKG LY89DRAFT_637946 MYGSYLPFPLISLFVTITNAQYPPVFSNLTTITSPVDGNISISY KTPTGACQTAFSTQEQYTGWVHIPGNYSTNTFFWFIGARDATDQLTIWLNGGPGSSSM IGLFTENGPCEVIEEGIGKLGTQVRDWGWDRGSNMLYIDQPNQVGFSYDTPTNGSLDL LTSDLYTPPQVLPSGQPATTFMNGTFSSLNVNNTANTTEIAGMAIWHMLQGFLGAFPQ YAPNSTSMGVHLFAESYGGKYGPAFASIWEAQNKRRSNGTISKTGTFEIKLASLGIVN GCVDDLIQAPFYPTMAVNNTYGLQAINPTRAQLATGSFYAKGGCQDLITQCRTAVNSQ DPNNDGDVSVVNSICSDAYNNCVNNVMEPYYDAGRSVYDIAHFLPDPFPSSTYLEYLN TASVLEAIGSPVNFTQTDMQVVSAFTSTGDYERQALVPNIAALLNQGIRVGFMYGDRD YICNWLGGEAISLAVAAQTSPSYASIFPNSGYAPIIVNTTYIGGVVRQYGNLSFSRIY DAGHSVPAYQPETAFQVFARIMTGTSISTGEVIDASSYNTSGSLNATQTNSLPASPSA TCWLRNIPDTCNGDQKNMIVNNEGVIINGILYDASSDWTSPGTSATPTAIVSVNSGGV TVTTTTEILTGFFTATATPSTTKKSFGVSSCQLNPLGLTLPLIGALVSVLI LY89DRAFT_575905 MTSNGNGAPMQEERINVDIITLTRFLTEEQSKHKEATGDFTYPS RLLCHALQFSFKSIAYYIRRATLINLTGLAGSSNTTGDDQKKLDVIGNDLFIAAMRSS GKCAVLVSEEEEEAIFFPEHKNARYAVACDPIDGSSNLDAGVSVGTIFGIHKLAEGST GTKEDLLKPGTELIAAGFTMYGASAQLVITMKGGSVNGFTMDNALGEFILTHPDMKMP KKRAIYSVNEGNSLYWEDSTKDYFNSLKYPTEEGGKPYSARYIGSMVADAYRTLLYGG IFAYPADKKSPKGKLRILYECAPMAMVFENAGGQALNSKMKRMMEVVPEHIHDRSGIF MGSYDEMEKVKSFHK LY89DRAFT_681267 MSPRIQPYAAVHEHPQGEGDSRPTALQIIEDEGLINKMTDKVML VTGSSSGIGIETVRALHATGAHIFMQARDMKRGQEVLDEIASSSKGTGKLELLEMNLD SFKSVRVGAEEFLKKSKKLNVLVNNAGIRNPPEGRTVDGFEIQFGTNHLGHFLLFQLL KPTLLASSTLEFNSRVINVSSGSHRRGPIHFDNLNLDGIYTPRLGYAQSKTANILMAN EIERLYGSQGLHGFSISPGLIKSRAQRHDDPKDLAAILPTIKDLLKDPAQGAATTVWG AVAKVLEGKGAMYLEDCAEAVDAPDQDMMRGGYAPFAFDEEAEKKLWKVSCEMVGVEE LY89DRAFT_388649 MAAPAPLRATSLRNLNLLLRTAPRFVQNQFRRQQSTTSASSSVN PEEVSHFNALASTWWDPHGSSRLLHLMNPLRHDFIRTCHASQPDAPLRSGLKYLDIGC GGGIFAESAARLGSTGSVTAIDPSSEVLAVAKGHARRDPGLKDKLTYLNTSIEGLPLL TSAEEQYDLLSLFEVIEHITHPAEFLDKCTPFVKPGGWIVMSTIARTWMSWFTTKLVA EDLARIVPRGTHDWEKYINEEELRAHFLRERGWNSPRVMGVVYVPGLGWKEVSSSERV GNYFFGIRKDF LY89DRAFT_576042 MPSQSIAQKFDSIEDTIAAFKNGEFIVVLDDLSRENEGDLIIAA SAITPSKMSFMIRHTSGLICAPMPPSLCTHFALPQMVTSNEDPNRTAYTISIDANSPL TTTGISASDRALTCNLLAEKSSTKDSFRRPGHVFPLRAKEGGVRERTGHTEAAVEFCR LAGMPEVGVICELVEDGEEVEGQAERREGGMMRRDGCLRFGERWGLRVCTIEDLVAYV ERTEGKLGGGVGKENGVVNGSS LY89DRAFT_388761 MDIKQIVNSKGGQKGAAAVAAANGTAQDLHLLHSISQANSIPMS DTGSERGNSPHDSEHSRYSAPRYGQMGGINGGPNAMRYPSPTAMQNPLPMLQQPYRTD SSFDNGMMQQDTTRPPRQPGDATQKAFPCGTCGKGFARRSDLARHERIHSGHRPHVCE HPGCGKQFIQRSALTVHMRVHTGEKPHMCERCGKPFSDSSSLARHRRIHSGKRPYKCP YADCQKTFTRRTTLTRHQNHHTGTVEEAAAATAAALATRGGGSNRGGRPRSDGEQYSN NGSPMSTPSPGQRTMSASPNSELAPLNAMPRHPSEYQPYMSNSPLPGHLRTEYHMQNQ PAQTTATFPNGMRPTSHPTGYGPPSILEPTPTMEQRQPGSANGSPHMSTVGWQSPSQN NMPSPSQSNGYVYPDPDPYGSGAIAQQQMYYPSSNTRQQNSGVDGYDVKPRISEMWTA AQ LY89DRAFT_388740 MSGLFEMMLRNGKFRFVPCRLSAVGAACRVQLGCRIGRTERRRL FHPRFLIISSIALHWTGRQSAQCWRVDNTARPHWGWDGALAGPDVDRHSKREGAWEWE LDLANNDRARCKKNNRSPNPNLHGGEGRGLRRVRSRITTLSVGSGGRG LY89DRAFT_388678 MLPPLTPPITCQVQGPSSNHNKGHLLLSPATLMLLYYSNLSWKT IMFLYPRLHAIESSLGMISLGCRPSIILQAGLLLTFYSSTLNSLL LY89DRAFT_714931 MYLTTLTLILACLTLPILSHPTTKPLAWVNLLPLLPRDSTSTTT SSSHPLCTPPPGFTLGYQHCTFASAILTPSLNPLSNTSVLADCWVFDAVCDLVGQGLN VQVEGLVGGCLWVGSELESAVTVNFGDGRVGTGSPVVGYGGKMWDGGVGDCWWDEWFN LECRV LY89DRAFT_681270 MYTNIWTALITLLVSLLGTVNSQTITITKTNNQTTPSNPETISH SPLCTLPHLFEQYRNSTCTLSSVSSRT LY89DRAFT_681271 MTNFDIEIVSDTVCPWCYVGKQKLEKAIKAYKELHPESNDSFST TWKPYYLNPGAPKTGIDKTTYYKTKFGEERTAVIFDRLSQVGKEAGIKFKFGGKTGNT RDSHRLIQLGKSKSPAVQTRVVEELFSAYFENEKDITSHEVLLSAAKKAGLDEKEAKE WLESDKGGKAVDEEVEEARLNQISGVPNFTFEGKYIVGGAQDSEVFLNLFEKIKASKS AKA LY89DRAFT_681272 MSSYLAKQQAAFKSDAMSAAPKVATKRNLAPPAPESAPSPAPSN ASNASKSESKDPKRKREVQNVVYSQPAATGYGMEAFTQVTYVIEFLKKKNEPKTFREI LEYLSQVNADERQKRLIARILLQHERVYHTPDPNLKVQSWDSGTFEHKPIINVRTKKD LIAYLQTKADAQGVSVKDLKDGWPDCEDAIDQLEAEHKILVTRTKKDNHARMVWGNDP TLHHDVDSEFQVMWHEIELPSVDELVRKLQDAGQKPASEDPSKRIKAAPKPKEKKKRA PRRGGKTTNTHMAHLLKDFSHMKR LY89DRAFT_681274 MSSKRASMMPPAPKPPTSLSSSLVIAEHASLTGTKLITLGSNTV IHPRSKLSSAYAPITIGNSCIISERSQIGIQNEPDEEEADPVVIEDGVIIEVGAVVEG KRIGEGSVIEVNAKVGRGAVLGKHCKIGPLCEVAEGEVLPDYTVLFGNGMRRIDNSGV EDLKMKMVARQVEVLRKLIPSKIAKFQ LY89DRAFT_681275 MLSRHAPVTKAVSALSSRVLPSTACAAPRIPLAGSSQQSRGLAT VQDAPPPKRTYGGLKDQDRIFQNLYGHHGADLKSAKKYGDWYKTKEILLKGHDWIISE IKASGLRGRGGAGFPSGMKWSFMNFKDWDKDNKPRYLVVNADEGEPGTCKDREIMRKD PHKLVEGCLVAGRAMNCTAAYIYIRGEFYHEATVLQRAIQEAYKDGLIGKNACGSGYD FDVYIHRGMGAYVCGEETSLIESLEGKPGKPRLKPPFPAAVGLFGCPSTVANVETIAV APTICRRGANWFAGFGRERNAGTKLFCISGHVNNPCTVEEEMSIPLRELIDKHCGGVR GGWDNLKAIIPGGSSTPILPKAVCDEQLMDFDALKDSQSGLGTAAVIVMDKSTDVVRA ISRLSHFYRHESCGQCTPCREGSKWTEQIMKRFEKGQGREREIDMLQELTKQVEGHTI CALGEAFAWPIQGLIRHFRPELEARMKDYAEKSGGAALAGGWDHNALQQGKLVAPGQ LY89DRAFT_729407 MSLKQLIHFTNDAGALEKILRLLQSISQVLAVYPLTSEDAKTWL LLRRQFALGRRYIRFFRWMDCLSKTYVAFGEETGWVAVLGVGKWSCMGAFLFLESVTI LDVMGVWETEWTKWCLVEANRFWFYALVFSLLWGIVQLFALNAIGKEVELKEKGDDES SSDEAIEARRKTELEKKHKRAGITRRLVVDGLDLLIPGHVVGWIVTSAAVTGVAGSIS TVLSMKDVWDKLI LY89DRAFT_681279 MASQNTSRYHVVPPHEEDERDYSPHDHLLPTISLKNFNHSWSIT LNPIVVLRGLSTILSLVAFIIFVVDGGGDFIAADIFLACIMIINVLMLVHYTVSHLVK VTVEVREQSYALGDRKKPRVSTYLDLSFAAALTLSLIIGNAVKRGWYGGAWKGAVVVG YFVV LY89DRAFT_608528 MVNGIPSVSPHQLPDRFRHVFPYDLFNAVQSKCFGPIYNSNDNV VVSSPTGSGKTVLLELAICRLVENHQSGQFKIVYQAPTKSLCSERLHDWEKKFSHLNL ACAELTGDTHQAEMTRVRNASIIVTTPEKWDSITRKWTDHAKLVQMVKLFLIDEVHIL KDTRGATLEAVVSRMKSMGTDVRVIAISATVPNSPDIARWLGKDPINQHLPAHREAFG EEYRPVKLVKWVRGFDGSLNEFQFDKTLDGKLPAVVQQYSEKKPIMVFCFTRKSCEST ARILAEHWTRQHAADRAWPAPPKKTAVRNGEHQQLVNCGVAFHHAGIDSQDRHAIETA YLNGNISVICCTSTLAVGVNLPCHLVILKGTSGYQEGGKLCDYSDLDVMQMLGRAGRP QFDSKAVAVIMTHSNKAKQYEKMLSGQQILESTLHLNLIEHLNSEISLGTIKDLSQAK KWIQGTFLAVRMRQNPDHYKMKDVADGWNIEKRLELVCERDIKLLQEHGLVGIGERFF SCTEYGQAMSRYMVQFETMKEILNIPRLAKMEQILQTISQAAEFQDLRIKIKERKVLR EWFNKSPFIKFPVQGTFSLTSQKVALMLQIQLGGVELPQDNTEFNMIRRQFNIETTII FERVQRLIRCVIDCKASDSDSVAVRHALDLARSFSAQFWENSNLQLRQIPQIGPVGHR KLVDSNIDTVQKLAEAETGRIEAILSKAPTFGQKMKELLAGFPRLILTADITGQTTVR RGQSPKVNVRAELGYSNEKIPVWSNRKPSVTFMAETTDGTLVHFWRGNITQMAKRLEL KFTVELSGHAEQIKCWIACDEIVGTVKTCVLEPNIPASAFPPPAPKPTPKAAVSPKVV AQVVPKAIPRGKSDEFGTDELSDDEMLAAADEVEYKKYLLSKIDSKVGIVNRDYPPYQ SLQDYKQDTEHFDDIDDLDGKTKAVKDKKNTQRVDEEEDSKDDDIIQSVQMANGRWTC QHVCRDGRVLKTGEPCKHRCCKEGLEKPRPQARKDPSVQGAKPAAAKKIKSSQGSSAP KLKESSFDVNDISDAELVDLTEVRAPATATYGKTAPRDHQNFHDHYTKGQLDVPTLPK TKPQLSSSSGEKPGLSFFQQQDDLRGLFDSLEPEKPNGFEPIRSLHDDMARFDEAYFE QTPEDDYEVSPSSLHDDTMHGFEAGMSLFISPQVPLHAPQDSVPDVSFSNDLFDFNTY EGMMDIDHEHSDKASTDQLKGGQSSSPAVRNPPQRQLSTEDAKPAAKRLRLSNAELVE ELSQPEWTNEVDQGLIDSLKNFVDFE LY89DRAFT_694371 MPLVGGHRYFTSTAVFLNEVIKLAVSLTIALYDISSTLPQQTPA TVLFEQLYNSVFSGDGWKLAIPATLYTLQNSLQYVAVSNLDAVHFQILYQLKILTTAV FSVTMLGRTLSPKKWVALVLLTIGVAIVQLPSGEHSAYAPIKDSQSRFYFPRSFHELG NIGGGVQEVARELTKRGLEGLSQGLSKRSATYEGIQEDMGLVKPIMNYSMGLTAVLVA AVISGLTGVYFEKVLKESTQHVTVWTRNVQLSFYSLFPALIIGVIFKDGEEIAKNGFF DGYNAVVWTAIVFQAVGGVLVAMCINYADNIAKNFATSISIIISFLFSVWFFDFQVSW NFIFGTGIVIFSTYLYSGQDKRRNRPPPINIASYEKTTIDNGYTPRFEEDKSSLTLDP LENLKTAGLSTSRPSSPLRHHSRVGSSRGKIKRDD LY89DRAFT_545451 MGRTSKFSFPIPGRKHATKEGPGVKSSSAAGNNLSKAQRILGTD NDLNIDSPTREDHPWKYPGSRSSGMSISISESTQSTNESGSIHEYQSEQWDRESGVLP RSSRLHGKASSTLLGQRLGEDGTTTTSSVSGRLRNEDSSSTLKSYYDRQKSPLSISQQ TSASSARDLALRKGFPPV LY89DRAFT_665073 MNEWIYTRPRSVANPPHIPDVAADSQHTLISTALGISGFPASQG PAIASKKATHYGGISEVLFPRRSPDLLRFMSVQRGPGKVATTAKSVLLGGHGHYRQIL ACGVGSAHRQSESGGPRAVRSMAALPWWTLRQVRSKLIIPHSGELAGLGWAPSSPSLN CPSVPAGWHLLALHSPVPKGAAGSPLVRTSQRASFRIANTGDGYVQARPWNPRSSLIN FP LY89DRAFT_729412 MPAKASKASKRKRGKSAIRAQPVVPQPLHPNTKLDLALSTQIVQ TAVAAIFFQIIHIRKVFKDHPWQPRIYHPEDPNNSYDAYVNRQDFAPPPHEYMYWRVP KRGEGEQVDKLMNVLESVAKEPIEKRYLQKLQLCFHTADGQEVSENNLIESYCLTFEY VNGRASSRLSERVRNAVTQEFTTLVLSEGRRKLIDMAEELFKRLGHTDRFGRLTQYPL PGKFSDYRLNILAEYNDSAPTSYQPTYFKPGNLATEALLSLCNSDPELSMDTGHHRIS AGLLLPSSGSSPARMPQRQILAHSNTSPAESRAPSQPIGRLESSQPPQKRGHYLRLGS INGDGIQREMSHRLQTMGDSRAQHHFTQDTQHLQKDQSLPRQSQQAQSEPVVAPPYTQ DIMNYFNSRLAEHTGAAGDDDLDGPQSIGYNGDRIVECECGCQENGPSMIYCVDCRRS QHSECYGYLDGEGPSNHLCYSCLAEIYKEEKQQITAASELCVGRHILWFLRNKRVAVS FSELVDYLGRSLEFTEELMEVLELSGFVQKLNKTKQLRNQTFRYIGNDDSLREEYFQP QRYINHLFAIPDAPRLGKLADPKSSIALDDFNTPNAKASGPAHVFDDGTTEGEPSPRL STCGTPLPSDNEFHHLQSIARRGLLFSPNETGRRASKRFAESHSPVEAVKRMRTSRAS PILESTGLN LY89DRAFT_389053 MDPPTRSQVKRRAVSAQHVGNNLSANRMSDESPSTFTSKSKYGQ LAVDGQPLRSRNQMVSVGWVEGDNSVSRRTSSLQFELAECVETKTITTTTTTKRSYPP LLIRQDLDKLDPKEYPLALQQPPPELLNFSYEIDDSSAGQSEDSTAKLNFPASMILDD ISPKEFDDQDNLETLKTYKNFSANTSGQQLSERLSQKLDMVNQRRSVKTASEIRFKTR RAQKTMKQEPRDSEELESPRENHRRRHGLGMHLSHNPTFPATPDMSEVDVSNDRTQPS TKSFFDSAYTPHSGLSQNDSFEDVPSPPEFPNQEAFTNAVATPPIAESDLEPLEDTTS YTLASGQRPTVNTAAAQNASLPSPGLSPTFSSANIPHDLFTRADAIREDGTASAASPA TQIGDSQETSDGDDLDLSESQALRPFQQSSRGHPTMLDAQSMLETFDAMPNDMKTLMM YQLLRRCSRKTLHVIADVVNPALKCDFLDQLPTELSLHILTFLDHKDLCRAAQVSKRW RNIIDSNETGWKELFDRDGFILPQGELDKAIQQGWGWQDPHGPDGAERDLSVPPEPSS LDTVALAAHLKIEAGPSRKGRAPTKRKRAPGGSGADRAKRRSVGHEFADKAQGMVAKY PTMPKFSKSEGPLSSASAAAAAVPNPELGLPGLRKLHLYKSLYRRHFMLQQSWMSGKV APHHFAFPAHPAHVITCLQFDDDKILTGSDDTLIHVYDTKTGKLRKKLEGHEGGVWAL QYEGNVLVSGSTDRSVRVWDIEKGLCTQVFHGHTSTVRCLQILMPEMTRRTEQGVPIM VPEKPLIITGSRDSQLRVWRLPLGEQGDKRYSSTGPPANDTDCPYFVRVLAGHLHSVR AIAAHQDTLVSGSYDNTVRVWKISTGETIHRLQGHAMKVYSVVLDHKRNRCISGSMDT YVKIWSLETGACLFTLEGHSSLVGLLDLSDERLVSAAADSTLRIWDPENGQCKSTLSA HTGAITCFQHDSQKVISGSDRTLKLWNIKTGECIKDLLTDLSGVWQVKFDERRCVAAV QRDNLTYVEVLDFGASRDGVPAWKRGARRLLAPDADAEPDLTIVEEEVLDD LY89DRAFT_389054 MWTIALLGLPHICIIPSCLMQFITKATLMTLLSCYSSKAASSVR ENATQCMIYHRPMLYISQNKRQRACTFNNRYSSLCYACKCAVHDPFDAELQNKS LY89DRAFT_389109 MTSSVTLLPAEPSDIPTLITISHAAFEQDTHTRFKALYAGYNHG EGMSSPLSSWINDTSKGAVIKAVSPSHEIVGWAAWRYINFQPTDAPPSPPSPTKTQTQ EDPFPPPPKPPSNPSVPKIKHLATLTDAAMTHYSSLLTPPGVRCLILMGINVAPSHQS HGVGSSLIRWGTRLADEQRVYTWVSSSHDGYRAFEKCGFREVGRLELSLDDFVEDEGV GEEKWGEYVWRYMRRGVGGV LY89DRAFT_389121 MAVIWGLDLKDMQWSKFKGSYMFNNVYHLRKVKMIVYQIAMILC VVSESVGTAALSDYVDEQDGIQTRDGRRAHVQNNDIVGILSYNIFVGIAVATIFGSGF FFDLFWPERRESTSVKWAWKICSIVVSIMALADAIALTVIVATHRAYISGMSTALADD LFRANGPPNPIYRKNAYCVASTVILWPGVIASFASSYIMWKSLQHNDQFGPFSSAYKN NGEEASMEMPSTNNTNPTTASTTAPTNGTNVTTGTNGVNTAPSEGVTTTTPSQPYPGT LY89DRAFT_729416 MVLHNPNNWHWVNKDASSWTKQYIEDSIKGLEAEENGVTAKVDK LISMDGDVDVSQRKGKVITIFDVKLVIEYSGTTKDAEDVSGTITVPEVAHDTEENEYV FDIDIYSDANSKAPVKDLVRSKLVPQLRKEFAKLGPALIAEHGKDIQHAPGSGPSSGV STPKLHQPSAPASTTTQASHTSKGDSLVNTTTVTDSQEFRAPASELYQTFTDPQRIAA FTRAAPKLFEGAKKGGKFELFGGNVTGEYLELVEPTQIVQSWRLDQWPKGHYSRLEIN FDQNDIDNVTVMRTNWSGVPVGQEEVTKRNWSEYYVRSIKTTFGFGTVL LY89DRAFT_608547 MADDSSSIPNSSGGAGGGGGGVRRAATDPTLLASGETTMAAAGP PRVRFSQDLDRATAAAAAAAPRLEIDTRTSGVRREGSPSSRKGANPNPVSPRTRDRGY SLRRSLFARTINGQAENSPIELVEAGPSGGLQDELEQRGKKRGDSSNVTVSPVVENDM VISNEDLPLDEGSRSKDKKTFGTINLPNYDVWARQSSKSNTLMQRAKGWKKQIKKIIL RQTQIPPSKDGRHIDLDAGRKTTLIDERTGHPYLGNQIRSSRYTLWNFVPRQLFFQFS KMANAYFLLVSILQMIPGLSTTGSYTTIAPLLVFVTISMGKEGYDDVRRYKLDKVENN RTTTVLHAYKPVGEVRKKEPLRGLKSLVPSSKSSEESELVMPVDGPKHWASLKWRDVK VGDIIKLSRDDPVPADMVLIHSDGANGIAYIETMALDGETNLKSKQAPPNLAKHCRSV EEIAACRATVVVEDPNIDLYNFDGKVTVGDETLPLTTNEVIFRGSTLRNTSTAIGMVI NTGEECKIRMNANKNPRIKAPAIQFITNKIVVMLVVFVVLLALFCTIAYQIWARQTES KAFYLKGAHVNFTQIIIGFIILYNTLIPLSLYVSLEIIKVGQLILMADVEMYDPVSDT PMTCNTTTILENLGQVDYIFSDKTGTLTDNVMRFRKLSVAGYAWLHDFDLQKEAAELE QSRETTGPTRKKSKGKGIAKRHSKKKSRRPTVETSRMSSEVSSSVIPSSVPRRSGSIW RSTARPNKAQPEMRTEDLLKFMQQKPHSVFTRKAKFFLLSMALCHTCLPEVQSNGDIE FQAASPDELALVKAAQELGYLVIDRPARSITITYPGGPDSSDNIVESYDILDVIEFSS KRKRMSIIVRFPNGRICIFCKGADSAIMPRLKMAPLALQKKAEVSRRSSKRKSMEAEQ ALRRMSEHSPRTSFSLNRGSMTLSRKSIGHGRPSMASTRLQPIRDELDSWLQQRETDV EAAPDDTSAYETPRVSMNRMSFASSERRSSAYYDDGFDDMVDEALVLDDSAVFERCFQ HIDDFASEGLRTLLFGYRFLDEQEYDGWKKIYLDATTSLVDRQKLIENAGEMIEQNFE LAGASAIEDKLQQGVPDTIDKLRRANIKIWMLTGDKRETAINIAHSARICKPYSEVVI LDHTTGEVEQRMATTLLDITKGAIAHSVIVVDGQTLSEIDANETLSLLFFDLVVLADS VICCRASPSQKASLVKKIRTKVNKSLTLAIGDGANDIAMIQEAHVGIGISGKEGLQAA RISDYSIAQFRFLQRLLLVHGRWNYVRTGKYILATFWKELMFYLIQATYQKWNGYTGT SLFESTSLTVFNTLFTSLPVIFLGVFEQDLNASTLLAVPELYTMGQRYQGFNIKKYVS WMFMAVSGSMIIYFTMFGLFGEALFTRDDNLLSMGTLAFTAAVIFINTKLLLLEMHNK TLMSALGWLLSVGGWFLWTIVLSALFKPSKTYLLYPIKSGFLHEFGNNLLWWLVLFLT LCSLILMELGISSIRKSFWPTETDLFQELQKDPLIRKRFEERLKQEADGTTTAAAGDK EQIKSSMDEDAQREREGEIQELLERRRVMPEAEVVRSPVDIEDGGAAVGRGPMGSLTR RKFSVEGRRSLGFAVGEEFEMVPKTRHSVDVAEVLGRR LY89DRAFT_576855 MADMSQENAAIKMSSSPSPTASNSASSSSASRRPPRKSTLTQQQ KNQKRQRATQDQLVTLEMEFNKNPTPTATVRERIAEDINMTERSVQIWFQNRRAKIKL LAKKSIETGEDCDAIPESMRQYLAIQAIESGKSLGGNFLGRSGLMPYGSGSLMMGGDQ GPQGKVVIHHLSCRSLSIGSWRRVGQNAMDLIIFYSPEKGCMTYYINNDQAGYKIEYP FAFIKNIFLENGDIESGKPGGLVVELNRPPNFFMDSSGSGGFFQCGDFTEDQQASQIM VHHLGGHPKVLSGQLAKLVSLESFMTRHNPFEHQQLSVSAPVSPTGNRPASQPNYNAQ AHVGMFQEGWGVNLHQGPRGPGHKRQRSRSVPMAVDFSMFNNPMPSFLIQHPGETQQS HNPNIFAPIPQQPNNLGPLGPNLRIDTSSGYGMDFRQYPMSAATTTSPSEFASPGFFS QGPDTGALPASSYNNTPFTVPYLSPNPMVDTTGGMIQPSVSPLSFMSHGSHGDPAIVD QSPPLSMMHRSASADVYNMSHDHSNISDDGNGLNEMYSKHTLNLPMHPHSPAFAEQSQ GDMDMSQLVSFDAGENSSLSPENVPQ LY89DRAFT_681289 MHPKISFFPKLAASSSLLLLPIFAVPSIPIRGARNAYPTRLISF TKGNPGYRIAHSEGHALGTSSPFSHSA LY89DRAFT_681291 MDMNAFNVSATLNLFRLVTKPTLCLPHATVSTFNQLPVPLNGAF AKYEKDGKRKIDIRAVVLDKDNCFAVPHANEVYKPYEQTFQSLKAAYPGRKLLIVSNT AGATSIDPSRTLSKAVETSTGLSVLPHSTKKPGCGPEIMAYFLQHPETGVTRPDQIAV VGDRLTTDVMMANLMGSYAVWVKDGVVGYEGSSLFARMEQRFAGFLMRRGYVAPDPAS PFEE LY89DRAFT_681292 MTSTIGIPIKLLNEAQGHVVTLEITSGQVYRGKLLDAEDNMNVQ LKDITVTARDGRVSHLDQVYIRGSHVRFFIVPDMLRYGPVLLFLITDTAVFGRNR LY89DRAFT_389629 MEIPNPRRILAVSRPDHGLLDLVKGLTGTKPTLPDPSTIAGTTH PYPITTAYYSATIPIWLDEILSPSTWSREFLAPEAKEVLSALGAFVVCFKKPLNKSEL DEIKDLLKNVGEVVKEGCGMVWDGVCVAVGMPRGEVGGEEGIRGMKGEEWEDLCQEWG FEWVDWEERGRNEYSETQGLERLKEALEANDWEALDELGDAMGLEDFEGEDDDEDGEL GFDLGPEDRAEMEMEMFGMKRAIHEGGGDGEAHEEGEDEEVEKLQAMMLKMQAVRDLG SDMPEAERKRFAAKAVNDIMKKL LY89DRAFT_665084 MFTPTLGNLPLLLLFVISTATPFLPSHFSTRQDPDGPCTCYTDQ SGLYCGSRSDGSDNASLAGPWRWNPGVLRGSGLDRESGITVEVGGCFCVTLFYEEFML CEGVVAWSGLALHDVLWGWNIRGSLYGVNDL LY89DRAFT_389625 MTQRESSPRRSRTIHNPSQSRSSSLRRSPTNQASGLNINKMVEP KNIVHIIEILGVVACAHHFWPKGITYGEQEDWEKAHRKRHEHGSKSKSKSRSGSGSGS SGSESRRRSTRDDRRYEDEYDERPRYSRLASSRY LY89DRAFT_681296 MQVASRLLLVWAVVNTFPFLAKSAGYSSMLIAWSVTEVVRYSYF TFTLSGFQPGIISWLRYNTFYVLYPLGISSECWLIYKAIEPARRVRQEFAWALQLILF IYIPGSYVLFTHMMAQRRKVMRGKQVQKAE LY89DRAFT_729423 MGKPCWSKEEEDYFRDVIIPQSKYATGELVADSGLEFAELVSSM QTEMNRQGVARRIYTGEGLFQHWYQRFSNRARDRHQHTTEQPLTVATTPGSLVAELDK RNSRRNATPSTQSGTPPARTSRRRADSFAPSGAYIHQSTQTNVVIAPYADTAGEVEIR NRESIHLAPPALSFDNSTSVHVSNRVSNRSLRYAEDTDDEDDGSPILKESLKPTKKAM RPSPRVARNMVAQSDGRLGSQAAAVQSMPAMGSFPSPEYRPQRSTSSQSPLSKVTGGR IVAPKRPANSLLAEPAKRPKSSMTMEEALRQAMKKKNEASGHSETAPTQSADSVELGP QTSKKPYSRRRGLKPIRSLDNPNSQQTIHTSHVGDLSELTSPLLASPKSQQTLHTTQV AGLSDNISPLSTTPKSRLGLDQPTFDISPGLSFFSPASEINTPSRGANPPVPRFDGSP FPALDASRLLTSPITSSPNALSRPSTPHMDLFAASASQNSESSRASHSPLTSRSDKSK AKKDAEKKASSRAKAILGEIDVDDSD LY89DRAFT_681298 MSSISSRIARNGSAISSTSSSSVDNSSHNHIVSSFRSLTNPPWL HEIMAKQNHRHERIAIGTMNVGVAVLTAEMMQMHIQRSPDIRGMLDCLPVARKDNAAF LGWRQKLRTW LY89DRAFT_768179 MAAPYQSFEDIATEPEPELTRFSKIILAVRSLRTKPSLHPSQDY LLGLRGLLTIQTFLYTFLIVFAPAAVKDSHNDTGPGIQLFLRKTISVLCWNSSLIYSF IIVLSARSISIPFISSSTSLVVASSAFRRSVRLFIPTFVSSLLVVIFLSGGKEYIHEF KKLTGNVSFETPYTLPGFVGFCNAIFNLFWVTRNFASQAGSRAFPGQMLWIVTLLYSQ SWTVFMSMVIIPYTRPVWRVKAWVFFILTAWWVQNWAWFSITGLLLADIRMNMDFQSK SLKGLRVFGGRWRVPSWVVYGLLIAMGLMMQFFWSAWKPQLEDGELKVHADLYGSAGL NNNPDLRQPQARMDNYFVVVGLLCLIETSDFLQFLFSSAPLLYLGRRSFAWFLVQSLI IYSVGIKLFMQLHGMGATEAGATTACLVVCVLTVIPTAEVFYRLVEVPSQSFAKIAFD WIRA LY89DRAFT_576767 MSIFDDALEPITKQSRFQHLLTSFQRSLVDVSAFITEWTPFLLV ASYYWFSICLYILFPPWIMAIFWYIYMISNFYIASSTVLEAFMSLAPIRDARKTISKA QANEWRFPTPDSDLPILDLLIVAYLPNEKDIIMDRALYALEKIAYPQHLIRINILYNT PRSIEPLESQLHDLTKKYSHARVIKVPGSTSKADNLNYFFSLDTGSDIIAIFDCDHYP HPNNPRWAAERFMSDSAIDIVQGRCVIFNAPASLLAAMISVEFDKIYAVSHPGRSSMW GFGLFCGSNGFWRTSLLRRLKMDGSMLTEDIDSALRAVSEGAKTVHDMNVVSYELAPT QWPAFWKQRLRWAQGWAQASSRHLIMSFTKPAEGVRKREFRTRFGLFSLLLIREWSYY LVTQYTCLVVSMVITDFPKTAGQLARLIFFQFPLSEWFFIISLLCLIATLYITNRVRS EFVERWMIVAFSVIYPFYLVLAATIGLYGHARAVTKYSAWNPTART LY89DRAFT_638019 MYSLRCLSLAIAAGLCRSTIAELSQSLRPRDLDCSCGFQDDLNG QVFTEAIIVYFNETTGILQDFASEVYTNNYEKSWNSIYRQGADAGNVQLNNTSNSLEL NISPPESDHVVIGGGIGTLRSDIQYGSFRSLLRPAGPYNGGSSLSMVLMYNDTEAIQL NVMNTDTPATAWTSTLIGEEFPDRTLGANFNVSSQNSTASIPYWDYTEYRIDWTGKDI KWYIGSNLTRTASSHNISLPTVPSPLFLKHWSTGNAYAEQGPPLNGAVANVGYARLFF NSSLMNAEGHKEYDSQCQSAAKCSTEDWTLRGSTPYTAESTQKWEQVSARTTVQWIAI WMAVVCIICSTLLLMHTLLPRILAKFRAKKQEGEGSTTMSFTSGTTAFVRPDTNHSLS LSMTDRKLAFWQQGGSARSVVSLKRKSADSSIPSTPRDSRGGTSSASPMPGTPVDSRA TTMVNLPLDRIMIEEGVGYHTRLASIESVRSTDSKKDKGKGRRHSVLLTEEKPPSVSS DKKEPLTAKPRVEYLAGLTAMCAIIVSVDHFCSTFAPAVIFPGAPHHYISEKWANKIV TPYLMNQIWIGVFFTCSTRFLVSRYLKAGELDWIAQKAVTRNFRVMIPIVAVAMLEYF LMECGATTWLEYLPSITWSTWPYTTPYNTFGDFLSEVLEVVYLVPNAAPQIIFNYCTG VLWTMPVQLQGSWTILLGVVVIREIKNPAKRFGYYAMAVIFNWYASSWGAYFWLGLLL ADLDIVFKYRKHISARPWLFYVLTITYGITTILSLSVDTINQVANYSFIDAEHSIHPD QWTGLPIYKANPLEVSDYFIPRLNGLTFAFSLQSLIELSPFFQNVFACKPLRMIFPHI FTIYLFHGLIFWSLGAMICVFLSSHGFAYGVNLLITAACCYSALFFSLPIVTPIVELL GKHWTASIWESAHETPPPKRDTLFPFTRELLSEERSVAPDSSNEGDSNEKADEKKLRS DQYFNEKQPLSDKAREAGHKSHFSETIVEIPIDSEHNTDKTTLEASVSDNSSSKKRDS DRSSKGLEYLRELEKRQSARSGTSSSAYSQALSSRDSKRESQRSGLSVQTHLTPFLET PQPTFQIAPLAQDPVIEVKNPARESVQSSLHITEHLAYPEPVRPRASTRSSLGLGEQA GLFAQQKRRPSSTRSSQQVAEVVSEMILHSKLSVSEVREMALQQARESKERKREAEML NELLREEEETGEGKEKDGNGLSAGYVHVYGPESVAASSSASLAASGSKLRNSSMSVTA VGGSGKRESARSRSFMVEVTDLEHEHENKF LY89DRAFT_389763 MRRRHLAILFALPTAHALSLANFQQITSVLIPLSCQLTYDSQIS SCTKSDFNNGCSAACIAGLNAAAAAVSDSCAEVSVSSNTLLGIVMNGGVVAALCPSLA TTTTTVLRSSTMPIAVSSAAPAVSVTIPTSSGGNTGGLGFKTTTSTSIKSTSAPAPQS IVSTSSEHHTSSVISITVKSTSTETTSTSSTTSTSIESADGGLGGSAPTTTTTSTSSS SKKGSVATSTSSATKPANQPDTGGSGGGSPFDISSSASRIGGDSILGLVILVMACVLT GR LY89DRAFT_552659 AATPTTPIRIPKNAASYSAETQDYDLRSQINLQLLDNGHIHTIH ETLLHALHAHPSDWPSRIQAHALELLRSGHVTTFPMLMARVLADIKADQKNPDRVGGT GLALPAVVIEEGVRVTRESLEQVCEVV LY89DRAFT_681302 MSAEPDHVEHKKKVNLNDASGAEHKAQDDTATAILKKKKKPNSL MVTDAVNDDNSVIALSNNTMETLQLFRGDTVLVKGKKRKDTVLIVLADDELDDGSARM NRVVRHNLRVKHGDIVTVHPCPDIKYAKRIAVLPIADTVEGLTGSLFDVFLAPYFREA YRPVRQGDLFTVRGGMRQVEFKVVEVDPPEYGIVAQDTVIHCEGEPIQREDEEGNLNE VGYDDIGGCRKQMAQIREMVELPLRHPQLFKSIGIKPPRGVLMFGPPGTGKTLMARAV ANETGAFFFLINGPEIMSKMAGESESNLRKAFEEAEKNSPAIIFIDEIDSIAPKRDKT NGEVERRVVSQLLTLMDGMKARSNVVVMAATNRPNSIDPALRRFGRFDREVDIGIPDP TGRLEILQIHTKNMKLGDDVDLEQIASETHGYVGSDIASLCSEAAMQQIREKMDLIDL DEDTIDAEVLDSLGVTMENFRFALGVSNPSALREVAVVEVPNVRWDDIGGLEDVKREL IESVQYPVDHPEKFLKFGLSPSRGVLFYGPPGTGKTLLAKAVANECSANFISVKGPEL LSMWFGESESNIRDIFDKARAAAPCVVFLDELDSIAKSRGGSVGDAGGASDRVVNQLL TEMDGMTSKKNVFVIGATNRPEQLDNALCRPGRLDTLVYVPLPNESSRAGILKAQLRK TPVAPDVDLAYIASRTHGFSGADLGFITQRAVKLAIKEAISLDIERRKAREEAGEDVD MEDDDAEDPVPQLTKAHFEEAMSSARRSVSDVEIRRYEAFAQSMKQAGPGAFFKFPEA GEAAANADSGAGGFGEAGNDDSLYD LY89DRAFT_389974 MASTTRAMMGLSASSIGFRAANTARRSFATSQSQAQLLTRARPS SRVLLDKSKLQQQFRRGYADVAPVKTPKKFRWFRFLWRATYLSAIGGTAYLAWGVWDL RHPDDQFEPDPTKKNLVILGTGWGAVSLLKKLDTENYNVIVISPRNYFLFTPLLPSCT TGTVEHRSIMEPIRSITRHKKTAVKFYEAEATKIDPERKVVFIDDNSDVKGATNKTEV PYDMLVVSVGAENATFGIPGVKEHSCFLKEIGDAQQIRKKIMDCVETATFKDQSPEEV ERLLHMVVVGGGPTGVEFAGELQDFFDQDIKKWIPEISDKFKVTLIEALPNVLPMFSK QLIDYTESTFKEEKITIKTKTAVKKVTDKTVEAEATGPDGKKTMEIMPYGLLVWATGN AVRPVVKDLMSQIPAQKDSRRGLAVNEYLVVQGTKDIWATGDCAVAGYAPTAQVASQE GAFLARLFNTMAKSEAIETQIHDLSSSLNLAPGNTAEIAKEIESHEKQLRRIKDIKPF HYTHQGSLAYIGSERAVADVSWLNGNFATGGGLTYLFWRSAYLSMCFSSKFHLPPQLS VRRRLTEIQLVTVSWSFSTG LY89DRAFT_389953 MDLHARQIINIARPTSTTSSTRAHCTPFTLPSNGVLTLGVSDVL TLTSDAVFKPECTGSNDAVLTGTDSPSYLEDFRDPFYASTIPECYALAAATVISYMLV IMLLITPRTFLHEGAVVLGRRGFTNGPSGSDAGIGIGGRPWLQKVAALTVAISLTIAT ADTFKVAEQQYETGYMDAQALQNQVEDGTELKVIRVISDTFLWLAQAQTLIRLFPRQR EKIIIKWTAFALISLDVLFSLLNNFVYDGNSRPRSFVDAVPALAYLFQLALSLLYAAW VIYYSITKRRFAFYHPQMRNICLVALLSLVAILVPVVFFVLDISKPNLAGWGDYVRWV GAAAASVVVWEWVERIEALERNDKKDGVLGREVFDGDEMLDVDPSPDGTWKYRLKQYK DVRKGKGVDRGGDSLTTGSHGRHWPAMSGLANRYRPRATHDVENGREDEDQASKPHAS RKPRPKPPLWPTRPPPAATPVSRTDTASAESTVYAIRYHPISDATPPPRNSIPPQPSR SNSLEILTARSEHEDTNEQPEKSLSVQETEEAPVPVADHSNPWQTLVRVNPFRRKAQD PPPEVSAHTVKPQEPVNPHGPVNKWDVRARFEDFAATQAEKLREKKRPTVNIGSLPVT VIPAPPRRRDLLATLDELEHTGNGERPFTSSSNTWVATPSTMADRMADRPSDPEAVDQ SRSPQPQRMSDPYTPNDLNGHSIHQRGAISFATPGSQDRNGAVSPVSPISIMDRATPT VISSRGTPSQPHSPVSPRRDGLPFMSIPAPPRRPRSNEE LY89DRAFT_665095 MKAVVIHESGGPEVLKVQQWTKPVPSAGQVLIRVRAFGLNRSEM FTRQGHSPDVKFPRALGIEAVGEVEDAPGGEFKKGDVVGTCMGGMGRNFDGGYAEYTC VPTDQVQVVKAKISWELLGALPEMMQTVWGSLFESLQLKKEDTLLIRGGTTSVGLAAA ALAKDMCASVAATTRNPKSEAMLKANGASEVFIDNGSIAEEVRKRHPEGFSKILELVG VTVLEDTLKCAKAQGIVCITGIVGGKWILENFNPHIIPTSVCLTSYGGFGAQRFKAMP LDQIAQRVVDGTLKIPIKTFTIDQIVEAHRTMDENTAGGKIVVLV LY89DRAFT_390052 MPRPEIIRADSIDLQDQEAPSAKDHSRQPSHPAPLGSGPPAPHQ AETLRELKVEAQEENHRSPRVSKEWTSGEVQQLQQYGDDLAAGVTSKLGANIQQQQDN LAIAQNGGGLTGSTAEDADAEGDDGMEDDDMMDKISSSPSIDDGGYPLPHLWPQRADS LTLSTPTKSPSPSQACCESSSPFVETPEYYPLGLPSDPIDKDDGQGDHSDKYRHLQGE LLDVSRSESNYDEDQMDDVPTPASKIHRRVEVYDLDFDIDLLTEELLNANEGTLDEYE DLFHAGTSELKGREIEVEVKMAEPEDISESDNRGIPTIPYEPTSDDEDDDFDISFHAY PPTRVDSGWGGDCLQETEDIDFEFVYALHTFVATVEGQANATKGDTMVLLDDSNSYWW LVRVVKDSSIGYLPAEHIETPTERLARLNKHRNIDLTSTMLGDQAEKSKNPLKKAIRR RNAKTVTFTAPTYVEASDNDYSTDEEEGEGDYYGQNVQQEQNGEQQEQTIEEEEVATV EPLKPRAEIREVKSESVEPESKDVVLKTSSDATRTSDEMFEGKPESKSRNGTVRNTDS FFKDDTVETRKITLTPNLLRDDSSTSTRTSNDSKELKQRPSLDKLEKDSPEKNKDKKA KDKKDKEKKPGMLSGLFKRKEKKNKSSIDDEIDELMGSKQSTENERSSPDPTRDSGDV VAVEEQAPTQGSEVQRQPSKLQKQPRMDASPTRKTGQGREPKALEPQQAPAPTRATPA ESVQAPSMRLVQPDTVDEATQQTRNATPENPRGPQERAISEATRAPAPIMTAPEKPKS VGAITKMLRSNSESKPVKATKAKSRVELDDFDSSDDNSPVEEPVRGPSKQAQRPIPGS FPDSYIATPANERNNPAEDRLSESPVQVSPVQSYPPPLMVDTSSQEEPPSPVSSPSPE LIDAEDAREKKGGSSTTSTSTPTWSDAHLRTFFDDDADIKDLLVVVYDKSGVVPAGPD HPITGNLFREENAKLADITNRLDGMLGDWLARKMRTQASR LY89DRAFT_694387 MAFEAFESGTAYPESDADDEYERSLHDSSPVLATDSDASPSSED FSSNDHTPTFMTSHNSGDRLPETIITEWTADECADFVGSLGLQQYGDQFLENEIVGEA LVALQHDDLKQMGVNSVGHRLTILKSVYDIKIKQDIPIESDHYVPLSADAEAQYATAT LKDIKQLVEQLRLRDERMSIAEMELRRITAEYTRLREDLLPVFRMAKEGQPLPPPGAG DYNNQASYNNYDASNTISPPAPTPSSSQSGGLSRKFSTKKLFFDQPKNASPTYMQPGQ ERSLMEQALDPANAAERAVLSSSHLAAMNGGSQPSISPGHPSPNQPSPTSPPTLSGST LASRSYRSDQPTPGRSTFPNETDSYQPSTYSRDSTKPAPSRRAQTPALDTPSSGGSVE IFKSFRVSMEDPCYKVLPAALRKYNINAPWEQYALYIVYGDKERCLGMDEKPLILFKQ LDKEGKKPMFMLRKISPSTDPQSDNVPGSAGLDRNVGRGIQATYDPPGGII LY89DRAFT_547168 MPYLDTAQQWLTQSTLLLKARPSTTRISTKYTISPPTSKSSSKT KPQPDQTTPTPNTPSNPPPAILTLKTYDPASGVTLKYSTNKAAEVSRLIQILGRLARP MAGLPEMKE LY89DRAFT_608592 MNTQVTPSKTAASAIEGLKMGDSPAKKLDFHAAGKENLPVSVNA PIVDDIEIKKPIVEEVKFEKSVVVAPTIKPDESDEPLLQENPQRFVLFPIKYHEIWQM YKKAEASFWTAEEIDLSKDLHDWNNKLNDDERYFISHVLAFFAASDGIVNENLVERFS GEVQIPEARCFYGFQIMMENIHSETYSLLIDTYIKEQAQRTYLFNAIETIPCIKKKAD WAVKWIQDKNSTFAQRLVAFAAVEGIFFSGSFASIFWLKKRGLMAGLTFSNELISRDE GLHTDFACLLFSHLKHRPSKQAVQDVITEAVEIEQEFLTEALPCALLGMNSNLMKQYI EFVADRLLLALGNEKVYKSANPFDFMENISLAGKTNFFEKRVGDYQKAGVMAGTQKKH EDTTAQTEAKAENGGDFNFDEDF LY89DRAFT_714968 MTSVIAKYISKRVLGESLQNNFGKEDPYFETVPATRLDGRPSSK GKKRRKALPPGISEHDAKILTKVKRRAYRLDMSLFNCCGIRFGWSSVIGIIPAHSSSI GDVIDAFMAMMVLRTCQQVEGGLPAAVKSKMMFNIVLDFGIGLVPFLGDIADALFRAN TRNAVELEKYLRQKGAKALKAQGQNALPLDPTDPDEFDQMMRAEHGPPPQYTTGPSRQ GTQAGHGRSEQAPPQPARPQPAANKGSGWLGRSKQSDEERGQGPLTSSPNDDPRRNKS TLQKNRY LY89DRAFT_608597 MTETNMHLEEWLEDLEVRFIMNIPHEDLSSIERICFQIEEAQWF YEDFIRPQDPSLPSMSLRNFCEKIFAHCPMLSSFSQGSHMQAFEHFLDYKARVPVRGA IMLNSAMDSVVLVKGWKKGANWSFPRGKINMDEDDLDCAVREVYEETGLHLEEAGLVP EDRQVKFIEVNMREQQLRLYVFKDVPMETYFEPRTRKEISKIEWWKLSELPAFRKKGQ HEQNAAASNANKFYMVAPFLVPLRKWVVEQKKRGTRTISNSQYQSAGLSHDDFLTEED VGPESGTQLHYPDASGEPDNETMVDATAALNRLLHIQQPSQDSEVDDEMDFQRVQSTK GSALLALLQSKPTVDVPRAQNALPHTPLEHTVGQAPMPRTPHHYAPRPPPFSSMPPPP AFPPMQQQNTFSYQQPHIENHYRQNNQPRGMPNQQQPPRPHENPHHYQSQHLIHPQPL PPTVQRALFTGGPVHSPVAPQPVQQPLAQQHPANVAMNNQNPQFSGLHAPMVPPIPKG SPPKLTKHTLALLDAFKRRDQDVADANASNDLPLRRYAPEQPPVPRHQPQELPADLSQ LPQAPSVPQYIPESASNNTRAPNPGMFTTRQPISETQKSTLLDLFKSPTATSASPSKP LSATALPPSATPSAVELSAVEPLSTNAATTSALLNDKRTPDPSARDAPIPELNPEANL PYRAMAILSRPPEMMEKEASLHSGTSHRSQHRNQTNGKKPSSRSGMQEPPKRSPEKAF QPQILKRPQPGQFNGQEPSRPAQTSMPQPPMPEPPMDPRKYQQADHRQNLLSLFGKPP TGVQQPNYSPNNGVSLPADPALRSRVGSLASAEAAPRRPSQAPISPADKGFLLDYLAA AVAKGH LY89DRAFT_729438 MKPLRTILQPAAARPYICNNCLSRTLSRKYSTNPPKPPTKGYTL LPTRRLLSLSGPDATHFLQGAITANVSSSTSNPRLNTGFYAAFLNAQGRLLNDVFVFK DKREGVDGWLVEVDGQEVERLAKHVKRYRLRSKFEVKVLSQEEMGVWSVWDEEGGWTA HSLGGGGGEGTGEGREIGCEDLRAPGMGRRVVFPAGKRPDVEMEESDEEAYRIRRYLK GVPEGQDELIREHALPQESCADFMGGIDYRKGCYVGQELTIRTHHTGVVRKRVLPVMI YGKDETEPAWLEYDPEKDYGVEGIPRDTSIGRLEKRGRSAGKFLAGVGNIGLGLCRLE TMTDVQVQGAAGGYKEGDEFKLEWEAEGSSEMVKVKAFVPSWHLKQ LY89DRAFT_681314 MSNNDLLKWVTSEVDFYDLLGIAFENCSESELRRAYRKTALKYH PDKVGKDFDPDKYELFQAANDILSDPELKAKYDNHRQAKIQRQRANELFEGKRRQMKE DLEAREKGVKRPREEDTQAQELRKLAEEGRKRRAARQSMMAESVASSSPAPAPQKPSP EEAPKEAPAEPSEQPGEDEVERLERLIREKEEAKAKRKAGKKARKSGVFTPVDSPSVS SHGGEAGPKVADQDTFTPIKRPDIFRGLKADDKSSASPKFSFSPAIPTPKKNDFAATM ARLREAEKQREEAKIRLQESNGV LY89DRAFT_390190 MPDPARKQLIVKLPMPSIQTTARPKSLHAAIRQEALKQTPASST PSNVSEHFSQSQIAIALTICKSKPAGLSTKEYCEQLNRHFKIGQPVRRDQLRYIETAE FWKDQYTSLHLKQKALENQLRCCKEALRLSRKRHHGDAEEDALQDSQHGTDLIRNNQS QPPEDWETIIEENDYLRLSSYALRIRRHRTALEDSAIDSNNLDKINAHSTHTLQLLTQ LESALPDCCLPLRLFKDNEDEVKISFSFQQVMNQFALAFLACLASIDDLFRTIPGRAK KTEIVYRMVMLFNRGLNILRTISAEQATHDMAARSHSPQHKRPRIEAGEYLVNKYLAN ALAAIAHNAQWKAQQPAHCEILEGCLFSILEHTGRLVSEAIFGEHVASSDLPANITTN AGSVVRGFVRPEARYIIQVLHALAGAGDRRGLVTQILAAGKTSLNEQLRATNPTNLDI FGDLLSKSKRLLQITLVKSAVGGADLESLRLPTPPLETSNVATEERLEKYGKEWLIEM VWGIIGWDIVCSK LY89DRAFT_768202 MEPIPAKSTDPAIPREHEELAFSGDRSANTTTITETFKSTAELL EDPTPPGPFELAIKRLPKDLLKPFNATSFETKNADDDKFFPSTDHNTPTIVPNSLDFL SADAAGFGPPFSGPFGESTSFPKTSIKKPTIVTSLTISDQGTFPRFRSFPVELKLKIW NHAIADITPRLVTLAPKSGKVPALLHTCRLARHATKKAYSSILDLGLRLGQRHGFEAL VRYETDTVFLTAMKQTRGPGQNPLSHAMECYPNILLPIKKLAIKTRRFGDHDFCRTGI NNFYFWDKLAVACPALVEITFDLKGMTYDYGDTLLTSPFAECFFRDSLEDSLEDERVK GRFLRLTVYTISGLDGPWKKSKKVRKCLITEDADR LY89DRAFT_390214 MATTKSPVVDIHTHVYPPSYIELLKSRDAIPYIRSFPPSPALRL VILPAEDTESTSRGRPVGPEYYDITKKIEFMDRHSIDVSVISLANPWLDWVPAAEAGK AAEGINNEVNEECGRYPGRLFAFGTLPLSGGKDVIVKEIARLKELKYMRGIILGTTGL GKGLDDLELLPIFQALQDAKLPIFLHPHYGLPGSVWGERANEYGHVLPLALGFPLETT IAVTRMILSGVFEKVPELQMILAHSGGTLPFLAGRIESCIEHDAHLKAEGKLGKGRKT VWDVLKKNIYLDAVIYSEVGLKAAIQASGQDRIMFGTDHPFFPPLEGENETWASVETN YEAIFGALGSGSDGALAALGGNAVRVLNLSI LY89DRAFT_608609 MAPQTSAVNSDNLFIPLVDFSLFLNGSPAERQAAAQSILDGFQT AGFIYLTSIPISSSTVQKTFATSAKFFSRPQDQKEALGWTTPEANRGYVSHGREKVSI LKNKEDVAAAKAAAPDLKESFEIGREGAEGLPNNWPSGDVEADEFKAVMLDFHNQCKA LHVEVMRAIAVGMALSQSYFDHFTDVGDNTLRLLHYPEVNKSVFKSNKLQVRAGEHTD YGSITLLFQDMRGGLQVKSPNGNFIDATPIENTVVVNAGDLLARWSNDTIKSTLHRVV EPPAPEEGDVHPARYSIAYFCNPNFDSQIEAIEGTYGGEKGERKYEGINSGDYLVQRL AATY LY89DRAFT_665108 MAVKMLTWPGMTSSRAAVYPTPPPPQTPPSPSFHLFPSLPIELR LKIWAFALNDLQPRILPIQPLSQTPPATPSLLQTNPESRFEAQRRYKKHCAHPAIGER SYYINYSIDTLYINNSLGFRANATMHQAWLKPVRHLAIEFGQFALLTSFWLEEGLWSL LKWYTPELETLFVVVGEKRRRDGKQVSFLEVSGEEAYMEFLGREERRQLVEGGRSFEK VKAEGEWRGLKLKWVREGEEGEKGRGLRVGAWGSVDWKS LY89DRAFT_694396 MPHAVDRVASFFRSHSNSFDLPVEVKKGSRRGSHSRPSFSDRSP SSSGSSLASDDRSLRTMPENHKRLSLGHLTSPKSSATKIPQHHAATLDVVMESPPLVL YGSSANSSGALLSGQLVLNIHEDTMAIDSFKMRLALEVTRKKPFHAHCLDCTSSSTEL TTWNLLEGPATLRRGEHSFPFSCVIPGHLPASMKGSLSTIEYVLRATMSPRSGEPLKL SHKLDVKRAICPAESPRHSIRIFPPTNLTANCELPSVIHPIGETNISMRIDGVVKRNV ETKTQTQWKLKRVTWRLDETQKMIAPACSKHAAKATDEQKKGVAHQDVRTIGTDEMKS GWKSDYNSPDGSIDLEFPISIRPETKPICDLKAEDGTEVSHVLVVEMIVAEEFAPIKK PTQVTPTGAARVLRMHFTLTVTERAGLGISWDEEAPPTYENVPASPPGYGNSEEYSGP PIPDYEDLSPLDSVIEGNGESSWHGINEVYP LY89DRAFT_665110 MTLKTYGKSKKKERDPVAFFPHSSFAEALTSAGEALSSKPAMRE KKPASVNKNSPTSPSTATVPPAPSNVSTGVSKRVILTNFHVFLDFPIEIQILIWRAAI QAIQNRIIIWRSPVVPPLLHACARSRELFLEDHRVERWLTSVFGSETLFINWEHDIVY FEGRVPGMQEWDIAPLRDDRPVQQLYSWVPHFASSPQTGGKWLEEIRFMALSVNMVKW FFAPQRVSGEIGWEVLHRMCPKLKILYVICGRRELNNRDTLRSLSRIRTLNAVNTAWS FWDIKNSRAAFNAAKRMGVLTEVELRFMDVRDTNEQLKEHVVVVKKQKDGQAKQER LY89DRAFT_665111 MPPTTRSTRARTRNNPPSKKSPPQDDAVSDFSPPRRSKKLRTLG PSSIESPPRMTRSMVPASVPDLPGTRRDWVGTLRTESKIAQAVVAKEPCSDETNVEGT IYSPLALWAMKSASPLVSDSVSASQDKSGEFYLFARLPAEIQLMIWKQALVPRIVGLK PDKIPAIFHACYASRKVSKYIFQNSQAHKTGVLLNPEVDILFFDRSSFSIQASYHTSA HHTLRCNETPSIITRHVQRVAFSVREIKTCWTLECFHCFMVYKLAVRFPELKELIIIL RPGPLGAGYDDLYETELSSENNRTDSFLGDIKDMFKHAQKHKTLKASWKNVQLKLMRN ETWVK LY89DRAFT_729447 MDLEAAAAFQRIAVKRGIKISKPPSPLAAPTSPTPAIVELIAFE KKCQEKGIAISEPQTLGLKVKVNEVEAEFKKEIRPIDSESMFSKACVGRGIRIAKPTP ILEGEVEVKMDVRAIEPEKMFSKACEGRGSKIAKDTEVIEESEGKKEEAAVGGKATNA AGEQETEVKSSFESACLNTGIRFSSVVEEEKTEDKEEPKSAFEVACYNKGIRIAKSAA DAKNTSLTYTGQLSGAKYEEMCKEFEKASIEVLPQLSMGTGFSSTEASIIKPLTKFTV FSGLPYELKAEIWKFSCFPQIIICDDTTTRTRAPSAAKVPVILHVCRQSREEGFKHYK TRIELARGNNKGDRYVYVNYEADLFHTNVDLHPTRLIIGSRQHPATIPVLKLAWSQPI KRFGLCLSKAYNYIRSITAHHALSQPLPILWLLFRVQFASVLEFVVVIDTGYLLEFLL EPNDELVEANYQNMLDKGNEPKETLKMMQRISQSLKDMQVKGEFLNLKLTFKKVIEGS RFRND LY89DRAFT_681318 MSPNAEINQQPQAQQMEMTTEQNGIIAQQPTQTQTMTADPEVSM RGGGAVGDCLAGICAFECCKDCCECCC LY89DRAFT_768223 MATNAPQREPKDERPLVGAPLTEDELAPTSNPPHPIHDQLRSLS SSITSLSISEPCSTPRGDFEPFANLAIELRLAIWDIIATEPRLVHWRPGGGKQPTILA VNHESREVGLKNFTLCFDRYLRSGVYAIFVNIEIDTLYRKQMVPNLTRMRDAGAIPLP STMGVDGPVGPNWNVRYWTKSLRRLCISIHEAFAVPKTPGRMPGGRGRQQQGIWPKLK AMCPDLEELIVAVPRIRHESVYQVHTILPQKLVYLHDLMDLPAGYGTAEEQMKMATIV ADLVRVQGRGHLLKLKMKFQLIGSRQEGC LY89DRAFT_608615 MSFADSEGPPAKKRRFFTEKSAVLDSSLTHEPSLPDEFDAFSDP IHHGENVLTSAHASADSATQSSPENGEIHHDEPLGFNQDTFESFVGDKVAPNVLKKLR EASGDNMERAVNMYFDGSWNTASTNKSSSSKTMTINAFTRSNSNAEGSNHKSPRTRSP VSNVQPRESIPESRYVGVFGVGGWATRSGTTLIKHGEPIRIERQKIQPPKTPTGKGKG RPAQNAPKPNSAAAKRIDVVVRFTNARGEEIGRLPRDHANWVSTLIDQKVCKFEGTCI YAPERIRTNDTIFIQLRCSLLRTAFDTGGFKLHDNRTTGLFEEKETSEEKELRLRQVA LVKLFEEINMLPSRTSETTAKHKRKGLLQAAEVAEQYEAQKSKKPESTENGGSSPPSE EEEGKELEQDQLDTLYKKAQSFDFNAPAAEPAKTFAMDLRQYQKQALHWMMSKEKDEK DESREASMHPLWEEYAWPFKDNDDKELPQVAGQDAFYVNPYSGELSLKFPVQEQHCLG GILADEMGLGKTIEMMSLIHSHKSEIAMRLEKLNTAITSVNSLPRLPANSSNVEYAPC TTLVVAPMSLLAQWQSEAENASKEGTLKSMVYYGSEKTANLQALCCEANAASAPNVII TSYGVILSEFNQVAARNGDRGTHGGLFSLKYFRIILDEAHHIKNRQSKTAKACYELDA EHRWVLTGTPIVNRLEDLFSLVKFLRVEPWSNFSFWKTFITVPFESKDFMRALDVVQT VLEPLVLRRTKDMKTPTGEALVPLPPKTIEIMDIELSKPEREVYDHIFTRAKRTFAAN VEAGTVLKAYTSIFAQILRLRQSCCHPILTRNQNLVADELEAAELADASTGLADDMDL QSLIERFTAATDDSADANAFGAHVLEQIRDEADSECPICSEEPMVEQTVTGCWHSACK KCLLNYITHQQDKGEVPRCFNCREVLNIRDIFEVVKDEGHPESADGKPKISLQRLGSN SSAKIGALLTHLKTLRKELPGTKSVVFSQFTSFLSLIEPALTRASIPFMRLDGSIAQK ARAAVLSEFAASNKGIVLLLSLRAGGVGLNLTMAKRVYMMDPWWSFAVEAQAIDRVHR MGQVDEVKVCRFIVKESVEERMLKIQDRKKFIASSLGMMSDEEKKLQRIEDIKELLS LY89DRAFT_704712 MYSTRASIRLGRCTKFRPASRCNYATTPYSTPAPYTSRITDADV HAARTYCSGLLQKYDSPSYTLQTFVPPSARDAYLSIRALNVELARIPELVSNPTVGAL RMQFWRDNLTRTFANTPPKEPVAILLHHALSSLQSRHPGISTSVMKGWFMKVVNGREQ YMDNRPYTNLDALETYSENTYSTLMYLTLAALPMHSMAADHVASHIGKATGIAAVLRG LPLLAFPPPPNHHSNNAAFGGALGGSTGGRQGAVVLPLDIMAEAGVKEEDVFRQGADA PGLKDAIFRVATRANDHLITAREMLKNLQQGKDAGHDFEHEGEEGHQYAEMSPKDHSQ ADELERGFGVLMPAVATRLWLEKLEKVDFDIFRSELRAREWRLPWKAYWAYSRRAI LY89DRAFT_778315 MLMQACREAREIGQKMQMPFYSYHADQWFLTAPKCRSYINIDID TIWLHRTLVMPDHVHFYRGPQSVSTERDDVSWDLEGAKCQPQFRFNCLAMDADIWEDP VVDIRRNEHVGSTDVLRMANSPRELYIVVARPRVFSSSKIVFVKPSLLPHEICAPDRA QYRTGMYPPDNLVHPDHTYTWEKAARRLETLLTQFKDKRAKDRKTEIEENGWTLDELD NWVDFVDLSNLEIPKVRYVEAVEYTHDWA LY89DRAFT_390366 MKHGGCSFSSTLRPSYRDFRSNAWVLWSVHHLPDIPDSMWRCSK FPNYGCLSVFGGGSSSVAINIVGGTISDVWKGAQQRSLPMALFGTTSVVGIALGPFVG GAITTHLSLRWIYWVLLISIGTLLPVFWFFLVETRGDIILAQDAKRWRKEHPDAEPRY AEAEIDQPSMIHRLKIWFKRPIKMLLTEWVVFSQTLWVSFAWGLLFLFQSSVPQTFST NYGFNKFENSLIQLALSVGAVVATIINPIQDHQYLVSAKRSRDGHTIPEARLYSSVPG SLLFTAGLFWYGWANYPHVLWVVPMFGIGFVGFGIYSIYLAVVTYLTDSYEKYAASAL SAASLGRNTFGAFLPLASPALYTNLGFQWASSLLGFIALVLTLAPVILIVKGEEIRKR SPFMKDAVFNKKGDKGESSADPQIGDEFRA LY89DRAFT_390382 MISTVKQETKFALTPHAHTKKSSRPLPENPTIIIIFWKLSIERR VRVLPAVATAVAVISTRNIGSVKPLRAETNPITLAIQSNGLHDQASNSPSRTPFCRPA RSFNSAIGTPKITPPSNEGRKPNFRHGQWKGCALTHIAVIKLHNSLHYAARVAVQARL SRPCHAIPIWYG LY89DRAFT_390397 MGEGRAILESHIYHYRILRNIPIRRPNWDGRTRQQGADRVAFLI ASTKFFWYSFSAHQKTSSSTSICYFVPRLSTHVFFLLQKLPNFSCRKEETIPALRGTS AFSFFFGSIFFLSGSNIILLFSFLFYYREGYLYSGDGLWTCGGGGAFSFFGSHEA LY89DRAFT_694407 MVGPSGGAPSVVGLEGMPAPAPRPRGPKLKFTPEDDALLVDLKE NKSLTWKQIAEFFPGRSSGTLQVRYCTKLKAKTTQWTEETIQKLRTALHDYEQEKWRI VAQKVGTGFTSAACKDKARELSEL LY89DRAFT_390394 MSRSVSSRRMSRKAAIGSEGQQLAEWQSGVRGGVSWKSSRRRPL WDCGILRSDYLTLWQQGARDRLCPGPLVTTTTQASSPGVRLRPGPSMSLDVPPCPSWS LPILIERWKFMLSPKVEPWLSPLVPWSMVSAASWLRTRSVSAYGESLTGLL LY89DRAFT_778319 MSSIPPPPPPGWSSGPPSMGAPPGAPPPPGYRPPADPQVAKFAQ KKKEWLRSQRNRFGEKRKGGFVETQKADMPPEHLRKIVKDIGDVSQKKFSSDKRSYLG ALKYMPHAVMKLLENMPMPWESAREVKVLYHVNGCLTLVNETPRVIEPVFHAQWATMW MCMRREKSDRRHFKRMRFPPFDDEEPPLSWSENIEDVEPLEPIQMELDENEDGAVFEW LYENRPLLDTPHVNGPSYKEWNLTLPQMATLYRLSHQLLSDLVDKNYFHMFELNSFLT AKALNVAIPGGPRFEPLYKDVDPNDEDFGEFNAIDRIIFRAPIRTEYRVAFPFLYNSL PRSVKLSWYSHPQIVYVRAEDPSLPAFYFDPVINPISSRSVAPKNITVSHEDEIFGPG NNEEPEENAFELPEDVEPFLADEELYTDETASAIALWWAPFPFDRRSGRMVRAQDVPL VKQWYLEHCPQGQPVKVRVSYQKLLKTYVLNELHKKKPKAQNKQSLMKSLKQTKFFQQ TTIDWVEAGLQVCRQGFNMLNLLIHRKNLTYLHLDYNFNLKPVKTLTTKERKKSRFGN AFHLMREILKLTKLIVDAQVQYRLGNIDAFQLADGILYAFNHVGQLTGMYRYKYKLMH QIRSCKDLKHLIYYRFNSGPVGKGPGCGFWAPAWRVWLFFMRGIIPLLERWLGNLLSR QFEGRHSKGVAKTVTKQRVESHFDLELRASVMADLMDMMPEGIKQNKVNTVLQHLSEA WRCWKSNIPWKVPGLPAPIENIILRYVKSKADWWISVAHYNRERIRRGATVDKTVAKK NLGRLTRLWLKAEQERQHNYMKDGPYVSSEEAVAIYTTTVHWLESRKFSPIPFPSVSY KHDTKILILALERLREAYSVKGRLNQSQREELALIEQAYDSPGTTLERIKRFLLTQRA FKEVGIDMNDNYSTINPVYDIEPIEKISDAYLDQYLWYQADQRHLFPAWIKPSDSEVP PLLTYKWAQGINNLDKVWESADGECNVMIETQLSKVYEKIDLTLLNRLLRLIMDHNLA DYISSKNNVQLTYKDMNHVNSYGMIRGLQFSAFVFQYYGLVLDLLLLGLQRASEIAGP PQSPNDFLQFRDRDTETRHPIRLYTRYIDRIWVFFRFTADESRDLIQRFLTEQPDPNF ENVIGYRNKKCWPRDSRMRLMRHDVNLGRAVFWDLKNRLPRSVTTIEWDDTFASVYSR DNPNLLFSMCGFEVRILPKIRNQNDEFPVKDSVWSLVDNTSKERTAHAFLQVTEEDIA KFNNRIRQILMSSGSTTFTKIANKWNTSLIALFTYYREAAVSTVNLLDTIVKCETKIQ TRVKIGLNSKMPSRFPPAVFYTPKELGGLGMISGSHILIPTSDKRWSKQTDSGVTHYR AGMTHDEETLIPNIFRYIIPWEAEFIDSQRVWTEYSQKRQEANQQNRRLTLEDLEDSW DRGLPRINTLFQKDRSTLSFDKGFRARTEFKTYQLMKSNPFWWTSQRHDGKLWNLNAY RTDVIQALGGVETILEHTLFKATAFPSWEGLFWEKASGFEESMKFKKLTNAQRSGLNQ IPNRRFTLWWSPTINRANVYVGFQVQLDLTGIFLHGKIPTLKISLIQIFRAHLWQKIH ESVVMDLCQVFDQELEQLGIETVQKETIHPRKSYKMNSSCADILLFASHKWNVTRPSI LFDTKDVIEPTTTNKFWVDVQLRYGDYDSHDIERYVRAKYLDYTTDSMSIYPSATGLM IGIDLAYNLYSAYGQYFPGLKTLIQQAMAKIMKANPALYVLRERIRKGLQLYASESNQ EFLNSQNYSELFSNQIQLFIDDTNVYRVTIHKTFEGNLTTKPINGAIFIFNPRTGQLF LKIIHTSVWAGQKRLGQLAKWKTAEEVAALIRSLPVEEQPKQLIVTRKGLLDPLEVHL LDFPNISIRASELQLPFQAAMKVEKLGDMILRATEPQMVLFNLYDEWLKSISSYTAFS RLVLILRALHVNQDKTKLLLRPDKTVITQEHHIWPSLSDEDWIKVETQLRDLILNDYG KKNNVNTSSLTMSEVRDIILGMEISAPSMQRQQAAEIEKQQQEQQQLTAVTTKTQNVH GEDIIVTTTSQFEQQTFASKTEWRTRAIATSNLRTRANNIYISSDDIKEDDHFTYIMP KNILKRFITIADLRVQVAGYLYGSSPPDNDQVKEIQCIVMVPQIGSTRDVQLPQQLPQ HEYLEHMEPLGIIHTVSGNEPPYMTAMDVTQHARLMNVHKEWDRKTISMTVSFTPGSV SLASWALTPQGYTWGCENKDMGSDQPQGFSTSMGEKCQLLLSDKIRGYFLVPENNSWN YSFMGSSFAGLEKKNVHVKVDTPLPFYSDQHRPLHFQSFMELEDIFVDHEDPYA LY89DRAFT_608627 MASNRLTYRRRNPYNTRSNKVRVVKTPGGELRYLHIKKAGTAPK CGDCGIKLPGIPALRPREYSQVSRPKKTVQRAYGGSRCANCVRDRIVRAFLIEEQKIV KKVLKESQQKKH LY89DRAFT_638079 MAPNEPLFADRRGSSIHSEERAADEDTALLTGQKSFSAPQNRSH FWREVGLFTWAVIATAAVIVLAVLSQHQTTSEDGKNSKPAGKRNLIFMVSDGMGPASL SMTRSFRQFQGSLPYGDVLVLDQHFIGSSRTRSTSSYVTDSAAGATAFSCGLKSYNGA ISMLPDQTPCGTVLEAAKRAGYMTGLVVTTDITDATPACFASHVRLRSEEDSIAQQEV GEHPLGRVVDLMLGGGRCHFLPNTTDGSCREDDRDITKLAQESFGWNYIDSRKDFDNL KLGYNVELPLLGLFAEHDIPYEIDRRNMNDVYPSLEEMARTALNALTAATKDSEKGFF LMIEASRIDHAGHGNDPAAQVNEVLGYDRAFATVVEFLDESEVEGVLVATSDHETGGL SVARQLHPEYPHYGWYPQVLANVSHSASYLSSQLRSHIGQTVDHSNSLEDDETRKASL EKYINEELVQKGLGIQDATPEEIQRVIDIPLLSSYTFADMVSRRAQIGWSTHGHSAVD VNIYGSKGTDALHGNHENTEVGEFLRNYLELDVQSVTDELIEKSTTITTSNSGNWFGR VPSEEEIQSASRHYERLYPEAP LY89DRAFT_681328 MAGANCYRIYDQLQRINDPAEFTRPGVPFQIPRECLPNRRNVQL TYTHEYCSFECRNNSLYGERCGTSDAQYGPGSERIGVGWRY LY89DRAFT_768241 MWSVAQSRSKSHHKIQFKDLEHFLFLPHILSSIEGIRIHQSAAK NLKMRPQSEQQSYPFLPRLAAMETHQVAYSPSSEGSLQRLTTTPPSQQSGCSLFSLPA ELFDEILGYLLPPATLVTLLSNPRNPHDPSINVKYRVYCHDPQQTKQRTYSEDDRIYP AILYTCKQMYAYTEWFWDRYTFAFTAEKWQHMHYSFLTRGNPFAGLTDRLKHAEVELS IMDSHRHAKFAYRIFQLLKNCKNLQSVTLRNNSSRCPEDDMWLDIICHQAPAIVELDV PFETEILSAFACVGGVVAAFGSLIARNGPLAKVKRKMIIDIPPELKTDNRNAAPWRLR GTQWLNRWLRIWHRHWGGELRINGTLVRMDNQQCQRVLLNVGPSKPDPDSIFGGQELR AALSVDFKPVVDMAEEEKFLGKAGNLTTVVVEYLAKRVQLRGIDDGSVPTYILDKLGW RRRF LY89DRAFT_778322 MPPNPFCCQAAIPHTIQHLHSIINANATHNPLKEPISPGPLITS FVLFVITNPTAISAPKPAPSAPPAILDQTRPSSAYKLASPYSSSTMWSQMLTAPSWSD SYVPPRHDDSPYRPWPLPKLPTATFRTPNPYPEDSDYIKVPSDSPSEPGGILIGHSNI FFAMLELKCAHPTSINDQSPASLTLRHDLTRYFAACEELRGKDTVLNANSRVLGRRWA EITLEEAKEWLRKVGFNGVLLQGVGDGVERFWCEVHKCWWEMRVWVNEGSSLLSEGAD KSMNSLLF LY89DRAFT_694405 MSQPRPKNPLDVLQSMINAILIETGKALRSAQKENGRPSTAATT RLRAMVPSTTDNFHQALDDIECEILRAKAVIFRDYEELRSKRLALENPEPIIEEPEPQ AMEADMNGTSSTPADTTQDTSTPIKAEPRLVESPEKEAVLSEDTLKQETSEATNDMKG QNLTPPSSGNANGADSKPIGLGIDTDGAVDGPGPATAELQNSSIDSLFGPDDNNAGDS ALDFGIMDFLTNTNTEGNDQSQNNQNNDFDLANFGSSTQDFNMPDLNTSADTNTNNNN SNDANKPNDDPFASLGNPGGDSMDLDLDLDMAGAGDSVFDDMFFVDETNNLGGGDMEH GEFDNAFFGLE LY89DRAFT_390534 MSDNGPPPSSAQLPPPPQTTAGAPGYENGHNGQTNPHMPPPPLP PVIIPQNTNPIPTAITSPMGENGGMMSPDSAGGFVRRAAPEPNKRALYVGGLDPRVTE DVLRQIFETTGHVQNVKIIPDKNVGAFQSKGFNYGFVEYDDPGAAERAMQTLNGRRVH QAEIRVNWAYQSNTSNKEDTSNHFHIFVGDLSNEVNDEVLLQAFSAFGSVSEARVMWD MKTGRSRGYGFVAFRERQDAEKALSSMDGEWLGSRAIRCNWANQKGQPSISQQQAMSA MGMTPTTPFGHHHFPTHGVQSYDMIVQQTPQWQTTCYVGNLTPYTTQNDLVPLFQNFG YVVETRFQADRGFAFVKMDTHENAAMAICQLSGYNVNGRPLKCSWGKDKAPTSAGGAF DGSQQGYSPQGGATPGGYPGTPAAYFPQYGGQFPGQQGGYGGPPAQSPGQYAGQQMGY GGSASAGGYGRGAPTPTAQWSAPAAQSFGGAFNGYQG LY89DRAFT_681332 MSNAFIGLTMLVTLSSPPGAQLRGVVSGIEPGKSLTLRNVTCPA NGKYVPEFTINAAEIVELIEARNENTAPSATVKAQKTIPVAPAERKTFEDPAILSVGK RPTPNQNLRPNIPAQWNSAAMERTDSARTATGREKDATRDITPAATLVEPMQKVHIKE EVDDGLVLEELDAAQPEPEVSPEPELQPVKARRTRRRKGRKNDEGSHDPASAPTKETT RSKGWRQTPLLEPVDSFQPFATLKKNQKGKMRKEMSGWATEDATDVQEMGDFDFENNL AKFDKRTVFNQIQAEDSVADEDRLVAHNRLPKAKPGTSGGKNLHYTENVLDAANGNGK AKGGSYKSEADSDEIEERASQRGSGSGRHSRRAESKLSTSRRPVSRKGSAGMQPLQPA RTLSMPTNAKALFYLVPSDRRCEPISSLQMLNLENIADNELGLSEDMMTENAARGIAE VALSALHTRTDGLNVGNNVVIPTVVVFAGNNKSGLRAVAAARHLRNHGASVVVCVLGL ERESELLVGLRRQIKVFRSFGGKAVTKSELLEFIKNLASPVELVIDGLLGLTISFEEL RTGDQATAYELIAWANRSKAEVLAIDVPTGIDPTTGKVSIIDGRQLYIHANYVVAMAA PKKGLLEAMALGEGIGDEDAIGKGREWQLYVADLGLGASVWKKAGTRVRRGVEFEGSW VLGMRFESAE LY89DRAFT_778327 MATETPDEIATKVQASLENGPFACSSLVKLTGGTANFVYRGTLK TKLEDGTETVVVKHTEGYVASSPGFKLTDSRCEYERTILTDLHSFPTLVHAGIEVQTP HLYHFDKDTNTQVYSDLPSSLDLKTYVLTHAASVTKLQCERLGYALGAWCKAFHVWGN SEERREVREEMKGNKAMRDLKFVINYQRLIASIESFPEILEEKRDLFEDVAQSVTKEL NEKEGDLIHGDFWSGNVLLPSGPIPESSDKQLKVFVIDWEMSQLSIPAFDLGQMIAEL YELKHFKNYDAGVWLIESFIKGYGEMDEEMKWKTIIHTGAHLICWGTRVAGWGSKEQQ EDVVRVGKQWIINGWERKKDAFEGMVLGRLFNEN LY89DRAFT_681333 MRFSTSILVAAGAAAVLAAPIREEAFDPYDECDNEDFTSHSEAP HTFTHGTHSHTTAVPLTTSASISASSIVIATSLPTIESSSSPVSIVEASSSTIVSVPV QTSASSVAAIVASSTPVSSSSAEGVVASSSSAIADTSSASVSSSASSSASTGALEFLG VNESGAEFGSTDIPGTLGTDYTWPNTSAIQILMDKGMNIFRIPFLMERLAQGTMTASL DATYLAALKTTVEFITTAGGHAIVDPHNFGRYDGTIFTSTSDFQTFWTNVATEFATND NVIFDCNNEFHDEPSNTVVADLNQACIDGVRAAGATTQYIFVEGTSYTGAWTWVSSGN SVAMANLTDPSDKIVYEMHQYLDSDGSGTSATCVSTTIGAERIAAATSWLQENGKKGI IGEFAGGANSDCETAVTGMLDALAAASDVWMGALWWGGGPWWGDYIYSMEPPSGTAYV PYIDILTKYI LY89DRAFT_778329 MSTTTTTTITDPAAIAAFNRGPVTTTFTPPNSCLSILTLNSNMY FGYGGPSYYFDHDCYPSSTANAVSSGWSLYYYSPAQCPLGWVQATRMTESYGAQDTFL SIGASTTAVLCCPSGYFFDIHNSCSNGCASGILSTSTTLLYINPQVNQNSWFSTSATD PSTITVSVSDNGYGIWGSGIPVWWEESDSTLFTSGPPTTSSTPTTPPTNTATVPPPNP TYPSGLSSGAKIGIGIGIPLALLIFGIVGFVCFRRFRVRRRVESAQPEQQDAFMPNPE LQQAFMPKPELHGEPAPRAPAQELAVYSDDERHGRDSVVHYELSE LY89DRAFT_714994 MGDTSSVCQLCAVSFRIGRIRTSNESEAHSWDYRASRLFEQDPS QSLCSLAPEDLGCRNITMDRADGVLQHIAGPGCVFEAGYSGCRIGVEEMKGINRARYV VKKPERKGKGEESSKQPQVNDNGISPAGPAHETNGGDDGEWLKDYEQKSEWFLSTSTV TPPEKWQQLSKRAKFGIEGQDICACNFPDYRNFAKGQGYSPDMAMWVGIPVHDACWKI FEKISLRKIGRVDIDGFVALWCREACSNCGFQNLKQDPEIAKLQKLWWVHKPGTEYLV ANPVNIPGFGIMMRGTYKEEIPLGDGAFCDRNIHEAMSSNFVEERNRPEDPFYNIPPE IKNDILARLCSKDIASLRLASRCFRQLPKALFRNLIKKEMPWFWEINDVKIEEEEYLS GSFQESYGADMLEVSDDIDADVLAYVQARMEKKTLDTNWLLVYEQLKMLEKGVLGVRN RARIWKVVEEVVDRIGDMAGKNGFKGAVAGVDFPIWPGEKQVPLRCGNSFDQCARCLG VLD LY89DRAFT_390752 MFLSRPALAAARRAAASPIIKRSFTSSFVRPAPTPASGSNDGKI KKFEEIKSESDLVGPGAAVGAVPTDLEQATGLERLEILGKMQGIDIFDMKPLDASRKG TLDNPIVVKSFGDEQYAGCTGYPADSHNTIWLTMSRDRPIERCPECGNVLKMEYVGPA EDPHAHDHGHDAHGHGDGVHNYEGEPKTFADFVRPEYR LY89DRAFT_608651 MAGGRLELSSNSGNDGIDADATIVLLNAVHFNYAWVLFVVFLVA FVANGVLSAEPSSNSKEPVLTGPGGKPLPQSSARKSKEEREKRKKLKDFSPGRKLLFL YLSAGLLATFVGNGANIIIHALTQTDNDWWCGEATALYVCASAFFYSIFLISLVDTTP SPNIAHQITWCIASIAELILLGGTISLYTNSHQETNSLRSNEVIYRTPDSWEIAEITI DICRVIIILLLAAFYVIFSLERRYKSRYHREINENAPLLINGRANGHAHVNGNGTIRT PGEYEAVNGAAHNGSSIGGTGTATPNGETTRRSLDENPAFYKPTTAPNRTWWEYLKGY SLFFPYLWPSKSVRLQIIVVICFGLVVLQRIVNIAVPLQVGRVTDELTDNRGMPWLSI SLLIACKFLQGTSGILGAIRSVLWIPISQYSYQALTTASFEHVHGLSLDFHLGKRTGE VLSALSKGNAINNFLEQITFQVLPMIFDLGVAIIYFGVKFDAYYALVVAIITFSYLYL TIRMARWRSEQRRQMTNLSREEDAVKNDSLTSYETVKYFNAEDYEFERYRKAVIAFQK MEYKVTISLNILNISQNMVFMTGLLATSLLSAYQVTTGVRTVGDFSVLLTYMAQLQQP LNFFGSFYRSVQSAMISGERLLELFKEQPTVIDEPCAREMPACEGQIRFQNVKFSYDT RKPALEGLSFNCRPGTTTAFVGESGGGKSTVFRLLFRFYNTQDGSIQIDGNDVRDITI DSLRRHIGVVPQDTVLFNETLMYNLKYANQDATDEDVYAACRAASIHDKIMAFPDQYN TKVGERGLRLSGGEKQRVAIARTIIKNPRVIMLDEATAALDSDTEQNIQEALRTLSEG RTMLVIAHRLSTITSADQILVLHAGKVAEAGTHQDLLMMKGRYYNMWRKQIRAERAAE QAFQAVAKAKALQEAAMARPGSSGNEGSPSEDVSENEADNRSSSTLVTPSLASKALVH AAESFRDRDAGSSSDGSNFGDDKHEDAKSTLDTSDETRPSDGNVGQEESDQARSKSTD QPKHP LY89DRAFT_681335 MTATEAFPLLSTTDKLAPLGGHGNVNTPRVRRRSSGLGGEIRAG DTSAPALATLDVRPPSPGTLKAQADRDRKKPFSKRRKAKTLFQQWKRYALKHTWVTPL VLIGIFLALYAINPTESNPIHYFIFLSYKIPADADAPEGTALQYGKGLWDMAFVSFYV IVLSFTREFIMQNLLRPMAKRSGLKSRSKQSRFMEQMYTAIYFGFLGPVGLWVMSRTP VWYFNTRGMYEGFPHKTHTADFKFYYLFQAAYWAQQAIVLMLGMEKPRKDYKELVGHH IVSLALIALSYRFHFTYMGIAVFITHDISDFFLATSKTLNYLDHPLVGPYFAFFVFAW IYLRHYLNLRILWSEFNEFKTVGPYELNWETEQYKCQLSHVISTVLLASLQSLNLFWL WAILRIAYRFVFLNVAEDDRSDNDEKEFEEEQRLDALAREQAQKAANPMVLVNGSPVN GNGKASGLETRANGTAATANRKENRRKA LY89DRAFT_681336 MLLLLNGSNLWVCLFLSLPISDLIPCAAIAMYLLSNKEAKVTQN PIPPGGRVDHCRM LY89DRAFT_768261 MLLSYIQSTASTRTSTSKCGPPGPIPSSALPLRRFNSSSNTTKP PSPPKEPIQSPPSRDSTPSPIPSTKVETSQEQPFCCPSLDLPIYSPTSHALTLFLRAL TIRRQHITTITSSSSSTLLFSPLFPLNTLPFPHQTQQQLEIIEFETISSDRTFDRKFQ HRYFIIVPGRDISDVEMDLGGNFREVSISWVEEERRKGDDGGGWGFVGRDEVVRDRVG WRCEDCGVGYWVRLIGVGE LY89DRAFT_638105 MSAKHFINDPTHLVNTALHALTITNPSIALDAENKIVYRRPGHT PQVSIISGGGSGHEPSFGAFVGDGLLSAAVAGTIFASPSAEQIRRAIMSRVDSEKGIL VTVMNYTGDVLNFGMGVEKAKAAGLKVEMLVVADDVGVGRKAAGKVGRRGIAGTVLVH KIAGALAAKGASLEDVYKVAKLTAENLVSVGASLDHVHVPGRALPDPNSDENLSAEEV EIGMGIHNEAGSGRAKVDLPELVKRMLAQMLDSKDEDRAYLNVNSNEVVLLINNLGGV SVLELGGITAEVVGQLEKTYNVKPIRILAGTYMTSLNGLGFSISILNVVNTNIGGPSM LQLLDAPCEAAGWAAPIRKETWEAKSTQTREGATGAEEEAKESGLKVDSELFKTQLSA GLDRVVAAEADVTKYDTVVGDGDCGIGLKRGAEAVLKLLSDTKLSGDVVLDLSKIVQV VENTMDGTSGALYAIFLNSLTHSLRQQGSGEATPKIWAAALKQASESLSTYTPAQPGD RTLIDALHPFVETLGSSGDLKKAAEASRKGAEGTKGMKASLGRTVYIGGSGFEQVPDP GAWGLSEFFLGLAGIKSTESEYEMV LY89DRAFT_704729 MAQIPSIAVPGQLLGSISDYLPGPGTHTHNANLYASILGPVTKT NPPKPTGPQKRLTKITPAAPGGLPTLSIERSLSTPGANDGGAKKTEILPEVNSNVLCK VTRINPRQATVSILVVGETVLDGEWQGVIRVQDVRATEKDKVKIFESFRPGDIVRAVV ISLGDQASYYLSTASNHLGVIMATSEAGNTMYPVSWKEYKDPETGASENRKVAKPF LY89DRAFT_715000 MSDQHFEIDPPPPLPLARAKNSPTFDLTHPSLVLILYNTLIAIP FSYFIAALNKKIFQLLGYEKIMRCPSGILGTKILFVAVNLLLIWVWVVEGEREEGERR ERIARVKEEIAWWDEEIERLEAELESLLGGNESVLNAEGIREWDFVVGAKSPKGKGLE EGGSGLKGLIASSKLPKLRKKMKDVVKGGE LY89DRAFT_778337 MAQTNGVQDPISITIAQELLNRPNHTRQRSAFTTASARSHQFDG TDNPQQAAEVLADPILEGRSRRAGHTSNTWTSSSGDVVSDQDEIDDRTFFVQEYNRLA RKHGVRVIVPEEHEPNDDSFASSVKQHSWFSRKILRRTSSSQSVRLKNDRHLKHMRSI SDSLRMKKRDRLKDKDLQDLARLCGLSLLYLPTEYAAGSLSVPTCFRATAQFLVQHAP STRGVFRIPGSQNAVAALYNHYCSMDEEGQVIAGTVRCPTLPDHIRCDTHDVASAFKR FLAGIPGGILGSLALFNAFISIQTQLDGDAELTRTKQSKVRARLIALAVATLRSQYRR ELISAVFGLLSMIGRAAETAPREDERGRPLPTSDLMGYGPLGIVFGPLLVGDLLEDYT VRLVNPHGGLILLPISPPKSRKERSKKTKSGDEGTTFSNHIDKIKVANSITEMLITHW RDVVRHMKNLSALKVVAGTQSLAVRNSNPPMLRPSASETFALRKPPDWDQFGSPLGRR ERSVSPTPNRRPSGLLEIQSDDDVRLHQVDILRVKKQRMKQRATSNHRLSGHTPKTVL APTREEIPKDTSSHLANRGTSESKPRSRSGSFSEVEKFEYPRRHQDGKLLHQKIHTSN GSSDKENKPPSSDYRSVLDPGRQKVDDEDGQLHTGKREMTDQRNTSDEGTKIKGEHSV VAAAKSTTEMRNRTREKTSEASSSAKASKTNTPPLHKRHSPSSTFRGSATQTSGDEPR KSKADSAQGPNKLTKSAERAKAREDGLVGRQKAKNDTQDTPYKKWKKGRKSAIKSSTS EIDTKHQALQVDDKFWPLDRLPGSSPSAHRSKLEITITRSSSGDLGEVKTDASRRVGG SEPGSHPIGHSLTAPGYRRVSRSLDDNLRRRNKHLDLQRSHLSKDPLSRISHEEDLAS LAALAKALDSADVQAQSPDVSTGHGSGISLSVHQSGTIEYQSQVSDGPGSPRKTEKST TRESDEKSNQQRSSQHHNQDHDSLSFNKSATSKTYSGHVHEGTNVGQTPRIQGDPARA PSNLDSRTVQFTPRKRTGSPRSPSSKISALVAKYNNGDSAPVLPPSTPSPLKSTSKSI PREVSRKIEAPQDGLIAPYTINPPSPTRSQMSGKSEKTPQSRRTPDATLRPLNVERNI SPTKIPAPKRVLRSSLDDATPLRPVQKSVENAFIPSSVSPSKIPRARPETAEPAQRYL DGSASNLNSRTPSQIPDLGKIDPAALVVQRLARSTSRTSTPPPSIRRSLDEAVTEMKG PIPSLLHPAALRSVREMTEVSIEDTTGIPSCQDATSTDNPEVVAVDGPAGELKIHQFR GLSELAFPARMRHSVHEDLPEFVHPESPFKDTFSNPTSPISSHPPTRRNSLLYLEIQK LEKKLATEKEEVLQLKQQLEARQNLDVGSLSEELREAKKELQKWKTRAKVAEKQLELL FKNPSRSMSTQLAYSSMSRVSDRVNSSRTDGPSDSLTATETLRKVFNGTDGAASSEES DYSTNTVVRDVQDIVTGSEYSVWVEQTMNALGGAEVS LY89DRAFT_681339 MSDTTAEDTRPRAGPPNPVEGLTSPTNIAWYGHEPALIAQPSTF LLPRGHNRAMQDKPLTTVDKDQLSGLTAIRDFLKKRTSYDVLPLSFRLIILNTDLLVK KSLNILLQNGIVSAPLWDSHTSTFAGLLTTSDYINVVQYYWQNPDALNQIDQFRLSSL RDIEKAINVLPLETLSVHPTRPLYEACRQMLKTRARRIPLVDIDDETGREMVVSVITQ YRLLKFIAVNVVETEMLKKSVSEIGLGTYGDLQTASMDTSVIDVIHMMVKYSISSVPI VDEERRVLNVFEAVDVIAIIKGGAYDELTTSVGEALSKRAEDFAGIYTCSEEDRLDSI FDTIRKSRVHRLVVIDDENRLRGVISLSDILKYVLLHGETDD LY89DRAFT_768285 GKSRDGLYEPVLAESEREAVADLLQYLENRGETDFFSGEPLRAL STLVFSDNVDLQRSASLTFAEITERDVREVDRDTLEPILFLLQSPDIEVQRAASAALG NLAVNTENKVAIVLLGGLTPLIRQMMSPNVEVQCNAVGCITNLATHEDNKAKIARSGA LGPLTRLAKSKDMRVQRNATGALLNMTHSDENRQQLVNAGAIPVLVQLLSSSDVDVQY YCTTALSNIAVDANNRKKLAQNENRLVQSLVNLMDSSSPKVQCQAALALRNLASDEKY QLEIVRARGLAPLLRLLQSSYLPLILSAVACIRNISIHPLNESPIIDAGFLGPLVDLL GSTDNEEIQCHAISTLRNLAASSDRNKALVLEAGAVQKCKQLVLDVPLSVQSEMTAAI AVLALSDELKTHLLNLGVFDVLIPLTDSQSIEVQGNSAAALGNLSSKVGDYSIFIQDW TEPNGGIHGYLKRFLASGDATFQHIAIWTLLQLLESEDKKLISLIGKSEEIVQMIKTI ADTQVESDDEGEEDGEGEVVQLAQRSLQLLGQNKPSHIEG LY89DRAFT_778340 MTVFQRLSRPFNYLRDKYVGHPFLLVGATLKTLFVSHVFLEYGF TMGACEGASMLPTLEVYGDSVLISKAYRRGRGIKVGDVVQFDSVVEPGSQVIKTVLGL EGDYVLRDSPGTSGQMIQVPRGHCWVVGDNLDFSRDSRMFGPMPMALIKGKVIAKVLP WSERKWLINELKHVQ LY89DRAFT_681341 MAILDSMDRKKDSLVVVKPGEPGIPPICKIMNKLAMREAEKAKR KSERKGGVTVKNMELNWAIDGNDLGHRLNKLKEFLMKGQRVEVLLAGKKKGRKATEEE AKAVIKKIKGAMSEVGAKEMKPMDGKILAMATLFLEGKISKTTSEAPTSPQEASGETL LY89DRAFT_681342 MPSTDSPSAVETSQPEVAAPLAQTQPNGKGKQPEGAQQSSANTE KSKSNSNGKPAGNAQETSSTKKLTPAELKKKAKEEKAARRAQTVAAKEAESAPAAPEL SPAGPSTVPQPAGGTSGSQQRGDGQKGSRTQQRTGGPVGNSRNLPFRGSQKVAAATAA PVVPKKEDKTVEFFRHLYRQRTTSIADASKEVHPAVLALGLQMGNYTICGSCARLVAM LQAFKSVIEAYTTPPGNSLTRHLTSHVLSPQIDYLASCRPISISMGNAIRWLKLEISK VDVDTPEAEAKKDLCGAIDVFLQERVTYADKLIAKKAAGKIKDGDVIMTYAKSSVVQR TLLRAFSKGKRFKVIVVDSRPLHEGKHLAAALVNLGMDVQYCLLNGLVHVIQNVTKVL LGAHAMMSNGRLFARVGTAIVAMEANEADKPVIVLCETIKFTERVALDSIVHNEIAPA DELVTPGGPLTNWEDMKKLQICNMMYDVTPAEYLHMIVTESGNVPPTAVPVLHRMGNE SG LY89DRAFT_391026 MVHKVLFWGGFGLAVRVWQLGLEMRPFFNRGSLWAYPLFAGVGG SFGYWLMGVEERQQAILGARRTSLLEKRARRAEREAAEAES LY89DRAFT_681343 MAFSRDAPAVNGNTKDAPARKRLKTTDLPLASATRAAIEGLAHT FKKKGGYDSLRKQVWDDFEKSDLEAEFTKSVLSVAEEELEKSPSALLKLERGKATLLI EGAVDRAGVYQTAEARIDALIDAHVEEIETGIRALRREDIGEEAAAAEQARGGKTEEQ WAEEAKRKRDAREKIRQEERDREKAIIDEKRREERAKRKEAERRQEADEERRRADREA RRKAEREREEDKPRDRDRDHDRDRDRDRDRDRRTDRRSDRDRGRDDDRDDRYRRREDD TSRRGSIVETKEHTPKLELSKEESERLEQEALNDLLKEGKRTSQRSRHQLELEVDESL APPPRKAMPASAIKPISRDSPVKSDVKKVLNAPTGPKADLEGFKTPHLPDKRVEDSRD RKRSRSRDRDTVRRSSRSRSRHRRDSRERDSRRGSRDRDDRRPYESRDRDDRRRRDSR DRHRRSDRDRSRSPDRRRARWSRSRSREKRERDASRDRRELAAKQWKAEQQEAKDKGL PPPVWVDRTERKHDDSRINSPVSARKRESSRDRDRDRDRDRTSRARSRSRSPVRRGSR IERSTSPINIDRYVPGASSRRRESTTHKRDRSRSRDRDRDRDRDRDSRRTRDDDRTRR RSRSRSRSRRRDRSRDRYRDRERKRSRSRSPDVKRERSHRSRSREKEREKDRDRRRER SRSRDKERDRKRERSRSRESRRK LY89DRAFT_778345 MDEDYERPFLRIGLDDVISPPKRKLLLFGTGVTCALMFFGYIQV VGSHNPDQGQNGTSYGDWNGMEGEVVAITAGSERGSLMNVGIATHGREDQDGDNTVMH VLTTVGSVPVETSIAESRAGKRAVVDWGLGC LY89DRAFT_729486 MGSKPVTRWPGADQQDPEPDDSGVSLRSPTPPESGNGDNSTIRI CPNWMFPVALVLMVAIVAYFVLRSVKPESGTNVSSTQPQTSYLPRWAGNSRFRTTVKS PTQQISHVPTCTDNLPFGCVPSTTAR LY89DRAFT_768294 MDSSDNRSPSPRRLSTLHEYTEPNESIYTGDPDAHSLHTLSTTR ASSSHTQKDSDYVIESPRIVHMYTVAPPAPAPPTETWFKKFRESKWFWPAVAALVFMV LVVVFSTFASIWGMAHMTSVEMVDQGVFTTTSVTVENAPVTMGQNIATATSTCSDRTC SGDSVPSSTYDGLIIIPVSSTAAANSTSFGSRRSMTSSIYIEGLTASPQTSEASAAPT STGTMPFLVVETRVANTSVADKRQLLERRLISKGTTEGLGMGTTLKKLVLILIAPYLL GVWR LY89DRAFT_638124 MSTSQKAVRPLARCLRSLQSQRQSANNFRTLSSSARCNVEAVVD STPEKPIFDPETVTSIKGEKALMKSGILPIGSRRRRAAIKSSDNIPFEQLPYLCFQEA RKVLQEDREEKLKLIATERLRISNMLARDPSTFKGGVLEKETKLSSMRRYLEWLKIQA DINDPLIKKRFEDGEGDMNKPIYRYLADRKWREYQRKIIVQRIEQFGIVPDFLPHFEP TAEVQLAFKNRNVQPGEYIDSRVSEVAPRLKVQVFNKGERLVTVVVIDGDVPDAEKDR FGTRSHFIATDIPLSPTDTSIPLSKVTKEQLVQPWLPPFAQKGSPYHRLAVFVLEQQP GQPKVEAEKWAERDNFFLKSFIGKTRMTPIGFNMFRATWDDGTAGVMERASIEGADIE FKRKKVVALKPKQKARGWEARHASDKYKSLRR LY89DRAFT_715010 MASKGLQTFSRSLRTLRTFTPSSKDPLTQCLAPRLCRSMATETA MPSEANRMFGNSFLQTASDPIYDLPDPTVTTTVYKFPEMEPLRFESYDSKHLHLPLRR DILHRAVVFEGDATRQGTASTKTRWEVHGSHRKIRPQKGTGKARLGTRQSPMLKGGGK SFGPHPRDFSTELPRKVYDLAWRTALSWRYRRGELIICEDGMDIEYPKTRYVKDIFQH NGWGQPDGRSLVITGSFRKNLFRALRHAGEDGRIQMAREVDVKDLLELGRVIIEKKAL DEMLKEHSSDLGPKFKSVI LY89DRAFT_681345 MATPSNMFDMTDNTINPNLTMQDNSDLNDFFNPMEPASTSTNSA WSGMGMGQFIDINRNTEFPFPQTQNSLPFGVPPQALNTYIAGPMNNAPAYLHGVPVSA GFAFLQTAAPSALMDTQNPGYTTRQATSSSQKAPRDSTNNNEASTESTEKKPRKQRKK RSKQLSEAEANEKRQKFLDRNKAAAHKCRQRKKEWTDNLSTKASAVKDENDRLKIDMA GAYYVIDSLKAEIAKLRMPGSVHTCNFPQAEKQYEEFLKREANGFADEASIAHQFLRD RRERERTAAENDGESGFEMSRRTSQQSGRSAYVQTGRTERHDSGVSLGNTPEDAKTHD TPKASVDEGIDLDNGRNFFGDGNMMPNQRLGMWGNESTANGPDDLMDPAVYLGLVN LY89DRAFT_665153 MHEGTDTYRSRTFEITAQISTRSAPATPRAFNSQRQACAISSTL STSGSPEWDFLPFDQILWQQLLFAFKSTPSNRQFRLGPLHPVSAPESQRTYTPSETTP PTFASERTTPHSPSRRPEAKAALSDPGDEKPSTPPCTSALEERHFQRRHSPDPCR LY89DRAFT_391103 MAEPTLSTPRITSQYLDTFISRTIRLIGKVTQLRGETATIDSEG NVTALLNRDAHLTVGNAVEVVGKVNQDLSVKVLRATDLGRDVDYTAISAVVDATHRYK EIFYAE LY89DRAFT_391107 MSAPTGTPPSLSPWQNTQPQEPPMAPSNGDNEEGVSVRPMRLKV LYTFDDQNKTNCLARWPHVLQIQTVAMDETTTIGVIELKTCINAIVQCSPELVARLSP DYTVYAYDYSEYDNPLVGQGMLSWALATASPTPDAPAHQSRQLITGRVCKNIMGLFTN GVKETLEVKLRLVPVPTVLQSEYLSTMEKYRELSKVLPPGFDPNEWTSFLQSNPGIGQ MTNKPSPVPQPLPNNNQRDGVSMEVMNQLLSPSMQQQTAMDPFNPTKVPENPGSDVPC NTTTATGKNKANSRPNSRASVKRPRAPRKPKVAQNAGGNTSGYEEGTDGDEGPAPKKR AKVTKADWNSNTSLGAGSDSLRVAASTSGSLRLFRPIAMNPNQTVGPNHLQELPRAPT PVPRKPSIKTTAPADSSVRRDSFNQMLGNGRPHISPYPTLPRPEDQIMFSIESAQPSP ERNMSPAPTPPEIGSSPPLMRTRPGTPMRSSPPVLSSPVLPQMPRTDSGFMSGSLEDL FGDDDNLLCGGIEDEVDMIPTQPPQDQRSPIEQPYIEFNIQEVMPGPVELLPTRMPII DPPRQAESRAKSKAATSRAGSVMSEDGQVLPPLKKTNRTASRTNSMSYPGIVAPVQQQ ATPEVPGNVARRSSEPQQSTSQPPEMQQPTVSTVAPNSRPGSRMMARTASLGSLTFPQ IPASDPALPPSSLQRSQTWSEAPHPATEAPIPPAEMSYQPPQQDLQSTRPGVPFSRTM QAKRDSIKNKLENAVANGEMPPYCSNCGAIDTPTWRKAWSKHMLGRPGYYEYSDDPGR VTAINILTRDDNGEPTSYQLIKKFLAEGENMAEFTEFLLCNPCGIWMSKYKTQRPENR WESNGPQERAKGGERKKPQQRPRAKKSQPSSVMLPTSEANFPPSEAYFPQSEANFPQS EGYPPQFDGMGPPEGISPNEINRATQNQQPQGATIEEQRKRSGSGRPKKRLNAMTSDA ASAALRRAIQSSPARWAGTQHSPIEVEEEELGSTRRLLFPSPRKDGSPKVLGEVVANV VTIATEFRSPKGSMIESQNKENCPPAIDADAMDADLMKLFEEELAKGDDARPTTPVQK SPAANPFKTPTRPTPSHRPITRSVSRSIRSNKSPGQSLAFNQTPTRTPGTAGRRRSPR NHDDSIFESPFTATLNQMMSEANNQPSPTRHGVEFDFSNLANLPELPNMAPNHNYDMN FNIEDLFSTDAPMPSSPRTFNLYEDPLTRGGGGSNINWDEFGRFGPQEVSPEIKVKEE PMESPSKLGDKDASNEPST LY89DRAFT_681349 MPLRAKITNPAFAARAQITTGSRRDQQGNASVTTTEVIKELPGD EPEDVLFNTLFGVRTIELNRPSKLHSLNGSMIRKIVPRLQEWAKSDMANVVVIKGSGP KAFCAGGDVAALAIDNLEGEEGQTKSTDYFGLEYKLDHLIATYKKPYVAFLDGITMGG GVGLSIHAPFRIATERTMFAMPETTIGFFPDVGASFFLPRMSGAVGTYLALTSEKLKG VNVFYAGVATHYIHSTSLPALERRLAELRFKDYDTLEERLTLIDSTIEEFCTGLPHDE PMLIQGAMRQAIDRCFGKTNVPEIIEALKVEEGETKEWAEKTLATLHKRSPTSVYVTL RQMQLGREWSISQTFQREYSIAGKFMRHPDFTEGVHALLIRKDGKPAWQPASLEDIKP EDNIADPFFEIEPGAENLQLLSTDDYKHYPFARFNVPTEKQIENVVKGGVLSRKDIVK RFVDARKGKQGVKEVVEEVVNRKTQVDHNNKAQWISESQEVAQ LY89DRAFT_681350 MADAKIQELLAKPRNELTEFEIAQLEEHEFTSGPLSILQTAVRS HTQVLISCRNNRKLLARVKAFDRHCNMVLENVKEMWTETPRNAQGKKGRPVNKDRFIS KMFLRGDSVILVLLS LY89DRAFT_391136 MPVVNPEQLVALQRNPEGIRNICILAHVDHGKTSLTDALIATNG IISPKLAGKIRYLDSRPDEQTRGITMESSAISLYFSMLRRSAPDATPEQKEYLINLID SPGHIDFSSEVSTASRLCDGAVILVDAVEGVCSQTVTVLRQAWVEHMKPLLVINKMDR LVTELKMTPGEAYTHLSKLLEQVNAVLGSFFQGERMEEDLNWRERMDERIAAAAAKEQ ERAQKDDINGANGTSIDTESMISSNNEYEERDDEDIYFAPEKNNVIFSSAIDGWAFTV RQFASLYEKKLGIKRTAMEKVLWGDFYLDPKTKKVLAQKHLKGRNLKPMFVQLVLDQI WAVYQATTGGDNGKGDPVLTEKITKSLNITLPSHITRSRDPRAILTTLFSSWLPLSTA LLVSVIESLPSPPAAQESRLPALIDASPGFTHVDPVLRDAMINFKTSKAAPIVAYISK MVSIPESELPENKRRGGALSPEEAREMGRRKRAEIARARALEDGGTPDPLDNLTEAIG GTSLEVPAEEEQTPNREHLIGFARIYSGTLSVGDSVYVIPPKFTPANPHQSPEPQKVT VTALYLLMGRGLEPLTSVPAGVVFGIGGLEGHVLKSGTICSQLEGSVNLAGVNMGSQP IVRVALEPENPADLEKMIQGLKLLVQSDPCAEYEQFQSGEHVLLTAGELHLERCLIDL RERFARCEIQAGEPIVPYRETIVRAEEMKPPASKELGRGTVIASTTSKQVTVKINIRP LPAEVTEFLAKNSAAIRRLYADRQAAEKGAQSNGTVEKEDVDEDGLVDSTKVLSLPEF KKQLQAAFDSVKGQREIWANTVEQITAFGPRRTGPNLLIDRTDDAICGKFLRDNPSDE KEQTVGETLQARDFSDKISYAFQLATAQGPLCNEPVQGIAVFLEEVTVTQTDEESSTR DNLGRLTGEVIKTVQQAIKQGFLDWSPRLLLAMYSCEIQASTEVLGRVYDVLTRRRGR VLSEAMKEGTPFFTIQSLLPVAESFGFSDEIRKRTSGAASPQLIFQGYEILDEDPFWV PFTEDDLEDLGELADKENVAKRYMDGVRKRKGLMVQGRKLVKDAEKQKTLKR LY89DRAFT_576902 MQESSQPRAIPERSILQIPPTSSITLPPPPSSAGRIRSSHLNLD TFSPVNQNGSFEFDRVLKSGYVQKRTRKTKAWKPVFLVLRPNSLSIYKDQNEDKLRHK IHLSDLTAVAFLKDPKQKRQNVFGLFSPSRNYHLEARSRKDAEEWVGLIRQEARIEEE EEEMLLASPSGNLTNPYNGFDRAMQKHHEQKLLHDERLGSSSPEPTDPIPRTQRTEAL GLHAPRRPSHTIEYSGNEVSDMSDADLTRARNHSSVSMPEEPLAVQAPGSRPILDARN TSQVSVTSPATEQDPNSSERVVWQGYLLYLKTIRGVRQWKDLWVVVRPKSIHMYKNDS EYSPILIIPLSSVINAVEIDPLSRSKTHCLQVITEEKSYKFCAHNEDTLDTSLGALKS LLAKRKEHEGKIVRR LY89DRAFT_681353 MTRFFTKKLPCVICGEASPNGWLWACTQDREMYLEDEEEKGVVK KFDALDDIFKAKSGIRSPSARQRKTGFWQEVNDAQLETYSFDQVSRILNQREFLLQQA RIATLGIQHAPYEWSHDANGDIIWSEKPEREPDPPLLPGQKPWLPVLGGECKVKFCHT CKPHSRERSWLSLGGIADGDLPREAIHGFSFRFTGQRTVASARVVANLGLRPNPTKEL RLIPNNYYRKRRYYRPAASRSPSPAAQGLGISGPQSDPSSSQSQVITSPSTGTASRGL PTSLSMPNFVPEVPARMTPLSPTNGSFPPPTPYWSDIPFPPTPTLTRLRPPFRPISGV LNIPLPTQTDEEIETISRPGSCPNDSEAGVQMDVAAAAEEEEDSDTAYSTAPEDGSEL TVLTPMEEAELTNGEGIGSFSSEPLEVEGGVAVLEESVEDHIPDVITQY LY89DRAFT_715020 MSVKFDSTKITSSGVAAAPGGVDAMKGPAHELADEVGVALTGGK GNVGQGYLAAYLKQLQTNPLRTKMLTSGTLSALQEILASWIAKDINKQGHYFTTRVPK MAAYGAFISAPLGHVLISILQKLFAGRTSLKAKILQILVSNLVVAPIQNSVYLISMAI IAGAKTIHQVHATWKAGFMPVMKVSWISSPLALAFAQKFLPEHTWVPFFNIIGFFIGT YVNSVTKKKRLAALRKRHFDESRRPDEGYGGPGPKY LY89DRAFT_715021 MSRIPFGGLPLGYGRISSLPGQVPYAYPPLLPAGQLGGLLGQRA ALGGLALQRAYGGISNDLAPRPGALTAYQRQSLYPECLCRDCSDLPRHSEDECAYLRH RLKYGRHETSCHSSDHNDHRRGLNYKLKDIVIRGKAFSVRASYLQDQVKFEKDLVSFL DKKSDEEVPMRVVDMLVAFINKEEYCNYDPLDEATLCILASNVGAKSVVEHSLNEIKK QDREVTAGNVVDIIITIMLSSNVPDKLKEWLQQYLDAPGRWIEVNTLPDFQRKIYFEL PETYMDLERMLGYREERKLNRGRIISSS LY89DRAFT_638147 MSEIAAIPAPDTSPEKAIPVPAPPSPPSIKTLKSWAPLYLKKTD ATISQLSKILSTPSGTDTLLLTLGYGSLLTSNVLARLSLSRIQRLSRQLIESAISLPP NTTVIIDTSSIPPSRLLITSQRLKALSDLISDFRIFARLWGLLGIWKWGKKVLLDLQK EGDKDWTAKRIEAAQVIVNLAYQYLENGAYLSSKGVLGWSKEQQGKAWLWSSRFWVMH VGLDFWRLGREWTMRRNKGKGKEVDHGVISQSEQEWKARWRREMVLNMAWAPLTVHWS LEQGLVSDFWVGVLGTVAGITGLRELWKQSG LY89DRAFT_681357 MSAVATPIFCCGAASPVVTASSRKLHYDPNRELHETIFRSFIAF AIRQHQEADRQEKASIQAQELLQLLMSALNPDSRLSTPQSFDSTPVSRSPVSGAMFAP TPPFQQSGLVSALATTQQQAPPAPVLTEEALKDNPLASNPPYAIHLTSRHDFGPVYSH RYFVCPDDLSHDWVEASQAQWFAKGADFTMKAEKWDLKCTKDSRFFRLTLRPNLRLRG CYSAPTRTTAAKHVAYQVVGNRTGVYEGDQAAYPIVDNGSGVLGGHAAYPMAGNGTGV PEEAQAAYLMAGNGTGFHNRGYVAYSMIGSRAGFLGGGVVMPQPVSNLDMEQASSSAV ECGGEDVLYDNVKRYW LY89DRAFT_715024 MVRPQETQSAPASPSSRSRFRFESATTSFDDLDLPPTSSTSTTE PIFCCPIHSQTLKQDGFHDFVQEQSGCPLFDGSVRSIGADWVHVIAVTPTSKHANPNT LKKQTRYFANLESVSEYQEIDSILYTYLLETSEWDETTTKDRFTCPVHRQTYQLGRQD RLIVDDKSSELLDILATPVLSPSAITTEGYGDSRPVLSPSRLSLEKRRFMIRKLSYGR KDQIQEIIKKATIQDKDQELRESWRLYRATEQEKKKAMEEKLDFEVRYCLAGMRMFFF DRRGN LY89DRAFT_665166 MAPGGTNDTWYCCKASLREMYLPDKYKQEAVAVAQLHKFFWSGH SGEVMAEFPGRPQRMPRIIIEVRKDLSKKGHRRAYVGLSQFCHDNGDDLWYEVDDARW THWQSGFSRDATSDHHCLPCRQFHQYNVWRLQRPDWDCLPLKNDVSDMDALAHNFEQM GKKDGKKRNATEAHFRKESVSNYQSGMHKKACTDTASEASTDDTFVKEDEA LY89DRAFT_681359 MPSIRPISRPTIRELSNFLLSPPTQPRCISHLTRRAPHPPKLPS TPRNLSSTSFLTASPKPQTRDRGPASTEDTQTDFGALNVLGNTPIPSTSIDACLWDGF HLDSGVKITGGTGVLLIAGEAFSWRPWEAVAAGGEGSLKLVNGKGQWDVGEEAWGVLE LVWPKPDLLILGLGKDMRPISPRVRQYINSLGIRVDIQDTRNAAAQYNLLATERGIGN VAAALVPIGWREDVGVAS LY89DRAFT_729505 MTQIVALCGVLVPGWWWVRKKQKDGKSLSSGSEEGLQNAEVYDP GLLKKHSEYTSYTTSQFAYPRIRVFYRPHPQIAKLPSDPAPLPLLVFIHGLGGSASQF HPLLTSLVNNSSCLAIDLPGCGLSAFAPKTWGAYTTENLAELLENIIEDYRDRDTGQG VVLIGHSMGCSLAAMIASNNIKHCTDLPDHIVGLVAVCPKAEPPSSSQVDSFKKLLWI PGQVFNAWRRWDRRGGIESASVNRFVGADADIETKKLQYRFNEQSKTEVWRRMASGSL PHYENGTAKGGLPGSNAWGGLEVPVFLVAGEADNITKPVEIEKIAAFLGKSHPVQIEV DKDSKPLTDAAAPVDSSLEASRTSPRQVDSISADDFLEPNPDTVEDAHEDPSTPNDGL SVIPPQATRPKKVLKTSILPAPASHALIYQPAQVRILAGLISDFLCSQVSPRLSLGWQ LQFLSTSGKWDVKNLEKWKKVAPVSEPIAGIFRAMKTLREVDELHCPEVFVREWGSQI KDIIDISHESPVYDPRGLEKGGVHYHKFPTVSKIPPTGDEVTAFIALVDRLVEEQKVR AEKEGWSEKWYIGVHCHYGFNRTGYFIVCYLVERLGYDVQVAIDEFARQRPKGIKHAH FLDQLFVRFCRGLKRAPTL LY89DRAFT_391300 MAYSNGDSNGDSNGDRNGHTTRKTNGNANGTANGNANGHSNGHS NGYSNGQTYGNKEQPKYIDFPHLEPGTMLEGKQALNRWSHKLTKDHDYPGAQAMLYAA GVPDRETMKTAPQVGIATVWWEGNPCNMHLNNLGKLVKTAVENQGMLGWQFNTIGVSD GITMGGEGMRFSLQTREIIADSIETVTCAQHHDANISIPGCDKNMPGVIMAAARHNRP FVMIYGGTIMKGHSKLLNKDVNISTCYEASGAFVYGKLQAFERPGDKGRTPCDVMEEL EQKSCPGAGACGGMYTANTMSTAIEAMGLCLPGSSSNPATSPAKMRECEKAADAIKIC MEKDIRPRDLLTKESFENALVLTMVLGGSTNAVIHLLAMAGTAQVDLTLDDFQRVSDK IPFLADMAPSGKYFMEDLWRIGGTPSMIKFLVAAGLMNGNIPTVTGKTMAENVSSWPS LPPDQAIIRPLSNPIKESGHLQILRGNLAPGGAVAKITGKEGLVFTGKARLFNKEHEL NTALSNGQIPHGENLVLVVRYEGPKGGPGMPEQLKASAAIMGAGLTNVALITDGRYSG ASHGFIVGHIVPEAAVGGPIAVVRDGDTITISAKTNTLSMNVSDSEIAARLKGWKPPK KNVNRGVLAKYAALVGDASHGAITDLF LY89DRAFT_391405 MPIMPRAKYFQTLMLNLFGICTGSAVALLGLWSGIQARQHTAPG STDYNSSQAAVCAIWLFANIWFVNTLRAKVPALQFPVIMYSIFTNVAFTYGPLFPTTS VAEAFIKKLLESFLTAFGLATGVNLFVIPITSRTVIFKEQAGYIALVRGTLKAQKAYL TSLETSDMFAPAEVDTTEANGDGSKKKKKAKKNDKAETHPAHNTQAKALQGSLKALLG LHGKLHGDMAFAKREIAWGKLDAKDLDEIFRLFRSILIPLIGMGTITDIFERIAERRG WVSVPKSKPNEAEKWEECGEEAKEQEKATWNTIMKTLHEPFEVVVQAMDEGLEHASLL LELTPRPKAKQGDDVEANAENPKPGDDGFAKHLDQKMLDFYSKRGETLKAWARQKGLS EDQFNSVKSPGPGQEWTPDEAQHRRDQQQLYLILYLEHLLYSCGIAISNLIKFADEKV ADGTMKKNHLIAPGLRRLKKWVLGIGQEDASVDTETPDSLEAGMNTIYLGSGFNPKKD PEHLPPETAWQHFGNAIRTIPHFLGSGESAFGVRVACATLSIGIVAYLRDTQTFFIEQ RLVWAMIIIAIGMTMTSGQSLFGFFGRIAGTAIAMVLSMLIWYIVDQKTPGIIVFLWL AIFFTMYFFLKFPRFIAVFLISIVTLVMITGYELQVRKIGLKLSESSGQPYYPIYELA PYRLACVAGGSFVAFIWTIFPYPLSDRGWLRKDLGSTLYLLANYYAVVHSTISARMRD REGDINLETSPGKALEKARHKIFGKLLLLLPSLQQHADWQKWEPTIGGKFPRETYEGI TLRATNIMNYLSLMAYATNSWTKDSGHIYPNSQPATRREWLNDLTHLIDSISPTSHSI TSILSLLSASVKQGSALPPYIQTPEPYDLSRKLEKLNPGILDARHVEEPGYSAYAVMQ VCSSLVRDDLLRLVEHVKDLVGETDFSFTVKSSETSFESTGSESEGNGKGKRD LY89DRAFT_681363 MSPLRNLVLLFLAAQSTTAHFLLHYPSTIGFDDDAEVDAPCGSF TVDFSTDNVTDFHVDNDVIAVESIHPQATWLFRATLDTTASSNWTSLVPAVLQTALGA YCETGINVPSSWAGSKGVIGVVQDAPDGILYQCAAVNFVTGSSNSTPSVCTNVTGLTA TYTSDAKLSSLPASSTPTATDSTTSGTSTATKSASAGVLKPVEFGAVGAAAWVVLVGG LKMALALL LY89DRAFT_715030 MDMSGMDMSGGMDSSSDPMFRPFNQALAEDYWYIIVGILGFIVF LRAVDYYQTWSRLRICQTRKSTAYPTRVSNPLMQAYATATAVVREASYPQFHFGGWLS WLTPPLSGRVIIIVIYWSVIAYMMFYKAFVDDAYYWERVGFRGAWISVTQVPLVYLLA SKSSIIGHIIGSSHERLNWLHRWVSRTLLVTVTIHGSFFLTEWVRADFVVLELSMMPM VKYGMGAWAIMVWTFITSLSPMRRMAYEFFVMQHIVSAAIILWLLWVHVPSYAHYNIW FAIGAISFDWVLRGVLLVFRNLRLRASKSCNGGQRIGHEIELEAISNDLTVLNIKDVH LSWKPGQHLYLWLPWLGPLESHPFTIASPYDTNNKCHCNEIQLAIRKHKGISKRMYNF AIKNQTPLTGFISGPYGAPPAWEAFETLILISASTGASFTLPILESLLTASTTICTQR ILFLLVARDRAHIEYYVNRLTKALSLAEAKGIELQVEVAITNDANSLHSKSSGATKAT EETIVKEEAVEKKSADPLVIVQSRSPSSRNSSQASHPTKEKGGCCCANPSGFPSSCCK DSTSSPSREIVYSYSRPSIPAFIRRPVEITGGETSVAVCGGKSLVADVRNTVARLSDD RAVHKGTGAQGIHLHVEEYCF LY89DRAFT_391556 MAEPPLEFKTKFGNIWALFISRCKEVNGIRQNEDRVGHDNRKAE LDFLSSLKTPEGNAAWQRAFEEDKRLSAVCAKRNEADSIIIQALFNAMVKNAWNLAAE PNGPPPLITLDTFGITHGVHVALAPYANDNLGFQGMGLSSSCRVVANPIAVDPALQAL SPVPFPSSTVNAAGSASQSSSSQPASIPRADKAKTSNKRKAGALEEPETGPTARMADL TLDDSIVNFPHNSVKFWVMTCPLCGRNFQSAHGLYGHLAQSDEEHLAVFGGEKTFNKA VAICGTRIIDADKASAEAHNKAAMKKLMGRPGKDGI LY89DRAFT_576892 MSSYRRRHHPHPASPARTASNYQPPPLVQSLTRRSGCITPPSLV PQELDQIKSPLFKLPEEILLLIYEQVIGNNVLHIVRRANHLGHATCSNKSSGSHDDCQ DLKCRGTKLPNGVSVQDGHGRDSFIPLLQTCRKIYVDAINVLYTSNTFDFDCMESLIS FSTCIVPHRFDCIQNVQLDLRFNYSHFFSEGTPSNDYSRWERMWRIIGSMKSLQHLWC RIVWWRTDLTGAEEARYLGELLQVGKLRVFEVTLPALKWNDPKDKELQGTFEVIRRQS SK LY89DRAFT_608715 MKKTLLLCFIHGFKGGDDTFGGFPEHLRALVSHALPKVDVQAIV YPKFETRGDLAECVSRFRDWLLEKVIDIEVSRHTPSPTIDPSVHTILIGHSMGGIVAA DTILALTSDRAVTSSRPTSSHSTTQPEGQAPAPPPKPELNTLMFPYIRGVLAFDTPYL GISPGVVAHGAEGHYNAASSLFTEFSGLSSAIWGSKATTSSEAKEEKKPIAALPAPPS VEATSSSWGKWGRIAAIAGTGIAVAAGGTAAYLNREQISQGWSWASSHLEFIGCLARP EELKKRVAGIVTLNRELGTGWGNLYTRLGQKAVSKSDGTTLVGSVIGNTRTFCNLPVG KSEARPFWQEAINDAARDEAGAHMTMFYPKDNPGYYAMSEQAKTLIVQWTMDEWYESS TGETVQFAIENEL LY89DRAFT_391508 MYRTSARPAPLDFLLPSAHSSYDIDRRKDIGICHPLPQRTPIFF EAPLSGLRTPPADEMATTYSNRQYNPYATGRDTAYPPSASSAGTYSAGTYSGSSTHSR SYSTSNQAPSASTLRREVQGSHPLPTQPSSPAPGNRQNNLAPPEDQSRRKSAHGDMIR PSLQIPTSICEDGGSLAEFAAQITCLFWFESTETLRKAEGLVPSSSPIKRLEREALPS SGFRKWVVTILSTTQVTDNVILLALLFIYRLKTSNPAVKGRSGSEYRLLTVALMLGNK FLDDNTYTNKTWAEVSGISVTEIHVMEVEFLSNMRYSLLASEKQWAEWHQKLRKFRIY CDRAANAPLPSVLPPGLPTPSLQSTLPSPPSLQPSPPSHHYPASSGLMTGHHYQSPLS QAPLSSPLSSIPELDFRGYNRKRSYDGDDEEPVAKRVTRPVTTQSQYVPNLPTARSDV PRLPVPNLTISTSQPPMVNGYNSASNMVPNVPLLPPPNGRAMSTVYPSTPSSWGPQLP MLTPTGPAPTNNGYTTPSRRHSPGSVHDLLSYGSSPIAGNYPNHNPGHISPSVFLQQR SSPYKPVRHVNTLLYPPPSASMHDYSVNTDQMHYQPLGKRNDYRAGVVPDYASHPSYH YPVLPQPNFHA LY89DRAFT_681369 MGFGDFSQICEQAPVPLCSVVGAISHIAGSHGIEADCYARNIEL ANTIIFQGAASFMHIVALIMTVIMILHVRSKFTAVGRKEITFFFYIYMMLTFISLVLD SGVVPPGSSAYPYFAAAQGGLVSSLCVCLMINGFVGFQLYEDGTAISVWLLRGVSLGA FIITGFISLATFKSWAGLGPTKTMVMFVFLYLVSGLELLVYFIMQILLVVQTLQERWP LGDLAFGAFFFIIGQVILYVFSNTICNAVQHYLDGLFFATICNLLAVMMVYKYWDSIT REDLEFSVGTKLNNWEVKELLPEDERRNTIYQDTEYSSNMYNHPQQARNSNYGGFAY LY89DRAFT_729515 MTTDFLSSSQVKDFDYVIVGGGTAGCVIASRLSEYLPQKKILMI EAGPSDFNNEKVLKLKDWLTLLGGDLDYDYGTVEQPNGNSHIRHSRAKVLGGCSSHNT LISFRPFEYDCQIWESMGARGWGFKTMMRLIDNLRNQIQPVHPRHRNQVCKDWIESCS TSMNIPVLKDFNKEIKETGSLQQGVGFFSIAYNPDDGNRSSASVAYIHPILRGEENRP NLTVLTNAWVSKINVSSSKTVTGVNITLKSGTKLTISPKTETILCAGAVDTCRLMLLS GIGPSKQLSDLSILVVHDLPGVGENLLDHPESIIMWELNAPIPPQTTMDSDAGIFLRR EIANAATSNSDPFLNPKHLPDGTIADIMMHCYQIPFCLNTARLGYEAPLNAFCMTPNI PRPRSRGRIYLTSADPSVKPALDFRYFTDPEGYDAATIVAGLKAAREIAQTAPFCNWI KKEVAPGPAIQTDAELSEYGRRVAHTVYHPAGTTKMGASNDEMAVVDPELKVRGLKGV RIADAGVFPAMTTVNPMLTVLAIGERAAEMIAAEAGWRGDGRARL LY89DRAFT_391867 MMSSYDAQQLYYDGKVQRATSGKTFTTVDPSTAKPLAEVHQAAP ADIDAAIASAKKAFPSWSQTPPIIRSRILLKAVELLRSRNDEIAKIETHDTGKAFSET STVDVVTGADVLEYFANLVASGGLNGETTQLRPDAWIYTKKEALGVCAGIGAWNYPIQ IALWKSAPCLAAGNCMVYKPSEVTPLHGQVLAQIFTEAGVPPGVFNIVHGDGAVGGYL TSHPGIAKVSFTGQVSTGQKVAASSAGGMKYVTMELGGKSPLIILPGTEVENAVDGAM MANFFSTGQVCTNGTRVFVPKSMKSSFERLLLEKMSCIRSLAPMDSNSNFGPLVSEIH YKKVLSYIQHGISVDKARLLHGGATKPKTIPAGYENGFWVQPTVFTDCTDTMKITTEE IFGPVLCILPYDTVDEVIARANNTKLGLAAGVFGKDVNKCHEVISKLEAGITWINTWG ESPAEMSSTLVEGSGIVGTVFSKL LY89DRAFT_778373 MENSNGTGYRKVEADIRVVESRRKKEDLELAAKLEEDQAKLEED KEKKVRVYDNDAQEALKKLEDDEKEKTKALIARHAEELRVLQERHAREKTDRVAERDA AMQALRKKHEGLRTNMIDAFTSRGEEIIVKFKSDKADIKFKRNQEDAKVKDELFETAR EHSLVIAAAAVGNAQPAPRDSVNQQVTALPLRSRSQDRYRERSSGWGGDAWRTRDRSP RRAGSPPRARSPPRYQSDRDNCYRPAYEANGYNDNRSYSMYRPPSPRRDSFYNRARSR SPPRQPPSGPRNWSNYQQGNKRGRSVSPPNTMSRGTEDNHRTIPTGPRAGGGYAQKKA RQQYTPFNDPVQPMEWTGNGSSGDKTITHKLPDNSPNPLTPVPAPTNNVAPPLAKTQI TPAHTIPGGTLPIGRYVVPNTPNPSAFLTHFPVHRVKFIKEDGKKYEWEGRTGPNSKT GELRLTGSTYVGFEPRKDVRRPAGWCITPGTVARLFYWEKWGVIHVIKLKQEDVGPKE LWMEFKEPKAEVVKGFVTSFKKEWSLFKVYPESKDDAVNPMDSCLGGNKPAK LY89DRAFT_729518 MAQLGAQLDNGNPPEYVAFPAIAGQAKPHQVNDVYGQCKINSGS AFYCYNAPITIYVPGPQNPIGIRQPPREGEHAGLRGPFASGLNPAYQGNFPPGAGPTV YHEFQSSTGQPAYNPYMYQAPGQHRGHKTSEKQQQYQCFADNDCKSMNQEHTTTAEDI DDSVIEVDGQVWNAARLAKLQTSSRLASHGGHGRQSYSCKQKSNPYNGSQVFSHSKLS SSQTNLRGGHLTTLFTPQSTPEIYIPVKPLAHPRKFYIKVFAVDGQDELSDSRFRQWV IRKRGVKCCIAEIDDGGLSISFSYWSSSNSDKVSSKWFPKINSRNVYRICHDQHASSI KIEWSTGRTLYIQFRPRHYKSFVRLLGRLECSGDLASWARSIQRKDQSTRLRL LY89DRAFT_729519 MSQATLSPSPIFGDSPASNFFGRAGPSAPSNDFPSLFRRRTSHP HNNHNHSQRSSVEMAEASQSQRPRLEHRQSQTIIDLTDDVEEAPVPSPRNASRNRASR PPHLGRSDASRLQDMSDLIDLTEDSSDVVILRESAVERPQRPQPVRREPPHRNHSPPL FVPNRPQHGHLGGVVASPHAIGYITGAVNHIGNLGGAVMPGALRDFLGIHMHYHDHFG NQQAMPGVMNYQNAAFAERKPDHVAPSPVPAGFTRSPKDEDIVICPSCEEELVHRKED EEPPVKKGGRAPTRKDREEHPFWVIKECGHVYCNKCYQLRQQASKHDSVQFREVEKST GKKAKILTCNIEDCHSDVKSKEKWVGVFL LY89DRAFT_715041 MLCAISGEAPQFPVASSKSGTVYEKRLIEAYITENHKDPVNGEE LEITDLIDLKSAKIVTPRPPALTSIPSLLSTFQNEWDSLALESFTLRQQLQQTRQELA TALYQHDAAVRVIARLTRERDEARDALSKVTIGSGGANNGDAMQIDSQGLPEELAAKV DATQEKLSKSRRKRPVPKEWADSEVIEKFGVAIQSEPLYPGCTSLAVDESGQLAIVGG SDGVVGVYAIQENKVQQSFKAGSTVTGAVWYGAQPVVSTSSGAIKVFGDDEITFNSHA GSANAIALHPSGDILASVGVDKSFVFYDLPGGKAVTQVNTDSELTTAAFHPDGHLFAA GGADGQIKLFHVKTGENAANFELGGPVQDLAFSENGIWFAAVAKGSNSVVVFDLRKEG KAAEAKILEIGGQVDSIRWDYTGQYLAASGPRGLTISQYTKSSKSWSDVISTAVPATA VEWGPEAKTLITVNNDGVVTVLG LY89DRAFT_576182 MSEFQLPPIEVVPTLSTIERSSILDALFEPCQALRTLSLDVLHT QKFDSYFDLIASVGVQLTELSESPSTSDTEWLDQILGAHPRLGEKKVDSAQSKAEQAQ LNTGGEEEATKLRELNAEYEKTFPGLKYVVFVDGRQRPLIMEDMRSRIDRGDIKLERA EGIKAMCDIAADRSAKLQQP LY89DRAFT_729522 MIHSFGSKETATETFTFTFSAGSSLKLSFDWPLSAPIPLHRPFK RRRALSDVDGEGKEGRKKRRLRLHLITSRLSRPFSEPASNIANRGLCKIPVWGAKNKA HGKNVLRKAAIMNRVRRRMDAAKDFMRLEQERRSRERLSLREIVLQKPRCFDFPLPPS PLGLSNYDALDLDDDIYDQEDNEDVDKVSTIYSDFNIMNPTNCSEGDDYDYLDALDGI SPQDLPDTPPAPPEESLAEMLREDSKGESMFVQIRE LY89DRAFT_768336 MSKRRADDQENGGVSLKSGERPEKSGLEDEVGEFEDEFEDEYES EDEILEAGVDGRPDAEREAEEKAAMDVDQQTFIVGRNKLEPGQTLSPDLSTYEMLHAL STPWPCLSFDIVKDSLGDNRKTYPATMYAVAGTQAESRREKENQLMVMKFSGLSRMDR EHDEEDSSDDEDEDADPILESASIPFTSATNRIRAHQIPASDSSRPPTTLTASMTEAG QVLIHDVTPHLASFDTPGTIITPQQNKPLSTLRMHKSEGYAVDWSPLVSTGKLLTGDN DGKIFVTTRTAGEGWVTDSRPFTGHEGSVEELQWSPSERNVFASASSDGTIKVWDVRS KSRTAALSVQVSNTDVNVMSWSHQTTHLLASGADDGVWAVWDLRQWKPNTASSTPAKP TPVASFNFHKEQITSVEWHPTDDSIVAVAAGDDTLTLWDLAVELDDEESKDTGGVTEV PPQLLFVHYMEKVKELHFHPQIPGCLVGTGEAFNVFKTISV LY89DRAFT_608739 MLYELIAVVRPGNLLEVKEIARTAGALILRNGGTIRGIKNWGVW SLPKKTRKHQAQYTDGHYWIMRYDASVSCSKEVRMTLGLDPRMIKFSTVKLGDGTLSS LSKLGGDIIWREGRAH LY89DRAFT_576769 KDALAKMFEKYRASTDERDTVGVEGAMSYLTDLGVNLENAEALV ALEIIQAPSLGEISKQGFVDGWSAVGADTISKQKSYVAGQIKNLKSDISLFKKVYRHT FICAKDKGQKALSLENALVYWELLFSLPGKPWVTASTNWADLWMEFLKAKWTKSVNKD MWNQTFVFFEKTMEDESLSFWSEDGAWPGVIDDFVVYAKEKRGDVPESMETD LY89DRAFT_681378 MSLFGDDESLPSPAPASKSRLSLFDDEPTSTPSKSGLFADDDNG PSPWGLPTPKKAGRGELIKNLLPASDVPDSYIDTFDTILKNGDGAGGKISAAGVTRVL SDGKLSADNQSRILSIVGSGELSRNEFNVLLALIGLAQENEDITLDGVDERRKNLPEP NLPSLASRAAFAGVSELAAKPAQRPASPPPPPPAANTVSPQRPRTMKRNSLDFPEADP WASPALHKGHNHEPLPQTNGIPRPSNGSREPTRTTSNFTTASTDGSNGRSGQPSEDQS APTSGVWGSYDGSSSAPFRDSGETTIGDGGYDGSGGGGERPAPDPIRSFGGGRVTSGP EETVVITLLPEKEGMFMFQHHNYQVASARRGSKVVRRYSDFVWLLDCLHKRFPFRVLP LLPPKRVGVNGNHLAADSTFIEKRRRGLARFANALVRHPVLSQEQLVIMFLTVPTELA VWRKQATISVQEEFTGKSLPPGLEDSLPPTLDDLFDRTRTGVRRSAEVYINLCNLLDR LTKRNEGLAADSLRLSLSFQSLADVSEDTYATDTNDCPLLNEGLHATAKHLSNSQSLL EDEARAWDLGVLEDMKRQRDSLVSVREMFDRRDRYDRDNIPALERRIQNNENKLIAIR AKPEVKPGEIEKVTDAIIKDKESIVAQHARGVFVKECIRDELVYFQSTQYHVSRTHQD WAQERVKYSELQADNWKQLHDELESMPVGE LY89DRAFT_681380 MPPRLSSLTAACRIAPTARSPIAAFLVPFTQSRNASILATLSDN VGAYNKRIRRGRGPSSGKGKTSGRGQKGQKAHGKVPARFQGGQTPQEIVHGTRGFENP FSVEMSPINLDRIQSWIDQGRLDPTKPITLNELAKSRCLHGIKDGVKLLARGKEELKT PINILVSRASTAAIEAIEALGGTVTTRYYTKDSIKRLLKGESENSFTPLSLAPAPTGE SPILNMAASASRFSYRLPDPTSRKDLEYYRDSAHRGYLSHLVEEGHGPSLFFKTPGTG ARRKAKKPGVAAAAGENKLW LY89DRAFT_681381 MADFEVGRRRFFLFLAAIGSVILLVNLFMLSGTDLRSKIKNIPI NIPGTSKGNGHETAPNPDLPKLPSWHEDNGNFEEHAAVNDKHPIVDLMQAADQAWTKY EEDRTSTFKETVAKYRRTYGRHPPPGFRDWYKFARERNVHNVDDFSQIMDDLRPFWGV EPAVIRSLAAHLHETEGNGVSGLHIRDGQVWKLTNANWRVDTMKEMVGSFVKYLPDMD IAMNRLDQPRVVVPFEDMQNLLAREVSTRQLYPDALAEWTKDLPGLFKENDTAPVITD PEFVLAPGKQYMLIAKEACPPESHARKEDSSTRSAEVLYKEDRGGFVTNFNLSSDLCT VGPEVESKHGFLFAPSTVVASKRLLPIFGECKVNVNNDILFPANMYYKNDERYAYDPT YDYDWDDKEDRMIWRGVTSGGTNTADNWMNMHRQRLVLLTNATVMADQEVRIMSEDSN MQGDYQNYGHFNPSEFAAKHTDIGFTEAFACVPDCGFYDDVWTYKNMTTLSQQFESKY VIDVDGHSFSGRWHAFLQSKSLGIKSTIFREWHDSRLFAWRHFVPLDNRYDEIYSILT YFIGLGNPSAVSNGQPYIPRHDFEARKLGRQGREWATKVLRRADIEIYMFRLLIEYAR IIDDNRDRLGYSGDGSELDKYDTQHPLSPSMASKGGGV LY89DRAFT_638201 MASQTFLNTHSNSYDRMTSGGTLSIAKQAIAMLPIPITDSSYVL DNACGTGIVSEVIKAQCPAARIMGADLAPGMLEIYKDKARRYGWENTDTKAQDVRNLN EFRDETFTHVITNMGFAPDADDLTGPGRAAKEMWRVLKPGGVAVVTTWYRRNFEKAFE ATGRTIRPNEEPSAWKIPAEWNKGWWLMKMLDEGGFDADVEVKLVKGGMQASSLDELV ENLMYFKDMFFKGYNEEEVKRLLEVMRKEISAAPGYNETNDGVKVEMIAWVGIAVKI LY89DRAFT_681383 MPGTTNNMGVFQASAHTSEANRLQAVGNHKGAEQKHLEALGMKI SAAGEDSVPVALTKNALGELYLTMGELDKAQTMLEAADVIRSSNPSSDSASKFDEVCT KDNLGRLWEMRGDFAKAAELRTKDSEKMMCSNFNVSSSFSVDESPLHCLEAERAQQVR KMSLCLVLWQGLPERGLEETQGILQGCMNA LY89DRAFT_608756 MPLPAPPSISLPDRQQFDGSGPFSPHEECLSSASDTEYQHALEV VFDPQNAHRRKSSLVTTDPANAFLRRKNTECYVHALLESQRQHDGSHNGALESIAETL SQSRIASGMESHTDRDTAVEPKGGEDAGDPCGQEDEKSWKERMEAKHAEHDVNDNQKY DVAVGEDPHAVHSRLLTKKQLSDMAWGVRELSKRLGSIRLKLKVKTVFLLTKAHDEGL IGNTREVAKWLLSPEREVRYTVWVEDNLKENRKFNAKGLLEELEDDYSKNEKANGEEP KLEKRLRYWRNDMCRTRPHTFDFVVTLGGDGTVLYASWLFQRIVPPVLSFALGSLGFL TKFDYDEYQEILTKAFKDGVTISLRLRFEGTVMRSQKRKRSRIQNGDTSVAPEQEDED SNENRDLVEELVGEEKEDERTHRPDGTYEILNDIVVDRGPNPTMSSTEIFGDDEHFTS VQADGVCVATPTGSTAYNLAAGGSLCHPENPVILVTAICAHTLSFRPIILPDTIVLRV GVPYDARTSSWASFDGRERVELRPGDYVTISASRYPFANVMPQGRRSEDWVNSISGKL GWNTRQRQKSYKEWSK LY89DRAFT_665195 MALDGHQSCWRNSVKDHAGEWENSTDSGTGLRYDGCLGGKVLGF FRSHTLVDHRAPALEAVNYFYFRYSLPDAHPLRRSKLGLGLGFLHLGLGKYRCDDAYG GGQGEVELLTLAGYECLRTCVSDPCSGLAAPFFFLLLGAIWVKVLCHMRRRDRSGASN NSRFSGQNE LY89DRAFT_576569 MGYTTVEMLLDDVSKQMASSNLSRYPRGPQGQRTGGSMRIVKPN SASNSPRGSAGLGRRRTVMNDGAYRRRLAMLEQQNAMAAGGFISNDGLQVPARSARPM SWHPASHLAPQPTYQQSYPLQTPEYQQQLQLFDMPPTPAVYSGYASPDSSFSPLSMPF NGYEQPQCSYPFTASTFQSQPQYLADQPQFITQQTQQIVSQNTNTDPTMYSHFDWNNF AANGFESSSTAPPTPDNFLPIQNPEPAFSAEESIPYEPLEDPESGGEELIGMGLYDSP DTVKTSSLDPQLDNYRALMMSHLMGSGHRKTESTGKGLKLEETWEPPASDDEDADDEE QDGEGEDEEVEEAPQALAGWL LY89DRAFT_392486 MVQYITTPWRTRAELLRVRSALYPSSSSGPMKEEEIKTAVAKVG VWMQRGNCPHLVESSAVLWSAVLNDRVGNSSYCVRAAYAAAFCRFVTGLLDSHQDKRR KLSMYSIAKTLGLPATYVELRHQATHEELPSLSKLRIATHKALKWIWDYYWVHLKEEI TSMSENREYVEKLVREKDAGIRARMEEFVGNWDQDRLMGILVEIQGATDDVVILARSS RLQRLVVDGGKGNSRKRKLDSEVKSLDEVKAEMEMMNRELDNEMEDDGPQSEVAHPEA RKEPPTSKGWTMWEGPWTPKPIGVV LY89DRAFT_392469 MYTIGNVYVIAAVAVVGGALFGFDISSMSAIISTKAYLCYFNQG PQYLDTDGQCSGPTANVQGGITASMPGGSWLGALVSGYISDMLGRKKAIQVGSVIWCI GSIIVCASQNIPMLIVGRIINGFSVGICSAQVPVYITEIAPPSKRGRLVGCQQWAITW GILIMFYISYGCSYINGTAAFRVPWGLQMLPAMFLFGAMLFLPESPRWLARKDRWEEA VQVLALVHAKGDPNSPFVHKEMAEIREVVEFERANSDVTYFELLKPNMINRTHIGVFC QIWSQLTGMNVMMYYITYIFTMAGLGSNVLLPSSIQFIINVVMTIPALLFVDRWGRRP TMLVGAFLMMVWLFINAGLLATYGKVPYKNQFPSAAESISITGAPAKAVIACTYLFVA SYAPTWGPVSWIYPPELYPLRVRGKAVALSTSANWIFNFALAYFVPPAFANIRWKVYI VFGVFCFAMFVHVLFLFPETSQKPLEEVEEIFDDSTPGSIRFLGTPAWKTHVDTHARR MERGELDAEDKFGHEASHDERSPARVDEQVPKAE LY89DRAFT_638216 MSFLNSSTEVKTTTILKPHITRSHVLRLLHNPSKMLALNPIIKS HSPLPDSSSKAFFTRVAPENKPPTTGPEQIVPVYAIVESTDAESSEGGGSWRGGWAKR FIPESITYETSMQNTEEGMISITQAPMGVQSVTRWTVTEKPGEGLVLEKRGKVTSNRM LMTFIKTTIQGSYEKLANDFVIELERMIDEEEQEKKKESEEEVVVEKVT LY89DRAFT_768350 METLERETLETVVQRAKTWIEKCTADPEHLECQSRAQDFELPTR LIDVGPSDGSKDPKLVTTAALEAKTNDDNSSEAINSLIYPYLALSYCWGDAKNLRTIE GNIEQMKKNIFWHEIPPTIQDAILITRGLGKQYLWVDALCIVQKSQGDAGDFETEAPK MAKVYGGAFLTISAALASDTTYRLVKSQYSDAGGRTSTEGRKLEDEPIYSRAWTLQER MLSPRLLILSSKKLLWECQKQKVYRQATTVDDIYLAGHWKKFLYCDLLWQQQWLLYRH RNVPKVPTEKQLERAPSWSWASLDDNLEFATDVDKDYCHSMIEVEGTYLDTPGDVEHT GEWLRLRGKLVEARLENSALCLQGTVLKYSFFMDFRSETASEATPILDTKNLDVKSYL PDSGLWCLLVAEILSFAHGLVLKREQNNDYKRAGSFFLPYDDEISEIESSTIYLT LY89DRAFT_681394 MSHESVWNSRPRTYGKGARACRVCTHKAGLIRKYGLNICRQCFR EKAADIGFVKHR LY89DRAFT_681395 MSSNVKPERPVGKKLVIACDGTWQNSDAGFEQSLGQPATAQIPT NVTRIVRALNHEDENGVSQIAYYQRGVGSDGDLEDKLIGGMTGNDISEHIREAYAFVA NNYDPWRPEDLEAALKDETKPMDEIVILGFSRGAYTARAISSIITDMGLLTRKGMEQF WGIFTDWMNQDVDGKESGWFMANYPKIASDFKAANGRSIIFTDPEYRNALIQNKLTRW GMPIRAVGVWDTVGSLGIPKPLNSKNVRPYAFVNTKVAQHIQYAFHAIAIDERRNLFS PTVWEKPDDIAPGQPAMLKKLKQCWFPGSHSNIGGGYPDASASVLSLAWMVSQLEDNG KILSFDPKYLDYVQDLANQLYIANKQPVRPWGFGTLYDSSIIKDPESLIEGIDPINRT PGRYQETDIRTGKPNGTPLKDTHECIHRCVRQRMEGDGPGIQAWTTNSPVDKLLLLGK QALGLHPDMLAETKSYTPKALGNYTLVDDKTKGTGGIYYKAHDTGKNLLEDELGATEI RLLERQIARLTKA LY89DRAFT_392739 MLSESTIHVQTPAMPAATSSAGAANGGLSNGENGASSNTKSANN FPPPKTDKPRPHVCGTCQRSFARLEHLKRHERSHTKEKPFECPECARCFARRDLLLRH QQKLHMTTTPSSRPRNRRESVASTATAASGRVRKGSVAHSNGATTMRPRANTISHVDG AHMQLMAAANANVARQNLQQQHSRHPSLAGLPMHNEYQFGGMSAALGQRGMNHGLPKL ETQHFNTMDFGGGLRTAPVVGGYNPDFDFEGLLFGPDSTINPNALHYNDSPQSMAIDG PSPYQQGFPDMSGGQAMEESFEWMNGFEQHMSFHDPNENAIHDESSPSAISTASQSGI SEVMLDGSNNTAAASASMWQQSMMAPPMMTPNPFTMELGNSGFHDLMNGGSLSPHGLP PKTANDPYFSTPPPSMSSLSPSMMPGITTQAFHPPMNLGPETPMSMNGSMHSVGPLAT ITDATRQALVTALAQCTPFGGRKYSFPAQSSPLSPHFPGRAQSVSDSSRNLPSTHDLQ RYIGAYIRYFHPHLPFLHIPTLSFDVPAYSNDGRTSAGLVGGRGCLILSMAAIGALYE MEQVQSKDLFDSAKKMIQLYLEERRKADVRRADHRRASIDHGPRQSEIPVHTPVWLVQ AMLLNVVYGHNCGDKTAGDIASTHCAALVSLARAAELLKPTPRHSQNQQDVQMQDDSM SPEEGYYANIKNEIPDEQQEWYSWKNMEERKRTLYAVFIMSSLLVSAYNHTPALTNSE IMLDLPCDEEFWSSENAQSFYAKGGSRMADNNQITFHDALGELLRTSEKQKQQQQLAQ GQAFGNVNMQELPKPDIQPSTFGCLILINALHNYIWETRQRHHNKVWTNEETEKMHCH IEPALKAWQAAWASNPHHSLERPNPYGFGPLSADSIPLLDLAYVRLFVNLSRSKEKFW QRDWDGMAEELSRESSDPSENSAASSVFVDSPPTSTSSPDFKAGRLPQNSVSSMNSVS TSKRERHLRKAAFYAADSLSMSDKLGVTFADFTSRELPLQSAMCAFDCAQVLAEWVAT VQERVGRYLGILGKDDIDFTQVPGIMLLEEEDCKLLTKVQEVLNSAEIKMNYELAGMG TVAQMNAAAQRLDNCGYGSKILKVTAYMLDRAAIWPVTHLMARSLETQASHMRARAEE SVAQRE LY89DRAFT_392873 MNAKTSRLSNLRSWMRALPRQTTFFQATALCLACAALVSYSHPG HGHAMSSPGGRDSPGKLTPELRSAEVESEAWYGMEQRLRDGDWG LY89DRAFT_392848 MNVLEAQVDSSLTCVLTKSRTTALPKHKTALENVTSLHLSMPLN LKSSHTPHVRTLPGTIIPSHSSPKQQQKYKVQEMTSTQWLPAPRSPPRDPEALVNAPL RKPASVRADPSPLGLLIGTATGLEILP LY89DRAFT_392899 MDDCSLRYLLICIHGCQSTVILMCIHASKTICQYNALYRTFFFP YDFTHFHGLFSYSCILNGSRLAIPRTLTKRRVLLFLYAFTYVHALFSCYIFMLVKRLF FSYVCTPCHALSHYIFKLV LY89DRAFT_392905 MPFHALFSCYIFRLFKSAVLHTYSRVVKCSFPIVPSRLLIRCFL VIFSGLSKMLIFLFMRWFLVILSSLLKALVFS LY89DRAFT_715060 MDEEGRKTMIALAHLSGWSAERISQKMRKWYHIVVTPGNIYMMY QQWTSNSILQAPQEEKMRELLSAKLHADFGFQRPLSETPGGWPITVKFAKRWNPKDLD NRSLCKYYNAASRTHTIGHFLAMVRPLDAIHELSPTEEAAVARLGPVVSSILTSVLNR WAEHFRGKLQQLPFWKNISRDNHAETIMDFLKIFTDEWEEQYGIMADCGSVTPDQLIR HTFVIRSTGQGAKILLGLMLADRSIAIEKKRKMLKESVGYIKVYCPLPMDERACPICS DDLNFPNAEDVTEQAIRLVSCCGQIIGAKCLVAWINPLAGAGVGMNNSCPHCRSFFSR EFINKLAAEEGVQEQYEDSQSDTDGDKDIEDDAEPGGEIQDGVVEDFAGIEVGEEGNV HF LY89DRAFT_681399 MSKDSNYTYIHFNRPRDADIFEDFCKDKLPDDEIYVPPHNQPIN PEDEDDVVPDQHAAFGIQRATQKTKEAAWKDLGLKDLMKKGPEREKSKTFAIGSKSLP R LY89DRAFT_681400 MASSQPVKPPLATQASQAQRGSQPGLRYPSNGKTIYHRPLNRSR TQELSQSSFAYLFGEMVSYAQKRVTGIQDLEKRLNVQGHPIGLKLLDLLLYREPPRTQ TRPLHIIALLQFITTILWRHLFSRPADALEKSSNPDTPEEYMISDNEPLVNQYISVPK EMNQLNCAAFVAGIVEGVCDGAGFPARVTAHSVGKGEEGELWPGKTVFLVKFEPEVVE REAYLGRS LY89DRAFT_694459 MACMTSNTSSVAASLREKLPGPLKTPIVDPVESAVPPRPVELTA EQKSKYQSLLEIVKSWKEVPSTQGKGGLITESEIMWLTRECLLRYLRATKWVTAEAAK RLLGTLTWRREYGVEDLTGDHISPENETGKQIIIGYDIAARPCHYLNPGRQNTEPSPR QVQHLVFMVERVINLMVPGQETLALLINFKSSKSRSNTAPGLGQGREVLNILQTHYPE RLGRALIINIPWIVNGFFKLITPFIDPLTRQKLKFNDDMRQHVPPEQLWNEFHGDLEF EYEHDVYWPALLRLCEEKHSELVSRWVKAGKNYGESEIYLKGGDEPKQVKSEANGSPA EPASTEAKTPVQTSGTQSNGNMDVMAIKPDPIITTEGDRT LY89DRAFT_638233 MFRGASSRTLTRALPKRSFVPSVLPTFRSRNLATVVDPIQKDPA ELDQISTLSNGVRVATEALPGPFSGVGVFIDAGSRYENEYLRGVSHIMDRLAFKSTKH RTSDEMLETLESLGGNINCASSRESLMYQSATFNSAVPTTLAVLAETIRDPLIIEEEV QQQLETAAYEIGEIWSKPELILPELVHMAAFKDNTLGNPLLCPKERLSQIDKSVIEAY RATFIRPERIVVAFAGVQHDEAVRLAKEHFGDMPKAEVSELLETGSETSTESISERSH SSTSSISSLPPSPSQQPSKLLSRIPFLKNLSTSASNNASVQSTTDFSPSSFDITTPSH YTGGFLSLPSLPPPVNPALPPLTHIHLAFEALPISSEDIYALATLQTLLGGGGSFSAG GPGKGMYSRLYTNVLNQFGWVESCMAFNHSYTDSGLFGISSSCSPGNVLNMLDVMCRE LQALTLDTGYSALQPVEVNRAKNQLRSSLLMNLESRMVELEDLGRQVQVHGRKVGVRE MCKKIDDLTIRDLRRVAKIVVGGMVNNPGKGSGAPTVVLQEGEEEGVTRQPFKWEAIQ DRIERWKLGRR LY89DRAFT_665209 MYSSVPSPPQWNSGPVSTPALDRTRTPPPASPTSPTRTNRLRGL SYLRSYTQNHLLSRDSPSNSTSRPSIGRATSYPSPSSANSSPNPRTRQTPTSPTTLRA TSEAPTARSDNRETVGGTNISVVRSTLTATSSAVVTENHPAAASDLTSSMVRTRSATM GGAADLPHGEEPESQATPQTNGNSGRDAHHQLPSIRFSAHQDPRAQRPSLVFSPMSRI LPTGSEIIKVGRYSERDNQPVQAVNVPSAAAVGFKSKVVSRRHCEFWCAGGRWFIKDV KSSSGTFLNHIRLSSPGTESRPFPVNDGDIVQLGIDFKGGEEMIFRCVKIRVELNKGW QTGPSSFNVQSHKRLRELNSMNKPPAGSSSQDCSICLGPIAPCQSLFVAPCSHTWHYK CIRVIINGPHWPHFICPNCRTVADLEAELDDPYANGDWEEVEAAEVTEAPGQAPVEGA AANATVRTEGQHNDGNESSMAVEAEPETLNHNQNGSSDSELPDANENSGHDHTVNQAA EDLGYLHIEDSPSPSESADSHHGSAPSGATVPPIDIISRKPVPSSGSTARLDQPDSRL ERTMTRTPSPNGLGSPLGVDAMTGVEGPMTPRNDAGPFIFDGSGGRPSDVRLAAVATM NLNAAANTPPPPPHVDSETA LY89DRAFT_638239 MANPTADWEKVGDKFYRKIQLYTAVFDQDLELENYIVTGCSYGG AIALYRDESKLHSFRGSQASKSSIDLYSCAGKLLKSLNWDKGSIKGLGWSEDEQLIVV TADGTVRCYYDLQGDFTQFSLGNGAEEYGVSACKFYGTGFVALLTNNHLISVARYEEP RPKLLAEPPEGDVHSWTLIPPAYTLSRSVEVLLSIGQTIHVVDASESEDRMLDIGPFT HISVSPNGKFAALYTETGRAYVITSDFQSRLSEHDSRSRIAPKDVQWCGNDAVVIAWE DEVHLIGPNNAAAKYFYEGRVHLIADHDGVRLITNDVCDFLQKVPEVTDEVFRFGTES PASILLDAVEQLENQSPKADDNIQLIRPNLVEAVDTCVKAAGCEFSIHWQKQLLKAAS FGKSVLDIYNSDDFVDMCETLRVLNAVRFYEVGLPLSYEQFLRLTPEKLVRRLINRRE YLLAIRISSYLRLPTDRIYVHWASQKVRVGSEDEDTICRLIVEKLAGKRGISFEEIAR AAYDEGRGRLATELLNHEPRAGKQVPLLLNMEEDEIALDKAIESGDSDLVFFVLLHLK KKLPLASFFRVINTRPVATALIESSAQEDDSELLKDLYYQDDRRVDGANVFIREALKQ PDSKTASDKLALAGKLLTDSKDTAFELKALHETATLLKMQDAFDRDLTETFTGLSVNE TMFKLIRLGYGSRAKKVQSEFKVPEKTAWWIRLRALVAKRDWNELEEWSKTRKSPIGW EPFFALILSAGNPKLASIFIPKAAPSLQPGETIGMYEKCGMRVKAAEEAVKIKDVESV DRLRNAAGIGTIEGREIERIGAGLKR LY89DRAFT_704774 MAEESLISKVQNLSDLELAALICLVTQEHCIIDTEPDILDDLVQ ELELVATKVFGLSHAVIDCSEQTSLDDFAHAILSVESSPTRLNSPIRTRQDSYFLSKP TFTSNTRSPVSETFTENRTIANVIIAKNLDEAPKQVQIQALELMRTKRIFTRTSVQNA PKRFLFIALLAGGEGPRLTMHLNDYMFISHFHDPEDGFPHLEEVYDDGKSISSIVKKN PLRDSADVLWPRISATEIEHLAQLSKHATVSVEVKQYQQNIISFLRIHRAVAGGISAI ATKHFDKLVRCLAPLHDLSYATPSLIALAARKIYLHRIRIVKPEKERSMQWGSDLEAI KTLLDGLGAEDVIEDVLGSTGAEAPL LY89DRAFT_638246 MRRTSVSLPTRNVAHDPHEKPARYSSKGASQSAASTLEKIKTAW MTQSQRSRYLKTGGILLFVVFLFYYLSPKGTDLYGGATGIGNQDGQTPSDSSYGTTKC SRSSDKSKPIVQYALMIDAGSTGSRIHVYKFNNCGATPELENEVFEMTAKKEGGSGLS SYKADAEGAAKSLDVLMDVAMKSVPDKLKSCTPIAVKATAGLRILGDTMSQAILDAVR NRLETVYPFPVVSKEQNGVAIMDGSDEGVYAWITTNYLLGKIGGPDHSETAAVFDLGG GSTQIVFEPTFKGAVPEKLAEGDHKYKLTFGGRDFELYQHSHLGYGLMEARKSIHKTL IEDIHTLNPSDSTWVSKPIINPCIAPGMARPVELSLGKDHALGEKINVNMTGPSSPAP AQCRKLAEKILFKDAECALAPCSFNGVHQPSLSKTFSREDVYTFSYFYDRTDALGMPE SFTLKELHSLTDQVCGGESHWDVFENVPGALEELRDRPEHCLDLNFMMALLHTGYEMP IDREVKIAKKIKGNELGWCLGASLPLLSSGSGWQCRIKEVS LY89DRAFT_608801 MADFSSSGMLVQLYTYDLSNGLARTISTALLGTQIDAVYHTSIV MDGIEFVYDGGLKTIDPGGSHLGKPLQVIDLGITNLPMDVIMEYLESLRAVYTEEAYD IWTHNCNNFSNDFATFLLGKGIPSHIANLPQTVLNSPIGRALRPEIDRMVQRRQGKKG GLLGIKDTTEPPLTAQQRAHAVREAYSNADLEKYLQEAEKSCAMVFFTSAHCAPCKKL YPLYQQLAAEAAHKSVLILVDISRTFEVGTKYSIRATPTFITFFHGAEENRWSSSDPS MLRSNLELLMNMAWPPHPHESLLLPNLRGASTKPVLFSKMPPLRKLKAKMGPSADDAA ISGVMHFIQARANEGAAEAMLPDLDAFSRFLRNASTKLPAEVMFTIVDLLRIALVDPR FSGYYAEEKGHATIAPLIQYVNGIPDCPYSLRLVALQMACNCFTSPLYPIHILNCPTL TEPIVQLITTSLLDDKHHNVRVAAASLAFNMATANSKLRTEEHLETLPEGEQVELAAA LLESISVEEGSPEALKGFLLALGHLIYCAPRHGELIDLLKGMDAQGTIASKQKLFPQE SLVKEMAEVLLKHGLE LY89DRAFT_665214 MIIDLQIIDEMSCQLQELPKNVRQMAILSTEYLDLAFVSEDPAQ NERIKAVLSSDYLDLASLPITKKAVKQLLAEGKLIVKNLPANGFRQDRFIMVPNGKVT IVDNFKLQLLGPNGQFLFFPNLPLELRTKICELDLPRRLLHAIEVLNPDGIGSHYVVI GAYRPAICMASKQAESDLTATKYAKMLQTVPGGRRLYFHPKLDVLRIDSLLPLGPLDS NYRVRAPRMALPAVRVHDGLGLSNVQCICMDLFTFMKYLSWARANTGAMVSLKEIHLS VVIPTLRLPKSAWDMVKFKKSEEGFTAEYTRDNVAVYGVGAFDTFSRNVDDICFMRDF EEWSGLLHTLNDKLHECTMLKSSGLPDIVKVIFRFEYLPGVRSLPY LY89DRAFT_393247 MSYPTKMIKNNKSKENVKSIERERQHRLKRTSRDSVERERTEKM ICQRKKNTASATASTIRNSKENASSEPRIHTHTHTLAASY LY89DRAFT_681406 MALDPRLMPGVAAEMRSIRSSASTPSLRGSISSLKMDGGGNVKV VVRVRAFLPREIQRGAECLIQMNPVTQATTLLVPNDTDPANKQSKMRRALEEKTFTFD NSFYSHDIANEHYAHQEDVYNSLGEEFLDHNFEGYHTCIFAYGQTGSGKSYTMMGTPD QPGLIPRTCEDLFQRIEAAHNETPNISYNVRVSYFEVYNEHVRDLLVPVQSNQPPYYL KIRESPTEGPYIKDLTDAPVRNYSEIMKYMRIGDASRTTASTKMNDTSSRSHAVFTIM LKQIHHDMDTDESTERVARIRLVDLAGSERAKATEATGARLREGSNINKSLTTLGRVI AALADPKHQRPGKRNKDVVPYRDSILTWLLKDSLGGNSKTAMIACIAPSDYDETLSTL RYADQAKRIRTRAVVNQDHISAAERDAQIAAMAEEIRLLTLSVSDSRRREKETMEQEE KLEEYQHSVTVLQRMMEEKEMISEGKIRSLQTENEALRMHVKLLTETIKNPIEIRSAR NSVQGGSRKASLLNDQAVGDENKENDDETEVPDDEYGYDEEGVDISKHEEKAHETQKS MKDLLRQLGLFKRKIGDDKARFIKPLGERMNV LY89DRAFT_681407 MAMRPSLLTRGLSSASGPSDTGSPAEQRDDAKKNMLKAMRPLPT QHYWNVYFDRQQKDQPKSADGTYTATLEQLGSQIESIQDFWRYNNNTPVDQIKMRESI YLFKQGFQPVWEDRRNINGGSWTFRVPKVNGPDFWTRVQLMAIGEKLQGCLDLGDQLC GVGLSVRFNSHLISVWHRDASKQKSIDAILATVLEELPAELKPKPDNYFYKKHSDHAG FKAPVTTPAPPAPTIQAP LY89DRAFT_393266 MPARRRAAEPAETSTPKARQSRLAKENKITGEEENEIKEAFELF SIKHKGEKDGVIPIDDVRRAMSALDIPPDPEELEEFISILDPEEEGFAVYSSFVAICA LKFHKRSRTSDSHGQEVEDAFRLFTAESGEDRITLGTLKRVAKALKEDVDEDVLRDMI LEANKGVGVGKGVDKEDFEGIMRKAGVWR LY89DRAFT_778405 MTSQQTHGAEGPPTTTKDTILETGAAATQSFEPIKAICAHLNAF HVYASDPSRSVEANHYCTHLSADVRQCLIYDAPTNPARLIGVEYMITPSLYETLDAEE RKLWHSHDYEVRSGMLIMPNPYVPNAVWEVAETAEMKEVVGLYGKTFHFWQVDRGDTL PLGQPQLMMSFTKDEQVPWEKVKDRDARYGVDTSHKKEIRKGVEEAQIHEDADSCWKS LY89DRAFT_704779 MTFLTVTRPIFGRSLRTLTTSLALTRAMHIQSIPMWTGSSDNYA YLVVDDKSKDAVIIDPANPDEVAPVLKEQISSGKINLTAIVNTHHHWDHAGGNKKLLA YSEFKGKPIIGGKDCEGVTQTPKNGEGFKIGDIAVKALYTPCHTQDSICWFMEDSTGK VIFTGDTLFHGGCGRFFEGTPEEMNTALNQTLAAVPDDTKVYPGHEYTKANVKFAMSV LQSEPVKNLHSFAENNKETQGKFTIGDEKKHNVFMRMDDPEIKKATGKEDPIEVMAKL REMKNNFK LY89DRAFT_681409 MAAQQPKNLNGKTAIVTGSSRGIGAGIALDLAKRGANVVVNYTS TRGERAAAQLGKEIEECGAKVAIVQANIAIMEDLKKLIDAALAISVNGKVDILVHNAA TGDDCYLEEMTEEFYEAQTDVNLKAPIFLTQLTLPHIARGGRIVLISSVSARMGMPQQ TVYAATKAGLEGMCKVWATELGKKYDITVNCVSPGPVATDMWTECEPDVVAALQPSID ATPAAARVGEVSDIVPIVSFLCSEESRWVTGSTVSASGGMNFI LY89DRAFT_681410 MASPKSSRTSRHCVYNSGSDCLYPAVTSLPIRTTATPTHVRSIP ATLASSSSASSSSTSSTEDSERIFDHSPLGLRADSPTTPPDDLMNTTGSGNSKASTDF EREGTPLVKVRSRPKRPSLVSTAAAADPRYRIEKVTVGPRASRATARRSASPPQIKPL IHTDEIKRLHHSSLAFSKSTSSIPLPLEDVFVGSPQNPHILGSEQMEWIGPNALENSL DVESCDGAHRDKSEQLGDGVSMLEREGSEPDGVQSEGEYNEDATTNFQSYKCTPEDAP KDNPPDSTNLKFIPFRRKDRDLCSINESIIEAMKSHRKAKAAPGWTYVFECPTRAPGH LKIGSTVHMNEREKVLKKCERELIHVKDKDRNAFDYHSIVESLVHQEFHNKRRVLRCI CGTNHQEWFEVKKDVALKSICRWRTWVKIQRPYDKEWKLKPYWQWKVRNLPKSLANVD WDDWVRPNRREYYLQYWYEEFGKDYYLALEGHIKRKDKHFCQIGVILLLSMYLLFGGT CFALTMVGLILL LY89DRAFT_681411 MATHTTSVTPSSIPVPVLKLNNGVEMPALGFGTFAKEGVEGKTY EAVMAALDAGYRHLDCAWYYLNEDEVGTALREWLDKNPEVKREDLFITTKVWPHLAEP DDVEWSLNNSLQMLGTDYVDCFLIHWPFAAERTEDRNVELGPDGKYIIKNGLTEDLSP TWRTLEKLYKLGKARSIGVSNWTITGLERLLEYAEIKPAINQVEIHPFLPNSELIQYC MSHNILPVAYSPLGSQHQVPTTGEKVLTHPELTSIATKKGISLVQLLVAWGLKRGYAV LPKSGNAERIKSNFCLVELNEEDFEAVNKIAEGRHCRFVNPKEMFGYDVWSEESTK LY89DRAFT_393417 MAPSRTELPQDSVVFEAPQPKTSYDINVPYHALSEEYERRIRGR TEFPEYLPVWEEGIWHDDFPEFEYHDPALRANKKMPNLFSPGVTAAPVTPRMGTILSG VKMETLSPEAKDELALLICQRKFVVLREQSDFLHKGPQYQVDFMSYFGKLSQQPVTGA IKGFPQFHVIHRDGNEEEIENFFKHKMTTTIWHHDVSYERQPPGYVMLGILACPDIGG DTIVADMGMAYKRLSPIFQEMINQLTAVHTSRNLIAHARRAKGVVRADPIDSTHPVVR VHPVTGERALFYNMEWPEEVIGLKDQEADVVMKFLMDFVRNGHDFQARVHWEKHSVVM FDNRTTLHTGTVDYDTSAQARHLFRLCSMCEVPISVADYEAGKR LY89DRAFT_768376 MSVFKKLFRKKNVPLSCTISLDDPSHVHTSACFHDIKPLSVVEL FQSQGCQSCPPTIPKIHEVTNDPNLILLTYDVTYFDNQGWVDTFANKQSDNRQRAYVM KWGRNNLFTPQVIVDGLADGTGAGSNEVQEIIDQARQLRAQMDWHISLDTNGTELRID SDKTEIESHDILLVKYDPQQQLIKVGKGTNKGKKLNHRNLVKDITKVGEWTGGNLTLP LPEQEHQGLDMVALVQAGPGGPIVASQKI LY89DRAFT_681413 MSRILKFFFSKPIANTGSTARDHLANERTFLSWTRTGLGFVALG VALAKLDALEALSPTLKHGHGDLHIPAAALVGSGSGCLTYGTLRYFNTLNLLQRGLYR PNIAGVALVAVTAGVVCAGGMMMIVSQEKHLRK LY89DRAFT_681414 MEKQKASKFTIRQPEYPQDLPTIRGLFTAYALSLSIDLSFQNFE SELSSLPGKYSSPHGALLIAFLNETVSTEAIGCIAVRPLSSVNNGTDKKVGEMKRLYC TPAARGLGIGRALAEKIIKMAEDLGYDEMRLDTLPSMEGARKLYQSLGFVETEAYYDT PLKGTIFLSKRLSPR LY89DRAFT_638276 MFQTFSGSSRRPRQVNLSGQNVNPFAASGFGPSGSGTQQTVAHA QQERQQRQRERERLNASKKIQRVWRGHKVRRELAESQRLSWDSIQTERVADRSVSVEQ IRLLVAFSSSRRRDDLERLSSLSTRLSNLGFETVLAREDVQPLLPQLAHVTVGALQTS LPQCPSTLLQLLVSIVNQQQNLFPHISRSYYTYLSALLTDGQASQIDPSLFLTAVRIP LVEHSEQGRTSNVSIQAYEAFALYFLTTPNIANQGGLLDGLASVVDVSLVSSALLSSA LARDAASNVVDHIGADRKLWLLAHFISLNRLQQRSSQEPEYLRALSLQLSSSSGDILS RIDVQDPEVLREITEREDGDTIPPIPLPTFVKDELLSLVNQSSITGLLAKFNIDYAKA STAGEEDASLLASYALTLLRIFPRRGDEIRMWLYLGSMTEPRGRQIPALKFFWQAMSL TNTFSVVTADSKAALTLLRPQTQYSSAVASNDLFRDREWRTILLFLELYTFVLRFTDD EEFLSGNNLNLGQMDAPNSRIRKSALPIEDVKHLTIFLKNLAFTTYYNAAELSGDVQP AGESGIGSYFATSVVNSSRFESESSTIAKAVSNRPFAGIAGMTFEYVRTIVTGVMRML YERDSRRRFLPKDHWLMTSRFDMEGFIPAVVAEEERQHQIGEEGEDEDTGNDPLFDMR GSGLVGMARDRRARQIENLRRQQQKAARQKVLAAVGPRLEVLQHMPFVIPFETRVQIF REFVYRDQFRRRGGNVDPDIWRMSIIQAHGAPRTQGPHRPNRTPGEEQLGPHHAKIRR DQVFEDAYEQFFELGEGLKEPIQITFVDQFDTVEAGIDGGGVTKEFLTSVTNEAFRAE DGPNLFITNDQNLLYPNPAALDERQELLKQAGLRESSTEWRENIHELLRHYEFLGRIV GKCLYEGILVDIGFAGFFLLKWAAAGATGAESGYRPNINDLRDLDEGLYQGLLKLKNY SGNVEDFSLDFTITDTISLPGAPTQTIERDLMPNGSKTAVTNENRLLYVSCVARHRLQ KQPYRQTQAFLKGLSEIINPSWLSMFNQSELQTLIGGDSSEIDVADLRRNTLYGGVYV IGDDGQEHPTVKLFWKVMKALDDSDRRKVLKYVTSTPRAPLLGFSQLNPRFSIRDAGS DETRLPSTSTCVNLLKLPRYSTEDILRQKLLYAVNSGAGFDLS LY89DRAFT_393612 MSAAATNSAVDQLTSELNNASLNGGDANGAPALNTDVSNMNSED PDTAGPTPSSAAPHPQASASLYVGELDPSVTEAMLFELFSQIGSVASIRVCRDAVTRR SLGYAYVNYNTTIDGEKALEELNYTLIKGRPCRIMWSQRDPALRKTGQGNVFIKNLDT AIDNKALHDTFAAFGNILSCKVAQDETGASKGYGFVHYETDEAANQAIKHVNGMLLNE KKVFVGHHIPKKDRQSKFEEMKANFTNIYVKNIPVEVTDEEFRELFEKFGEVTSASLA RDSETGKSRGFGFVNFINHEHASTAVDDLNGKDFKGQDLYVGRAQKKHEREEELRKSY EAARIEKASKYQGVNLYVKNLDDEVDDEKLRELFAPFGAITSAKVMRDTPAETAEAEE KEKKEAEKNKENEKEGEPKEESPDAKPKSEKRSSLGKSKGFGFVCFNNPEEATRAVTD MNQKMVHGKPLYVALAQRKDVRKSQLEASIQARNQIRMQQAAAAAGMPQQFMQPTMFY PPGQQPGFIPQGGRGMPFPAQPGMPVPGAQGGRPGQFPGGFPPQQGGRGAPGPQQMPP NMYGMPGQFPPAAYAQQSNPQFLAAMQQAQQAAALAGGRGGPGARGPMQGLPGMPPNM VGLPGVGQQAMPGFPQGARQGGMAGRGTQGAQGAQAPRGQWPSGFPPQAGRGVSPQVP AMPEFNAGLGGGLLQAQLQAVPPQQQKQILGEALFPKIQVMQPELAGKITGMLLEMEN QELVNLIEDESALRAKVDEALTVYDEYVKNNKGSDDAGESGEVKEEKSEEKA LY89DRAFT_729565 MPAFPSSRHFLLKGLQSPTLAQPSDPAASSSILHITSSHFPSLF SSTSPAKQQPKPSKPQTNKMGFVEKIIEKVKSFGKKKPATTTEPTTTTTTDAAPTVDE PAATTEPAAAAEPEVAKAEEPVAAAAA LY89DRAFT_576764 MSLEAMLDEERRDVLALLEASSKAKAAAKAAERASSPFANQRMP VRSMLDVGDAPSVRRSSAGSGSPSSTRKPVRSMLDITSPPLSPPPIRSMLDVSSNSNG NSSSNEKVHTTVSTRSAQTSPTDPNQRTKTQHPRSLSDAASRPSEFGPRSSSGRKEDA YQFSGYLPTNLGAVMPKRNTQGGKKSSLPNAMSEVFGSRDRGRHSIASTGIGMGNSKS KSPHNRFSLRSSSPSLGSDTDLVLDNGTVIDSNNAYRKLSDANLAQSKGGLSVLAEKS QRRRAANNVGDGPSGSRLQKDYTVADGEHGLSSDDDSDEEGRGRKKTMRKGSDNEKST LGMGRAKGPRTALSLMAAAEEERQQIAAKHDDHSVRSLLEPEITVTTPAGDRVLKTSK PGVHPNTSFDDATSGLNTPVDSDTEADLTDIKRAQKLSINMTSIVSTPATSRCVRTIY RGEFLQMQKEAQENHRRVRKYLVATDLSEEAAHALEWTIGTVLRDGDTLLAIYCVDEE LGIAPNDGSADDALQKDQVSAIAASARPSVSTPNLNPVHTPSPLGHGSGMETSSETVS PMGRDKPKAEQERYRAVQDITDRVAKLLRKTKLQVKVVIEVIHCKSPKHLITEVIDFI SPTLVILGSRGRSALKGVILGSFSNYLVTKSSVPVMVARKRLRKHTKYKRPPVRLANN LANPVVKSLASAKID LY89DRAFT_393512 MPPRLNILGAGRSLAIRSRPSIASQQPRILNAVSRRGYADEKDQ PPSGPNENVEGHVSEYEQEMSRITGGTKPDIGQGTPVQEILERDEEGKEKAPEVIKEE IDGSKASANDNLTTFANLLALGQMENIAAGGHGSDPVTVGHKYGIPQLPLASNLNFKR RYDPVVNQVTNLIMRHGKKSVAQRNMSFILNQLRTAPPPTPNPSRPLLPGSPPPSHLP LDPIGYLTLAIDSVAPLLRIRSQRGAAGGGAALQIPVPLGLQQRRRTAVQWILDAASK KKSRGSGKGQFAQRFADEIISVVEGKSGVWERRQLVHKTGTSARANLNYGVRGRRSL LY89DRAFT_576512 MSYSGYGSSAATWQERLEEKCREAQIHPPVFQIMSDRRGGRTAW SSTVTVQGQNIPARFWYDGQHVNNAKEDAAEVALKHLNGSTPSSPSQSRTGW LY89DRAFT_393538 MRGTLDELYDPSHFKEPGIGSNNACFPETRAAPIISPWLGNLLT KKEACSCGFRPYRACPFASGTLRTVMHEWSDFGFTLRPGHNSFCPGRCLGSSLSGSRC RLAKRCRFGDHVGIYILPVHADQDRDASRRADCLGVDRTFIGHQSMGFSAIQSSNQGA SWA LY89DRAFT_576094 MPFNTKLTRALGIKIPIVQGGMQWVGYAELASAVSNAGGLGILT ALTQPTPEDLRKEIRKCKTMTKNPFGVNITLLPSMNAPDYGAYAQVAIDEGIKVVETA GNSPGPVIKKLKAAGIIILHKCTTIRHAQSAIKLGVDFLSIDGFECAGHVGETDITNF ILLSRARQSLDVPFIASGGFADGQGLAAALSLGAEGINMGTRFMCTVEAPIHENIKKQ IVEAQETDTELVLRRWKNTSRLYKNKVTEAATKIERESTTGKFEEVAPYVSGKRGREV FINGDPDYGVWTAGQVIGLIHDIPTCDELVKRIEREAEETLSKASALIVPASKL LY89DRAFT_575944 MYVGGIAVASLPNNTDTYIVLIASGNYTEQVNVTRRGPTYLLGQ TMNPMNQSYNTVNIIWRAIAVTGLDNAFTSTLTIAPNLNASLTGSGTTGFAVPADTPF GCVDFRTYNLNLINDYAPYSDDPSLALSISYANGGFYYTGFYSYQDTIYVGKLGNAYM HSSTIAGETDFFYGFGTLWVQSCSILLRNCGGGITAWKGTNTTFENKYGVYIVDSAVH AANASIAAVIKGKCALGRPWNANMRAIFARTYLDASILSTGFIDWNPARYDNYTLQAE YRDFGPGYNVTGREVARFDVQLNESGWAPYSSPQKVFQYQGTGRFGNTGWIDWGFY LY89DRAFT_694485 MVQEEGRGSKATSASETTFEEPKDMDMETSKREDGSKTDLEETK DDEIVAEKPAEGEVDNEDGVEYPHGVKLWVILSALCLAVFLVALDQTIISTAIPKITD KFNSIQDIGWYGSSYLLTTTALQPTFGRIYTIFSIKSTFIVAIALFELGSLVCATAPT SKALIVGRAIAGLGSGGLFSGAVVILAYCLPLRKRPAAFGLIGGMWGIASVAGPLLGG VFTDKVSWRWCFYINLPIGAIAIVVILLVLKIDRDNNPDKLSYVQRILKLDLIGAALI IPTVIMLLLALQWGGSTYPWKDSRIIGLFCGFGGMLIIFVYSQLRLGDKATLPPRLFK DRNVVLALAFGFFFGSAFFALIFYLAIYFQSVKGSSATHAGIQLLPLLITTVLSSIAT GGLITALGYYVPIMLVCMVLFSIGAGLITTFGLNTGTGMWFGYQVLTGAGIGVGFQGA IITVQTVLPLVDVPVATACVSFFQSLGGALFISVAQTLFQNGLLSGIERYAPALDAQA FLHSGATEIRTLLKEMGQEGQLEAVLRAYVDGLRHTFWITAACAIAAFFCACGLEWKS VKKGHGQERE LY89DRAFT_694479 MPQRPGHGTLGKKLLVYANYFKVNCPKDLFLTRYNIEISPEAKG KKLSRVIHLLLEMPEFAGETIATEWKSFIVSTRPLNIPPDFTTQIRYMAEGEDEPLER FTTYSVRLVTPLSFSVSNLVNHLASVEPGPGYDQKAEIIQVLNAVLGHHPQSHNGVVS IGQNRHFSLDRAQSNANNIKVLGGGLESLRGYFQSVRPATGGLLLNVNVTHGVFIEPG LLSLLFPKLGTGNKITLNKKMKLVRVRVTHIPPKKNKKTNADIPKIKTISGLAHPQDG RTLAHPPQVQSQGAGPKNVKFWLDAPPPAAKPVGKGKKSPRSSGQALPSNTYISVFDY FNRRYPAIRLDERHPVVNVGNRENPSYLPAEVCVVLPGQTIKRRLSPDQTANMILFAC RKPWENANSIIGDGREVLGLNRSADSMASKMGLVVAATLLTVAARVLASPQILYKSPQ NKEMTLSPRFGSWNMANIKFHLGSNLGPWTYIYFTSNRGYDRFNEADLNRTVLNFQAF LTKSGINAGGLIQTPPPPTIPLFDGEEARNDATINQIFRQMHLAQKGPKPRFVLCVLP YNDVAIYNSIKTVADTRAGIHTVCVVGQKLMKDQRQDQYFGNVSLKFNLKAGGINQVL AKDKMGVIGEGETMVVGIDVTHPSPGSKESAPSVAGIVASVDKFLGQWPCDFNIQESR KEMVSALEGMMMSRLALWQKHNNGNLPKAILIYRDGVSDGQYQHLLDQELPLIRNACR QKYPADATKQGFPKISIIVCGKRHHTRFYPTTEANADRSSNCEPGTVVDRGVTEVRNW DFFLQPHACLQGTARTAHYYVILDEIFRSRRVKPPHQNPADALEELTHNMCHLFGRAT KAVSLCPPAYYADLLCTRLRCYLSDQFDPNDTSATPSVASGATTQTNLDIKIPDNLKD SMFYI LY89DRAFT_576039 MHTLTHTILSLLLFCLTTTNACMHYKATFPFNPTLPFEASISDN RITTCWISTTYAEHRALQIAVKAQKSEEAGFLPWEFECLRLGIEGYKAHANVGARTVT YIAHGQEFYFIPKEKEDVVGEKWVYDLKLWCGKPGKGGLIAP LY89DRAFT_394094 MSRLCTNPSLFLILLSGTTSLRRIIISAILIIRSLLAMFLGIRC DVDESGTMCDFCAFCAVRAVCTLCARSIVFNFFAHVDLFVSFLLDFQISS LY89DRAFT_681425 MAPTFSKPQASPKDHSLTNLRTTLTVLTILHHTSIPYGGLGSWP YISPHYPSASSPTLVAFNATNQTFFMGTFFYLSGLLTFQSLQKKAPSEFLSGRWWRLG VPCAAYSLLAPPAQTLLVSVCRGRQLSGTQIQDTFIRHVKSPRSVRGPLWFNSLLLVF DSIHALFKPQFQKLDFHWDFQQAMTLDILANFLIRIPFPLGRDYTPLNLELGYLPQYI ASYVLGARSSRTSTHSATESQREWLLAASVTSGLSLIGLLCLYPHDYKFEDIHGGMNL VAASYAVWNETTGYLIGTYLLDLFKRKEILRRQWGNVGRYQYAAFLVHPVLLVGIQAW FDDWKVSGVIKTFVIGGIGVLGSWGLGSLFTKLDILAIL LY89DRAFT_681426 MPIHVLPLTEPDIPGAVTAIQEAFAEDPYNQWVYNDRSKLNLNR NRVSLGIRCHWGIRNALFYVAKDSDDPDGNVLGIAMWMPPRPAELKETWGEWIEGWRL WGSQVVMNLWYGRGGLNVKRYYIWKTKQAEAQSEIWTDPKGYYFLNIMVVSPSHQGKG IGKLLAREVTKKADAEGRKCYLESSRDLPNMKIYEAMGFHFVKDMDCDDEGEVCKLFC MVRDPQKLP LY89DRAFT_665237 MMLYHMIWKHACGGFQSSQQNGRASFAAAAAGMLAFQKHAYQSL RIFIRCRTKLCEIWCLWVSSGCLWQRALHRQHSETGASAPETQRLSRQALLHHYACIH PLRSTAPPSTTARLSSTPKPAKPAHPEPDNSLSWQPSRLCTSTALATLQRSWTAPPT LY89DRAFT_768394 MNTTMAPMDQLRQDTLEELRRRDDLRHAASLRRRDGGNGAGGKG GEGKRGDGNGDDNGGGGGGGGGNSGNGGGGGGNGNGNGGGPDSNGNGGDGKNNNNNEK NGGGSDNQKHNSSPPAAANEPSPPPTIVSTTPTTVVLISTTTAPAIAATSSSNTLVST FFPITPSTTPFPTLSASAFSNPPDSSSQPRKGLSDDPDFPPSRIPKTITATTTSSMFL VPESALASSTSSANPSGINNNDNNNHHPSSKAKHGPGDLSPLAQHLLIAAGAIGAFII VVAGIYFLTRVRKIDLLAFFRNRKFGKGGARGWYGWRKEETDYMSEPPPKYSGEVYPP EKTIPAQQQFDAFYTPTAMPPMANPASSANALARSDSGRQDIVREALLDNPAPFGTSP APQPAALSATENFYGVAAQPTPLSRQPGTQNSQSTFVSQPSSNYNNTGTQNTFLTMNT MRTVQTQDAYDPNQREPNHLSYLSSLSSGFGDGLIIPESTVVGGGRQSYRQSKAPGAG RFSWMTSNRGSRAPAYGDRDTVYTTASIESAPRFRTVNSWVAQQAGRVERQQDVPAMP SIPAPLIPAPLQIGVGVDHQRKASEDPAFRQHPGEEIEISRGSRVPSSILDRKVGGVN LY89DRAFT_681430 MLDENLPTFYLKPSSDNPLSSTIFLSEHGSELQPEYTLRRPDPK LPGSKNCYAVALYDSYNPEVLYAEVLVHPEWQAPTLSAAEIRAQNGIPPPPVPIVPNQ FAVQLYNPDQQVMVRQIPGTTFTPAHWEFEMPQTSFRTPSASALDRSQSDPAASDITP KISFKWKRDGKLSKDISCFLYGKSTDGKKNKDPDIVVAMYKNSNLTVYQPNMHRVEVE DTKGLEVVLILGAAVIKDIYFNASRELFNIGAPGVNAPPVNTKRKNSGPVIAGKPATP PVMSGAVLPPRTAVPASQIPPQQPPRHAQQSISRPPAADPRTQWEIDAETERLKKVVE AEDKAREKAERAEEKRIRKMLEQEEKEARKRQAEVDKETERETERLRKQYGVPAAAAA GPSVSFSPSLPQRPQQQGMPQWQSAPHSQPPPVMRPQSTPSGPGPSVNTWLQRPAQNS SPYLQAPGNGTASSSGFFGLGGKKMQKKRSVFF LY89DRAFT_778428 MCEPGHESFELPLTDEEYREKLAQFKAMALEQGDQETLDYLANF VTPIPSPALWSRRPDFNELFGDTPLPSAALRGNLSAEEINALFGDFPAPSPMNATFDQ EMKNTEATSTTPAPAEEPTNAPESSTSGAEPGDHPSKGRFKPALTIKVGKDGNFVTEP IPGVYTNQPLTSDRDFFADRSNDVLSPNEIQKRLNLLYAMLPSTDTPRTAAFKEQELL KQVQNFGKREATSRGNGGSGAGGIPQIDGPISPPEKQKKNKQKDEESRGRGKTPVEDS TNGNGRFQMIGNLPFPCKPSPPTVNKSEIGTSATVSEAKTTSTTTVQARGGAATPVNT ITAAQQPVTPPQRAGPSAQSALYKSPYGSPPSGGNYRIQTTTRDVNDRVVTISQLRGG QQPGGVLAIANMDGSQSGGPPPVYVHAGNRPQQGGGATPSPEMGSYPDPQQQGPVNPY PNIGRPSPQQRRVMSSPSMNGYPSPQQGRVMAAPNRNGYPGTQMFGAPQVSGNALYGA PQVSGHAWYGAGQGGPVLPPQLGYPQPTLGDPFNASGSFQQIGGATLPTAGQYQGTDS DEETRRKNTEEARAFLRNRRKQLGQPEPVPYDASASASRAEEYVAMVKELGIEPGSDQ AKAMVNTMKGWVAEKVYIDPKKLKSAAKMMDELNNSPSHLRARADCLIFQQLVRDGKK AEADQHLEDMVAKLHGPDAMAIYMEHARMGTLARQQLGPDYQHAEDVMFPFIPTKGFC PVDPNDKSEEAEAARQAWHEDWKENWSKIEAQELVMRKAAFAYSQATEIHSDPRDIHI PKAPDMPVHLRGNFPDPESKGKSAKGKRKSSVDSSQGGSPVKKARAPSRKRVVSAAVT PAPAPAAPAPVGLEGSIMQLTNAVEHMSARLMIMEGEVALMKANK LY89DRAFT_694487 MDFKALPKIELHAHLSGSISRQCLHEVWVQKKKRGETELEDPLI VMPEGKFDYNLDTFFPLFSKYIYGLCNDRDSLIYTTNSVLQDFASDGVVYLELRTTPR AIPSHMTKEDYVSTILSCISASNATSTLKTTLILSIDRRNDLPTAQEAITLATKHRSH GIVGIDLCGDPTSGTVSLFAPAFASARSAGLKTTIHFAEAPQTTTPDELWTMLSYQPD RIGHVINVPEDVKSEIRRRKIGLELCLSCNVHARMITGSFGDHHFGEWWDGGRGECVV ALSTDDVGVFGSSLSNEYSLIAQHFGLGRQEICELARGAIDVIFGCEEEKERLRGLMW KE LY89DRAFT_394314 MRLRRGVRQTEDSSPRLVTVSLQTAVEDRRRREKRSQWHRRCSS VVVDEGQLKESCCDGRWSCAGWMELDWIGEDRGVEAELAASVKWSLAALGWAGLVWST AGWVYFCVRCGTMQCRQYNLLVGGEKNVGCYEYDDEKWEMCFVILSGLEFLHTHILRY GSCRQRAINDEAVSVYGSPNSLPWLPPLLLDCDRLKPRAGERQNGLKMVQ LY89DRAFT_394283 MSNEVSPDQMQARIQQARREAENLKDRIKRKKDELADGTLSGIA RSQQEALPKNQMMKTRKTLKGHLAKIYAMHWSTDRRHLVSASQDGKLIIWDAYTTNKV HAIPLRSSWVMTCAYAPSGNYVACGGLDNICSIYNLNSNRDGPTRVARELSGHSGYLS CCRFINDRSILTSSGDMTCMKWDVETGSKVTEFADHLGDVMSISINPTNQNTFVSGAC DAFAKLWDIRAGKAVQTFAGHESDINAIQFFPDGHSFVTGSDDATCRLFDIRADRELN QYGSEAILCGITSVATSVSGRLLFAGYDDFECKVWDITRGEKVGSLVGHDNRVSCLGV SNDGMSLCTGSWDSLLKIWAM LY89DRAFT_394368 MKPLHAFAKQVFVAGLWIETQREDPVGWLRDLNSVLNNSSFPSI FVRMGGSYCIACCSLFLPGRLLLRLFAMISYRDIWFQAPTFVPSPPLPLFPFSPFSVE IFMSCVCLRIPEACTVPWVCVDKSTWAWHE LY89DRAFT_576816 MASKTAAQEEFDAIIDKASRTTTSNTHHPSDLTDYKSDHLPLDP EDRDEETLFRESKLDQTMRIPLLDPRGGPMHLPHRSFDSGRTTGVKGVIADARSFEEA RRNGSWSRERSREQQKSSSKRASTATFLKEDGEGSASEDEEFIERWRQQRRQELMSEG RDVRNRRTSPSVRRYGRFDEVDALGYLDAIEKVTRDTVVVVFVYDSECPVSQLISDAL TPLVPMNPSIHFVRVHYTDIEFDNAGVPAILAYKNQGDLFANLTYIIDQIPDDTLFDT RALENVLRKNGIL LY89DRAFT_638317 MQSTPSYDTQSRTLQYPLHADSSNGSSASPAAPQPQGQPPQHKR VYQACIPCRRRKVKCDLGSVDNPSDPPCVRCRRESKECFFSATRRKRKHDDGGTDSLD GYDQDDYMVRNGRKMAQGSPPAPGRQSSMGAPARAPVSYNESPTVPGPPLTPGGSIGR PQPLRRPNQGDNEHRNEEYGDGSNTQLDNPEAQEVMRQEVYGPHDALDLLYKATQGDH PGHKRERSNNNSQLSPVVGNNPSLHQSLPPPSRSSNQAFPDNFMRPPERRETAIDPAL VTEGIDRPERTQEQGYKDAIKAWSRFRFVRAGWFTPPEAIDYIDYYYTYLSPLTPISP PTFKDPGTHPTLLTEEPILTVTLLTISSRYKQMTGPGGHCRSHAIHEQLWTYLRGMIE RCLWGQEAFGGGFCGSGADAEAQTSSTAPWRGLRKGSLRTLGTIESLMMLTEWHPRAL HFPPAEATDELMLPSYEISDTYSTDDEAGARVPRGVGGKRIESWLEPAWRSDRMCWML LSTAMGLSYELGVFDNIEELLAAGGEMTRPEYEDEAYQQRARRIKRLLLIYVTQLAGR LGWTNMVPENLRNTDTVFAPRKRRASMEGKTPETNTSVSNAFSYIPDLELDDQIIHCW AGISNAMRIGNEKLFKSRQYTTKIIQDGSYVELLKEFQPLLNGWRKEFERFRLPPYMR HILSIEYEYVRIYVNSLSLQAVVERCTNNAGNTSNTAGATGSGAANGNVLSPETQNYY GKLPLARLGGFYKADQEYVREVVDGSRNLLRTVVEGLLPGDYLKHAPVRSYFRIISGA MFLLKTFALGASKADVEISIGLMDKAVDALRNCVVDDVHLGIRFADLLETLTSRLRSR FISAPTGPPPPLENVSRNRSPVMHQDPNAKRTKAEQADMIREWNAGTPNYMGNTDLNV SATPFDLNSGSFFPAPGTPYNQAPGSNYGDMQDVFGSAAGDWNANGNNEMWYLPPGTA FYQHINDQGVTQTAEGVNIGGMDLLDFMALDAPTFGDGSF LY89DRAFT_638320 MGKGTDKLYITHSEWSSSDAYSASAGSNVSQKAPNGANFKRLPF NFCAASLQPFKHPVCTAEGTIFDVEVISQWLEKHGTNPVTGKPLKDKELIKLNFARNG DTDAHDGGGGAGDGKGEMVDPVTFKVFTDNTHIVAIRHGSEANVFAWETVERLNIKPK MWLDLVDDREFGRSDIITLQDPQNIESRDLSQFKFLKDGETVLTKEQEEERKKGSVNI DALGRVGNKVLRAKEAVEKARREREAGGDINRSKAMIKTGQANSAPRPSMVQEKKMAY NAAQYTTGKAAASFTSTGLTPETSGERALLSDEEYMLRPKRVKIKGYARIETNLGSIN IELQTETAPRAVWNFVHLAKKGYYNGVKFHRNIRNFMIQGGDPTGTGKGGSSIWGKNF QDEFDGPLTHDARGVMSMANKGKNTNSSQFFITYKAAKHLDRKHTIFGRVVGGLDVLQ KLENAPVDDGNRPLDDIVMENVVVFVDPFEEFQKQKREKDDQEKQKEEIKKHGGTEDD KTTWTGKRIRNDGTVVQTDQSGGVGKYLKAAAADTTNNKHVEDDVLDSEPVKKKIKSG GFGNFDGW LY89DRAFT_681438 MLQQEFSDSPNEAVGEEGEKRKWVSDIRGQVEAILYHLEVAGRR EERHRKREERDDMLDEQRNRVDDWLESITVSGADPNSSG LY89DRAFT_729585 MEQDGDTPAMPLSTTVVSWQTALWTLLPLALNLWGQPSGRVLSL PSKHGSMLRSFPPLALMDSISLLVSLVYTCFFLHVGPCQSLALVFQERFSSPDQTMHY RQLLREGQRLRWLMFVCGVVQSSIKLNSMTGIFWTKACGASWVFSWLLIEILSFLAPG PTSDSPAEGTPSSSTATDDEWPSYSGNRYQLQLWQAYSKRIHSEYLGIAISIQFWISI SMLVHLIVPDMGFPNSLTTAMLRWIIIGYCPLVAVPRWYPKVTMKLGQVLSVGSFIDW WSGTSTVLLFCGLVQWILDHFEVFHNYTRPWQPLFVQYTFCFMSMIVITVMRSILRKV VRWLRSRRLGRYGWPTDINARQRVLDDIRTSTDESDGETLWLAWLLATNFAVWVLWYA YRYNSEGTNNPGWTMVFGK LY89DRAFT_576213 MSFTNVEAPATIEPQGIYLPSPDKTRSTDTPTNVKDRTIPLVNI PSAPNSQHVSVLEPSGRQSIERDITEVDRLETIWNPYKNRYRVLAACITLLGNGMNDA ATGTLIQSFERHYSINYGTLSIIFLCNAAGFLASAFVASTLTKSLGRAKTLMIAETLL VVGYIIVVVTPPFAAVCVAFFILGAGMSLILAIGQVYCANLANNTVITGLYQGAYGIG GIIAPLIATSIVSRGYLWSRFYLILLGLAVFNFFFASWAFWKYETKSDPILPRQVNEP RATMSQRTNWGSIKALLTHRTTILGALFIFAYQGAEVAISGWVISFLIQFRNGDPAKV GYVTSGFWAGIALSRFTLSFLAHRIGERRSVYAAMAAAAAFEFIIWFVYSIPGDSVAV AFSGLVLGVVSPAAIHIFQRLIPNEMHIASLSLIGSIGTSGGAIAPFMVGIIAQKVET YVLHPICIGLFVFMALSWWALPETESKSE LY89DRAFT_546400 SSRPKPVAGPDDLLLLLVQDWARDKSVFPTEDDRLDISTIMLFQ SYTSGRSVEFVY LY89DRAFT_394568 MQQLPIEIRNIIWEYVNLRIVASAFTIVTREILRLAKSVNWLDR REILLNLGSQISLKMISVFGTSYIQAFEHGGITNTITSVVDRLSFVMALGGICAIKLL GTGWDSG LY89DRAFT_394580 MGLVIYYFGNGIVGLEAYFTRTSRLLGSRRGCPIYFPLSSKERI DFVWRRVYNKSPVNKAPALIIHTTLGRTCSFGSHVLPGLVQDDKYEWIPFDIRGYVTG FYFECDHLAYNPPDPGIYCMQYSTEPLSSRRGRWLVFIVCSS LY89DRAFT_715102 MAPRQANRNIQKVGRQRSVPKHETMASETSDVSIQVEIIVSKQT LVPKPACQSLTRPAHVVHCAYLEKAILSWVQCHIGSNASPAIVRTASATPIPIPASAP GDRELLFELVSSTKRPVPVGEVELLVCVKGFGVLEASEGVDVLEEEVVVPEEVVVVLE YEALEELCVEDVEGVDMEEEAAAWLRLHLTKLSV LY89DRAFT_768416 YVVDNPEAQYTVPKNKGREAMVYLTYIIGNYDRLPELLVFMHAE RYNDDPIYDGVPLLQNLQIPYLISQGYTNLRCVWTLGCPSELKLGERSQETSSDPNSA KTTESAYPTAFKALFPGEELPDIVGVACCTQFAVTRQQIHERPIEDYYRFRNWTMETD LEDGVSGRVLEYSWHIIFGKKAIHCPNAMECYCNVYGLCSLECKEEGRCGERWPYPPF ASLPSGWPGIGWDGEPRDAEKLAELRETAMTEL LY89DRAFT_768417 MADPKDLSLPPGVRDASRRHLYNPVIRDRVIFDRYGQETDGECT EARVTLAPGGGSPLHYHVSYAEHFECTTGTLGVNNNNDLLHLSPGEKAIVPLNTKHNF FNDTDSDVSFIVKLVPAHLGFEKSIYILYGLANDGECDETGVPKSFLHLCVISELGDL KWPGLFSWLGNGVTKSVAAYARWSGEEERLLKKYWY LY89DRAFT_548560 KKIAIITGSTRAVRIGPSITKFVHSVLEAHLSTSKTTNIELSIV DIATFNLPVFDEAVVPASVPFAARNISWSTEISKYSAYILITACYNQGPPGGIKNAID YLYNEIKGKPFLIISYGMEGGEKASESLAVSLKSMHTVVMETRPMLSLVRNESIEFGL PLDLRLAAGGTLGEESLRRWEGEKKEEVLKG LY89DRAFT_394604 MPNHDSIAHLQQTAPTVCEPCKRRKKSCNKALPACGRCSRLFVK CKYTLPLPETNNGSKSGPALPLPPLVQTHPSSSIDEQVSFQVSRLLSAITRKSEFYIS TYFRTFHQSLPVVDQESFYSQLDSLDGKQGEEGEDYFGVLLLAIFLVAGQGGEEVYGA VKSIWCLLTGAGRVGLGLVRMGCLVAGWEFVQGMLGEAWGTVGGTVRMAQVLGLHGTV RRVGGEGDGVEEKRCVWWCVVVLERIINQEFRGSGLPFASKGPEPDDYLPLVPLKDGV TYRVRDEIVLPEDVDLENLDLADRGCTRFGPFAAVVQMTYLTDLTTKHILDESKSPEE RVADAAKLDIALQHFSGACIPPPGKAEGKYCGPYGLRTSAAYSLHLHELAMSTQSNDK EGILRATSALQSLTRIIIYATKASFEGVPMDLDGIAFWAHRICAVAALIHIQFGERNE AWEADLEVLKKYLRYYVPKYRLYANYLKEIEAAEKSAMIS LY89DRAFT_576254 MSEPITLSYYTSRGTVYYTPPSFVAFKSQTPTLPTPPCRPRQRR PHSIHITRLPAGFVPFDLRPSPPPPPPKNPSKFRLQLKKLASRENAKRVLGSVFFPSS SNSSTATSSAANSTRSASPDTPRSPRASLSVASSDPTTIFARRSVPGTSMGDATMSRP SLENALGGRQSPGSSETGSTMSNVSAGRPSISAGSLMNGKIGSDSEKPVCSGNGVSCS IILAEPVIYLTGLDHDGTTNHSHSNTSALLRGKLQLNVTKSVKIKAVTLKFTGRARTE WPEGIPPAKSDLFEEESLRTQVIPFFNSAYEDSDTGYGAMCNYALRDRSASSSVTNLA STLGPPSPSQQSSGFSLPGIGTRSSRSSTLLSTKDAKRLSLQSNQSRSFTKGDSPFGP TPQQKGYKVFHPGVYEYSFELPIDNNSPETTSLPLAHVKWQLECLVERAGTFKANLQG FKEIPVIRSPSEDSLELVEPISISRKWEDQLHYEIMISGKSFPLGSKIPIAFKLMPLA KVQVHKLKVYVSENIEYFASNKKVTRRDASRKILLVEKSAGKSLSKDYAGTEIRQKRG GEPSAETRARNRAMAEARRRNDARRRGIDPDPLPEATENLLGDLDLAEDLETIIGGTE IEMDVQLPTCEMMEKDRGKRLHHDCTWKNVDVHHWIKIVMRISRLDADDPTGKKRRHF EISIDSPISLLSCRATQANLALPEYSDLNTGRLNMQRICGCPNAAASNTASSLSNDLG NISNGIENAMPDLARPPQAHLSMNAAAGVQRPIHLIRAPSFNPPAFDAEDPPPPMPTP PPLYDQVVGTPSHDGLADYFARLGEFEDEHTDDEELNRTTTRGRVNVPNPRTPGGRIN RSMEIDRNFMFNPGTYNNAFGREPGAEASVPAI LY89DRAFT_715108 MADIEGSASPKRVPLNESADLSAPLRGCVICCTSVPDEKRTEIA QWTEQMGGVHRYDLTLDVTHLIVADYNTDKYRYVARERQDVQPMTIQWIESVRELWMN DKEIDFTALETEYRLPTFHTLRFSMTGCDDPQERLEIAEQVKANGATYEGDLTKQITH LISFRTEGAKYKAAKNWKLKIVSAEWLRDSIERGMILDERLYDPILPIETRGIGAWDK TKPKRTSLGKRSREGSAASLDGGRRKLRRTASTKLSSQSANIWGDIVGGGSIAQVSRS GVWDTAEDADLPRAQETRAREPIAPPNPSSMQSTIENPSMQGMFSGCRFYVYGFVASK EQILRGHLISNGGEVSDTIEALVDTEPRKDIKRLFRIVPHDLPGEKYPLLPDSQLDIE TITFWWVERCLHNKWFLEPSESIIGQPFPTFPILGFNEMTISSSAFTGIDLLHFKKAV ELIGAKYSEDTTPQSTVLVTKSVIALRHDKSECAQIWNVPIVTADWLWDCIKSGQRLP YAKYKLRLTKKRAESIPNVKERPSSRASTRPERSKSEILKPITASSSGHSSNTTRPPR NSGLDVTAFADDGASSNLTRSARQSGLDETAFADDEPDKATRPARTSELDTTAFQKEV PSVKDEQVSQVLSFGQTSSTEDIPIKAPPLSERSINSPTRTTSTASAPSEPPPPSRPS EEISNAISCLLAKSKSAAAPAHTDPSEGRRRGGNRILGRVTSNVSTASANRSRATSVD STASHGHPVQYPSYLAGKTANDHIDMLVNGDRQRLENLDSQPSSTQVQYDDPESTTAR EIVMARMNGEKIEPKRAGLKEKAATIGGAGARTTRRTARTPGFR LY89DRAFT_394738 MSQLEHGEQPASYSTSASLYQLRLFKLIVIAFLMSRMSTSSSSE HASSKARIEAVIPTIVNILEPFQASSASIGVMHNGKTLYTKGFGICDRDDSRIPDEKT MYHIVSCTKSLP LY89DRAFT_608889 MSATNGKADSHAPQPDNELDEKILALDISASAEETQAMATRMQQ RCKLLLEELEEFQAHLKQQKKEKRVEVRAFKTGLYAEMKLLNKLAVSDPNEPKTKHGL RSSNLSFFDTVWSIAKTRSSVVALGKRFFWQHEQNNGDTNGKVLTRGTRHRKDVATVD IVSQDGMEWIKVSSRTEKRIIWDLTRAGWAGDSSSDEDDEMQSLDDDDDEPGLVKQIE ALVKASRVTRIRYRHPTVRLILPKIKAVPDSKEVGNVLKQIRELGVDVQTSEEVSSEY PPISSVIHRMASDRFEFFSESLNIDCTILLAFASDLSHGRVEPEDWHNKAISRQIEVE NQDQLLPSNLWPACGNRKMVCTRAAAVRMQEIVDIIGTETEKRRATLLLDTSTDCQLS REDRIREFQKLSDYAVPLGWALPIAVVDIDIPEIMASLPPVAEKVLESLTSINKSVFL YGWSTGKTTISSNGAVAKDVESTIEAHRMDEETIGPDIWLSASSRSLVGKEKERRGAN SNGQSHAPLATLP LY89DRAFT_715111 MVSSQPPPPPPDPENQHLSLTSFHILLRSNMLPKPRSLTTHQET HTPSLFSPTRPPITTLLIGDSMFERFKTTGIHTRIAQSGSQTFNAGVGGDKVENVLYR LDLGLLDLLSKQDEKIKICIVMVGTNNLGKKTPLKVDKYRLLVQALLRISDRVLCCEI FKRKDIEYSVVEESNRMLREMIGDVNTNLKDERVRWLEAPPEIGMERLVDHVHLDEEG YGIWDKVLWERIEGERVGMES LY89DRAFT_394756 MTLTYRNDVSIAELVFYIPSLFVAIYLAITHGFRRNSGWIYLIL FCLARIIGPIMQLATIKNPDSVSLYTGSAILNSIGISPLEIATLGFLSRLLDSIHKSY NTFLHPRMLQLVQLIIVIGLILGIVGGIDAGNNFQSTGQYHPGTLNKAGTSLLIVSYV AIVIFTILIAFFVKHAEAGERRLFVAVAIALPFLLVRIVYSGLSTFSRNSRYNILTGN TTIFLCVALIEEAIIVFIFEVMGVTLKKQVKEQHVEAQAARQVDSSNSAQPMQPKKEH MALSIAKKTIIGRIVMAIIGSGKKEERNTEMQQPAR LY89DRAFT_778446 MVYCGKPSKGCSHCRQRKIRCDQGVPACSQCIKAQKECSGYRNM LDLAFRNESEHVIKKANAKSRKTPPRSKEASPIPKKDPRAAAVPGPATPVPSIIVSNV TSDTFPTLFSDDWQNTESATPSFPFCMQPTAQESGFNYFISNFVRLPNGPSHGHFSYV DHLYRTGALNDTLQVAITATGLASHATKTKSATLMARARREYALSLRNINAALISPTE RLKDCTLMAILVVAVFESIAGAKSLSLKEWTEHINGAATLVKIRGRNQLKDRWSRGLF IHATSHVAVSCMQRDAAMPPQLVELRAEALKLLPVDPGYQYLGTNDALTTFRNALKTG AIKDPEAVIARALTIDKDLVRCFIDVPHGWMYETVFTETDCEVVFDGSYDVYFDHWTA QLWNAMRANRIMLHETIRSQLIQGFTSTPPQFTVEYFQTRFQDSVKICTQMRDEIFRG VPQHLGFVTRKPFTSPYSSTTSSPPYMADMFSETEATRSQLPTTSFTDLLSDNTFDLP PPKETLVQDPTHPSIGGYFLLWPLYVSGITRVSNAEHRKFVANTLQYVGETIGIRAGS NLAAFMREHSMQPPPANESWRGKMGPVTASSISVDEVLIDEKPKRPLIEMLAREEMER EERLRAGRAEVEGRVL LY89DRAFT_778447 MAAKNTQLPKIASLTRISISPTNPEEVTQTRINSPESERGNNIL RSLSDEELARKAILSEVLDAELEVCDELRAQLVKVKAASESVSESETDNNLPESSEKV DMAYPALLVGILGEGMELVSQLRAELDEMKVSSKGIEAPANSPESETSNNTWDRFGDS EEAIQRAFARQFELASRGGKQSETHQSRTQDNALLPHEQILEANMRELEIASSQAKLE NYIRDLAEESSQAKLAKSLLEEEEEFWAETETLSESDGEEVDATSKISSEDIEDGSQG STSSSRTETGSITPQAQEDLMRDIIEEAPWTIVLTAEETETAARMGFLPTTPGGSESG EVEGVSDIHEESESAAEEVVTVVANDEDAKLEKLAIIESIISNNKDVENENGDLEIVL PDDPVCNSDFDGWTYDQFWGYQHEIALCLALAHLIEARNIAARQSSGEAVVIGRNFFV PESESYLEDMAELYYHFNEVCANMLDFCEENHFRFETKKKTSPGPRLPAGFRKADPES RSKLSRDEFSKLIQSGEHSPWKSLKIGKIVENAKRWYGEYIEDSDLHVSFIKHGCFER VGHYCRRGVCDTLDTSLIMVLSHLERAKENATKGLEELSEDDPKIHDATGHLQSLTNE VSFAEVLLHQSIEYAKFNEQFNDERIQKMADAVEAGDGIQHLLPSRDSPSHWKDYTHK YTWKAYDMRKGYTVQRFFYALHIVMASEEECDESFLPPNFRQLRGYVKLQRNASTVFA KESIAARQSELLMRAGWGPPFLLEEVHNWIIVERELYVPDTLCHHTPFANRPRTHGLG IQVIDYLSCHLSWYARTKKEFGVKPTDPTWAWQINLATEPYDNVDPEWRHDALDPCTQ MYLQYQKEAAREDGLDDYMPPGFRNARSDEERKALTEKWIEEAIQPTADYFFSKQGWN IPPKGVSSVRADAVRKKLLSCSAVRQHTSATKRQKEQLAQLPARLISAHSHYQNCKVA EYVQKEEAKLNPLIDHGLAFLLTAEGSYGTRGFRNSSSPAIRGAARKAVEEKDGLALV PFEGSLLCDCKDEEQHKLQLIDEVARAMCEKLNKIDDQKAGENNYQGATAKNGE LY89DRAFT_638343 MSSTPMQIDSPMGNGITGRANGVQATAMLSEMIPIFRPTKHWRR DTPIPGSRNTAPTTILSLDFDDEGGLLLTSESDSSMQIYNVKEGKQHKTCLSHKYGVA KAIFGHATGCIIHSSTKLNNTIRYLSTHDNSYLRYFEGHTEAVTCLALHPGQDTFLSC SYDNTLRIWDSATKNVAGRLDLHGAYLTAWDPSGYVFAVASPTAAAIVLYDFRNFDKA PFASFDMLPYSGEMGEGMSRGWNKLEFSNDGKHLLLGTTGNSHFLLDAFDGHVKASLR KDRGSVRRLGPGEHNPENMDPMSSDYLFPSTGDCCFSPDGRYVLSGTRQRNVLVWETL GGSTETSRSVVPAHELDYAGDTALMAFNPRYNMFATADKEVVFWLPDQSLA LY89DRAFT_681451 MSTTLGSFIAGGIAACGAVSVTHPAETVKIRMQLQGELQEKGLQ PRLYRGTLHGLTTIVKNEGIGGLYRGIGAAYIYQMILNGCRLGFYEPIRQTLTGAIYT DAKTQSLGINVFAGATSGILGAAAGSPFFLVKTRLQSFSPFAPVGTQHQYKNAVDGMR QIYKAEGTTGLYRGVGAAMVRTGFGSSVQLPTYFFAKRRLVRHLGMEEGPALHLASST ASGFVVCCVMHPPDTIMSRMYNQDGNLYKGIFDCLAKTVKTEGILAIYKGFLPHLARI LPHTILTLSLAEQTNKLMRKFEDKVLPASVKDNI LY89DRAFT_576519 MADPGIPVASNGTHIICKRCNVETATQLIRSEKVCSKCFCQYVT TKAIKRMETYRMRGSHKVVARKLLLPLSFGPCSTSLLHILDGHLQGQFDRMNRAAYEL FVVHVDQYVDEKDRKSSETLLEKYKTRFPRHTYISIGLEEALNLESIDWKSLNLPNLS EEDAVKPATERLQKMIGSMPSPTSRTDILTTLLNRLLVDVGKKNECEGMLFGESTTRL AEKTLTETAKGRGFSLPWQVSDGNSPYGIAFNYPLRDLLKKELVTFSSLATPSLEDLI ILQESSANISTSSKDTTIDDLMAQYFESVEKDYPSIVANVMKQRIAVYVVSRLKREQM ASTDGEETKTRYHGWQEQSTKIVFYVMDAPDLSTAEG LY89DRAFT_694501 MRSWLHGLLLLGLSAMTANAMEMRMQDQTNDNRQICAGMYSRNT WGGAVEPHILVKFIKETVEDDSDPIASMVIFEWKDYDLIGVLPTPDSMQKEYICDPET INNEYCNVNQTGEFILAPNATDLSVNLIFTQAVHLKDPGLPINYGIKKTGYYCVGTTA YSPSDVEYTATVEFRNAYGELPAAQIAKLPFYGGITIVYAVIGAFWAFLYVQHRHDIL PVQNYITAIIIFLIVEMLMTWGFYDYMNRNGSNLGAKVLMIVVAILNAGRNSFSFFLL LIVCMGYGVVKPTLGKTMIYVRWLAGAHFVFGLIYSIASLTVTPENAGPLVLFVILPL AGTLTAFYVWTLNSLNATIKDLRERKQTVKESMYRYLWWSILASIAVIFGFFFFNSFT FASASDPNFVPFHWKTRWFILDGWLNLVYLADVVFIAYLWRPTANNRRFAMSDELAQD DEGFEIADFGADDDEDDDDLENGTANRGQEGARYDPPPASNTAKEIPRESLDGETIFA VGDENDRWSDDGSDEERGKLVGKAGKDT LY89DRAFT_729603 MEAESEGGLPTYVVKKEHQEDPDWKGKHPLLSPNVPPPQPAKSV KLNVPGYDGYTLTTPREGDAWSMQVLLGFYLNNGPINIKKPYNKENIHSWFEDTIYFT NFILRLNNSKERHASLFDELNPITASIPFQIIRHDDKLIGHCALSPARGPISGHVTSD KEYAKLSFLLSPDHRHRGVMFTALCTLFTIAAEEFDINMVHAVSHWDNESTTRLLQRL ADCNILRWGATTKYEVEDKLITVEHRSKDVELKRGISWLFRIGKSASILDKDTVIDAA RKKGQKDKEPSPEFLSLGGMSKNTQPNFDSIARAAAGLPSITPRPTIETDDLTPQHWF HPNNVINDPSFRKFFDPVQKTNPEPIVLEEKLRPKKEG LY89DRAFT_704811 MDSSYLSQQVSTIIGQLHGLFDEIGVPNHERETREAELFAALSE TLQNQVRRVTTEKNEMTEEAQRIITTIRQMEASLDDRKSRHEYEAEDEDFKITYPLAR CLQGLKEKHSQIAKLHKERFEQVKKLVQALESYSSHLEPSFIKISLPPTSPNASIPPT FDLSPTYVTALDDEFTRVYEEYTRRVATVKALSENIIQLWAELGTPQAQTDGTIVKYY RDAPEQLGLHEEDVARLRAKRDKLSDEKKSREKRLKDLKSTVEALWEKLGVEERERKQ FLNGNRGCGVRQINEFEDELSRLNELKRQNLHLFVEDARYKLQELWDSLYFSEEEMLE FTPAFSDVYSDALLEAHEQEIGRLEALKEQRAPTLALIDKHRSLVKDRDDLQASSQDA SRLLGRGQKGEKRDPTRLLREEKMRKRIAKDLPKVAVELRKVLESWEDEYGRPFLVHG ERYLDELEASEAKPVPGPRSKTPAGPPPTATKAPKSAPPARSNSVVKAPPPRTGAKTP TAMGTGTLRRNALPASVDKPAGKSPSRIPARAPLSNLNHGGNSPERRPQNEATIRGKM GPPPSRAPPPRMRDLFVPPPVATPITHFRSESVASSGSVRHVSPEDNFYDGTHSQRSR PQSYHELKSSMRSNERDMHSYPNAPPSRQISNTSSHTTVSGSENWQTIEDDSEPERDA TEAYYVKLRATHGKRYTPEDYPQSEPIKKQRGIPPYDARAGFTETDGVRIASGSEANW TDEDAF LY89DRAFT_638356 MDTAYKTWELDNNVTLVDPQRDALYTYDTAEQKAIGDAKPWRND PHHFKNVRISAVALLKMVMHARSGGSIEVMGLMQGKIAGDTFIVTDAFRLPVEGTETR VNAQDEANEYMVSYLQACRDQGKLENAVGWYHSHPGYGCWLSGIDVSTQATQQTFSDP FLAVVIDPDRTISAGKVEIGAFRTYPENYKPADSGSGDGYQTIPLAKIEDFGAHSSRY YSLEVSHYKSTLDTHLLELLWNKYWVQTLSQSPLFTNREYSSKQMLDLSSKIRQVETG IQRASKAMQGMGASKAMDPQLDKVVKDSNKIAGEEATGLMAGEVKAKLFNGLGETAKP AATPVES LY89DRAFT_394895 MQLLLATARRRVASGWICHSCRGIKEATRAAKQLRQNKPARTRF APSPTGYLHLGSLRTALFNYLVAKATGGQFLLRIEDTDQKRTVPDAETRLFEDLEWAG IEWDEGPKIGGPHGPYKQSARTALYREHAELLLQNGSAYRCFCTPERLHELGNYRSKL GLPVDYDRACAHIHKAESDDRAAKGEAHVVRLKVPELYPVFNDLVYGLVRQASFLTTK RRVQGSALGSFDDPILLKSDGFPTYHLANVVDDHHMKITHVIRGSEWMSSTPKHLAMY QAFGWEPPAFAHVGLLLDKDRNKLSKRHGAIDISTWRDKGVFPEALNNFVALLGWSHN EKQDVMTMEQLIENASMKYTRGDSVVSFEKLWFLQRKHAALYASMPPRLPMNPRHSLT ELAVQPMVKLLDHRSSFEDLSLYTTTPQGEARENYVRSILLADAPNYTTPTEFIARNI YFFVRPSAKKLREKIPSLKLHKVPPSINYPVSTETLALFKNVSLIPDADWNGQSIKNM STFVVDRGVTMSLASSKTGKLDERMRPLVQKAWSTLVHGYLRWAIFGGNQGPDGGETM RILGKVETVRRLEKAEKVVLRAREIEERDKGGLGNDGVPIMAKLDGMEQSESKEKETV KQQQVYLDDWSDLDDDSFI LY89DRAFT_638358 MASNGATEEIDLYEILGVSKSSSKNEIKKAYHKAALQHHPDKVP EDQREESEHKFKEVSKAYEILFDEEKRGLYDTHGMAAFDPSKGGPGMGGGVDLDDILA QMFGMGGGMPPGFGGAGGPQRPRRGRDEEQTYQVTLEDLYKGKTVKFASTKNVICSHC KGSGGKEKAKPQTCERCKGNGVTVGLRSVGPGMVTQERMICDTCTGTGKIFKEKDKCK KCKGKRTTSEKKVLEIYIPRGGREGERIVLEGEADQVPDQTPGDIVFTLVEEDHETFQ RAGDDLSAELNITLVEALTGFSRVVLKHLDGRGIHIDHPQGKVLEPGQVLRVEGEGMP LKKSDQKGDLFLIVKIDFPESNWTADPAVFEKLKEILPKPEPPIEATEVDEVEYDSEA DIEDFGANSGDPRAGGGWEDEDDEEGGPAQCAQQ LY89DRAFT_681458 MVSAKKHVPIVKKRVKRFHRHQSDTYKCLDASWRKPKGIDNRVR RRFKGQMVMPSIGFGSNRKTRHMMPSGHKAFLVNNVRDVDLLLMHNKTFAAEISHAVS SRKRIEIITRAKELGVKVTNPKARITTEV LY89DRAFT_681460 MSSTREVHSVAYILKNDGVLPHQNSPFGRKSRNESSHSLTNFGF HKHKYAIQGIEKVDLNGYKFLRQPLRIEYCQITKRWMFSSEIRTRKVIVPMCRDLNCM AKDMSLCGKTVDMELFCLDDAESWVVIGKGGRGHLSRRWEKHLGENGRLYCVDEKTEC MRRQTVEEVKASRLRLEREKGKFGFFEEDASYMAVVRYLEKE LY89DRAFT_554996 IAAEAQRQIEDLQAQVRLLTQKATAAVDKWADYEDEIQQLKAQA QARNSNEAARQEEERAGSPSRFSYLPVQNRLSSFLNSRKSTPNLKSPPPPPTPSATDL AAALTREQALRASAETKLDNASNELEELSAQLFEQANEMVATERKARAKLEERVEVLE RRDGEKRKRLERLEGAVARIER LY89DRAFT_704815 MSHSLPPNVHVSTHPCLQAKLSQLRASTTNARETKALVHEISFI VGCEATAAGLSAVKGPAAKTALGYEYTTTTISPSTISLVPILRSGLGMLDAIQTLLPH AVPVHHLGLFREPVTLEPVEYYNNLPYHIPAPSSPSNSHNTSASELAILLDPVIATGG TSAAAIQTLKEWGVKRVIVIAVLGAVPGMLRAAKEWEEGVEIWVAGVDEDCNERGMIR PGLGDVGDRLFLTIGK LY89DRAFT_681462 MDNVQRDHNILSQQRKPSHDAGYDVPDDYANLDEVAAPAPIQNP DDSPIHRRRTLEGRSHHSGDTSASRGARRPSFGRSISHSSRRSRRVSDGGTSYDFPDN YAHLDELATPSPVENPDEARVYLHKSLEEAKDEEQTYERDQENRRRASLAGGVQPQEE QKEEEKAAHKVSRFATQLYVISYLILFSFLGTLARLGLQAITFYPGAPVVFSELWANF GGSLLMGFLSEDRMLFKEEWGTPTYKQQLEKAKQQSQDEESGSSSDQAIDLAAAKKAH AATKKTIPLYIGLATGFCGCFTSFSSFIRDAYLAMSNELPTPLNHPADYGVGESTTST VSRNGGYSFMALLAVIITTVSLSMSALVIGAHLAILLEPWTPSLPFTFCRKVLDRVAV VLAWGCWLGAIFLAIWPPDRHDGHPETWRGRAVFALVFAPLGCLGRFYASIYLNSKIS SFPLGTFVVNIFGTAIIGMTYDLQHVPFGGVVGCQVLQGIEDGFCGCLTTVSTWVSEL NMLRRRNAYRYGAASVVVAFCFLVVIMGSVQWTRGFSKLQCVH LY89DRAFT_665277 MDTYINNRSRLTAIAAAPKKTVSKADKKTCTKDEPVIRKLGASA DFSITISGITRNEMRERGKYYTKSMKLPGNIDLYNTPTYSVVKDLTQNMSVQARKAEI MDSKYWEIIVDVQSLSSGPSDPSILHKVQELTPLLNEIQKLIVKITLPSNFITTKADY MNSPTRRFLNRLVRQLRACRSMKWMRIVLVLPKGHPGFESNSPTPAFPAYYVIPFYAL RFRSWRIQYQGLGQHTKFLNDFHVSEVDDRNNDFWAPSA LY89DRAFT_665278 MNSTSSSSHGAEATNRQELVRKRGYDAEITVHIGGIQRDEMKQI GLYWPLRKQMSHNVDIFNRHDYRIEEDLSKAVPTKRKANAGNHSICAQYLTEKYIEVF IDLQSLH LY89DRAFT_608925 MSPNEGRIRSPFRALVQDFTPIWFTWCMNAGVIGILLHQLPYQF SGLPVLSTIAFVVDLVLFIIFSAIMITRFIWFGRQAYYEITDNINDLALGACWPIAWQ TLSALTCLIVSNASWGGHAFTLVGYAMWWIGTGWMVAYLLFTFLTLIRRRSVKDRKLP LTIVIPAVGVATVATTGGLIVSFADDISARLAVPIIIVSFMIVGIGLFMSIFLYTYLL HSLFVDGWPPAENIASVWVFLGPMGQPAAAIQLLSSAANKYGRFAGYNRGTFLTESAA EPLSVACILLALWLTGMGCVWALMAFAAMAEKAWQRQLMWTLSWNSIIFPTGTLTTSF LLFSIAMDSPAFRAVTTALVVLMVMCFFLNVGFAIRRVCQGRLLIVRRDPRQTDFENG HVD LY89DRAFT_715128 MSSVFLRNVALAKPVTVRKPGANAEVTITPTGIERTELRQIVTS YYPSKQMKTLDDFDPSSYSIVDELLNKMSPQVRREFLKTKSFEIIATEQCLNFGNDGM DLFQQLARLAPIIGRIESLIVRVQVPVVGAIRKKADYKASSTRAFLEELAYKVGLFKS LKRMDVLLEMPKGSDLEGTLPFSNVCAFYRMRFRKWKLKLHVDGKPNLLVDDVRLAQM DAMNLEFLREEEEEVKEERKRRADEKRMNNVARAPINYTLVAPKGGMKRRGR LY89DRAFT_665281 MSPPLNNTSKFPNTSYITNEKIHRKAGANAEVSISIDGIVRNEV VDYTQVYSNGRTLPFRSARYDSSSYEFVTDLFKNMDRDTREEYLKNHCFELVVDERSF RHGHDERDFFEQLFRMKPTLSLIQRLKVKIIVPLGGCPILSDQNYAESPTRAFLIGVA NEINYFHSLKRLDVVLALSKNFENYEFWDLMVYALPFCTLKNFPPWRLMHQDPGMFPP EKVRGSYVKRVNEKAAQLEKRKRQAVKAKLDAEDAIANASRIIPSAFHKETKENKEVT KKVTWVGLL LY89DRAFT_729618 MVDQNQRPGKVATNNKVAAESSSAAPASRSAHRAPLSQSAFNPG SPTKVDITYVRLPGASADITLSATGATLNKYKSTKSTYSNGLATYVKAYPFQNQGFDF VAHFMKAMSPADRVDFLAANWVEVVVELRAYFANASNVVNQLAKLGPEFTDHIKNLDI KLKLPPLAELSKMTLALLPASAGYKLIEEVVQEIFQFPAIGRMNVVLCIPHSIQDGIH DQHLSHALPFFPMSFTGWNVDIQAQGMYKPKLILREDLNSLNGLRAIEVKRKEDEELR VLNAVFVRHSIYEPETLT LY89DRAFT_681464 MVAKDRHANLPFGKKNPTTRASKKSLVIVPTDLSTGPPSTVGIL PKVLSTTVNFQRTPGARADISISATEVTRNEMKVVIQTFDDGQVETARSYPYNSTMYD LADDVSNRMPYSAKVEYLKDRWVEMQVKIPEISSSVSESDSAVLEQLSSLGPDFFKLV SNLVVRLVLPALSNLAVMTPSNIVSTPGYALVERIVDEVEKFVALEKLHVVLELPHTF TRGLHELQMVYSLPFHYLSFTDWGLRYTVPSMTRSKVVSDDDLLQLRRLDQSLFDSP LY89DRAFT_665284 MAVFPLTSGEGRKCRISNDLGSSGLLPDASTPERGPGSAAIGLR KTPLCLDSLLSDDGRTACASETLKFGTQIRTPNFWIVWHFSIVGRIENRHGSQSTDGD NIFQGLSGFWVESVKQRGFVHVFGVQPPATLTPRLIISCKKNLNRGYFAGKEHPGSSV IELRETIRSEVGMASTLGRNL LY89DRAFT_548535 TSPVVIPMRGHNSHEKQKSQVRKGPQSSRRDSAKADRRARIHSP DALPPSVAALLAITAIPPQRHNGGRTRSGSGQKLTVDAIMRHTSVSEKDLGTSLGKSP LDFLLSPPEDDDELAGSENGQESVMSTRTLSSDSMPSLDDESIDEHSPSLGSLVTPTS MPRGKRSTPSRRIRTLSSPLGTSEDHPLHAPEIDVDQLDFRVFQKSPSKEEARSDVDV EVATPPGKSAFKSNLTASLRALRNAAKSFQTLTTPMIPPDDFLTRSIITIDPQIPFTD ERMPPRLEDTPTPALRRYLNPTTNAPIEAHVPSSLAQTMSSTQCTASIQMQTYNVSRS KKGTSPSVISRRTSTQEPPIEVATGPLARQRDMRENSDFIRVAVMEMLMRKRGKLDDQ KPGRAKWALPARQPPTKPYERDANGVPVRWIGVT LY89DRAFT_638376 MASQREPDDEAIQDDELQYGHGPMTMEELDDKYGGDEDTPRPRN HSKTPPFSELLVSLFNPLNDNKKRPTGPKVVAKKGPGSKTVSPHEQRRNIIQQFILRW RSQVGNDFYPALRLILPEKDRDRPMYGLKEKAIGKLIVKMLKINANGDDAQSLLNWKL PGQTTASRMAGDFAGRCFEVLSKRAMRQDFGDMRIAEVNELLDRLSAVSKEEDQLPIF SQFYNRMNADELLWLIRIILRQMKVGASEKTILEMWHPDGEILFNVSSSLRRVCWDLW DPSIRLDDDRRGIELMSCFQPQLAQFSQHSIQKMIERMGCTSDNPEFWIEEKLDGERM QLHMKPDPNVKGGRKFAFWSRKGKDYTYLYGSSLEDQNSSLTRFLKDAFDPGVESIIL DGEMITWDPVTRSMVGFGTLKTAAISEQRNPYGETGHRPLFRIFDCLYINDKDITGFM LQDRREALERVVKNVDMRLEIHKYQVAATAAEVETELRKVIEEASEGLVLKNPRSVYR LNSRNDDWMKVKPEYMTEFGESLDCVVIGGYYGSGHRGGMLSSFLCGLRVDDNAIKAG ADPMKCLSFFKVGGGFRAEDYAKVHHKTEGKWRKWDRNNPPRDLIVLGGNDNQQFERP DEWIKPCDSVVLEVKAASVAASDHYGYQYSLRFPRFKKLRDDKSWKEALSTYEFVELK SRVEEESKNKALKIDTSRRASKRLKKEVLIAGNNTKIRTPYAGPQTKAFEGLSFWVMT GKEDQPKKTKAEMEQIIKSNGGKIVQSSTATDSVICIGDKRVVKVASLIKSGETNIVK PAWVLDALKQVEIDGAERQRFLIPSEPAHMYHMLEEVREHIEGAVDDYGDSYARDVNV DDLRRLMEDMIHPKDSEFSATEFLSELEERGKGFGEVPGSMFRRCVARFVPANDETSG IDLEIAKNQFLFAGGTIAGDDEDDSITHYIVTSTDSKLVRDLRKSISRGSLRIPRIVS LSWLSDSWKEKTVLDEEQYAIVV LY89DRAFT_715133 MQEYTSSRIPMLLVDIAVGCFVALLVMLLSAIIFIIIPFRKFYG LPKPRLDFDESKNLLPIEEKRRDEPAFSTLALFRSSDLSLDKAKEQYDANPVTYAREL WRISIQLQRVLLDKNEKGLSCLLQKQSHDAKRRNRASEGATGLWIRISLFESLEWAIA DFRIKRCEKAMAVANRKASAGASTTNTNRLAVSMDGDVELGTIPPTTTNTPVRIDWIS EYQTFALNVNLFCDTVSVHAIDHLSPAWDTLTDLYNSAAKRRPDISLVKENQELRGQV QSSKRAIAALQIRRAIDKLTFELPKTAHYSYGVPKKIPKKVAAGYVPADDGAGRVTQR WGKLWGDIWDAAETDEKSLFYKSRQDAKGQHEKQNLKKKGEELFSDMSAEIHGYNKRD QDYAQFDPATNAIAKILLKSLVVDEDSGEVDWKETIRKYPVVGREKREDGSSL LY89DRAFT_681467 MPPNQPPPQEYARVLLIIILLFFLYTSPDQNVPPGFPSPHDYAA ERAARARHSLDILNSSRWQDFSPKGPTTPRGEASRYLNLTGFREEDDYAWDRLSAFQK RSREFSVEARGEGDGTGNGLTGEVFENVTGVVVGKWVRYTDDLNGEQRQRNLNLTEIA PGIDWAFRDEEYWTRNITGNEGRLQIRIDERNVEGMSFDVMDKDVGSAEKRPSSWYTD YAREVEASLIVQDETSSGDGWDMKVHGVHWPRNGVMIMTTTSEKFAGIFGLPHLTPDL DHYITSQKVLNKTLEDVVEGMERITWGDNGNPWTATPNNQGDATMPAPHCEFVLYAQV YPVDSDHAIDAGGLTMTTVVHDMEQELRFPNGAPIPHIPDMQVSAVIFSPDCGFVLES KGPPSFPQTAGKHLTGKKQEVWLHDIQYWLHIFALVIFGHILLMKIQSKEASTPSTVG RVSLYTIGMMLMADALLFSSMSLLSATVTTFFPSAILTSFAALMSVALGVRFISVVYN VQEPERLERQRVRQAAEANNAAARVAPNPTPAPVPIITAAGADVPPVQAPVQANLNLA PIIIPSDQDIDAEIAENAAAPAPTLPTTNAPAGMQVPPRNNSFGAVYMKFVLTLTVIL FTSLSAMSWPVGIRNVYIHILSFVYLSFWIPQIRRNIMRNCRKALLWKFIIGQSVLRL LPFAYFYLREDNVMFSNTDWKAFSVLAGWVWIQIWILVAQEVLGPRWGLPKGWTEEGW DYHPILREDNVEAGGLPIGLVQIPSSPTLDRINTGDEQGSRKKKDGSVRSVDCAICMQ VLEVPVVAAGEDATGSGAAGGVAGMLARRQYMVTPCRHVFHSACLEGWMRFRLQCPIC RENLPPL LY89DRAFT_729624 MASNNEASSSPTNETDNRTSTNSLSAESSAPAELSAVVDELLNT LSNKFAGVSSEIFAKMDEMSRRLDNLETQLQSGGQNDGRSSPTKPQ LY89DRAFT_704819 MVLLKSLLAFTGLATLSLAKGPGGPPPTYDNSAVLDLIPDNFDK IAISGKPALVEFFAPWCGHCKNLAPVYEELAQNFAFAKDKISIAKVNADAEKSLGKRF GIQGFPTIKYFDGKSDKPEDYSGGRDLESLTEFISKKTGVKPRTKKAAPSSVEMLSDK TFKEQIGGDKDVLVAFTAPWCGHCKSLAPIWEKVAADFVNEPNVLVAKVDAEAENSKA TAKDQGVSSYPTIKFFPKGATEPVAYEGGRTEGDFVTYLNEKAGTHRVIGGGLDATAG TIEALDALVSKFTGGSSISEVAAEATKAAADFKEQAQYKYAEYYVKVFDKMSKSDGYA AKELARLDNILKKGGLAPAKLDEFTSKTNILRRFVDKVTGGKSEL LY89DRAFT_694512 MASVSRLLAVAAICVSLLSSFSTAHPGEHHDKIEILKEMRERGI EAAKQQESWSKCSNSEESQARQERALQRRAAIVQQLREERGLTDTPFITRRNMAQFKQ WETKSHDKTTGSRLNSTTPEATVFGTNLSCILAPDNANGPYYVLGEHIRSNVVEGQVG VPMHLELQFIDVTTCKPLQPLVVDIWSCNATGAYSGVSAAGEGGLQTTFLRGVQQTDS DGVVQFDTIFPGHYSGRATHEHILTHTGATILPNGTFSGGHISHLSQLFFDTALINAV EATAPYNTNKIPHTTNDADGFTGYSATAAYDPFPNYIMLGNKLSHGLFVWAELGVQPT NDQGVYGTNAAYLAADGGHDNPGFNFFAIAFPPPTAG LY89DRAFT_395176 MAPSMPSLMRVLCALGSVLLLFATLVSADAVLDLQTKGKPALLA QLAKSTTCTKDKIMVRKEWGDMSKPDRKAYIKSVLCLMNAPSKLPAGKFPGAKSRYED FLVTHMQQTLSIHSTGNFLSWHRYFTWSYEQALRNECGYNGTQPYWDWGRWAADPESS PIFDGSDTSMSGNGKKITHAATFISPAQNGGGCVETGPFANMTVRLGPVSPMADPAPP KNPQADGFGLNARCLRRDLGPYLTKNYATTAIIASLITSYKNVGDFQTVMQGGSGVHS AAHFTIGSDPGGDFYTSPGDPAFYLLHAQIDRVWTIWQMQDLPNRMQVMSGGTQMYGG GATQKLTDSCDISNVASKVWQLKDLMSTVDGPFCYVYDSL LY89DRAFT_704821 MHIPTLLTLLAIPLALSSPLDPRDPQTQPTLKWTTYLQPALPVY DPPNNYSFSPTTLTLISGSTEAILIDTPLSNVSALTVSSWLTSQLSPNNLTLKYIYIT HGHGDHFFGAPVILSHFPSATLIATAGTIAHALEQLEPSQFDTFWGPLFPGQIAPQTQ TWTALPENGLFDLDGFPMQAVEVGQTDTYNSTVLHVPSLNMVVAGDAVYGSCFQYLQE TSTPALRAEWLKALVKIEGLEPEIVIPAHRQEWDGFGVENLARTREYLRGWEVEVLDT VAVGRGKEELKRRVGSVFPERVGDYIFEISAEAAFGNGTA LY89DRAFT_638392 MKASFVSTVLAFASAVSANQFVHLGRLIPPFEEADEFPVSTSSA ANVTGSAFFTQVLDHDDPSKGTFQQKFWWNAEYWAGPGSPVVFFTPGEVAAAAYGGYL TNITITGLFAQEIKGAVVMVEHRYWGESSPYDDLTTENLQQLTLKNAIADFVNFAKTA DLPFDTDHSSNADSAPWVFSGGSYSGALSAWTESTSSGTFWAYHASSAPVEAISDYWQ YFYPVQEGMPANCSKDASLVIDYMDGVLMHGTADEKTALKTMFGLESVEHDDDFMAVL ENGPWLWQSNSFYSNYSGFYQFCDAIENVVAGAAVTPDANGVGLEKALAGYANWVNTT IIPGYCQAYGYTELLSTECMNTYDENNLQFTNRTVGNAIDRQWNWMLCNEPFDYWQDG APQNRPTIVSRLIDATYWQRQCSLFFPTENGYTYGSNISPNNNVHQVNKHTQGWRLED TTRLIWTNGQFDPWRTSGVSSDFRPGGPLASTTQHPLQIIPGGFHCSDLRLKNGQVNA GVQQVIDNEVAQIVKWVSEYPN LY89DRAFT_681470 MTADRPKPQDFRLGNVAPSNVNDTQNAALRGASLAFGKPPVKPT PKLNDYAGTNGAMAAAKAGAGRAAASRNASSSSSRWEEQGVDDKLAYHNTGSSAGGRS YTMERPSIQQRLSHFGGGAGYLQPPGGTPDQSRSASFIAATLAASRSASVSPNPTGQS HTSNADMRRPQSFMARSPSVRSVASSKSSDQALDTTSIPPTTSLIGMFEQKDTSPTRV KRPAAKRSATSASVRKSLVTSSPGSAEPSRSHSPSPLSARPKTSAGGRPKLTLAQIQK LSEESEARKPSPPARKPSIPASKPIPIPAKRNPKLPSPEVEKEEGASSDDSFVSASDY KPSFRAELAQQRRLTSASTQSLDTQATIDSMANAIVASSLASSRAASPSRSSLQSSFY PPPPPPRRNGRQHHLFHGKDKSRTPSPAKPVGLRMTMRKSKSKDSLDEGEKRRGKKNL VKKHPNKHHEGDRKRWRDAVTERERKRYEAVWASNKGLYSDGSPGSEYCVCSLVVRDI FSRSRLHVDVLEEVYALVDRSCNGMLEREEFVVGLWLIDQRLKGRKLPIRVSDSVWKS VGSLGGIKVKKAGR LY89DRAFT_395264 MYHCISDIGEVLLQIAPIIIVIQVFITIRLLSRFDCCQRFQYFA RTLNILPLSRHSLQAKRSPSYVCHVPGISLVFESPVSIRTLCYLNV LY89DRAFT_576226 MVSSLYIAGSLLASLIPSNAKYQYSNSSIPSTNLYPTDSWTSGQ VGISYTTQLPDTELTTILQEIDPLRIQAIIEKLVSFGTRHTLSTQTDPGRGIGAARDW LLGQYKGFAEESEERMEIELQSYVQGVANRISFLANISNVVATLKGSGDPERIVVISG HYDSRNTDVMNFVDDAPGADDDASGVAISLELTRVMAKHRPTATIIFAAVAGEEQGLY GSTYLAQLLSNHSANVEGMWTNDIVGSPVGDDGKNHSNIVRLFAQGVPTTETSTRASQ RLSIGGENDSPARQLGRFTRDVASNNVTGMNVELIYRADRYLRGGDHMPFLRAGYPAV RFTEPRENFAHQHQDVRVSNGMQFGDLPEFCDFNYIARVGRVTGAAVWSLAMAPDMPK NVTIDAKELTNDSELRWEKVVGAKGYEVLWRGTSERDWGSVLEVGDVSGARIGVSKDN MIFGVRSVGSNGYKSPAVFPFPG LY89DRAFT_704824 MALPQDVKTATRAHYHNDDPEYPRQCERWGYSFPWNSNHMTAEE LHVLRNSHDIIGLAAYESLKSILDAERTPSNDKTLSITGQQSDDWYDVLRIHHHEDDA LQGFWNGVHTVPDWVDWKQIERGQRLFAKYASANLTFLFFYGFLRGSGVSGVKEVFTR TTSFTIQKFLPQFMGNFAWLIKVTNSLEYIQPGGEGHIDTIRIRLIHASVLHRIMKLA KIRPGYFNVEKIGLPINYFNSLHVLSIVSGSVLWDGLPKLGITASKQEQEDYIALFRY LAYLNGVPSERFENCEMAKATMESFLLAEEQPTPALKILAEDFVRWIEFKPPLFLSRG FLMAGFHHFNGAEYCQALGFTSPSFHNRMSFKGLCLLLNLVSLAQLWIPSFDQYIVIV SKFLYHITRNEHAKHLMTDFPQHLR LY89DRAFT_768465 MSLYVLTLNRDLLAAPLRSGAAPNPTGTHALFSLSKYSFQDHRS EAGTYVLDFNSGELCSIPKDTKSSGYAWTGFGSEIIWQKQLDGATKFWVDDAAAPGLR QYQAGCVDALVSILKVTRLADDAFAIVALGTDSVAAEQSTYSTGRAYSSLPVRSFFAE PPVKKVLWYMRFDGRKFQGGLRFSLSWHRIDILKDTGLEFASHSSVVPMGPTNCDVSS YGFVFAGKTPEQSTYQHRRAWTSDLFFIPIFSGTIAFPIISNKGCVAFLKSEDKAKVA SKNHIFFVNRACESDPKEISLHSGVGKDDWPLNPELLTWSNDESKLLVSAADMGHQRV FEILIHTSTGPEGLTNVPIHLEIGHGSISSVHRCSSSPSDRRLLVSKSSFIESSVFLV VDPSSSKSPEVLSAVAGCENLGLHRGQIIETWFKGHGDYQVHCWITRPSYFQEGEKYP VALLIHGGPHSSWQDAWGTRWNPILFAEQGYIVVSPDVTGSIGYNEEFKEAAIDEMGG RPFRDLEHCFDYIEQEVPYADTTNAVAMGGSYGGYLIYWLAGQPFASKFKALVAHAGI FNIPSLYASDVPDIWEVLFGGYASDPSQLIKNLGKWDLIQFVHLWKTPILITHGELDR RCPHTMGLAAFTMTQMRGVESKFLSFSDEGHFVLKPENALLWYRTVIDWMNRHTGKEE QTE LY89DRAFT_553505 AIIWTADFVAVAFTAIRLYIRGSVTKKFHWDDAVHILAMVCMII QSAIYSGGRSLEKSVESYLAKETLVAPNFTLFLRLNIASTVITFTCYWAVKLSIMLFY RMLFWVYDTFMKAWWTVLCFTFATYWVVIATALVQCDGNGLELTSIAACSSAQSSILD KKIYKISVVMNVASDLAIMALPLVMISRMHIRTTQKLGVALIFCLSFIVVAFEIVRFF KSFGSSGIALHIIWTSVEASIAVIISCLPTFNILFRNR LY89DRAFT_768471 MFCKLSPISSFFLTLIKFSAPALVAVGDDYEDVRFNSTIFGSSP YIGAPSLEIDEHWKALIHDGAISISYSELLKIGASNNSVLLPAARGGGYMAWLEVYHQ LHCLHTLWQNTWPTYYNATQLESEDKDSIYRKHIDHCADNLRQKLMCDADVGIITYDW LEKHKSPVPNFNTVHRCRDLKRVWSWHADRRVLRSGSDELVKMPNSVVLDHLP LY89DRAFT_395334 MDPITITTSIFGLLKATETIAGYLGPYVSAARDTSQIVRQVHSE VVYTRTTLLALEGLIKNISSVPVRRAALIQVDQLVAVFTDGVLLFSELEASLPSPPSA ESSTSRLPILSRLKWARKESTFTSLFTRLQGFKGSISLMLTILQSDSDSRAAENQKQL SENVAALLESNRSLYLRLLNLEDALEVRTIRAIDDGNASSMKQQPDESIAGPTFDFEN DLETSRVYRKAQRESMDFSFRSSIARTNAWSVFSGLSLSDVSNISVIALPLYREDIEN AQHYGCTSPPAEPITTPTTARGPKSLCEECLELELRLSRIPGIPEYFEKVTDDHLEED PLTRLILVLRQGLPLLMILEKFQGFEHCTEVGSDYNDPLKLPKAATYKFISTCVHQDG LRLAPDKCFSVSELFGHDSTGFMKVSSIPCTESSSTFQILSYRLLGSLIGYLTYSIFK MTLNVSTSQPTFLSKNMR LY89DRAFT_729638 MRPLTITNVLLFISSVIAAPGATRQFTNKSQSFQRLANVSRNAI AHVYDTNWAGAVIETTGVTSVTGTFTVPSPSTPGEGVAWVGIDGNNCYTAILKTGVIW TRASGSTTTTYQGWYEWFPAGMSYWTTSSFPIKAGDVMTATVHATSLTSGTATLANKS TGKSISHS LY89DRAFT_395347 MALLNPTFIFGVIVLAYLSSFILFAVLRIITGISIQRIGYFSLR RLAYTPKDGIKIEIRGLGLNLHRPTFAQPTWLSIVLTELAVTVDLKAVNGDKGGDPED GDEDEDEALDRKVEPLQKRPLSRRTSFGPHRSKSWERLTSIKERIKRLHRNINWLRMV DVVASNSSLTLVDVGQVQVGSFTMAVDTRRKMVDRGRLFSHVKTPKKEQRPAEWMITA RSVLFTPDGRESLEVLDHATLNIHGLLYKELDGLRDAAISLKLGRVHIPYDDINMCIN RYKSCQKLYHWERRSSAQEISVKDLIEELDRPGSREEKLAQTVSDSKEFVSSILRGIK EVQFAVSFVGFTKKISSVQPHGSPIYLNASMKEVGIDLHRLDPKSPAHRMYFSSKDIA HQALVAALSIAIGVDDGQGKSDRLIYVPMATTTIRTTLPSKTVEIVQDNSADERNANI LYANVVITSPSVDLDPKHLPLVLAILQPRPKPRKDRAPQQQHILISRLLPKANVKLSM HEPVIRIALPPVEQDAAEDDFDLIISSISSISLDIESSHSAVGDLHYSLGSTLRLQTH DLYYQTASGAHYDLLQTESLELKAQLSANPEVYVVATGNLQTFSIHMIRPEISDGVRQ IVRQLRLNVEPTKKARAKTSRDPNFIRAIPDWLLHFHISGSDFSAEVAGVDEDISDDT RGVALQLNSWTAEYRAQKAEAVERKSSRRRAGSSRSLTPDPDLFLKMPQSPRKKHAQH PTDGRRLAIHVRGLDAFVVESTEKWEAEPFIAMPRFEVALSTSSDNQGPVFHVNSHVK SLLVHYSLYRHYAMGVATTVLRKAFVRTRADEEEAEKARAAATVFGGSDYLSPVKSPE DFYTRDGSGYPFTTPELITVDFRSTLVQIKAQMPSDPRMMLQIYGLEAGRHRWAPPFF HAKLMRLYAAAPKMSHVWARIVSVKALRADMRQNRRKTSTGEMHEEKMIDLNSDAIRL AVPHQLVIHKVFDNCINTMKSVQQLHHRFVTGTNEYILEKHPEGPKRVPRVSIRSKAL LFELEDGAFEWKLGTIYRTGLVEQAQRIARDAAFKVKAKKIAEEEARRDNNRYRNRSA HPRGRSTHHDQPRHRSRSEDDSSGHRSRSHRGRTMRYDPEGTCGLSGSAKISIQDAQE KLNLLNSQSWRSRIDRVLNMSKNGMKDLRGVFWGPDELPDDMEDSENILEVPQRPALM GTLISDLHITIDKPSFPISQLPDFLHRVGKGMPRDMKYSLLLPMHVQIDMGEARMTLR DYPLPLLHVPAIKPGQSPRLPAWSLKTDFVVAEEFRGPESTRHVKVDIVPPRNRSPGT SNEGGFAIDVRRTVTPVKSYSDINIDINTGFPTRITWGASYQPAIQDMMMIIETFTKT QVDPSDRTGFWDKIRLSFHSRVSVMWKGDGDVHLMLKGTRDPYLVTGNGAGFLMCWRN DVRWNICREQDPKKFMTVDSGEFILAVPDFNHQARVAAKQDRDSDSVSSTDSYKRGAA FKKVVMKLSGNVQWLAGLMFERNLENSSGRSFEFEPHYNVVLKEPQYAKAPPGLEYDA FRGFRSHHIHMSIAVKAPVDREWSVSNTEASQSYNTVHLTPRFFYHFFAWWSMFGGTM SLPIRQGKLWPGLEKSSKKFGRHLATIKYNLLLAPLFLSHIYKHKDAEDYAEDVVSAT GIKMRLDSFMLDVHQRREYFHTQDRGRKTQGKTSAMKMHQAQLDLLSADIRAVSASIA GTTPEDLQKASAPLNLVSQADIGNTDLSHFEIPDNDFSWIDMDDFVELDWILPSEANP ETKILPLAYTPRFTYFRQTDHGDTISGDPDRTSAFGAENTHFCIMSKDDDPRRVQCQL IQKRLDQIDEQMATHTRTVGDAELKLIQDENNPIFKADLDQLNKQGSVLHDKRLFLES MMRRMNMRIRTNNKRAVPDGDADVDSDGEQASPKDYDANMEGMDTNPAADFISDFNNR FVIHNMQLKWNNLLRNIVLRYIHQVSQRRGFVYYLSRRAVKFILDIVEEQSKAKTAKP ASEPSVKDSKAASPDPNAGDHSAYQDVQDRIAEILADGKKFVDADDPTARRHVTNQRV SSDHLDANIGHDFMPQNSYHLRLIAPQIQLQSDKNPKAVVLVTAKGMEGKVIEVMDKD RIYDDVSGLVQRRFSVEMDSTQFFVTHQKWFSSQLLSMYSGNHYGVPAGSAWPPWVPM EVMFDFQVDPFGFKRVVQKTSASLRYDKYNTLRLKYNDEINTESGHPASHSNEESRMD HLWVEFPIVRAICNSAQYYAMYIIVLDLLMYSEPLEKTRTERLEKIMLASDFSDLRGA PEMVTKLQERIRQLDEIKTQFQINSKYLDKRGWEDRLALDKDLTSCEDELFFMMKAIT SSQRKYDGSQSAGLLRWNISSDQIVWHLIRDNNEPLMEFQLRKAEYDRTDNSDGSHNN LMQIGKIVGLNLLPDAIYPEMFAPYTDIDRGTFNEGGNQKMLRVYWYMLEAIAGIPVM DHFEVNLFPMKIQLEREVAQRLFDYMFPGSNETKNKSPFMVKTMAPVDDDDEEDSNGT ETYENTNGSTGRNDSVTSTRAGSLELRLRPTMTSEARPNTALSGKTKAASMHSGEGHH FRLFPSGNKISASSRGSTRTLGKKTSVESLQSSIGSKPTLGRTSTSMSNQDIQSLNGD AKPKRFTIHRNKSKEKPSDDLTQMMSRASNYMTLAYVKIPSVVLCLSYKGKGERNIED VHDFVFRLPEIEYRNKTWSNLDLALALKKDVIRALISHTGAIIGNKFSKHRPNTAQQH RLRELATSSMLLAPSSQDNSYDNSNASIKTSSPTTFTKSERGTSPRRSFASSRNGLTR TPSDSSSIVSFQGPGRVQNIPAALSMTPSQPNQRPGSSYENSGSKSIRNGHSEGVLAN TLGRLKQLKDRQNTLSPDPHGDEEDSSKRKMSIKKILGGLN LY89DRAFT_704827 MPSLPLRIAILECGAPLPATATKYGTYGGVFTSLLQSAASPSTS LSISTFDVVNTLSYPSLPDIDAILLTASSFDAFADDPWILKLVAFVKEVLEQRRVRII AACFGHQVVGRALGARVGRSELGGKEVFGKGGLDLHQIHGDVVYDYPPGVEELACTDK CAVQAMYIPKRVMTVQGHPEFTEEIMRELLVTAHASGLFDKELFEDSISRVDKNQDGV VVAQAFLRFLLEE LY89DRAFT_729641 MFTIKDLVSIALTYLAAHIVVFCLYRVTLHPYAKYPGPFLAKFT SLYGAYHAYHGDIHLDVERCHKKYGKFVRYAPNSLLVDSPEGFHDIYDNLKKVKKAKS YKVHGQGNLIGIQDKAEYAWNKKIFQQGFSDTAIREHEPKVIKEIDTFIEKLSENETP EKNGNNWTNPKNMALWCNWLTTDVVSKVVFTTSWDLMTSSANRGVTECFKTIVRLVGI LHYWPHQPTHEIGSLILLPHLAWSVKDLMKYSKEVMASSKAARIEDPSIKDVFGFFSS VKDPNTGELALTPDSVRRNTANFIIAGSDTTASSIAATFFYLSHNPTAYAKVAQEVRS AFPSVASIRAGPTLNNCVYLRAAINESLRMSPVAPQPLWREAEEGGCLVDGELIPAGL KVASGIFSLHHNEELFPDSYKYDIERWIIDPKKDEEKEKERIKEMSKSYAPFSAGPRQ CIAKNFALMELMLTMANVFVRLDFEKVGTLGEGRKGMGEGREREGEFQMKSYFTSYME GPMIRFKTREV LY89DRAFT_729642 MADHFYTTDPHGGSAPASGYHLEEIACYVATTELKGTVPIYQWY NPSSKLHFYTTDFNGELAPQAGYEYEKIAFYAFSHEATGTVPFYRWVGSKSQHFYTIS DSEGANSGMKFEGITAYVHPGATEGFAALYRRYSG LY89DRAFT_395401 MSTSTTHGTPAVHGLDVSDKTQCAHWHSAKDIIAIKHKCCGMYY ACISCHEAIAEHENQVWPKKEQETTKAVLCGNCRRELNITEYLRCDNICPNCKATFNP GCRNHYHLYFEA LY89DRAFT_395400 MMNDETRGCKWNVLVESHRELSRAVSCFLPARGFKATRHSLENK FYILPLNTSMMAIPKNLFNSLFANCTIPPTLVIGSALLSRRYGQKKEVHVHHLLCKHT FDVDLFEERRDVELREDSKGGYREVPRKGWPDTTYRTQDSSRLSHMLLGRKDL LY89DRAFT_681477 MITIVLGAQWGDEGKGKLVDILCPSQKLCARAQGGNNAGHTIVV NGITYDFHLLPSGLINPDCQNLIGSGVVVHVPSFFQELEALETKGLKGAQDRIFISDR SHIVFDLHQLVDGLEEVELGTKNIGTTRKGIGPTYSTKASRSGIRICEIFNKTLFDVR LRELARGYKQRYGDLLEYDVEKEISQFDQFRAKLAPFVVDQVPLMQSAQESDAPILIE GANALMLDIDYGTYPYVTSSNTGIGGCYTGLGLKRRKIKSIIGVVKAYTTRVGGGPFP TEDLEEVGAKFQNIGREWGVTTGRKRRCGWLDLVVVKYSAALNDYDSINLTKLDILDT FPTIKVATAYIDPSSGEELVSFPADLELLANVQVRYVELKGWEKPITGIKTYSDLPAE AKAYIKFIEDFVGIEVKYVGTGPGREAMITK LY89DRAFT_778483 MSNSRTNNATEATVDKQKIFASGTFKNVWMATYTQGARSGERYV VKEFKTGSVFEAHYFEEEMNIIRRTQKIIDDFDSANVIEAGRHIRLNTPEIWTYEKSG HKALVEPMIEGFEKFNSNSGWAANIGGEWGEAMQALSHFSYHNSNRHFLLCDLQGGVY RNGYVLSDPVIMSQAQDCGPADLGQPGINSFFQRHRCGRFCNRQWVKPGVIGRAVIPM RQGTSMIAHLPTRQSHNPLSRLRE LY89DRAFT_778484 MSQMEQLLSDQWRSAGSAGRDQLERDDLRQVLDFGTWEDVQLQI QSSLGGPADSAIHQEFALLGHGLLKLRTFTDNCMQQASSRIDTSVLWGLLRVILKTGA AANVIRMLRENCHKIDILNNHFTKANTFTAELKECCVEIGVTFLSFLSFLFSVVKFMR NDIIYTTHGTSLEIEWAPLEQQFSSTSRHIGEVLSRIEMLSKFAERSSQASRTSSVQE QPLLSSSLKQLSLSDEHARLPCVVLPAIRTSRYFDRVDLGQKIEEHFNEVGTEQSFRS LTIHGLGGVGKSIVALRYAENKLRKGELDALFWVQSEKLVSTKQSFTDIALRLRLPDA RQGDHDQNLALVLNWLQQTHCRWLIVYDNAEFADLIRDFWPLASRGQALITTRNPSLA FELADHLTSDLKEDELTSAQQLSQKLSGHALAISAMAGLIHSRALSITEFMNFYNQAP SHLHGISGNRSINALWALSFKSLNPQSHTILGVMAFVEPDSIPQALFELDSPDDVPES LRFCSDLISFSGAIETLLTLALVKRDHTSTTFSLHRLVQAAFKHSMTSEQRQEAFNNA AILMYHTHPRRDANRANLYLMWDLCAKYLLHVISLKDCFREEKKFNPKFTALSLYCSL NNSCQRYLLEINSLDDLADLIEVNTMALNTLPPEDQAISLQGSLSSHRGQLLLRLGKP EEGVQWLKKSYEIRSHDVPFSPRESAWAANNAATGMATLNNFTEALECAHFGLGLVDR HNIDFESAGTHFLEAQNLWLKGDQMRTNPFNAGCMYHLGCVALDRGKVESAVRHLRDA LTITQMRTHIMMAEHARVLFKLSEALEQEPRHGEEAQLMREEAERLLRLRSPNEVNPG SECTYDSLILIDWR LY89DRAFT_778485 MANHRAIAIHGIPILVKDNIATKDKLLDVSAGSYALLGAKPALE SSLISKLRAAGVVILGKTNLSEWANFRGLNISNGWSPRGGQTLGTYYPNSTPAGSSSG SAVATALGLSTAAIGTETWGSIVGPAELNNVVGFKPTRGLISTDGVIPISSRQDVIGT LTRTVKDAAYLLSEMAGRSKRDERTWHIPFNPIPDFTTFCKGTDLSGITIGVPRNTWE GESPAPIQASFESALQTLSSAGANVVEDSDFPQADDFKKLNEQVKGIVRSSEFKRDIV RYLQTLETNPNNIQSAEDIIEFTKRFPAEEYPDRDIGKFLWTQAEGVDVDGDKYKEMV KQEQFFGGEGGILGAMKKYHLDVLVVPADQDIGNDLAAKMGFPVISVPLGFWPEDTSV QLDKKKPNLVKVAPGMPYSLIFITKAFSDGVLLQVAHAFEQLTAVRENGPLPIKVPET EMVVTQRKAERM LY89DRAFT_564643 MRLINVDKMVLEEFFGVTIPPYAILSHCWGQGEVTFQDIGGGPN WRLKPGFTKIDHACCQAKLDGHKYVWVDTCCIDKTSSAELSEAINSMFSWYADAIVCY VYMQDVDVLERPSADETCNQFQVSRWFSRGWTLQELVAPQEIEFYDKNWNMIGTKSKL FKILSRITKIPELILQYRSHLQSVGVAQRMSWAARRQTTRVEDIAYCLLGLFGVHMPL LYGEGGKAFIRLQEEILRVSDDQSLFAWDSAKDSPNFGVLARSPAAFQFAGDIVPIPS MSDIHLFSMTNKGLSIRLPLLARGSEVIGLLDCRLKGDFSSIVGIPLLQ LY89DRAFT_665309 MKPGLYQWLIGCFAALGSFLFGFDLGVIAEVVASDSFKTLFLQE DADSRSGTVVALFTGGCFVGALGAGFSDRLGRRGTILMACCIFVVGGVIQTAGVAIAM LYVGRLIAGIGVGFLTMIIPIYQAEISHRKLRGRVTSLQQLFNALGQIFATWTGYGCY MTWTGTGDSREWRIPLAIQIIPALFLGGLVYLFPESPRWLCDHDFADQGLKNLAQLHA HGDTADPYVVAEFKLIQSQIAVEHAQESKTYLDLFRGWPNLRRTILVMMIQAECQMTG VSAIQYFSPQIFAQIGIPTGTTLLLQAVNAIIAFLGTAVCISIIDKVGRRPMEIWGAG IMCITFIINASLIKIYPATSTNTGAHWSFITMTWIFNFVFFITSGPLSWAIPAELFGT ALRTKGVSLGAMTSFAFNTMIGQVTPIAISAIGWQFYVIFIVCNAVNGVIFWAFLPET KGLNLEDMDELFRDSPTFVPGSKWQPNSHIDADAETVAEQEMRLGFGNGKFGRDESME ASEHVENAKGEVFD LY89DRAFT_395578 MAQCPNCNGPDYLCTCKRDGLVSSSSGGSSNNAGYNNSSYDRNS SYGNGYGGNSGNGRSTPTGNSYSNSSSRATNYSDTFDRDRSQTSSSASSYNVDRCGRC QRARHQCTCLRNQPYVPNRTSTSRPNRSSPAYTSSNSGSSSGMCYRCRRSKSSCTCIS GTLYNPGGYSNERTAYRNEPAFDDPPSYSDRDWYDRPSGYRR LY89DRAFT_577048 KVNWCFRKRHLKCDEGKPSCLKCIKGKFECTYQTSSPLSKGKKS REQTAKLLALAPRPIRSREAPDSTPSIIQQPASFNLKTAREAHYFRFFCTNVAVQLSG LFDLSLWNRLILQTSEEEESVRRGIIALGALQQSINQKGEQNNRYLASIAPNDEHHRY SVEQYQRAIKLMRHSISLRTHSLRTTLLNCLLAICFETMHGNDQSAIAQMEVGVRLLD DYISSKRISSPRQGRNIPAASPDFHEHPISPSYALESPAPHEIEDELVHAFTRLDTQA NSFLDARPLEHHMVMRFHGSDCLARMPTTYCSIDEARKYHELLGRRVSHWTSTLGGRN FFFNEYHVVLDDQKEGSIPKTHSIPEEVYMERQEHLDDLDRWWQAFTPLWIRYQYQMD SREYLCAVNLRLRFVVTRLMLSMALVANQLLFDEHIDEFHEVVYLAKILHSSSLAEGP LSFDLGYIPALFTVTSKCRDRRVRREAAHLLLSKAWREGLWESNVTGRVSAAVIDIEE EGLQGDFVPEEARIKSMRLRFDLPSKELRLSCTMASGDIKDRTIMW LY89DRAFT_704834 MAFVCEPPQLPIAFTQTLEDLLNITNDGIRRSRSMLDQIAKTSP TEASFANVMLPLAQEENERINTQGLTDFFQNVAPTRSLCDASVEAQKLWTAYSQEVDT RDDLYRLVQVVKDKNEILDLELQYYLNKTLSRMIRDGLALPNGPTRDQSKAIKIRIDE LSVQCKQTLYHEAGGIWFSAEELAGLPHDVFGKLRSDGSETDEKFWLTFKRNDLTMCL KYCTNSMTSKRAFIGNENKCPANVVPFKELVSLRAELAKLMGYKSYADYKTQDKMMSF DSVQSFLAELEASIAPQAEIEMRKMCECKKRDLIGRGAAESDIDDRMYLWDTAFYTRL LQESQQDLDETRFSELFSLDSTMRGLLDIYEELFGMQIIELLQDSRETLVSKQKQAED AITWHKDVKVYSVWDEEAMGGNFLGYLYLDLLQRPGKRDHPCNSTFQAGFDLSNGARH YPSTALLAALPQPTPSKPTLLRHRNVLTLFHELGHGIHYLVGRTKFASTYGTSTNHDF VEIPSKMLENWCWDPSILQRLSRHYTYLSPSYLSAWESEHPGLPQPAEKGELDLLTSL TAFRSSNLAFLTLTALSLAKYDFIIHGAASPEEVEKMDLGEIYNRVRKEVKGLSGPEV YGEGYGWGCGQARFQHMFSSSYAAGYYVYALASVYSAALFDLRFRESPMDRIEGRRYR RIILGRGGSECAINYLKEYLGCEPGSEAFAKQFENTTD LY89DRAFT_638427 MRGYCTPNYSSGTNPQPPANVDTIRESGVWGRSFTDHTSLSIIS FSNMPGSGSSEGDPRRYGFACSTCRRKKVRCDGTRPVCRKCSKSGVECFYKPESGDLW LLQQLRNSNKRVRELEEQMRNLLSTKETEAANILPQSSEHGSTRKSPTCPALELIDAI GDEEKVRESALDELSVDENGKVQYFGATSRFYVPTEHTTQARGQAELDRSSDFVDATK HRTWLQSSSKLQKSWERLAFANLQNERSDINPQLAADLLQIYWAWQHPLHNCVYRPCF SRDMALGGPYFSEFLLYTLYAHASRHANTDDPRFKDIGRGERFLAKAKLLLQSEMEQP RPKIPTIQALLILGGRQCAVGKSSEGWLYTGMAIRMMKDIGLHLNMKRLAHLEKLAPE DLEVRKRLFLSAYAWDKSISTCLGRPPSLIEMPYAPESLSNSDHCLMSSIDHSDDDEM WTPCFLDDEVLYPPTKSFNTQVFISFCRLSQLINESYDIIYKVKTRKGILSKSIIELD GRIRAFYSCLPEGLRIENISSMPFCPPPHIFSLNILYHVTLILLYRPFLFSSTSSLTK DDDFNIRAHRICVERTKINQFLKAHHRTFNFKIQTYLVSYCVYTAATIDAFEIKSHDD TAATEAAQRLSTTLKMLETEARQTPGIKRSIEIIKTQLQTHTEVAHDRHATVEDYNDV QRQNFSIETQQVTLFTPVYQVCLHLLVSHHHMFLFWDGHR LY89DRAFT_768518 MPPSAIETNATCFPLSSRLTPPKDIETENRLSGSIPKSEEVPVS VVDQSSGRQEHDIGRLPERKIVNGTSRHPAFEDLPYGSKTDPKASAWGLWGPTDELGT SNYLTPEIISHASKEIQTGVVVPLNLPLDCPIVPMNPRRAPCEHEILAKGYANDDKIE LNTQSSSHWDGLRHYPYQDEVNPRYYNGASQADISGPAANNRIGIHNAAQHGIVGRGV LLDWRTYAIQNNIKYSPFESHPIPLSELLTVAEEQKVIFEHGDILLIRSGWTEEYLKL SPEEQRQLSSREKREFVGVDASREMIKWHWDNGFSAVAGDTNAYEVWPPTKPWGVACH EVFLSGWGMPIGEVWDLEALAVKCKEVSRWTFFLTSMPLNLKGGVASPANVMAIF LY89DRAFT_704835 MDSSFTILTPNAMLGYGYREDHFWYGVEKYNPAAIIVDSGSTDG GPYKLGMNKMTCGRESYIRDLSPILEACFYRKIKVLIGSVGGDGSDKHVEEMLEIVRG IAESNGYSFKIATIRAGMDRTLIKERIRSNRCSPCGPLDPLTVKDVDAAVDVVAQMGA EPFMQALKDDPDIILGGRSYDPAPFAAFCMSRGVDPGVAWHMGKIMECGGICAVPKGR SMIATMRKDSFDLIPLSPLERCTPLSVASHTLGAVFSPTPVYQIKLEGVELLGYRTIF IGGIRDPILISQIDDFLEKVRQYTHGLFPALDKSEKCRLNYHIYGRNAVMGPLETEIS VAYELGIMGEVMAETQELSHTITNNVRTSLLHMPYEGQLATTGNFASPLSPHEQEAGA VFKFSLYHLIDLNAGEELDLFPIRFHQIGTTSPVQEEKGHLTQSQLEFFTSTKPLPIA RKETTQDAVAMMNIAKVIRSKNSGPFELTLDIMFDDQESYERVKKADLLTNSIIKRLY RVEDHDILTNMYFEPALAWKCTLKRPWAQGSFGERDTLGTQQHAPLLTLLVPASGKKA LMNGKLNGKVNGTI LY89DRAFT_694532 MTVDNIQITPEERRRVVRKLDLHLLPLCFILYTFSVLDRSNLGN AKLAGLAEDINISGDKYTWLANLFYIAYIIFQFSNLGWKIFKPHKWVTFVVLYWGIVS TLQAVCTSWQGLMACRFFLGWAETMFGPGIPLYFSFFYPPEYLGLRFGIFLSGSALAN AYGGALAYALSHIHSSVANWKFLFIIEGVPTVLLAVATWFFLPDSPEKARFLNERERL VAEAFAQNQPGDFEHEGLQVSQLMDAFKDYRNYFFALLNFSCNVSFASLPLFLPTIIS ELGTFSQLESNGLSAPPYLLCFFVIIATAIVSDRVRLRGPFASFFALLAAIGFIILGT ATSVTARYVGIFLTVNIFVTTTIILIWNSNINATGSKRAGGLWIMMTVGQCGTLLGTN IFPSSERPFYRKGMWIACSFSLLAAVASAVLSTLLFLENRRRDRKYGRLGIARRLKFG KC LY89DRAFT_768555 MEKEPDYLLVRDIHSSIPFLWKDGLGYLLHPSVPVAEANCRIAD LGTRTGIWLLDLARQVPDTTQLYGFDISDSQYPHAKYLPHNVRLKVLDNLDLEPPKVL QETFDIVHLRLWLDGMPNGDPTALLSHAIKLLRPGGYIQWAEMDPLRGITHGPDGEDW PNVANNIEEAFSFEQPWQSKMTSDLSCAVVHEMENNGSPYIAQKLKIHDVSIPPAYAE VFKGARLVQPFCITIGKKPIQNSPFE LY89DRAFT_552876 VPGGVDIVGGSAATAGQFPYQVALLHSGSLFCGGVLINANTVLT AAHCSVDYSASSVQVRAGSLKYASGGTLVSVSKIVVHPDYDEDTIDNDIALWKLATAI PTSSTIGYATLPAQGSDPAAGVSTTVSGWGLTSESGSTLPTSLRYVSVPVVSRTTCRS DYGTSAITNNMFCAAASGKDSCSGDSGGPITITSTGVLAGTVSWGQGCAEAGYPGVYE RIGNYVDYINAN LY89DRAFT_638435 MAGVKAPWWATIYVIVPIFSGFVWLGMLLGMLLWWTVKEHSVHL VPMDANQHIAYISDIGAHQLQPLFIAMGTTTVVSFTTVFVTERWLRHRGTIARNTSMF QKILSGLAIIFAIIGMIGLIILTCRNDIKYSKTHDACLVVFIAGYILSAIFVCWEYQR LGIHYRQHRILRISFWIKLAFIFVELGLAIAFGVLSDKENYNPAAVCEWVISLIYTFY VWSYAIDFIPAIRTRHYASKETEIDMAEGMESESRMRGYPGGLAQEEAAYGSTGVARG HESRNF LY89DRAFT_638436 MAKTRISELAAIISTKTEIIEQYLRSHNLASPSFDVDYVDPQLP PDIAVCKVTISEATEELNSLLAGPSAFWTTLDTTFLPTIHAIYRFKLASSFPIGGEAS FAFIASAVDIPEPDVQRIIRLAITHRIFREPRRGIVTHTAISKAIATIPLLQSFLGLV TEEIWPASTRIVDAIEKWPNSEEPNETGYNLATNQYETYFNGMKKDPDRGKRFADVMN FTHAGGKFERAGLIAHYDWACMSEGLVVELGGSQGMMCFDLARYSPKMKCISQDLPDV VAGVEVPEDLKGRVEVVAHDFFTEQPVKNADAYLFRWIFHDWSDKYSIRILRKLIPAL KHGARIVTGKVCLPEPGSISYSIERRMRATDMVMKACLNGRERDVDQWAQLFTKSDSR FNFKGVIRIPGSRWSVIEVIWEDVSV LY89DRAFT_768563 MSSHSLREFEFLFALLSNVVLVSSTCYNPDGTAKTSPAYQPCVQ TVGTFSQCCGTNWTATNPIVADDKCMPNGLCLNNNPSDNEPLYWRGSCTDPTWKSPLC LSNLCTNSSNGGDASDNVPLIQCSDGSWCCGSTNTACCSKGLGVKLDAIIGVANQSTS TSSTSSSTSTSTSTATGLVISATPKGTQSGLSTGAKAGIGVAAAVIAIIALAGLTRFI LRKKRRQAAVRGPADVTSGGLIKGNPHELGGETAYAEMGSNKPEPYQHEVAGYMPVPV HELASSRYHE LY89DRAFT_768565 MPLSPKTYQFLVGVFASFGSFLYGYDLGVIAGVIASPAFLSTFN NPSANETGAVVSVFTGGAFFGAALAGPMGDWFGRRLTIMTGALIFCVGGSLQTAAQNL GYLYAGRAIAGLAEIAHSSIRGRITGLQQFVLAVGALVATWTTFGTNLNISKTSNAQW RIPLAMQLVPAIILALLILLFPESPRWLIDHGKPDQGLKTLARLHANGDVNNVWVRSE YEQIEAAITHEHEEAAKGYVALFKNKSSFRRLLLVLALQASVQMTGVSAIQYFSPTIY ATLGIGTQLALKYQGISNALSTIATICTILFIDRLGRRWPLIIGNLINCVCFIIVTAA IAPFPTASPSASILLISFSFTCGSLSWIIPAEIFDTHTRSKGVSLGAMMSFAFSTMIG QVTKPAIESISWRYFILFVVCNFTNALFFWAFMPETAKRPLEEMNALFSGSSWFVPTM KRADYDSHDLEYRVAENEQKDRKTSAMEATYTG LY89DRAFT_768573 MLDIIHRRTRHFSRVLNRQQNDDDHHHRVHSEQRFASEKLGKLY NTYTFEDAVQALRKGESLSLATQRLLSELSNEEQLSLLDGDVPFYAGLREILCDRYNR VPFVMGSIPRLKIPGIRFTDGPRGVVMGASTAFPVSMARGATWDIELERRVGRAIGLE AKAQGSNYFAGVCVNVPRHPAWGRIQETYGEDPVLLGEFGLALTQGVQEHVMACVKHF ALNSMENARFRVDVTVDEDVLHEVYLPHFRRIIEGGVASVMSSYNSVNGEWAGQSQAL FSQTFSEENIEAPFRQQREMHLVAALESLSGELDWSIVNMACSRILQKELEFAANDSG SQADLSAVFCDRHRMLAREAAGRSMVLLKNESLPIDSAASRPLLPLDAKQFSTVAMVG RLANVPNTGDKGSSQVFAPNVGTPFDGIKEAFPDARIFLEDSDSAERAAELASEVDLV LCVVGYDATDEGEYVVPSLQSDPCLLNLFPPANTPEEKATLAILKGHSNGDKLDSGLE VGAGGDRRSLRLRPQDVELISAVTAANPNTVVSVIAAGAVIMEEWIDKVPALVMSWYA GSEGGDGLADVLFGKVDASGRLPFSIPKDESHLPYFDMEATNIRYDRWHGQNLLDRNG HKARFPLGFGLSYTNFSTSELRIGSLGCGNNSDTLLIHVKVSNEGARRGRHVIQVYGL VDMPDFPSRVLLGFHPMDLDAGVSKNIMMDVSVRPLQRWVGGTFVLPGKEVTIEVASF AGDSKAVRESTFLRRARL LY89DRAFT_704840 MQQQELSSAPANDHVRRLTKRTISVSRGSAAYPRKRAVTACQVC RARRTKCDQKKPSCSFCESIGAECTSDPGTIPSTFDPASIAILERLHNLESKFDSIAC ISLRDDAEAGHLRRGKGSREETQVDLRLENFLPETLDTILKWPVFRSLDLPVVSTPGQ FSPVSVQSSTQAPAMSDELNPAVCNQWLDGFFRHSHCKNPVLDEQQTRWDIESCLALL VCANGALACPLSSPSASADEMTSSVSHVLFTTALKRIGSTLGSAGIVQAQCLFLAGVF LMSWRMFLQALAVSQSFMSCDSRRSNAAEESIYWSSWKSERELRWELGLPDFGEMGGE TPRSFPSCSEADGDDNLRAWYFYLSDISLWRLETAAKKDMRTLVDEKPARIYDKLIDF AEESLQRVTNWRDSLAASVSIAEDDFPKGDDGDILKFVLKGRTTYFHELITQEASGVR RLEINRPGYYHRHHGTWLMIRSSARSACILLAAAQNSFTAQLLPSNWQEAVEATILMI RFWSSGCEGLASVAHLLECLFKIEAIKI LY89DRAFT_395821 MYYLSLASILLLTPNILSTSAQCVTPNPTPAANTDFNDNIFFFP AADAVEWKTLYARSLQLPDESLLMTWENYAAEPPLVNHPIYKSTDGGATYSNFSAVKD QVNGWGMRFQPFLYTLPQAFGGYAAGDLLAAGVSAPESLTGGVYIELYASTDSAETWN FVSHIAYGAGPEIITSGDEAIWEPFLMMYNNELVCYFSDQRDPAHSQKLVHVTTTGLK TWSSTIEDVSYYTYDDRPGMTTVAYIESTDQYIMTYEYCGSANCTVHYKMSSNPLLFN STTGIALVGNDTAGTVPYGSPYIIWTPNPSNTNGSGVLIASSGSTEQVFINGDGADPT EWKVVDVGQWSAYSRSLRIITVEGNKKLLFGNGGNMGNPDCNSVANGVIDIPY LY89DRAFT_729665 MSPLKYARIDKFLLSLTYFGLALQGAYSIRLYRNYQSLNTGYNK KRWALKTLEKEQGLESKKS LY89DRAFT_778498 MGIQKKHGKGRLDKWYKLAKEKGYRARAAFKLIQLNKKYGFLEK SKVLLDLCAAPGSWCQVAAETMPVGSLIVGVDLSPIKAIPRVITFQSDITTDKCRATI RSHFKTWKADTVLHDGAPNVGTAWVQDSFNQAELALQAMKLATEFLVEGGTFVTKVFR SKDYNSLLWVFNQLFTKVEATKPPSSRNVSAEIFVVCRGFKAPKRIDPKFLDPRSVFA ELSDPTPNNEAKVFNPEVKKRKRDGYEDDNMTQFKEVPASEFIQTTDPIAILGSLNRL SFEQPPNGDVALAALDKLPETTQEIRNCCADLRVLGRKEFRNLLRWRLKVREKFGFAT KKSAKAAAEEEVAAVEPMDEELKIQEELQALSEKDSARRKRDRRRENEKKQREVVRMQ LHMTAPTEIGLEQAGPNGEGSMFGLRTVDKSGAADKIAKGKMAILTEADLRKDRDSGF ASGDFDGESDEEEDRLDRELDSMYEQYQEHKSAADAKFRAKKARKEHEDGEWEGFSAE ENVSDDDELEEDSSDESEAGDMISKRSLLTDLTRDEKKSNGLSRRAAQFFDQDIFKDI GGLPEESEPDEPEEDEEEITADLDQLDAMRQEEDEDESMAEIEEIEPESDREDDEDGF EVVKRSKDDDQWEDEPRKDGKLDIDIITAEAMTLAQQIASGAKTKQDLIDEGFNKYAF KDRDGLPEWFLDDEGRHDKPHRPISAAAAAAIKEKLRALNARPIKKVREAKDRKKFKA AQRLEKLRKKSALLQDEEGMTEKEKAQSIVKLMSKAAKKKPKQQVKVVVARGGNKGIA GRPRGVKGKYKIVDARLKKDVRGEKRAAKKKK LY89DRAFT_609005 MASRRKAENNEMDRSSEATVTSSPQRPPRRSASTREKRNPTITP RKFTRFFTPRSQPLHQRQPTRRVLFNVRSFGASSALNVQSSPLQSKGFDEENNPPDLP RQSKRQKRFHTLASSTQPSVMSTKNLGLDRIQQSQSKGESKDDVVPSSPCPQGSHLAF EHDGTLDEDEDEDRDQVVCPGRISSKDTATPVLRWNRNGGLSAQLFEMELGNAGRSGR VHHSYPVNDWRDSTANFCSKPEDVHDIMSLGEEPRRTIPFVALGLNNSSLLAVGDEEG RVRLLESAKGGKPSFQKNFLGFRVHTNAIIDMTFSDDDSLLATASGDQSARVVDMGTQ TTIAILGIHTASLKQVRFQPGANNKNVLATSGRDGSIQIWDLRCKGHSGPQCKMWTPV IERRTGARSRAVDQDILYPRPLNSITDSHRPLPGRSQPSTAGWTDLASRGEAASRSES LSRSADVSVTALQFLHEGLHHLLLSACEADASVKLWDIRTVSSKQRTLPISGTALPPW HVNYRDFGITALNLSSNGSRIYAVCKDNIVYTYRTSHLMLGHAPELEIQSNTRPSQAK LTQEGLGPIYGLRNPKFHANTFYVKSALRTTNNGHSELLAVGSSDDCAVLFPTDERYL PSPHSARGAIPVKQEEFDCRAMPTCPIYTIGSSLTRGHDREVGCVTWTHDGELVTVGD DLIVRCWREDRDSAKDLRTGGESEGRRWNCGWATVEADYDDDDEC LY89DRAFT_576071 MQSVLGGTVQKAGEAISGAMSSNKKLTDMKRNEIEPKSSDRLTT DYGVRQPTHDNWLHASTGDRQGPALLEDNFGREKIMKFDHERIPERVVHARGAGAFGN FKLYESAEDVTSAGVLTDTSRSTPVFLRFSTVLGSRGSADTVRDVRGFAVKFYTDEGN WDIVGNDIPVFFIQDAIKFPDVIHAGKPEPDNEIPQAQTAHNNFWDFVYLHSEATHMF MWAMSDRGIPRSYRMMQGFGVNTYTLTNAQGVRHFVKFHFTPTLGVHSLVWDEALKIA GQDPDFHRKDLFTAIESGSFPTWKFGIQVCPESKQDDFDFDILDATKVWPEELLPIRY IGELQLNKNPDEYFTQIEQAAFCTAHVVPGIGFSDDPLLQGRNFSYFDTQLSRLGVNW QELPVNRPVCPVMNNHRDGQMRHTIQKGKINYYPNRHDIVPAAKPSEGAYIDYPEKVV AMKQRLLSIKFSEHFAQAQTFWNSMADYEKAHIINALGFELDHCDEPIVYERMINRLS DISLDLAQAVAEKAGAPTPTKAGRPNHGLKMKGLSMTEFTPEALGLPPTIATRMIAFI IADGFNLSEYEAVKGALSAAGALCFTIGPKRQPVKSSSGKTVAPDHHFEGMRSTMFDS IYVPGGEHVSTLMKQGRVIHWVREAFGHCKAIGATGEGVKLVKMACEVEGMVFSSGEG GSVTESYGVVTAGGVGKPESVGDALKMVKGAKNFIDAYAFNISQHRNFKREVDGLTSM VAF LY89DRAFT_638452 MGPLRQSKRARTKPPIEQAGSRPNVESNEAPSEAGSSTSAESST PVPKTPQNAANSTEDIAVPLTAGNARSSANKPLKSKSSWYGTWPRKSTASTQVARETI LADKPTNTSSADLGRFETKPPPATSSRPPSMLLGKSKETLDVTMGGTYEAENAADNIE HMKPMSSENEADAPSPLPVPTENIPAPPRTEPVSDAASQRPSTSSGWLGGWLSRPMPQ THAADECQQITSQPQAAQKPQDQMEQEAPNEQPVLKECTTENASASVPTPAPPSSSWF GLWSTAAPSTVAEAPKDEIPVKTGDTGGDTVMEDAPSAVPEASTQPAAGSSWAFWSTD SKKPADAPAKTETSGQLAVAGESSQDKPEPAKTVPVKDTQKKDSKKGKSSKRTRPLSG EVEELQPNSSVEAAPKATSSQSPASVKATPPNLLLPSVKSTYRLVENPSILQQITRLI MYGQQQPVKHVFLVKDPPKIKKALAIGIHGLFPAPLLRTVIGQPTGTSIRFANHAASA IRRWTDKHGSVDCEIEKVALEGEGKIADRVDNLWKLLLNWIDHVRKADFILVACHSQG VPVALMLVAKLVEFGVVTTGKIGVCAMAGVSLGPFPDYKSRLFSGSAGELFEFADPES TVSKRYEDSLRVAVKYGVKITYCGSIDDQLVSMESSTFSPASHPYIYRAVFVDGRIHA PDFLSHLVGFALKLRNLGVSDHGLIRELSAPLAGSLYTGEGHSRLYDDGNVYDLAIEY ALETTTVGDVPLDVKKYEIPTNANPYILPWIMRGLLEEDFVKTELDQETTELLKKFDD WKPATKVLKDVKYRLEAVRSKL LY89DRAFT_638454 MAPIDKKRKTGPTNESFARSRKPVEEESRPSKRLRQEDKHESKT TTPKTTTPKTTTPKTSLVPKITKAREEDAAFPRGGASLLTPLEHKQIQIQATRDVLFE QSAKSSKTNEDGTTNGTADRKKQKSRSKGKGKKAAEEPEPEEADVKIEGLSYKRIVPG SLVLGQVSQVNDHDIALSLPNNLTGYVPITSISDKITERIEAIATKEDSEEDDEQGNS EDIELGRLFSIGQYLRAYVMSTGDDDSATPGKSKRRIELSLKPQQSNNSLSQRNVITN STLMASVKSVEDHGLVMDLGLDDPEMKGFMGAKEIPFGAKLSDIIEGAVYLCVVTGLS SNSKIVKLSTDVRKIGDIKKQNFLSEAPAVDAFLPGTAVEVLITDVSSRGLAGKIMGM VDVTADLMHSGAAIDGKDLQARYKIGSKAKARIICTFPTSDPPKLGVSLLDHVVSLRS QLATKDGEKQHPLEILPLSTIIEEITVKKVESGVGLLVDVGLEGVSGFVHISRVKDGK IENLFESSGPYKVGSSHRGRLVGYNLLDGMYLVSMEASILEQPFLRIEDLTVAEVVKG KVEKIVVDASGVGGLLVTLAEGITGLVPKTHMSDIQLLHPEKKFKEGLAVTARVLSTD PGKRQFRLTLKKSLVNSEAAPFINYNEIAPGMQSPGTIVNILPNGAVVQFYGTIRGFL PVAEMSEAYIQDPNQHFRVGQVVNVHVLTVDAEKEKLLVSCKDASTFGAAQQAAMQKL KIGDIVSAVVTEKSSDDISVELQGLGIRAVLPVGQLTDGSSSKNASALKRIRVGQTLA DLAVLEKMEQKHLVVLTNKPSLVADAKNRVLLRSFDDVKENKTVHGFVRNVTLTGVFV QFGGNLTGLLPKNKLPSDTISLPEFCLKKYQSLEAKVISVDHSQRRFLLSMVDAGRKQ EPTEEQSSNTTISRPVIDPIDSTISSMEDFSLGKLTKARIASIKDTQINVQLAAGIQG RIDVSQVFDSWDQIKDRKRPLRPFSSKQIVNVRVLGIHDARNHRFLPISHRGGKNFVF ELSAKPSDQSESSQGPLTLDQVKVGSSHLVFVNNITEDGLWVNLSPNVRGKIKALDVS DDVSLLGDLESNFPVGSALRVHVTNVDVANNRLDLSARSSKTSEPLTFKNLSKGMVVP GKVTRVNERQVMIQLSDRISAPVHLTDLTDDFSLADPTKYSKNDIVRVCVTEIDAPNK RITLSARPSRVLDSSLSVQDPEIISVSQLKVNDIVRGFVKNVHDKGLFVAIGANVTAY VRVSELSDSFLKDWKSEFEVDQLIKGKITKVDLENNNVQMSLKASVLDKDYVAPLAFE DMKVGQIITGKIRKVEDYGVFIVVDGSQNVSGLCHQSQMADKGVKDVRKLYDEGDVVK AKVLRINPEKRQINFGLKASYLGETGDSDSEEADDAADAMEGIRIAGSDVEDDDSDDE AGGVDLDDIESIGSAAVQNQDDSDKEMADADEENVAGLSAGGFDWSADILNQAEDQSS VASDDDEMKEQPKKKKKRKAEIQVDKTGDLDANGPQSASDFERLLLGQPDSSQLWIQY MAFQMQLSELSKAREVAERAIKIINIREETEKMNVWIALLNLENAYGSDETVEEIFKR ACQYNDAQEIHERLASIYIQSGKNNKADEIFQVLVKKFSQSPTVWYNYAHFLHSTLSS PDRARALLPRATQSLPPHTHLNLTLKFAALEFHSPAGSAERGRTMFESLVSTFPKRLD IWNQLLDLEMQQGDQDVIRGVFERVVKTKGLKPKGAKAWFKRWAEWEEKNGDKKSQEK VKAKAEEWVLNAARKKGVAEDNDDD LY89DRAFT_681491 MQPNACSIKKIKDDMTATPQSGEDSEGHRKRNGLPPEVIEIIWP ALQVGGLSGLSGLTLGAFAGVMRSSPPALFAMAAGIQWFTLGTTIWASRGFVLHAWGK EKVTPREKISASAIAGAIGGTAGGLLRGRKNVIPGATMVALFGATGQYLYNVADARKT TLAGKPESAKNSWLDSKWSPMKVLSDQDYENMLREKLLRVNAEIALVDDNIEALRAQE QKQKAKGRPDVENPRPAK LY89DRAFT_395934 MAPKKKEQQKMSLGAFLTDEKMGSWADEMEDMPVYSRTGYGGGE RRTYSSTTGTYGSGSMGGGGYSVREELPLPDKPPYTVHLGNLSFDATVGDVNDFFAGC ECTNVRIIEDKMEMKPKGFGYAEFATRDGLKQALTLNGSQFQGRNIRISVADPPKDRG DRPEARELNDWSRKGPLPDLPSRGGPRRESDRGFGNDFGGERKERFPEGDGKVRDFGN WERKGPLSPITAPERGGPREGGRPRTNDGPRGEGFRDRRSSPAAWGEGRPQGSQDGSR PPRREFQERPVVERTPTAAEQDNQWRTKMRPDAPAAKSPIPSRDGSEAPSSPAPANAL PAGRPKLNLAKRTVSEAPLASPSSTSGDAKASPFGAARPIDTAAKEREIEEKRLAAVQ EKKEAEEKAKEEKRLAAQAAKEAAEKQAEESKQVEILQRTEGEAANETVETENANGNI VDDKAVKPKEIVRDAKPKPSETGAWRRPSGGPPPPRDDIPRGPRGRGRGRGEGRGGPR HFDDRPRQNMNGGASPASPAQTQAAEPEAAVLEEDGWSTVSKPKKNNRGGNQGARAIA S LY89DRAFT_681493 MDPTALTEDTPPDTGSDPIVSLLTAYNELNPASVDELTEVPSPL EYMRCVARNRPFVVRGGAADWEATKTWDVATLKELLKGQTVNVAVTKKGNADSPTLDD EGKLLFVKPHEEQQSFESFIDFVSEQERTGDLTGEVRYAQTQNDNLREEYSALFTQVE QDIPWARIALEQKSEAINFWLGNSRSVTALHKDNYENIYVQIIGQKHFVLLPPLAYAC VAERELPAATYVRGAEGELKIEEDGGESVPFAAWDPDAEPGSEEWRGTKYSKYAEPIR VGLRKGDMLYLPALWYHKVSQSCSEEGICCAVNYWHDMEFGGSFYPLCDFVRSTAKAA LGEGG LY89DRAFT_729673 MRVCPTVLGLIAIFSGLGAAQVTWPSSIDELEDVMFLNTGYNNR GFAAHITPCGFSEFGPGRQTAAEWLRIGFHDMATTNVYYASVGVPHGGIDASIAFELN DGENIGAGFNTTLHTYGGIFNSQLPVSDLIALGVYASVRGCGGPVIPMRGGRVDATAA GPLGVPQPQNGQGTFINQFIRMGFNTTDMIAMTACGHTLGGVHAGDFPNIVAVGTQPN DFQLFDTTTAFDNQIAVRYINGNDTDALAVGISTASGRNSDFAVFTADKNVTLQAMTN TATFNSMCTSILQRMIETVPPTVALSDIIAPYEVKPSGLQLTLLAGGTQITFSGEIRV RTTSRTVSSVQLNYIDRNGATGGTISTTLSGTANGFDDSFSFYGFSVNIPSTTSISSF TVVVNTVGGSTQTFTNNGGGFPISDSVIVQTPQSCYSNGNLTVVAAVRSAVTTPVSMT VTQKVASTAGSPIVSPLPSLVNASMSMVQGATIGPYVLYSGSYSVASAVGSKYGAVSG SSSDTFKDVSGLGTVCSTLGASAPSSTSSSIVSTSSSSQLSSSTSSVVTTSTSSSATA TPTLGHKQTVGAYSFQGCYTEGTGVRALSAASFYNYTGMTTEQCMTDCTGYTYFGVEY GGECYCGNTLASSSTLAALSDCSFICPGNSYEYCGAGNRLELYELSTAASSSSSSLVS STTASTVVSSSSFVLSSSASPTLSGTSSPAVSSSSIASSTSVSQTVPATSSASSTIVS PSSNSPTVLSTPSVSSSKASTVVSSLSAVKSSSQVSSSVSSSKASAIISSSVVSSISI NSSKSSPLVGSSSAVSSKSTSSSKSSTLVSPSSVISSKSSSSLKSSTIVSSTLLTKPS SSQIVSSSVLSSLSTLSSTSSSSSSSSKVTTSSTSPSARPTLHIVQSAGLYNYFGCYT EATNTRALSAASYPNDTQTIELCVAACSPYLYAGAEYGRECWCADSFGAGSVLAPDSD CSMTCAGDQYEYCGAGNRLSVYIRNGTAPSVSSTASTSQVSSVASSISSIPSTSLKST STTTTTVSPVTLVQSSTSKSSTAVSSSTVKSTLSTLVSSTTSSKLSSTSTTASTSPTG PVIKPTISAGGGWTYNGCRTEATNSRALTGAEVVNYNTMTLEICAADCQGYTYFGVEY GGECYCGYVFEAGSVNATESDCSFLCPGNSLEFCGAGNRLSTYIAS LY89DRAFT_638470 MNLFGGKSNIKRVQAVVRTVRVADPKGPEPRPSAVRQHSQTTQT HRATASPAGESPSTPRSSPGTPSVDTADSSRLRAPKRRARRTSPAKQDRVNFGSDGDE DEEDTDDNHARKKQKLAGSIDSKRQLRQKQAFSEEDGGVFDMIHAANISFVGKRNKNS EPVTEHVTVKLQYPSSSQQESYNLVFGRDLIDPCKEILAIAKIVTEVYLTPDQAIAFD EPNSGILRQLVKARNILKKEPTNPDLLENFKSAVDTYNKSITNLIKEGAITKNLDNRH HLPLNMIRCMLRQVYDRAVSPRVDLLKQYENGTDNVYGELLPNFISEALSKTGLKSDQ VFVDLGSGVGNVVLQAALEFGCESWGCEMMKNATDLADAQASEFNARCRLWGIQPGEV HLERGDFLESQAIHEAMKKADVILVNNQAFTPDLNQKLVQLFLDLKDGCKIVSLKSFV PDGYKITSRNLGNPVNLLSVQKGEYYSESVSWTDAGGTYFIATKDEKRLKKWADQH LY89DRAFT_576810 MASWRDEYVQALQERDQRERASYQRLDDDLIAAYTNLLDRATAL EAEKAANTSSVETTKARESTSTPNPNDGSAQLRKDLAEALRSNGQLQSRVKVAEAELV KLKAKSKTDSRLIDDLSKERGYLSQKLKDRDEESRGKTKLLDDVHDEVISLNLQLNMS EQRNKDLRSENKELIDRWMARKGHEAEEMNRTLQDQLDRR LY89DRAFT_715170 MASRKKVLLKVIILGDSGVGKTSLMNQYVNKKFSASYKATIGAD FLTKEVLVDDRLVTMQLWDTAGQERFQSLGVAFYRGADCCVLVYDVNNSKSFDTLDSW RDEFLIQASPRDPDSFPFVVLGNKIDVEENKRVISSKRAMTFCQSKGGIPYFETSAKE AINVEQAFEVIARNALAQEESEEFSGDFSDPINIHIENDRDGCAC LY89DRAFT_396166 MADNYPPAGQNPSEHHDRYSIPPLQSSVKPKGFRASAGLENVAR RTLGIILLLTTVVLWTASNFLSSYIFADNTYSKPYFVTYVNTATFAISLIPILIRLSY EHGFAHVQTSAVEFWRSRVGHYRGVKTKSEDTEDDLEDPMSASQTRLLVDDEPASMHG ASSDVGAQEGMLSLQETATLSLEFCLLWFAANYLVSACLEYTSVASSTILTSTSSIWT LIFGAFARVEHFSYRKLIGVFASLIGIILISSVDLSSENNDENRGNFPHKTKREIALG DAMAFASAVVYGVYIVVMKKKVGNEDRVNMPLFFGLVGFFNLVFLWPGLFILHFSGVE SFALPPTGKVWTIVLVNAVISLVSDFAWAYALLLTTPLVVTVGISMTIPLSLIGQMLL SAQYSSATYWVGACVVLLSFLFINHETREEGEAQPQPDAVYPV LY89DRAFT_729678 MSGTSYLQSILMYPDTCGGGPSKSRHIEVVGAVLLSLLLAFSIC RSGRLVWEIKPKSPSKSRRTEEGKVVSVWIGELLNFLAVCAAVVAISLFIVARNHGLG QHLCDEGLTKELVLFTQTIIAASQVDDKNTELMTWVAANAHTLDPILTMKLQQFLSNF ATTMKYLYGCDTAYTLSVTLQKFSNDRLLLSMFGFNNRSFQRFIYWHMGATAAVLVLS GFLSFGGIWPIEASWDIAVTRQRFWSPKLIYLSTSIANIILDAVVVPVPIYFVWKLRI AASRKAFIIGLFFFQLLACCFGIARISMLDGLFGADLTYNAVTPLVLTMAQLTIFIIS FSFPALRLIFLSIRSTFRHLGSNTSTIPLNDVRASQRHGYMRESVGSEQWAQWDDCFI DDIPDNFDDDKPAPVSDIESTGSCNGFATLVESRV LY89DRAFT_768590 MPSIFINPTPLLSKVEGPHSLSERVNIATRSLHTQLNRLILLRL PLALPPRTTNPSKYVSGLLFIAPIYITFESIWQSVLDSPCEEEEAKQSLNGISDARSP TSEQGTNPATSSPYILPRKTCSRLYCLLSDLQLPGLPRAETLRTDIRVLTGTPRYKVQ EQLSAVSQHGRLAEFLSHTKNSVDANPHVLLAYAWVLYMALFSGGRHLRAELKKAGGI GLDFWNRELSPVRPYSITQERSRRHESKSTTCDSERIPRLPRSRSRSESAASTMVPGM QFFNFPGSEDGEDIKREFKARYAEAESHLTEAEKEDIVSEAQYIFKFMLDLIADLDLI MQTREEELETERLLDNSRPLVASRDSVAVTHERLSRRRTSDTKIMELPRKASYLDVFV NQPIAKLTQFRGAVATWDLVMKPLGRRFSTDGPSPQVSFSSESEKDGQTSPSFTTEHY LVMLVVMVSVSTVLLAYYYASS LY89DRAFT_576533 RLDAQHLLWKMYLSGYILHPQIPITDGMKIAEIGTGTGIWVFDA ARSLPSTVQLHGYDICNAQYPVKELWLSNVSLGLLDSLSDPPSELVGQYDVVHLRMWA SNLRENNTTPLIQHMKKLLKPGGYVQWEDADLINQEIKGEDAEQFAVMMREILKQAGL QYEWVSDLPNRFPLQGFNVIEAGHTKFEYDLKQLCTSTYLMALAEILQGIERNSAGRQ SISVQEHVKALYRLFPQSRNGMIYNWNPVTVLAQKVE LY89DRAFT_694557 MKLVFVPRERGGIWHLGLGNHASLSIDAAGKTATMCKVGGQENF PVVGPLADIAQEFRAEFSGHEGKMFGQVGFNYGQHVRGQAYLPGKWPIMSLMVPITEV TFEPGQIVLKSYNEQQATQVMHFLDRTLTRQVRGTADPTPVNTQGKAEEYMQQVAQAI TEIKSRLYTKVILSRAIEVPKRVDMLATMLHGRRANTPARTFTMNHAGFQATGFSPEL VVAVHDGKVITEPLAGTRSRVGTKHEIEIRGKELINDQKEILEHVLSVKEAIKELDQI CVQDTVVVEELMCIKERGPIQHLASTVTGHLSNSLNGGSCNALNVLFPSITASGIPKD TALQVIERIEERPRELYSGAILLLEESDDFEGTLCLRTVFQDSSHQWIQAGAGIIVQS EPERELEETKEKLASVAPYIFIAESRGGSGRSILQPFCDVTNNHLSKFCVREPGDNEI GKKANERPKCKIHPSHKDRTLLSWNDDECDQRC LY89DRAFT_396234 MPRLQMISAVLQTVFLIACGLVISHNLYLSVEGKFTSNDLYKNW TEAVELAAKNHNRSNQTTLAIGPGAVVISNDTAFNATSTSTSSSNSNESFYLKSLPRD LLVYTIITIMAYYWQIWLERTFPARPRPSVKVVSEKFGDDESREEEVVKKWIAQGKIR RASLSWWNTFVKWALDMVVGGLWMTTVYILLGGLLKMDTSPKALFKGLKWVRLSIPSE KYNQG LY89DRAFT_681504 MFYQPGSTSHGLARDPFKACVVPRPIGWISTRSSTGQDNLAPYS QFNNLTFDPPYVMFAANQTETGVRKDTTVNVETTGEFVWNMATYPLREAVNITAEQMS PGIDEFERANLRKEQATLVNVAMVLDSPVKFECKYHSTLRLPGNPPMGSVDIIIGLVV GVHVSDNVLTDGMIDVTKTEPIARCGYYQYARIRETFDMVIPGGGKVLYGLEGSTRLN AKERRVDPDGQAGGVS LY89DRAFT_609048 MDIEALKEQWSEVEDRDGLRLSWNVFPSSRMEASRLVVPIGALY TPLKEKPDSPILQFEPVTCKQPCRSVLNPFCQVDVRARLWICPFCLSRNPLPPHYKDI TASAIPPELHPSNTTIEYRLSRPAPSPPIFLYVVDTCQEEDSLSALKESLVMSLSLLP EHALVGLVTYGTMTQVHEIGYNECPKSYVFRGSKDYSAKQVQEMLGLLSPNIRPGMQQ QQQPGRPMPPMGPAARFLLPVQQCEYQLTKTLEQLQKDPWPVASDRRNLRCTGVALSV AVGLLESSFQNAGGRIMLFAGGPATEGPGLVVGPELREPIRSHHDIDRDNIKYYKKAL KFYDNLAKRTAHNGHIIDIFAGCLDQVGLLEMKGLSNSTGGHMVLTDSFTSSMFKQSF VRVFEKDADDNLLMGFNASLEVLTTKELKVTGLIGHAVSTNKKSTSVGETECGIGNTC SWKMCGIDPNASYGIYFEIASQGGPAQHQQTPQKGMMQFLTYYQHSSGQFHLRVTTVS RNLSGPAGDPAIAQSFDQEAAAVLMSRIAVFKAEVDDGPDVLRWVDRMLIRLCSRFAD YRKDDPSSFRLEKNFTLYPQFMFHLRRSQFLQVFNNSPDETAFYRHVLNHEDVSNSLI MIQPTLDSYTFDQDGSQPVLLDSTSIQPTHILLLDTFFHILIFHGETVAEWRKAGYQE QEGYDNFASLLEQPKEDARDLITDRFPLPRFIVCDAGGSQARFLLSKLNPSTTHTTGA YGGVGAQTAQTIFTDDVSLQTFMDHLMKLAVSGTN LY89DRAFT_665345 MPPKIDPNEIKVIHLRATGGEVGASSALAPKIGPLGLSPKKVGE DIAKATGDWKGLRVTVKLTIQNRQAAVSVVPSASSLVIKALKEPPRDRKKEKNIKHSK SITLDEVIEIARTMRFKSLSKELKGGVKEILGTAFSVGCQVDGRSPKDVSDDIESGEI ESRVPPTVLEHNTC LY89DRAFT_665346 MIPQVAYHPRNLSQQFGSPGFATPPYDHSSTPRRLDRVAPLPYR NSRASPSTLAPPQRAYPVDSHDGYQPSLGRAPSGFGGQYNDPSEHMLRRKTPNGTLAA GYDGTPVQWSSKAPALKHVVLPVSGEPQSQSVTYTFPSLEDARSRQRSSSSGWKHQQQ VGLIRSNLSGRMNSDPGNWLQPPSDSQNVWDQIRTHPPVTFLPSNGMQIPTVLQPAYQ PAPGPTASNDGGLYGPYWPDGRFVPYRPAAVRDQWQQPSIGFDLATNRSYDQPLLPLD STRSFRYPPMNGAQLSQHPEGSTMMNNSPILGETHFGNGQLTHSRHISSGPHWSHNDG SRTPTVQSVSKANNPRFKEKTLSWAHSIYVDLLAFLHHSRKDNKQFRQHHGLRAYSKN NIYPKPPRQPASYLGSPTWADLDDVEGNTRNSMRRASVMSTPLQRTNQGNQYNSWHIN GNSTDQRHRTSNSDVPHYVPPFQGANNVNTSPTCKAKEALEMLTTLCDQSGWSWIDGM LLGGCLAYGLEEYQVALDWYSKIIALDPNHVEAISNLAATLLCLNRRGEAEQYWLQSV KLRPSYFEAVEHLIGLLCGDHRGNEAVNIIEFVERSLRSPKSEDSLDYFSETSSNTDR DSCDSIGTSAETIALDYDYESDSMFRSPLVHEHEDVASQPGFGSSGFSVPGSENGRIL ALVHAKGNMLYSLGDVDRASKAFEDAVLISTGKAFHDIHELIRQIVSVLSLDGISPLR ADFRSPLHSVPSSPLLLPPGKALQTARLVFAKNGDLPGLRFVPDGLAKRAAVSTTSNS LLSLAKIFQDAMSNGSARQRISRMPTGVGDILALYYLSLSLQPSPSTANNVGILLASV QQPPSNRQIASRDTYTHPTIPGVVPGSGVALALAYYNYGLNLDSRHAHIYTNLGSLLK DIGQLAAAITMYEKAVDCDSTFDIALANLANAVKDQGRTSDAIEYYKRAVAASPDFAE AVCGLANALNSVCDWTGRGGVILDGGKQDRWHVDEKGMIMDARGQGSGWMKRVVDIVA KQLRDGSAWGRGTLQDQTIHHLLQQLEAADAGGKWSPEKRDSIKASLLACSGQRWEGA RIIRFVERSIKRAMHRWYHDKHVANRQLPPSHYPRPQLPSTLTIPTAPTVLPFHTFTC PLSAKDIRMISQRNALRISCSTLRAPWMQGPVFQPPRPPAPHLNIGYVSSDFNNHPLA HLMQSVFGMHNPSRAKAFCYATTASDNSVHRQQIEREAPVFRDTSTWSADRLVQQIVQ DEIHILVNLNGYTRGARNEVFAARPAPIQMSFMGFAGTLGAEWCDYILADETAIPRST LRPSRSNVFLEDQLTDQKTHEDEDWVYSENIIFCRDTFFCCDHAQSEPRENSLSWEDE QSKRWQMRKEIFPTISDDAVILGNFNQLYKIEPTTFRTWLRILDKVPKAILWLLRFPD LGESNLKRTAKEWAGESVASRIMFTDVAPKHQHISRARVCDLFLDTPECNAHTTAADI LWSSTPLLTLPRYKYKMCSRMAASILKGALPRGEEGRRAAQELIAEDDDQYEEFAIKL AKGFSYRLTSSGRGEGIGRLGELRKLLYDNRWTCALFDTRRWVSDLESAYDIAWQRWL TDEEGDIYL LY89DRAFT_416021 MSHRRGPWSQGEDAYLVQLVHTQGALNWVRIAQLIGSRSPKQCR ERYHQNLKPSLNHEPISPEEGMQIERMVGEMGKRWAEIARRLHGRSDNAVKNWWNGSM NRRRRIVLRRRTSAHGSSSFDERAQPLSFARPAATRPLTLSSTTYAPRRGVDGPLPSP AVSEASRAESIEGAPSLISDASSVFSTSPRLAKSPSMELPPLLPPFRDTRRPSLPSLP FRPNAFLTDVDSQAAYPFAPRLQSDAKAHNMTATSPAVYPRPGHYHHDMSTPHSPRDY RSDARSQLLTAPPTPIQLAPLQMSPRHEQAEPSADRDSRMHLSSLLG LY89DRAFT_416699 MDDPMKLDADTKAKIRKVNASNAHRDSTPAQSCMSKQGNRSCDD VGHSVESLAMQKNSRRMPLSAMFQHSETVFENKFIETLRSEPVQRHVSMVKLQCTTLI LACQKYGGMLLQLEENAQECFVSRFEGYTVLERVSCDHKEAGITSLWQFFHHYNDMDC FQFSYHRHIGPG LY89DRAFT_416575 MAGFEQRVTLEPQVLCEAAFHDFGTVIENPAPSLVPSSKITKLP PNSVQANQGSALKYLNVTYMRDCYESAPSKVLGKAVMNMFVCAPRSLLQSSSSTVEGL FPIEILERHPYTTQTFIPLGLSSLEAQRARYLVIVAPSLPPSPADAGLPVPPLTKDGQ SLPGRGLPDVGKVKAFIANGSQGVTYGAGTWHAPIVVIGEKPIDFVVVQFANGVGVED CQETLVSEGGRAQLLVAVPKVELRSRGAKL LY89DRAFT_729689 MERPFHQSHLDAFDGLDDDDADDLFAKLIAYACPVEILAERYRP WRTATPAQCIASVLGKFAPSGTEPEASSKSKKRKAGQTNDVREMSEEEPRKKKKKKKT SQSAFSSTASSSTASSSTASSSTASSSTAPPVNPAPSNAAPSNAVPANAPLAKAATIR GKWTDEEYQAIFEEMQRLQTAELAVSSKILTKDMKLYKELSARLMERPTPIYRTGLAC KNFWIRFGKLRSKWDEKNGRHSNNLHGHSLQGILTQAEQAAKDKRMGKL LY89DRAFT_681514 MAMATDMDIEMDLDIGFTEEDFMVPETGIIPDAEITGQQDPTPI LTNPNDNSNPDSQEPTPEKVHLRGLDNLTTKDIKEFASEYYDTHAALHVEWIDDTSAN LVYADADTARDALIAFASMEVADVTRLSAQQMLPAKPFPAHPDTNLQVRIAVASDRKQ AGARDRSRFYLLNPEYDRAENRKRGGYRGGRKSYRDRDDGGYRSQRYDDREQRKREME SDFDATLYDDDEVAIANRAARRHVRQDSSSGADSGDRGQRRVRAHRDRELFPERGYRS SGRLRDRSASPSRETDLDRDAARMRRQDAVAAENRSKAQAIKARLRDAQVTKELFPEK ANISHHRSGAFDAADETADLFANRMPVPFTDGSSDVRPRGSLASRITRNESENDIGFS IRGAAKASPANTQTFSIKGAARVKELFPSTFGENAGKELFSETLEGRGRRRQKAEDLF Y LY89DRAFT_715183 MTEWLRPVFGRARTPMPSTGSDRPASFSYDESTESEESRPRPAS RVSSYIGLRPSTPPAHDPDTFPNFRKPDNVYHQPSGDQMAEMLKVAMMSQSSFAPLPI EYNSCVLHVLEAYQAMRMEIGRKEDEIQVMKHKHTEAIKDFEDMATQWEAREKDYKAE LKKLEVILSQTEGGMEKVTLARSKSTVHGSKRIKEVVRSSRPIKQRDSELARSDISTP TDDGRTIYIQNSGMPRHKKKNRKQIEPPVSDSNLAKAHFEVPARNQILDSQLSSPALQ TATDRISGSARRNSTGITQARLEALHREQALRQLGVAFNSESESSDSSSDSEALDLRV PEPRYRVEKDLQESLLETPQKTPARTLRRGASDQTDSPKTAKKNSSFIPTQMGFSFRS GDDLDILGQRSSLYFRRRMSQETRQNLSGSSEQKSETKGVQETSKQSQPKSSIPKPKL ELVKRSISPELSPEESKGLRRYDSTSSVLTAFRANSGRNSSDGSQRSSIHPRRTQGSE RRGGSSDAITAATRAVASSRSDDQKNSSETTTSDYQAGTRSWDGNRNGSSLEHAGGQN DSRNGPRSGGAGGSSNGEGSSQMIAEQTCGSGSN LY89DRAFT_681515 MKLIASTLLLGAASAAQQQILSNPFKGQNPLKDAVKPVADAWSK SLNHLADSMKDMTAETKALWDEVAMHFPEAMDKATFFSPPKPHTRKPDSAWDYVVKGA DVQDVWVENTKGEKEREIDGKLEAYNLRAKKVSPDLGVDTVKQYSGYLDDEENDKHLF YWFFESRNDPQNDPVILWLNGGPGCSSLTGLFLELGPASIDKNLKLISNPYSWNANAS VIFLDQPVNVGYSYSGGSVSNTVAAGKDVYALLTLFFKQFPEYAKQDFHIAGESYAGH YIPVFTSEILSHKKRNINLKSVMIGNGLTDGLTQYEYYEPMACGKGGYPAVLDDGECQ AMANALPRCQSLIQNCYDSESVWSCVPASIYCNNAMIGPYQRTGQNVYDIRGQCEDSN NLCYPALGWISEYLNKKSVQQELGVEVSSYDSCNFDINRNFLFQGDWMQPFHRLVPGI LEQIPVLIYAGDADFICNWLGNQAWTNALEWPGQKDFNKADIKDITLDNGDKYGKIKN SGNFTFLQVFGAGHMVPMDQPEASLDFLNRWIGGEWF LY89DRAFT_638512 MPINQPSNQIKLTNVSLVRLKKAKKRFEIACYKNKVLEWRNGIE KDLDNVLQINNVFLNVSKGQTAPSADLARAFGPKTKVEDIILEILSKGELQVGEKERH AQLERVHNEVVGIVASKLVDPKTKRVYTTGMIEKALDMLSSQGSQAQAAGSGTGTPGT GEDGEAKPKTKEHVWTGVVATKSAKSQALEAMKALITHQPIPVARARMRLRITCPTNV LKQSAKSAGPKATDDTEDGEEKKGTVKDRILGYIEQVETQDVVGSEWEVTGFVEPGAF KGLSDFIGSETKGQGRVEVLDMAVTHED LY89DRAFT_578206 MSLVSAEKSNFQFILRLLNTNVDGKQKTLYALTKIKGVGRRYSN LVCKKADVNLDKRAGELTSEELERIVTIIQNPTQYKIPAWFLNRQRDIVDGKDSQILA NGVDSKLRDDLERLKKIRAHRGLRHYWGLRVRGQHSKTTGRRGRTVGVSKKKGG LY89DRAFT_704866 MTILEQQPAYSTTGIKSTAHSPVRYAATEIAPAKSARARGAYLR VSFKNTRETAQAINGWKLQRAIKFLENVQEHKEAVPMRRYAGGTGRAAQGKQFGVSRA RWPVKSAEFLLGLLKNAEANADTKGLDTGNLIVKHIQVNQAPKQRRRTYRAHGRINPY MSNPCHIELILTEGEEVVQKSEAVVGRESAHLSSRQRGARQRRAITAA LY89DRAFT_417116 MRIFMKRLLRSSTDPKESASTTMSDASPTKRRKLKGANIMKPKK VTWPPNVLPVEIFTLIVSYLPRSNIQNLRLVNKEFDRKVSEALFRVVVVPFRPEIYGI TPEPPLSYDALQGSIMLQDKGMRVFQGFGRWIQKFAMSFEIDLNKLANPPLKCDQEAI TTFWGIYKWPFKVYNRYSQLEGLEQTADETRTMAKALMFIESAKELGLSIDGGLGWLP GPDINTRVAERGEKLAVFGASRFVPESKPRLGRQGKASSIATNADSVSGTYSQYERML QDAGYQEDDLESAVRLFLETEEFGAPPLPNFQGDTSAWDSFGLQPAAQQFVPETWRRL RRRDALLNGSNGSDSTDHGVLSNATVELASLTDEDDDGFEQPDYQSTAAYSYPTKLPK GKNDGCLLKPNDLSNAQREMLLEMEWAQNAFMQSWAIAIIDNQETFSRIRTLNIARLP SRHLPILRREDFWDSLPELGSLSLAIIPDWREVRKEATSWVEDNRVAPSRAVVSVYEL LSQQISRRENIKSLHFEWLCGGEYAPGLFARNQHILAAPVVAEAMHMVNRSQQHSLLA LPNVERLSLKNCWLTPHIMRNFLLPMKQTALQSLTLDSVSLTAPLPQNASPNHMTPAV VVQNAQHMPVQGGPIGANVFGNLLGGLNAQNQAPVAPPPPAPVIINATDLAWFETPRP GSWADIIDHLTPGTTLEAIRHAREMGPEPEPRAETNLSKLSFTSCGYVRLPLDFDQTI LDAPQGVHQQAGGTVTKRMNDIDCFMMKAQDHALAVVANHMSQLESATLENAWDMTVG WRDSRPELLPDAQLDGCMLAGFGRFDGLIEVARPPKKPSRDY LY89DRAFT_545696 FSPPRREKARADDSHLPKGNCRYILLHPEVKGLRCACVGYSLNR SIPGSTCDCGHQACYHEPDKENVSFEMNELEELKKKVDMLLQAELGRDKYPARAELVG RIGRLEETVEGQKAEHDADLKNVQMGMSGLWQHVGSLEQRTPYYQDHIEELVDDVQRL KDDYQKIDARIIEIDDATMRTEDRVDVLEQTSVNGPAISRRRKASTPPSPGLDDDVED SIKSEDDMNLSQFGDRLPRTFVLADEQALHIQSFRQRVSSVGSGAQSWTVHVSLLPSS SQPFPFEKDTAAYKRCLSRGLHQMIVVPDCDSAAFRNAVDVAFVKILCGRLWHPLVAR LCDAKNLRGLPMLRQLAPYLIGSDYNYDFLQQNCAVNDDSGKILDLYIAMADDTLSWA ELRVVTPHILGLEAAWSYDPYLDG LY89DRAFT_416789 MITTPRRLALREGKTHSRSPTHRHTHLYTHTHSHTNKKVLLLYL SSSMHQRRGYVAHGTPQGCGIHLHTYSLHCSEIYLGMCYQQAIASQTLHPPQSPAQHD ISLKLGCVLQSMNDSRSRQRSHCHGQKKGQICMNERKLFFRRPDAARVPPNGLLHTQY EHARAG LY89DRAFT_729697 MGEFHDAVTKLLGAFSCGISMIKAQRRKKEQIPIDSTSKKAETH LSKSLKKNRLEVENAYGEDLVRYGPSFADGDAEAHSSLSKILFRLNAVIKRFTTGKTT NTDYQALLNLSNASRIEAINTFEQLSQRLSRSSLALVPLSPAMKEASQRHRHRRKKSS TSSNPKHTRSKSAPELSVTPQGWVRLKTVRKQSSDSTKSSKTSGSSTPKQSTSKSQTA QALSSPSKHSPRPRQAQIADVPYSNSKENEQITPPPQYTAFPAEPLRNSRRADNRKSF MSFASDSTKIGEIPEHRWAQTAAMQAGNYPMTTYYPVEPYQEPEKQRSRLMKLFRR LY89DRAFT_681522 MFSSSSITLLLAAASAVFAQFVPAPTDLINATGYMNIPVRYKEV PTGICELDPNVKSYSGYVDVSENEHIFFWFFESRDVDPSEAPLTVWINGGPGSSSMIG LFQELGPCGVDYDGNVYSNPYSWSNVSNMIFIDQPTQVGFSYSIPVNGYVDASSQAII TLPDATCPDYAIDSESCGTYSYPNLTLTANTTADAAPNFYKTLQGFMGAFKQYSRHSF HFATESYGGHYGPIFNEYIEEQNAKNISGAHKISLKTVMIGNGWYNPLIQYQAYYNFT VFPGNTYDYSPYNASVQAQLYNNLYGAGNCVDQINDCASRGIDEICAAADAFCANLVE SIYDIYLQRDEYDVRELNTDPFPYGFFVEYLNTPEVQAAVGAFQNYTESSESVYTAFT ATGDDNREDGTIEAVGKLLKQGLTVMLYAGDADYNCNWLGGQVVAHEIDAPGFDCAGY VNLTTSDDIVHGQVKQAGKFSFVRIYESGHEVPFYQPVAALEIFERAINGKDIATGMV KPDASYLTEGTAESTYREGNATIVIVDLPANATYNTTLNGPNPTKRDLQDMSEVKRGS GLLRLGKRFKPGGK LY89DRAFT_681523 MSEDERYRTSTQYRLWSYTPQALLALRTTTNQIAADRVREAVRR IREARNISSADTSEAENGRSASAAIPEGEVDCLTVEEELKLVAFYSRQTLQLGDHLKV PTDVKATAIQYMKRFYITNSLMTYHPTDILKTALFFATKTENHYFRLTKFADAIGKTK PEDVLASEFLLTQGLRFTFDVRHPFRALEGAIMELEAFAKGGVPPLPGALIVEGTLAD MEKRVKIAHGKAREYLKISAALTDVYFHYTPSQIMMAALMIADHELVQWYIGVKFPAA DGVMLSKVLEVIEKCADMLKTVAPNSEPSAAEKKELSALAKKLKKCRNPEKMDLVALQ RAKREGDGEDEEKIVKKRKLERESSAREADDLFGPPIKKE LY89DRAFT_638532 MSANQDSVSNQGEFHSRVPPSEPLTTKGHAPGVKVGNDAAPEFH AETLPAGTAPSDRTFQPQTQSEIPGQAMNPNISKETWTSASDTIGGATSADVHTGYGH PGSGQTSNELHGVRKKEKNGLAGVGADPTNTFRERGQDIDFPKGTKGKSGTLEERQNV PGAEEREPVSSEEVAPMRD LY89DRAFT_638534 MAVQQRTSGVLARIQSLLNGIISPETRERYWNKIGTFAQEQPLL LTFGLAQLIFSFLPLALFASFVLGTLLLSVISAILFSLFWTGVALLLLVPTLFVTVSL GFIFWVWAISSFLVAKWTYDMMPVSVRGTTEVGLPNGKKFVVDKGEGKGDFKGEMRDG A LY89DRAFT_681525 MNTGVRRLYGVSRSLPRPFRRSIATGASASETATNEPVKATPKF EPKAEDSPTKVHENLDKRYEIGGRLEFGPDPLDRHDEKGLLKWGAWGVPIKLETAKGG SVTTASNFWLRDNCRCDKCINQDTMQRAFDTFSIDSDIIPEEVITEKDGLKVKWAEDG HVSKYDWDWLIKHRSNPLPGYMDKEKKLNRHTYWGAEISSNPPSVHYDEIMGDENGVG AWTDKIREYGFCYVDGCPVSPEKTQELLERIAFIRVTHYGGFYDFTADLTIKDTAYTN LALPAHTDTTYFTDPAGLQMFHLLSHTDGSGGASLLVDGFNAARELEKESRAAYDILA KTPISWHASGNEGVTITPAKKVPVLNFHDVVEKKVPNLMQVRWNNDDRGVVALREDHG MGAEKWYSAARKWNAILKKKDMEYWAQLEPGRPLIFDNWRVLHGRSAFTGKRRICGGY INHDDYVSRWRNTNSSREEVLKQIL LY89DRAFT_681526 MASKSYNVGVIGYGLSAKVFHIPFILVTPTFKLHSIVQRTPKPN DDASKDHPSAKIYHSADDMFADSSIDIIIVTTTPDTHFSFTKSALEAGKHVLVEKPFV PTSAEAQQLIDISSKTGKLICVYQNRRWDSDFLTARKLMADGKLGRVVEFETHFDRYK PVAPETWKGKLGMNDAGGVIYDLGTHLIDQAYILFGLPKTVTAVFANQRNDSEEEPDS ITVLLNYGVAGPLVTVKAGVMCIDTEQLRYWIRGTEGSFKKFHLDPQEDQLKAGSSKP GDSGFGVESEDRSGKLVTLEGDTHKQTVVKNLKPVTYAKLYEEFSKAIEGGGEKQIPV KASEARDVLKIIEAARESAKSGKSVTL LY89DRAFT_778534 MIAAEPGKKRRQCGPKTRTGCQTCKIRRVKCDESKPSCKRCTST GRKCDGYVQGSIPSPDDKDFGAVIQRIATHLPGSSQEKRGFQYFVTTTSAELSGFFTN SFWEHLILQASATDPSLRHAVVAIGSIHEEFAHNRLSYDVESESKGQAFAISQYTKAI SHLRRSLLNGKQAPITALMSCILFACFDSLRGYFDNAMIHLQSGLKILKDFKAQSAED AHMVETHITPLFMRLSIQAILYIDTRTTSERKDLVNEMAEICARETEVPEIFESLEDA RKHMNQSAHGLFRMFHICDGTKPMQDQPPEAKRMFLQYTRQMTAWNKSFEKFMAAKSH TFNSKQLRGAALLKIHQLVSTVMRDVTPDDRDARPVSESVNDPNNFRRYESDFRIVVN LARSLIVAAEQDKMMGKSPLTFSTDLGLVGPLYYTCIRCTEPTVKMQALELLLQLPRR EGMWDSESTVKMIKEYWEIERRHEQLQKATGELATPVPLVEVIDLVFGDGMRWEWKWK HPLGKMPVMLKNGEGSEVISTFSDDWKDLLEDQSWFTEDFTMATGYSPLTDGSIFGTE SMFGMDGAGSLGIESPAFGTPASFGVDSTFAFKNEDSVSPGAPELMQWVVPTIVPDTR NDYEWSEL LY89DRAFT_418040 MSAPILNDGSEDVNDFLQRIKELGDKRDQEDEERNRLLEEDILK GRAERQARRAERARSISPTKSSPANTPIAARMSAAQAEGLTQSPKINPGSGSEPRPNI GIEDPMDKLTSESASTMKENISPVDSERNTSEPDNKRDSSARASPSNAMPSRNSTLSW QRRPNSQASDKPRSRPLSMVATENAARSPRATATPEPSTNPEPTLSREQIAQSLASKD PAWFRQTADRGLNSPAYRRNQVEDEDRSDHGSNSVRVQMPGMSQNGSGAEKTAEDPVD RSSSPSRTSTLSGSGSRQSYQSSPKVSSGFGSPMPLTPAQRLEPPADSKPESRSLAMS PTQGRISPERLDRPPSPTKGLGGFVQSAMMKRSDSVSKRWSVQSPTGLSRGNSVASRG SVDLGSGNTVGNPVKTTAQESRPSSISREGSPRPLSRPTSSHSNATLTQERPGTSSSM RSSMTTSTASTTNDAFVKPTLPAPRTQTPTESKSALQASSEETPKRAEITPPSSPSKT MDPRRWSPTKSSWLESALNKPESPKPKVLAPAPQQPAWMSEINKAKQKGSADLSRSPA SGPKHEVSIGGLMRSPPPGGLTKPQSLGSISSAFVPGSIPKNSPDATQEIQGLSKLSE PSYESSPISKSSPAAGKVKPETPPKKDFRANLKPRVVPSDSDKSKEPEFKNALGQLRR TKTQNYVAPDELKDNITRGKAALNFTGGPKKTERKDEFKEAILKKKDDFKKAQIEGKG VTRSTSGGNIESPLPEALAKRRALGRSDSNASDLSGQGSSSRPQSLADSPMPTLTKET SAPGRLQAKEPSVRKLAGRLNPGLAGLLARGPPPMASDTSRSSSPAQSQRTTSVSTST TEPAEPGPQLTHITKARARGPRRKAPSTAPVAAAPISAGVTPTGSLSQGVKKEVSTDS TGSETPKKQILSSIETKVASAGPISPSKTGPGSAVSQPSSPRKLDLKRRSQFLQDASN KTGSADPQLEAPKPLSPTKKANPSEISVKPQQPVPEPKAAPITKAKPATPQKPSGSFS KAADQPRTASTDSPESAKLRPTPLSIARPEIAEQAPSANGPQSSTHQNPIKLSDAAQS PILSVKNAAAQWSKPSPTTTQDSPRVRSPIKLPTQADEHAAMIGAGLRAASPVKAKES NGPVFQSVKDARPLPTPPMKGPISPPSSAGLGPPEIATKEGNSPIPQTSEASKMIGEF FMNSKTIAPDFKVDTATLLSARPDQGTEIKTLRSSLYQLSSDGKKQVVPSHQERILFE GNLYLCTHTFGTPTGKRVTEVYFWLGDEVPQSVAEDTAIFARREAKSAGGELITIRQG KETPEFFHALGGIVIIRRGTSNKYDSLAPHILCGRKHFGQIAFDEVDFSPDSLCSGFP YLISTQSGKSYLWKGRGSGIEELSCARLIGMDFGLTGEIEEVEDGNEPASFLEIFGKG AQIPKSADHWKLKSNYNKYCVRLFKADSNAKEQVSNDQIVAGH LY89DRAFT_681529 MTIGDVGGQKTLRSYWRNYFEKTDALIWVVDATDRLRVDDCREE LHGLLQEERLSGASLLVFANKTDVSGCMDEDEIQQGLQLDAIKTHKWHIIRCSAITGA NLQEGLAWVIQDAKARLFLY LY89DRAFT_770041 MPEPRRVKVGTLADSLWAPGRSEDRAAAFRAERVAKAAGTGFYR PTPSSNDDDDNEPELILVFDPHIEAQLNKYLTPPQSPVQRPVPAIIAQTTQPRQAQNI SEADFFAAYEARSKAKNPTPSPSSASKSSPTSSLKQAKSQAQSSTSSNSSPLKLNPTA APFRVTSNLEQAKFQANSSTSSTSSLLKLNPTAAPIQQRSSSAMAATVQPRQAQNISE ADFFAAYEARNKAKQPTASASSASKLSPTSGLQQAKSLAQSSTSSNSSPSKLNPIAAP FQVTSNPEQAKRQAKSSPSSTSSLSKLSPTATPFTMNVTASRNILVTGATGPWPQFGV ECIDENWVTVSGLDQPELEFDDRVSEAGLEDLPRQIVRATEGNDMTNQLLDWDGKRWA PPPACWENDRAGFDGAFVPNYVREWVQTTPSGEEVTLSTSTDAFIDGKAPVDNDVLIS PVSQPDIVQNPNPDSDLVTKAPTSEAAVLLALNKQKAANNKNKLNKATIKHQNEQLAA FVPGPSPFQPAMEMYLRPAEPKDAAGIAAIYNMAIQSSNIPEDQAPITAEQVAWFITE FKDKLPFIVAVRGACPKVHSTDSLEQVIGYARCEPFDFGMVGATDGRSRGTGLLHVYV DPQFRRKRVGYNLMDRLLHILSPGHAYMEGAKWVNPTGSKYAETAGCGRWHQLFFKFA VFKDRDDTYEWMKSFLYGKFYFKETARLPSAARSKLASRDAQFMDLVFLQREAMPAGE FEPYM LY89DRAFT_681531 MSVIPDIEFHDRPSSRDSNVSLDMEDVDQFVSISVRPRPKQKYP AKLHAKSVYNHLGEKSGLIYLPGFPYVEWEDSDQQVFFRQRRYFYYLSGLDIPDCAVT YDIKNDSLCAFIPPPRVGRSIIYTGQNPSIEEIKAGYDFDDVQLLTSLDGYLANFIHH DPSSKIYLLHAYQAPRDFLRYMNMPDGSKKDVKFDSYKLKPAMDAARVIHSPYEIKMI RNASAISAQGHINVLKGIKYLDNEAEIEAIFTATCIAEQAKNQAYGVIAGSGVNASTL HYEANNEPLRGRQLVVLDAGCEWKCYASDVTRTFPISGKHTTESKAIYDIVERMQEEC IAMVKPNADFRDVQKHANKVAVEGLMELGLLKGGSFEELYLSGVIRAFFPHGLGHYMG LEVHDVGDGGNLLMLSQHKIIDWRSIDAEIMNDPAAYAASILAPNQVITVEPGIYFSQ YAIEEDALKDPKIAKYINTDLLAKYYPVGGVRIEDDILVTEDGYENLTTAPKGDKALK IINEAGEAKVEGKKQYWFW LY89DRAFT_778538 MTMSDIGENKIEVTPKVADGHDIAQIEDSTAEPVVKVDSYKDDH HINLTWRSWMVVFVTCFAIMAQVFVVVAAGSVIGFIIRDLGDGAIAGWIIQGPLLMQS VLSPIVGRLSDVLDRKWLAICPVAVSFIGAVVSAKATSMTMLIGGGILVGFTLSTISI VQAIPSEVLPLKYRALANGFAFLAGAAGGLIGGLGAGAATNANAGGWRSIFWIQAGFF AATILGLFFFYHPKRESDFPKMSWKKVVWACDPIGSFLFIISATLMLLALDWAGGVYA WHNAHVVAPLTIGLVALVAFALYEWKGREDGLVAHVFFKGGPNFGLSVFAFAVEGWIF YSAVNSVTPLVVLNLGFQTNSWKISIRQLSYTIVTMFASIPITLYSTWKKDLKSPLLI TFTLFLVVAICYANIKPSMNNAQIGFNVISGIGQSGPLTLLVALVQFTAPHAYLSTAT GLAFSARALGGAFGSAVLDTIINGKLSSTYASSVSTAAIKAGLPDTSVTALLEAFSAG TGFADVPGLTDASLAAATHASQESYAAAYRLAWSSIIPFVVLALIAIFFLKGVKELMT EHVEATVEKDEQTEKVVV LY89DRAFT_638557 MAFGILEDKHAEHPPGTACMNDQSDVPREYEDVPRELLKHATGR YSHVILVPQPSDSPNDPLNWPLWKKDGILLIVGLSAAVVGAFGPMLSPGFLVIAASLN ISVPTLGQSTAWVILTIGLSLFIANPLAKKFGRRPVFIVAVCIMFACSVWGAFSKDYK SFLASRIIAGFGMAPYEVLVQNTIGDLYFVHQRATRIAAWNLFLLCGIAGGSLISGYI IQDIGWKWTFGVCAIFFGIFIFLVVFFVPETAYVRAPVKERLGTITHGSDSEKVAGDE KNVEASATGKTTPDSMPAVEAVEAKESYLHSLRFMSGRKYTDAPMWKILIRPVVIFWY PAVLWAFLIYGISLTWIVVFSVVNASIFTLPPYNFSVSQTGLISLSPFIFTILGEVIA GPLNDWICLYLTKKNHGIYEPEFRLVLMGPVLILGITGFFGFGATVHYQTNWIGPVLT FGLANMATAFEAGCVFGYVIDSYEDLAEEAFVAINARNLLTFGLTYFVNNWLAEDGPL NVFNVLGSTFIGVVLLTIPLWIFGKRIRGAIARNATLTAFMKD LY89DRAFT_418239 MATSQRPPSTGIKVIIVGAGFAGLTAAIECHRKGHEVLVLESFP ELKLLGDIISFAPNSGRIFQRWEGVDAKLDPIIHKSGGILYKSWKGEDITRQTWGEEA KKYGKAYNGHRGEIHEIVYEHAKELGIDIRLGHKVLDYFETETEAGVNVNGERFTCDV VLAADGVRSKGRTIVLGYEDKPKSSGYAIYRTWFDSAVLADDPDTAWMVTNGDKHCAW LGPDIHFIAASIKNGQDFSWVCTHKDEADVEESWQAHAPLSDALRVLEGWDPVVQKIL KATPDPLIDWKLVYRDPLPTWISPKRRIALIGDAAHPFLPTSIQGASQAMEDGATMAV CLSRSGKAHVQEGVAAFEGLRYERVRAAQKTGEDTRNQWHKADWDEVKKNPESMKLKR DDWLLNFDAEEYAEKNYAATVELLKDPVAVRQLNVPSMTQVAASA LY89DRAFT_778541 MSFAAVILSWYTWAGLLALPLLVLLNDVKTWSRMPPGPTPLPFV GNKLPKNKPWIQFEEWSKKYGPIFTIWVGRRPTLVISDPEIAVDLMEKRSNKYSSRPR FVVMGEMLGTGSVLVQPYGKAWSMRRKLLHRAMTPAVLKTYKPRQEAESTRLAFQVLQ NPANWERAFDRFTASVVFSISYGHRIDSVDAQIIRERLKFMQFMASLNVPGAYKAESF PILKYIPSSIAPWKRDIEEQARAETNANLALIEGVREDIRIGKEKGHAVAPSLAQTLL AVRDEEQIPLPDKDFSFVPASLFGAGSDTTASTMCSAILAFVTHPYSLEIAHAEMDAV IGTERTPTFDDEMNLPYLRALCKEVLRWRPVAVLGGTPHASSEDDVYQGWRIPTGTTI LGNSWAINLNEEYYPNPHHFNPARFLDQKDPRYQPELKGVKNHPAKAGHSSFGWGRRI CPGADLAANSLFIALSKLIWSFDILPTREYDTFDYTDGFNIRPKPFDCQIRIRSEQHL KTLEKEKVEADKYMEMFPAYQ LY89DRAFT_577329 MLSSWSTVVLVTLSQCSLVASAQIPFLPQSHTEGYKFDALLHLP GISPYFDAIGSGLDHTAPRHCEVTAASYLIRHAAIYANDDDHERYIEPFLKKLNTTYD ALSGKRRKGWKGPLSFFAKWENPINDPDNQLEQITPQGIKDSKAVGKHLLSRYPKLVP TTKKIYADKKSRTKDTAAAFVQVFPQKVVVEEILLNRSSFHAQDPHKACNAFTKEPGN EELGQFMSRYTVPIVKRLQKYSPVELEESDIMGLQQLCGYESAINGKKSKICDVFTDD EWMSYEYAWDLKYSHMVGHGNPLSPYLGFPWLNTTSQLMSKFHAPQHEGSVPEVPDDD GQRFFLAFTHREVPPFIATALGLFDSSNSYAEEFPTDRINWSRSWKMAELIPFLGHVG IEKLTCNTVSMDPEDDMEYVRVIANSSPRPIPSCADGPGASCSFDKFTEIIKKGMEIY GDFDGVCEN LY89DRAFT_681535 MAPPTKRKVEDDFVLTLSDNEDNLPEEEEELPASPLPLKNKKRK LDSSSAPPAKKLKKDKKKQKDVGEDESEVEGTWGAKDEDDGAMDSDFEFQLEDGKEGA MEEFEGWGFESARKGLSGGINGGDKKAVDIDDIIARRREKKGEGKKAAEEIEADEESA SEKEDGEDADAGGMQFEEEEEDEFMAEDGFGMGVASDEEESGDENLPDGSDEEADKDA NSDDESIATDVPHPDDIAEGSSDEEVDKDEDPEEAARREAFFAPEEKLKKGAKAVVTT SFQSMSLSRPILRGLAAVGFSQPTPIQAKTIPVALLGKDVVGGAVTGSGKTAAFIVPV LERLLYRPKKVPTSRVAVLMPTRELAIQCHAVATKLASHTDIKFCLAVGGLSLKVQEA ELRLRPDVIIATPGRFIDHMRNSASFTVDALEILVLDEADRMLEAGFADELNEILTTI PKSRQTMLFSATMTSSVDDLIRVGMSRPVRLMVDSQKQTVGTLIQEFIRLRPGRETKR MGYLLYLCSNIYTNRVIVFFRQKKEAHRARVIFGLSGLKATELHGSMSQEQRITSVEA FRDGKAAFLLATDLASRGLDIKGVDTVINYEAPQSHEIYLHRVGRTARAGRQGRACTL AAEPDRKVVKAAVKSGRAQGAKIVSRVIEAAEADEWAKKVDDMADEIDEILVEEKEDK QLAQVEMQVKKGENIMAHEEEIKGRPKRTWFESEHDKLAAKKASKAELNGAESILKKK GGKLSNKDKKKLDDRESRLEGKVWKKGRAERDGKGALLAAKKEGRGKKKVKGGKPPSK FAKPGKFGKKR LY89DRAFT_778544 MSLFLPKISSWLRACFATFPTSSSIAKRTFPGYAPKHEPHSRLY SGETWQHSKFAAHAQLLVLDINYAVYAKSPILEEVDTSATDDRLNNGVEDGLDWLLSA RNTIAGAGYSAVPPSKIAVEKDSGRVDSLYLNAYSYSHGHAFQSTRLGNHVGDRKHTM ACVLTAMMSSILMQKRYVTPCLPLYLRAEDPGRIWGTWW LY89DRAFT_418273 MAFQSLTYLAGDSDGRIHVKHSKRAIGGLEVLVRVSHSGVCGTD VHDRTSGCGLGHEGVGIVEKIGDCVTAVNVGTRVGWGWQFASCGHCRECVSGYRQYCP KSCGQKYGELEQGAFGDYVIKHQDFVYPIPEKIQSKYAGPLQCAGITVYEALDVAGAK PSDRIGIVGLGGLGHLAVQYARAWGCDPVVFSMNSAKKEDAYKLGAKEFHIMPSSIEG TLNVSEGVNVLLLCGGALPDLRLIMPTLARRATIVPLIIQGQPLVIPYMPFMLPGHRI IASTEASRSNHIAALTFAARHGIRPWIEEFPMSAAGLTKALDALKGGKIRYRAVLSID TCSDLP LY89DRAFT_729717 MSDPAPYFTGPTTHSWQEILPSGSNTGSPWQYAYPACLPDQRIL MLPIRQTAPNEAVASLLTTHAAIDVADELGEYLAEIVREYNPEVIVGLPTLGLSVART VAKALGHKRYIALGYSRKFWYTDALSTQVSSITSPDHLKKIYVDPHTVPLLTGKKIFI IDDAVSSGKTLKMSWDLLESSNVGCEVLGAGVIMKQGENWRGQLGPDREEKLNWVFNS PLLRRVDGGWDTR LY89DRAFT_418302 MATPNNLDDVPNTHHEQEILDEKAGRPNGIDSETSSATKLEQGE GIENSPPNDGYAHPRFGANRVISANRDAEDLPNVEKAGTYDKIELTEDMCYDELGYAF PEWKKWTILTVIFLVQVSMNFNTSLYSNAIDGISAEFNVSAQGARCGAMIFLVLYAFG CELWAPWSEELGRKPILQASLFLVNLWQLPVALAPNFASIMVGRALGGLSSAGGSVTL GMIADMWEADNQQYAVAFVVFSSVGGSILGPIVGGFSEAYLDWRWSIWIQLIFGGFVQ LLHFVLVPETRTTVMMDKIAKKRRKTGQDTNIWGPNELVPFKDRFSAKEILITWIRPF KMFLTEPIVLTLSLLSGFSDALIFMFIQSFSLVYKQWGFGTVELGLAFIPIGIGYFIA WLSFIPVIKRNIKERQAKPDDEKAQYESRLWWLLYTAPCLPIGLIGFAWTSTGPPIHW IGSMVFAAIVGIANYSIYMATIDYMICAYGPYSASATGGNGWSRDFLAGVLTIPATPF FTNIGNNPLADASTILCKLLSTQFSSHNANNLTVCISFCLVIAVYVIYWKGPVLRKRS PFAQQLSDARQEAEPGRRLSRISSNARRSSNARSQQDLRIRQNMGSRQNSYAGHRTPQ RTPMGSRKNSHAALPHKAQV LY89DRAFT_638575 MALFKIQDGVTRGYYLPSFRDEVSVSSSGFWDPTNARFTPEALP YVSAIPAVVAALILLGYIFKLLPKGVLTPRWTEPFVEEPKEIMDDLTSKEKPSFGRLT LALFVVSVVGLGLQTLTVFYPTFRSLMVYPAASWGVACLLIAICRPVTTPKSLLILYC TIAATQLIVLVDGTIRLSEKDVPTILAILTSMVSIAIILIMPIRHPSRPSTSISAPFS EPTSSLRSPEDNMTLLQFMTVSWMKPLIEVGNKRQLNDDDVWGLGYEFKHRMLHDGFR ELKGSVLRRLLEANGIDLVIMSVLAIIELAANFSVPVLLQKILQSMENPLAPRRAALN YAVLSLVVRLIVAQSSVFTLWFGRRAYERSRGEMITMLYEKTLSRKVVSLSSKPVAEA NGEGLANGNGNGNFKHEDSSRLGKVRNCLMMPFRWLSVKSKSKKAKKKDTASMGKIMN LMRFDAYEVAQRFWEFSSIVSQPLALIFSVVLIWRLLGWPCLIGIITVFFAQAVNALL ARALLYWERERRVATDTKLHKISQLVDAIRHLRYYGWQDVWLTRIMESRQHELKLRII TSIWRILISFTNTFASGMFPVVAFWAYTVLAGQPLRVDIAFPALQLFSMLETSLRDLP NLITVLLNAKVSVGRLEEFMREPDKEETDFTPSSKIEMKHASFAWPGSKEPVLRDINL SFEPGLNVICGEVGAGKTALLQALLGELDQLDGEYHRTNEMVGYCGQTPWLQSMSIRE NILFSAPYEEARYRQVLEACALIPDMANFKHGDLSMVGENGVGLSGGQKARVSLARAI YSKANILLLDDPLSALDHQTADYIVRRCIAGPLVRDRTTILVTHRTELCLGMAQQAVQ IDNGRATLLDPDTIPFEELHRMKSSDSANEDGGEEKQVETSAPDKFIEEEFRAHGGVQ ASVYWEYIKAGKLKWWFVLIVALALYRLIEVGETWFLKQWGEAYGSEVKEQSSGPFDD LPSPEVDIRPWLIGFFLLAAAQSIAFLVSQGFMLVIIYKAGKELFERVMTMVTHATFR FYDITPVGRLMNRLTSDINTVDGNISNQFQNVAMLSIAWISSIVVIGSVTSLFLVFAI GLTIGFVIIFLHFLPTSQSLRRLEMVSLTPLISNFGALTDGLTTIRAYCASSRFQDRV IAVTDNFQKMDHFYWSLQAWLMYRFDTLSATSTFILTVLALYTGVSAGLTAFVLTASS KFVNSTHALCKQYGQLQMDFVSVERVVELLHLEQEAPGKVEPPAYWPLYGSDIVFENV TIRYAPHLDPALTDVSLTIKGGSTTALIGRTGSGKSTLALSLLATTLPTSGRILIDGI DISTVNLQALRKRVTFLAQEPVLFPGTMRQNLDPLEEYSDVECSSVLSKIAARHEWSL DTHIDTGGKNLSQGQRQLVGLARALLRRSPIVVMDEATASIDRETAGRIQELLRSEMK ESTVITIAHRVEAVRGAEYCVVLGKGRIEEVGRASEMKGRME LY89DRAFT_681539 MTATIKPLTLYGGVLGPNPAKVSLVLNTLSIPHEAVYVPYTDIK GPEYTKLNPNGRLPTLEDLNNGIKLWESGAIIEYLVEKYDPEFNLSYEPGTEEYYLCK QWLHFQMSGQGPYFGQAVWFMSLHPEKIESCIARYINEIKRVSAVLDKHLSTHQWLVG NKMTYADLSFLPWQEFAFEKVTPRFFAAKTEFPHVQRWIEEMSAVPGVKKVLDEKKVK NREIYGERADRPM LY89DRAFT_418491 MAVASPFPPVDIPEIDTWTFLFEGKREFTDNQVIFLDAKTFRQY SFHELKQTAIAFGHALRTQWNWKKNDCIGVYSPNCVDTPAVIFGAIWAGAIVSPANPA YSASELAFQLKDSGAKALITQGSCLEVAFEAAKIVNIPRNRILVIGDEKTAPVQHFSQ FVLTANDSPPLSRVPSTPSEVVFLVYSSGTTGLPKGVMLSHRNIIVNLLQTDPIQTDL SHDGGVDGKGDAVIAVLPFYHLYGLQYEVLYMVHLGVKAVILAAFQPDAFCQAVQDHK CTFGYLVPPILLFLGKSPLVDKYDLSSLKTAYSAAAPLTSDLVEGVWNRLQLRTKQAY GLSETSPAITTMETKDWRRKMGSVGPVLPNQIVKVMSDDEKLLPAFSDGEIWVQGPNV FPGYLNNPTATANCMTSDGFFKTGDIGHFDNDGFLYITDRKKELIKYKGFQVAPAELE GLLIGHEKIADACVLGVYEKSQATELPRAYLVKAESAKSIDDTILAKEIQEWLGAKVA PHKRLRGGIYFVDAIPKSAAGKILRRILRDQVKATEGRIEGPKSKL LY89DRAFT_715211 MTETAQQKLQTQFLQAADVIEWCLHSGRTEIDSGIPHATGGGAY GTTSEPVLLQPKIRIHITYELFEPLMTMQLNKAERALDTFRAASTIVHELAHAIWLIR RPKLATKYRTEPFFELERTAELGFSAENVMFGGCVSDLMGASQHILGRDCMPAAAIRS RSSWDTVWYCEYSKGSPNLIPPHPSYYSREDWPIPVEWFFKIQDQGFWDVWAKKFGPK AIHMGPKVVGYRRSVPNTTVTKPDLNDKTLKVGRSKHWAAPEGPYLPWDAAKTPENIA IMNEENARRRKTDSLQDALERRVQDVRNAVVGTGPAWPPPAILPRIIPVIPEPPRYLE IKNHLLNCWYELAIDTMDFNMPEHTMFNYILAEGGLQITFSEWREFLEYSQQTNVLFM YTRIGASTGVLRKIDRGWPPVAVRTRPRPNFFTRPSARSMRMFRRLCLDVIDKWACFE DGFKDHDWNDFYQRLNIRYSYENDRMLLKDAFRRPIRKINRRMFDALVYQSYRTNFMI TYGPRNCLRLMTPPSNARERLRPQGDPCPTM LY89DRAFT_694591 MHHFITFCSRFIAYSNDNEGNPFQMQLFPKASSSPALLHAMAAL AAGHLSRTQKQHEIPATNHYTLALRELNSSLSDPVVARSDQTLGACLLLCVFEISNSD NSLWLEHLQGARDLILFRGGPKTSDYLTRMFSFLDISGSLSSGGGPLLEGNYWLNNDL NEEQDDKTQLAGWPYYDTDHTMVNHFHELMVYMAKLSRLSAESMSDIGSQHPEMIAEK AAQIHSELMTWWSACPEKLRNQSNDWRRQLRPRKLTVPETLEEEAFSSVRSCVQACVI YLNHILDPMGREPQKQEVIDAISDILAIAQETPEGYGLEMGQYWGLFMAGIAVFNDEV AEDLIRRKLKADTSVSIYHADRPHDLLEILWKRQHQYGTKYDWRQVQIQMGIQIPSTG TVLLTGGTGKISSRIAPLLSANGNDVLIASRSGNSPELASCKGVKFDWLDESTYKTAF ECASISAIFLVAPPIMDCLPPMKTFIDLAIKNGVKRFVLLSASVLEDGDGPIMTAVAS YIKGLKVEYAILQPTWFMENFSEVQHLPTIRDQDQIITATGQGKVPFVRAEDIAAVAF RALTEEVPHNKDHLILGPRLWSYDEVAALLTQKLGRKISHLKISEAEFAQGIANFMPE DYAKMLAKLETVIKNGGEERLNDVVSKVTGREPKRFEDFVDEMVGKGVWDKSSEE LY89DRAFT_418584 MAANDQYRPEGEEDHISKLAFTAVAAPGSTDESTRPPHEIRDLD QYVPKGAPATKKEVFSYYSYYAGNNGIGSFQYSNLLFQNLIYQAAFNPNVEPLGSSSC DVDPTAPCHVFWGGGNKTKAYTSVILIGNGLTFLAQAIVFIGIGSLADFGNWNPWVVR GFSVLCWAFEFGFLGVTTASKWRTAMALYILSSITFWASYVFFNAIFPKLAHDLPEVR LAREEFLNGSINEDEFERKCSMARSKIMNMSYVWNNIGFTVCCALSLGALFGVGANDS TQQNNWGYSVSVAVCTGFWIVFAIPWFLWEKKRPGPSLPAGDNYLTFGFKQTWFAAKQ AWTLKQTFAYLVAFFLLADGINTTITLVSISQTQVVEFSATYNTYLIMVQGGSAVVGV FGAYRLQKMFNLRTKTMLQWTNAGAVLVGLWGMPGIWTTKFGYHNLWEFWFYNAQYGF TLGAQFSYGQAFMAELVPRGREYMFFSLLGIVSKGSAWIGPIVASAIVDRTGNQWTAY PWAAALTFFPFIGIFFISEVKSRKECAEYLAREASSLRKVADDQSADTKDYDAKNF LY89DRAFT_694593 MPPSATFKSAGPVYVEPVMTTKRASCVIGIDVGGTNTDSVILQN NKVLAWHKTPTTTDIQEGVEQAIEAVVAKAKLPSNRVDSVKIGTTQFVNAVLEQDSTK LDKVAVVRLCGPYSRSSSPFVDFPPRLRDLMEGHYGYVDGGFQVDGTPILPVNHEQLK EQAAVIKSKSITSVVVIGIYSPSNPAQEEEARKVLSSELGPDCDISCSHAIGQLGFLE RENASILNASLRRFARHVIDGFSYAVQKLGRCKLYITLNDGTLSKASTAAEYPVRCFS SGPTNSARGAALLAKAERASASDEREVLVVDVGGTTTDICALLKTGYPRQSAAFVKIA GVRTNFTIPDVHSIALGGGSIIRIKSARTSVGPDSVGSRLDKEGIAFGGQTLTATDLV FENKYTENQVTPGTKASGLAEITRVLEEAIDLVKTKQGDARVILVGGGSIIIADHIAG VGEIIRPQYLAVANAVGAAIAKVSGTVDTTVVPGAKTIDQEIEAAKALATKRCVTAGG NKATIEVVEVDVVPISYVTNGATRLVVRVVGDLVDGYEENHDEPSEEEQRFKDSSKSP RASRDSATGVPSKGSSYEVTEQVDISAYRPRIEGDFWYLSELDIQFLQDGTGVLGVGS CGEPYPAYVACLQALRNGESITIRRQDTFPETGVVLVAGFMGSPSVYLERIPGLDEVA DAMKSVMEASGLSTFDCTIPNEIGGMNAFEALLAAHRFGKSTLDTDLVARAYPKIWQT VRCLKDIPVAPAAVSNGAGKTEIFKTTRDNLEAEDLMRDACTEQGNLSGMCVSPIYGT EARTLPRNSFSHAWTIGRSIALSRSLKLDPVTSILKSEHGVLLFTGKIISVTRHVAEG FTRGSVLLSSISDTPSSSSSTTSLLVDFENENLSAILKEDGKEDQLLAICPDLITFLD KANGAPLGISDYKYGLRVSVIALRAPPVWATERGLEMGGPRAFGLEEEYRSVSTGEFE EAKSVWEIFGGGS LY89DRAFT_638586 MPAKRKVDNFDPNKSDSADTDFDPSQARASPKKSRKSTKRSSTG QKKRIKKSRYKGSDVSDDGVSDSLLEDSFGDPSESEEEPIEMNEATGRAVRKATKKVQ TYEESSGDDIEEEPVVGDIDDDSDEEVITRGKNNKRSRAAVSDDIESEEDTRRKKPKT KVVVLKLNTQPTSSTGRALRGRKASAELLSAGLPLTTRRSTRAHTEEGDQPIALSDSG HHIIREGRSPEPIGRRGTRGGKGLKQPASTIKEEIHESSSHEQGGESSAKPMEIAGSD DQDEADAAIEDEEDNEPDAEDSTDELAKPDEEEAQVPPPADDEDEDEDDMPVARPGRT RGSRAGIVAEEEVEEVQDSSAAQNTGRRLTRGSKSKQKSGADASSDFDPGEENLSDDD MSDGANKKSKAKDDDSDTSPVRRGRRTTQAKSKSSSRRRASPGSDADEVLDKDEMLDE LEELRPERRRRARDDSRIAYESRPGRQRKPVDYSIKPMDQIYAQDEDAEDAAPTPSRR PNRGRNGASQAWERNLFTTYGPFGGGGGPAPAISGPWGTGAAGGVDSDSSDDETMQRP SNVGGNTGMTPTSAVPPGLLPGMGQTNNAETIAGLSGTPANLGKIKSQKALADADPLG VDQNVDFTKIGGLEGHIDQLKEMVQMPLLYPELFLKFHVTPPRGVLFHGPPGTGKTLL ARALAAQVGSGGRKITFYMRKGADALSKWVGEAERQLRLLFEEARKTQPSIIFFDEID GLAPVRSSKQEQIHASIVSTLLALMDGMDGRGQVIVIGATNRPDNIDPALRRPGRFDR EFYFPLPDIDGRKSIINIHTKDWGIDDAFKTSLAHITKGYGGADLRALCTEAALNAIQ RTYPQIYSSNDKLKVDSDKIKVTAKDFMLSVKKMIPSSERSTSSGAAPLPKTVEPLLR DQLSQIERILDGLIPIKKKTTALQEAMYEQYDDEDQGFGRETLQQEFEKSRVFRPRLL IGGEPGMGQSYLGGAILNHFEGLHVQSFDLPTIYSDSARSPEAAIVQLFAEVKRHKPS VIYLPGIETWYHTLSDAVITTFLGLLRAIPPTDPVLVLGITDSPPEKVSQDLLRDLFG FSRNNRFMISRPSKQHRKEFFSTIIEYVKKAPKDFPEPLNRKKRKLEVLELAPPPPPK EPTKEEIKAQKKKDHQLLNLLKVSIQPIMDQIQRKYRKFRTPVILQHQIQYLLDELDP DYVRPDILPFRPFEFGKDKDGVPGLRETATGKFFYNLETTTIEERLSNGYYARPKDFL ADIRSLAKDAKHIGDKERTLKANELLTNVEVDIASIEANHVFADCENVYQRQLQRTKE REEKHKRRKLDGELVFDPIVRSDIAGSGLGSDQQSSGPLMLGEAIPGRRPPVLAPLVH TPSGLSNGFSAEAGHAHESNGTSVPSHAGQDVQMGDTDEQNVSQGMLPPHQHSMTSGP SGTRPNTQISQRSFFQAISHDTPVKDIPNDASTTTSGKKTSDGWSTQATNGVTNQHSS SPVERGGDSQLPDTQRNTQNDSSSEEWMHSQAHALARGTLGQTITSQTPSSGSQTSQN PAVPPFTSGPHMPTSKSHPSFANLLNDSPVEPSSTQMSSQKDFIIDDYFVDDLLKRLV EGSSGCSIEQLEQINRELMETLWRLRGEYNRNQVITALVQMFNDTITDIEEMQKVLNA SQPTPTNSQLHA LY89DRAFT_418813 MHKEKPHFYLTSAEHHRHNRRQHMQSREELSEDEQFKILRYHLD NTIGRLRTGVGLLEQTWRLRYPLF LY89DRAFT_665387 MWSSGIVHILVILSSVISHVSAASQVSATFSNAVQYQFDTDGNA IDLTSGKIDFLGSAYVWYGLPFGCGTAFCGVATYSSPDLQTWHYNGLIVDPSDPTIAA LCLAPNSGNCGRPHIVYSAANNDYVLWVNAGSPGYVLFTSSSPISGFVQDPNRALIGF QPPGSFQGGDFSVHVVNGTGYLAYSLIDFTTTGASIWPPFNQSIYAQQLTPDMRNTTG PAYHVVSAANDLVDFEAESPDIFKRGDYFYISASNTCGFCTGTLLIFYRSKSLAGPWT RQIISADTCGGQSTGVLTLPSPTAGGLTSYLHIADLVATAPLTGTRTAAHGHQIQLLN FNADGSLQDLDCSLSKSVGVTFIPGTNVSTTGLATSATDSSEAGAYSPSCNLPQFQLY QTWASSKTGILSEVGVNLAGDFPTGNTTITIFRYQNNTNFFTPHFVWETLTTYNVVPA NVSQALEVVRVPVNAAVSTGDRLGMALVTASVTPLCTLVMNSAQLMFDLSTSTRTLFA NGPGQVSLRGINGNTPPVVVLPGQELKWYATVN LY89DRAFT_418839 MSNFQQQQMGVGQMMPQMGQQQQRQPQNTNASAQIQQMIYATLT QQPGPLSGWQAQVLPNERMGLIFNIIGNLRLASQHQPNPPTLQRMIEIGIRFEKDIFE KSPNKDQYKHQVQLKLEQLLERRNQNQANMQQQIQQQAQQQAQQMMMNQNPMQGQGPR PMPQQAAQQGFSHLQHQMQASPIPGQQPPQAPMGIPNQGSQNMAQNQQQQFANAMQQG QPQQNHQGRVPNGGQPQLSQQDNALVMDLTNRLAAQASEEEKANLRVSLQQRMEPQQF ARYQSQGIDPVLLYFRNQAVNRLRQEKQVRLAQAQQQLALGQQSQNVPASAPPMQQQR SMNPSPMNGQTQPPTTSGANPDFTSFMGNMGDLAAQQQQQGVMAQAEGQMVVPVSGAP RNATPQPGVMPGQNMAMNEQRGPPNPNPGAQQRQHMINQQMQQQRMNQTQQQQQQQSQ NQARLQAHVKAQQMALQGQPGGMGPGPVPPQPSPAMPTLNAPIRTPSQQPMNQPETPQ VNVSAQFGQPLDPRFMQGNQRQPGFGNGLNSAALAQAMFASMPPETQKNLSQLPQEKL NEVVGKWHAQRQQEIQANGRQQMNMQGGQVRPGQQMGPGGPFNPQNPGAQFMGGPGQR PQPGMGANMSAQQQMILQQQMAALRPTPLQQQQQQQQQQQRNMQQNLNIEQRMALQMD GVDVPQVYQSHPTMPQGFPPELKKWGQLKQWAASNPNLGPAGLESIKTLQKHHYSNIV RSRSQQNGQPGMMQPGLQGPQGMPGAAPGMAAPVAPMGQNPVQMNNPMSIGTGAPIRQ PTQQEIQAIRNHPSGKMATASDDQIRTIFLRNHQQQQQQQGQMTPQQLQQQRQVMAAQ MTRMQAQQGGGQQPHQPGLTNGPAAVPQQKPNQTPQQKPQPAPEPTSTPNNANPARAA RQQPGDHNAAQNSSPAQPAKNLKRASSGDDVVEVPNPNTQRPQTAAQNTQEANQPNQH GPPKLTPQQIEKLNPEQRKAYQLFLQKQQASQTNSRMQVNPVDLEKLKAIEKEEQERA SAPLPDLPLDEEYKTKIRSQVRSIISSMQNVNKAMPRWYQITHNEERARQFFRARFRL AKQFHDGETMQQLKDNFSISPQEVEHIKLLLNAIIQDLSTKFPNMKTKPQGASTTQAG TQPQPQAAQAPTPLNAANLQQQQQQLKMHQRSNSRSSHTPAAPTSEQPPNLFGGARSP HGAPSYLNNNTLTQEKMHIPARKKQKQNSTPVPGQGTPGSTASPQVPKAVSPELKRQQ AEAKSQTKMFTCTDSECDGRNITFDNQEALDAHRSEEHVIADPLKFVQDTLASGTGLD AQGQPKKASGQEASYAPTTAPTSVKMMKAGSKQAHTPTVKGENTSAATTPMNRQVSMH RQGSAAGGKPNVQSNTTSAKDASSKSHPVSKEQPKTEPQPSAPVDPWANATIDPTELY QTFQPYETGAGGAISDMNVYRSITPNDTPESSKDGVSEPNSDISEGVGLDINLDLDLF DPKWVPFGPNDADTLFDMDSYNFNGGMDDLVMFDDDQKAGNFGLWDEVDPSALDKPFS FDPSFHSMNTE LY89DRAFT_418835 MVDLFVCGHPCHAEVRPALLTYPHVARRSFQPLMQLMRKRNLPP PGYFAHHNPPSQDRRGCRIFLHSCALQTSIRSPIIEPVIRVTSSSASNWWCSHAADSS KKEELIASGLAMVPISALLQQGSTALCHGLYHRLPVVQGCEGEGGLV LY89DRAFT_715219 MFAFRRAVYVIARRSYATSPLASKFKINGDRLWNDIHETAKWSA PSPGGVTRLCADENDKMVRDWFREQVIALGADYKVNATGTQIALFDGDDNSIPPIAMG SHLDTVATGGKFDGPLGVIGALEVLRSMKEQSIKTRAPLVLINWTNEEGARFFPLLGS SCVYAGQSTVEAAHASISTDNSSLTMGGELAKIGYVGDGPNYFDEFPISGHFEIHVEQ HTDLEKAGKPVGWVEGWQGMTWYNVVFHGDDGHANTKPMWGRRDTLAASAELITVLEK LAYEMNGYTTTTGIHSHPFGSCNIQSKTKVIFCLMHKEAEGLEGMGKAIENRIASIAG QRGLEFELERDIHLPPGDFWSEAIACVRDACGDKGIASRTGTGHDSTMTTTKVPTAMV FVRAKDGISHSPKEWSDKEDCAEGALVLGKAVLNFDELLKQKAAGLHV LY89DRAFT_665390 MSTSTIAPVLPEGAGYGVVVGIGFFFAIVMAGISYIQNRYTKYS TRGSEEFNTASRSVKSGLIAAGIVSAWTWAATLLQSSTVAYEYGISGPFWYAAGATVQ IFMFAILACKVKQNAPRCHTFLEIIHRRYGTATHLVFIFFALLTNILVASQLLLGGSA VVTALTGMNVYAAIFLIPLGVSVYVVLGGLRATFLCDYTHTFIVMIIILYFMFSVYTS SDLIGSPTKMFELLAEASIKRPVAGNQDGSYLTLKSNYGLIFGVIQLCSGMGTVFLDQ GYWQRAIASRPTTAVKAYILGGMAWFAIPFGFATTLGLAAVALTDNPAYPTYPNNMTS SQISSGLSAPFAAVALLGKPGAIALLLTLFMAVTSSSSSELIAVSSILTFDVYKMYIK PFATPDQLIKIAHIMICVFGLIMAAFACIWEAIGIDLGWLFLVMGLLIGGAVFPAAFA VTWKKQSKYGAVFGAIGGLCAGLIAWLVEAKVYYGELSVASTGGNYPTLAGNMASVLT GLILTVVVSYIKPDDFDWEITRGINLATEATDNESMEQTPMESSDSEKKTADPTANPN PTIAHRTQRPVLPTIQLENAEALEDQAVMEDPTRLKGAFRFACISATILTLLMDFIIP MPMFFTHYIFSKGFFVGWVVISFLWVFFALFTCAILPVWEATGFFRDLVGEVWVGKKG EVEGEE LY89DRAFT_778560 MASTTPIRRPLGNLNRNHALTPQGTRNVSEALKSTSKSVFVDKI LQTTTRGGTTTTDEVGSEGGLDGEKRVISDDGNGVRASKRQRIMATGAQVDVRQYDLS SRAEVQGGLDEDESAGVYIERDDEFEQPEPLESDLGFPSQETPSSPVSSAGSSIPSPT AAVNESQNTTITEPDDIQVLWPVIPNIIAVPRPLTVEEVKQKAKEIRLRLSLANYKVR TNQIDVPISRLQIRSSTFPNRLGLSGASRVSRTPLPSASLHNISLQKPSAEKSRIFSA SIPSSPPSKVRPGPTSRLASPTKQRDSVADGLSTPLLPRQREGLLNPPMLGSPEERHL TSSVVKGRAADGLLSLMRHQG LY89DRAFT_419074 MASTTRKRKAQDGLEEATKRLRLDKAASTSIPSPIDYNDEEDED VYQSEGDHDSEDEEAADTPITPFSPSRKKFPSELKTIKCPHEGCTKTFNRPSRLTNHL RTHTNERPYVCKYEGCDKSYFEDKHLQQHTKGSHTHERSFPCDWEGCGKSFLTSTRLN RHKDTHLGHERFRCTEYPPCNQTFRKHQTLQRHIRSDHLHLTPFPCTFVDPVTGEQCK AGFDGGTGLRQHVNRVHGPAKFLCTECAAPGFKTERQLQAHVRKEHASCMFCDLKFSS QQVLVKHIESQHSGSTLAERKNVPCPYEGCGKRFTKQNNLNTHIRSAHMGERFICGSS DVTTYPDISSFDDSDACGKDYFSKASLVDHIRIAHFGLPSIVNANRKQNIKFEDDLID DAEEDGEYAQPKKSKKKTKQAKASAVDQLLGMSYAADSRRTIPCFAPGCPHMFIREYD RQVHMQTAHPFEAPEADPTVSAFHTAFDFPLPGSNMGGIGPSAGFDSTNMQSGMFDQA DIDWELQRQALEGGPFWVGADEAGGNSQDQDEWTQDQLEMRRLIG LY89DRAFT_681554 MTKYEKARILGTRALQISMNAPVLVDLEGETDPLQIAIKELREK KIPLVVRRFMPDGWYEDWTCEELLQ LY89DRAFT_665394 MSLKTTATIASFGGKLLKLTHDATTTGCEMALNLYLPPNAGATQ QKVPVLFYLSGLTCTGDNCAEKGFFQYGASQKGIAIVYPDTSPRGLKIQGEDDSYDFG SGAGFYIDATADPWSKNYKMESYITSELPKALFSSFPELDSSRVSITGHSMGGHGALT LFLKNPGMYKSVSALAPIANPSNCPWGEKAFKGYLGDDKESWKKHDATELIKKWKSSF DTLIDVGTGDNFYKQKQLLPENFLEAAKQSGNDKGIVLRMQPDYDHSYYFISTFATDH VNHAAKYLF LY89DRAFT_578132 MSRHSRPSRSPNPPMIDTDRTSDPSSNSKTPSSGVSSQHSSLSA PRRYNPDAHPTRKLRSQYPRGNTENHVEYILVASFDIDKGPIMEHQYPVAITGDEHML AELMLPDQAHVRNQDWTIFFLHKDTSQEEEEAEAREERRRRRQRKKDRAAGLLDPADV ESADEAEEDEESAEDSDESEPEGGEGPPLIYVLNLVNTKQDKTAKRGAVVKAMAICTR HPFLHIYKPLLLLALEEYFKSPHPATLASLYEAVNTMDLSLMPRLSLLERILLQASDN KDLFVEKFEQMIQMRMAEDRGEAPEDVAVLSESPERRMDLTRNGTKAHVVAHSQYSVP RDTHEFETKVMYNGIPIPIKVPVAVSPETVGDFSLIKLIQTFGEPHAKSPQPFALHPH LTTSGAFTHPIIVLANAMLTQKRVIFLGHNRPSGEVAEAVLAACALASGGVLRGFTRH AFPYTDLSKIDDLLKVPGFIAGVTNPTFENHPEWWDLLCDLPTGRMKISNRIEQAPFT EGLVNFSAQNPAFASMVNGASTANAAQDPTGDAAFMTDVLRSVAARHGEGVIRAKWRD WVEKFTRVAAAFEESVYGASALYIGVEEAEPGNESVSGHGYVWADEQAKLKELAGGVH RIEGWRNTRSYFSFKQDLAQLYTFRPLKSLDLHHLHDRLRMTKLSPTASREIYIALSK YTHSYDEICQLLTVAPESHAGLFYVALGLFHKDKDVRLKTVDLLERISEHEAGRHWWK AMSRFEKLAFHRIRREAETEMRSKMGAKDGFVNGNGQAMMVEKRIS LY89DRAFT_638613 MATSYKPSPLSYGSPRASPFRRPESPASPSPLRHSTPVASPPKM AAPITTTTPSRLNRASTPQADGDSWTPRGLTSVQREIETSPTKGASSPGFGGMLTSRT TTDSNALSKLQPAQIRELREGFSVLDRDSDGQVGREDVADMLTQLGLPANTSDVTAFF PLSSSQTVTLPTFLNSLAGLLASLSPSSELLSAFSAFDEDDSGQVDLAELRDALLHTA PEPGDKALTEREVDKVMNGFTGRRAFGKHTGGGMGKRGEVFKYQEFVANVAGGASVDG KDGRESDE LY89DRAFT_681557 MDDYMFKANVDRCNVVSESTTYLEIISYSDASRNSVEEHPLTLD EDFNNFLKRKGAFAPLKLPPDITQTACIRLILQQNAQHAETFTPHVISLKKEAYKSMI VTWNLPYRAIEGTSVVGPFFWAAWDQDETNPHLQIVYRKSDVRKKGKTRGWELMLSHD VNNGTTTGFAKGTPSSDIVECIKHLKACAFQVSHPMLLPIIIFSHDVSFQVDIKQREA RDWLRRLEHAVSMRNEIEEKEGYVSKDGVVDLDAINRDLVECHSQVLWKRPKAYLQML AHVEKTMDTFYKKLPESRKDVPMRQLHASMLARLDFYRVKLQGIDSYAYTTLQRLDIQ RSALYNIIAQKESKLNFKMAGEQRKLAHASKRDSAAMKIISLLGAVFLPGAYLASVFS MSFFNFQNDGGTVVSSKFWIYWAVTLPITVIITAIWYVWEKRREAKYDREDDDLEKGS EVMEKDIMAMMRKRTMTKASTWDKASSWDSPKSAEVVKFQNLKKE LY89DRAFT_681558 MMEITAASSPIGSEIFALISLLVISLGVLLLLRYYLPLRSTPAY LTVPIFFALGLPASIILLVPIDLASNARNQDAGSRGIWLPERLLLVSWRISYWLTFGL TWFILPILAEFSDSGYRDPKAKLIYSLRANAQYQAIVFAAAIATMIYIFVSYGVHTES FKATVMALAYCWCLVLAIYLMGHGLVMIPRSLFRNASISGRLRRIQVDAVKIHDKMEE AIQTLDDLEAQVAELAKRKTGSATQFRDWIEELADETHLPESRPRTLTRRMSVPEVNV PHVITERYLADLSRRLTRARHSRARYLDEWDHLLQEATNVQAILDSAASKRIEIGQAS PNAPFMERVTLFTPYTRYLYRYWFLPYLRIFLGCFLSLASFCIVWSEVTKSINPLFSI IALTIVHHPTSERGQIGFAGQVIASCWILYMCAAALTSLTVVKVWRGRALVRRNTHGE SAMWYAMQVAKLSVPLSFNFLTFLSQDIYINTVFYDFLGKLINLTDVGAWFDWLFPCF ILVPVCAAIFNLYGKVANCTGFGGVIDDDEEENESGYGTGSWREGRDLIERELQGHSS LGRLDQSSTDRRLHVPNNPNNRPAPTLSVPPAQRASSPAQASRTRPSAEPQSQAPKEP EDENFFEAFGHRVKNTLDTMQTPKWLQNAGEGMKRPKWMGGSGDSEPSGSGGDFTKWF GGSSRDGRVRL LY89DRAFT_778568 MEALNLESARVDNGQDEPGDSGNLAINFLHELVTYNPEEPGKSA RLERLVQQLTDATSAANHNEPQSIQSSASSSVNHNHVPNTVPTLEYSPALFPLDLESS NIWRSSPSGQPLFKRTFVPEPDATEPITAAHQSKRPRVDGGKVAGVDKPNMRKTYVPQ ESDYGAAYSSSDEVAPGSLSANSPVPSRRRSRLLMKEIYKELEVTPHEYLELQNAAKD YMLDPQHPDRLECVGSKVRKPGLTKMKLHQCTLAFLRDEGWGDYFWGSEAPGASLPDR KLIWPEKSNRIISAIGPLLRRVISNQRQKQYGKAQRQKKVTAATQVSGDEVPSKSAKS TPQLGYQHAGTTTASNAPIDLDPALSNEVSPASQPEEDSVESTVNNTHHSTPPTTEPS TDTRLKLHLNLLHGKDHHKPKILLVADVLPTYEELVEHVHQSLNNGLHKPFKMMILGP NGLVAVRDDYAFQRIVQEISKLPWMDGDVKCLMQMADIY LY89DRAFT_729740 MLTDKIRVSGPESNDPEDILFSTLGVIFPDDITNQHGDSTSSVI YLSSTFGSLTLSLADPQGEDNRRLFSHFLWNAGLQLAEFIELGKDEVGREWSVKGERV LELGAGTGLAGMVAALSGARETVISDYPAEEVLGNIRANVERNLRAKNHSSLGQVEVQ GHEWGVLTDEFSRENKGGFGRVLVADCLWMPWQHGNLLGSISHFLEEEGGRAWVVAGF HTGREKMRGFYGEERLKEVGLEVEGIWERNADGREREWVTDRGIEDVTERKRWLVCAV LRKRKG LY89DRAFT_694607 MVSIAETTYHMLPRHMHNENEEVDFSLYPDPQNMFSQSFPFSAP QNFNMEAYSYPRTQEVYPSSTGLTSNGMYAEAPQYAMESPELRAPSNYSTASGPSATS SAMGSPHSIHGHVVPPPEWAPHGLGLNPSIVNYDNFGPGNEYTFQPSGMDDFALDAFN PAKPNGFVDPSILHPQYTGHPTSATQSNEASAYPPPQYPGSPSLSQMSRSPRPVKQGS QSPYLYSSYPYPPPHRRPSLASHQSYSSQDGNFSSEESKEKGRCTYPDCGKVFKDLKA HMLTHQNERPEKCPIQTCDYHIKGFARKYDKNRHTLTHYKGTMVCGFCPGSGSAAEKS FNRADVFKRHLTSVHAVEQTPPNSRKKTSGNINSAKKLSGYAPDATGKCSTCSGTFSN AQDFYEHLDDCVLRIVQQEEPSEAINAARLAEVEQDQAVHETLRNNALPTTTTNMYSA DEDDEDEEFEDENDDDFTPRSKSSRKGRGNRNPANGVQKSRGLTHSKGGVTLNTKGRK KRKDYPSSWGCPASQMKMKKRVLCVFDGPRRLWKDDMMLDTDYEVRMKLSDEKAYVTD LDVQTMRRADAFHNATEEEKGPYISDDLTGMDLEKLMEVKSES LY89DRAFT_665402 MAMRAAAIVMRVRIESTDPAKAQQGRTLAVWKCRMVWILNDPGR AGLVRGRGSGGRVRVLCCRRPGWDSPVQYGRQVGVTLVGSSPATISPPACTDTLTYPS PIIPPPYTVARRLRSGRRHPDRPPVQQQHLSRLENHFWESFAGICLASRRMVRSISCR SPPSCCPSLLLRSWSLLVPIGALRLLLDRDLIASILRSLSSQRARWQPFSLVTLASRV TVTVTEFESTTTGSCLTRPTKTNVVVGGPVYPDTIQTILGGTLVPRAPFLEIDTETNR HGVAPWYPIHGLIMLAKTWYIVGPIFLENFNLTSIVQQLRRVYHSQIVGEAASGSRVP RHQMRLPELFGGRLINYPCDTDLRSDVMPRRGDSDGVRSSKHRTRQQHIIIILQNIRE QRVQRAEHGCGETVAGACVIGCEA LY89DRAFT_778571 MSSKLPPLITPPLLRYLRQHPQLPKNTWYLIAAVTLTILNRPDE IPTVYRHALEHVTSSNVPGSESQSSSAYDVHAGDFTNSVGKAKLNEELRILRRTREAL LKTSAVAGAPKVINACVALKEATPQHLSDVDKFSVTGRSDDISGLSLSLVLRRGQQFF DKIYGKISGRVTRMMKDSGTEDLDQIALLLYGFILSNTTLLDQAETSYVLIAALIPQD LNPQLKGHLKGALNGGASIDEVRAVREVVIRICESAGMKKLDGMVGFGWQGDIADL LY89DRAFT_419606 MGRLGWYAVTSTALAAGVVVSAFNQRANFYSACVYLAQSNLCLM ILINLILFVYGSFAYGLQRLCYGPLRPIEVEQLSEKAWFAITETCLAMTIFREEVGAW FLVMFVALLTGKVWGWIGDGRVEVLEQQPPANPRLFHLRLTISLTMSVLFDLWLMNYT INTVIQQARPNMMVMFLFEFAILTTSSLGTALRYCISLVEAKVVKKQTEERLAARRRE VREERAELVRQRELAAATAGEGSDAAQSTAPLPSEDDVDEMDIEVPGWEAKGHWVLML DLIKDFVKLCIYVSFFVILLMFYGLPIHIMRDLFLTARSFAKRLAAFLRYRRATHDMN AKYEDATVEDIQREDTCIICREEMRPWSVTNPPRPPTAPGARNIEDTREGRAAGMVNE RQRPKKLPCGHILHLGCLKSWLERQQVCPTCRRPVVDGPPGQHPPGAANNANAAGQGA QAQNPGQQDGQGAQPPAGDGAPNRGMRMLNFGPLRVGFGQANLQDLAQNLGGPQPGQQ NAAAGGGARIYGLELGFPRRNQPQPQATAGPSLTVSRSRNKNCNWFNSYKPSLLD LY89DRAFT_419426 MHIPNGKRGCQNSKVGATPPQHRPSSPRKEKPRGFKKRKRKRSK STSLVTRLSSAGLLLLCRISTFADDRETSFEPHMFPG LY89DRAFT_419534 MHLIQTKGRFNFMELPAELRLKIYELLLICNDDVELPCDGLGDR ERQIRLIRGVPLYPCPRPAVAIMQTCRLANEEGVPILWGKNTFAICLPVDLMEPFFLH RLRWSTIQHMASLTFTSNTTPLPESFSSHLKRNYIRPHFACSGLDILARYSPTDFLYS PYGPDVCMSLSIRNWVSTNVTRAELNAIQQELEAMMANDPPFTTFQNAPDHTDADAQT LY89DRAFT_729745 MASPDQDVYMTLLTSDRYLPGALVVAHSLRDAGTTKKLAILVTT DTVSADAMAQLQTQFDFIIPVERFSSDNHTNLALMNRPDLHSTFTKINLWRQVQFRKI VYVDADMVALRAPDELFGLPDPFSAAPDIGWPDIFNTGLMVLTPNMGDYYALKAMADR GISFDGADQGLLNMHFKNTFNRLSFTYNVTPSAHYQYLPAFRHFQSSISMAHFIGQEK PWMEGRDAHMGSTPYDQMVGTWWAVYDRHYKESVGEPQGDPGHQTEDRQQSVDSSSQG ASTIVHYHTKGEFQPIAPIVSQAYQPEQTDSEIFQPTAAAEQSLHETTDIPRDVEVAY VPPVQTNLETHHDPPSYYEPPKEPEVQYSAWDASRAPPPSDSKPEASNFPATHYDMSS DLTPFQAPERYPDPPKDMWYEVPKTPTYQKPAPIFPWEKDAAKPTRVFPEDNVATETF SSSDPTPNSVPSAEDARGSTPVTPTTPTIQLTTADPWQTYTRSNAWDEIPEIERYIGN LQKSRKGNIQLLQGYGSGIAQVSSPGGRRHSMKLTDFPTELERPSLPVTPAPIRRPSF WGEERDEEGELPAAEGVPSQEDWDPAAELEKLARRQSVVLANKLGMDGAPAREIPLRP LPYGSEGVTSPTYVAQVPPSSGAKDSASGSAPGSDSTPRTTIEEPSYHGPGAMWEKDE TFPVKETPAGATEEEKDVLET LY89DRAFT_715236 MSELDDDEGWLTSDTNWKTRLQSGFKGQRILGVGSYGIAGLWSY EGPPETAPAIQHVVAKECEIAKFDKREDVFVEGRILSMLEKVQSRHILRMYGPVMNDE NLGEEVVRLFLEYCPDGDLGRLLNPKPTGRKNEIVKPPRTPILEVDLWAMFYCLALGL AVMGRGTEDDTAPDFGTAIEEPRMDQQTDQTGIGKLFAGESFYRPPEADPEIKRQLEN PRKGTTSNIFQTTKDFRTPLVQVIKVGQKAPPVGIQETWSKSQGSDLEDIDPSIYSKG LIDLVVICLMREPLQRPNALDLQRMVRMGLDTAKAVTETQNLLEYTGMVDIPMVQILW PQPPEFVMQPLEPLKGKRKLAGGSGASPRPRKRNAGSQIPF LY89DRAFT_638631 MANPPDLSNSITMHPHPFHIHPNRSEPRSGTHTPIQTNHSSKPG ASTAYEAVTPPTEEHDPISRHTTHGASMNDLEEQRPPRYTRENDPYQLSSAYKNENEL KLIAANTSRKRDGCGPIKFTKSAHQARKIQKFYENQNENIERMLKPVEDHRAEAKEEA GADHLKFQIAVYGSFAANIALAGLQLYGAISSGSLSLFTTMADAIFDPMSNVTLIVSN RAVKRVDPSRFPSGKARLETVGNIVFCFLMTAVSFILIAFSVRELVEGNHNELNKFHL PSVIAVATAFCTKLGLFLYCWALKDKYSQINILWQDHRNDLFINGFGILTSVGGSKLK WWIDPMGAILLSVLISCIWLHTAFSEFLLLVGVTASVETQQLITYVCLTHSPEIEGID TVRVYHSGPRLIAEVDVVMNAGSSLRDTHDVAEELQIKLESLPDVERAYVHVDYETTH KPEHAYKKDI LY89DRAFT_419672 MADSEAAQHIQFDDAIYRVRTAGSSRVFKKEGMTPSLSEKPTSY DEEGLNAAQIADEDLNRKKKQTYTGWMLIWLAYQSTGVIYGDIGTSPLYVYSSTFTSQ PSYDDLVGALSIIIWTLTLMVTVKYMFIVLAADDDGEGGTFALYSLLARFSNIVKRDP NVAGTMKIARHNTNDLKPLNKGVRNFIESSLAARVALKILGVLGVAMVMADGVLTPAQ SVLGAIQGIEVAAPNISSSTIIGVSCAILVILFAVQPFGTSKIASSFAPIVIVWLLFN LCSGIHNLCKFDYTVLKAFSPYFAGSYLVRNKEEGWRSLGGLLLAFTGVEALFADLGA FSKRAIQLSWLCLAYPCLLLAYIGQAAFISQDATGTAYTNPFFNTVPPGSFYFSLVIA ILAAIVASQAMITGAFQLLTQVMNLSYFPHIKVVHVSKHFHGQVYIPLANWLFMIGTV IVTAVYSNTTRLGNAYGVCVIFVTFITTCLVSLVAIIIWRINIFIVIFFFLVFACLDG VYLSSALTKVPTGAWFTLLLAAILSFVFVLWRFGKESQWKSEATDRFQPSELLTTTAS GEVQLTSSFGGSTITSVNGVGIFFDKVGNMVPIVFTQFVRKFSARPNIIVFFHMRPLS VPSIPESERYVIQRTSIPGCYRMTARHGYTDPIVTPDLGRVIVEHLTLFITRDHTSSN SSASSVEHSPAVQSELEVITKAAEAQMVYIMGKEQMKIKQGTNLLRRGLLWLFLWIRE NSRTKMADMNIPFDSLVEVGFVKEI LY89DRAFT_578070 MPGNHRGSITSISGGPRASFSGPVGQAGNISVDGSEDASPSSNR AGLDGGYDEFGLNSGGAVDGSDLGSRTKEDKNDPNPPWSELKTKAGKERKRLPLACIA CRRKKIRCSGEKPACKHCLRSRIPCVYKVTTRKAAPRTDYMAMLDKRLRRMEERIIKI VPKEEQDGTAVSVTRATVKPAIPGTIPTKSTAGKKRGADEAFAHELDSWSKSTSNPNL ESGSKPTSVLLQEQEESKLLLEGAEALPSKELQEHLSEIFFENVYGQTYHLLHKPSFM RKLRAGTVPPVLILAVCAVSARFSTHPKLNTTPNFLRGEEWACEARRIVTSRYDWPNI TILTCLLILGLHEFGTCQGGRSWAFGGHAVRMAYALQLHKDLDYDPLKRSGTAELSFI DREIRRRTMWACFLMDRFMSAGTDRPTFIKQETIKVQLPIKEKYFQLDMPGPTENLKG EVPHPMSPGAGQLSDARDNMGVAAFIIRSIALWGRIIGYLNLGGSDEDPHPMWHPDSV YASLLKQTEAFIDTLPESLAYTPENLHTHETEGLANQFLFLHISIQQNTLFMNRFPLP GQKGEPESRLPPDVPKEFVTKAGAKAFDAANKISELLHDGESHFITAPFTGYCAFLAS TVHVFGVFSKNSAMETASKKNLGINVKYLSKMKRYWGMFHWMAENLKELYRTCADAAR QGSSANTAQSPSNVFQYGDWFDRYPHGVSKSDFEDPASSSIKKEKGDDAVLSEKSGLH TVEQFFDTLDTTMTPLERDAAAKGAKRKTKKNPPARHDQLAPVNTNGPDMNTGPSMQM QQNSHHVPQGYNQMSPTTPVNMYNQHHPYYGHEVLLPPHQQGILPQLDRQLVFGAYAG MDPSSLSSQNMLDSTNAWDMQMGGMSGFVTEPSSAWFMPFNMEPPDLAHEQDIFNTMG GTYALGGMQGGHGGGN LY89DRAFT_419775 MIMDSSSSFSTLHNGGARTKYKIVALSSASGHVPSPVRPNENNP TPTSVPLPPRFQDDSRRLDD LY89DRAFT_778579 MAEAITQPPLAQAGRPLSLIPVGLKEAALDSPSFRSTAVHFSDQ VEIIEKWLDAYIKSISKLVHDVSSLEETFNSFLLRSVPPANVSEAVLDHDYTLLAMKR FGEGSREWCSQVIFGVKKMDTNIVEPVKSFMAGELRNFKDARRYLEQSQKTFDNTLAR YVSQSKTKEPSSLREDAFQVHETRKAYLKASLDFCLLAPQLRYTIDRLLVRVSSDQWR EMKKSRESGGASFAKWGHEMDRVRGWSKEMEAGESVFRRELQIARREIAEAASQASKP SRELEDYNLSTVAFLGSKGPSTVNIQSQGKEGERSEKQGWLFLRTISGKPARTSWLRR WFYVKNGIFGWLVQGAQSGGVEESEKIGVLLCNVKPAVQEERRFCFEVKTKNQTILLQ AETQIQLMEWLEAFEVAKNKALEASANDNYSHPGGVDPAFAITAPSIPEFAAKTTDGH IGHGSDDLGAGGFDRSGTLPIPGPDMGNLASRSSFDVSSPRRSVTTREEGESSRDHAA RIMQKLDLHRKGTIAQSDQSIAPAPAPAGGIASLISASHNILPVYSTPAISQLSTNAL KQLPSVIETHTSTLAPATLANPPAPTNLSKSAVIVSGERGIGIGRSDATGGMPSSIMA NVWGSSNWGYINRLEKGEVKPPGPGRSVPPSPSIKPLLPSPNIGDENRKPEHGLPDLS QTSISTSTDPVANPAPIIGTHRKTISVDVEASRLQSHFAPKPEVFPSNYPLELKTQEA QFRMLFPNVPREDKLLLVFRAAWNPNQQQEFPGRVYVTQHDIYFYSHHLGLVLISGVS IDSISEVTAAPGKDCDFIFLHLREDALEGSFTRITIKTFLEPLRLLQSRLNYLIDIGQ SDEPMTLENTMTALIKMENDDPTRSPSMESWEDVSVNTPIDDGTPSGRSRKDRDLRTT IHVERGVHLGRANKEVTKFQLPSKPVVYEPQGMQRKAVERQFEISPKALFHVMFGDKS AVFQLLYHERRAQRIAQGPWTPLEAGHMRRDFQFQIDYLDVFRRSRQANVVDYQIIDV MNDHVCYVITDYKTPWHLPHHKDFMLVSKIVITHIAKSKCKLAIYTKVDWSKTPTFSK GLVERQALDDSALDAEDLADVIADQVRKLGPQSRTKKAINIFGLVGQQTSVSLFSATE SGHSKRPQIKQRTLTNMLLETMGSFGESAITSVMMWTFAALHSLWKISSAHSIILTAL LLSVMTNAFFTSRDTSEWWSERNAAKFMSRMGVVPNPMMSKAIYLKDLDDVLSSIPTE LQEGSGSKCYEQFRYISNVTDLDSPYQYAGVPFSETATRATAQRLRRTRQHLGTYRHD LMIAMRVVNNVEREMMRAEWENWLLDENTRCKQVQALLREPPNSTSKSKKGKTVESQT VLDAQAKEKVRLDGLRIWQQDYCGSCKLEQDLILKERQHLTFG LY89DRAFT_681569 MAALHDALKTLGPIDFTETPLDDLKPFLTHAFSHGQLIVDSVPI PAPADDSGALDGRSRASSTASNASEISVSSARSDPPPSDVEALQKEWKQVKMNPRDNP LGMNVYKLGGKDGKGAWFARRSVHEGLGFTKWKKALELEFPETMKVQGGPGEGNIRGI GGERRVAYKDIEGVGKVEVYLLSAQFPGPTTPRDFVTMFITSDQALSDHTGGEKEVPR HFMVLSRPCSHPDTPPRDGFIRGYYESVEFIREIPIKKPPKKSASTTNLPSQSGRQRS ASTLSRDVMLRNARKHSPPESENGSPTPETEATQSEGRARGHTISFDKSRGSDAKGES MDVPKEEDDCETNPIEWIMITRSDPGGSVPRFMIERGTPNGIVQDASKFLNWACAKDM DDFESDGEEEDDSSTVEGDERDELARKHDHKHHHGQVSLHNYQTNGHLAGIEEAETPK SEVPPPTYPEAADQHTNGGLYGAALGAATAAAGYIASHTPQVITDHLSGQVNPYPGNQ ETSPRRESVSSIESASSVGSFASALENNDSTQNLGENDGSSLKSSQTTSSLAVNRTLA AQDKELQKLEEKKKKIDEKLNKAREKEISKKSEDKEKEEEALKKAEEKHKLEVKKQEE KYRKEVEKLEKKKEKEEKKAEERKKKTAEKDERTKMLRELEELKAEVGVLRKEKEILR GQVGDLQAENTALAARVGRLGIQGEEVLKDVRAEVNRTGRSRASSLKAMAIRPSNFVR SSTSLDKEENAVPAVETGNGST LY89DRAFT_681570 MAAQGQKFSFMRTINNAVPNFARDDPNDVDLKPAQADALLTYQL ALPHPRYLGENAFLVAGLVGSESLSKKVYRALVKHKIISPYPGVEKERKGSVSSMLSF GNDRASSSIEAGSGVGGEQMTVNTAGIGKRFASQLAVISVHAQRKLVGMLFFWEEECM RWKLLDEEEKEILRAVEQEGNENRDLNVALEAVEMKKKMLPSKRAEAVANVSEGQGHT LPRYS LY89DRAFT_665414 MSPELNNDHARSRPTRPAPRRQCASTMLDARRSSPVAATGAVEP PHQVCSFEVEVPHDAISQGWDDCHDSHLDSTGRRDSRYVPNGRGARKYFRDLRHELRQ EMHPDSSVPVGLTPYQIRRDPKLFMQETPVLESRILSEANVGSPSFRYSPVCNTGATL EAMRRLIPSRRGTRSGGARLFLLHAHASHCHGETVSDRLPAFSWPSRLGCFFHSGDRQ PVEAHTVAAITRLGVASVAGALMDAAARSAESRPAAGPGHGSGKYSAPWAITP LY89DRAFT_419878 MPPQLLPASAAAFAPRANTVNVVLSSKVEPWLTQTLKRINRIKR PLNSVPQHQRCLTETLSSSTAIWTLTSLMLPKAPDSELRKDSNPLIEALFNYQLLHVE AYIVHVDMVLRNEVAFKLTPDSIESLIEYHKDIHCVDISANTYSWSEKEMQVKKLHEE FIQAINKFVYRTHVSALEGLEEDGAGELLCGKSDEVKNNIMGLFLPLLPPPPRIVDVV RQPPLLPSSPANANWWSQSTLPSSVPAPVDSWRVIPSSPSTTSSVDSNSLWASMGLTD IQLPSPTPSYSQPYSTSAMFYSSPQVSAPIPSLPLPSMLAQQPCGISVGYGGFNQPWD RYQEYATTM LY89DRAFT_715245 MLLVARLLALLAFLECCTALVNRPNYAQIKLDTQTLQSSTIEKR DSLPAGYVAAPYYPTPKGGWVSNWTAAYEKAKVVVANMTLAEKVNLTTGTGELMGPCV GNTGSALRFGIPNLCLQDSALGVADTDNITAFPAGVTVGATWNKALAYARGYALGEEA RGKGVNIQLGPVVGPIGRKPRGGRGWEGFGTDPVLQAIGASESIKGIQANGVIATIKH FIGNEQEAYRMDIIPHGLMRAYSSNIDDRTLHELYAWPFAEAIRSGVGAVMTSYNEVN GSAASQNSYMINGLLKDEMGFQGLVMTDWLAQIGGVSSALAGLDMAMPGDGDIPFLGL AYWAYELSTSILNGTVPVDRLNDMATRIVATWYQMGQDQDYPLPNFSANTADPTGLCY PAALFSPTCVTNEYVDVQADHAVVARNVSREAITMLKNVNNTLPLSTSASLKIFGTDA EANPNGVNSCNERACDEGTLGMGWGSGTANYPSFDAPIDAIKRKAANVTYYATDTFPS SATVVAGDIAIVFINSDSGENQDTVEGNDGDRSSSGLYAWHGGDALVEAAAAKYSTVV VVVHTVGPILVENWIALPSVKAVLFAYLPGQEAGDSLTDILFGDYSPSGHLPWSIPVA EDDYPASLSLLGFELFQVQDTYSEGLYIDYRYLNKNNISSRFPFGHGLSYTNFSLTSA TITAVTPLSSLPPARSPKPTSTIPTYSTAIPPASEVAYPAGFNAIWRYLYPYLDDPSS ITATGTFDYPTGYTNVSQPDPPAGGSQGGNPALWDTMYTFSVNVTNTGSYAGKQTVML FVQYPSDSAWDTPIIQLRDFEKTDELAIGASETVSLSVTRKDLSIWDVVQQNWVIPVS STEPFLFWIGSSSGNLTLACESLSGECTDGRASPVV LY89DRAFT_681574 MADIQKETAPVSGREFPGKQIPSDQNVSFSSRNPQPFHAPANIH EVLDDYDDEYTEGMTVSAISDEDAQSLVEPSMSRMATRLKSPRTPALERAAAMEELEG GGYFDSGDETPPAADENNLYESLPTSHSTAVNTSADTIQREATPKPTKPSEGLSLGRL PSPKLEDDTMPITPWHAGPKTLAVQRPDGPPQSSLQGVFDTVRTRSLSGGAEALKKFL PKGLPNLFGNSSTSHKPKSVSPQRMPRDARISNPSQSGASSNSGGSPQGGSSPKSRAF APIAASPPDTLIRQNTGARPHRPKVLRRSTSDDSLLYHSLSRASSLGDDTRFENHHEQ VNSRVKAIRDSLQDRSSFRMPQMPSILPAVVTKRKPNAIANPTVTPGMVGAAVSRPEA AAETVRPVAEGPNSSFLDRAMESLTGDIVIMGGYRGSVLRSTQTNRQVWVPVKVGLNI RKVDLEVGLEPEDEERMPETIYASGMLQNIGPVDISKRLFKRLSECENARNGKLRVWD YGYDWRLSPHLLSRKLTEFLEKLPSNQPGQDGSSGPRGAIVLAHSLGGLITRHVVNHR PELFSGVVYAGVPHACVNILGPLRNGDAVLLSSRVLTAQVNFTLRTSFLLLPEDGRCF LDLHTKEQYPVDFFDLDNWIKYRWSPCTDPPLPPRNQPTGGLGSLLNMPASLLSLPIP GKKSTSGSSSPLSSSFSEKMDNSQAQSPPTMATRAADVLRAAEGGTDRTLAPQMGSSS NPVSKGQSTNQSISTAVTIPREKAIAYLKRTLAETKKFKQELHFKSELSEENRYPPLA LIYGKSIPTVYGAKVDGREGIPCSDSYDNLAFASGDGVCLAKEAMLPEGYKAVYGGRI SSDRGHVTLLGDLNAVGRALEAILKGRAKGIGLGVDRKIGA LY89DRAFT_420221 MRFSVIAAAFMASAVYAESTIYTTEEITITSCAATVTNCPARST VVSTTTYPVITSSSISVPVYANTSVATYAPSSSTPVVVATSSAGGVASSSALLSTVTY STCVPTVLYSTITVTPTSSVAAPSSTGTISYAHNVTAPAATATPTQFTGAASNVQGSI LVAAFAGLAAFIFA LY89DRAFT_681577 MVSTRGHPEEFPEPDLTPSKATASRARKGKWAHTPSNLTIIWLF ISLPLVAWDTGYVMLRPYSMPGGSLHWPIWAPYELYGQVDYIYGWKAFHANNGFTAAQ GFLNIVESLMYVYYLYIVYVFGKQSKAQGRGAPKASTIGFLGQQRFVDGQKGAIAVLV AFSAAVMTVSKTVLYWMNEYYSGFDNIGHNRLVDLVFLWIIPNGAWLVLPSYMIYVTG SEILQGLTIVGGGAVPSSDDTSLVKTE LY89DRAFT_577494 MTARRSPFLPTSLETILLSIYPVTLVVGSIFALLDPTARAAPYN ATTQSHFADTAPSYFATKGNVFNRFFVKQGWAWVTFSYLFFLFTHPATGPPNTLTLTQ KRLRGIFRYAIVTVWWIFVTQWFFGPAIIDRGFRLTGGQCELVEQAEAGNVNMDDTRQ FVTGVACKAVGGKWKGGHDISGHVFLLVLGSMFLFEEVLHVTMKTTTAKEERTILMND GAVKSAEVEAEAGTATEGQWTLGAKFVLGVGALSVYMLLMTAAYFHTWFEKLTGLLVA LSGIFVVYFLPRAIPALRDVIGMPGV LY89DRAFT_729759 MRASTASMILAFCAMVAVQGAPVSSDSAIVARDNNFEYDAAGNE IEKRGTHDFEYDADGNGKSPGIKFVKLRREEHTTSNMTQMEMEKIEERGTHDFEYAAD GSEIEERDFLYDANGDEIDEDNNLVHKREPKKKAKKAKKAKRDSFEYDAEGNEILDDE EVEKRDSFEYDAAGNEIEDDEQTDSFEYDAAGNEIEDDQEVEKRDPKKKKAKKAKA LY89DRAFT_770115 MADSTAPKPSSSVKLVLLGEAASSLVLRFVNNDFQENKEPTIGA AFLTQKCNLPTRTIKFEIWDTAGQERFASLAPMYYRNAQSALVVYDLTKPTSLIKAKH WVAELQRQASPGIVIALVGNKLDLTNETSSSNPLGEDGDGEAAEGEDADGDARKISTA EAKSYAEEEGLLFFETSAKLGTNVTEVFTAIANAIPETSLKGARGPGASQAAARTEDQ SRVNLTGPRDAASKEGCAC LY89DRAFT_715252 MAKRKAEVLSQAPSMNGSKKHKKDAPQQAKPSLLDDSDSVDSSD ESDGGAKLEEPGFKINEEFAKRFEHNKKREELQKLEEKYEAKSKPKNGQYGDKYDEDS ESSSDPEDEDDEGILATEDLDAQITATLKAIRSKDPRVYNKDVTFYAPIEEDSEGAAA EPKRDKPMHLSDYHRENLLNGYTGAEEEDTTVPRTFAQEQEDLKKSIVSEMYAAVDDS DDEDEDGGFLVPKSKPKVANDGVHPSRKSKVKANVDVSVADKDPETFISNFMAARAWI PSDASSRFQPFESDDEEEDERAEKFEAAYNLRFEDPKGSNEMLKSYARDVVASKSVRR EEVSGRKKQRDLLREKKETEKQARDEERAQLRRLKIEEAEEKLQKIKKAAGIRGKALK DDEWTKFLGEDWDDNRWESEMNKRFGDDYYAAPEAESEEDSDDEAEVEGSKKKRKVKK PKWDDNIDIKDLVPEFDDEGTSKPAFTLSDSDAEEEEEDNGDEAPKKSKTSKDRQKEK QEKKKAARIERKRIEELVDSKMDLDLPMKSKQPSLFRYRETSPTSFGLTARDILMAPD ASLNEFAGLKKMATFRDAEKKRKDKKHLGKKARLRQWRKETFGNEDGPEIVIGGANED GGTELDGDDGVDIVEGGRKKKKRSRKSKGSA LY89DRAFT_778591 MADPTSSSSSTIGAEGGHDVDTRRKPQQQKKASHWELVYDQTHV TPEVLNWPYKGSGTEEDPYVVEYIENDRRNPMLFPQWKKWTITILVAFATLAVAFVSA AYSGGIEEILAQFHIGDEVAVLGISLFVLGFAIGPLLWAPMSELFGRQVLFIGTYAAL TAFNAGAAGAQNIQTLIILRFFAGAFGSSPLTNAGGVIADMFPASQRGMAMSVFAAAP FLGPVLGPIIGGFLGETEGWRWVEGLMAIFTGALWIIGAFTIPETYSPVILRRRAAKL SKMTGKVYKSKMEIDQGTKTVKQEFKIALSRPWILLFREPIVLLLSIYMAIIYGTLYM MFAAFPIVYQQGRGWSPGIGGLSFIGVMVGEIIAVAYSIWDNKRYEKVEHKHKGNAPP EARLPPAMVGSIFLPLGLFWFAWTNYPSIHWSVSIIATAPFGFGMVLVFLSIMNYLID SYVIYAASVLAANSVLRSLFGAAFPLFTTYMYQNLGIHWASSIPAFLALMCVPFPFLF YKYGKPIRMKCKFAAEAARVLAQIKGGDSDTAGNDEEEGLEVPDEEMNPKKEEGGEVN TSLGAEPKFDEDMESRGKEETR LY89DRAFT_638667 MSLYYEAADLLTAPTNAGGSLKSRIFSKKDLKSQPAQIYALAIE TCKWSTVLKEVVEYADLLRLERKVTPILSILLVHDLLLAKRGIALPATHGLRTSIERH KARLQAEFTKARIRRKLSTVEALKAHIESGLENASDTSDSPHPRWVRINTLKTTLEDQ LDTTFAGYEYATTVDAVRQRGSKRLFIDNNIPNLLAITPSIDMSKSDAYRSGDIIFQD KASCFPAYLLDPLPEDGDIVDTCSAPGNKTTHIAAILLAHNSEPDECSQSIHAFEKNK GRAETLEKMVNVAGSQPWTKLHPGQDFLKTDPDSPAYKNVGALLLDPSCSGSGIVGRD EMPELHLPNLKEAAKTGSKPPKKGGKAAEPKDESRKRKREDNASSLEVMVDDDGEVTA VNSEEDLRVRLEALSKFQLELLFHAFKFPAARKITYSTCSIHAEENESVVQKALAHPT AKERGWRIQKRSEQIRGMREWPVRGLIEACNSNDELADACIRANKDDEHGTMGFFLAG FVRDQPEAVSLDAEFLRDERGHLVRDLMGIPVRVQPQDDSIAGSKDNFKTLAQYQDDG EEEEEWAGFEDDVKEEVPKTKAIKADPRSKGQKHDTSSTEKGNTMKKRPQLGIKPDKK RRKK LY89DRAFT_681583 MAKDLVAILVNELHPRYKLVHLANTNAIYGLGALLESLVVVPHI LICSSQWTLDQQSLIQGIANEMCPGIKTVAVPPGLSAVKGTTAAVGFVREEILSMGLS ASN LY89DRAFT_609232 MDFAALMSKEISKGKSTSAEPSKKYMKRSEIEAERREKYQAEQR AIEAEKEAKLAQKRKREDDEAEANRVREEKRQKLAEESRRRREEQEAKEEAARRKRLG LPDLVKETSEEVEVDDIKDEELVEKLREMGQPVTLFGESHKQRLRRYRKLGIVMTTGP IPTSLELVEEKDMKVDTVPKDEEGKKFLFRQLASYFTMVLTEWESALQQEKRDTFASK AAYNAMVQSKENMTPLFRKFEKGDLDEGVLEPVVEIVKAAQEKRYVDANDGYLRLSIG KAAWPIGVTMVGIHERSAREKLHESDKGHVMGDEVTRKFLQSIKRCLSFAQVRWPPED IRQLMG LY89DRAFT_704921 MAVPPAKIDRQTTTPFYLKLFYRNNAVHRPEEFITSAELPAHLQ IYTWPSCTLRELSHLLTSALPTLLPDPAIGTRLSFRLIYPDTRSSGPGIGVGPGRYLI KELGSVIIGDGGPGILPDEEEAAIVRGGHMEGPLGGDPEKTLQDARFMIGDYVSVTIT PAMDNGNVAPPPSGPSRGGFGGGRGMNDFGGRGGPAMRENGFGGGYRGRGGRGGNNFG QGFVPSGEWRRGERVPDGPGGGRGRGFGGGFGGGRGRY LY89DRAFT_681585 MAGHGNHPIALDPALQRYNQMWINRHKYFRWTGRTARITFAYVV AFPALIGYLAYTTDGKWDMRGKRRGDTIVEF LY89DRAFT_715257 MAASEANQAKVKLYWLNKSRSQRILWLLEELKVPYELEIFHRQT DKFAPPELKKIHPLGKSPVISVEVPGQQPVVIAESANIVEYLLDHFSNGSTMLPKRYK EGQEGKIGGETEEWLRFRYFMHYAEGSLMSFMLIALIALNIKESSVPFFIRPITNAIS GKISSGFLEPNFKTHFEFLESQLTTSPNNGKYLCGPNLTGADILLSFPLIAGRGRAGL TQAKYPKLYEYIDRLREEPGYKKAADKIIAIEGSFDETF LY89DRAFT_420656 MSDLFRSGRGGAGNFHTKNDIEELKKAEDLESQSQIDPSSTSIN DLTRSISATKPPPEYLHTGRGGAGNWVQPKELHSQGLTQESTLSGQDASSRALGSSKP TYRGGRGGAGNYGSFEEEERLKREAEEKKRAEVERAVERDVESGLARPERAYGGKGGS WEMGSMGAEHR LY89DRAFT_694627 MASSKEIQHSSRPLGQHRLSMSTNSGSLPKSHARNHSHSVSSGS LIPSHRVTRRKSVSSNAASNVAAMVAAVREAGETTGGMPITARRNTMSKNASARAAAL GSLPSPPASLPSHRIRMSAGGKPDRGESAIDDDQNDDMDEDEEDFKQSRMRRASEGQH LLKDGKKSSGSDLKCDKCGKGYKHSSCLSKHLWEHTPEWSFTSKLLISKHQQVQLLEA ASVLLTMNQDGTTPPDSAKDFQSDQDSASPAASGSSEPHDGTSSADTTPPPQADMFNT YGSGSYVGRHKRYSSGTNYSRSYQSAPSSNMLHSGSVPSGSGFGHYRQPSHERRPPSS GISRNQEDEGLAAAVELLSCSFGSTRTNPVTLPADAPPVPHIPAQYLNQGNFSGTTLT PNQHPRQTESYTRHQIHHDGDIKMEESEESVADDEEYDQRSRARSDEDDDGVFGRMEE LY89DRAFT_638684 MSSATDKVMEKAIAVVKGDAVVRSSKIPSLLRFPLVVVISTVLS AFLYSFTADYTAADLAGVSRRLDSWWEVGTLVAFRTFELGLGWFGNYDGYDLASLTLL SHGPPLYLLATFYEVKPVAVILSLVIDSLTAYIPFRLLRPLSLAHSASTSPNSVKVPN NDIITSTSIQAYTSILAASIYSVVLYTAYSSYLPVYLVTYFFDIKTIAAAHTATPITL FPVNLLLGLAAKSFIFTPATASAPSVADAKLAAFNPATATFPETLRYNLWGYQTRTKV TIKRTATLMLIVGMNTFVQTFVTIEGVEAVGAIAYSGIWVIGAAITGAAFGLVGAV LY89DRAFT_715261 MALMMASDASRRWLDDSGIWSCRIKLPQSQPEPSRQADSGAELS QQMAPTAAMDVTMQSTSPSSRRNKGKIVEALHSMGNLNSNLESLDLPTDPDAQTTVTD FLDFTEYLPADMMRSLTLVGNLDQTYTDNSASVHELTKKYGDLPTLPTDGKPDPVALR ADISEHLSQAVIARTLSHAEASRMAENVDRHYNRAKNILAKLQAMADNYPASRETSPV PQKQKSPVVNRPPKIMLRVGTSSEPVLKVRKHRAPRITVPGEVLAPYELDYESYGSET DISSSEEEEEPLTPRATPGGTVPKIKLKVSKKDKAPKPPRGPRPPGVMGTNVHSQVAG ISTSNALAKLKPPPPDAVKGDDHLPWLQLNAWELAHLRKRMKKNAIWSPSDTMIAREL KQLGRGIEAYRAAKAEAEATGQPFDKPEPPQLRGETVHAEGAISVEALKLNEPQLSNR GMKLNEAKKLKKENQAKELAKQAAEEAEESARKMALAAKAMAGIFEHKKPPAKTPSKS AAKKRKRDSPPPEAEGEKTADGESTKSTRPPLKRNKTETPVPVPQPISTKQTSPILPP ESAPSIASEAAPLVTPTEPSSEPLPIPAPLVSPKKSSTPILPPVKEPRKSVKKEVKKA EPPTPSAATSRPRRTSAAATPAPAPAPVIVPEPLPAKRPTSSRSKAASVEPNPTTATS DRPRRASTARNTPAPEPRQPSKRTKRPAPGVVTAGSEGSTTVSVGKRVSATRKKAGAK KEKKDGREGSAAQEAYDEIDDDGNIIDPDEPRYCICNRVSFGTMIGCENSECEKEWFH LECVGLTEVPARTTKWYCPQCRVTLRIGEKGEVNARGKKK LY89DRAFT_420751 MHPGSAPSYGAHHSTHNGYYAPQQPSSYAPVYYPVSHGNDLGQH AAYDGRKRGYDALNEFFGDAKRRQIDPSSYAQVGQRLMALQGLPIHGGGISEYIPAGP ALISVDGHGGHHSAHMPQHQYALPLPAVRTKADLMNIDQFLEQMQSTVYENSNAAAAA GIQQPGAHYTHSAVNFRQSHSPPQTALHGLGHMNSHVSSAHVTAPMMATHSSQSTSSG TPALTPPSSSVSYTSGHSPVSSHGMSPTSRHSSTTGGSAAYPTLPAVSLGYSPHAQTA PTSTLGTNFDSDPRRRYSGGMLQRQAPRSSEMMDTSDDSPTPSPKVATTPTLSREVTN NIDPALSGVTSPTGTSQSDSGESARDRAEELWIENIRVVEALRKYIGDRLERKEYIEE EEDVSMSGTGEEKREEKKEEESLYPVLRADDD LY89DRAFT_420844 MAEIRSLKPDSKVQSIVGDQSAPHLFSFLEIDWSTTNYEELRYH LERHYNVPSTEHWGFAARKFRMLQMYFEIGTNTAHEAWGEEEMSNVAAHENSPELAKK SDMTVESEIPKQTPALPRVKLFKACTFLMRVATTNEPLICKAFGTIAPTLYEEIFWEK LAVLEREQDVSINVLSITHLTAQDVVFFIEFGGHEFKIRYLQWGTLIQRYVTEDNTHV LY89DRAFT_420808 MGKDNVHLWHYRLTSVDLYSTAMSTTSDDTYEGYYIIGFKAVGT WRRPLVDDITLKWQAQMHTKIMEKQSDGCHRSVTTRVMTEQEMDDIVLRKCPRTWPYN AVEPPDNSGQEADTSVSHSARKAQAVLQNKNHDESASLRPAEDVINRLKYDRANFKVD EWAIGYVDRHMQAMQEKPVRDWATDVTDEAFIPQHRIEYFKVYPKDSPPVIMWEKASK KDLIFTGREGKVNKEVETEADDEMLTKRERKQKAKEENESKQQEWSQEAENGKKEPEA KENVDEERKQKRKGSQKGKKHGKALPQIVTNASVGQPTKQDEQNLTSPKRGKKKRDKE NNGT LY89DRAFT_420878 MAHTYASEYPAGLNVDHGIKTFFEDFYKISDTGTEEAHELYADQ FTADATLVMASKVRKGRDEILQIRKGMWEKVASRLHRPVKIFPFGEGAEEVMLYGTVK YVLKDGRKADVEWGARANLVKEEGKWKMGFYQVYLDTAAMQNAK LY89DRAFT_638694 MRIETCYFCSQPCYPSKGITFVRNDARVFRFCRSKCHKNFKMKR NPRKLKWTKAFRKSAGKEMVVDSTLTFAARRNVPVRYNRDLVASTLKAMERVSEIRAK RERVFYKKRMAGNKEREREANRKLVAENEHLLPKMRASERKALEENGEEVEELPLERE KSKVFGKMKQRRKVLVDGGVEDEMDMD LY89DRAFT_681595 MASVLTIGVGVAVAAFLGRAGLVALRRSRGEAVGALGKAFYKGG FEPRMNKREAALILQLSERQLTKERIRKNHRTLMMLNHPDRGGSPYLATKVNEAKEFL EKNS LY89DRAFT_420910 MTASEKSKKGAAKAKKTLDQAQRYQASRDIVKRVQTYIGIRKPD DGIEAALFKAHESRVEWYDPKAIAAAVSREATAKFGPATFFDAGKPMKFTPEDSVVFI SVDVEANEFNHREITEIGVATLDTLDLVQLVPGKGGENWMKQIRARHFRINEYRHVIN RVHVSGCPGSFEFGKSEFINLANAPAAIASCFKAPFSGADTTSLDQDMPKRNIVLVGH SIGADIAFLRDMGYDVTNLANLINTVDTGDMWKYVKKVMIGLAIKHVQDKGKSKVVFP TENKFGEDNLAITRTTNASGWEIDEEDAQVAAAHEALKQLPLPEDDDDDDEWGFQNPT GVPWSTEYISYLDDGAQPGGLQEPLVPSSTVATLQQEDSDDAPSTTPDLVMTEQEALD FVMNAPWGDEGALMEQLGKIRLAPSQ LY89DRAFT_715267 MSKEATVFVLDLGSTMGDCHNGRVESDLDYAMRYVYDKMADTMS STRTTLNTGVIGFRTNVTNNPLDDGDEGYNNISVLQPLGTLTMPLFNDLKAKITPSQT EAGDAISAIVVAVHMIEKYTMLKSGKPGSYVRKIILVTDGQGRMDDELLDDIATKINQ MGIHLTVMGVDFDDADFGFKEEDKSIIKQENEKLTRGFVEKCNNDSIVATAAEVIESL STPKVKEVKSIPVYQGRLCLGDPEKYPETAMYIDVKRSFFTKAARPVSAKSVVIPLGA ASTQSSHTLPGDVEMTDAPPTGDASDVRTGRSYKVKDPTSDLGEKFVDYEDLQKGYGY GRTAVPISASDENVTKLETFQSFSIIGFIPTDKYEQYFTMGESGITIAQQVNDKARVA LSSLVHALFELESYAVARLVSKDGKDPQILLLAPYIENHLEALIDVPLPFAEDIRMYR FPPLDRVITASGATLETHRYLPSSDLDDAMSEYVDSMDLSTFDKDDEGNPTEYMKIED TYSPIVHRILQAIRQRAIYGGPVEPPAEILTKWSEPPAELAMKSTGRLEKLISVADVR KVPPKVKGTRGRREVIAPLSGLDIESLLVKNKPNKISKENAIPDFRQMLAAADKDETI SDAVKGMSEVIKDLLQVTGDANDQRCQENIKVVREEMIDYEFPEFYNNFIRDLKKRVF KKEFGNKREFWQTIRLAKLGLIDSTIISTSDVSPEEATEFLSIPTELPTRRRES LY89DRAFT_681599 MPDLSIFKSPAPYHIIAYGTLLGTEFFQTFVGGIVSYQALPRPQ FSQLQQKLFPVYFGLQTTLPAILALTYPGSRTSLGPSSGISGTFADVNRWTVLAPIAT IFVTSAVNMLYCGPETTRIMRKRKVQETRDGKKYHDPAPQSTEMQQLNKAFAWMHGAS SLVNLVGYIATIWYGFSLAERIS LY89DRAFT_715269 MFSSSTTIKSSSHNILLQILQIFIMRKPSITFPQFPQLPPELRL CIYKLATPPPRIIELAWSSKARTLVSLTPPPPLLQTCHESRHYHISRYKSMVFGYSSQ IILVNFDQDTIFFGPGCRHLVPSGRSNPWVKQNPRVIWDIVSSLVLGENLSLAVFDQC FAAGIEQLETLCNTLKRLETVKIVGPLGRGEMTAEEKRVKEPLRFVRSRVVEWAERDE STAWFLAYASSRKEDRKISVESGRVARNVL LY89DRAFT_554245 MGQAFSGPNAFKFFGFTPKATAVLQANPILLVILVVVLLANISL GLLAYYIHFVTNKPYAKPKKVKDAPK LY89DRAFT_609265 MDARERVESQIRRPSIKRPWDEDPVPPENVGIWPGRGLSLPPID IASYRRPSLTLTAEDEGNARTRYGSEMRESGGSAKKPRYEQHDYNSLSRENLDLNGRI LQTQASGRKTCKSMYTTPRSSQELPSFPPQQHAERWGRHIGDLGEAAGARESSSLCQR CRRLTTPLQEQDFVESCEVCCSEEVKLITLAAAKSLSQLQLKLLRKGNFGLRDSAQRD LISADCPPIEQFGLKHTLNWLLGAIYQINDLADQFVQHVASDISQSHDRDIIKSGTGT QDVLVDIMKRHIEAESDNPSRSVNDAIDPVSDARLHARRKSVASNLTNNEDFSSVRSP HDYHGGHSSLDAQSQRASIMNPPPAPNRQLPSPPGRSLPSPTSINFPSPSAGSYGSSS NPMSLPPPSGLHQSSLNAYLPPIGSTHSPDALQAHSAALQHEVSVQKIALASLQGEHN KLLAAFSRSQTRASALEKKHQVSDTEIISLTEEKLRLQSQVTDLEKDVEQLARSRDEY RQSAVQEGAQYVEMIKKASRLEEMADEERKAWAVLKAEMEQRIESLTTRNDQGNSTTL AIPLRRSLEDSATPPSSIEGQSILKAEPATETHSTSYPVPSQESDIELKEEIRRLRSR CAEAEEALRAVRDDSRNMEGIVQALGLAGKSIMERADRTLGNVPRIDEA LY89DRAFT_681602 MEVARGRTEEEKQAAAKAEYEKLLTMRSGGTYIPPARLRALQSQ ITDKTSKEYQRMAWEALKKSINGLINKVNVSNIKHIVPELFGENLIRGRGLFCRSIMK AQAASLPFTPIYAAMAAIVNTKLPNVGELLLKRLIVQFKKGFKRNDKAVCLSATTFIA HLCNQQVASEVVAAQILLLLLHKPTDDSVEIAVGLTREVGQHLEEMNQAIALAVFDQF RSILHEADIDKRVQYMIEVLFQVRKDKYKDNPAIKEELDLVEEEDQITHVIALDDEID VQDGLNIFKFDANWEENEEEYKRTKAEILGEGSDEEGGDEDDSDDSEDDEGKQQEKAL EIKDQSNADLVALRKTIYLTIMSSIDPEECCHKLMKVNLPAGFEHELPSMIIECCSQE KTFSKFYGLIGERFAKINRLWTELFEQSFAKYYDTIHRYETNRLRNIARFFGHLLSSD AIGWHVMSNIKLNEDDTTSSSRIFIKILFEDLSEAMGMKKLQARLKDDTLQPYFEGLF PHDNPRNTRFSINYFTSIKMGALTEDMREYLQNMPKPALPAPPPAADDSNSESSYSSS YSSRSSRSPTPQRSRSRSDPRSRRKYSSSRSGSRSISRSISPSDRGRSRSLSDSRSPR GRARSVTPPRRRSYSRSITPPRRRFPSRSVTPPRRRAPSITPPRRRALSRSITPPRRQ PRPRSITPPRRRALSRSVTPPRRRARSRSNTPKRRRADSRSISPAPRRKASRDDRSDS ESPRPRKRRRPS LY89DRAFT_681603 MLDRLVGLGMLIAASVVFLYYTIWTLLMPFVDSDHPLQNVFPPR VWAIRIPVILILLGSAVVGSFLSVVMIQSNRKKAAKAKAAGKKKA LY89DRAFT_609274 MPPSSDQDINNPSSGISQSKLTRKASSAGLQIPSKKERKNGTAN GKEKDSGTGGVLAAVTKNASKMSNAKSAQSTRVQSPELNGVEYPPNGKTITSPLLDAL SSAALDRSASQNANEWAKNVPAYSSSPSNLINLGESPPMAPYEDRLGWTPREQRGLSN GYVPSASPPSRRRPLSYQLDGNYTPGFDDHRSQVSSYAARRSSMYSQHPRYSQHPPLP HQAQPHFYGAPDTNLMLSPPTPGLIPGDNGYYCGFDSLGVSSQEATKSVNNVVVSGYE GGLKIQTVSKRGLTKVAGIDGLRGGVYNAKILPWTTQGGSSPHFPLVAMVIHGPVWAS GDTSSGEGGTPPSEAVSVTQNDSMRGSPRVPGLAMNDTESIESYQTTVEVYSLSTKQH IATLLSVPKVHLSLPVTSPIFKAPSPVGTLTIRANSGHVIIASGTTGETWLFKHVEPS TAPDKFRCIGKVWTTVQHGVTDPSTSSGHPEDWHGPDLSPIRKQYKASILSTNGRWLA YCPPTPSSQTSLRAAVPGVGSTARIPGLNGHAPPQLPSVNCGVDMPDGESMMKQLVQI STQKFIEAGNYLTQQGLQAWNNYRNKPPANQPNGSAPYQNQPNMLGQFPPTHGLPTPA PLVTKDPGIISILDLDQLAHHSSTGSAPHPLATFRVPHGCSFLSFGPSGLALFTASSK GDVQFVWDLMRIQYAKSSFLKGGLQGSGAIGPHVRQIAQFSRMTIARIVDVVWTSPHG ERAAMVTEPGTVHILDLPASAFTWPPPRRRTAPQRFDEHNTNVPGQSPPLTASGLASG AVSTLWTAARPLVSRRRRSSAGISARTVTAQAGHGTQALAAGISRSVGAATGKMNEMR KSGTTKLHLPRSPVVPSRACTLLLHGKRNDSVIVLGGGVVRIYTIRNRRADRPADKQK ASRGAKYVEFRLPSLPDFKLPPDLARDLHHVGDLELTERDIEESRWKARQASPPAPQA HGTESSIPQAEIESNAPYQPFHTDRRVALHIYSYEEDPVPSPSVSALLSPPGLAAQSK PQNVSQVNTPWAFGGPIKSKKLDVGPPHNSDDDLDLPLDSRALPLSAIERVMRVTDST EEVEQIVVTTRRRKGASRNTPEGTGDVDEEGFFEDDCEVLDFASQRV LY89DRAFT_729784 MASSFSTATDAPSQPSAPIAEMAPPSPSSSPNPAKRGTEMAPPP PTHKLKPTMSIPIPPHTTETLSSAPSTSSSTSTSTWPISTPTSRTHTPLTSGVSTPTT EQSPSHSRLRPIHLCRLPQRDKKGNLLDVLERTYLKPEEEVDIEEALQCPPLPGTFRY VVEREVRGGKKNAKEISSEDKKAEFERVKRELRGG LY89DRAFT_665446 MALPVIRVLKDCSSLEQTVLPYLPQLYDLPQQLLQSWNNPTELQ NIYVATNPLISALAFSLFLAPLFLVISEINKNYSQVDRMWSILPTIYNAHYCIHAHLN GLETQRLDNLVAFSTVWSLRLTFNYWRKGGYSIGSEDYRWEVLKQKINPTLFFIFNVL FISLAQSLLLFLITTPSYVLLLTTRLGEKMSTADTVFARVLMGLVLVEFFADQQQWSK TPRTLGYQEAKKQYLKTAKVPHKFDQEDLDRGFVVSGLWSFSRHPNFAAEQAIWVVLY QWGCWDSEVLYNWTFLGALGYLFLFQASTWFTELITSGKYPEYAEYQKRVGKFLPYLT SSLPGDFSDQKAKPKVEKPEKKNNGAVKR LY89DRAFT_421355 MPGKILCVAEKPSISKAVAGHLSGGQFTTRNVHGNQYVKNYCFT FDFGHAWGNCQVVMTCVSGHLTETKFPDEYEGDWTYPPPESLFGAPVDVKVAKDKEPI SRNIESEARGARALFIWTDCDREGEHIGGEVRAEALKGNPRLQVKRARFSNIERAHVI QAAKNPIDLDDRQVSAVATRIELDLRIGLCFTRYLTNTLRPLGGALEIGENGKRRVIS YGSCQFPTLGFVVDRYFRVINFVSEPFWGIKVTHNRDNINVNFGWRRGHLFDRAVVVI LYERCILAKFAKVTKIQSKPTSKWKPLPLTTVELQKNASRFLRMNSQQAMTVAEALYT KGFISYPRTETDRFDSGMNLRALVEKQVQDQRWGQFAQGLVQGGFKQPREGRNDDKAH PPIHPVTWVAPNALNQEEGRVYEFVTRRFLACCAEDAKGQASDVEIEYGEEIFGAHGL VVLERNYLDVYPYENWTSSVPLPQFTLNERFEPTEAMMTEGKTCAPGYLTEPDLIALM DANGIGTDATMAEHIQKIKERNYVFTRPHGSRGGGNNDDNAPAARGARGGRARGGRGG RGGRGGAAAGGDRPGGIEEFIPSTLGVALVDGYDKMGFEISLSKPFLRKEMELRMKDI CDGRLTRDAVLRESLRQYRNVFDQSRERIDILKASCRRYVLNGGV LY89DRAFT_421311 MASMTSTARDIPKVHGRDSFAAVAARSVPTTKPHPLPTPPNSIS PSLPPHGIKPYGTRSITAATLENVESDLDLHEGPTGSERDGSAEGGHDVGSPGFDTAG AITPTLLAKHHLPEILLNHGPLAIRHIMGFLTTAVPGFSGIPPAKARRLVVGALVGRG SGGEGGGVKGNVKFEKVGWGRWDARLKGRPARNSRGIQQSPPPSVPSSYSNGMPIAAT DSWDRTRLNAPGSSWAADSAVFSHDDDMDISMLENEADKMSLDGDESCSSSDAPPEDE IMSMGDDPEDITDDEDWAAVGAAALRAASYSNSGPRGAFLGSGTYNGISRSGGGPSLM TLAKSVPMHTPRLNFDLSAFGMTSDSQEREAVEALLRLGSV LY89DRAFT_421529 MDSSDSYSEDEALLLLDKRHSVTESLFRLPYDLIAHLERAAVHS ELGYPDLAASDSYRALLLCDECANAGFEYHEQALETLQIRSRDGVPDVLHRPGTILNH DDLTGGVEALNIDPAADEQQRLLQIAHLASIRCYRSLAISLLLCGCLKSAFEFCTRGL AAAPDDEELLQAKEYIEKMARGRLKVDNIVEINDLPDQGLVRREIYPWNDHEPNRFSP DTLNFLNGQLRDVAPKCEVRVTELPTLLESASLTDDYGIIPTNKQLGLFAKEDIAPGE TVLFEHSLLAANNRLKETLCDACSTELPPLGDNSPVVGCPECDDIMFCNEECLSRAQE EYHPAVCDKEIETVAKDVDPREKTSALYLHLLARALAMSVTQEVHPLELKEVKYIWGD FLPSRANAVPHSLNAPPPPIWTLPFSFQYNIAGPLHVLEKMDIDIYATLADYDLWVLN TLYSKFRGTASARVNSRTGHPEVAAVHPLWCLANHDCDPNTQWEWGGRMKLWCREKRI GGRPGGIKAGEEVLNHYCDIELKVYDRREWAKGSLGGWCMCDRCRTEAAAEARNGLGQ ANGSSLETLVNGVH LY89DRAFT_694643 MSRANHLSGDPAAINEFIDKFDIFLLDCDGVLWSGDHLFPGTVE TLELLRSKGKKVVFVTNNSTKSRSDYQKKLTSMGIPSNVEEIFASAYSSAIYISRILK VPPPKNKVFVIGEIGIETELKSEGIEFIGATDPAFRRDITPEDYKGIADGSLLDDNVG IVLAGLDFHITYLKYAHAYQYLARGAKFLATNTDSTLPANHTFFPGAGSISIPLINMT GQQPTALGKPSQAMMDSIEGKFQFDRKKACMVGDRLDTDIRFGIEGKLGGTLAVLTGV AKKEHWEGEDAKVVPAYYVDKLSDLKG LY89DRAFT_578082 MARQPNLFAFPTVDALATTLRTYIIQCQTAGLHRHDSFKVAVSG GSLPKTLAQALLAKPTGPDDEVKFSKWEIFFADERAVPLDHEDSNYGLLKNELLDKIP QELGAPVVHPIDVAHLNDVQELADQYEQLLVKSFASRDSVKLPIFDLLLLGCGPDGHT CSLFPGHALLRETDAWVAPIEDSPKPPPKRITLSLPVVTHGVKIAFVATGGGKKEIMK KIFEEGNGLPCALVNEGAGERCSWFTDYPAVDGVSFPRRGSL LY89DRAFT_681613 MAIAYHLAGKDNELMSQKIFPNSTYPGAVDPYLEDGDQALQKSD PFYPSPWGTGLGDWADAYEKARDFVSQLTLLEKVNLTTGVGWEGEQCVGQVGSIPRLG FRSLCMQDSPVGVRDTDWNSVFSSGQSVAATFDRGLMYQRGYAMGQEHKGKGVTVQLG PVAGPLGRAPEGGRNWEGFSPDPYLTGVGIAQTIMGIQDAGIIACAKHYIGNEQEHFR QQPEAQSYGYNISEAISSNIDDTTMHELYLWPFADAVRAGVGSIMCSYNQVNNSYGCQ NSKLLNDLLKNELGFQGFVMSDWQAQHTGSASAVAGLDMTMPGDTVFNSGDSFWGTNL TLAVINGTVPDWRLDDMATRIMAAFFKVGNTLDQPPINFDSWTLDTYGPLHASVGADN QQVNFHVDVRGDHGSIIRNIGARSTVLLKNLNNALPLSEPKFVAVIGEDAGPNVWGPN GCSDRNCDNGTLGMAWGSGSANFPYLITPDTALQAQALADGSIYQSVLDNYATSQIEA LVAQAYVTSIVFVNADSGEGYISVDGNEGDRNNLTLWHSGDDLIKNVSALCNNTIVVI HSTGPTLVTDWYDSPNVTAILWAGVPGQESGNSITDILYGKVNPAARTPFTWGPTRES YGTDVLYVPNNGNDAPQDDFIEGVFIDYRAFEYQNITPIYEFGFGLSYTTFSYSNLTV VKHNVSAYTPTTGMTAAAPTLGNFSTDLSEYLFPNASFPHIWQYIYPYLNTTDAKAAS ADPYYGQTAAEFLPPNATDGSPQPLLPAGGAPGGNPQLYDVLYTVTCTITNNGTLDGE EVPQLYISLGGAGQPSKVLRGFERLSIDKGMSTTATFDIMRRDISNWDTVAQNWVITS EPKMVFVGSSSRDLPLSASLS LY89DRAFT_681617 MISYALATFVYIRSFSVKPGNTEQRELAAGGHSGNMIYDWYIGR ELNPRITLPFFGEIDIKVFCELRPGMMGWMILNFTFIAHQFRTYGVITDSILLITAFQ TLYILDSYWMESAMLTTMDITTDGFGFMLAFGDLVWVPFIYSIQARYLAVYPLSLGYA GCAGVLVPLAVGYYIFRSANNEKNRFRNNPNDARVAHLKYIETKSGSRLLTSGWWGTV RHVNYTGDWVQSWAYCLPTGLAGYLINHSSVAPVVGQSAADSSFVYQSSGVHSEVVQG AAKGWGMIFTYFYVIYFAVLLIHRESRDEAKCERKYGADWKRYCEKVKYRVIPGIY LY89DRAFT_681619 MQFPSLPTTLLLGLALHGGVNAAASSDDKTKTPDPCTVASASGS FYDLRPLSILPLEPGKKAAKGEKIDDWHARGYDYHDHKANFTLNICAPLIEKKDHFEG VDKDLWQNVSAQYTLGSKIYSIGQESSNLTLRGRRLVLQYTNGSPCGGSDKKRSTWDD DEEDNDKKTKGRRKSTIISFHCDKDPLATQATATFVGTDPDECSYHFEVLSKAACITA EPAKQSVGPGAVFGIIAVIAILVYFLGGVFYQRNVAHARGWRQLPNYSMWAGIGSFIK DIFIIATSSCARFMPSRRGYSALNVNSGSNGRGRNGRNEDENRLIDQLDEEWDD LY89DRAFT_422152 MAKPRVIYWFRTDLRLRDSPALQAALDLNPEAFWPVWTWDPHYV YRARVGVNRWQFLIDCQNDVSKSITKINKRSKLFLMREAPQTLFPKLFKAWKITHLVF EKDTDAYARDRDTEVMKIAREAGVEVIVRSGRTLWDSDQLVEKNHGKPTMSISQVQAA GPKIGNIPRPIPAPKSIPDPGETNLDFEQEQPETAPDLNSGTRKHGDKSYEKISGPNG DFSVPTLEELGFPASTSLHRGGEKIALEMLDKIIADEKYTATFEKPNTAPTDFEPQAT TLLSPHLHFGSLSIREFYWRVQDVVDNYSGKASQPPVSLTGQLLFRDMYFGAQASLGY SFGQTLYNSHCRFIPWHLPSKVDHETKLVTGEYHIDSPLAEQWFQRWKYGRTGFPWID ALMRQLRTEGWIHHLGRHAVACFLTRGGCYISWERGAEVFEEWLLDHETACNAGNWQW LSCTAFYAQFYRCYSPVAFPQKWDKEGLFVRRYCPELKGLDKKYIYEPWKAPIQDQKK AGVRIQGDGEEEQEGVYPKPMFDFAERRTICLDGMKKAYGVGLYGDDPKVIDGTWRKL FDDAAEGPTEGNTFEDAMAHDADEGEKVRDGLEGGDDNDGAIQEDETGAKEKGGEIKG GDAKKGKKRKGGQGTLDAHVKKSKK LY89DRAFT_715284 MPDAAKEGAETPDVGVAQTGGKEAYTFRFVEVGEPSQDRDEDAR AIIRSHVMRDFYEKRDRRKRPNKPPLLSAAPAKDTGLPRTHRFKVGPQGLQELKKRRK KSDNVPGTSSSVVTNNLAAKVIAARSPASQKSASGSGQSSPQTFEPSVRNDQQSVIAP SQPTFSSQSRQIALQPERHPFGSGVLDPFNTLPPSASSQTQHLLYYADRGILSSRLMG QLRTEWLSLATQDTALFHVALSHYAGNYGLAHQQNDPVEALRFRMEAMRIVNERLADI NSAMTDGTLGTVASLSSYEATNGTLSAIETHLRGLQRLVNLRGGLTQGSMNKYTRRLV LWADLNCANALGTNPVFPILESDRCARAISWFDTATEAKDQWPSAVIFGDSVLAEGDL QRMTRELKDDFTALKYFSDLGHIDRTNNEEGKMQQIYDSDKLYFTERSLLTLSNSWGP SKFATSGCLAAIIFLDNYFRGVAFHARIMASLHLREHISRLPSFLGVLDISRHNANEG STKALFWVLCVGGVASGVRPERDWFISHLLSFTDALELQMWEQAELVLKTFLWPNAWS TDGRALWAQLEEARITNSMIWPFNMDITPLGHYQWSLLDANQHSGQ LY89DRAFT_665457 MPGKIVSPEEWLTARKDLLEKEKAATKASDQFTTAFKGFPMVKL AKQYTFDGPNGKITLPDLFEGRKQLIVYHFMLGPDDAAGCEGCSFLTDNLPSSLAHLH ARNTSLVLISRAPLEKIESFKKRMGWNFPWYSSSESDFNYDFHATLDGSNSSTQYNFK ESAPDVKGERPGMSIFYKEGAELYHSYSAYSRGLDHLLVTYRLLDLTPLGRQDKEVGG WKLHDEYTEEEKKEGAV LY89DRAFT_665458 MRRNTFQLLVWPSFVAQLVAATPHKAARGIFTSCGCTEIITTTL YTSAPCSSLPIVTIVDTTTIFIPVSCTASNTIGPGSTTSSALLTLTTSSSQETSTSSF SSVVGNSTTSSLKSSTSSAVSVTSQGPSTETTQTVLSSLQVSSAYSSISTGSQESQSS SISVSSISSVSQSLSTSSLSSLSQESTITTTSLQTSTVVECADTTSVETVTSYGPSGF STNYATTITLPVTACSVLTISSSEIPTSSVIGNISSSIATSTQSTGQESTLTSIIVET STNIPCAGTVSTLTLPSYISGISINFVTIITSLPTGCYPSSQVSTVPSSPGNSTSSAI GTFTAVSSTQSSATSQSSNVQQCSGTISTLTLPVYASGSTINSVTVVTIPVTSCSFSS QLPESFTSSLTGSTTELSSNLPQSSLPVSTPNSSSFSTSATEQSSSSNTETLPGSTFP STFPTQSATGTSSSQLSSVQTPPTGIQSTYPVSTQSERASLSPSSSVTSITVTETVSP TSSQESSTEMQSSIPASSPLSSVPTTASESSSGVVSTPPVSYTVSPTSSQESTTETQS SALASSPSSSLQSSSANASSLVTSTQSSISVSLTSLGSQTTSQSTMSAATETEISTLL SSLSVSSLPSSLSSTSTGLTSGIVSTPSVSTLASTEISSSLSATSLPSSSPIPTQSTS KGVSTSSSSSSTNSFTTSETNIGTVATTTSTSEFASTTSSLPLTTTLSCSEEIPSTTS TNSGEVTSESSTSTLPTYPGGLPTLVTTTEANSGEATSTESLTTSTASANSPNWGYGS GSWGPPTWGYGEGPTTLKQVHKSHREWKKSDHE LY89DRAFT_694649 MPSFDRSTTGKEVVETFAENLKGKTVVITGPSFKSIGAEIAISL AYGSPSTILLLGRTESKITPVISQIKAFNPSITVSFIHLDLADQASIRKTTEAVSDAV GKIEYLINCAGVMAIPSFQTTKDGIEMQFGCNHIGHFLLTNLLMPKIFAAGKGARVVN VSSTGYRLAGVRFDDYNFEEGKVYDPWYGYAQSKTGNVLFAAGLAQKLKSRGIQSFAL QPGLVLESNLSGHVTPDMWTSALKIANEATEGSITELEVPKTLQEGSSTPLVAALDPT IESQSGGFLQDCVVIPIEGEHAKGQENIDKLWALSEKLVGQKFEL LY89DRAFT_681623 MTSKAVIPLLVSLMLLTGVCNTLLTKYQDNICVRDCDDPNPKNR KLFEQPVIQTVQMFIGEMGSWLFIGGFWLYKKYISKSSPAEENGYEPVSTGENGGDNH DTASIHSTTPINPAAKVMSAPEDRLPLKGWKVTMLGLPAVCDICGTTLMNVGLLFVVP SIYQMTRGALVLFVGLFSVIFLRRTLHLYQWFALVTVVLGVAIVGLAGAIYKDDKAAP TAMLMVRKAITLVAREARTPEAVRAIIGVFLIAGAQIFTASQFVLEEYILEKYALEPL KVVGWEGLFGFWVTVAGWVIMFLAVGRTEAGRFGYFDIVEGWREVTQYKSIGVSSILI MISIGGFNFFGLSVTRSVSATARSTIDTCRTLFIWIVSLFLGWESFKWLQVLGFALLV YGTFLFNGLVRPPLKRCIVRDPEELLPEEPIEHM LY89DRAFT_704949 MTPTSPVGTVQNDAPEPFPSLEEDPPSPTSPSRATTEHHNHTRN RDQSTTSTFQERLRSASKGFSESSPPTGMWIATGQIAASVPSLTDIRRGSYGSEGWSG EGQIREKERRRSTLSRRGSSQTGEELTRRKSSINPNSPRETTSNPDIIPETVPEVSAE SEKTGLGVITSGVPLGASEATNSMSNPAVTEKRIERRSSSDTNITPTQTGKTAADFRT EPFENGYQFPPKHKWTESTATGLKAFWKFFLTPLGFLVTIYGLNVVAWGGMLFLLLCN ASPAMCKPTCNDINSPRRKWIEVDSQILNALFCVTGFGLIPWRFRDLYYLLQYRVMKN ELGLRRLAGINRGWFRLAGSQDLPIELGPAEVEAGAEVPESSIPFPISRTPNAPLTGI RAPPTKMWKLDFVIWTMVWNTFLQAVLSGFMWGLNRYNRPSWSTGLFVAMACIVAACG GIMAFIEGKHVKAIEGVPVSEKDQERLKRDRELGITHYNNIKDEGPKEKKTSEQKGLF KRKQKTEAVAVDEGALERA LY89DRAFT_422188 MTPSATADLPPASSLQEILESSKSSIEGSPHPVYLHNLASTIIH DLQFQHDWTALSVHIHSTLTNDPLPRPIISGLPPKRAYIHPDEQIEIIKEEHKTGEKI IHKPEREWVLPSHIQEKWSLAKFAAVFDGLDVVPSGTGDNHDEEENPIGQQWRGKNRQ KRLLLATLHDDSTVVYYIVHDGIVKPRQN LY89DRAFT_681627 MGHEFTGTVKDIGSQVKSVKVGDRVVSPFTVSCGECFYCLDDFS SRCTKNQLFGSPSLDGGQAEFVRVPLADGTVVKAPNSIPDRALILMADIFPTGFFGAK NAFKFLTPKQITNSVAVVIGCGPVGLCAVISALSFKPKKVFAVDSVESRLELARKLGA EPLNFAKDREGMERRVLEETEGRGADLVIEVVGLSPALKTAYDLVRPFGIISSIGVHN GEIPWSGAQGYDKNLRIQMGRCPVRSVYPEALQLFEQKQDLFGFMFEKIMPLSEAV LY89DRAFT_422207 MGLSYNVYLNSHKIYGCKNCKAHLSNHEDIISRNFRGQHGKAYL FNNVVNVTAGEASERNMTTGRHIVRDISCRQCNETVGWKYDKAFESSEKYKEGKFILE AELLCNVT LY89DRAFT_422252 MWNNHLLPSVLSRLLSFVPALYFEIPKASLILVIRKWKSKDPST LAHYCVTTLLNALTITTGSLFTLHSSPDATIMGTNERGVAACKLI LY89DRAFT_422251 MSSSTRFLAVMALAKMVAGAGEAAAPKTNSTGAATGAATGGTTG AAAAAKVNAAVLNQDFYNYIFIVCGSLIAALLVWRVGIESVKYVRQLTCLNNDTQLYF TRPSDRFSSVKKHLLYAPVFSKRHNREFQLSSAINVGTLPTRLQLAFLIGYFGTNVAF CVVGIQWKGAAATVEGQLRNRTGILAVVNMIPLFIMAGRNNLLINWLNLSFDTFNLLH RWFGRIVVLEAVAHTVAWAFQAGSWSAVQKAITTDPMKMYGFIGTVAFVAISIQASSV LRHAFYETFKYIHIALVILVIIAVWYHLKLANLPQITLLYGVITLWVLERVVRILRVV FRNGGGSKALVEALPGNACRVTVDMARPWTFKAGQHAYIYMPAIGLWTSHPFSVAWSE EAEKLDSEKLAMNRQDILAMQKTSMSFIIRERTGFTKKLFKKAEAAPDGKFTTTIFAE GPYGGMHQMTSYGTVMLFAGGVGITHQVPHVRNLVAGFANGTVATRKVVLVWIIQSPE HLEWIRPWMTSILAMDKRRDCLRIMLFVSRPRSTKEIHSPSATVQMFPGRPNIETLID LEIENQVGALGISVCGSGALSDDVRRACRMRQHKSNLDFVEEAFSW LY89DRAFT_422290 MDTGIFAFASNSNPRTFTPIKRRESTINTRQFNADSEKDWTTAR CHRLLRALTSRVAILKKEISRFAQPGKDVAATRAGGAQVGSKANDSDWTQARKRIRRT YSSRGGKAGNVSQAGDRASKGSKMKKSRRSFAPGEILVSTPLLARAKGDRAEEIATTL SVPIADELPVVKTKRRRTRYTMGIPEGDFQLTKPLREIRQDITTVRYTTYEGIYNGLE ALLRATEQDEPETKRKGARSLSSMALRAVPRYVTEQETLLEAHMQETGSKSAIQSRDI ATEIYDELESFGTSGHGWPQLRAVVRSHGIEVLGDAIRRGLLDIDFCGSLISLCIHTS AIDEGQLLLAASVSAAQYSIPRALYDPLSRPVAMLCKFAHHTGQSSFQYRQLAYIISS ATLPVEWLASKDFGSIWTEVIQAPSLDSDNGDALAFLETSMSALAHYGLRTKATVVMN AGMAEAVGNTFSSLLTTFLSIILLSKETEHQVQPDTLDISQRHNHFATLLRNSLFGYQ LANPSITSKSILPLLANLFIDHGETDLWGPESHMAGVLLAYLEQSGDDFSSISSAYSE SVTFVCQIARCCGRGASTSGFEYLEHLHLILEGLLCGRPGSNILMGLIVDSAFTFAQK VPDRKHLDYAANMDAKYFSRGFDTEASLHNISVNDNDNVSSGFRWEEGIGEWVTATPA ADHAKRKCAIEEASEESECDTPYRPPPNLRRKVDRDPTPPAVASSPQGSSQEEDDTHS ETLQSPQVRAQMSVIEISPGSEQSANESSLTSGSEDELADNSLQSMDSSMMEESVMEE SDDEIELSFTEDSLGSFESPSLLKTTLTSNHRTSIYRAPRLNRKIFHKSDDFQVFDES FASTASSVSSDESGDSAGPRREYIDRAPRLGRKALQTSDTWQLFDESDDELSLLSVSS PGDQALQDVTRTSFSNVLQRPRQAKPSLTQSKSKTMSKRITAHLSDSEDELCI LY89DRAFT_665466 MQVMVSSQEAIHDIRQSIIETPGTFQYSCFHLEHKGERINDFIQ ISDVKGLAPESELKLVEDPYNEKEARLHVIRVRELIGAAGDRTDTLHGILSGLSLHDS VTAAEAANAATTTHPMAGYDLQGPAVLSALLPQPQEPAPKTVKSISVSPWNPPPYHLR QKGHLLYLLATTNEGDQYQITSHVSGFYVNKSTNSKFDPFPRGAPKAYSAHSLLTLLS EISTSFEASFQRLQEFNGLKEPLATYQISNATPASPWLVPGPTSNIVAHQADITRTQE SYLISGIENTETLRDWNEEFQSTRELPKETVQDRVFRERLTSKLFADYTEAAARGAVL VARGEVAPLNPTEGRDAQIFVYNNVFFSFGADGVGTFASEGGDEAARVATGKDVMGVR MVNQLDIDGLFTAGTVVVDYFGKRIVGQSIVPGIFKQREPGENQIDYGAVEGKDVVAA DERFVPIFEKLSKSLRVKKHAVWDKEGKRFDLEGSVETKGLLGTDGRKYVLDLYRITP LDISWMEEYGTAITSPEQVNGASKRAYPHRMTVLRPELVESYWKVKMREWVNSELEKR RQAKTEPTEEIKKIEAEATEAPKSDDKESTSDETATSEEVSKSSEMDKERIDISDFSF SLNPDAFSGQEPQTDAEKEEWVKDEQEVRLACEFLRNTVLPEIVNDLKEGDTGFPMDG QSLSRLLHKRGINIRYLGKLASLAEGKRLESLRTLTIQEMISRAFKHVAGNYLKYLPI PLTAACTAHLLNCLLGTGLNPRPKAEVDESMAALYPESDLSFQKTTPESLRDEIEGQV LRRYQYALESSWISDIKPLQLLREVSLKLGLQLEVKDYHFTNQTSNGVSEASAASNGV PKENGTNGHSTSSKKKKKARDGSPSSIASSHASSPHTFNPDDVVNIVPVIKEAAPRSA LAEEALEAGRISIMQNQKKLGQELLLESLSLHEQIYGIIHPEVARVYNTLSMQYYHLE EKEAAVELARKAVIVSERTLGVDNPETLLNYLNLGLFAHASGETKQALAYVKHALELW KVVYGPNHPDSITTINNAAVMLQQLKDYHESRLWFEASLTICEEVYGKTSINAATLLF QLAQALALDQDSKGSVNRMRESYNIFLNELGPNDKNTKEAESWLHQLTSNAVSIAKHA KDVQARSIRSGIRVSPRVTLGQTHPQPQVGQTSEASSGRDPHNPMGFDSRNIEDLMKF IEGSESNKTPKKRPGRSNPKRRGGSSAVVS LY89DRAFT_729804 MKVEESVEQPPPPPALPVSQEGIDMNDDDIVNAVIPFMSSPETT VQARQDHANERYKAERSVPAFAKLAGKDWTFWITKVKNLIGRPPENGNLLPGVPPVDI DLGPSKHISRQHAEITYDSETEEWYIEVVGRNPIRIDDVKYLRASRTRLTNGQVIEIG GVEMIFALPSDNSKLQIHPRYLERAGLIQAVGEAEQDQDGEFGSSTSTAPDSSSQPTT RGQIGVQGGLPIAPAPPDYRRPGTPVSARSKGQYSTGKSPGFPGSTMLMNSDDIDLSL QSNFHIKPSYSYAQLISQAILDAEDEKLTLNGIYTFIQQKYSYYRNQHGGGWQNSIRH NLSLNKAFQKIARTTDEPGKGMKWHIVPAHRDEMARNCVRGGRGGHRGSPGSPSNLGL LTRASKAAAEHGSERSKRSPRSGSPPPSSYPSNAPQYTPVRSGRLDDSLHEMPGDGSP LPRHRRQHGYGLSDNAPGSPPVLSSSYMQEEGSSFVTPAPHRVHPRLAPPSTAQRPSQ HMPTSSPAPFWKFAEYGNTPLKGPAFDSSPMKAPNAGAGAPPSSSPAPGRRSSAASPT RNGGIEKEEAKPEEPTVDDVEEEDQGFDLTKGFQTIGSYHAPAAQGIPVPVPVNTVNG TNGVNVRVN LY89DRAFT_681634 MLTSTIPSRTRSLRKPSDAGTKYDRSYAGNQNEQTAPARPERAS QSPSRLPVKPPTRITRSTSTTARPPSATGSTTSNGSMRPPPPRANISKPTTTGGLQRS NSNRRPSTSSQVEPEPVKKDRSRPPITISRHIRNSSATSFSTSQQQPPGHSRTRSSST LTNSTSTNLPPPSNRSSVIEPKVQPQPEPQLRRPAFSTLQQHFSPAKSLAPKPHPAAF LAPPSPSKLPSNIAISAETAKLQNELLQLHLLHKDAGRVDQEWRASAKRKLGARFHSV VDKNDELVALEVQETGKINAAALRSWHDQGGWGLDEKVQVLSEVSSGLWNIGDSGGRY ARVVRRFERWLRRTQEILERREHDVHGDVVFLEELDPAWKDDCSVIGRKLELWRDQMR DLGQPEPGSSLGQVVEGCRHLVKGMLMELEVMVQIERDAMAREVEWIKSMNGDVSDDD DTPVAGAIWRSG LY89DRAFT_422378 MFSTFPSTPRGRSRFSKALPVAPGQSAEKEESSAMMSSSGYSPL PPLPNDASVPAKTIPRRPVGGQAKPPKPPSIRSLSSVYSDSPGLSRSLSDSSSRDTKD SVSAIDSEIDQNPPPPPKDKVERQTTNILDSPLSSNGSPKQTELWKRRSVRSEKSIVV PDLKLSKSNGSTASPPRRQEQSTDRPLPRSITGRKPVPVRPAPPQPEFMGNKLAKLEG KLENKLKKQTSSEESSKQDEAPPQQYPSFQRLPTPEYLKADKQQPTTPQVLSPVSPFT PPEETAPKLPPKAEARNYLKTQPTMSDTEVPNLLANHSRDTSDTLTITSEPQVMRSPQ PQKAFTARILTPQPSPDKEKTTSPLNLGSPAAHSSFFPTVNSPAAQGTIFSGPSLDII HYDCYQSHKFMRGSRNALCPVACMICQKKDAEMRWRCTWCCLSACGSCMQVLSSVPGK DLRVCLERIENGK LY89DRAFT_577282 MRLIDTSSLTLHEFSGADTPKYCILSHRWEETGEVSFKDLQAGI GPELKGYSKVLGCCKQAVLDGWKYAWIDSCCIDKWSSAELPEAINSMFLWYREAQVCY AYLSDVPSIKGCNEHTTNLNMAQSKWFTRGWTLQELLAPEYVVFYDENWDEIGTKASL ADVIQRRTGIKDLRRWEEACVAQKMSWAAWRQTTRVEDLAYSLLGIFGVYMPPLYGEG NNAFLRLQLEIVNRSGDESIFAW LY89DRAFT_704959 MTFQQELQSWLSPTSLTTSPAPELGSKAPSSEPLPFPSKDGKRT IVTFLRHCGCPFAEKNFLSLRTLASQHANAINFVAISHSSQSSTEKWLSAIGGAGDVQ VIVDSERAVYAKWGLGVSSAWHVLNPWSMWEVYKLGKGEGIWNRPTESGSRWQTSGSW GIDGEGVVRWGEVARAADDIADFEEGVKVLLEEQK LY89DRAFT_681636 MSPSALLSDRQRHDLVLAHLKNGVHLNGHSTLSKKASSTNGFIK ADYLLDRNLHKAFPVVKGGKGNYLFLSDGRKIFDTTSGAAVSCLGHGNERVIDAITAQ LKTGTPYLASTFWMSEVVEELCKELINGTDGKMARVYLTGSGSEAMESTVKMARQFFY EKDKNTPRVNFVARENSYHGNTLGALGISGHVARRAPYTPFLMDNVHHVSACNAYRQR EEGESDASFVAKKAAELEAKFHELGPETVIGFICEPVVGAALGCVPSVPGYLNAMREV CHRHGALFILDEVMSGMGRCGTLHAWQGEDVVPDLQTIGKGLAGGYQPIAAVLISHKV VKALMNGSGQFIHGQTYQGMPVQAAAALEVQKIQREENLMKNVRVQGAYLEERLKTLL GDHPNVGDIRGRGLFWGLEFVKDKKTKEPFDLKMGIAQKLSDTAISPLFNITLYPGTG TADGVKGDHVIIAPSYIVTREEVDYIVKVIADVVHSVFSTI LY89DRAFT_729810 MPPVQKKVARPIEPERSIGEQDTGPIPIFMQAGHESTPDLEFTQ QLLWGSGGLPALELTHSTPSASFRQHHGRERPPLPQKNVPGTHAYLEPVEQSKSLWAC SRRWSDSDWGRSVAVPGNVENMDVVLMSAYNAGA LY89DRAFT_665474 MLGCPCHDCDGATSCVFTTKVQKHSEQVTYLLSSAQDAVTLIVG QTKARIVCHKILLAYYSGYFNGLLYGSFAESAQAEITLLDDDKDDMRAFVNWVYTGNI FTCHPVSGQTYGAQTKDMVDFKRIPERMWVFGDRLLAPSYSNDSMLAIMSKYRIMFLF PDAAAFVYENTVSESKLRSFIRVLIRSEGPLARSDSSPFRPSVSDWQKILSKNGELLS DCILYGLANKDVDNIPYGAGNRHLYFENDPSPPVADWFEAKGTQK LY89DRAFT_681639 MSAVASKNLYELLGNDHDEDSDKEPEPPVKVVDKPVARTNKRNA PAEAPASKSATPPQARGGRRGGFAGNEGAFRDRNAGSANNRSKPIEDGVQQDRPPRAQ GTYDGRGRGGRSRGGGNYDRHSRGVGGESEKQAAHGWGATEGGAELADEQAGEAIANA EQKEALTGDGEAAPATESAEPAAEPEPEDNSISYADYLAQQAEKKLALGAGVPEPRKP NEGSKQDKKWANAKAIAKEEEEDFVAGSGGKAKRERERKQKQVLEIDQRFVEAPERGG RGGFRGGRGEGRGRGGRGEFRGGRGDGRPRGGRGEGGPPRGDGRAPRGSGGSAPRGGA ANLKIEDTSAFPSLGS LY89DRAFT_577861 MSIDKKEAREGSEDSGMEINSPTPEPETGAPQENPTKRKGGRKP IYATSEERKQRNRQAQAAFRERRTEYIKQLEETIRVHETNLHNLQTAHRSAADECLML RYKNSLLERILLEKGIDVQAELRAKTGSPHLGPTHMPQNMAQPTVQRAIMNRHHQARR SNSSIAPKLEPGAPLQSPQSRPTPSSHASSPTSNSPGFHNQGVMTPPASDAMQFQQQQ QRLQPAKPQSMHGLQPNPGMMGNPGGVVNPLGQKVQGNSGTVEQEYDAQADMVEDQDA TDSGPGPYPEPIPQTQHQLNPQQMPNPQQQQQQSQQQQQLGQGQNQNMPMGENPQGYN SMTQLLDPYDPMLDMDPFGLSASMQFPSSFSFDTSSMR LY89DRAFT_778640 RGRLRCSCLLALALSTSSFPLLAVLTSTIQQTTSSQKPIRCPSN DPAPLSPLPEKSSSLPVSPLLIHDRAEGSANSNITCSTPSFKFFLRIQFPIPLLHSLP PSLYLSIFIISLDRGA LY89DRAFT_681642 MQIVFADAVLTLVIAAHPVEKRLCCVLVIIRNMEGLLEALSFPR SWEQEGGQSWIRGCPLLTSIQVAQVAQRTRLRGRGNGFLGRRQNSASPVTGLCPSIPN GMAMEMLEAVNLGGCSTCC LY89DRAFT_694669 MTTPRRRSSRLRGVTPAKSLSYATNRLQSLAERDETPNSGIEAN LDAIVSSPLAPRTPATAGIKPSRAEMHPSKTHQSTTQEPDSGLRLGFTDIKAASNDQP SGLTQQTPTKLGISSPTFDFKFARPAPQLGPEAQRMMDDLREEALRIKAKLAAEREEE NRRNGESAGGIGGRKIAQPRGKVGRFSDIHMAEFKKMDSIAGHPSAFRAQPGRFTPVQ NNLKRTQSKAQLDGDQETTMKHEQSSEKTAQTDRLENTAPAKRARKHVTDDTSSARPA SSHENKPLPLTPSIPRTQSSMLASISTPTQASLARAATTKKPSTQIPTLTRSPSKPNM PATPRGMTKSATTNDLSGIPKSDSKAILHSPSKFDRVRSILRRPSSSVKKAAQMPSSI PTLARSPSKPNFEKSLPSIPTTPGGADDMRAGKHVNFTPDTVNKHASMVQNSPSPMKS GIPRSTSRIQLGQKPSTTHTSSDTVYYPSVASLPSPGKQTKVVEYPSLAGVRPLPQPP RQIASQSPAPPCVPGTFTFRSDHTISFGSSPKGFGSSPGQSSVRQVRQSIFPGVMPGS FPTGNKENTEPLPAVPHGMANKKRRRADSDDETEEEVERSPKKHKAAEGSMLMAPDLK SQSKTPQSRTPSPAKKKGVLSLSRLNMLARPKVRK LY89DRAFT_715304 MDHIHSSSRRRSSHRTVKDEDRGEATFVCTVCWRSQYAPSKPKL LGSSARIVCKPCWKAVLDLSICWVCGEYIVRGDEVVSLGWCFWHRACFGCLVCGTRMS VPCHKEGVDGRYRGEVGMGVELVDIPLCGVCGVEMSGESPGLVLEKGLENVSRFDGGL SRERLQRWSEVSNEDEEEAIGDKSRSVGLSQSRRQLHHGDSPTPSRKRCPKCTQRPTS NTRNIHSLLHSAMNTRISGDGSVDESRVGDPYVESHKQPRPDEESSPVYVSVLDPIGG RAFEPSKTKPLPKWMHLLPNNIHRGREQEEKDRETYAFDNMSKGYQTASVSYAQAENG HHESENSSRNVTPKTAETQTDVRAPPMYIPNAHQHTRSRSKITFEPHSEAKPLEANQR DTRRSHTIESAYMTPPEYPRQKTPLPRLPRPSIDHQDTSYFSHRPSESSIKDSWERED ICCGLGSPQREPTPTPEQMSPPQLWSPPLSRRPNLAPSQSSEYLERYRPKSAATKHER YVAKDPEPILDKLKRQRVGNQNLEQQVAEERIKKRRASERTKSPKLADEEYGLDPRRK DLNQELRSLFCEE LY89DRAFT_681644 MTGIPVYTQAPINAAKASGTTPQTTAPPSQTASGPNQASTTTAA TSSYPPAQPGAAPFPAPTAAAQQRYAPVQPTPTTKDEADLPAPPQPGAVPTPLGTSSI PPPPKAGETYKPPQQTAAPTQPLAQPYPSQMSIPPLTGTYGAQPPHSSTATTTTPSGS YPASIPEVGARRSLEHPPGYQQNVYASEMTSDQRRAQEAANYSSTAHDNKETIAGIGT ENVWSTAKKWLGQAGEKLSEAEAEVWRQLRKE LY89DRAFT_422939 MGADIACCQQQVATSGEHPRQTHAGRSSNGPDLATKQPSQTRCA GWWSAGRERGHASISLVGTTFQAADSRYVSQCPSRRYKSPPAALVGDGEWQQRLSAEP FRCLFQTCANGWWARYASYTTPSACSSKPGLPQIRFDVVRWRWVETRQATPFETAG LY89DRAFT_694670 MDNSQAQFRQQIQTPSFQFNPNNKFRRDHPPRSFPAVTMNPNEM SMKTEAVGLGMWSSATTPQSVTRPRPATIHETGFSYCMPEEYTSLPAWDSSTMPMQQL NDGVPQTYSVQQDFYPPSTSASESKPFRAQRQGIYGAPYMSEISDGWQQKPCDDGIDL QGLDTDMNMGQPYSSDESAPILDLRYPGPGMDSDRLSLEQSYSSRRMSGSSFTMSTTG ALSEMPSYEDFSATLSEAPSFSSDYPPPSNRNSLMSSTQLSPVASPRMTPQNRSELVR TQSRGRASPSPRPSMRSAPYTMDSTRSKRWSTGSYAPLPNRRPSPFVYHQGHEVFTPH PPRMSSRHSSPTLPNTQLPLNLSNLQQTQQQHPFLMSNNAGFHRNSMLLPSHNYHEPH QFETPPPLLSHGLFRMLQSNADPHSLHSHYTDLSDPPDLYASLNEEQVPPPPEDMNPS DPDLIPHEQELRFDGDLYTPRWVRGHGNKREGWCGICKPGRWLVLKNSAFWYDKSFTH GISAATGSPFQEPQETRRMDGNPDVWEGLCGSCNEWVALVSSKKKGTTWFRHAYKCHT HPKIKDAPKRRRESSHTRALGASQMAKPKTETPMTPQMTPSSSVNNTPATGLLGHHQQ QQPPPVPPMSAQRKTISPLESHTPRPPLKVHL LY89DRAFT_422906 MAASNDGSTMFGINTVQGQVSSEYLQPTAAPEVDSAPSLPTISQ GPVKDEGPDSSSSLTIPRAPMEQASLDKRMDFVLECSRRAGFANFDSAVSSYYIAEFS DSSVAANAQRLSRKRHLPRVLVDLRETAEAQGYSDEILKSAENLLVAECSNRSEIASI SKLFSIDSLNPKTSHQSSFELSNELQDPQKIAQLKKSFQYAVSLTLPVALFTIRIDHF NSFQICGR LY89DRAFT_729819 MLVKNYMSTPLLILAALAKVVFAEPDHFCKSFGHGSNNDFCVSL ATYDDPTFSKQDFIITFNRTQHAATGWSSVGIGNQMNGSIIFLLYGDPNSISPPTLST NTRVGIHIGYVEAQWSASSHEGSKTATASFVCYGCNAWFYADRSAITPVLPFIWAANT EQDLSVSDYASDANLIMHNHYGSFDMDMTKEMSIVPKLLPDTISNFLRPSPPAAPNSP NNDNPTIFVPDKHRIWLLHGLCLAAAFFVLLPLGTIFIRTGSPHAFYLHLGLQIIGSI SALVGMIVGFFLSTSVHTWHQYIGLGLGLAMLAQLRLGFQRHRVFVSKKVNTWMGNTH IWIGRCILFGGSVNVVLGLTLSDYTIHLKTGFTIAGVMNALVLGFVIYRHKIGKPIGK NLRIPWLSKVNGIGWADGANLQSYFALTDEDNNEGEEERRNEVEMEKGIERRQSMSES LRTEALEVTNIGATLNITIALLRAVRNVASTCTPRAIAQKELFPVPFPDSAMQTRQQH RHISDSLLAYPQAVTEEVQDDNPAASSKITVHDANYTPYTSHDLTMPITPEKFDEEPH AWDESYELNSEEEKY LY89DRAFT_694671 MSAITSKQESETTVSQERKTVDDKDIELSTNVSVEPLTNIGRPV LPETDLDRGIVGWDSQDDPSMPFNFSPGRKRAILAQISAITFVSPLATTVFAPGASFM DTEFHNSDALLSSLTSSVYILGYVIGPLFLAPASELWGRRPVLSLANWSFVIWQVCCA VSPNIGSLIAFRFLAGIGGAGAMTLNGGVTADLFIPEERGTANALAALGVIFGPIVGP LLGGFIAQRVGWRWIFGCFLIFNKESYAPVLITRKTARLSKELARPDLRSCYDDEETP DTTFKKLQQGFTRPLRMLFLSPIIGLFCAYVSLIYGCLYLLLTTIPSVYANTYHWSPE LTGLASLGIGLGFFLGLVVIGSTSDRLIIKLTKENNGIYEPEMRLPPMSVDKGVHWIV PIVALVPFGFGMLGILYPVQMYLIDAFPIHAASAMAALTVSRSLVGALLPLAAPKMYK SLGLGWGNSLLGFIALAIVPLPYYFWNFGGAIRKKYVIKL LY89DRAFT_778647 MAALTSWRYQPILARNSSDGSPFPQDSVYNSDGDDDARVIVVET TGEKLDEPALRATSYLFVLSLSFGALQIVFAVLSSYGSSQLLSVGLSKTSVGLTWLAG PLVGTFVQPYIGIRSDRCRLRCGKRRIFVGIGVGGIIFCLLGLGWAPDLISTKDEQTI GKTQMMGYTLLCKSMTLICMVALQIAIQPVQVGLRALIIDTCPSQQQSRASAWAAAAM IGIGNIIGQLSGATDLPKLLPFLANTQFKDLCLVTLFALLLSLSGLWLFIKERGPEKS VNEMVESTSCQPSDGRRIVSAMWNLPQDVLEVWKIQFFSWMGWFLFIYYITIYIDKIS LDHLLTDDRQLNGLKGLKFAKNESLRSGPRVMLLYSCISLISSTIFPYIISPSSSLVC SGKFMDRIWKSKLTFSNLWTGSQILFSICMFSSCFVSTTEAVTALVATMGVS LY89DRAFT_665486 MKNSHFGEAPTPRPTTTSTQDRRLSTVGDITAELSTHVHHFSPH PSFQLPPVDPSIQLPSSPGPYSASQSHFDDLSPTKVTPRKPRKRLEEAFSGQTATPPA SQSKGTRKLAPKISTETMQNDPRDGHYGASQTPTHTNLMPFPSNAGDFFSYPMSAPAT APVFSNTKPFWDPDASMSGMDLDFNTDDAGMFNMSSHKISNSFDWGRNNQMFQETVNA APTQTQNAPTQKEPQVTSKRQRPLAPKLPITTSGLPTSLPPFEFNNNSASDDPFSAVS MGGVDPGLLFGDTNPIPMPSEFADVPLPATRPVTSYAELKPYQHQLRESKRDLEELQR SRSSREGSKARRIDRGTVSSPVKGSARPGLQRSVSDSRGRRTQGNSFGTSFYQPRLSS DTDRAVSRGGRVSPVKQQRPSTLKSIPEMSTPRMRTELKFTIDSNGRAKTERVIVGEE PRTTRGGPSTTHEDWDSSHYESSSDDEPILVPSRNTSFSLPQPSKAPRHSRLEASNRG SDIRRHSASGYSQSESSSQHSYGRDSVESEAETVMDGDDGDATRELRKVMESRKQNQL KSRNPRHHHYGSDATPRGNSYGNYGSSANLSPTTLTDPATTPSSTRSGTTRCVCNNPD SEGFMIQCEACENWLHAECVGIDRRSLPPVYVCAFCAQTPNMRGGRIRETNKAITHTR MGSSPLAHKSFKSFR LY89DRAFT_638790 MTTHNIVVFGGDHCGPEVVAEAVKILKVIEAERPSTGKFNFQEH LLGGCSIDATGEPLTTKALEAAKAADAVLLGAIGGPKWGTGKVRPEQGILALRKQMGT YGNLRPCNFASESLVEISPLKAEVCRGTNFNIIRELTGGIYFGDRKEDDGDGIAWDME PYSRAEIERITRLAAHLALQEDPPAPVWSLDKANVLATSRLWRKTVTEVMAKEFPQLK IGHHLIDSAAMLMVKNPRALNGIVVTSNLFGDIISDEASVIPGSIGLLPSASLSGVPD GKSRCNGIYEPIHGSAPDISGQGIVNPVGTILSVAMMLQYSLRLPAESKAVEEAVRRV IEAGIRTKDIGGTSSTVEVGNAVAAELKKVLAELK LY89DRAFT_729824 MEHDSFFAPKVWDFAYPNGHAPPPTSPVLKAIDKPCFMHSETPS TVSSRRESDSSSSSSSSSCSETNPSTANQPRGLTSMPAWARNLPKEVFGGQKDGFVLF PTRSVPRVNERRGGIRRPGHPVLKLEIGERDRV LY89DRAFT_577174 MATDIQEIRTTNAPAPLPVFSQAIKANGMVFVSGNIGFNVETWT LVEGGIQAQTDQTLKNIKAVLEEAGSSFEKILKLNVYIKDFGDFKAMNETYITHFPGR KPARTCVAVADLPFNAIVEMECTALCD LY89DRAFT_638795 MAPVSQPDHMVVEQQLRDVIQDLFQIMVQVNSYDTATHSSNTVL QNSVKTLHSSLLKIYKTANNPTVNLPHIPPELIQYVDNGRNPDIYTREFVELGRKGNQ LMKGKKEAFGSFRDVLAEEMCNVFPEMRSDVEMVVKATGGDVAKLPVPKEEVAKKEN LY89DRAFT_665492 MSTFSNTGCSFRPFQLSSHCLTCSVITSNAEYTTMSGTSDFPAS AYPEIDANTLAGPTAFVTTPKKVSRFQAFFEKPRAVTEPIILNTFTISRDFHPGQSRA EEESDYPEGFALDAEPITLRTFYISKDFHPGQSEEKDVPYTERFALDTEDEKAPKREA VKPQRKLRARRNAVAPLTVASKRATRNKKTEAKAQEESEESELEGDTIVVDCQSDSED ESPDPIQQARKRLQAAQDQLVLALLAEKSKKGIPARAQQASARATKDIDSEEESPRGT KRSRPTAESSDEEPLAKKTRSSKRAKASDSSNEEPPRRSSRSQTKKTKTNTVRRSLRI RSSVGGRE LY89DRAFT_681653 MSVVSRWLPFASSTTATQACAYLFAVCLFSISFLVFLNSSVSFV ITDLIGIKEGVGDLVGTLGFVDELVALFACPLWGILSDRLGVRIVCVAGYTIVGLALF LFVQARNVYPQLLLARILFSMGGAATATMVTAILPSMTGNSRENESTHGEAVGEPGNP GFRSSVAASLDSEATITPARYNGRPKPPTTNGTAHKSTTKSSSSPPRLAGLVGVFTGC GALVALAIFLPLPAQFSHLKGVTQGQAVADSFYVVGTVAICVAVACFIGLRNLHGEEG KGWRLLLGKTPSHEYSPIASHEEQAPTTRAPFLPYWRLLLDSTILGFKDAQIGLGYLG GFVARASSVGISLFIPLYINAYFIRNGFCQGSPNDPSPELKKECRAAYVLAAELTGVS QLVALLCAPLFGFLSDRYRRFNIPLLVASVFGIAGYTAFAQLQSPEPKDINGRGGSPA VFLIVSLIGISQIGAIVCSLGLLGRGVLGDEGGYNLSGQLHDAQTSNGLSSNDAVETA PLVAAQPTRTGSRSHLKGSIAGVYSLSGGAAILLLTKLGGSLFDSLSTGAPFYMMAIF NAILLVVGIGAGVYREIRTRKK LY89DRAFT_729830 MHEIITLQLGQRSNYVATHFWNTQESYFTYSADQESLVDHDIHF RPGIGADGSETFTPRTLIYDLKGGFGTLKKINALYEIDEPAVSHGLWNGPAVVQRQEV IQQNAYQQSLEEGLEPPPLTTESVRYWSDFNRVYFHPRSIVQLNEYELNSSLMPFENW SLGEELFNSLDKEHDLLDRDLRSFAEEADHMQGIQVISGVDDAWGGFAARYMDRIRDE YGKTAVCVWGVEDSIKSIPREKRFTRLSNTARSISEIAPQASLFIPITVPTARVPSYV SMDTRSQWHVSALLATALESMTLPSRLKAQGRSRETIDQLINALNVNGNQNIAKLRMS TDQKEALNGNSRPGRLDVPTQDRDTRMPSQDRHSEEVHEDKEDEVSTFDMDFFPPESL EQNRGRRNIKKPHVFGQAESHRVPEDDTTNETTEQDEGFERARRRAAGLPIIQKSRTP LPFPLLDSFPHIFARTSSGSSLGVKTSLSTDTTVAARIKELQNIVNRAISVDEREALS NSLGEIAEAYEEGWDSGSDEDDD LY89DRAFT_423255 MKTSFSLLALAASAFASDLAPRWYSSTPVETTTMTTFTTTTYCP VTSTYTEKGKTSIVTTIATSTIVVTSCVGGCGSGGVVTVPGPTGVVSTTTEVDVTYTT TCAVTETVTAPGTTYTNVYTTVSTVETLVETTLYATVTSPAQTTYSEEVITSTITSLC PVTETSTISGEEVTLTWTSTSLIETIIPETIEAYTTLAGATTTIESIAYSTITSLCPI TTVSTVSGQEVTVVYTSTSLIVQEIPTTIVEYSTYFTTLYETTEVYTTETCYT LY89DRAFT_770248 MATLLVGPKQVKIGCHKALLGYFSNFFYGALYGGFKEAVTQEIS MPDYTPDQIGVFVTWAYSGRIETTLCAEELWILGDALQITDFTNEAMHLLFSIYGHRD GEWLTASAADYVWANTRSESTLRKFLLSLMIHDGPLCNRALGRVKIQSRVRRIGMV LY89DRAFT_577492 MSSQERPLYLGFDLSTQQLKAIVITSELKVLYEAKTDFDADLSK YGIKKGVHVNEAEREVYAPVAMWLEAVDIVLQRLKEKGTPFHRIKGISGAGQQHGSVY WSKTGEVLLGSLNPEETLVSQLEKKAFAHPFSPNWQDGSTQKECDKFDAELGSAEQLA QITGSKAHHRFTGPQIMRMHKNHPEVYRATSRITLVSSFLASVFLGKIADFDISDVCG MNLWDINAGAWSEPLLTLAAGEDGLESLKQKLGSVREDGGGSMGPISSYFVKRYNFAP DCGIAPFTGDNPSTILALPLRPLDTIVSLGTSTTFLMSTPKYVPDPAYHFFNHPTTAG LYMFMLCYKNGGLAREKIRDALPKSETADSWSNFNKAALDTPPLDQKSSSEPAKLGLF FPLPEIVPNVRAGTWRYTCSSDGSNLQESNEMWSKEADARAIVESQILSLRLRSQKLV HSPAKGLPPQPGRIYLVGGGSLNPAIARIVGDVLGGAEGVWKLDVGGNACALGGAYKA VWAIERKEGESFEDLIGGRWRESEAVEKVDDGYREDVFHRYGNVLKTFEEMEWRVLAG EERNKK LY89DRAFT_423544 MPLRCFSNGARSLKPVNVTLSLRLSSNFRTHQSQQSRVLQTAAL DSVAPNSISKGYIPLRSFPSLQSFTNNFNPRHRPMAALTQTQTRSHGGHSHHHHHDNT YLTSTNKNDAGVRITRIGLYSNLGMAVAKGVGGYMFNSQSMIADAWHSLTDLASDILT LATVSWSLKPPTAMFPTGFGKVESLGSLGVSGMLLFGGCFMCLNSCEILYAHFFLDAH AAAEAAMHSHGHSHSHGEITPSLHAAWLAAGTVLLKEWLYQATMKVAKERKSSVLASN AIHHRVDSLTGIVTLFAILGANFLHEAAWLDPVGGFLISLLVIKAGWGNTVSALYELA DKGIDSEIKASVTRAAKKSFANLREGNQVELREVEGVKSGQNYLVDLQLAVPKSWTVE EVREVEEAVREVIGSKVRGVRRVKIRFVPKDDTTTSLFNEFISGDVSPRESPEPEDHD HDHDHDHEHEHDHSHPNGNGNGNGKKIQ LY89DRAFT_681659 MRKAPFIRIPYTGPLPTPELYPRNANSTPGAIAALSKFLTAPPS PSLQNGVADPQSTVVLSGAGISVASGLADYRGTNGTYRQNKAYRPIYYHEFLASHEAR KRYWARSFLGWTNLHKAKPNAAHYAVKDLGEMGIVRSVVTQNVDSFHSIAHPNLPTLE LHGYLRALVCVSCHNELPRGVFQDSLAKLNPAWAAFLEEVIRSGALDTENPDERRAKG MKTNPDGDVDLPGAPYTTFRYPACPHCLANPPIAGDGTQTRVEVDGEGAWSATSTAGI LKPAVIMFGESIPSKVKDTAEHAIDGSGRLLVLGTSLATYSAWRLAKRALERGMPIGI LNLGGVRGEEAFFQKLPIGQTGEAGVRVEFPTDKILPKLVDQLRQAGFAKVADAGMAN AADEQHANSKIFKDMLS LY89DRAFT_577543 MFRSAILRRILLAPPAVRTSLLPIASRTSFNSISARQYASVPNV AQTSFWLSLIPKPLRKSSPRAALKKKAKSKEWNPATFFIIIFLLIGSMSIQMIALKNE SAAFTRRADAKIGLLKEIIERIQNGEEVDVEGLLGSGDKEMEKEWEEVLQDIEREDQE WQQSRRTKPKHGRNLESTDNTPITQTPEAKDIPKAPEAKGDSTRKAPVGFY LY89DRAFT_778661 MSTAHASAESIRAILSSPSTCTPATSTILSDLLLPKSSTQNAPA NAKSKKTTAASARTKSTRPPAVKARAKKGGEDGICENEVGLSPKEAFTLATEVINATL KYLTDAIKAPLQTSVRRQSSSKDLVKASARKTLRRSNSLPQSPLQPRSLNRVASSPNI RESRSSSTASTTTSTHRPMAECARVGFACLRTLQASKSAGTELPPLQLENGMSVLVGK LIASGLDDLALKEVRILKRRLESGDISKAPKSIAGSKTSTSTAVPSFASLLDFGDVEF AGAKLGLVIATQLQVLRIMTSCRKPKQVEDTLPILKMDHPSSPARLLLMAAKGSQSVK QTEKLARQFQTLSDLLLSLCPSLSTSDDSLALEPRLSVNPAIAIQLQALALHDRFLWW GLAKHQGDLSKEIYDPFLRCLSAFARRSQCGAQDTYDLALMVAEDLLVFQSDHTKHHP QPLKTTLSGIYRLLGSLSKDADRIEDAIKWTKDVRGLLDAKLDSDAKRCSVIARLVGL TLRSDSALVESEELLFALLEELERPFTGESSEVDDLMNEISGARRSSIGVLAQCRTSS EASTGRCLSDGMRQMCETLVFLCPRLALRYLGNSPDAKSSTKDVVRHEQRRQFITRLA LLSIESSLVLIKILVGEDQISWDLVDSKLQDCILLLDRLGLNGADASADNTSCSAYYT RISYLYFTQFLNMRRNPEAKDTQQMRSIRRSIDCMRSRPKHEKQSAQFIMKLERMAEL CKNMNRYDELYQTLLLVRNEMIEDGVLSTIVDGAKSKALNVVWNANDQSRMFGRNLET LMKVDLKYLKPAGQRNHVEETWSEDEKAAVLEYQLEILCKKPIDSVSESTLIFKLLSQ LLSIYHHDRYPLRRLRVIVKLLSLDFDRSEEGAQLLEHDMDFARVEQLVVEESFDEGL RHYHAHFKTLATALLQLREHQPKVDVFSDVISSWSKCRSRCQTLTALEQEVEDVPRLL IQLQTIAEYLNMKGQVTLALAVLRLKVDLNELCGSLLHPDDPVVDLVHLAGQWLQLGY TGKAGLALDRAATYSNRSGVTSYTKLQYKLTYCDYFLALGSFEKAQENMNSAQEIYSL EIANILNSQSQSDPLEQTRLDILLSHSYIIHAMLAYERGAAHTALTQAKQGVRILQNT WAIVEKRERRSLSSAGLKAQTDVEKLTEEVSQLNVSTISIAVPQHSEEVSTISGLWPL VPSLFQGLSYLSQLYAHNGMSQEMIYYAEQAKKVAEMAGSEAHMAIASMNLGSAWLRS GVLDKGSDCLMKANKMSLLNSMSREGAVLSYHLGLMHGLLGDSESEIEAYNTAEKILK TIATADHIKSLDKVTEDCDILEGNMSRLTIAKKKTKTAAPRKTVGRAKPISKAKAITR AKSPVEAAPSVAEECHQLNSFLATVLRQKAQSLMTGKTDISIDMILEQTAKYAHTQIE VIHQGLVTAKRWVLDSLEQMDADPVYSVLQDSTISFPSVLGQFKADKLGERLSVGKTS PPQKSQIGRGNKDRNITRSPIPGSFFDKLRQAHELLTDIHATAIHIAPLPVINKTSAF LNSVAILLSAINQAKGRSLLSPGLVSSSIETTRTLALRRERKTILLDPYLALRSKDVV WPQTSLRELRRSSIGPSCDMSRFQKEYIDIIPKSWTVISISLGDNRQELCISKLQSGL NPFILRLPLGRHNSMDADEEVFGFEQGRAELMEILNLANESSHDAATRTSREDKTAWW EERESLDARLRDLLENVEKVWLGGFTGIFSQHARRPDLLARFQKSFSNILDRHLPSRR KKGKRQASPRITLDTRILDLFIGLGDASDEDHDFSEPLTDLLYFVVDVLQFQGELNAY AEIDFDSIVVETHDALRSYHAAIHSAGHTEENRHTILVLDKALHSLPWESLPCMDGLA VSRVSSLGCLRDRILAQQKSGAVDAAEGHYISRSKGSYILNPAGDLKNTQTTFQKSLE RLENWQGITNRGPSEEEFREQLVTKDLLLYFGHGSGAQYIRAKEIRRLETCAVTMLMG CSSGALTEAGEFEPYGPPINYMHAGCPALVATLWDVTDKDIDRFAKSTFEHWGLLEPE IPGKGKGRKKVEETQHHVSLAEAVAKGRSVCNLRYLNGAAVCIYGVPVYLE LY89DRAFT_423576 MHIFSKSPKKPPKKPSNSDEESTSSRSRSPPKKSVSSSSSKSAR AAAKEENIRPGQGSAARSSRSFQKSVPHSPRHPYDPNSHPLNLPPDQLRRLSALSSMS DPDRMDVDTEGPVAAPTSPPTQPNLPPTFETPTPKTNGTNGPANGPKEKEQEGPTPPP HKSTPTSPTPAPGPAVDEAEAFKAAGNKFYKAKEYKKAIEEYTKAVEAQPTSATYLSN RAAAYISNGQYINALDDCTRADELDPQNPKILLRLARIYTSLGRPQDALDTYARIQPP ASAKDIAPAKAMLQHVQVAEDALRNGTTGSMALHALDQAEKLLGLGAQKPRKWQLMRG EAYLKMGNVNALGDAQNIAMSLLRNNNQDPEALVLRGRALYAQGENEKAIQHFRQALN CDPDYKDAVKYLRMVQKLDRMKADGNTEYKAGRFQAAIDKYSEALEVDPLNKGTNSKL LQNRALCRVQLKDYKGAVSDCEKAISLDPTYTKAKKTKATALGQSGDWEAAVRELKEL QEQDPSDASIVKEVRKAELELKKSKRKDYYKILGIDKDADENAIKKAYRKAAIIHHPD KNLDNEDAAEIFKDVGEAYETLSDPE LY89DRAFT_638819 MDTQVENAIEIAWNPASDQSLKAQAFEFLNQLRSDPSGQQACIS LFTRNPKCSDVVRLVCLDVVNNAIQTQQLDNQSLSHVKETLLEYVRRIYGSGSRDQMD APHLQNKLTQTLTFLFMASYKQGWETFIDDFLALTSIQNSGARDNLLGTVLYLRILSS IHDEIADVLVSRSNEETKRNTELKDLLRARDVQKIASSWQEILTQWTDRDDIAVAMCL KNVGKWISWIDISLVVNQDFLNILFQLVGRSSTVDRQDRIKDAAIDTFTEIVAKKMKA SDKIGMIVFLNLGEVITQLVATPSLNELRTTSEYDTDLAESTAKLINNVIFAIVKVLE DNSVDTQTRAQAEQLIQSFLPLLLRFFSDEYDEICSTVIPSLTDLLTFLRRVQPLPPQ YSAMLPPILNAIIQKMRYDETSSWGNEDEQTDEAEFQELRKRLQVLQKSVAAVDENLY IETLSNVVGNTFQRLDQQGGQMDWRDLDLALHEMYLFGELTVPNGGLFAKSAPSSVAA GRLITMMSKMVESGIASFAHPAIQLQYMEICVRYNSFFETETRYIPQVLEHFVRLVHH NHIRVRTRSWYLFHRFAKHLRAHVGNVAETVIRSISDLLSIQAEQPDAYVANEDMSSD ESDHSADATFNGQLYLFEAIGSISSTSTTPVETQILYVRTIMEPLFLEIQKSLPRAKA GDEQAVLQVHHVIMALGTLAHGFSDWTPGNAATAGNAPRKEISEEFSRAAEAILIALE ALKSSFDVRTAARAAFSRMMGVLGIGMLPLLPQWIDGLLSESSSKDEMAMFLRLLDAV VFGFKKEIYVVLDSLLTPLLQRVFAGLAEPITGTDDEIQLAELRREYLTFIQIILNNE LGAVLVSEVNQGFFESLIESVTGLARNVTNGHGSLGASRLAFSVITRMADLWGGPNIA TLGPQATSSTLPPSPAFPGFDRFLIERFHPICWEVLKEPSFRPATDAQAKQVLNEIAG LEQTIYTKTGQMFLQHLQQVFFPSMGFDGTDFIKSMTISTDRKGLAGYLQGFLKQRG LY89DRAFT_704976 MDRNEGIVRWSPNVSRDEFMVFNLNNRVAHLYEATGHAQPGRFS FKKSSKHTEIPAINTYDWSPTIRGLVSIGTVQGHVFLLRVDDNSNATIQLPLKLQRPC QSVAFNTTGLLAVGLDRVRNDSCLQVWDINERLAKWDSTQSGWNVPSMNIEPRKKLEG STSITSIRFFEDQPQTLVCGVKNQSVRVHDLRDPNSTVINFQTRCNNNIAIDYMDSNY FASSSLDQPGLMVWDRRVSTRSGASPMYLESFDQDEIPWGAVLKLDRAIQVDKDVFIK QLRYSREQRGALGVLSTAGQLQVLQTKKEFIEPGSPDEVAGSPELLEVRKSYVLEYPY FDPDHKRKQEHRIVSFDWLNVGTTDLPPRVVALRATGDFEILQMPATTAMQLSQLIPW QPPHRLGDPYLTLLNFSDPKEREKMLGPLYATAAKAEVPVFGPDGYSSLATKRMLNTA IKKALESGGDPVIDELAPVESSNQTVEQQAPLIESMEDSFSQLRVDKGVDKKASQSQE IPNDSKISKAYSSRELHDKSHYATLAPLPADKDTHDKLDHVMLQRAVDGYLFDCAKNQ AIVKGDPWLQDVWGWIAQAEDAAKDGGMISMPLDLSYMGVFTIWTNSLGEKSSSRLID NTVIPDSSQLEHLIGAINKLANRPDFTSTKTAKPRHRQLCLAICGQLKHSEDFADDLK ALQLDGMYTKAAAWALFEGHLNRAVEILKNGGTDLLFAAMALDIKLKSNASLDLDNTG WKKALESHPQMAEDPYLRAIYRYMTTGSWEAVADEKSLPLRDRVWVALRNFDDDKLTY WLSREMEEAVRFGDIEGIVLAGITDPMANILQKYIVKFMDYQTPTLIMSFCHPRYIDD DRCAAWRNAYRDYLNRHKLFILRVKYDQQTAKKSRNREGSHVIKPPPRQVTIRCLNCD MNAANDLSAAGQGSASVPASATADIRNPLAASGVNAGLCCPKCGSHLPRCAVCMEIVG VPRSDRPEQSSDPAVRRMANFPSFCLKCKHVTHMDHSIAWFERHNECPTPECKCQCNA GGSRIRD LY89DRAFT_778665 MGPKRKHQESEAQGDVHESRQAQVYGNKPRPAKKPRNSEPARKQ LHASSVNAIKKKIRDVSRRLERSENVPADVRVQDERALATYEQELAEAEAEKVRQKMI KKYHMVRFFERQKATRLLKRLRKRLIEADSTEEVETLKTQMYVAEVDLNYTQYCPLSL PYVSLYPPKGTVTKDEDEQVEDTRPKPEMWAEVEKCMELGTLDRLRNRPPNTPANTSK RLERKVPKPKRVELVDTTGMNRRERRSLRGVNKEGKAKNKSTGFEKNKAFGATLSANL GETEEDSDGGFFEE LY89DRAFT_715327 MENSGPAMDMLVTDLHPPQPAQVIKVEPVKYISMDDWMQDQIER DALQAQESRKESGPPPTKKQKNSIPSLGPEMFAVEEEARKALGDENWVGLLQRYCDVN PQHKVKHEEHTISQPLPRFQCITTLKGISEEFGAGDPQTESHLVSFSNKKIAKQYASK KAVDWLIANKYMPGDGNVTFAIPTLLPSELVSEQEALKTLADENWVNLLNQYCQANGK RIPKYEETSVGSKPTRFQCTITIEGLPTSFGCTNSTDQELLTFSSKRPSKQYAAKQAV DWLIANNHMPADGTIKFPKVPQVLQRTATPTATISTSPNLQGTPYTAQVPDLCYRLNI PAPSYVLKPAAAVPNCPLWDGYADFAGHPRFGDEGKVGNVANVVGKKNAKEALAKQVF VFLKGIEANRLKQYEEEDKKRKRSPASSQTEVSSASAVGVQA LY89DRAFT_577171 MNREVAISKALSKLLRHAAEEAGLKLDAEGYTPLDQVLKWQRLQ SLQPTFEDIRTAVTDNAKQRFSMKLNPSLNTPPDPASENPSDWVIRANQGHSIAIDSA ALLIPITIEAGNVPDIVVHGTYYAFYQPILASGGLKKMSRNHIHFSTGLPEDKGGVIS GMRKDAELLIYIDIQKSLQDKTLWWISDNGVILTEGDENGLVLTKYWKKAEGRRQDVG VLWEDGEQVAELPQSVRNRKAPNGKGPRGRRENEKPKGNGGKGRGKPMKELDVLGGGD GLGTE LY89DRAFT_681667 MANTLPHEIKRLIFDHADLETAKALRLVSSSWAVVGLDILFLHT FVIKSYPTDLQRLINIGNNHNTAVQAAKIINTLEFRDKEYDPTMVRTIFCSRHVQVAS FQKTDFVPNQFENASLDEIDTVIAQRDQDDSFMNEKGETFLIEAFRNVPRVETIKFTH PNPFEQPLLKKVWAEYDFQLYDHRPVPKCLQLSSILSALKHSGMRIKHFTHGRYNSNL FSPTTGLRLSQYLTELRSLNLSIFDLNNIHPDLEDQETGVGATIQNILLVNPALEELR MSFDCVERPHINSLPTISSSHLKTLALRSIAIRATDLLSFLSANAATLQRVSISHAEM IDSDSTWKDFLEQMRANSDFALEKFQLSGLVRSMSETWLLWPIYDAEWKEYENLDWAK VRGVEGTSHLTRALEGFVMRSGEWPRESLEISPELGLT LY89DRAFT_729845 MEYPSEDLREHTASRLPTYRHVDLQKLDLDRDPALSERAEVLTH GESKEQAGPRNDIKPPHMRNNSTSNIITTHEIRPSLKASRHLSFPTDHSSQPRPVNLL ADSSSPSSATNTPAAKLATKRNAQPVTRKNHNGASYPPLSMITRGYTQDSTTTSTTNW VAQQSALSPKPASNNEKPPSSPPQPESQLQPQPQPPRSIADSASARPPIKVAPIRGFK SSRKSAEMASRRFSQELDSTVRVYDPHENLHRRSRQSEQDEHNSDESDLFLRAAREEE LAQQNNVNTNGDSPSRSDSRRSRIGQRPSSLLFNSTALARRRGSDQDNSNGLPIMAGQ ESIAQALTYRPAGRDRPSALEDLNRTRYAGQNSRSTPTTPRATDSRERSPEEYGGRRP SVPEAILPPRASYRQSNLSYSTPRIYNSSPLVSRTTDLHDTPETPRGAEGTESTVSTT APSTVWDELDELKSRIHRLELTGKLPPTSGAAMSRASADRPPTATTTITTLSSSPKRG RGISISPVEPPVVVEQPPAEAHPLLHAALAKAKPLLNAEIYKTLEATASDALAISSMM GTSGQPGPISSSQSTVGGPNAGVSDRQVRRKADSMCRSLTELCLALSENKNEAAQAVT SQALERPRTRDTEVLPSIETNNTRQIVNTDLNRVKSSPRALSRLEARRSSLLATSALP SPRYAPSEVGTPTQTGRRTSLFLRTRRTGTEEPEEDDTFRAPSRAITEVGRPRQSPRE YTSQQPLPERSSSITSSLPVRRHYASTSLTNSQPMPPVSALNNRRYLERSTPERDTST VIGRLAEDRSQRKSSIGSGLPIGRTGSLTRRNRQPTSSEFTAGQAGGYQ LY89DRAFT_681669 MLETSLNSQPKCVSQGSTGESCRNLTLYGVPVIEISLSLMDFAP LSPRVVAKDEDKHRRARRRREDDDEMENRGSRRRDRESRRGLATPQGLLALTLHAASQ KAGQKRKMRRSKEGSRANQQLHSIPGINTRLPLRLAVMIWRVCGRWKV LY89DRAFT_423912 MPSAAGPRMYENHIPSFMSVYDMEPSSDSVALDPPKLSGSLHTI KETQSRQQSEEDTENAHTQIHSHQHRESTSTQASDSTDSSPTTTISTIDSSSLSDPSP SSSPESPITLVPLSSFSGTTFGGLDSFQNLKMVDTNPLRLPLSDERPMTSPSPRKSKN PKSLALNLNSSVDSLNQGSEPSSPSFIKPPTLKGRRKPSLLSLNTGAANNVSLNLEAP GSPSVPSMMQRRGLKHSISSPQILSTPGFGPSGGMTFGERKPPLGASRFKESNLAMNT FTIDEDVQEAPAGVQMATRIPGVAVGGDSFDRPHSGEDAKSPSYPEGPILMHEPSVHL YLEPKLEEAMKFDVIINVAREVKNPFKALDEEKTSKESEPAASEPSSNILNTSDIAPD TAISMMSFKTAFEVQPSGSTESSPTTPKPAPDKKVPEYIHVPWDHNTDVKDELWDLCQ TIESRTKEGKRVLVHCQQGASRSATLIIAYHMYMNQELGPNEAYSLAQSKSRWVNPNM SLLFSLNDFKKVIEAKKLEKSNAARKPTVKHRPTLSADGVEPPPTPSRARGNSTPSIS ARDNAVAKADEKSVPPTDSAVERLSAFDFGFGGMSISHQPIQRSQRSHEWEDLLSPRL TEMTTNPMHDFSRSFGSTREEAPTPELFSPRAFEFPRSSFFPPPSVFRNSLAVDDDPR SPPMKGEAPITRSIDNFL LY89DRAFT_715332 MARTKQATPLRREPSSEYTSKADGYGSPSRSARNLDRESKSLFP TKEDANGNVVRPIIPPETKKDAGILQLLFAVGGIYGSFLTWALLQERLTTTPYGPETS PEKFKFPVFLNTVQSLFAALTGYLYLRYDTRGKATSPIIPNRRILIPLLLVAITSSLA SPFGYASLAHIDYITFILAKSCKLLPVMFLHITLFQKRYPLYKYLVVLAVTSGVAVFT LHAGSEKAHKKPSKATLNPDRNVPWGLLLLGINLLFDGLTNTTQDYIFQTFQPYKGPQ MMCANNIMMTILTLIYLTLSPYLVHTGIGEYLGMDLASGGGGEFAAALGFMKRHPGVW YDVLGFAACGAVGQVFIFYTLSTFSSLLLVTITVTRKMLTMILSVVWFGHTLGGKQWM GVGLVFGGIGAEGVIQRREKAAKEKAKLASSSSKKEL LY89DRAFT_681672 MSGADSPEILAAYDAVRSDKDETNWLIISYASAVGDKLTLSSTG TGGISELAEKLDPSQAQYAYVRVEYANDTESKRVKFVMVIWIGEGTKVMRKARVSIES GAVKRVLGHHSIQVDAREKSDLKEDDIVVRLRKAGGADYNGGRG LY89DRAFT_578196 MSSPQDNRDGGAQGDELNDSMNNLHVGDEDRLGPDGQPLPKTDE EYAESQLTLRAIVSSKEAGVIIGKGGKNVADLRDETGVKAGVSKVVQGVHDRVLTIAG GCEAISKAYAIVAKALLEGAPQMGMGGVVSNNGTHPIKLLISHNQMGTIIGRQGGKIK MIQDVSGVRMVAQKEMLPQSTERIVEVQGGPDGIQKAVWEICKCLIDDWQRGTGTVLY NPVVRTQPGGGPGMNQGLGGGNERGSYNNNRVTRTGNGADFSENAPRSYNNRRSDSDA GQRGPPTHDENGEELQTQNISIPSDMVGCIIGRAGSKISEIRKTSGARISIAKAPHDD TGERMFTIMGSAKANESALYLLYENLEAEKMRRSQGNPQE LY89DRAFT_715335 MRSLEESRKRWDFTIKHAVSLDGLRKSLKKDGDESPCVTGLRSV CWKAFLLFQSTEVTGWSRALIDSRSAYASLREHFLRYIENPDELGSALDPLDDDQNSP WHTLRKDEEIRAEIFQDVERCMPEEPYFRSPETQRMLLDILFIFCKINQDVGYRQGMH EVLAPILWVVEQDAIENAPQKDQNSVMEQILDATYIEHDAFTLLSLIMRSAKSFYELA EPDRRVSTPLGGGKSPEQGASPIVERSKRIHEVYLARLDPELAKHLSDIEVLPQIFLI RWIRLLFGREFPFDELLALWDTLFAEDPGLELIDMVCVAMLLRIRWQFIKVIESNYSF ALMLLLKYPSPEAPNGPKSFADDATYLRDNFSAIGGANIISKYSGKTPPMNSSSLRPS TPLGQALSPKRSTRSPLPSPARFLQQQGGVEALFQGAAKGVFDRGERLGINQAVRDAV GEVKKNMQGLQASRSNSGRRAPSDANRWSLDEGKSIPSPRVTIAAINARNQQLAVMLG QAMIDLRAVSVSPDGNTDKYVKAIDLAIAKVEFVKVYLEDSTIPLPEDASHPTQSPPL PTTTPQPPPPDPLQVTTPKPPGSPSSNPAAQNTTVVADTPLQPSPIPDQSSKPEPPQI DLPEEVLKDITQPVEGGLAIRPKAPVPTRSSIAQSSFSWMLEPDVSLGSTHKSSPPKS GSPFLKSSRRPTSGPNREKAAFLFGEDGGESNMSVGRLPLGNVEEGFNLGTIKGHNTE LY89DRAFT_609404 MSSDLLAEFDSFYKAPGTTQPNSTPSSNDLFSLSSPDQGGNTYQ QPASTSQWNTAAVQPAGAIWGSFGNAQPVKPQQQQQQNTSQDDIWGSFETITPQQLLP STTRQNQNAFSATTYNGSRPSGVRRSTLDMFANNMKDPDSPPRTNLKTKEVPPSRPVP IRKSSSGGDILFDAADELSGDPEDDDEFGDFETVTVPKPQAYPPPSESLNELFRATTI EPKTSKRPKELLPTPSMLNAGTLPYPQAPKSPSFKERNPFGDLGLATKQISVVKKEDK PKSASPVTAWPSFEAPKPAPYQDSPAVPDTLDEEWGDFADLPPETPAVPSARPTSGIE ADAWAWDSVDKVTETAPSVDTAAPPPSNVPPPSVLLSLYPGLFDLPQSTLFKAVANQP FSLKNRIISDPSTVEFLRAYLLIATVGAHILAGRKLRWKRDTLLSQAMKIGPAAAGGK GGMKLTGVDKSEVTKEDREAADVVRIWKEQLGRLRSAIAVANQSMRESSKHLVIPEIS EAMHVKAQDGVLTAPKPCVICGLKREERVSKVDLQIEDSFGEWWIEHWGHRTCRNFWQ EHESKLKHR LY89DRAFT_665514 MSSFTPRTRIETAAGQQSQHTSTIVPKTATINLQGGLAASKWAE DESNWNTVSRAPVTPPKPAAKSNTWRARSNWRGPEVSASGSAKGSSSSKPASASAAAT KKKKARAPKPETTPVLEESLPVPVFVPAKVPATSAWDHTGASPHRHHFKVLVKTQVNA QVSAQVKTPVPVAVPAKAELVSSPASLAALLKPSPRFNWADEVEDEEETETVATVTAP LQLTLPRVEKLEEEVKTTPAPVTAPLQLTLPRVEELEEEVKTLAPVTTQQPRPTPPTQ EELVNKIEVLIKQLSGQEARRKAHRRALLTNLPPLHPGN LY89DRAFT_568306 DSEAETIVLPGKGGHSPSKIRKSIKHEDRSEDDEMKDAPDSRDA DVDNGVDANHEDVPNPSESTTAASMLGKRKRSKHGVANDDNSHLGNSSGLSSVPTSPV ATTRSSLSKPAASDSEISKSPSPRSRSRSAVRDKAKSVDRAFPPTPRKRTRSTSPHGR AHKRSISSQLPAKSAHGLSHKKKRVPAPLLSTEYHSDESSASGNSHPRSSRLRSLAAP ITGESTISPAKMAPHKKHVNSSGQTQLAIACNRGKLEAVKQRYEDRPGDLNIPDHALN TPLHIASLAGCADIVKFLIDTGHCELNPINLDRDAPLHDAVDNKHVEVVKLLLDAGAN PSIPNKNQNEPLELLDLMLDENDGEDGDEDENEREAAKLEIAEMRKAILAAKRKFMDA RRGSEDHQMHDHGEGRESHPKESPRQTPPAHESLASGPTNRRTARSLMKTKDRTLWMG YTIEELRAAATEGDVEFINQFLQVKSDVNDPRTLYNAARGGHDAIINLLFALGKFNPD PAPLDGLPHEQATPILATIGKDDHLEVLKLFIGNSDFDPTRRIKGETYFEMAKRRAGP NWQEEEQVLKSAFEAYQKTHKPSSSKPRSPGMRRDGREADRDTKKSIRSDDAQGLRTH KRSTSSPKTKDSELTKNLHRSNSSVGQSKDGHGTGKRGPG LY89DRAFT_577470 MASSELTTIPGSLEHVRIQALPSSAFYIAEFITIDEERMLLEKI ETAPKPRWKQLSKRRLQTWPSDLTNNTLLDSPLPKWLTEPVITRLLTLPITASKPDHI FSESPHGAPNHVLINEYLPGQGIMPHKDGGAYYPVVCTVSLGSPLCLDIYGTKDDGSR EAEPKWKILQEPRSLLITTDQIYADFMHGIANVTDDVDLGSSTVANWDLLRTSSNIQN HRSERRTRLSLTYRDVLKVSKLGGTLGSMFGKR LY89DRAFT_424051 MSLYIMDPSTICKLFFGLGTAVDLGGTLIPSFRESIMNYGSRGI NTRPTNADDSKSRLKRIFEYIAAIQVPHTWFTHYYIVSVASSIFWAHQIYTHGPAFEY LVSHSKHDPEGVTVNQIFMAWLFMALQGSRRLYESITLTKPSKSKMWVGLWLIGIAYY VFMGISVWIEGIEILNQSDVEVKLSKPSVKTLLAAPLFLLASGVQHECHKHLASLKKY TLPQQRFFRYVVCPHYTSECLIYVAIAIVAAPKGYLLNRTVLAGLGFVVSNLGVTADS TKKWYVEKFGAENLAERWRMVPYVY LY89DRAFT_424133 MATTVDKIKDIEAEMSKTQRNKATSFHLGQLKAKLAKLKRELLT PTTSGGGGGAGFDVARTGVASVGFIGFPSVGKSTLMSKLTGQHSEAAAYEFTTLTTVP GQVQYNGAKIQMLDLPGIIQGAKDGKGRGRQVIAVAKTCHLIFIVLDVNKPLTDKAVI ENELEGFGIRINKQPPNIVFRKKDKGGISITNTVPLSHIDHDEIKAVMGEYKISSADI AIRCDATIDDLIDVLEAKSRSYIPVIYALNKIDAISIEELDLLYRIPNACPISSEHGW NIDELMEQMWDKLNLVRVYTKPKGKLPDYTQPVVLRSTKSTVEDFCNAIHKTIVEQFR VAIVYGKSVKHQPQRVGLSHILSDEDIITIIKK LY89DRAFT_424139 MHESIMAQIGMEHEHHSSHDNTYGPNDGWVDISHSYNSSQHQSP MYEHGGFAFMQPLQQQHNGTEQSYNPPRMPQPPPPHTTHQQLLPLIMPSNPTWPSLLT NPASYSAPPVAIPPVSAPVVKGNGAKLPAIHATSTPRKTLTDSDRRRMCQYHEENPSV KQTEIGAMFGVERSTVSKVLRQREKYLFPEDRSLSPVKRAKGKFPDIERALSNWVRNM QKQGHPITDSSIKEKARFFATTVGNNESHLKTNSTSWLEKFKQKNGIGGAKLIRRASE TNISDSGSLAPDSAGGSASQTPNGISPTSPSGLPSPSPLSASKSNEDLRSDSLNGYLE FGQENSEYRHSNSQSTTSLSSAFTENNPSSFSGGPTSPSAPFAFSPDSSGWMPSQQSR LPPPGNNFQRPRSQTFPMLGIDPSFISSQSTEPLTPKYSMPATAPSSALDSPNQEIGP PFGMDSAISSPPLHHSSSNGSMAPPSTGTPITGLQSPPGSSAPSSPTQDDARRALDTL LIFFNQAPNGLVDQNEYMTVMKLTERLRLNAPLPGGLHRIAEQDCELQAPKMEQSMSA GC LY89DRAFT_424384 MSRLQPRSKSLATSNVSSQSASAISREPQSRPATPITRRSTRPP NSDPLSERATLFLIRRTLCSQLGEKGRSTPTPIDALLPPLTSSNEVDLQLYAYISIII RDFVQTWYGKITPDQTFVEEVLRIIAHCTRALEQRLRKVDLESLLFDEVPELLKAHVE AYRISHSKLHPPPFEQDPRQIYHSLWPFPPLSTIPGNEDQATKLQQENESAYRQLLVQ GVLAVLLPTEDLENECLTALVGQVFSDMIIGGSIGGKVSEPWMLLDGITKIAEAIKAQ LPKSKAQVRVERSNSNLMGMSPTHLNSLNGGNTRVWRIKRSLQKTFWLILQYGFLAFT AVRFFIVTFATASSLPSRIGNTTKTINAALSKDGVEAGGDMSNTETGLNSSQHTTSKQ PIMKMKIWSCASSLLDLDVRMPWLSATISLLQWVAITGPGEIGNTDGMVDK LY89DRAFT_729859 MTEHEHGLGASGLRRIRQQPPLRAPTLPAPESTSSSRNASIVAP RSGSMSLTLSNSRVTSMIIPSGPSSPVLNFTEDLSRFPSESLHSFSFAHQSEEFIHNR QNVLKRSVEFMSQKLGWGNTNAGIASAQARVSGDQEMQGMLELLARAKVVGANNIPGF VQSHLAGPLTGPAISEDSGNIFETSFLPRSESPDEFFESPRSSPLTTKRPALPTTDED PTKKSETENLKEVPNLLLQIDSDSASSRTPTNESRTTAKTSPPVTRRASLKRTFTDTA PLSLQNRLMDALAQPYIAGDFLHEPLLSPTVPQSAGASFGVSTPHPLGPAVHGHSSRW SPAAQAIFTTESTAPYTILAANDLACLVFGVTKAEVRKMGIMEVVREERRAWLEEKLA APGPTGASESGRSSPRSPQSQQPSPTPSTSNFLLGRSGGVTAALLSRPNSRQSQKPPR RAQTDDGAGSSMVQRTKVVKGGLYHPNNKSRGVLLCGDVVPIQKRNGATGSASLWVKE KKAGLIWVLEEIHEDVAVLSLDEDAMVTKITGSTGPIWGENITRVGMDVGKLIPRIPR QGIDGKSGELDYAEISKRRYFTARNSGRVNIPCMIDRVPDGTDLRVSSFPHIAGIMVL SAQTLTITSSNSVFSAALFGQEKPDGRPITDLIPDFDRMLRILTEEDGVHLVDGIVIP EHSFRRARAFLALRDGKADAASYFLRPDGLPAKHRDGLEIKIDAQLRVVKSDSNPPPV FDENVIEERSDEENDHVKPPKPEPSSELVYALWITYSRHIHASRQNLGAASPLLSGAV TPLHQPSPGQTEIASPIEMESSDEEPKKERSHASLLTAQLKAAAKKTAAKLTGREPPA KVEEKKADPVPDIVEPAHKKTIDDFVILEEMGQGAYGQVKLARYKKNGNRKMVLKYVT KRRILVDTWTRDRRLGTVPLEIHVLDYLRRDGLKHPNIVEMADFFEDDVNYYIEMIPH GLPGMDLFDYIELRVNMEEDECRSIFVQVARAIHHLHTKAFVVHRDIKDENVILDGEG NIKLIDFGSAAYIKNGPFDVFVGTIDYAAPEVLAGKPYRGKEQDVWALGILLYTIVYK ENPFYSIDEIMDRDLRVPYVMSDDSIHLIRAMLDRDLDKRITIEQVLEHPWCQQPEDD LY89DRAFT_424490 MVGSEKQHMTCNAALLLPHWGLWCFLGDSSAVVHCTSKDLLQFL QCCCQSPNSHGEVLGRWDIAHLYRPVKTHLIRWRSSDSTPDFESAASHVIQKTIIYPS VCTDKHRFARVTKRSNCASHLYPPYIVHIETRSSFSATRCFAYNKWSGVLHLLGQFVA PSQIKRSTFHPTSSFHGFMIQDIRTFSKRVLL LY89DRAFT_665520 MASAVPDMILEAEGSADMPIEHSEAIPIDPPKRRTKLLQGLQRI SSSPSLAQLGRKRASSNPYGGRGTLSCVSLTSASSPRQFDNSYSSQSSGAGYSTAPTS VPTTPGLDTPFFDGSDNRFPNRRVEFGTFTPPCSSPTSALPADMRPLSSRGISPFPAH SAIPEIVEDYFSRPVRRVNFDFWGEMPHEIKLSIFAHLKPKELVRVSIVNKSFHKTCF DGQLWTCFDASEFYKDIPAESLAKIIISAGPFIKDLNLRGCVQVEHYKRAEVVVKACR NLINATLEGCRNFQRSTLHSLLKTNERLAHLNLTGLTAVTNGSCKIIASSCPSLETFN VSWCTHMDARGIRTVINGCPKLKDLRAGEVRGFGNIELAEDIFETNKLEKLVLSGCID LNDEALRTMLAGREPEIDILTGVPIVPVRKLRHLDISRCTHVTSIGVKTLAHLVPELE GLQLSGCTALTDNALSEVLATTPRLTHLDLEELTELTNNLLSEHLAKAPCALGLEHLS ISYCENLGDTGMLPVIKACTNLRSVDMDNTKISDLVLAEAASMVRARSSRTTCARSRP RVGLKLVVFDAQNVTWTGIREVLSRNSEIKKPSGAQAGPTYPTEIIELKCFYGWQQTV FEHTKRVLRGDLPAAGRLERKWAEYMMANEEAGAGGAGIRRRRRRAREAQMLHADEEE GGVGMGGIGRRRRARSNGCTIM LY89DRAFT_729861 MSVVGVFGISSCELTNSVEDDAKWIVSYFVDVYSWALTLPELLT LMQNARDYVFSDHVAESLQPSLKYVKGFFAITEESECGANPVQWMLAIVRRRSGPKIS TPSLATRAKPQIPKPPLDRLAMDRDQASSPAPGAQEEQTQKSSEGSD LY89DRAFT_681683 MPKSFATEAKKPQRSPATTKTSAHKSKNGSPSKVQTAKTEVVQF TDLNGAQRLETVELETLNKIHTKHLDVPREWPTWPPSGTLPYTHHAMSAYETSWTMGL GPMDRWEQETSLQGPWNDIAAVQDENVNGIVSGGILMADEEDVTRAGTWWPKGKDDV LY89DRAFT_778685 MSASSMMLPPEQSNSTQATKYSDAEWAMHRDRIVGMYPLDGITN KKIVSMLHEEGFFVTERQLRRKLDSWDVQKNVRGDKMKVIAKKQHHRKAEGKKTTFRC QGKVVKTSDINRWQKRTAAKSNLLNGQRNEADSPMPSTPSDVSYSTIGSPMLATNQKP MESTLSTPLFFTSMHKPSQTRSTTFEASHSAASGGFDVDGVLDAAAQFEGQSPAPFTV PSSPVVTSDIAKSARNSFSGTVLDTPLMSGESRHRLSAISDIPNASNSTVNFARIEPW QSFTLVPEKDTEFEPPTVEFPQKARYKQKEEDELTQRVNDMLARLGEDHPASLDTMSR LAGVYLEQSRFKTAGDLCQRIAKLTAAERLQRVVLAKAVKVMHPTDEAMLNIKLSIGR CLLTSERASNLAEAEQLFREVIRNGGVIFEPDAVILLCAMEYLAYALFLRGSYKESIK ILQTVLESVKSSQSDRTRLLLWMGNIYTATGELDKSEAIITQVLTDREKILGHEHDST LATQQSLGYNYILQGRDSEAEDIYRDLLPKSRKLLGQLHPAVLKAECQLASCLNNQAR YVEAEMLAMSILSKTEQLSTPGFERSICNAMSQAAKACHGQGRSVEALALLESAVELS VREMGAEHTATKHYIYVHAEITQQIAKAQEFQFNPAPDPSWSKHNPVWS LY89DRAFT_577797 MDANHPELQDKLQELEHELEDGDITEKGYQKRRTMLLSQYLGAS AAANDLKGLRIHSPDNTAHPSNDGSRSASLAALNANSRDYSENYGPRPEVPPQSPART GSAGRLDTMGSEYPSFANNRAQEGGFAYAGPPSQLRLGVHNPNVRQSSYGQETFLPGT PVNGGNSAEPSMTMASVSRSNYAFNPAHQAGYTEHQGGPYESRSGTMMDSHGTMLGES QQGYFSDFAGQQGFEHDPHNSYGGGPHRYSSSDAFSPTAAMAPPMLTTSDLPPPAALE YQMPLEPRDVPFAVYDPHDGNVPMSRFDNIAAVLRHRSKANSKTTAYWVLDSKGKEIA SITWDKLTSRAEKVAQVIRDKSSLYRGDRVALIYRDTEIIDFAIALLGCFIAGVVAVP INDLEDYAKLNLILTSTQAHLALTTDNNLKAFQRDITTQKLNWPRGVEWWKTNEFGSF HPKKKDESPALQVPDLAYIEFSRAPTGDLRGVVMSHRTIMHQMACLSAVFSTVPSGKG DTFSSALRDKNGRLMAGTGNNSEILLSYLDPRQGIGMILGVLLTVYGGHTTVWMENKA VETPGLYAHLITKYKATLMLADYPGLKRAAYNYQQDPMTTRNFKKGQEPNFQHVKLCL IDALTVDSEFHEVLADRWLRPMRNPRARELVAPMLCLPEHGGMVISMRDWLGGEERMG CPLKLDMSDGVPVVDEKEDKEKEKEPSTSNGFASLIGGGTTAPRQQEERTELSEVLLD REALKTNEVVVVAIGEEARKKASSEPGTVRVGAFGFPIPDATLAVVDPETGLLATPHS VGEIWVDSPSLSGGFWALPKHTEQIFHARPYKFAPGDPTPMMVEPEFLRTGLLGTIIE GKIFVLGLYEDRIRQKVEWVEHGHEIAEHRYFFVQHIIVSIMKNVPKIYDCSAFDVFV NDEHLPIVLLESQSASTAPATSGGPPRQLDTALLDSLSERCMEVLMQEHHLRVYCVMI TAPNTLPKVLKNGRREIGNMLCRREFDLGNLPCVHVKFGVERAVLNLPIGVDPIGGIW STLASQAREDILAPGDKQYSGIDRREVVIDDRTSTPLNNFSSIVDLLQWRVARQAEEL SYCTIDGRGKEGKGITWKKFDTKVAAVAMYLKNKVKIRAGDHLILMYTHSEDFVYAVH ACFCLGATAIPMAPLDQNRLNEDAPAFLHMVADYGVRAVLVNQDVDHLMKQKTVSQHV KQSAQVLKVSVPSIYNTTKPPKQNNGCRDLGLTMQPTWVQPGYPVLVWTYWTPDQRRI AVQLGHDTIMGMCKVQKETCQMTSSRPVLGCVRSTSGLGFIHTCLMGVFVGAPTYLVS PVEFAQNPVSLFLTLSRYKIKDTYATPQMLDHAMAIMPGKGFQLHELKNMMISAEGRP RVDVFQKVRLHFAQTGLDRTAINTIYSHVLNPMVASRSYMCIEPIELWLGTQALRRGM IYPVDPEAEPKSLLVQDSGMVPVSTQIAIVNPESRTLCYEGEYGEIWVESEACVRAFY GSKDPFDAERFDGRTLDGDPNIQYIRTGDLGFLHNVSRPIGPNGAQVDMQVLFVLGNI GETFEINGLSHFPMDIEYSVEKCHRNIVPGGCAVFQAGGLIVVLVEVGRKAYLASIVP VIVNAILNEHQIVVDIVAFVNRGDFPRSRLGEKQRGKILASWVTRKMRTMAQFGIRDP ESGLSDVTETMEPRSGVASLRNGSVMASSLRNVEAAPQIIEEQQRELERQQVGAQNSF APLPTGISEMPAQNYDDSVLGSPRGENGTSMKSDDTPTDTRRNHFELPVDDYDPEKSD FNFVDKDDFVVPGFETNEPPQVPPPRIGPKPGTTPQINLPAVEGREGDLWSLPSQQQP RGGLRVQNASSDDDEDWKSDAIMHMNLAGR LY89DRAFT_681687 MGEGVEQPALQVIVLGAGGGPKEDNVTAFLVRSTAAGWKKGSLL AVDAGVHLAAIARILDGHKSIRTEDSKPPAKPITLVDGPFEGLQIAEGSSPSANAAFI TRDLVECFLITHPHLDHISGFVINTASLPSARPKRLAGLPSTIEAFKNHIFNNIIWPN LTDENNGAGLVTYLRLVEGGTPALGDGYIEVSDGLSIKTWSVSHGHCIERHNHRGSNA GSGFVTSPGVEASPRLNPTSGTMVRSSSQSSRVADDNLRADQYSQRYCVYDSSAYFIR DIATNKEILIYGDVEPDSISYSPRNRQVWADAAPKVASGMLGAIFIECSYDDSRQKEL LFGHLTPKYLVEELRVLGNEVKLYINMAKNGPNIKKRKLDGDGNGSFLLPYARRRSTR NESPTSPTSRRENRGYVSSDDGTSERQVNAESHDHGSSPTPVFHVSTEAPLEGVKIVV IHMKEKLDDGPDLGELILKQLKGHEKGQLGCEFIISYTGQSLYF LY89DRAFT_424672 MDQFNQFHAIGDNASMLPYGNSQTQEVPNSAEPLPLEEEVDYDF YLQEEPADETVDRQSLHQDKASTTPDALGYENLMNMDFGPQNEYLGDTDLFALPYEDV ADTQAPPAVEPQAMYPEVAYYPDTPPYISPIASLFSPLVALPTIITTQTPSGPEQVGA YRNPILSLFTDPATPLSVIDNLIYPFGHELQVALEKALQLQQNQQSYQAGYLAAANDL NRRAQEDQSMEQELRNYLSPLPPMVGPMFGPNPTPIPEFITDPDLTSVPVQEDEEEDQ DEELNGKKYGNRRPFNIRNFDPTKFYTPIASKPESWGSIDPETDEPIFKYTAFGELNP LSAFTPAQIAEYIGGHPLNQAANSDKFSGLTLLIQTVPADSGRRYPNKASDKCRFSCC PDHLRTIRKGDFRVAFDEAQNATSDPYHNAGYVHLSCLERFLDFPQICKDFNVQPDTR VLPEGKNKMAITRDHASMEGVVRTFIEESLPWGEFGDDGMRPEEFYEYTLNYMLTVEH LERQPKHLQRIRERRGGVSIDLHMNNLELYVDMVRARKEEQSAKTKRGGKQKKRQRDE SDDEDSGEEILVQRALARERPSKKMRTR LY89DRAFT_638871 MTTLQPRGPYTQEELRKLYPPGLELQLVQVLLRHGERSPVSARF QNAGLAAFWPYCRVARQMVAATMEDSDGKWTPMQWRRRLETFGSDDGPVIASGPRGEV DDVCNLGELTDEGRITTWKLGRRLRQLYVDQLHFMPSMIHDADMIYLRATPIPRALES LQQTFWGMYPSNSRSASFPPPTILLRAPADETLFPNDSNCRRFAQLSRAFAQRTADRW NSTSEMEYLNKLISKWMPESSKRVAVDSHPRLSGIMDTINSTLAHGPETRLPKEFYDE KGRKIIEKIGVEEWFSGYQESQEYRSLGIGGLMGDVVERMVGSVEKNGNDGLTEIGGK DGSTGTGRGGENAIKLGLSGCHDTTLAAVLASLGAFQGEPWPPYTSHIALELFKKSEA QPVQVPAKRKIEETNPVAATGDPKIRKSWFGRIFGDSAVVDEKGDKNAPLGIARKKTE ELKESERAKLEGYFVRIRYNDKVMSVPGCKPAGKHLDGDESFCTLEAFKSIVDKYTPL HWKQACLSNMETPAFPSKPEPAGY LY89DRAFT_609438 MSSSHICQACHRRLAQLRPRSLSQWQQRASFISLAKSTTRTTTD KGKDGILNLGDDARKSKGKYAALPERRQRVPSRPEHPGPADVLESLFEQSITEAAASR DAAPHSIYSLSPYKKVEALKEMIRNSSPPADSFNFFVEHFGPQLGRGPESLKSSPSYL LSTARDLIRRIISAKQRDPFSTTLPSATEVCSVYARLGMLPSTDWIEMMTVLIHNIIN ATEGATSDITHVQKLFSDLLGAWNLVCRAPGNFKDMPEHSLSQINWSHIPAFSSNDAT KIYRKNGLNITFGILTPPFSTKRLSRLPPIVLSTFQLLQSRSAIVQTLQGDSARFTSA LARIISVPGLNVSSEDAIGQDEIIAPFIRRHSSEIKSLAAEMTTSDSPEYTSRIFTNP QLGFNRGISFVNKRLHEALRTKNRHQVDELWSDVVQWPVAVNTKIENNTTLQSGTVSA ALCNYFILVFMALRRPNSAIDVWNHMIQNQMTPTLETWDSMMSGCKAAKDHAALEGVW RKMQAARVQPDNYCWTTRISGLMACHQLDAAIRALDEMGRIWLDAAQKQYPKMSMDNL QKIGKVEGAVKPTIETVNAAIVGAFRHHKKEYASQILAWAGNYGISPDVNTYNILLYP LLRTGQTQQAMGLLQQMQNAGIEADETTFTTLLDETFRFAADLSPEEQKEMVCSVLDE MEAAGLKANLHTYGKIIYALLQSSSGDMTVVNIVLERMAKSNLEPSTHIYTSLVQYYF AQDPPQLDAVRSTVERASMVHGSTDHIFWDRVVEGYSQAGETAAALRIVAKAKSMDNS VSWLALKDLLYALARNEEWEVAKALVRDQIVETGGPLSPDEKGKEGQHRFWKVAYELG VVNEQGTGVSF LY89DRAFT_577222 MSSSATSDVTLLTEHFTYRPTALIDDIVNSINVLAFKATEAVEK GLLGANPSDLGFKIPPPTTPEAQEAGRAIAEAAKHEIENGVHQLETLLETKIDRNFDR LEIFALRNILSVPPEVRDWVRLSHYEGLTFTQDKDAPNSESVTLLRKKLRETQKLHAL LRAEKARNEATINALKALVLNQATKKEDVDGETYPAFAFLQNKGELMGDGKQPVTTTA EFTLSQVPSLKSLLDNLEPRLEQLANGNGEEGLVGEDQKSWRRERLEFIEKETRRHME NVRGLELGEMGEVRDGEWQGEGRKLGKGEVEDLERVVSMVGGDGGDPMEQDS LY89DRAFT_770268 MFCKTWVMSALCAVALSAPTLTYNPGAVERPVEMQILSEYFQML GDKVQAGRSMSEAPVCNMNNAVLPVASPTPLPPPSAGLSLKHVAIGRGTQNYSCATGN QTAAPVALGALATLYNATCIASTYPDLLSILPNVALQFNLTSPDQASLSPSNLAISGH HFFSNTTTPDFNLNTTAMDLGFAPCSKNNTVAAPTGAPKGQGGVGNGAVAWLKLVTRD GATGGLEEIYRVNTAGGNQPATCAGMPTTFEVQYAAEYVFPPFHLKLLQ LY89DRAFT_694704 MADFAAETALAEAASAPSITAHNEASGHDGDNKFQKAISAWRNI DLTSMIPSLDNTASEIVQYQRDSTVQRKDLAQKTKDFRKLDDSAKLGEIKGLLKAYQT FIDLLTNHSKSTNSAFLQVYSSLSEAPDPYPLLEASVDSLLLSEDTLPKVTQENEHLQ KSVSKLTSQLEETESRLESERAARKTLEEGLETKVKEVESSWAAVVEEKKDNWEAKEK LLEEKVESQDRLLNEIKASYEVTQRLGESESAGSSGHVTSAELEMVNSDLERTSVRLA EVEARNEQLRIELAQSASQVPSQAVVNLEDDPSFMRMRSENSSLMRKLDAARVERDAR KRELDGKLRSLEREIGMLKGERDALKTKVQNWSDYEDVKQELEVLKSIEFSTGDDDDA ELGDAAMSTQTALENGSSAKGKGDTLEQLLLARNKKLSDELTILRVSHQDLQSRLQSM QEELSKSNSELERAQHLNATLENDLANVHDTANAYPSGASVAGTFVSRYPQSSARKGR VSPTSSIISGFDPRSSMGGTTLEALRSGEPVGGGSGMLPMIIAQRDRFKKRNTQLENE LSETHRTVSSLRQEIASLQKDNLNLYEKTRYVSTYNRAGPTSSSASAYATNPNPSTIQ MSNSTSSGLALDRYRSAYESNISPFAAFRGRESARAYKRMSLPERIVFSITRMVLATR TSRNLFAGYCLALHVLVFFSLYWLTTTDVEQHASNLGQTVAAAAAGQAAQAGADSSSH GDWKQAGFSEKGS LY89DRAFT_424934 MATPFQRPGDFVLEVAKTGQSLITSFTKFITYRKVQDRRLENLY ATFSLTTTTLTELGTTINKYEQDFRIKDEVFHPICQAARYHLERFLVLINEGISSGVW KNDGNLGGQSFTAEADPWLLITVSLGGKEQAKDFWQSLDDTRDSLLELNDIVKYIILK NIGRTTTLNQEQSDELKNLTALLPHIVYSVEKAEQAKQEELAWERERQERVHRVHIPQ PPPFRDADDASDVTLFAEPKPRGRNVHVGKDNHTRNRSYDSFRSYTSSVVDIRQEPYE IYEEWLLRWNEPIKNPNSSIKFLGIKLTRFYEDAGYWGTDAEFRTQAELKEQHQYAAG DLSPAKHKEMMKKIIQAIPKKGGVAVDQLLEDRMDASNHEDAKTIWEVVAVRPKEKHA YSCSKKWGKDPKTTDWLVTLRGEKVNNTGVRRQPYRRADPWVRDFDMRGPPRRYRSPP RRYYERERSYSPDVHVRRGRDPMIIHPTHRVMSISPRRAMDAIPDESFRSGGFVIGKI PSQEEAEKKMDEIWAAMTNKVTESAE LY89DRAFT_704998 METQDVEDASSKKRKRAAAETGPFVLRSLLQDLPLSAEGDRDDI EINCVEFLDQNLYVGTTASEILHFVQIPPDPEDASGQPSYILASRLPPAFHEPQTSVR PGVQQILLLPKINKACILCNWTVTFYSLPELSPVFGSTQIRSCNWIGGIDLNTDIKDV NNNGGKPAVTVLASLNKKIRVIKISEDPRGLRTIDFAGSTTSVRRDSIACVADSRSYA LLDVDRLAKIPLFPISSLDDSQSGNVGGKFEDISGSSGGGISRSTSSAQGYSSGHQDT QSHTRSTSLSTLISAQYSRHGRGTSGDHSGRETPEGLFRESSPTPTRSPMRPLAREPS PSGDKPLPPPPATEATIAEQTAPPPPPAPEPIYLKPHIVSPSPQEFLLVTGTGLGDPG VGMFVNLEGDVTRSTLEFDRYPEQIVADGRGFGIDPTPLNIEDEEEGFILASMTRDQG ESFCYGIEIQRWDQDPGEGETQKYWLDTPGEKDQTSKIGLRSVVDAGDLYFQDVVDKL RLKRFQPFATRSMDESILSLHSVDSRTAISLERVSGERELFESGESQPEGWEEHRNEE ELQFAQRLGHSRTRIVAWSGKDIWWTVRNPLALRLDASISDMAESKIRDDKTYPSLDR MKLVELINSLRGREAKTETEFLSLGYIRQRAGLLLFMSGLDVLAGPPSEPEYRIAEDA LLEGGLDPRVILAILPYLRNEIIEGKSGIWVHGGVKDVADSFITNSSTNSENPRQDNA MSDHILQFLKRFLAAWRKKKGFGSIANEKEVFKSVDAALLIVLLQLDKSSPAGVPRGR SVRTELYDLVDHGVDCFERAISLLESHNRLYVLSRLYQSRKMAAEVLATWRRIVEGKV DEGREFRDGEQRVREYLAKIRNAALVQEYGVWLAARNPKLGVQVFAEDKSQVKFEPTQ VVEILRSGAPGAVKEYLEYLVFSKNHAEYINELIAYYLDIVTMKLEQSKEARAILAQT YESYRALRPPKPTYRQFITDNALDEEWWHSRLRLLQLLGGSQGSASQYDVAAILERIA LYTQELVPEIIILDGRQSHHEEALRLLTHGLGDYDTAINYCLLGGSSIYHPVSGTVAR QSLPSREQQAELFGYLLSEFLKIEDISNRIEQTSNLLERFGGWFDIGHVLSLIPDNWS VELVSGFLVSSLRRIVRERSETMIAKALSGAENLKTTADLIGKIDAAGPSVEA LY89DRAFT_704999 MSDEDTVIHDVLIIGTGPCGLAVTARLCEPFPSALFTENEHRRF HILRSSTQRRSKPTRTSRRLDTGTDRFVSGPCIANHGLDIKVLDANGDSWMSAWNEKF KALDISHLRSPIEDELKEIQGVVGKELSKHHRKKKKQREGKRTQEATYIDERNRQDYF RPSQALFRDYCQETVERYGLSGIVEKSRVLFISYDRSTTLFTVQSSTGKKEARTAILA IGSGQEPRIPFDSPFHNIPNTPAVRHIFDCFPPNSDSILPPPVLTGLSHSKTIAIIGG GLTSAQIAQVASYQNISKIHLILRGPLKTKHFDVDLCWLAKYKNESMSRFWKADEDYE RWEMMKEARGGGSLNPEYREIVKRLWTTIRDGKWDEKQSGWSLELSDRNKIVQDIVVD QVIYATGEAANIGSVDAIRPILEECPIEVNEEVPLFVTGRLGGLRLGPAAGNLEGARL GAEFIAGKIAEISSAWRDGDDSNTGSGEEIDMGRLGLGRSNQFELLEGHT LY89DRAFT_715356 MSSYESVLSSLLPPSQPHIFSSLPISPHKALIPSQQISSLEIHP VLESALHILNLDLPSAHFLLRHMQADPVFEAMYLHGILHRVEGDIDNARAWYSDVKDQ DVFRAAWPGKNGLDDAVKFLGRIEERKVNRGKRDEMEDEELSAESLREMKAVIGFCEG KFGTEKLSDASQVWVSMSEKNSTELIMYNWRETQHFPVPDFDIEKNCKNSDALLDYQE THKVSSTYGLRDKMVKPDDPITLPLPPHLKAFLEAHD LY89DRAFT_778698 MAFQLVAVYARPRILLQCQALPRLPTLLSQSQSSCICRCHNSRL FSASSRVYRQKPEYKESFGTRLRRALGETKIKWYPIPVGLGIGFLGLLQFYRINEREK ARKRDEELEDDGYLRAVGSGGNGEHGGRPKKRERIRPTGPWQVQVMSTLPLKAMSRLW GKFNELEIPYYLRIPGFKLYSFIFGVNLSEVSEPDLHVYPNLASFFYRTLKPGARPLD PNQNALLAPADGRVLQFGTIESGEVEQVKGMTYSLDALLGTDRPGTSPNPADPARIFA SKNDASQQSSVPGGDGDEEVIKHDEEFARVNGISYTLPNLFSGPPQKQRRKAEDASTT PKAASEAEVRADLALGDKPWYSRLTSENRTALYYVVIYLAPGDYHRFHSPCAWVAEKR RHFAGELYSVSPYLQRTLPGLFILNERVVLLGRWRWGFFSYTPVGATNVGSIKINFDR ELRTNSLTTDTAADRAAEEASARGEPYSGFAEATYDGASPLLHGHALKRGEEMGGFQL GSTIVLVFEAPKGQRPSLDEGWMGEKTKRKGGWNWAIEKGQKVKMGQAIGWVDES LY89DRAFT_694708 MSSSPERSAESDAPTREEQSESSTFTLQIISPNSGPLSFSNLPV TTTVKQLKAKIRDELPSKPVDEHQRIIYRGRMLAIENATMTDVFGKEALATREPQNLH LVLRQAAPEASTSHNPPQPAVAATQAPPTIPNPPLVPQLINRPQSTPAIPGPNLPGMQ GQQPLPGFQQMQQNQALHQMAQMNHVMTQRLAQLQQESQRLHQEMSILQARTQPALFN GQQATLGQNPMAHQGLFRTSLNPNMAAQTIPQTLQNLINQRQQERAGDGRHGAQDGGT HTPHMPPQSASGRASPNIHRPDHTTTYTREGVGPNGERFHITVNETTTTLPLQHPHQH HHHAGPHANPALEVQAIMRNADRFMAAQGAQNNMQRSASNPIPAQAGNPSSTTWPGVA RPGTPSTIPTPATTSSSPSASTILIPNINASAQAGNSTSTSSNSSEPTVYILSSPYGP RALLVNNGETFYTPRPSRRHSPIGAQGQVIRAPVGLPEFRNRPAGREGREGRAARRNQ RPNPLQPVNAAHGNPGAGALAAQVGPMLWLIVRLVGFVWFFTAGNPSWTRWLMVSGLA FLVFLFNTGIFNGAAEQLWGPIRRHLEGLIPLGAPVVPLAPIAEAAQPGQDPVADDPA ARRRRTEPDPAEVAVRLLQERRQADRGWLMAQFRRAEHSLLLFLASLVPGVGERHIAA REAEANAAEAERQRLIQEAEAANAVENTENTEGNGEGTTAGSEHTGESGDNQPNQQEN VAAPAQPLIEV LY89DRAFT_609458 MSLTTIYVTRHGFRSTWSVDHKNGIYSSSIKSPTGIPNDPALTS YGVQQSIELGEHLKTVDPPIERIYSSPYYRCLQTIDPFAEQLSTTTEDPTTALIRPEP GLGEFFGLADFLHPVPASPEILKRHFKRLAPWTPLIKPNEKGESIEQLHERVAYAMAK IIEYSEKEDVKAVVICTHAAAVIAIGRVLTGVMPEDITESDFHPFTCSLSTFVRRKKE VMGSAVPKLRSDGGIPNLGWRNGNGIGGGWDVVGNGDCSFLSGGAERGWSFNGDESFI PEEDPDMMDDPPIKSNL LY89DRAFT_578129 MFSLPASLLALAAVSHASPILVRDTNDTNPFVFTNYNGLNFTQM NTTLSNVTIFATGGTIAGSSGSALATTGYTAGAVGILTLIDAVPEILNVSNVAGVQVS NVGSEDVTSTLLLSMSKQINTFVCEDLTMAGAVVTHGTDVLEETAFFLDATVNCGKPV VVVGAMRPSTAISADGPYNLLEAVTVAASPLSRDRGSMVVMNDRIVSSYYVTKTNANT MDTFKAPEMGNLGEMISDTPYFFYPPVKPTGKRAYSIMNITSIPRVDILFAYEDMSND TLYNAVASGAKGIVIAGAGAGGVSTSFNYAMEDVINNHGIPIVQSTRAMSGEVPISDV SSDTALHIASGYLNPMKSRILLGLLLAHNKNMTEIADAFLGSTDD LY89DRAFT_778702 MEESCCTCAKLLKDVLPQYDSESEKPKALDRRLDCCGRVVCGNC IADNSRFATYCPFCQVSTTPSPLPQGLRDPPSYTPPSSSSKPFPLSQAPPGYSDELPS YSSLTNPQTPPAEKSSGSQAAEDVLHFLDHKHDSMTSLSFRYGVPIAALRRANNITSD HLLLARRTVIIPGEYYKGGVSLSPRPVEGEEEERRKGIVRRWMVACKVSDLAPKYRYD VALIYLQEVDYDIDAAIEAYRDDERWEKEHPIEATKNGKGRMRHDVGKRRFTGQRS LY89DRAFT_770286 MATVTSSMFMYSLTVQPPTAITQAILGQFAGTKEQQIVTASGSR LTLHRPDPSQGKIITALSHDVFGIIRAIAAFRLAGSNKDYIIITSDSGRITIVEFVPA QNKFNRLHLETFGKSGIRRVIPGQYLAVDPKGRACLTASVEKNKLVYVLNRNAQAELT ISSPLEAHKPQTLTFALVALDVGYANPVFAALEVDYSESDQDPTGQAYEDIEKTLVYY ELDLGLNHVVRKWADPVDRSATILFQVPGGTDGPSGVLVCGEDNITYRHSNQEAFRVA IPRRRGATEDPDRKRTIVGGVMHKLKGAAGAFFFLLQTEDGDLFKTTIEMVEDDNGQP TGEVKRLKIKYFDTVPIATSLCILKSGFLFVASEFGNHQFYQFEKLGDDDDEIEFISD DFPTDPTENYTPVYFHPRPAENLSLVESIDSMNPLMDCKVANLTEDDAPQIYSICGTG ARSTFRTLKHGLEVSEIVESELPGVPSAVWTTKLTRNDTFDAYIVLSFSNGTLVLSIG ETVEEVTDTGFLSSAPTLAVQQLGEDGLVQVHPKGIRHIRADRRVNEWVAPQHRSIVA ATTNERQVAVALSSGEIVYFEMDTDGSLAEYDEKKEMSGTVTCLSLGEVPEGRVRSQF LAVGCDDSTVRILSLDPDSCLENKSVQALTSAPSALSIMAMADSSSGGSTLYLHIGLY SGVYLRTVLDEVTGELSDTRTRFLGPKPAKLFRVSVQGQTSVLALSSRPWLGYSDPVT KGFMLTPLNYPGLEWGWNFSSEQCTEGMVGIQGQNLRIFSIEKLTDNLLQESIPLTYT PRRFVRHPEFPLFYTIESDNNILSPATKTKLLEDPSVVNGDAAILPAEEFGYPRGRGH WASCISIIDPVTEKAVLQKIDLDDNEAAVSMAAVSFASQDDEVFLIVGTGKDMIVSPR SSTAGFIHVYRFHESGKEIEFIHKTKVEEPPMALLSFQGRLLAGVGKDLRIYDLGMRQ LLRKAQAEVVPNLIVGLQTQGSRIIVSDVQESITMVVYKFQENKLIPFVDDTIARWTS CTTMVDYETVAGGDKFGNLWILRCPPKASEEADEEGSGQHLVHERSYLQGAPHRLNLM AHFFPQDIPMSIQKTNLVAGGRDCLLWAGLQGTLGILIPFVSREDVDFFQTLEQHLRS EDAPLAGRDHLIYRSYYVPVKGIIDGDLCERYTLLPSDKKQMIAGELDRSVREIERKI SVRFEPSLIPIKILLTTSRIFGHVRHTSLALAALWFGVCVCV LY89DRAFT_681694 MHNSASYTCRRCLTAIRAVSRTRQHPSQSIRLQLSSRGISTAQK PGTKLDGSLQTRQATSQSPLGTRGVSSVGQRGQTVKNEPPEYRSPGGLESTPVPETEG RPLLMPNNLFHPFSTSPSPDIRKRATFIKQNAYCPHPDHHQTRLPMNPDDPEARKPAT GGMPAKHVSFECPDCGIPVACCEEHWVDDYEAHLEICDTLREINEDDHDLRSGRFFPE FEYPGDQIEEAMVNMTNWDTFLFSREFRAINDERSLRQATRLLTYPITIGSVLHELSP YDIKKGGRLTVEGLKSLSALRYTLHPPRSGGGADIKGLRPTPPPVRIFILGARAESSL PREVWVQLTHLFPRVAFHLIFIGPESMANRDSEFPLPERTPENPYGSIVEDRLTNSLK ISTFVEYYHTLHKAGHFYPYDPYFDCFMLFHPGLGHPASSHEWEETIPQLLETKVPIL VTGYTQYDMERDIDWVKKTVGGEMDMLMEPGENRFRSLRWDLNDLDPQDISCGNWGVW AFRGKRYETTRKDAE LY89DRAFT_665541 MPMMRATRAREDDEDEYERPTKRTKLSDAATLESEDEYHCNSEP SPCCYAFPCICPAGLQHPWAVDSMDSGYNSNVSSPSETDRNTSRRLSSDDYPTPRELE ADRARRAHKKKKDRKHRRDKKEKSKTRTVIYDKDGLQVMPHVKLERPKRKYNWSIWFD GYNDEADFLKRKGIEIRQQNQEGKASKKRHTPAPEPTKADDRETKDEFERWQYMTEHG FKSKPCPKIYLPGYWRRVADDSKELDFLKDSAKEHYAAQSGDRWWQMFDYAEGFINKA EQIGWEKHIHDNRERARRSVWHNKKFFQHKESCKSANPFHKSRLREMKSNEARESTQP RTSHLRWQVKDGFKSGLAAAAVSVDDFEAIENESEAETVIEGGEEGDSDSESLSGESD KDADE LY89DRAFT_577205 MRNDSTINGVMIGLLSSFGSAVLIAFIFLIVYFFRYTSSGRILL DRIGRPGEFDDEQAFLRDENEALESMDDIQRQEYLRAKAFIQANPPDSLPTDISLSQY LAIQEKGVSAWEFEPELEIANCFVEGRTEIEFFDSECSTLTNLPIPKQNEVYYWESKI YDKPESTLISIGVCTKPYPLFRLPGWHKYSVAYTSTGHRRYNQPFSGPTYGPQFVQGD VIGVGYRPRTGTIFFTRNGKKLEDVAHGLKSQNLFPAVGANGPCTVHVNFGQSGFVFI EANVKKWGLAPMTGSLAPPPPYGSEQGSILLEAGREGSQSSQGHYPDPRHGRTRSGNF RYGPPTSPGPVRSPTDISLAHLTHIPSNDEPGESSASAGAVESGISTVTPANVGLGLH DHTQPPPEYTSPQASNASSPRGSSDSERAPLVRRKSTPPPIPSYNETVAEDRARERSQ SHAQRPNRTREEDGSGSRPRARS LY89DRAFT_694715 MAGPGGGPPRRSHTKSRKGCETCKRRHIRCDENFPQCRNCTKHN CRCPYMDMPIQEERAATPEKADLLWTPEIEAEIERWQQTGNFPFPDLYIYPAPAPQFF SFEDLRLIHHVASVSSELGLHDASNFTIWTRQIPLFLKIGSDYAFVMHALLALSATHL AWLTDCPLTANMAYEHRGVALKGLHEAIGQFTPENSDAVLAASLLLSWQATEWRGWTQ LMHGTSSVIDAMQPWKNESQFGDFIAEQSTFPTAPPSPAPGSRKLSNPRKQDLDALQR AYAQLQKVETHLKANNEDVKAIQQLMSFVRGVRKVSPNHTAAQRFEMLNPLRAWLFWL PVMFLQQTRGSPSALIILAHYYTVALVVEPLFPEVGAAYFGSLSLGPIEEIARRLFSI NVSQALDSNMQTPLNLMEYPIDMVSSFRSRMGWVQPERTASFPSFPTHDFSMAESYPT SLSLSPYGNPAFTYSQEHLMTMPPDPMSATADLTSRPGPPPSSNSSHDLLSRIKSSLG HTRPRHGCSRCINYLKFRPFQVILLAIPLRPTPQEREKAGVFTFLLTNLGVKDVQFEE LIALDASYLRQLSPVYGVIFLFKYPTGEKANTDGTPKDGSYDHAATENLWFAAQTIQN ACGTQALLSVLLNKDGEIEVGRDLSEFKEFTAGFPAEFRGEALSNSELIRDVHNSFAK SSPFVDETQRTATEDDDVYHFIAYTPVNGVLYELDGLQPAPISHGACEEGEFCEKVIP VLQRRIERYPATEIRFNLLAMVRDLRARAREVGDVEMLMREEQKRNEWQFENALRRHN FVGFAGEVLKGVIGEKLKEGDGAYERWIEEAKKKTKERMGVVDGNDNGRCYDKPWLDE VLPEMHGRYER LY89DRAFT_426166 MNPPLLDNADEPMLSEKHPKALQDLEERRRSSLDTPGSIWLFGA RIEVFSPKWISRGTVQCSPCHFLPLQAGYESRCGCIRTNDSFSLAHYSPCSTPITHQA RVHRGHRRSIEAASTALNSNGTSQITVMHMTPGENNICNNKHLSHISMTPLHGPQEPY LESWHDT LY89DRAFT_694716 MAWQPDPAPLRQLSGFLKDSLSGHNKTAQKHAEEMLHEAKKSPD INNYLTYLFSSSQVPPELSYSPEEYHAVRSAAAVMLKNNIRTGYKTIPPASLALVRSS VPLALQDKNASIRSYAGNVITEIVSRGGILGWPEILPQLLAFVANDAGTVTPEAQEGA MAALAKVCEDNKKALDKDYGGQRPLSFIIPKLIEFTASDKPKIRSLALGSINVFIPQK PQALLGNLDTLLNCLFQLANDPSSDVRRQVCRAFVQIVEIRPDKILPHIEGLVDYMIA QQRKIEDEELACDAAEFWLTVGEHSELWQSLGPYLNKIIPVLLESMVYSEEDIAMLEG GADDAEEDDRIQDIKPKFATSKTARLTNGEDATESSPNGGYVKVGGPEEDDLDEGEIE EFDDDDDDANPEDRWNLRKCSAAALDVFATDFKNPVFETILPYLMTNLKHEEWPYREA AVLALGAVAEGCMAVVTPHLPELVPYLISLLSDPEPLVRQITCWTLGRYSAWGASLNT PALRAQFFEPMMEGILMKMLDSNKRVQEAGASAFAHLEEKAGASITPYCAPIIRQFVQ CFEKYKDRNMFILYDCVQTLAEHVGHGLAQQELIDTLMPALINRWHRVSDQSRELFPL LECLSYVATALSESFAPFAQPVFVRCIRIIHQNLEEYIAAVNNPILDTPDKDFLITSL DLLSAIIQAVDEKQSAALVSGSHPSLFELLQFCMEDPENEVRQSSYALLGDCAKYVFP QLKPFLPALLPVLIKQLDLDAILDEQIEENFSVVNNACWSAGETSIQYGKGMEPYVPQ LLSRYLEILSNPEVPKSVNENVAIALGRLCLENHAVMAPHILTFSEPFLKSISTVDYT IEKASAFKGFCLVVLDNPSAMEKDLARLFTAIARYKMEESYKTPLTADLQKLFQHTLD VYKSMTDINAFLASSVSPTDVSLLRTNFTL LY89DRAFT_681702 MPQPKEPIEVSFPLPKAPDTKIHLRLTIQTTSLLLFLTTVINND TSTVPPLGSFVYALPDVCHLF LY89DRAFT_681703 MAGVQTYNLGDWKLQSGQTITDATIAYKTFGDPKSPAIIYPSWY SGSIADNEWLIGEDHTLNPKKYFIIITALFGNGQSTSPSNSDIKPFPKVTFYDNVRAQ HILVTEHLKIQHARAVLGWSMGAGQTFQWATMYPDFMDICVPFCGAAKTSLHNQVFLE GVKSALLSAKRHPSAGVCADGALPKGEEYRMWSSEEKEVGLKALGRVYAGWGFSQAFY REKLYEKVLGFKGLEDFMVNFWESWALSKDPENMLVMLYTWQAGDCSQQEPYNGDFKA AMQGIKAKTLVLPGKTDLYFPPEDSEIEVANMKEGVGNLDVFPSIWGHWAGGPGDSKD DVKWLDEKLREIGL LY89DRAFT_609490 MPLTNRTSLLQVFHRPAQKYKPLHPHLTLLQLRNFTPTFKMGQR EDAEKQIKRNPHPDFKKVEGSRQPWDKSLEWNMKQTVKPDWKYGDGANDGGASLKIPH VEIDPYEEGRPAVSNYKLLISGIIPRPIGFLSTRSKDGTSTNLAPFSYFQVINHDPPL FTVGYAGGFDNAKDSLKNLVESGECVINIISEHFIEAANSTSVNAPYGESEWTLSGLT PAPSKTVKASRVKEAVFSIEGKLDFTKEYESKATPGKKTGVLAVIEGTRFWVREDALN EEKNLIDPAVLRAMSRLGGITYARVLEGMELLRPDWQESVVNNEEAKKIVKPKADGQ LY89DRAFT_705016 MSNIKYETELHDFPNIFSLKGKVVVVTGGSRGLGLHAASGFLQA GASKVYITSRKASACQQACDTLNALPNLSPSARAIPVPADSSKIEGIEYLVKEVGKTT DHVDVLFANAGATWGESFDTHPDSAFAKVMDLNVKSVFNTIRLFAPLLQKNGTIQDPS RVIITASVAGIGIGTLGKQATFGYSASKAAVIHLAKNLAVELGPRHVLVNAIAPGFFP SKMASGLMEISGGVESLSKKNPSQRLGRPEDIAGLVVFLSSRASAHINGATITVDGGE VWARGGMAELKEEPEKAKL LY89DRAFT_609495 MSNIEWEGNEETYEDPDEQRVLFAALDSFYQYSKTAHFNTTHLR RQAFYALPRAHWELLAEPPFSYLDTLNAVDDAIDKNAELSLAILHSGLESFGIGLPST NHDRKESKEWQGKATPNDLEKARSTLRQFYRDWSEEGASEREACYGPVINALKSEASL HDASTMRVLVPGAGLGRLVFDLCCAGFDTEGNEISYHQLLASSYILNHCPESRAYTLH PWVHSFSNHRNRANHLRSVQIPDIHPGMTLGAVETAGEMSMSASDFLLLYGDESHKDT FDAVATVFFLDTAPNIIRYLEAIRNCLQTGGLLINMGPLLWHFENNAPGNHGKDSSAR KGGEGIADPGAVELTDDEVVALLEKLGFTLEKRESGIAAPYIQDPESMLQNTYKASHW VARKR LY89DRAFT_681706 MAAQVQVALGVTAPLSTTAPTSSDLKANVELIEELKRQNNYEGP EETSKRSAVLQSLQTIIDEFVKEVSRAQGLSDSIVKNACGKLLTFGSFKLGVIGPGSD IDSLIIAPKNVTTKDFFETFTDILYKHAPPGAITELVPKPDAHAPCMTLKYNGIDLDL LFGRIANLTQIPKTLSKVDEKMLQGLAEPEVRCLNGVRVADEMLSLVPEQAVFRTALR TIKLWSARRAIAGNMYGFPGGVAWAILVARVCQLYPRATGSTIVMKFFKIMEKWQWPM PVLLKNIEDLQMGIRVWNPKNYPQDRRHIMPIITPAYPSMCTTHNVTLSTMAVIQREL RRGGMIADRIMDGKAEWKELFAKHTFFTSGYKYYLYVLTASTTKKAQQLWSGFVESKV RILVGKLTDGTSIAIAHPFNKGFNRVHRCRTEEEIEAVKSGSLDYYAKDIGTETTGHG LAVGATKDGAVNGEDKSADEENVTMVYTTTDYIGLELRDGAKSLDLAWQVDEFKNLCG SWDGYDDNTSALHVGHVRSYDLPTDLFGEGEVKPTKPQKKKAATNGSTKKRPAADDTT SGPAKRQQQTSIAAVG LY89DRAFT_705019 MGGDIQFNRDYVTGAQHSPCTQSRIYNYIVKRHKRHQERKAAGK SILEIVRKRAHRVFGGLHKNNETARKPKVTMSGSMPGFGGDVSGAGESRERGSRRKKI AGYLKAANEIRQSYQQSYSEKWGNGGNEWDDDEKGIPGAFPDVAIVSHGDEQLVLFPS YAIRHHKEPPNPDFSNSPGRSQRNSWSNEDGPMDAEYWAREWQKFEDDRAIVDVDVRG WLYSPHRGPMTRKNRLLIGLARQLSGVPAPPKEASRDHSPEHTLVARHKEHEAQREQE KIAEEAEQILRKGRGEEQVAAQGGYSERPKYDSDSESIYGEGRRSGLNTPGTPDDAPG PGHLPKRTSWNQPSEMTHAELMTANSHLMARLRPFLTNPLVSTPITLFFYDEKTSVSR TVQTNEAGHFSIRAPLEFVPTHVRVLASEYLSCTEAVKISEPKGVSLISDVDDTIKHS SIGGGAREIFRNAFIRDLSDLTIDGVKEWYNTMFDMGVGVHYVSNSPWQLFPVLVSFF QKAGLPPGSYHLKQYSGMLQGIFEPVAERKKGTLEKIMRDFPERKFILIGDSGEADLE VYTDVVLANPGKVLAIFIRDVTTPETQGFFDSAMGPLSGDRRMGGRDTPSRTNSWDSR KTQKSHRSSIADVLENRPALPQRPASEVKTQTSDGPTMGKLIDFDDEPAQQDVHESHR QVMPRSASFANDTGVPRRKSAPDSGKKPPPPPTKPIALRGVASKSSLSEAPAAIIRGI PPPPPKSRRPLAQPDAPHPLAQIQSSADLKAPEETYMASARHKVSAAYNALPEVRSYM PGRGYSQQPAQSESSGNSSSERPALPPRRQTGGSITKRLSWNSTDTSEDESYRPNDVP VNKKLDLWKRRWKRAKDILDGQGVALRSWRVGGDACIEAIQMVEKAMREMNVEGYGNS KGKTGHGGGEAKVKDLKR LY89DRAFT_681709 MPSIFRYTAGAALLSSATASVIGAIPSQTVGGFGQPFFVEPTPA PEYELVKVKLAKKDVTNICTEWTIPGGFGQPECANSETCLFTSAGGYWYEGCGETSIR YNWITACYNWPKASTLSAPVSNTYCPSASPYCGFYAFVFAEDYTAYNFGCSDLPYSLY VDLLPTTTDGSTSTDIVLNGGNGSSITESAATTNLILSQSSTTSQTTTASVFVAPGAS LGSSTAASASSTSTSTSVPNIGSHSSSSTPTGAIVGGVVGGIAVIGFLAFLIWFFLRK RRQDKASAQAHEAQIQADQAAAASSTAYNNNNRISEIAGTMKPPAPPANTYVPPPGIA GVYGEKPAANANTQEVYRPPGQEQNNGAYAENVQPHSPPPVYAHPTSPPSHYNELDHQ NAAIARPTSVPPVSPMATGTSQGVSPVGTPTPQQQYQHMSMVSNATELSGNHNMPPQQ QSYSPRPGFQEMSSSNAAVPMRPAGPPPGVQEMHANPHAAVPMRPAGPPPGMQEMSGN SQAASGGVNRPAGPPPGVQRFDMNGNPMTEYHGAELE LY89DRAFT_770312 MKFCKEERLHHFRPDDRSFQKPSFRSEYKEGKKKEDVSKKPYAA KYGLNHVVGLIENKKAALVLIPNDVDPIELVIFLPALCRKMGVPYAIIKGKARLGTVV HKKTAAVLALTDVRSEDKTELSKLVQAIKEGYAEKYEEAKRHWGGGIMGAKAVARTEK KRKVLESAIKI LY89DRAFT_694732 MPHFELPAADLFKFPPSNDPMTLAPPSIPTSTYQSALDIRVPVT IAIIYAITVTFLNSYNRSNNFKAWPISQTKTFFAFVVLHNVLLALYSGWTFIGMLRAL LNIFSNPFGPSGLSGTVDSLCKIHGTAGMSNAITYNSTLSQWTSTSPNITDIHTPSFT DIGRFWNEGLAFYGWFFYLSKFYEVLDTLIILAKGKRSSTLQTYHHAGAMLCMWAGIR YMSPPIWMFVFVNSFIHTLMYTYYTLTAFAVPVPMAIKRSLTTIQIIQFLVGSSYAAL HSFVGYTIPVSVPITEVVTSTVVPAATGVSSSLAAFATAAGLGGLFNKVLGLDVLRTP EHESNTVTRWQIVQKDVSCIDTSGQTFAIWLNVLYLAPLTFLFVRFFIKSYLKHARNM R LY89DRAFT_578121 MDLLEAYHNLFLIYYSEEPNFNTCDIEIALEQVELLITVAGLYS SIVVTRPYIISCLFSFGRELSRAIARDPPRWVKVAILIASPTIFTEGVVHIVGKAPSW PWSSTKREELGAKVENFLDKKADELEALRKGMERTLFLTSIRINGKEVHLDKDNESTR NTWFVVQIWRDWYSRSLSQAAHSTNKSQSMAKIYRSIAKGGDTYLPLEEVLDLLAAFR HTKDHDTNFDVREVAMDLRRMKVFASQIVKPLCINNSMLDVDAEGIGHFTCVEVKDHE WAQLETLGLDHA LY89DRAFT_729900 MASFSIIALFVALRFFALVTGVPTPAPSTAATASTSSYWVANIA RQGTVAYGTAGYQVFRNVMDFGAKGDGSTDDTAAINAAITSGTRCGQGCDSSTTEPAL VYFPPGTYMISAPLVQLYYTQFVGDAVTIPTIKATAGFTGIALIDSDPYASGGVNWYT NQNNFYRQIRNFVLDTTLMPVSAGTGIHWQVAQATSLQNIVFNMRTDGGTANAQQGIF MDNGSGGFMTDLIFNGGKYGAFFGNQQFTTRNMTFNGCQTAVFMNWNWVWTLSGLTIN NCQIGVDMHNGGTSSQTVGSVLLTDSTISNTPIGVSTAYQSNEGATNGTLIIDNVDFS SNVPVAVSSSGSGTILAGNTKISSWMQGRAYNGANTGALTQGTQTAVTKPASLLASNG KVFARSKPQYETLPASSFLSVKAAGAKGDGVTDDTAAIQALFNSAAATDVVYFDHGAY VITSTVKVPKNIRITGEIWPLIMAGGSTSFQSQANPQPVFQVGQPGDTGSVEISDLIF ETLGPQPGAIMVEWNVAETSQGSSGMWDVHMRIGGSAGTNLQSNTCAKNPTVTAPANA ACEGAFLLLHVTAQATIYLENNWFWVADHELDLSDHGQVNIFNGRGVLVASQQGPVWM YGTSSEHSVLYNYQLANASNVWMGAIQSETPYFQSNPDATTPFTANAAYSDPTFSGSS SVNKAWGLRVVDSKDVIVAGAGLYSFFDNYAQTCLDTESCQSNMVSLESSDSIYLYGL STKASTNMVTVNGASAALDSDNRNNFCATVALFAQS LY89DRAFT_638949 MGESRQELVQWLNQLLQLNITKVEQCGTGAALCQVFDSIYLDVP MSRVKFNVNTEYAYLQNFKILQNCFTRHGIDRPVNVEQLIKCKMQDNLEFLQWSKRYW DQYFPGGDYDAVARRRGSGAPPPATAPAPRTSAGTARRGTTPTTGPRVPKAGGVGGAS SQALIQENNTLKETVTGLERERDFYFSKLRDIELLVQQACEEDPEIEKQEDGLIKHIQ TILYSTEDGFEIPAEAEGVDDQETF LY89DRAFT_681715 MAPPLELSIPSTILSNTPNEKPYTLYNITLRLPLRTFVVQKRYS DFATLHKELTSLISQPPPSALPSKSWFKSTASSPELTESRRQGLETYLRSIAEGPDRR WRETSVWRSFLNLPSTGSGSASSARNELIAQNQRGSAAQAGQFAADPQVWLDLLRELK GQLHDARLFLGRRDGATTAQGQYEAGANAKRCLVKAGGLIGNLEEGLQIIGDREKAGR GEGRVGAGELRRRRDLLGSAKVEREGLEKLAVSLAVKSQNKGSGSALAGAVATQQDKS ALFGPNVTKFSGRVLGAPVPETDKTRELDNDGVLQLQKQLMEDQDLDVEELGKIVKRQ KEMGLAINSELELQNAMLKRVDEDADRVNRKIEVAKKRMQKIS LY89DRAFT_705025 MKSFLLYTALAAFPLTVSGFPRVAQEAALQLSRSVGAVPRSNGK RAVMFDPAAQLVDVTGAHAFTPPDFDAGDQRGPCPGLNALANHNYLPHDGVAAWIDIF NQTVSVYGLGEDIAAFLAVYGAIFDGSIVSLDPGYSIGGPTSLDENILEGLGILGTPQ GLSGSHNKYESDASSCRYDLYTGGNDYKLISLNMFLKSVNENPQFFYAPFAGVIASTG GFSFPPRMMSNKSAEYPDNGILDKEVLKSFFSISGPDDDLTYTYGHEQIPANFYRRAI GNEYIFAEFLEDTAMFASYFPEMISIGGNTGTVDSYAAIDLGNLTGGVYEATTLLEGN NLACFVFESLLAAAPDVLKGGYSDPSGPMSTLLDNVNTLIGNYACPTIESLNEGQFAD YPGAQTTSTGGGIL LY89DRAFT_426736 MSHPNHHKGKTRRIPCLKIDRLLLGFIVSRSAFCVGLSVFGYFV CSFANCVLNLSVSKYINNNLT LY89DRAFT_577963 MAGNDTIRSVVGWQPNSDRRGTLAILESCIFTILACTWTILHLN VPGPSDSAFRILRRKMALAIITVFLPEIILAHAMMERSAAILSLQELKALDIEDLEWT LRHSYYANMGGLRLVLEDGGVICSFTIAAFTSIALTTRQFGYLREKGVITSTPNILEG EIEDKAKTDYFTKGIAIVQIFWLILSLCGRASRHLAVSQLEILTVAFAACAAVTYFFA WDKPQGVNTGTKVHATAPLNIVDTNRIAQLQPKALNILLFGATDIREGPQFERIHNDT IELSNSYTQPVSLWLTVSIMLFGAIHLSAWNYTFPTPTERVLWRTASVVITA LY89DRAFT_681717 MKMVKRAAPFSLPSTPFLFCPIADEALVQYSTPRHDKHVQKKNQ QPLSDNQNKRNPPMTSALPFHELDTSAQCPIFPPVQRMAAQVPSHGVTVTSASASRAF SFCFPSFDR LY89DRAFT_715382 MDGPPPPPPPHGENPKSSGLPPGKYDIFIIPPHSSGSGFLYLPS LKPNVNSFAAGFASALLLVLVSHALAPAIMAWWNSIKGAGGAGMMMFVVAIGVGAWSV GRMSTDGGPGPGGGANPGSHGWQSPPSSGPSPNGHAGPPPNYGAGFTPGAGPMPGAGA PPPGAGAGAGQRSQWHQRSPPGSTNASGGNPGPDPSTPGGGTSRQSTWEKAREETKRK EEERRAREADAKRKAEIERKLREAREKDAREREAREKKRRDDEAAAAAAKRAEELRQE EIKRKEEEVKRQEEESREKRRQEEEALEKKRKDIEAMEQRLKEAREKETRERIVRESK LKYEAAKEKTRRELEEKRKKDEALREQMRKDTEARAAKRAEEESKPRGSTEDETYSYR PYDKPKKPVHKKSLSSLYSESSYAASQSTSRTTPPPSRREPYTNKDPSKIVIKAVYAY MPRAYKTPCSQLISGVGNITDGLILRCETEGLFIDDDVRGVGQREWDAKAWGLKLIEV WCPSFRHSSASTTTPSSSAASSPFASSNFSSTKQKQNAIRRLWGLDKEKPASAEETDV LVAEMLHLCSTNCRFRPLHQQENHKANRKVDFQQTGEMKSKKLHILRVTVRDPDGKRF VFIINESEAWKIAVGIQKLRKSSLIRSMGVSGMSTGDSR LY89DRAFT_426796 MPSIFTHKNRSKQVLNDRLFERNSNQSSSGGQNSGAASPNFAAS DEEHNTRNPQHQGFVQQPSRPEAQQQDQQQPSYNAPNIVPDIDYRVQQKPTEVPTRSQ STRYSTGYQQPPAAPPQSGSSDDLGLNSRRYQQQGGAQTLQAPAAEPKNKKSIFDRMR SSGRSSESKPPSQASYNNTTGLARRLSKKENPPVIRTAPHSAQRESGDLSQRADWQFP ADSRSHLPSPQEANEDGLDPYLIRESEPVRRQSQAGLLKEEIHQQPTIRPVQSEPEPP IYTTDEDRQQFEAQQLREQQIHHQASESSSQIYYQPPGQPHLNISPTSLDPGEGYRQQ NPETVSQLSAYESPTEPQQEQRPVSVQSQNGQSPTAHPQAREYPNRTTSIPPQGPRPL SQHLGSMAPPPGAASVSRRAPETKTSSNQGQGQSESRDGPPPNYSRGAFPTPQPPTPG MNPAPAGASVPPYRGGPPQRGEQYAATEQGRSTPPPAPSERDVNDAYKELLTKYKKVK GLYFDKTAQVEQLQNTLANQRLSQSRTSLDDSEYMTRFQRLDGAITNLAFNIRKEWRS VPNWLSQYVNQDAIKIGKQEMTAVGRACITKFLVEEIFTNCFHPGLEGGLSADLKNIE QNIRRFSPQLNNQEESDALTAKVLQWRLATLDGLKDVLSSPESEEHKKQFSRMATANL SANLINYLSEPVPAGIEDSAHMIVELAVSVASNLPLESRDISIVYPMPGDMLQPSMMK VESQIPVLETSISDTATEGDTASTASADKDENEKGESKDGKLRKEKPKTGMLQAMMGS SSSAGQSRKGSVAEPSSEGKKAAAEDGAQKVRFAGFVGVEVRGRQVLVKAPVWTVA LY89DRAFT_729907 MRCFILSIILGFAILSQALPQVLQSRSNAASRPQVFGNHVVTST DAVVLQDFLISSISANSTALATQGSFNRSVSFVFNDPNSNTSTTCSTNWTDTATSSTG PMGPSYLVCTEPTAPGKEQWFDWYFGSYTDHCHFSFELAHEWSDPAVYPPPYDYVEYF ASMNVSLTYTDAAGLFCNLPTATGPLHAVITSISN LY89DRAFT_547987 HHRQNRRRERPGSRTRRSMNRKANAPFDYTDSLLDARDASVYLP SDKAKPSPLLRQNFDQSWQRWQARKAEEKALAEMDMRQLEIEQQRLFGEDVDDEVSIP DEAMLGVVFGLFGDLDYIDP LY89DRAFT_426969 MGILYKDDEDIAQVSKSNTVSCDVPVQHPVPMFIIRRGKPKRSR RRSTWRSLPLYLSFSGLSDDADIARLLSPSTTTSPIPTIQHRTKIPLMSSNTDIDIPQ SLPAPLSSAFGSGSLQGSHFLDNTTFSISIPEPHSFDTISNTGDWTFIQTPHHVSASS TPSSEPETWILIDDS LY89DRAFT_681722 MSLLVKLDNFLMSVYYEDYQPCDTACQTLPSRPSGLMMSLSLWG GVIFICVSFKFANDQFVYWETHNRLLFALRSIPNDERSAAIDDLCQGLDSREKFAYCM WAEDYSLTQFELSIKKRYYHHMKDGIQRRHTLQDDEKTLLFGSSKSNGLEVARGDYLV LY89DRAFT_609528 MYKPFRPPLPKSFAPKKPVEEEERIPESDDESEPRPYKKRKILV YSSDERPPAKSVPASAGVFAPRKPLIAVKNSSEPKSSSDLHNDCPEGYYLVLWRKYTN KKHKTWDGDGVLSVYGGYARLQGDDGKEMGKCVYKEPLLPGSTLSIGGKEVEVDSIIS KDDFLSGRPFLKVTATKPVTTFGRNTTSTPKPPSIQKAPISLPKGKVPLLGKKKADDG SEISEITAKSFYSKTSAIKAQFKNPLLSTTVIPQTEDGAPTPRHNPNAFGAVVMKRPI DCPKGKQIVDVVLDPFLGQHFHPHQFEGVKFMYECVMGMRDFNGQGALLADEMGLGKT LQTIALLWTLLKQNPIAGSNDGVIKKALVVCPVTLIRNWSDEFKRWLGKERLGVIVAD NAKTKLTDFTHGKSYSVMIIGYEKLRSVQDELKKGAGIDIIIADEGHRLKTAQNKSAQ AIRNLNTPMRVVLTGTPMQNDLSEFFIMVDFVNPGLLGNYKTFKKEFELPIVKSRQPE ASKRDVEKGKAREEELSCLTKSFILRRTADVMSKFLPPKSDFVVYCKPTDAQIRVYKH VLDSPFFGNAFGSKQASFQLITLLKKVCNAPSLLKTNEGAPSNVTVAELLQAIPDEIM RLAPVRSSSKFRVLDQMLRQLSKKTNEKIVIVSNWTSTLDLIGQHLASMSLPFSRLDG KTPTDQRQDLVKSFNKAPATKSFAFLLSAKAGGVGINLIGASRLILFDTDWNPATDLQ AMARIHRHGQKRPVKIYRFLMAGGIDEKIYQRQVSKMGLADSVVDGKKNEASFSAVEL KDLFKLDTTSVCQTHDLLGCDCKGLGNNIPPPDISETSEENLEEEDAEEAVDDSDDEM LVPLKSLVRGAMVPGTKKSIEALERKLADEAKQKRLKKSKGKMQALMLFNHLDTSIFR GETEDVFGDEEDAVTEARRTLDDDVLVDVLKSENCKVSFVFVKHNKARALAKEEVKDI DDKDAKIITIED LY89DRAFT_427009 MSGTDYSYDEQGQFFPFFILTVVGIVTVPLTYSVLKPSTEPGAH ALRIKTDFRPEHADLIDNQRKAQKRRERKLKRGIAVIAGWIIMAGMAYLIYVTARTIP KIWNPYDILGISESATEKQIKSHYKRMSLKFHPDKVRPDPSKNQTLESLNDYFVELTK AYKALTDEEIRNNYIQFGHPDGKQSFSIGIALPKFIISEGNGKYVLLVYAALLGVLLP YLVGSWWYGTQSMSKEKVLIDSANELFRKYQEDITEGGIIGALSTGLEFLKVLKGEKA DSDLAKIESRILKEGTVWGHVGGLTEKDKLQLEDLEGGVRRKTLALLWSYLGRVELDD PALNQAKFEVAPIAHALNNSFTAISLAFGAVAPILNSYTTSQNLIQAVPPGASPLLQL PHFTPEIAAAVEGDAKTHLDLQQYMRLPEAYRKKLSVGKGLLTEAQYETAINVAKQLP NLQVEKAFFKVPGERFIIPGSLVSFVIKGRFIPPGSQNIPEINELDLEDVDPDEDDLD AIMGRKKKFGKDGKPLAEEKPVFPPLAYAPYFARDYSPRWHIFLSDSKQGKMAVPPFT FTAFDKPIFDENNKPTFNMQTLKISFQAPPQAGHYTFAMHLICDSYVGFDTKMEVTLV VEDASKAEEFDPEDDISEPDEDSIAGQMAALKSGGITGPPPKKKKKPVVQEESDEESD TDGEVDDDTSETDTDTDEE LY89DRAFT_427016 MAAARRGQGPLNLDLLNGTTPELPPLAALFLIYFDIKAGYTIGW KRSLPGIELEGVVEYKSLPSGLHTVKEDLIYFVHENHAGLSAFVNAPAAVESRNARMI AVGVMVPLSYGRLGRSWKHAEKLKEMASELIIDTSETKVLEEYWEEHKAQGPAPPADS DSLLDSPSSIRFKPIRTTPSKLKGHTRNRSASDGTALLPPGHTLSTYHPARSLLRLLE TFGPLIFPIHRAALLRKRILITAHAPVQEICNFVYDISVLSNIPLAVTDLLAANAPPT RLRPLFAIGVHDIPLLEADLLASEQPPVSSPGSTQTESEDSGQGWIACTTDSILAMKN TLYDVLITMPPPYSEDATQKVWPKVESSKGVELKATQRDLRRYKTLRWGLARSSSPSS QNDDEEPVRASSSSASVPREDLLDLPDTDHIVEPLSWSALAYSGFMWWASAGEQRLHY EEETEADSQLLSGLSLSPSSPITPRSQSSPSLKKSKLNGPPTEAEAQQEMALIAYFHR LTTQVLSTLSDIVDATDSDDEREADHSPLHPTSHSLEDCGVEEEDTGPAMYVASQDIV RMGLDEWSIADHEFVEEVSKAYFGRRAKVEGRNVNVCGIRIC LY89DRAFT_778733 MERFSMGVARGILNRCLGYVRVPRRDLMGLEDVPDVKGWQTNRK APVIAPQQQAQTNEESIHEACQGAPQEVLHEAIQGAAQEVAPEELSSSPEFTFTCFEK LAPELRCHIYKHALPGPRVVKYKTRGRRALLTPLLLVCHESREEVLRHYMSITEFGPK VYMNPEIDILFINTLRFFTDKILFGVDPILLNRLRRIAYPLKKLEDLIQWPNYIVRER DPSRPRFFTMHAASHFIEHLLDFKALNTLTLVDTVVEKDQVNSTFVDLFTKPISEWPI RVAGALYTEEERRALSTAAFLSWAGTSYFLEELQKASKDTKKALAEILLPTDHTFEVD IKGLIEPASI LY89DRAFT_729914 MAQPRESGRDTPLATDFEAWYFSQLPANVVTQVSGGFSSTLEAS YVNTTAQIVSEHPSGTYPRTRAQENNVDILSSLDPQSVFWPLAIGEKRPGTTRVSEAR SEVLEHYVPVVNAQPSGYGNSYFRPDIDTLFVINHSCGLSHFEDVNPVVLGALRHLAM NMEHVERHKPKADCWTTYFNTFSSLESVELIIGPKIYDCLTPSNDPTSHVKSVRYFKK PVSEWPEQVVMLGNNRYMALVTEGYRAFGCRMDMQQHRRGWRVLVKAVQIHAVNNPAW MPPQVDIKGVKLL LY89DRAFT_778734 MLSRSLSSLLAVLLFLTAAEAGRFSEYAHRKGYGPDLRPNGFLM DESNAAATNTTFRFLNNATQPFQVNALPDIPFDVGEMYAGLMPIHNGDPSRSLYFVFQ PKLGDPVDELTIWLNGGPGCSSLEGFLQENGRFIWGWGQYSPMINPYSWVNLTNMLWV EQPVGTGFTQGKPQASGEYDIAYDFIDFLMNFETTFGIKNFKIYVTGESYAGRYVPYI ASVMLDRHDKTHYNISGALMYDPVIGSYVYAQQQVPLVPFIVQNNNVLGLNDSFLSHL KGLDSSCGYADYRNKYLSFPPPGNQPAKFFNYSTSSDQACDLWGFANNAALLANPCAN VYQIATYCPLPSDPLGFPTDLIYSYPGLPVYFNRADVKAAMHAPPNTTWSECNGPVFI SYSGGPEDEGDFSPDPIQFILPQVIENTHRVLIANADLDMEIITNGTLLAIQNMTWGG KLGFQNQPNRSIVIELPDLQYGDTFASNGMPGMDNPQGMMGIQHFERGLMWAETWMSG HMQPQFQPRSSYRHLQWLLGHINEL LY89DRAFT_715392 MTDPKDVALILEKLKLYIGHPNPGQPFADIFPIFRDPAVTEIVI SHLVKHIQETHDLTKISAIVCLEARGFFFGPLIASRLSLPVVPVRKKGKLPGAVVSVT YDKDYGPDSFEMKDDAFEGIEKEGKQVLLVDDLLGMGGSVMAAKGLVEKLGQSVAELV FIFDVDIPIYAEAVKKNLGDAKRYAMVTLTTTNMGAPISLG LY89DRAFT_427117 MSTALAAQLSRIAANSESSLNLKAQKAKHSKSLIFEPRIAASQS FETIYTLCHEGFQELCLLDGRFAEFQRDIFSERSQEKDRTQLTAAENTELNARLELFL GLVGGRLRLNPAVKAVEWLVRRFRIHEYNTQFVLLTFLPYHTLPIFTTLLSILPSAIP EECKFLQPYIRSLTLPPRHPIVQAATNNVQFASSLNNYVLRICQARQHYAALLAFWAG IMTEATGGMLDKARSGIKAVQRQNEQEVILRLLPTLNEGLAMKKISDLRVGCYMLLSV MASKGGLDDKLLTAMMEAVVLGWTSETIGPGLVCLCVLAQHRAAAQLTKRLTKELLKI PNLSALFVEHSKQRRVDNLANGLCLALVDRLRKVSDISGIPVIQDVIEHDILSDAQCC VIVKALVLVAYQIEDSTDAQRQARSHLATLLVTLTQMPGRSGSLVARALADTEVDMDE LELKLQMAIRPAERSELLSEDADLEDSIENVSGPTFNTILSQLPKKTVNELSFLSHDA SHVYPDLCRAFLASASNQIDMKAFDEAPILRRESASEDTLYFSFYMKTWCGPFPVITR ASALQMATRSLSSLKVSDEDFQAVLPYATAALGDPAAKVRRAAAELIIAIREKYPSST ETKKKSKQLRRWASGDLYGLGAHTSDLVWLSPEVVVRLLNDMIVPALEECVLDQNHIE HVFEKSLNSLKSTESTKKSDVGRLPQAARAAILSFFAGHATHTPLFGVKLRLLSVLNQ VRSVAGTSRTKALLPALQEWASLNVTEALKRCQDEQVDVSELNNQMLLIVTPSDKDGL QFLASIISGDVASERSELMKATFTRLRGMWSSIKDELRLSTAQMLMDSAQSSGKGMAA HRELAAEGSAELLRTIPLPSEVLLTFLEQLPTAAKLADKSPATKRRRTSHGEVARATL QDSTQLTTAIRKVTFVLQLVDSSEPARHPELLKGLFSILAELQHFKVQVGSELAYLQG LALGSLLAILKSHKSDPSLKLDRSAVRADLLVDCIQKTSSPQVQNAALLLIAALADTA PELVLHSVMPIFTFMGSSVLRQNDDYSAHVISQTIREVIPPLISSLRKEKSNPVTGAA ELLLSFVAAYEHVPPHRRKSLFASLVQTLGSEDFLFALLAMMVDKYGSTETIKAFAAE LSGSFGVEVQLQSTVKYLELVGDVLKPKPTYSTTLLSANDDSESDATSIALNELALLP HLLSQKRLVAQVAKLLERDDMDAARIRDLYSILLESILNLADSVKEQKRLHTACGDVL ESLLGLLTTSEFVKSVEGLLDRPNETLRRKILLSLKVRIDQESASDIASRTAMLGFLP QLTAIIRESKDVLYKHTAVACVDKISEKYGKKDLEAVAAAAQTIAGPYCLGQPDSHLR VMALLCLASLVEILREGIVLVLPIAIPKALEYMTSITKPEVESQKLLKAGYAFISALA HHLPYMISGGYLDKLLEISNSSAEAELDDETHEARVQCLQLAAKQIDAKSMFAALEKN WERAASIGPLALREYLEVLSTAIDKHQKSVVTKYSPILSKIFQNAFDLRRQWTATDDD RFSADAMSEIESAVNDVAIKMIYKFNDATFRPIFSNLVDWAASSLPKKDKLGRNLRLQ SIYGFMTVFFDNLKSIVTSYATYLLDNAVEVLNAVNPKDDVSKELWSRILQTLTKCFE HDQDDFWQTPSRFDAIAPVLCAQFTHASTLPLLQDLIPTIVELAAAADSQDHHKEINS AILKHMRSETASVRLAAIKCGQQLTDRLGEEWLSMLPEMLPFISELQEDDDDVVEKET HRWIVKIESVLGESLDAMLQ LY89DRAFT_681727 MSEPQTKVPKFTSFRPRPLQPQEANPRSREEIRHSSRSEQEKDR KSAHHKRHRSRDRDRIHASRTNLEVSRVVPDETSSAVFNIDRKGDVKNLEYGSIHRYS VPAYHRFGGGFVLGASLDLKIDRDLDDGKAITLKNWKSPKFRGREKYVFSRVEKDKPR LLRLRPEVVAEVDNAKDTNANFISLQARGKKRMRGENGENASSDSEQDSRDYRSIYGK VKAQDQPQDHDMQYATESDDSGSDAGRAIRLDMAVRDKNVRLRRKVDECPHDIDAWLE LIGHQDALISTGDNHRRITNAEIRSTADIKIHMYEKALEKTRSLADREKLLQELMAEG AKIWELQVQADRWEQIARENIDSLVLWTKYLDFKQSTFSAFRYEEIKEVYLSRIKLLL TAVEKSNNESADSFYQQVLYVLLRLTLFIRESGYSELSVAIWQGLLELNLCGPTSLLS KEEYINLFRQFWESEVPRLGEDGALGWRRYVDDETSSDVPEPITDEAQDPIRPSTIFK SWAAAERQRSKCSRTPARTMDEVAEDDPFRVILFSDIEELSILFPVNLRSLCVDAFLA FCRLPPTASDHELPGSWFMEQFIRNDSLGWDLAKFQQQLSVKAKDDTEVITLTSCFHV MYQSIRPLSLTDNTSYQITKWDDLYGGDTGPVPYQYIRNALKQLTQDSFTEGLAQYHM AFEYLNEPETIKKVAKSLLKRHPSSLTLYNAYALIEWSRGNKDVANGVFTAALNMNGS SSETESKPDSILTWKSLAWCCLEDGDKISTLRHLLSIPDGVPNSGVTPTPATLLRAKH HIRSTRDFLLSTGDPKHAVMYAECLTLLAYLSETSGTEPTSATQGDVTTALKTLMSFS KALATRNHTHHQEILLQSAARLLQFHCRNGPYRPSLIRVYLSNFLALFPQNTLFLALY TANEARLRVENRVRSLFLSTILIPENDCLTSRFFAIQYEMTYGNIHSVKSAFEKAVSA PMSRSSPGLWRFYLVWVVQNEKQLSKTGKDKSLAKDIWYRALRACPWAKELYILGFEM LGESGLEFEELRGTWKVMGEKDLRVHVDLDDELEKIEESRGQKSIRD LY89DRAFT_665576 MDTEDGQFFIKNLAHFVRTHEKALANALQLRRQQAPKNGSSQSA TTGAVGSSATPTSPTATNPPSSASSSSTSSTLAAALSLPYLTFASHNIKPAKLALTPH HLFYLLSRFEDLGIAVGPMNVRLENIHDTSTTNYVSFLSQSQRPKGRGSDHGSIHSVS SVRSVMSGMSSLWSSFGLGSGNSAARTEKQKAQIEADLKYLYSAFTKIPCLRLAPDRR ARLIEGYEEFPFDSAVPLLAFKNISALEISDIDIRQFFGWDRLAEQLRSLTVKRAGVD DPADLLINIVLDDMDKRRRRSSKAQMSPTSTWVASSSSPKRSPTMPHAELVKSNSAPG SPDERVHIGDEADGRGASLVRSGSDGAKSPTKSRPRSNSPVRPPSSRTGSAHGHLRGS YKVKRSGSGSSHSSMSDGWHNLRGSSSNLLAMGILPSSKWRFLKHLSLADNGLTSVST SSLSPLANTLHSLDLSANLFSQIPDCLASLTALRALNLSNCMIDSLHSLTRNPLPAIT ALNLRSNRLISIAGVERLYPLERLDLRDNKMTDPTELARLTGIPDIREIWVSGNPFTK THSSYRITIFNLFRNTPGYTEDILIDTYGPSYSERRQLVERAAEKPMVPVVKPPPQDY GLPAVEVNKPIIDYPAQREPAVLRKERPVPTATTSEINISSSGRRRRTPKRRIVDLST SETSPHKPAVKFSAEEATRSVSGIDSRYGIAPSPDPSPRTVPSYVPSEPRQSQSQTDM PRIDTSVVPRLPPIETMSYDAPLIKSQNVKDSQDWKVSGDLYRKKLEALRNEVGNGWL SVLSEEGWDTSRNPNQPFGGPDYSPANSIRPSPTTPRANSQQTIHSGRTLG LY89DRAFT_778739 MAPLTRGQLRENQRANLQASPPITNTAPPANAGPVQSHVPTQAA SRDSEENTSEGSPSEGGNDPAVIDDDSEGGHPDEGTIEHDGLESREGRDAYESDEENG IGEWSGEERYDDGGDGEAKKGADDNKTGNRKAKKADDVVESDSWKWAYGFDHSYNGVP INTLQEMFDHMTYKVLFESTGEYRFRHEHCFSAEIEPFKQRYIARNWPDVSIFRDVTK FVLVDHHYQGTTVYGSQAEVPAELDILVAGKSGHVFSCILLYITQSRPKVVLFENVIA TDAIWRTIEEDIEKERYMAKILKLDIKDFYLPQTRNRRYMLCVDLDLFKEKDEARKLL ETWEAYVKCFKQTTAVSAETMLLLSAEETPEAFSQFGDPEIEKRTKRDDWEDCKIKMR RFRDNLALGTGRSLTLWNESGFRAIPDFWTQKNPPLNRILDVLEISHLRGIKRGYDDR YYSQSLDVSQNIHRDRGTDKNGIFGCITPAGKPWLTVFGRQLTGRETLILQGLPPNRM DLSYLKDKQIQNLAGNAMSSTVIGATMISALLALASCKDYNFRNLVLDRFEFRTAVLS TNEAIAQARSTRRLCGCEGPHRTAHETFWECRICSHTSCQKHPKNPKHDYRPPSLKAY QNPADFEKTLNQVLLIDETKIDQLLKVTEIGDEALLGHISQALSSHTFMTSIERMDIW RVTYESDYAKAVIQISENEVEWLLYAKAATNWSFDDPRRVQFEQFPIARMKPELGQAI TAGMLELWVPSKREIHLTLKSDVGLVESFESLRGIPDFLDTKVSVGFSLAFQTQDEED KFDVTGYYKLHQECGQAFNSLHARVQTGGQPQFIFYDHQRQMGDPRGHCYILTQDPRR LRFGEYRRNIGRFSCRPAFDQIRFKEIADSESKRYSKLDYEGTILDESPLEEPVVEIP VVLYVDGTWSKVATDDIMSIDPVGNSSGEFITYQHLSMPPLAPSVEFLGCSNTWTIFD CNAKIAEKFANRYEKSVRVTINQKNALRFYTDFRYLFARCLVMKPHIWGLETWQQVSQ DEVKKRCLECSPEHPKLFRILASSKGESRVAVSEDTAQAGEYERKMKLRPPPFSQTYC IEEEQLNFRIHLNPLTVVHQAVDELTTDGNRDNIKAEWRLVTDDKSMPRDILGRFKEC ESEEAANWALSQETYPEPFTEEEIVEAECAEFGYRVWGRATRKVTRRVGILAADVGFG KTPTILALIQHAQVRSEELHGYIPTKATLIFVPRHIVQQWKTEIETFTPSMKICTILT AQGFAKYTVQDFRNADIILMPRTLCDDAPYLKALARVSGQAELESKPPARIQSQWYET ACEKIKGHVSGLSESNKLKQEIVLEMFSFARVIIDEFQQIKPDTGQTLRQVKSHAKWL LSATPPRNGFADVKLMADLIGIKLGVDDISSIPAEDWTRATKNLTEYEKLIIHRSIPS LPWKKRRHDIAQNFLNQYFRKDASSVHIGKIDNIKLVFQPPAERLSYLDLEHGIAAPD LPENSTSSRTAAYSKRPDKISEYDEQLNSGHRGTTDATLLWRAAHYSPCQRSKSQSTN DIILDLLMWLKDQDESMPSRGRFAEFQQRLQSSAVTFGDAGIQDKITPLVLAAAENVT EDDWNLFFTKDVEVQDPYRNKAATEAKDKEKIKLEDSFEPYPSGMVKHYGKFMHRMEK PFRLAVGNLVSLVEEAVKRQRSLRFTQAIFDSYTVKQVPCAKCLKTITSPEERIILIE THEKIGDSKLKVDQEVTSLAKSASIPGEKINQLMAKLKSIKDMDEKVLLFFNDDGTRT TFNTLKSAMDLEYLQYATLVDARAKPLNNFDTVLADFQTGKDVKCKTTANKATVLLID IEDDSAAGSNLTAAKWVIFFSPYLTIGTDAHDRYHATMRQAIGRVRRFDPKDKIETAK NGDQLVCDREVHVLHFLTAYTMDIDLYEERTRKQVALPVDDDTTAAEPEARGNAEGSE KTAADGIEAGSEDIYNDDDDSDVGDDGRDGLDTSLQRPTEVDEGSQQSTDSDESVNTR DSDENVDLEKPFHPGPYASTIAHLVLGRNGNV LY89DRAFT_427326 MADQNDVDLDSIIDRLLEVRGSRPGKQVQLLEAEIRYLCTKARE IFISQPILLELEAPIKICGDIHGQYYDLLRLFEYGGFPPEANYLFLGDYVDRGKQSLE TICLLLAYKIKYPENFFILRGNHECASINRIYGFYDECKRRYNIKLWKTFTDCFNCLP IAAIIDEKIFTMHGGLSPDLNSMEQIRRVMRPTDIPDCGLLCDLLWSDPDKDITGWSE NDRGVSFTFGPDVVSRFLQKHDMDLICRAHQVVEDGYEFFSKRQLVTLFSAPNYCGEF DNAGAMMSVDESLLCSFQILKPAEKKQKYVYGGLGASRPVTPPRKSKK LY89DRAFT_427335 MPSRFPLESHDNVSPTHLDPPCLFSAYASIDRFLPDPNVFSILT TLFLIINIPTGTRAYLALRSFLFDDVSQLYTSPFSHRRAITTNDHTSRLTRNYDFESD TT LY89DRAFT_681731 MPQAVNEKPQSLELRNPSTITNTSAEMEQGPFPRVTIQFCTQCK WMLRAAYFAQELLSTFSTSLGEVALQPSTGGTFVVHLYNAVPDGSGVEGKVTIQQHLL WDRKAEGGFPETKELKRRVRDIIDPSRDLGHVDGKKSTPKPPPTTTQPTALPRAINRL QSSDSATGERKFTPMDVDVNSRPGSSGAEKVVVEEQEGTGVCRPSEDCEDCG LY89DRAFT_681733 MPSGYSSREDPGQMKKNKQSMADLKLRRLTELNTRLREDLERER IPVSQAAKSIINYTNSTKDFMVPSVWGTVDKREDPYTPQQSGGCCSV LY89DRAFT_638996 MPPPPHQKPENVLKRAHELMGVGQSQAALVLLHEHITSKRSRNV PIASLEPVMLLLVEQSVEQKKGKLAKDALYQYKNISQNTNVGTIELVLKKFIELAEEK VKTAQAKADEVQSELETTTATASVDDLEASETPESILLSTVSGEQSRDRTDRAIVTPW LKFLWETYRTVLDILRNNARLEIMYQTTAMQAFEFCQKYTRKTEFRRLCELLRNHVQT AAKYSAQMHAINLNDPDTLQRHLETRFQQLNVAVELELWQEAFRSVEDIHTLLNLSKR PPKNVMMANYYEKLTRIFLVGENYLFHAAAWSRYYNLLRQSASMVAAGQSKKADNPST SEADLSKAASFVLLSALAIPVISTSRSRGALVDIDEAKKNKNSRLTHLLGMAQAPTRA VLFKDAMSKGLLKRARPEIRELYQILEVEFHPLSICQKISPILSKIGADADMEKYVLP LQQVILTRLFQQLSQVYETVDLAFVENLAKFPEPFQVTRDTIEKFIMNGNKKGDLAIR MDHATGVLSFDTDVFSSTKAIHAGSGGGSAESETSSVQRLQNTPSEIVRTQLTRLARS LFITCQYIDPSFNEARIRARDAAYARARAGADQEHLETLARKEVIQKRKEEASEIQAR KEKEDATRKRIKAAQLQEAEDKRLAQEQKDREEKRMKAELDRVRKEELKKQIADLKIG PKAIDIDVEDLDNLDANRLRAMKLAQLEREKNDINEKLRVTGKRIDHLERAFRKEEAK KLPEDYNQQREKDFEAYQKTKQQTLKEAEAKHKENVELKHRLSRLVSHYESYRSTVVE RRKDEFEKRRRDAEKELEKAMTLRRKEFRDRKAREKKEREDRERQLREEEERAAKEAE EKAARDEKKRQEFAELKAIREKERQEALEMAAKQAAREEEAAKRRAEAKAASRSAPQM ERGGSQAGAGAVRPPLPLAGAKLGWREKERLRAEGKEVPSERTESPMARASPMGRAAP MERTDSNERPTERPSASGPPRLALAGGKPSWRDREAAKASSGGPSSDNTPPPAAAGRN ASGGAPLFRGRSGRGEEERRDEERPPPTGEASLRPSGAAGKFVPPHLRNKQ LY89DRAFT_729924 MLSLKSLATASAAFTLLSGVNAGPSVDIVKRNQEPPGPSCTDFT PYVYAGCFQNLGSPYPDTLLYTGPNTQNMTVETCVAFCKGNDYRYAGLEYYSECFCGA SVYGPQVDESQCNVPCTGNASETCGANNRLNIYQDPTFPVVNDSIISDYQSIGCYAEG TNGRVLNWRQDQLSTTNMTTQECLYACKDNGYPIAGLEFGQECYCGVVLGNGTTPLSS TSCNMPCTGDSTETCGGSNALNVYVATDLESSQPCGSGGSSSSSSSSIVSSTTSISSS STSSVVITTSSSSSISSSSSSSSSISSTLSSSSSSTSCTTSTTSSSSSSSASSTSSVV SSSSSSSKSSSSSSSKPASSTSCTTSSTSSTTIKTTTSSPPTTSTTSTKTTASLCTST YTTTPTPTCEYSCGNWCSTPIPTWTDSNSCFSAVSSCNVQLASCFLHAGFPASLNCFE FSSWCTSISQYCEKYCPGGNCNKPSCTSKLPPSGPSAPSPVYSTSVYTCPAASTSSAK PTTTSKAAASTTSCVPIPTNSNICTQPSNPGKGYTSSSPVGNIPLPCLTCNNIQSDYN SGNCFKLYTYANSAQCPSYPRSGSSGPSKGCKDACDSQYNSCMNVYAQGCQGNTRGDT YSSASQKCQNQWNDCYSANSNVNIGNRCGSFNSGWY LY89DRAFT_577631 MKIIRGETAPGYDPHGKFLNGNDGDSFLNENHKIMVESLSPGTG LSELNKGVLEKVAGFLNVIDGDGETFGLYRWIRDVLTLATADALYGSASPLNSDHSLI DALWDFEENMTLLMLNFLPTFLASKAYQGRLAIKSAFTTYYAENNHLGASKLIRSRLE CCQRWGFSPNDIANFEISTLFLATTNSIPTSFWQLSYILSSPSLLSEIRTEVESIVSR KIDPTTGEEEAVMDISHFQTHCPLLLSTFHETLRLVDAATSVRAVTQPTTLTSSTSPT TYHLKSPSVIQLPSGITHTSPQIWGPSASSFSPTRFLPSTKAALPKSTRRAQAAAYFP FGGGKHLCPGRHFAMMEILAFIALIVVGFDVCQEGSETAGLEQVPERAFQKLGTAVRK PVRDVGVRVKRREGWEGVRWRFGEGEEVDFGGLVGDGEEGESEDSE LY89DRAFT_715401 MASNTLPTAKLNTGAEIPMLAYGTGTAHFKSSNLDQIDRKTVES IKTALSLGFYHLDGAEVYNTEAEIGIAIKESSIPREKLFITTKVFTNMTTIPTALETS LIKLGLSYVDLYLIHNPFFTTSALTLQTAWKAMEAVHRSGKARAIGVSNFLRSHLLSI LSIATIVPAINQLEYNPYLQREGIVSWSLERGIRTAAYGPLVPIRKARPGPLDAVLEV LTAKYGVCEEAVLLRWTVQMGVVAVTTSGKRERLEGYLQAGGFELTEGEVDEISRVGR EKHFRGSHWGFDYGDSRE LY89DRAFT_577243 MPTPRRPDFEKFEKFEDRPESRGHRRGVPISMQSPSTDSPRSQS RASERSSYQTNVTSLPALQQKGADEHDQLEPLDEEDLDPGSFDLVAPADTGIKQYSLE TRSEQLFSTEHLQVIFNDPSLLLRFTAFLSAQRTSSIPILIYYLDAIKALKAISYSNA IAEALDPIGGFDFTQTTASKTINADLEEKSKQAFGVLVREDLPAFVTHTYIQTVSLSI QRRITGTLPSSLREASEGLAEVFCLTDPSRPDNPIVFASEEFHRTTQYGMSYVLGRNC RFLQGPKTNPFSVRRIREKIDAGVEHCEVFLNYRRDGSPFMNLLMCAPLCDSRGTIRY FIGAQVDVSGLVKECSDMESLKRLVIMSEEAKADTNTDFGKPAKDEFQELSEMLNLQE LDTVRRWGGRMHKESQELDAETHAGGNWAKSRVLITSGSPDGSKDFSSLSRGSGRLSG IYENYLLIRPYPSLRILFASPSLRVPGILQSPFMAKIGGSDRVRNELTQALADGRGVT AKVKWVSKSDLEGRNRWIHCTPLIGSNGVIGVWMIVLVDDETSTAPGKRFKMAPPVDP KFGRSLPVHLKDDGSLRDFALMNGGREGSVRGGQGSIRSFHDTERSASPYSLRIE LY89DRAFT_578031 MPFPKKWIPIMSFLTVAFIVWLVKPLLPNTTAGSNATEVAPAKA AEITEVEPYQSEAERQKNLRVQIFGPGTPQPVGYQYTKTLVVPKTSEEDTAWITENFG ESDTIKHAIYTVDDLNSPLHPPKNKGHEVMVYLSYIIDHYNNLTDVNIFMHSHRYAWH NNELLDLDAVQMVSRLSAERVQREGYMNMRCAWEPGCPSWMHPGTIEEDVNKQEETML AKSWSELFPLDPIPYTLAQPCCAQFAISRERIRSLPLARYVYYRDWLLRTSLSDYISG RIWEYVWQFVFTGQNIVCPAEHVCLCDGFGVCFGGQEEFNHYVQKNTERVQAEEELQK WIQDKDTWGSYSEQNTPEDERVLKEPPEIGKDLELRGRIDALTAWCEAKKQKAKEHGD VAMNRAKEAGRPWKDGDGF LY89DRAFT_639011 MGKLIRLELFNFKSYKGHHTLLFGDSYFTSIIGPNGSGKSNSMD AISFVLGIKSSHLRSAHLKDLVYRGRVLKTSKINDDGSAAAPATNGHANGDGEASEEE TQSQRPGRNDPKSAWVMAVYEDDAGDEQKWKRTITNQGFSEYRINDRVVTAQQYNEAL ESENILIKARNFLVFQGDVEAIASQSPKDLTRLIEQISGSLDYKAEYERLQEEAEQAA ENQNHNLHRRRAMNSEIKQYQEQKKEAEAFQAKADERDDAIVSHILWKLYHFQRVMDE SSASIQEHQDNLKEYRRGVEKFEKTLETARQEQSKVGKDVARVERGIKKKEKEVEAKE NSLIPIDEKVDLTNLEIEKLRKKIDAVIKERDGRQNDLQHTKKSLATVEKAQKQFEKE RQESLKKQGKQLSDADRKEYNTLRSQVVTKTSANQAKLDNLIRQTRTDEVTVNSLKGK VEAFQSAVEKLEEEVKTINERKEATKMSVKEISKEIDAKKKEFNHIQSERVRINQLHT EKEEKLQALMRTIAEADMGRRQNDKEQRTKETIVALKRLYPGVRGRVGDLCKPKQKKY DEAIITALGRDFDSVIVDSEKTGQVCVQYLKDQRFAPMTFIPLDNIKVTAPDANLKSL SKARLTIDTVDFDSSLERAMAYACGSSIVCDDLATAKHICYEKHIQVKAVTLEGFVIH KAGLMTGGRGPENRGTKRKFEDHDIEQLRSQAEKFREQIEALPKVNRRGSAEETLQAE LTGLEQRLAYVKSELAAFEQNLASKKKELAYEQKQLKDMQPKYEEKLAGLERIRQTVA EFEQAVSKVQDQVFSDFCQRLGYADIRAYEAQQGHLEQEYAEKNNAFEVQKQKLEHLS KWQNGEYQDTDARVKRAEARVESLEQDILGYNSEKEELENAIDIDRAAVTELEDEVHK LKEKLAAKNEKVNSAKNDLQKRSKEIDNRTKAIAALETEAQRSSAGRYALLRRCKLEQ IALPLSEDSRKLDSLPIDENVIQADPDAMDVDEGDEAPQELMKDYGIQVSFEGLDDDL KNSDEDDIEEQLLQRITDLGNELEKLNPNMRAIDRLDGVEARLKTTEKDFEDARKAAK KAKDEFVDVKEKRFDLFNKAFTHISEQISHVYKDLTRSSAFPLGGQAYLDIEDSDAPY LSGIKYHAMPPLKRFRDMEHLSGGEKTMAALALLFAVHSYQPSPFFVLDEVDAALDNA NVEKIKNYIREHAGPGMQFIVISLKTGLFQGSESLVGVFRDQEANSSKTLTLD LY89DRAFT_609579 MADGLVLRGGSILRRVSFGVTSSTAHKRTILEMSEPIPIPGAKS EHAIDNGSLSPEILRNGVSGASSTSSSPPDGSRTPILSASSPGSPRLSRNPSFSGSSS YQEDWEAFPPLDRLTVFDLLDNFALPQQLEKLQRNISAQTEKVRRQRDVLRSRGSYAK DRVVEEWRRRVPPADEQLDRYRKRMRESVDKLSKRWNDTKAVTAREKISFICGVLNVL ISGYLIGGYPQYFHYWYTLQLLYFMPIRYYTYHRRGYHYFLADLCYFVNFLCMCTIWF FPKSKRLFISTYCLAFGNNAIAIAMWRNSMVFHSFDKVTSLFIHIMPCVSLHVLVHLL PDSLQEERFPAIWTIKTSPPGSKEHYSLPAMTLWATIPYAVWQLSYHFMITVRRREKI AAGRPTSFTWLRRSYSKVWIGKFVLSLPEQLQEPAFMLIQYCYAVLTMLPCPLWFWYR YASAGFLLAVFCWSVYNGATYYIDVFGKRFQNELEAMRREVQKWQNSPDMMTSPLMTP KVDGGAELGALIGDPALEGGKTHSRSRSVDKIPLLNDQIGSTGIDGGAKDVARERK LY89DRAFT_578040 MHIPPVATPPRSATPPPVGEKRKSERKTTEENMPRSNRATPNAE AIDPNRLSKALLKDFDEAGRHRDITPGGSPSRKRQRVYGDRFIPNREGQDLQASFSLL HDEGSPATPSKQKKRTPHGELHFQKTEEANQTYSRVLRGEIFDNTIPQPTPPNLSPDV GLPSSHSTTINDPTRSHTPPNNGSNTSLPVSMTPSTPHKNLFTYMSPRHHTNIAGHPT PSRTPQSRHGPNLNARSEIYSLSPVRLGSQSMLLNPRKQPRAVSKVPYKVLDAPDLAD DFYLNLVDWGSSNVLGVGLGSCVYMWNSQSGRVNKLCELPDDTVTSVSWIQRGSHIAI GTGKGLVQIWDAEKVRRLRTMTGHTARVGSLAWNDHILTSGSRDRLIYHRDVRAPDQW LRKLVGHKQEVCGLRWNCEDGQLASGGNDNKLMVWDKLSETPLHKFSDHTAAVKAIAW SPHQRGLLASGGGTADRRIVFHDTLRGTTINEIDTGSQVCNLAWSKNSNEIVSTHGYS QNQIVVWKYPSMTQVVSLTGHTYRVLYLAMSPDGRVVVTGAGDETLRFWNVFGRKPGQ REEGDAGGGGKLGDWGVIR LY89DRAFT_577239 MFDAGVPTNAPVPGDYNGAWRPQVHFSPPKDFMNDPNGCHRDAN GTWHLYYQYNPTATVAGNQHWGHATSQDLFNWENQQIAIYATPDSQIFSGSAVIDVNN TSGFFPNQTNGLVAIYTLNTAYEQTQEIAYSIDGGYTFEPYSGNPVLVSEFHSNQFRD PKVIWYQDHWVMVVSYAQEFVIGIFTSPDLKTWTHGSNFTHHGLLGLQYECPNLVAMP VEGSSDIMYVLAISINPGAPLGGSITQYFPGTFNGTHFTPVDGAARIADFGKDNYAGQ FFYGIPDDEEQVFIAWASNWEYSQQVPTGDSEGWRSSMSVPRRTRLANVTRTGWDLIN APYDISPIYDSVLASNSSLGNGTVMVDYSSLQSRAIYFQCNLTNIPNDTYSMGTINFT FSSSYTQESVSGGFFLGGDNPFWISRQKVLGFGETNPFFTDKFSVGNPINANGTFMLE GVMDRSILEVFLDGGRNSATMTFFPEGELDTMVVRTAGLNEGVEVSVDVWGLKSTWAA QESEDGIVYGNVTMGSNSTQAMRRRFL LY89DRAFT_778752 MKAASFFNLTLGVNSEASEEYFFGASEKHSLQTNWKTFDEQPLS SASFMDLLANTIPLVRAKKFLTSEECKKMLETIRTHQIGEYNTTNVWPRVGQVGITQF DHGPFLVKADMSQQELTNLVDMRSYFEGVEEANSLLRRWKDEAGIDIIGRILTTLQQT TGMPTRIAREGDKEYFAGLMRTVDTGIQVHADYAPYNILLNPVRSSDTLIFDRQWLAP EDDVAWRKAFPRYAHEPGVIEGRAFKAMKPVPGDLTFFNPRGDQMCCVSGRCD LY89DRAFT_778753 MPPHPLDDDVQLNFTYPLYKHETNAWLSSTEAFVRGVFACGKGA DKKVQARVKAIFGYTPEDGYLVICDRTLFCPTGEQLCDLGLIHSHYSTPEEGPNTVFT VQDVRKKLTDEVYDHFGLYEPGVVYHLGTFEPSHVPFRVHQAVMQQIDPERRLLHSRT HAAGHLIAVAVQRLHLRGALSGDLTFNGVDRSPNLVVELGGMLDRKHRQAKRDIQREI NEIISKNVRLETRRWNWQEALERGVRLPPKSETTIELMRVITLEGNEGLYLCGAPFVA NTGVVGRVQIKKLTVHKKEEVTRIEYSISQNAP LY89DRAFT_778754 MEAPAQALTSFMNETKESSSQQYNDAHLIQTSKSDVQTSSPRSK RLRLNRTTIAFFIMGILIALPTMVVTMAANQMFAGITGVYGISLSLTAAITSFSTPLF ARFLPYNICTFICVIFSLLSYTICTLPFPSPSSSITTSKAGPVLGTMFAGFVYAFGTN TYLAVAAFFPQEAVLALSVGSGFAAVLGPATYMGFMVAFGQDWRRSFLVFLPTVLGIL VAWWVLMNRKCREAAKRSRLGSRGGKTIESMTSEAERDTGSEGDTESATAAGKVERKE GVCIQERESDTSEMLKTGFSPHRTRLGLLFQIILPKYVAPLIICTTSAVETLARFREA PKGDLQFQISSPTETHNLSSAPSSLFYHIPFIWTWTILQLILLTIGIIQLYHPFLTYY EVWVMVMFLVGGCVGGGFISTNYKVAEDFRKAGEPDEVRSFAMSYAGLGNFGGDALGG GLAVLLQQLVVKSIGSR LY89DRAFT_778755 MEKPDLNSPIARDSTTESGSRVHKRIVRTLIPLAFALAYVTIFH LAPPQITWIHKPAPNYAARCVQPAALFPEDNPDLSKAFDYISSLAFKNATIERLSNAV KIKTETFDDLGEIGVDKRWDVFYGFHDYLEKTFPLVHETLKVEKVNTFGLLYTWQGSN ADLKPTTLMAHQDTVPVPSDTIASWEYPPWSGAYDGKYIWGRGSSDCKNQLVATLETV ELLLAAKFEPKRTILLSYGFDEETHGFHGAGNISAVLHERYGDDGLAVVVDEGAGFEE AWGTLFAKPGAAEKGSTNVVITVRSPGGHSSIPHDHTSIGILSELVYLIESTQYRTKL YDENPYFTQLQCGAAYSPKFDPKLKKLLDERLQSRGTGAAHHDPLALEAAKQGASIKY LLQTSQAVDIISGGVKVNALPERAQAIINHRINVGETAQVIFDHLTKVAAHVSSKHNL TLHAFDNIEEASSISLAHNGILDPAPVTPSDGSVTSPFSILAATVRAVYGEEVIVTPG IMTGNTDTRFFWDLSKHLFRFAPGYDRDDEAGLGGIHTINEKASVENHVNAVKWFTLF VRNFDEVEDL LY89DRAFT_770371 MSDPVSVPKKRRRISRACDFCNRRSIRCRPSQEDSDRCQNCVDF GVDCTYKRPMKRRGKPQQSTHSQLDESTGEHQELLPILPPTNLQKNHNAIYGASYESP RPRLEVKFSFELSEDHQEMVRNNLAKIQDLVTVYFEVVYPITRFPFFHRPTLLRKIST REYLSDKAQFAMTMAICALASARARDGALYPSKWNPDYLREPASELFSDAAKAVLPLD WSVMPCLDWMRTCAVLALVGIQLGNIKMMHQYLGIYHTLVAMDGLHDEKNWPKNIDMV EVEERRRLFWSIYTLEVYSSIVWGGMIRCREAQSRVQYPSELDDEMFSSTGIENHPSS PTTRQLNSFPMPVIADTSSWLRGWNFTTELYRILEHAMDEFHRRRPQSIGPLSPSDLF QQSAPPQSVVLDKIILMHDNLPARFKETRSVVGEMTADRYSFQAANISATLQLARMVL FTAEDATLEQKCVIARELLDDFANIPIFFLKAISSPLLHHLAGIGAILGSVIEGQLSL SEYFQVRTVLLRMADLLASLEVSLTQKAGASERLRTLLARIDQYMSTQHLQELPLAMP DVPQQQGVEWDGGAQRNFDGGSVPGMDASFQLPQELLVDWPWPLDLTQGFGNFRGI LY89DRAFT_609597 MPSATTNGDSNRHSNGHSNVNNGSAMQGLDLTVLGLNSGTSMDG IDCALCRFRQETPTSPMHFELLKYDEIPLEQMIKKRVMKMILHNTTTPEELSEVNVLL GETFASAVKQFTKENNLQLSDIDALGSHGQTIWLLSMPEEGQVKSALTMAEGSILAAR TGITSVTDFRISDQAAGRQGAPLIAFFDALLLHHPTKLRACQNIGGIANVCFIPPDSE GGVDECFDFDTGPGNVFIDAVVRHYTNGEQEYDKDGAMGKRGRVDQELVDDFLTHKYF QLEPPKTTGREVFRDTLAFEFIEKAEKKGLSPDDVVASITRVTAQAIVEHYRRYAPSQ NIDEIFMCGGGAYNPNITAFIQKSYPNTKIMMLGEAGIPGAAKEAITFAWQGMEAIVG RSIPVPTRVETRAEFVLGKVSPGKNYREVMRKGMMFGGSADHLAPVKELVNYVDGKIF DNIW LY89DRAFT_694760 MALGTFWKKLSRTKLAIFIQTFSLIAIFFEGYDQGVMGGVNASP NYVTEVGIGLPDGTVTNTFHQGGIVSIYYLGAIVGAFGGGWVADRVGRINGVFFAAIF ALIGGALQSATQSSDFILVARVVTGLGTGALTAIVPVYIAEISSAGHRGGFLGYVFIA NYLGISIAYWLDFGLSFVDNGMSAVRWRFLLAFQCFPALLLLAGIKFLPDSPRYLASV GKFEEAKEVLEHVRGNTGPEVDKEFLEICAVAEGTKPSSPTQFIKVLLGRATNGAHLG RRAWLCLWLQIMASWTGITAVTAYSPVLLKQAGYGTIKQAGLAGGINTIGIIGTIISA QIVDKLGRRRCLMGGALGLFIVNLIAASVYEGSLHDPSKASSFAPAAVAMLFLFNLIY AATWGTVAFLIPTEIFPSEMRAQGNGFGITGWAIGVGWTTLVNPVMFANLASRTYFLF AGLNLLWIPIVFFFYPETANRSLESIEAMFAMRSPLYSKMEQAYRAQGDVLATHHMSL SSPQAQVGKKMGGEHDQDSFEHSEKASENV LY89DRAFT_778759 MSTYAFSIQSSQTLVNEKAPPPSYEVAASQDGSQDLKAFPQAPA QPTPFRFPQNFGFYHASGSFSDLVIASQKDDPNPLFYISTHGGFSSQPSVVLHSSRFS TSPPLATADFHSFSSTIDMSLYLPGSPNQISSMESSGAFTYTRRFSARMPSGGKEAFE WKNSQGVEVQSLHGRGHGKKCIRVATGEVVAAFTHPDMSRNKKGKMAFLGDRAGLGEG FEVLCVMAMLAIMEKERRAKRSRNGAVAGGAGASAGGGGC LY89DRAFT_681750 MVYPETFSGFQIEDPKKWTEFHLNEFKPKPFGDHDVDIKILACG VCASDLHTISGGWGEQHFPLCVGHGISSLVLRRFLVLERPWRGRLGGHGLVGVQ LY89DRAFT_778760 MSPVADTPRRPASSRARSTRPKPASAPDKSGAANPSSEPPPKKR RYIPGGPGGGGRYVDEDGTEIPVGGTGPGGYNYIGPRGRIGRQNAAMGIVPQIYHRRD RSTRTRTVLPRSQQPPMRFSSAAQVAAVVQSDGYKPREERAWEEFHPNLDIDAALRAF SAAEVEGIEESRPVTPGAPQSGEGSTNGLRTPNGIEGTKTKSEGAPTPTGSLNGDLLT LAIPGTPGGGKRRPGRPPKDPVAFFAARAAKLGKGLSPSSSTPKAIKIMPPVTNINAK ERLTLPQPSYRKTDTLARFEDKALGLVRYVDKSMANVGYQESDIFVRPERTLIKVSDG NLDEDLDLGPGYKGDGEAIVTLGSGGVGRVEYDMDEQDDRWLETYNAERKALDFEPIT REIFEITITKIEKEWHALEKRIPKPNPKPPQTHRPRSSSAAAVNGEPQVGEEQDSKCA ICDDGDCENTNAIVFCDGCDLAVHQECYGVPFIPEGQWLCRKCQLIGRGIPTCIFCPN TDGAFKQTNASKWAHLLCAMWIPEVSLGNHTFMEPVMEVEKVPKNRWRLTCYLCNQKM GACIQCGNKACYQAFHVTCARRARLFLKMKNQHGTLAVLDGSTVLKAFCDKHCPSDYA KENDVARAARDARNFYKRTMRGRLWADSQASALAMAATHRHAVTEHQPDESQLTGAKV ALTLSDNKKKGNQPQKSIWKLPSGAPVIPKYCFDNVEKSLQRFTIHKRKDYAADACRY WTLKREARRGAALLKRLQLQMETFSSMEITRRNFAGMGHAGRPRLQRRIEFAQNLVQD LEKLKVLADDIVLREAEKMNGVRLEVDVVDTVYFPVAKFLPPVLDKSLVLDIKLVFTD ALLKLQGRVDGRFYTTATSFSHDFSEVFRHGIINEPVPKPETAASKELERSSNIKKTP LDMKERRRLAKRIVKAVQPLLEAAVRAEAEISSKPVDREIKLLEQLLDSCLQAGNDSL SVSLGDGSVGEREVEHDAELAESNGNGTIHVNGDSTETIDEHDKGDSADVDMQDDNAP GEDENTIVAATPADDTIDTGPVTRANKSSPSKASVTKSTNTPPDTNGYVQAPEVVQPA PPTPPVSNGDTNTDADILTNGGIPWYVKDFEPEGTSLIPGKDAMSSFSEELSEMDEDD LRGLGADVAAGEAAGAVIASPTKPKKSKAKKKGKGRR LY89DRAFT_681755 MAGTPPKTPTRTSSLASTGKAISRPASTNSNFTLYKGFSERPLG WKHRRSSKLPTLGSTASDMSVRRWDGAARSSTEWDGLRRDPELWFPNGNCLVHLYERG QSRRGPAFKLPMDALIATNCYPLIKRFLVEDPAESPVSQSSSEDGYFYDKKKTYELYI PAPLNAERGEAFLYHTATRNLLAWVFGKSLVGNHLGGALVGLLNSMVEFRSLGEDNIE AIMDYMDEEGYADMRNSPDHALAILFFAEHFHFKDLWIDAFAHCSGMNERLPASAGFD FMSRTSRALVTRSRFEMNMRLDSCGRRLSAFLSDDLSDAHLGLSSGARSHLDKFRSFL QSYYVAKLGYYPPASAEAGSAAFPKPIYSQMCTEFQKLYDFLVDPSCTAEDTSPPISQ QGGICVWQNVQAFDLRHKYLPLMHPLPLLPEVEEAASPRSALNRRFSFAPKADKMKPD PRLVTFSSLSKATNRSNQSLLECSLVRAYRGFEKDCIFSPSKADKHDKLSFTDARKVR WILVYAILQTLLSATRVPEQVRDTQNVPYSLSVIVAGCPPWKGERPYETLIRTQTDQT KEDFKFAQEKPIAQTAPVTPLEIKPDIDYFAQHHKADHVRKGSDGSISTGGTNSSKKS SVRRALSTLGNMPELRHPRPQRASYHEILVHGYGNGTNEVSITAEPISADDNSSRRKN SSDSGTSSVEDLSSRWSNTDAADSPTTSLSSSRRGSDASVKDFLESYNRPMTAPAHLR MGSISSTAYSASVYEDSTPDALSMEKLQDEVMRVTKEIKVEWEEKSGGPANDELLAYL KA LY89DRAFT_705053 MSSFTPYTYFQCPCTEASLPNHRNPSQEEPSSSDDDRTFDPRAP RANYSLYPLEHLFYCEDCHQIRCPRCVLDEIVTWYCPNCLFEVPSSSVKAEGNRCTRS CFQCPVCIAPLSVSSLEAPPTGLGYEYAPQNGPFVLNCGYCSWSSKETGIEFEKPNGI FAQLARVKNGGEPILTAKERKKDRERKRDFSGTSAEKEREETPEVEIPREPLDPNEKL DPESQFSNLKAFYQGQLAEASPASALGFTGDYGYGSPGALSRIMGLYTGSTAFDKKVK AKAGTMREAQDALEGLQLTTISEEEEALTKLRTEGWHCTSSASQRAEFLNTNAQFITE LRPVAYLLRTKRSKRCRTCRHILSKPESKVSTIRFRIRLVALNYIPSISIKPLQPTSS ASSLLQPMRPVQFLLTFKNPLFDSVKVTLATPTKTPGRFASKVTVLCPQFEVGANTDA WDEALREGTGEKRRTKAEASEGQHQAEAGKVWERGRNWVSVVVEVVPASLHIGGPEFL KNEEMKDDEGPLKEDEDVCEIPVFVRVEWEADAAHDESGLGGGGSKEKDVREKRELAY WCVLGVGRIAKV LY89DRAFT_609613 MSALNKIAANSPSRAKPSELENNIATALYDLETNTADLKAALRP LQFVSAREIEVGHGRKAIVIFVPVPSLQGFHRVQQRLTRELEKKFSDRHVLILASRRI LPRPKRSNRSRTSLTQKRPRSRTLTAVHDAILTDLVYPVEIVGKRLRTKEDGSKMLKV VLDEKEKGGVDHRLDTYSEVYKKLTGRGVGFEFPQSGTAEY LY89DRAFT_427899 MSRVFRMEDWAPQEAQNSFSSASDTPNDSFDQGANSRPSFRKRL GSLPLDHSLPTFRKRLQSLPLDSRSTELSEGIQIMSLAGREPAETQVGEENKKVGGIR GLLRKASVSIKNRQRRHSHAVEERPQTAWRRLKTATSFHRGSKFLPPSFDYQEGQVPF DSHQELLFSPIPGNGNAPPIIPRGYGGAAARATAAAQNEYIERNRMFLFPEDQIGENE SGIGIAVTMADQVEEFVVETPPGSDISRVDFVGDLPVELAIQILAHLDHGTLRGAARV SKKWAQVTQSQHIWREVFLREQTKTFATGKPLQLGAGLGLPAFEADNDWKDLYRVREQ LRRNWKTGVAEATMLIGHLDSIYCVQFDERKIITGSRDKTIRVWDMHTSVCTLVIGPP DVVNNPIVLFDEDGRTQHYAILPHREDERVAESTPATVSFSMYHEQSILCLQYDENIL VTGSSDSTCIVYDIKNGYTPIRRLVHHTGAVLDLAFDDRYIVTCSKDASICVWERETG VMLKQLRGHTGPVNAVQLRGNTIVSCSGDFKVKLWNIDTGKNIKELTGHTKGLACSQF SDDSRFIASAGNDKIINIWDANTGENLKSITAHEGLVRSLHIDSISGRLISGSYDQDI KVFDMASGKTILDFPKWHGSWILGAKSDYRRIISTGQIPKVLIMDFGKRIKGIENLES H LY89DRAFT_729946 MPPPSFKLPAYYTAFFLFIEPVSALVGAFYAHFRPLEYLRLTHA SSAPAYAATIPLSTSTVLDQLSNLYLLFAINEALVLRSTSDLRVWRTVLLGLLIADFG HLYSVRHLGTDIYWNALKWNKMDWGNVGFVYAGATMRMLFLAGFGMNSASGKKAAVKK TA LY89DRAFT_639056 MSRRVLQSGVLRFRNQTKYCKITSIKSFRHTLSPKTHIKHFAST TTLNSQHHNHQPPTMLPLLTLEEHYVSTSLASAPDGISSHMPLPPHLSAKLLSLSTER ITDMDTSCVALQVLSHAPLDTTSVLCTQTNNELHASISQNPSRFAAFALLPMGEPEAA AKELERCVKELGFVGALVNNHMQGRYYDDAFFWPVFAKAEALDVPIYIHPAFAAEEMM PHFQGNYDSGVAGALSAYGWGWHVDTALTFLRLYCAGLFDRFPRLKIVIGHMGELLPF QYERLLGVSERMFGRKERGLDVVWDENLWVTTSGMFSLSALECLLKSKRKSREKVLFS IDYPFSGNEKGRRFVEEIEESGLLGGEELRAFAYGNAEKLLKLKLKV LY89DRAFT_715422 MTRLLNLILALSLTSRVVSAYNTQTCCDLAKNDSQFIGLVPVNQ TCGQQYSSSLAPAEPLYVSYAFCSSRCSGIELSRASNPNQWAASIVQFILPSVIFSMT IPRRKKIEFDYLFEFEWPRKLTRCKGVNDAVQLLVSLVCFAVILVPVTIDSIMWIVSI MVGAGNMIVGGLYEAHLDYRIVRFVRDMGETVGEEEVRVKRELLVTITSGNLMLEKGK PLEAITRSIVIPGKDEPKEGKEKARSRLLNLLGAQTSFGNAVGSPVLFYLGAFVYTIL DLRTDPSSEDSAISLGFGIEWMVIVHVAIVSGCLLASNNPSTSAGIVGSGHEALDCRP PILKRAGTLKSHRSGEDPKTASHRERKRFYHLIFGWSNAYETEFQPVSLWGRGSNKMQ WVEETQAYKSPDGKFKDLMTITPLGWVFKILLPALTFIVLPPASGAVVAYVTPPIGMG CRSLSCTVYACCQVIVTILATIRCAVDDGSYGRVLKHLFTGWRFVALSSLFWFGSFMA AIGGMTMQTIGVYRNCVCYAVSSSWWNIKHINPMINLATDTQAARDSSVYWIWMGSVA TMFMAWTTYVGWWYQKLIRRRFTDAVKEMFEPEPIEGVELRRMDGGAGDTVEIEFVGE GERDKGRDSMTVPLLRMDSARSWATSTNEAIDPARLSPRLDADGWGSVRGVQRLRADS QVPLLRVSLDKS LY89DRAFT_705058 MASSQGAPPSPTQSRSKKGLFVTEQPKLDLESYIANYTGRTRLE RMILIGNCSAVLGIDAFKLAVREAKLGKDITKYLEAQTPLETIAPKEKEAARDLSWMD KTKSTNAAETARLESEVKGYKNNLIKESTRMGNEDLGKHFQAIGDLPKAFEAFSRMRQ DAQTPKHIIDISRHLIEVAVEQRNWIAVTSNVQKIRGVMDSKDSDKAIPPFLCVAEGL SSLDSGEYLAAALSFLQTDSGMSSSANSIISPNDVAVYGGICALATMERAELTKNVLE NSNFRTYLELEPHIRRAIGFFVNSRYAQCLSILESYRSDYLLDIHLQRHVDDLYQLIR SKSIVQYFIPFSCVTLDSLNEQFAAPGKTIEKELVQMIMRKELDARIDTVNRVLTSVP SAPRAALQSSVLKTAKDYEREAMRRIQHMNILAADVDIKSRNKLPGGDDFFDGGRELR SGRNTGY LY89DRAFT_665606 MTSAMFGPNAMSMAMGVSSTSPGQSSPESFEIEEDSNAAQTSPT SSSQPSRAPAPLQKRRRVTRACDECRRKKIKCDGKQPCTHCTVYSYGMQNSDAHCDIL FSLQLKLGRRQLIPVRLNPAPQYIEALENRLQRAETLLRTVLPNVDLNDPNIDALIQQ RRQAGVGKDVLQNNAAGKSGDASDSSEQDAQLRSMIESTGQLDLDDKGNWDFHGTSSG TVFVRRMREQFGGLLGNDHNAPLFPRIPRPNVTPMFDSPRSSTESPMDSGLPNTMDLP SRENAKALCEDSLNSASSLLRFVHQPTFYEMFDRIYDIPPESFGDSENRFLPLLYMVL ALGCMFHLEPGENPNNPTQNTYKAGIEQGLKYFRAARNMMDITDCRDITSLQAILFMI LFLQSSANLSTCYSYIGVALRSALRQGLHRSLLKNFNPVELETRRRVFWVIRKMDTYV SVLLGFPQMLSNDDIDQELPLEVDDEYITKDGILEMPNGPSLLFQASNAHTRLMFTLA KVIKYIYPTKGLEESLQGNSKSSYGINHAKIREIERDLAAWLDKLPMALRPGGDGPPN ILRVQQLLRLSYAHVQMMLYRPFLHYVSEKQCASKKVDERAYACAAACVSVSRNIVHI TTEMKRRGLLVGAYWFTMYTTFFAILSLVYFVLENPDKPGSKEILADANDGKDALNGL ARRSQAADRCSSALKGLFERLPGRLKDSRPGSAVPKKKRSAPSPAMAPQAGNGSPDVS QIGPGGLPRATTFPVPSPLQTSNLQRSSLDENRFPINTLSNPNLRQSYMSPTDLSAVG TPDSSSTNNSIPQRFPIQQSQFGINTAVPDLSAMMFPSADPFAYPNQAMAAFDNMKQE NIGLMNNSQIPPMYLSNGNPTPTSGRYEDLEGQLFGPLPPYLSQGQQNYDLNSHLGAA SGMMGGLSQDMNYHTGITPSHEMAGNFDGFFSGDGDNWSNSLADQRFG LY89DRAFT_681763 MSSKPIFVATHPRACSTAFERVFMTRQDILACVHEPFGDAFYYG PERLSSRYEHDEEAREASGFADSTFKTIFERIEREGKEGKRLFIKDITHYLVPPEGKE ATIAPSLGGKTKKKGVGTNGQTNGHVNGFSNGVNGHTNGHHKAPYPYDTDAEAGNPTV VPAEILKQFHFTFLIRHPRHSIPSYWRCTIPPLDKVTGFYDFMPSEAGYDELRRVFDF LMKEKQVGPALAGEEGKLQDGEVSITVIDADDLLDDPEGIISAYCKEVGIQYNPDMLI WDTEEDHQRARDAFEKWRGFHDDAINSTSLKPRSAQHKKKAKTIEIEDQEWREKYGDE AARIIRKSVNANIPDYEYLKSFAIKV LY89DRAFT_715426 MSARTTSDVARKATRAIGKSKPSPTALGPRRKRDYGRQIFVFNH LQKNMIVYSLTKALRNNAALNQIPFNGKKTVPAALRKDLWHPFAQITFPEGKGFVGLS AFQKLREYRKRHELEWGDEIFLDKEGKHVNKEIRGRQICDQKANSVADMAAVLGRLAL KDKPTPEIVNGRKIWPPRIGLKREGQGVNVQVLWRDLTDAEFAETWAENVEHGVLPMH TNHRDPNRVWGYGRMTGRTKEVEEQEIIPEVVSEQVVTEKEPEKVVL LY89DRAFT_577551 MNFTDRATKALSDAMATAESYAHPQLLPLHLAVSLLDPPADESK DQQNPANAPQSLFRQVVERAHGDAQALQRALNRALVRLPSQTPAPEQISMAPSFTKVL RQAHELQKTQKDSFIAVDHLIIALSEDPSVQTALKEGNVPNVKVLQDAVQSIRGTRRV DSKTADAEEEFENLKKFTIDMTALAREGKIDPVIGREEEIRRVVRILSRRTKNNPVLI GEPGVGKTTVVEGLAQRIVNNDVPDNLAACKLLSLDVGSLVAGSKYRGEFEERMKGLL KEIEQTKEFIVLFVDEMHLLMGAGSSGEGGMDAANLLKPMLARGQLHCIGATTLAEYR KYIEKDAAFERRFQQVLVKEPTVPETISILRGLKDKYEVYHGITISDGAIVTAATLAA RYLTQRRLPDSAVDLIDEAAAAVKTERESLPEALDNLNRRLQQLKIEIHALSREKDDA SKARLAQAKQDASNVEEELRPLREKYESERARGKLIQDAKVKLDQLRVKKDEAMRMGD DQKAADLQYYAIPEQEQFIKKLEKDKAAADAALSTNNPDSGGSLITDIVGPDQINEIV ARATGIPVTRLKTTEKDKLLHMEKVLGKIVVGQKEAVKAVSDKIRLQRSGLSNPNQPP SFLFCGPSGTGKTLLTKALAEFLFDDPKAMIRLDMSEYQERHSLARMIGAPPGYVGHD AGGQLTEALRRKPFSILLFDEVEKAAKEVLTILLQLMDDGRITDGQGRVIDARNCIVI MTSNIGAEYLQRATTASGKIDETTKAMVKKALEDYFLPEFLNRISETIIFNRLSKTEI RKIVEVRLAEIQKRLEANGKNVRIDCSPAVRDWLGSAGYNPQYGARPLARLIETEVLN KLAVLILRNSIKDGETARVVMDNGRIKVLGNHEDSASESDEDMELDAEDVADAMGEGD DMDLALYD LY89DRAFT_577634 MPKKKAASSTSSAVASATAAASTAAASAAADGSVLTASDYNTFQ ISSGTAGTAETEANALFANIDMNNLAGVSAADLKVIKGIHDVAEDAETDAFNPAIDAA SGDAATALQNGKIKNKVLKLTAEVLGIQVDAAQGGDDSDLAAEQAKLANNIKLDTAAA GQASTAVSFTGTS LY89DRAFT_428102 MGFKEYLATGFGNFVAEIEVDGKHIELDIWDTPAQEDYDRARPL AYPDTHVVLICFSIDSPELVDNVEYRWISEISHFCPGIPILLIGTKKDLRYDQNTIEE LRKTNQTPVTWEQGEELRRKIGAYKYLECSAKTGEGVREVFEHACRATLSASKKKKIR WSLFGKSQ LY89DRAFT_428184 MVDPISITTGVLSLLGTCYKIVSATKAFHDGMVIVDVKVTGLLS DVESFAQALQGLYNTLEEERVKATFQSTGHIGNLWMNLSKTITDGQNTLVQLQSTLDK INKTVGILDNARKQLRLNSSMAELAMFQQQIRSYRDTIQLTLQTFILYVSLPSVI LY89DRAFT_778775 MLFKMSAFSRGCLRYQYAFKSTRIQSFLCKTLNTPPPPPRFFTT SPLLRAARKTRAAPQPSKPSLASTKTSPPTNLPVYASYANTLANKSHPTLLYEAPSHT LLMICAWTGAATCFGYSLYNCWTVVLNPNPGLAQWIPLAFGGVVILMSALGTWLVFGT TGIVRSVTALPKKANQLSGVANRNLPKTSMVPAATQPELQIEIVLKKMLPIPFFPARV LYVTPSQIELPSRLAPPPPANFSPAELRQMRVADEARLKQEQEYERSHIMSSPFRHMS RALFALFKAIGRSWTGEGFMKIVVKGQRYKLDINGGWALDGGKALDRLATTKRFL LY89DRAFT_715431 MASFANACRLSARLTARQLRQDAAARGFRSSAKVMAAQNFTMPA LSPTMTEGNIAKWNVKEGDSFSAGDVLLEIETDKASMDVEAQDDGIMAKITQGDGTKG IQVGSRIAVIAESGDDLSTLEIPAEEKEAAPSPKKEASTPKSESSSESQTEATPTPSS SASSEASAKPAGKPKKQTYPLLPSVGHLMKEHGIEESAIEKMSPSGPNGRLLKGDVLA YLGSISSSYPAELSSKIEKLTHLDLSNIKVAASAPAPAPKPKPAESIPEPVIPQESEI AVPISMQAVLDVQKRIQSTLGVFMPLSTFIARATDVANDNLPRSKTQAATADELFNQV LGLDTVTTSAGVRGTFLPQITALPSADPSAPVKPAKQLDIIDILSRKTRSTSSGLASK PLPGLSNSVNVFSVKVPKGDEKRAQVFLDRVKSVLEAEPGRLVL LY89DRAFT_428223 MTRPKVDPDKRQRIAQACDSCKRRKQKCNGLKPCEKCSKRTLEC TYGAAETGPAEDGPSPKRRMLEPVPDGLSMGTNNIHVHSPAITQAHWSPGIMKHDLNG VQYSGQTPNAVGDTPGAGPFPDKDDKQKKSPNDADGIPGIGSGASTSDGHEEEAERFS HTRMLLDPKGRLLYIGDSASLSFLQLIRLVVDSVVGSSPFTNDPRRYKIVENPLTLPE NLRRTHLLPDRQTANILVASYFTNVNGLIEVFQRRSFLMTLEACYTDPLNADASWLCM LYLVFAIGLVMATPIPGTPEDAIIQKLRNERFDRAEIFYSDAKQLADPSSGFEDAGFW SIQALTLMSVYTLAISKRNAAYAYYGMAVRSAFALGLHREESMSLFSTSEQSTRRNLW RSLFVLDRFLAASLGRPTAIRESDCSDDILQLGEKAPFPQAPFPTEANASFSSSGALG LKASVSSCQMIGMILEKVYSKRKISTKLAQEIATLCKGWPKSLDPSLQHRQASPVDPA QGIAILHVNLLNCHSVILLTRPFFLFLMNKFHNEQNDPMRKTDRNTSRMERFAEACVT ASTHSISLVQTALEGRYLPHRNPFVLYFLFAAALVVLANDFSALVNNPKANSSIMSAI NIMNYLAQQDPQGSRLHFILTAFRDVVIRQQVARSQHMSANQTPQSFMNAAVPVTDDN DPMGSLFSNNGPFSPTATAGLPKVESGISRPSSDPKITSPLAPHASLRRTSSHPNAGL SGTNGDYLGSRNNSIDHHLDLSRVNSYPNSVEGNDSLGDAEIDFEALWSGWNSTGFTP SGMPSASMLGGQSGLSGIIDVPGLNDSNVPLFGMNTSEFGGN LY89DRAFT_428227 MTVDGGYTNGFRSPYSVLEGSRKATATLQELVADQLLPEFAEHL KDVTLTTATDGTQIYFPCPFKETEATVALKSVEASVVAALANLRYPQGSRRRKIEVDL EQTATFLFSTYIATIGGLGKQDPNVKSKLKDTDLLKAQAILYRRLSANLYETKNAGEY FHIHGSLEAGKTLNMIGLEAHRPDLTDYRKCIDLIESHVKQFTAAELEEMNARERQAG VTALKWEDFKKSNHGKTLLSIPPWQVTKLEDSSPPAPFTPHSHSASPNSQPQVLSGIR VLELCRIIAGPAMGRTLAEYGAQVIKVTSPNLSDVPFFQVDGNTGKHTCDLNLKSPAG RKAFEELLQTADVVLDGYRPGSLEKLGYSPQKIFDLVKGRGKGIVYVAEDCFGYEGEW AGRPGWQQIADCVTGVAWAQGEFMGLNEPVVPPFPMSDYGTGCMGAIAALMGLYRRAK EGGSWVGRTSLCQYDVFLLGLGLYDSTIQEKVRKEHDVEFFGLRHADSVDEVGGRALK SMKRTHPELFDMKNMQKAFSKGFNEEICWCRSPVLIEGLRVGFDRASRPNGFDGPTWD DWEVEEDVIEG LY89DRAFT_428217 MFLLHSFLPLPNPKPHPPSTSHRLQTSGLRDATRNPISSIVWEV SHLISSVIYVCRCSRHILTSQSPIRHLTHLKFHNNHRLQTSGLRNATKIHNLSSYLKG LMQGVCAATRGVRSSSGCCYLRCFPHIHPKAASVTSLPSNPLPFCLTITDSFSLYPAF TSSHLIQKSKPQSHLTLIPRKPTRTPKKAPRYQTSHLRPATNPPPRPSLPPALSLPLP IKNSTYRTYCHHPTHGS LY89DRAFT_428380 MASIRSLPSLVRKQFKLAQESGDLTFYATQVAILHCAGLPFQVR FSPALANKPKSNKSADSKHVDPFEKPPQGLFITDVAPAHYLVLNKFPVIPGHFILATK SFKKQTDLLEADDLDAAYQCLKAYKEEGEELFGFFNSGEHSGASQPHRHIQFLPVDSM RNGIEQGITWDVLVDGLSSSPPPELPFSYFAAPIPANPSSNQLREIYLELHGKACRLS NVEITASGESSISYNLGLTDRTIVLCPRTSEGMDIQLGDGQSLGPIALNGTVLGGTLL VKTEDEWDALRKDESRLLDTLNAIGISNTTNHQNYEGRL LY89DRAFT_665617 MFINAEPRFVSNGFETFVPSQRTESLHQRLVEMTNNDVNAWSVY RPAAPPAPDTWDQHLEDFGSNENFSVQPLYGAYFQNVTSGPQNQVTDMSKTASYTALP QGQNQRIRRNIAYQDSTSNMNCSQQQSPMLGHDYAQLSLGRGRGSFAEFSNARHVFKD FKYHPSTEATYNGLPSTISDISTTSYSEDSSGFSRSMDINRTSPDTVYNSYSLRTIPA ISQMSASASMNNTQQTDARAEWWPGSTRSTKDPNVTAYDLAMTFDSLGGPYHQIGRPS QLDSAPPYHQIGQRPPQLFSAWSMTASSAHKWISSTDPTTISPKALTLNTSCGSLASS GSSQASMLALSEASTTASVVDGMGDLSSPENLVVVEPQPQLRRPRQILPDSGPSSERI VPVLRSQDIPSGRNASRKTRKRSVKAVETESHARRSSSPSHLSPTADSHVEKSKDDHS RRKKFETKLTDPPTPSDSVLEQPTATLQAAHHREAKDDFLIKSKLAGMSYKEIRKQGK FTEAESTLRGRYRTLTKHKTARVRKPEWNDNDLRLLKRAVRKLSYGDPSKSKVPWKQV ADYISNNGGSYHFGNATCRKQWDELQESG LY89DRAFT_428384 MTIGLQKIRYAGSGRRSCWDHSECPLPFAQQQVTAYPASTLHHV ESHPTSHQPGNAPASCTCISNQRFSIRLQIPKSEMFWAASGPHSLRPGKAAGPVPRCS RTRVDRYHDLVEGTDYLMLKAFSLESKAESMATREMSRSFYSSDNQKMGKERA LY89DRAFT_715435 MFFKSADLSQRQSLIMNPPNPDYSSMAFQGWRENGVLHLPDPSP SKVSPEPEIKYRLRRPRSRPSSSQSDQTKQSNKQLSRRRLETQSAPRLVLPRDYSMAL MANRLPSLLEEWKRRSRSNQEQSQLLIEYTVNDALSTAINTTLSKELEKLSVHFVEFT LFMNLPPELRLKIWFYALPRERLVEVILDKLEPLELFSSSAVRSPTPVPAILQVCSES RVEALKHYSLMFSSRWGVRPARVYFDPSIDVLFMNCHRFPKLGFLDLLTLFDRTHRSI TDMEKVAALCFCNGIAKRLGYLNRHGSLRTHFQIGLPLLERLIITEHGTQCLKQARLL PPSLEASPTFLEPRELDAFESIFGINAEFGIVKEEWSMDECESCAEVKDTARN LY89DRAFT_577497 MNHIPWVAVCAITIATLCLIASAAIVVSSDGSVSEWGLQPHVVL GVLSSVATASLVVSLSTGVAITWWHAAHAGTEAHSLHSIWSYSPMGGGAYSMIRHGFK NMNKVAVASTLTALASIAYSPLLQRSSGVTSAGVSTEVPMNLHIVQNLTTNYTGYVDT DFYGNVGVPNDFSGLVQKWFGGNNTQAINQPGFVCDGTCIGSVPSAGMSYTCSNITTS IPLKQQTLNSSFYGFNTTYVRSLDENQNPIMLLTVNYIDGVDENCNANLITKTCNISA GTVDFPIKMANASILTNEKSLPIQNFVPHPYEGDSPDTLNGDSTGPLGGLVWFGINFF WAQDEIVYNNGTGFIDYTNGTMPIQYFDYSYTAPDNPQCSYRWLDPSPDILNAFSEIL FWASIDAADVGEAPVTFQVLQTNDRLVYTAVYSYLVVASLMLAAAIVSVAATLYGWWH LDREVSMNPLETARAIREGMFEQKSGSEREMLRLT LY89DRAFT_639093 MQSSPRTPKHSRHPSGIDLSFATPLSYTDSSPRRHSKASIRSPT TPNRHSITRSDSIGQDVFSSGGGAGAASNGLGNLADELADAWGEEDEEEDEDLEPDMN FQEVKGEGQQRDSGVDVTSSPIQAPPKSANLTPPTPMGRGHRRQPSEYDGSDYGGDSD LESPGMPPGLVARMDMVESLARRGTENNGSERDGVVKRVIDGLKDLGGQSGVEGGATR LVTAHSALATHLLHQTRLLQSLSYPLFSPLTLPPDEDFIDDLMPLLVTIGETMPRPTT AAFNSLTQLHTLTADLVQTLNYLSDTLHMSRQTTTTATRRLKSARDLVTEMRKDEDAR EEGERWLTRHNWGERLRKRECAGVCGDVVGGFEEVCNGWRERLVAQAEAVGA LY89DRAFT_681777 MTSLRPFHATDVFKFNPTNLDPLTETYDLNFYFSYLARWPSLFN LATSPSGTISAYIMGKLESSPSYYITSAHYLPFHAHITALTVAPHARRLGLARVLSQG LEEKGEEYDAWFVDLFVRKSNRIAQALYKGMGYSVFRVVKGYYSDDPNDESADGEDAW DMRKPLRRDVERKHVRSDGEKWEVMPEDVW LY89DRAFT_729966 MKYQQPSSTAARTPYYDTDTTQTSRTDPPRRQNGVPPGTVAARV QFLQGLQTPGTARPGPAPAPAATSSNRRRENSRTGFGRRLTNRFGPPALQSAQPFEEA RVDTSHSFLGLNTPRKSHVEEHGLADNRARYSKSPGINGTIAQVTRERAQFDAVAPWV GLSRSKSSRSMGRKNEGNDMDGHRSDRVAYHGHIVAEATIFPLPTHEDDPSKFRREMK ARETDTLKSESSLATSSTIRRQSVRDLFEDYGIERPEGLASSNESSRDIEDLPRPTRP HRFCHMCAWVNSGPSVKCWRCSHRLCSICDAQSPQPVTRKEPSLGYSERFTKSKGLEN QPSLVSSSKYNRDIETEPVKPISSRPGPSPIQRRVEENTLQPQKGSSPPTKFPDFHPN LPPATKPSHASHPPKTAARNAVPSGGQVTTSVKDSPFLIADSTAKKQSITLFPFDHRE DRHQSLKDRHEHHLLQQVQRRIHSSSSSSGSSICERGDCRGMNCEHRSHRHVILRTKE NEKQYIREETENGYVADTSRVEDEIHEHSHASQSSHTHSTFSRPQSHTLQKAHHSHQH LQETTQESCVPEFVECHGYPRTGHIRHGSCSGAELVGECQHCVEDCQCAACQNTHHNV RCCTHKDHKAIVHQHHTPRKEFPSPDPHVRPLSAPRRPSVPSSSVTVIPQRPQSAKIE PLTIRSSLQNSQPKQVLSTRPSLEKVPSFPLRKKSTFIKEASKPPTPPPWVSQPRSSL LKPLQYDPDDIPNEIVPDPFAPFRKSLTPPVVNTWGESSQNLGNDQGKRLWSTNQLGT MSQARDERPESYRNPLRKISAVKEKRSVSSKGTTRSSSRIKVSPPGSRRASRRLSALF QLREQDSVPALTQKLLRHQDELREGRNNHQEVVKAAPSGGVASIARHLEHREPIKQET KKPGPTKQESRMASRAASTDSTKKWRLRLVDKRPSPTCGNDNKRVVSEHRLADDRVGS SNFKARDRKVMSEARMDLHKNSFDEHECTWKRMVLDVRDGKNGLCSHKETASLGIMGV TIILHLEGKEDMVLKAGSWSTTGEPGSLR LY89DRAFT_609665 MNSLRIARTALRVRPAAIKAPLQRRGYAEAVSDKIKLSLALPHQ SIYKSQDVVQVNIPAESGEMGVLANHVPSIEQLKPGLVEVIEESGGSKQFFLSGGFAI VQPNSELSINAVEGFALEDFSIENVRSQLSEAQKIASGSGSEQDIAEAKIEIEVLESL QSVLK LY89DRAFT_550546 DEEVPADVDEPPDHRLHEQAHPDFHKAIVRPQADRKESLLTKAF QKVPETDAVHHDIRLTTDLTRRRSMMSNASLASTAELTSDGGLTSPARTNTPSPPIPR STYTSFAPYSFNSKSIQPPTTLVAKVEPVEPARPEIVSISPKAQPVGEAVVKKRCITF MCDGKKGMAKPNPAVAEPAPIAEQKPAEVPQPRRCTIKFACPGPKPADKTSKATTPVV TEAPKPVETQKQRISTRSPSIPRKSPRPGLSSSRSSRESSATARRSSPVALRTKPKYI IADEKTIQSSEATRFHEFCSEELQDDDWIRKDADIPRENKLTINDTLKMENAIRQLGN EAEQEALEDEDDDDEDQSGNDSDENDFEDEEDEEDGIEDGIVSSDEGSDGNETDNEAG FAESDDESDGEGEFSFWTPGRKFHHHGQASIYRASAHRTASTSSIDSLTHMEPPPQKR RSKAVPMTLRPGTPELPDSTDFVCGTLDEDRPLEDAYVSCIAAKNAAKHKQTPQDIDP SFPTSDIEDEEDEVDDIEVANDSDEHVWIHGKFEESDNERHGRRRSTTAIRRKSPGHS PRRLHSPPPPKQTRHRSPPPRKLFGHSPRRLRSPPPPRHVRSPQASPTSSRAIPFAVL ASRPGLTHTKSLPRTPNAFCRQYNASRLIAANGNGMDAGENDGHIRGAIDIVKGLEQK RQRRKEKFYQKQCNRKNKSHGERKPQPGKGAERMRELGLLMAGKTGPQDPYMLSA LY89DRAFT_681780 MWIVNWFWDTLASLGLLNKHAKLLFLGLDNAGKTTLLHMLKNDR VAILQPTLHPTSEELAIGNVKFTTFDLGGHQQARRLWKDYFPEVSGIVFLVDAKDHER LPESKAELDALLSMEELSKVPFVVLGNKIDHPDAVSEDELRHQLGLYQTTGKGKVPLE GIRPIEVFMCSVVMRQGYGEAIRWLSQYV LY89DRAFT_681781 MADLSKSTNGPSPSSETGYDASLLPDYDTEFTSEEDLKAFAEAL AAPEVSPSTDDLLASPVVGSAASLANGSAASLGHGRGSMDGGGGGGGRRESLFITAQN DWAPVGPPARIGGSGKGKRKKGVRRKKNRSSDETREGYLYTLLKWPLLATVVAWVTGL GVSYLLTRLYIWLYEHFVAWRGRRERLRRKLQGTQNYADWVAAARELDAWAGNERWKE TDEFAYYDHKTVRRVLGQMRRCRRRIERGEGALAEEDLKALVEACVKNNFVGVENSRL YSQTYYGTKELVQEFIDEVERGVTILVDSKQLGAEEKRTLFKRLHTNYGRTALCLSGG ASFAYYHFGVVKALLDADLLPEVITGTSGGALVAALVATRTNDELKKLLVPALAGRIN ACSEPFTTWAPRWWKTGARFDSLDWAKRCSWFTRGSMTFREAYERTGRILNVSCVPAD PHSPTILTNYLTSPDCVIWSAVLASAAVPGILNPVVLMMKTREGTLVPYSFGHKWKDG SLRTDIPLKALNLHFNVNFSIVSQVNPHINLFFFSSRGSVGQPVTHRRGRGWRGGFLG SATEQYLKLDLNKWLKVVRHLELLPRPLGQDWSEIWLQQFSGTITIWPRSQISDFWRI LSDPNPKQLARMLHVGQQSAFPKLKFLANRLKIERLIERGRRETRVGVRRGSIESILS EDDMRNLLRRSEAENEEVLTATDEDTEGDVVTIGDEFEDAVAEKEELLEEVLHDD LY89DRAFT_778788 MKSLMLLSVSLSLARASSLQERDCSHDNCLRAVIQSGSEFCSAY TATPTITSLPTWATACTSPSTTSQILSACSCLPTPTSTPRIPTIWLAGDSTEAPGGGH NGTEGWGQYLQYSFPPSVAFVNNSAYAGRSARSFTREGRFEAIAEKVKPGDWVVIEFG HNDGGSPYPAADDNGRADCPGAGNQTCPTVYANVSEIVLTYPTYLKDASEMYLSLGAK VILSSPTPDNPWETGNYSYTPDRFTYYSWLSVTELGGPSAGVYFVPHGQYAAQAMKNL GPEIVDANYPMDHTHTAPYLADVVAQSFVLGLKCGTSGLGGLVSNATARLEGSVLGTC ILANGTLPI LY89DRAFT_681782 MDSNTNTTTGPTAGYDNNVDQNFGETGKKEGVMSKIKDKLMPSG PGETDTSGIDARNAASHVHHASHGGQERHDQANSDLNRE LY89DRAFT_681783 MSTLVNYPCALPTTPIPTTINSLSIAQQLTPKLPHLTPQDFAQD ALWRDIFALTGTLRTFHSATSVSAAWTETSLRAKVHSFTLEPGSVRVVRLPQGSAWIE ARFSFETGATPATFCTAIVSLVPDQDGEWRIWVLRTVLEQLKGQPDVDVLQPVTELKN GYVNGEGEKGHFDCVVIGGGLAGLSTGGRLKALGVSYVVLDKNRNVGDNWKLRYGSAR LHTNREYGHLPFERTFGPEYEEYLSKDDLAKGYAAWVKKFEINIWLGTIVESGTWDSS KHLWTLRLRQDDENDRLITASFMVLAGGAGGQVPVSPPPYQDRDVFEGTTLHSAEYTT PAQWKGKHGVVVGTANTAHDVADDMLQAGLASVTMIQRSKTYILPAEYYKKVSSLSYN ATNPTEVADRGSLTTPFGVADLMSQAVLHDMASKESERFDSLERAGFKTERYGNIQEH ILVRLGGHYMDVGASKKIAAGLIKVKSDTLPVRYVKDGLIFSDGTHLKADVIVFATGF VGNMREQVEQLFGSEIGDQVGDFWTLDKEGELKAAFKPTGHPAIWLHGGTIGQGRYYS RFIALQIKAKLLGTPLPLYEKTP LY89DRAFT_551756 LSPTDLQAVRLALGATSASNPDSLIQPTHIIWPPSHLPPGLYHD VLRSLTISRYQYLLIALIFNTFLIIQLLLGASLTALGATTSSLSYKTSIIITSLAAAN TVVAGLIALLHNGGLPSKYRMAWKEYGMVEMQVNEVLELGLVREGWGVDEVVEWCFGL YWDARRKV LY89DRAFT_609684 MSMEGKIIAITGGASGIGLATAKLISSRGATVCVADRDPSALDA TQYHFSNLKVPFSVTQLDVTDRAAVDKWINDIVEKYGVLDGAANCAGIIGKQHGITKI TELEDEEYDRIIAVNLTGMMYCLRAELRKISDQGSIVNIASIQGVMGFAGSAAYVASK HGVVGLTRSAAKEFGDRGIRVNAIAPGSIFTPLLQKAQEATPDEPVGTPPCAIKRLGT AEEVASIIAFLLGPESTYISGSVHGVDGGWAC LY89DRAFT_715447 MRWTTFILAASAALHGAEASTLTPPVIPLIVRNPYLSTWLGNAR NDPWEKWPIFWTGQEVGLSILAAVPSSDTVFPLLGRPQDSLPKSGESFNVSYPTYLGA TFDASTTNLTYEIPSGNYAHDPVELILSFLSPITPTSTLRQAIPASYLTVYVAGSFDV DIYIDMNGLWVSANRGNEIVWELSQGPSPAANKKAPLKTWKVKRRVEQLLTEDADRGE WGTLYFTAPGDVRHEAGTSALLRQRFSRTGTLQNVVDGNFRSIMDEEPVFAFAKSFKL NGTSATGSKSYDSVLFTIAHVQDPVIQFASARGMTLMKPLWASYYLTADEVLDFHYHD FDKAFKLASNYSGQLEKDALVSGSEDYKDILALSARQVLGACSFAGTPDDPVIFFKEI SSDGNMNTVDVIYPAFPFFLYTNPKWLAWLMEPLIEHQLSGQYPNDYSMHDLGASFPN ATGHPDGRDEYMPVEECGNMLIMGLALVNSLKYDTEPASIWSATGNQKHIESATGSIS LYVDADGMDDTFGGPISAKGDKQARKWVEKSYKLWKQWTGYLVREALIPSNQLSTDDF AGWLANQTNLALKGIIGIRAMSEISELVGEDADAKYYRNVSETYIKKWEQEFAMSREG THAKLAYTWFGSWTTLYNLFADSLLCFHLPSSSVEAQAGQKPLIAPGASRTGFVDSKI YKTQSDWYHNVRQRYGLPLDSRHLYAKSDWEFFAVAVSSKQVREEIVDSIALWVNETS TDLPLTDLYDTEGDGGFPGIAFKARPVVGGHFAFLALERACGGKAVEALSFLDDEPAK EFVGGGGRDGEL LY89DRAFT_429210 MASMDYENENGTREYDEAPRYERDRSASPRPTRRNESPRGNPRR SASPNGNGHTESRGPPKGDRGAPQDDGAVNPGSNLFVTGIHPRLSEQEVTRLFEKYGD VEKCQIMLDPHTKESRGFGFVKMVTADQADAAKEGLQGEVIEGRTLSIEKARRSRPRT PTPGKYFGPPKRDDPRHGPPGGGRYGDRYDDRRRGGYGGRDYDRGYGGGRRDDRERGY GRDRDDYAPRNIDRYSRDDRYGRGGDDRRGGGGGYSDRYERGERGSARDAAPPAAYGD PAPRGEAREPYGGRGFDEDRFSRREY LY89DRAFT_429259 MISSMILLDSKQRQDIIKKFVDAILNELPRVPSTLHDYPQSRKL FQGRFQILLKCYSDQVKADAGRGARKQATKQIRFLRRDITRHFEQTIKVNGVNLKSQR IYPIIVKQAEQINLSEKTWAQKVDDWKVLLASEDPVYQKADNDLYDTKLPSSHIEAFS IADRGSLSCPGSLYEFIDTDSSSESEVIEIVHTTSGEDRDIYEFLTTHQAFQVLLVQL QGLVERYYGNQMVVVQNRVLDSLRSPLTKDNPNSGSHSAMFCLDWDVLAFLQEQYPAG LAQDLGSVLTITGQASDAYMSTIRNYLEYAWPQCPLALLEALQGAITKYAEEETSRSI FFSLPKSGIIVSILEKSILVTGSEDFVVTMAQQIAWFVSACQASPTGLGTSYVSINES ASRFGLPLRTFIVSAEIEFLTTDDPGSCWNEVVGRSTIATGFPIPERLHSDKGLEVQL EVMAGLGGVTVATEFDGGYLLKARSIAFVPVERKGNSVQWHLVKTDAKRIMYRDIAEI CPVRLLVDVLDQESLTSTRAFLGWCSGSINTLGTNIANYSGVGFSNANKPSGRVVALT GISVGFSHIVTGQASFAFGQHNGPYTASAPYWYLDVLEAAKDIHVIIQDMEQRRAWQT DGERAILHMILHNQAMNLQEIKGKLVELQKADSRSPSSVRAAMIQNANTVVMNDLHMD KREVSDKLFRDLVGDMYTRLEGLEGNAEKVTLAGIELKLDWRRRVQGFEYKDLVQKKH KMFIKRAELRKTCGQ LY89DRAFT_729978 MATLRRLYSEHGSEHTQQQVTTVGTQWHRSKHLFEPCTPSRYED SPNPHLCKCERIQEFAPKNAFGRIKVPGKLPHSGAVIFGQGSSPWSKDLTSSWSSIRD SLRGPAIELRNRNEALERASDRTQRLSLSESPYSRPKEETREPSLRVTEDAPQKIARS TVVHDTYPRTTRPASKTARYVSFQSSNQTTGSSSTERSSRTSTTDAGYRTPATSYNAG SNTDCTINPSTINRTSNQRRYTNNLEKEDQYQLQGGSHPNPNIVAGKSKRVQYCDMTK CTLPSLSQYAMKTMGRPRPSFICQEEEEEEEAEGDEALTKTCVLPPDLKIKQVCPQPQ FETRGSHILTLAATSSAATSQTGIQQPPVLRRKRAFKRDIAEVESPTKN LY89DRAFT_778796 MPTHLGIQFEVLSQLELAIHPEFPHPESSQSTHRSPKRVSSVVD WSPPAVSAVSKADALLGRNTTCSVYIPSTAGARFWLRYNIDKSALANSKWYYFKLFMN GRHIASFGVDAKKKPSGQVMHGLFDPSSDWNYENNGEIWKNMGLEKRAFYFAGADKQR SVINDGGLIEVLCFRAQGRRRKLPDPEVWRDQQDYGIITPGEGLHENPAEAKFYDWHL KDANKSPFVVFKFHYRSWESLEMLELIPSDHPRILMQPQRKLLPEELKAMLEVQSQDL TFLNRPHPPCEDCVPSLPAFAGDSWFDDTEDMEDFADFDSTPQAPKHTGGEFSFEAEV EVSPLRIRRRRPTAPSSKFSDSKLRGTTSPAGSPRPREIRQGALDDFLNRPLPEIPLR KSSKKLHSRSSSTTSRAPSVTPSLLPYIDRDSVSPEPEVGVAQMVEVLLASPVQVPSL NSDSDPMEASDLIITPPKISAFEKTSPLPIIEESSPMLPRKPLLSNLLPSGMSNVIKR KPVGSPSAFFKQEETTTPSPTDLYPSSSLPNVTVRRPRRSTFMKLPLPLPMPRSKTAV SFPKRKESVDVLRTQEVAAVRVSESLSESEWMSRTPLPHSSMLSLESLGGATPNSTLA KRMIAEKASSLGSAIKRKSTSWYKHKTQARTDSLNENTPDNSSLASDSNIRVDGNWI LY89DRAFT_705081 MSSGYGLNGGPSRCFPFWQEVLACYVVNTNSDDDSGKKKCVPVL EDYYECLHHKKEATRVRALQTAYRKAEAAHPRDDAPKVGAIRNLGLLDKEEDTKAVLG N LY89DRAFT_429342 MAFSELSPAKAVLLAVQLASKADITTLRTLVYLHRKTLRTELVL RILLSHLPESLDSSDYVPFLEDLLAGNLSESSEINIDTTFLDELSDSDAKKKVRKLNL LPLAWPDAPADAPTDPLVLFLIHRSIRIDQNTGLITQVPELLAPFLHHSPELRTWMIS IILPLLRLNYEYHPNESAGLTIPKFESLDDRSGVALLLEHTGRVDISHDTTVGRDLRG LVGPWLYGDTRLKRRKLQKSASFSVQSPEFHNEQSSVNHKYTGWEEVMRWLINQAESS WKTAVEVIEQWDGPGDVDLGGYEDSTMWLDEDDQQHLERRYARSALAVAYLITEETEE ALKGIQRILTRIIVLLDKDRIPTLQAACAILTPVAAFESSALSPKNVSYLRNDLLDDQ NLLTAPKEESIRLLHALLISAFLCTRMGCAMSIRRAGELAFVQDEHDQMLRFANLMLR VVDGPKEDDKYWVRMRNEMLWLRSWGAEELSEGAENSVGRGILGRISKERIEVDFLKG LLTNTRFKLANSIYETSTDAPITQKLLHDTIISAAMNAFDNASNASRARGGVKKCDEI LQAFPVTLRGSPERDALIALTQVTDEIGHYRLVLRQGEPFKPVNLRVHSDPISIIGKV LDQNSRSYTKIRDFIEIGNNMVLAGLTARDENDARIRDMPQTVKDEQMIAEKRIVSMC IDAALSEDDFETAYSYVVTHLKDIAGPAHARTPELERKKSGLFAEPPPKIIDDWSWRA ALQTGKYRRTAHTIRPTHLGNTTSNLDIRHLEQRMECLSHALRLGPRAALQEILNVYR RCEEELESLVKQEAEQEAAWDAQIDDDSNMPGGFADTPMKKNVTISGSRAVEEAPMSL FDLSRASMARAQSGFSALSMLRGNNDQARTRPNSISSGEGSRVVSLTSDGLKAPMRKR DQLKNAAVGGLASGIGWVLGAPPAHRSDGEE LY89DRAFT_681787 MANRPDIHLYTTQTPNGIKISITLEELGLPYKVTKIDISKNTQK EPWFLEINPNGRIPALTDTFSDGKTIRLFESGSIQQYLVDQYDKDYKISYPKGTREYY ETNNWLFFLNAGVGPMQGQANHFSRYAPERIEYGVNRYVNETRRLYGVLNTHLEKSTS GYLVGDKCTIADIAHWGWVTAAFWAGVDIEEFPALKAWDDRMLARPGVEKGRHVPDPH RMKELSKDPAAIKEHAEKSRAWVQQGMAADAKK LY89DRAFT_639134 MAWRQQGSTGSNNIPLGNKRRFGGETASPRDDNGYNLTHPDGGG VKRGRSPIKEEPMGDGSRRRKKRNRWGDATDNKAAGLMGLPTAIMANMTSEQLEAYTL HLRIEEISQKLRIDDVVPADGDRSPSPPPQYDNFGRRVNTREYRYRKRLEDERHKLIE KAMKVIPNYHPPQDYRRPTKTQEKVYVPVNDYPEINFIGLLIGPRGNTLKKMETESGA KIAIRGKGSVKEGKGRSDAAHTSNQEEDLHCLIMADTEEKVNKAKKLIHNIIETAASI PEGQNELKRNQLRELAALNGTLRDDENQACQNCGQIGHRKYDCPEQRNFTANIICRVC GNAGHMARDCPDRQRGANWRNDGPGGPPGPTAGRIGAGDAVDREYEQLMQELSGGAPA NGEAPRRIESGPAGGFDQGAPDDVKPWQRGPTGAAAPWQKRGDDRGFDSRDSAPTGGA APWARDRRAENGRAPDSYYGAQASFNAPPSGPSGNAAPWAQQAPAAPAANYAGYAVPG YGYSAQQPMGAPPGLAAPPGLSGAGLSALLQQFAGSPPPPPPSSAAPPPPPGSAPPPP PSDAPPPPPPGN LY89DRAFT_429360 MTQASRKRLRTSDTNENDKPVRRTRRATAQLKLQLAQAALATAH NNTGVAETANPALAEAIKNVANLERVHQEAQKVVDEARLGLGSAKEEYVQAGLLVKEL NIERDAIQERYLAAQVARPNQLTTMQQQLRACVSQLAGATQDLSEKKSVLAELHEDIN LLEQDRDQSTLDLCHAIYIRNKCMSVETGRDTTTSAAQDQINEPKQELTDVTNDGGRL QEELIDRGYSLPVSPSAALRDCQNRVRNLGRELRDCNDGQSIASGLSTLSQLADTEAR IRQLEAEIEEHNRNLASLQTLIQQQEDATTKARLEDQRKILRLERELRDCNDDQSVAS DFSTLSQLADAQARIRDLEAELATMTADTLVEADEEAQEVLRQEVVELNATVERLQTK LEYVNAARTQEVGAIQVQRQLDNSTDDDELRAANERGDRAERLRAEGAAGIAALQAAN DALTTQVAAQGSTSKSDRHLWQLVNNSNRDIDRLRAQNSSLTDQVKVLAGEIVALRKT QGNGNGNKNGKKTTDLEAALKACRDANEDGVFATFPRDKVQSMVES LY89DRAFT_665638 MEMQDLDPKDVLEVKDIIGILEAWRHVGGTVVRFRPIKEARQKI LTSKTRARHPILLLTSEELIRDVSNKFFLFFSEEIVLFRMASKVPPGLEHFSRLNQAI WFHQPNTVSSTEPALILLVGWMDATPRHMAKYAAGYEKLYPSARILIITTTQIDAAFR THTANLNRIKPALNVLYTLPPSAKLLVHFFSNGGGFTTTMIAKAYKERMGRTLPVTAM ILDSSPGRATYEATVRAFSVALPNNIILRTIGNLILRIFFVLYKLGYLLQGKMDLVDQ ARMDLNDKSIFDTDAPRMYIYSVADNMVAWQAVEEHGDEAQSLGYTIDREKFLESGHA AHLLVDADRYWATVKRLWGSVS LY89DRAFT_429362 MTTMAPIGITVPKLLPIAGTWAVPFVLYNTILSSRIVSTRIQND YYCGDKLPSSSPTNTKTPPDVLQTATRCHANFLENVPLAFILLIIAELNGGNRKALNY MMGTLFALRIAHAELGLKLQGKFGDNGVGRPLGYFGSIGVLGALGGYCGWLVAGYWGF LY89DRAFT_715457 MANYRYYTRRFRRIAATPTFQLVAVCLFLIFGINWVIKHNHDDD GDHLPSARPTTTVEDESIDWSKLYYVQYATSPEYLCNALMVWAQIEDIGSRAQRMMLY PSTWNPDEIDEYSPSLDYTPIARLLQEAQSKYYVKLQPVDIMHRNGTLQPTWADSYTK LLAFNLTQLDRVLALDSDSMVLKNLDSLFLLPKSPVAMPYVYWGAPTGWAFSSQMLLV TPSATTFSEIESAIQNAKEDEYDMDIINKLFNHHILKIPQRPYNLLSGEFRRSSHAAY LSSRTKKWDPDEIFADAHFLHFSDWPIPKPWMVAPKELLNKHMPKCRMSEWFGATDCR DRALWLKLYWDFAVRRKSVCGIGFELQSQELPEDSIYRHGRWYHPDEVGGSEKR LY89DRAFT_429508 MSSRTVVFRVSPNDPTRALPWLVNGNPHLDLTRSILKETSTRST QTWLDHAWNMFAPRPIFDIRRLPIEIRLLLFRQCLLDEYKERSTPALIQALRPDPQLY EEVLEVYYSIKLCSTPRCGERVKTIPQSVMRRATALRVWYRPEYLDERQNQHKRMQCN LFDLPLDPYPSLRGHIRSLYMATDVINHPGTSTMQVLGALVRAVIVHLPALGVLTVEI PHKECSFETATCELVPLPLPYPTFAIDYAAGVIHKWDVRPAEREAWANIAITWDAGPY QNLTWTDCDYWRSIPWKRICLLFTILTVSHKVDFWKTPRYHNIGLGCSRNASTQIWCG DSCCQKFLTMKEENLAFSPDGWPNIKPWREPDILDPRLEEARNEFMAPRYLFTRNWES GNVRSRNGAVIIP LY89DRAFT_729988 MPADPQEIRRSSKRQKPLPKVSNEMPTEKSSDIPPDFTKRCHYI LQIYTCGHKTWLNKPRIQHMHPFCMFAYMATEGDKTKSDVKYRFHKLRCTNMSTQPKE LHMAEVCAKCRPIELGVAGVTKQLREYESLVDGKGQTSIGEGKVEQSKENNDGLAKQS KEDAGVAQTDENIGKDAEGNAESKPSTENDGELWKTVKEWPSKQVDDNATDSETPLAR SVFGSFIGMFMPAAKPQESKSDDNDEPLEIWIEVEEEPEQPDLWEDDGEWVNIYGQ LY89DRAFT_665644 MDTKMPQRVFFCCHWHVSPDHLPKYTHVDCDQLESLACENMSGK GHLTDVEQPCHNCASKHPSPNPVQPSSMDESDSKSDTGPAFDTLEQDWFSSKLMSATG HVMPVITGEEIMAALAREIERHAQNRALRELQSAHEKDHKRSNKKRKANEAGLEDMHF EGSPVEDTNIPGATVVELIAKDERAVPSRFNPAHYTLEEHTKSMTELKSEFAKDKIVQ EARRQELEKQTMAELELAKLEHAKLKHQQQKLLLEAARLELALSQAEVRRAKDELLRT EAAVKAESVYPGSIRREASRVGALRQRTEQEKGSTEELAAEIAKCREGGNHRKGKTAD LAAKMSMLNIETQRIVDSLRTQRYYSFTVEAVDWS LY89DRAFT_639144 MGSTATEDVVPENKTPSPTSVTKLYRHDCEYNCCASRWSEFPST DDDHVALDKKIAKISIVHRHVFEEKRWVTKSFTINSLLMRQILVKALSKYQDLDMDLE EWTFQPPYAPLVHRWEQLATLRAEMKDGPEKNAADELINFLTPITASAVDHLAKTRET KKVTFEYIWQILPPGELAVTKLYGVDTVCRVVKYEKTEIQRIPVWIIHLEYVDWNGQN CGYAATKTVISAFSGYRRVTGLPVYPLSFEEDVTEIREKMIKRGRQFEKYRGYHFLTC NGKRVLIETSEERTVTGRVIVDAFAYYSSHSIPKPSLRSLVDEEEPIRTPKPQKDWDT TDIPNSDSDSEVMVEGNELQVVVTKDTNISRNENFTAMTDEHCLLATPWVKGMDLKTK EWSQFLVDELEEIVWNEKAFDNLVLPEGEKELVWDFVESKNMSNYAYDDFIPEKGRGI IVLMFGPPGVGKTYTAEAAAEKSRVPLYCVSAGVLGTLPSDVEKTLDDTLELCRLWNA MLLLDEADVFLGARTNEGLARNELVSIFLTKLEYYQGMLFLTTNRIASIDRAFQSRVD LFLPYHDLLPPARRQVWINFFNHIGTDKFDVNDADLDELEILKLNGREIKNLIKSSQL LGYKSGGKVDAAKLKMLAQKRLAALEKMED LY89DRAFT_577413 MLSLSRTENVQIPAGESSAFTYSPLDESADSIRLLSFEKGCDGH SIIRCNLVHRTFREKPVYCALSYTWGQATDVNFPIFVNGKTFSVSKNLFRALEIIVSP SFRHDDKAQPCTLLWIDAICINQQDPLERSKQVSIMDFVYTRASYVLI LY89DRAFT_729993 MDTKASQSKKCSRNFYHFSCGHRGLRQELPSYTHSSTCGKWDPD HTCENIMLGLNVAKVEMECKHCAPEPPAVAEPAATAATVKSITTAAGIERLKEIRANY ENNEILRKVRHQELEKQEKAEFEKCAERKEIETLRQLRRQEREKKEKAKEEALAEEIE KLKAKTVRQKAYIAACKASLAEREASLAEFQRKYKAEANGLEKEAEAEARRVEDLRLE EQKLATEMVEMEMEDQELKDWVLL LY89DRAFT_729994 MLENKCKVCAPVAASFAKPTPTSAFPAPRIVAPALVNDTGDITA ADEDLAAKRAQYEQRRDLRELRHKEREAEAAKDSEKEVVVNQNKSWLGLVAAQVKAPV KQEIKVLGQAKKDNDETDAAKVLRIRQEKVAEIQRLKARRAEIIRATEKDEETWRANL YARAARREEEQLAQEMAELEMQDQEDKEWVVV LY89DRAFT_778808 MSNNATQNQEVAEASDEEVYAQSDEEEASSDAALVPQQQTQQQV APQPRRGQRQQQQPNGLPQMSQQVQNPPARAQGYRPGAIRESRIKDRPVASSGASDSA LKIKIELDLEVEVDLYARVKGDVTIGLM LY89DRAFT_729996 MASRLLETGSTQLIRNTAAQQLADVQKAHPEELFNLLTRVVPYL RHKTWDTRIAAAKALGGIVDNAERYDPNGEDGSTKNKPKKEENGFHIKKEEPADETPL AEGQLSLDSLDVVSILRYGKELLRGGGKDIDYALATMNPAQRLAHQKKTLSGRLGLLG EYFEEDIDIDYPPPKHNGAPTPQIPTSNGHNGNGTKTPSTPADELGLSARQLNQLKRK RKREAQHAGSKNKLIDLSIRRSSTMESIGGDTTMSDIVDDNPNGVSDLLSVGRAEDVD EDAKVVSEFKGPILPIKSELETEEEAEGGEWPYERLCEFLMVDLFDPQWETRHGAAMG LREIIRVHGAGAGRARDKTRTENDLLNRRWLDDLACRLCCVFMLDRFGDYVSDTVVAP IRETVGQTLGALLIHLPATTVYAVHRILYRMVMQNDLKLEKPGWAICHGGMIGLRYLV AVRNDLLLKDSDLIDGVIRAVMKGLGDWDDDVRSVSAATLIPIAKEFVNLRPEALDGL INIVWECLSNLGDDLSASTGQIMDLLAKLCSFPEVLEAMKKNAARDSEQSFALLVPRL YPFLRHTITSVRSAVLRALLTFVNIEGDGTRDWLNGKILRLIYQNILVERNQDTLNLS LQVWASLVKYLAKDPADLAEQFSMHIEPLMQLTLHPIGVSRHPLPMNATLFQKPSGST YSMPAGFVPITTIRQITPPQPSEPPAKKQRRKSTKNIEPVPTTSSHDVDGHMMQGDVD LVGIDILIRSRIYAAKAMGLIMSLVPFNTLEPYDANIIPGLTSAFSSTQLTASLIIDE YAKNCTSKDQPARFVDHLIKIIESDRPVHYRDLVSFTQLVRAQCSQLLNTFRDVGKVS QGRLPVLAVVVQGEPEAGPDAFSIFTADKVVNDDFERLKKAMPAAQRLIATQALTEAR EQTVETITTAKSIKETRDIRIKAAAASALVAMKVSPKKPTHIIKGMMDSVKKEENVEL QQRSASSIARLVELFAEGGRAGPAQKVVSNLAKFSCIDTSETPEFGPNAVFTANILSL RKEEDRRDHPDAAKFAKEAKEARIVRRGAKEALEQLSAIFGPQLLDKVPTLKTIMEDA LTYAFSGPLPADTKDPEQEAGQSAIDAMSVLRALTPTLSKELHPFVIHLLPLVIKALH SELSVFRYMAAKCLATVCSVITIEGMTMLVENVLPSISNPIDLNFRQGAIESVYHLIH VMGDSILPYVIFLIVPVLGRMSDSDNDVRLIATTTFATLVKLVPLEAGIPDPPGLSEE LLKGRDRERQFIGQLLDPHKIEPFHIPVAIKAELRSYQQEGVNWLNFLNKYHLHGILC DDMGLGKTLQTLCIVASDHHNRAEDYAKTKNPDARRLPSLIVCPPTLSGHWQQEINTY APFLTCTAYVGPPADRARLQDQLTKTDIVITSYDICRNDADVLTTLNWNYLVLDEGHL IKNPRAKVTMAVKRLLSNHRLILSGTPIQNNVLELWSLFDFLMPGFLGTEKVFLDRFA KPIAASRFSKSSSKEQEAGALAIEALHKQVLPFLLRRLKEEVLDDLPPKILQNYYCDL SDLQKKLFEDFTKKEGKTIAEKASLGDKEAKQHIFQALQYMRKLCNSPALVMKEGHKQ YEETQRLLAKQGTSLRDPIHAPKLTALRDLLVDCGIGTEPSAENELTTETSYVSPHRA LIFCQMKEMLDMVQNDVLKKMLPSVQYLRMDGSVDASKRQDIVNKFNSDPSYDVLLLT TSVGGLGLNLTGADTVIFVEHDWNPQKDLQAMDRAHRIGQKKVVNVYRLITRGTLEEK IMSLQRFKIDVASTVVNQQNAGLGTMETDQILDLFNLGETAEGIDKPTLNGGNGEERE EDMVDATGEVREKGKKGWLDDLGELWDDKQYEEEFDLDGFLKTMK LY89DRAFT_609720 MASYNHQMPATASRPVFGAPVPMADPSAPAGTFAPGTKIQVGSQ KVVIQKYFSEGGFAHVYLVKMPKPIDGTDIAVLKRVAVPDKEHLANMRTEVETMKKLK GHRPIVTYYDSHASQLKGGGYEVFLLMEFCNGGGLIDFMNTRLQNRLTEPEILKIFSD VAEGVACMHYLKPPLLHRDLKVENVLITSTGHSKRFKLCDFGSTAPPRPAATTAAECR LLEDDVQKHTTLQYRSPEMIDVYRKQPIDEKSDIWALGVLLYKLCYYTTPFEAQGQLA ILNASFKFPSYPPFSDPLKKLIASMLKEKPTSRPNIYQVLREACLMQGIEVPIKDIYA ARTQSEARRNQQLPSPEQNVVSPPVVGAVFAPPPPQQQVIPDVVPMRRGRPTASAPVH VAKPSPSPMRGTTSDPFAALDSKSPPGPVDEISNRFPSLDQFSLLHDGGKFDFDNKTS PTHPPRDLGQRVTEKLADDAFVVPTPKTSTPSSTVKPSSNVVSRAQKIISNNPELQAV ATSPSIVYQPTPTRPPVNSYVSQGTMTAPTPPPPGQTPVKYTSSPAPRFPPVSDHHRS TSLPRNQEATSSRSHFLRPEEMQPVQTTIPRVPSNSHLGHARHPSSSRPSLEGGRPNA DALDPVARTKSSNSRPRPSSTYLESNMDFLREKEKESNGRPSFSEKRPSYSRDSAVAE PDADEETNIESNVDFLRTMEDQDSTKKDRRRSSGGGNKSKRSSLPSMTLSGTKNLLAG KFGDAFKRFENNTSAPPGPRTPSPLQDMERRDLTPIAGSEATDGRSDDGNILEDMDNL APEQRREIERRRLSMEEKRVANAAAEYRKRLADRASAPAPKSIGGISRATSIQNKVKS LLDENQGPSPKKTAEGYGKYTDAIPRPQASAFESQPSSSNPYAKPSITRKPITSTLPT HARTVPMVTPSTSASEISYAKTRSQPPSAHTNSLPQHITMSPATISKTGGPRPSAPPK PMHLNSISTGPGSGPQNSPPKPSSHLQKAKLGGLEAEMSTREKEDYLADFSKRFPSLS GIEMVERDIERPARESIDRERTPGMVIDAKGLRSRDI LY89DRAFT_770453 MLDVVLDSKLKCKECRGPPEARCYTFKFAAAAIVAYRTIPSLLA IRRKNEEEGKRKKIKEEARRKRKEGEARRNVLRDRIKEEEVVAEACCLEQARRRKEEK ERVEKIREEMKIKEQAMAEQAQILEEARRKNQEQEIAAPASYLDETKRDKEPKKPLGN IRVGIKEQAMAEQAQVLEEARGQKKERGLELELSYDLTAAAGKAQKDHKPEPNSTSSG DATPAPKEEEEEWEDISIDLADEMAELEMESRDLDGWVMV LY89DRAFT_665653 MAPPQLFVSEIKSRTLHPDDCYKTILEYSCGHFENHPDMPYVTH VGCKGRSPAAWTCSNTSNVSKVVETKKECNVCASAPATYKVFLATKPETDEVDPIASS LPLSPVAAVTAPAKSNKSNGPKIPFFSPPSSTAPAMSRAERRKANKAAALRQATTSHP RQGTALGEDDSTFGESSKSFESTQPTNDFKNPWAGEDAWAAKQTTSGDEMTGVEMEEE EESKVAGSAKWWETKQQRDWK LY89DRAFT_577813 RMSAPANNRDKSIVTHARVVAHLSQNFGGGNSENHWSVYFLLQG RGSVRMNMFTPKYGVKEGRLELSIYDYELPTSALKHWDYKMTEGKTFEDVRDFVSKNR RHHYDFSGGGSGCRYWCYTIMNDFEQCGYIPEGSVQHLWPGLQYLYSKSRDPSPLQWI EGKFFY LY89DRAFT_705090 MALHVSSSQPFEGLTLSPTQLFSQPLGWFKDQLELEAAGLGGNL FDFYRFVHDSRWIGGTTEYSALDEASPYWFNGLVPLAFGLGDARIKSQVKYYLDYVLD HQQSDGWLGFETTRTTRGLWARCLLLLGLIQYAEADSTEADRIVDAMHRYVVLAHSML RNNFTGLIVHGNDTFDTAGFGVGRTHEMHIPLQWLYEKYPRNNSQIIWETMELMIAGG VSWGADWRTFWVEGVYPTVLYDTTPYDLSWVFIHGVNMAEGLRYPLAIYRMTDDPALK TQTRTAVDLLAKYHRSLAGTIIADEYIADLNPSRGAELCIAAEVMFSSANIYQYLGDN DIADWTEQTAFNALPVSVSADWWSHQYVQQENQPWSRNLSITDPLWWDVDSYSNVFGL EPNYPCCTVNHPQAYPKFLANAVVGTADGGLAHVFLIGTDVATTLSNNNAVSVSVNTT YPFGLSLTYTISATSAFPFYVRIPTWAESTSTISGPSNGAIVQVSPSNQGLQKIGIPG GSSTTFTIQLETQPRVVTRANNTAAIYYGALLYSLAIDFNTSQTPPLNYHTEAVLDNS TTDSHTYDHVLTPTSIWNIAIDPSQISIVSSNDSTTLKNPIWTLGAPPVELRVAAVEI EWPTAFGTAADPPLDVKMTGKPFSARFVPYGSAKLHMAHLPVVKLEKLELV LY89DRAFT_681799 MATATATGSLGSRLAYKPQSLNFGTSGRRGQVIHLTQLEIYTNV VAEIQYLQLLPQSEGGIQAGDDFYYAHDLRPSSTALVDVGCGGRRGGLCQAVEQALKD TGMHPINLGAIPTPALTCWALKHGKGSIMVTGSHIPFDRNGYKLNTSKGELMKRDEKP INDKVAIVREKLISQLFAESPFDNQGVFRSISAQTDFQPAIPDGRKAYFQRYVEFFKG ETLQDVKLIAYQHSAVGRDLLVDIFEALGADVTPAGRSDTFVPIDTEAIDQAQLNTVQ DLWRSTGTGHKFDAIISTDGDSDRPLILAPEGDKLRFFGGDLLGMVVADFLGADAAVV PISSNDAIDRGALSSVTEPKTKIGSPYVIAGMEKAISKGRRRVCGWEANGGFLTGCDI ERNGNILTALPTRDAFLPLLCALFAARNRGLTLPELFDGLPQRFSRAALLRNFPRSTS LKIIARFSPPEASMQNVSYPPDGLFVRDADNTKLDVQEPHAKQIEKIREALETVFTPQ LGFASIIQILYTDGVRILFSNGDVAHFRPSGNADELRIYAVADAQERADKIASLGTAE SDGLLRRLEKMI LY89DRAFT_681800 MDAIGFIASIAQLAGAGLTLSKALYEYSSSVSNAPKKLNDLAQD VQLTSPVLERLSEVFTEATMRAFVRPGALHTAQEAIAGCSDIFGEMRVLIEEGRKGMG KFMLPFKESKIQLLNARLASLKSTLQLLLQVLQYASSVNVDPSVSQFSLNGSWLMSQF KTLIDEREEAKRNLELLKAEIGSINSGVGEPSNSSSKPQEKPSTTEEIGASDAQSTSI PKEQAGLVGNGSVSLSAYLPVTLSSTIPASQDKKNEQKPTTALSRPGSILSNESRRSF FCWPCSRKVKSHELAPYPTPHPEPYHLSPVSLSAGEGTGYLPPTLYQHDTQSYSYASP PSAYANLERYGPEGITEMSGATTASIPRPAELGDYF LY89DRAFT_609731 MLIEAAKSTSSSPWGITKVGLLEKNNSALAAAVSRPGFPAEISV FYTDNNEVLHDLIYNSTSQKWNQGIISQKNYKAYPNSTMSAMYNQCRLCSNTLFMTFQ DQNGFVQMAKFQDSGWTSSQLDVDPVLRTGLALLPSYKANRTDNIMLFHQKSSLAISL ANWDSVSGWNFSKEIYSQAPRGTPIAAASSYSNVTAGYETWRQLLKLSKEGVEVSTWS GAQNDWLGYDYNHTAFANETGTNVKTYGSLAVTAIGSAYAVVESPPGNFSIQSYQMSD RLNGWQRTGNVTTWD LY89DRAFT_429690 MFANFEIRRAGFVRAEIKAKRPRSTTGCVECRQRKKKCDEALPI CGGCLRKHGQTNLTCTRSRRQHSPSSPITDPNSLTLTRPSTVKVSDGEEELRPLSPQS NARRTRCAQLVRDPSKWVLPTLSHHMNLNSPLAKTFCQPEEKRALRVLLEKFDSLTSS TYTSPDLSIVSQGLPLAVEYQSVKAAFVACGLAIFFRVSLSREDRQSAIVHYNEAVNT VASQLMAFPAEANLSAVLLLHIFEILSDTLVPEWIHMSGARNLVKSFFQTPPSTVHQI LLLEAYIYHVVISSIFNGDKSDSLPDDHISELLLLLSKSSQRICPGPLWKTSACIGSS HIAFDYAFRISRLRRRLPLTGQGLEDAMRLKGLLCEAEVEPQRTQYASSASADASPPL EMTITACLYIHACILVLEKLLDTSLSASDALPRSTISSAVLLYSQLPRAEQKRPMLTW PSIILGCAAESPNDRAVFSDPFVETIREGGLGSAVQSYRLLRQVWQTDSVLGRQLGLD ILLRDDLLCSVFL LY89DRAFT_730005 MPSIEYELVPGTVYILNEHETISRTYSNVDITLRPTPSNDPGDP LRWPMWRKPYFLWILVHYANILAEFNTTTMLLNIGQALLILMLGVANLVFTPLSDKFG RRLVYLLSAFFVVISHIILATSKNVAGYIVGHTLMGIGAAPFEALPAISISDVFFAHQ RGTMLGGYVFSLAFGSFVGPICAGYIAVNQGSWRWVYWWGLILSAFIGLAMFCTMEET LFLRTVELSETSDRIPTINISTKTDLEAKGEDKQAKDIPPVDESVGESFHLTSFRFFG PIWTVFPGTSSDFLRRLWRPLTVSIFPPVLWCGVNYGTCIAWLAVLGTTVSEIFSIPP YNMQPNALGLLFIASLIGSLIGAYFTGPLNDKLSLYLSHRNSGFREPEFRLWAFIPTS LILPGGLIIYGTAAAYGLPWIVPAVGMGMVGFGISVGGGVTVAYILECYRDLAGEVVT TIILIRNIIGFGITFGVQPWIDGMGLQNTFVIMGAMSFAITGFSLFFIWKGKSVRRMT KNRYLRYHH LY89DRAFT_639168 MTMLRDWQQRCARKRAARDDLIPKSWLVPELMKSQSSNLLEVPT TCGVLTEQEIRITTDYDAVGIVAAIRDTTFTAEEVTTAFCKRAAIAQQLTNCLTEIFF DEAIECARSLDKQRKANPEKQLGPFHGLPISLKDSFKLKGKDATIGLVCFVGAPAEEN SALVDLLQSLGAVLYCKTNVPQTMMTADSENNIFGRTLNPNNIRLTAGGSTGGEGALI VLRGSILGVGTDVAGSIRIPSSCNGIYGFKPTSDIVPYSGQQNDVVDGIVGIPPSAGP MATSIRSCQFFMETIMKARPSVFDCSVTKVPWLDLKPPRVENLRIGVIADDTLHTPTP PLHRALREAVQKLVAAGVTIVPIQLTDVQSNMHLIWDLFSIDGSAHLRSLLDSTKEPL VESVRRIGLTTTPGKSIEEYFQINHARSISSKKFNGMWKEYSLDAMLCLPAPHTATPF DDWTVITYTSLFNLFDCPAVIIPVGKVEDIDLLDEAARYGENDQRVYDLYSGPELYRD TPTTLQLIGQRHEDEKLAGVSVTVDGILNGR LY89DRAFT_665662 MPKSSNFSPSHLFTTTTKYHAYSSKMQAAHRRDQLTFITLPTPL PEYIDSADIHTVALQSKLKLLSSTVPFNNEYKERAKKILDQVEALSGESLSSAFELKS DDVQELPMRLLGPGREQAVENSEVKDNETPSTEREDDNSKREQIGSEKEKKETEVESE KADDNTYRWDSFIAASYCWGSHTKDGQEGAEESPSSSSTKKHALPFSPALYQAFLLQR RSPNEGLWIDAFCLYFDRYRTEYHRNLRF LY89DRAFT_681805 MRSLYGMRGLEVCASSHPSNPSCLCKSPSTYTPHKNTFAIGATD LEHKFAAIFEDELYDNIGLNVLRLGFDVERLENPITIHIVVADEALSEDAACNIISMI LKVMMVVGLDSSEKDSIYVNVCRASRSDIDSCSVLNRNLDVYNSPTRLLYSLIGPIDI NSVGTLSGYI LY89DRAFT_609739 MADTKLHSLCSVCAKLAFRLGAFIKDNRRPRNFHRSLRHLENSA KSGCHLCMLIFTSLASENGVGDYWRVEPDGPVKCVFRNNGEPHLLVTWNLVIRRFKLS SLPAPRVLRQPSIPAAIQDSSLLRDGAIEKSPKSPILFSQISKWLNSCLESHQNCSSD FPSILPTRVIDVGASEGLRDSHIFITNGQSGKYAALSYRWGTSPTITTTSETLASHIS GMRMETLPKTLQDAILVSRALGLQYLWIDALCIIQDSPHDWAEQTGKMAGIYQDAHLT ISADNAEGSDSGFLADRNLQAIRSCHHMGARISIHPDIQQVFDVINVGPLSQRGWILQ ERLFSRRIIHWSHLEVGWECREMQSTERDPQGQVDIEWLGSNARKMFASTIPPNLKLD KTSGLSEDSIPPNSTFQDIKSDIYDAWYRLVEEYSGRLLTYPDKDKLIALSSISKTYH KRYSRILGPEISYISGLWKDDLARGLSFNYGTGRMGRKQRGLPQATVCNLEDPLTWKY MPPSFSWTRGDGAVNWQNRLGTGYNIPEYNVEVRDVYNITTGGNPWGPVESCWIVLRG VVCSRELIRAVRDYRYHTDDDVTTFDRIQRESYIRLSVTLKPEGTYEQTWLMIHPVGE ADEYRRIGVFSTFGDFSMNRTDKTNIKLI LY89DRAFT_681806 MRWPKSTDVLSSESWTSHVRSFGVQIDPSPAAAYLDLNMCFING EGSGTSLEWASERKLRICRAFKEGCERRRFSAPNHNYSYHNLKKAGGEQRKIHIRTLV AVMGCGIEWVKWMGANVLEDWDTGLLVKGVQRLLEHEKLNTEKGKEMEPFVTSYMDER GGRREAELLLDLSRYLMHYALPWTSEGAMTPYEPVCVVSRSREYDAGTRE LY89DRAFT_715474 MAKEVGMRVAEEVGIGGMRVAEEVGRGGMSVTKDVGRGGVRVTE EVGIGGMRVVEEVGRGGMRVVEGVGRGGMRMTEEVVRSGLGMTEQVVKSGLGITEEVA RGGMRMTEEVVKAFAKRIGGRKNLAGGSEDEALKGPK LY89DRAFT_770462 MRFNASLPMILSLISLTLASALPKAYTRSKQWNGTSPNFEIPQN ASQYDLLHPGYAAHKHRNSSYADHPLWKPSRFNHSAPWNTSTPGNASTWWGGWNNTVW NQSVNWNASRWNSTGDRHRVVSVVD LY89DRAFT_429777 MPTGELCSQSDAAIDVQAHSDSFFEYLKNTDTLLDGYRRNADKR VKIAIIDSGVDTQHPHIIQLQAEAEGWRRLRTASFVSSVEEGQDYVGHGTHIVATVMR IAKWAEIYVATVVDAQGTVNVRSVAKALTHAVREWKVNIVSLSLGFDRRVQRIDAALR EADQQNILVFAAVSNHGAAALRQVAWPASMTKVFGINSANFDGVTSSFNPPENDNDSF SRYKLLGEGIRSAWPLHLEEGEEKVLSGTSMATPIAAATASLFIEFMRQNRFDEEATA DAELITTPDGMKEIFHLIGNAKSKGDYFKYVTPWHLLSSVGSDTVKVDRICALGRIHE VLQSLRMYSSEGQDSASDTSGEPPVFTSASKLAPYTNKFAPYDIPVSALHQIDDPSAP RKPSRLSHKLQDAEQARHEASRRTVTNAGNNQQQTEDTRRAVSQGHVPWSGDLTPPSS SQRVAVNQFNPFTGYTSYPPAFNPFRHVPAGDFMNYARTPPVNIPYRPYSTPVPQASL GRPPGSRRNTQETFPSSRPSSAHRRVRHSRDNVAYASSTPSVPTRMLTTKDLEVQEQR QLPQLTAESLLAKERLEQDSDTEDTSEEADEDESITIRVTGQARIMVGGTMIEGTDGE LLQIRNRRPKSSTE LY89DRAFT_730013 MAANMDEKASAQISLELSSTGSYRVKRCLLAAIVFASTCFLLTS RYTYNTHFNSSPHTISSFQKCSINNFLSTGLPFLDNAAPLPISEFVQRRQNLATALVA DGLDAFVVEPGYTFQYYANISQKDWEVWEPEERPFLMVVHPVVGHDGEVKAKTRFLCP SFEVERARLLGMPFDDELEFVSWEEHWNPYETLRENWNGDKSGIVKDPKVMVDDEMRD FIQRGSGENGFEVVGLGGEVERVKQTKTKREIEILRAVNTGTVEAVREMRKCMYPGLT EDEVMEVLDNTLRAAGLEPFFDIVLFDENASNPHGGTDGSKVLEAETFVLIDVGAHLY GYSSDICRTFFPPFNPKPTTPVEFATLSSLVKEKLSVWDLVLDAQTASMVAMHANATA ASIDIAARNIISDAGYGDAFTHRVGHGIGIKAHESPYMNKGNTETLLRQGMTFTSEPG VYLVDKFGVRHEDVLLVGEGDELPKVLSGTRAVGPWDP LY89DRAFT_550680 MEPIFCCSSIRPYRPQKLSHEAKFTAFMEQATALATIVPTTFDA VALLNKETSYVVQVVRQVNYGAVEWKKHFAYTKVDGGSNFQEVSEKDLIDANYQKLNS YKNFKCIAHNRFFELNIYEKDPVNRHHWLANIARPAGDIDL LY89DRAFT_770464 MAQPQQLQQLEPKLPRLGNPKWKDPERRQSIKIDSRVKMEWRIN TPLWVMSCWVASYPARPCLTEAMMQIELLVDNRKNDVWDGEPTSRGCAWDRSMHGRSF SDAQPTIIFSSSSKICRRNAKKIIYDERIKVDPRGIGIQYYEHGPELLSGPLDIQAGL RNISCFSLLSNERTVVHNNEAIQNTSNRQTESLKVRDNLFLNGALVNTEFATCTIGGM LAIGKKTFALTVAHAFEQNISSNEEGGDDSGQVGTLKQKRRLAESTSSQSLDLDWALC SIDWNLFTSSNALRLPDGTMLNPRDVAQGDPIDTSVWVNTGLTGVEKGFVMADYSLVA LSGSNKFQRMWIVVLDRPVQNGDSGSWVFDSTTGDWLGHLVAGKMGSEVAYMLLAKDI VKEISEQNGGEDVRLHHELDSVVNSAEMIEEPLSSPQSSGSKQLQTPKNSFGIHQSYA GTKSTAGENPAILKDTVPIGPQLINPAARNITGSPARIRARDPELASSIDDRDKEVHV KDYPHGWPRLAAFMQSNDNFIMFRKFEMLHCRELLHLQGEITVLERQRVALDAADAES PHLRYRLRTNFHEEGGDTAHIDLRVKIRSKLLEYDELVLKYSQMKSLRKSPKIDFRDL FNWISLRKPLYKGEDDWIFHADDFVSLSKQAIWPRWFEILEDYASRSPKRVLQWVKAI FQSHRDDSYDRRYNTSHIKVITACLTTVAVGILLIPAILLFKLDLSRSESLALVIVAV VVFSWCIAFFNTNAKSFTATATYCAVLVLFLNNLVPAKNT LY89DRAFT_770466 MSSVPLHRIDQLQGSLRKTPNTPTFSLAVTRTIWTTGPPMPGFQ LRDKEYRSYFVHIYKELTAAACSGDSQIPDLTHKQILEIVQQLKVHPCTKSEAQDFFR SASNNNDAQRIERAVILAAGLLVPLNFKAGGGARRGATVSWENNDTLSQMAEKEFAAR LQTSSAARTKCPSCNRVTKFPRSFNARQLTRIAGFEIIWTNNLLDHLLLEDDDGTLKV YIFHQAKILEHHLSLANTIIPSKLSKETLHTLAMLIPRSDRRVRKWYKGLQKTHVLDP GVLSLEYLKPELRDIDHFKFWGD LY89DRAFT_681810 MAPINIDASYRATPDPEGDSQSSSSNSPARADYEESDFYAGNND SQSSIGVPTFQDMAVSEESCEPPANRLPAEVLIGIFSKLSSPVDLLNCMRVSKRWARN SVDLLWHRPACTTWVKHGFICRTLSLPDPYFAYRDFIKRLNLAALSDGVNDGSVTALQ FCKRVERLTLTGCDGLSDGGLVGLLEGNHHLLALDISGDTQITEASMYALAENCKRLQ GLNISLCTKISNQSMIAVAENCKYIKRLKLNDCEQLEDAAIMAFAQNCPNILEIDLHQ CRSIGNGPVHALLSNGQTLRELRLANCELISDEAFLTLPPVRTYEHLRILDLTSCARL TDSAVEKIIDVAPRLRNVVFAKCRNLTDVAVHAISKLGKNLHYLHLGHCGHITDQAVI KLVQTCNRIRYIDLGCCIHLTDESVTKLAQLPKLRRIGLVKCSAITDKSVYALANATR RRHIHPELLGDHHSYISTSGGSSLERVHLSYCTNLTLTSIICLLNNCQKLTHLSLTGV QAFLRTDLEQFCRDAPPEFTEHQRNVFCVFSGQGVMGLRTHLNKLPLTHFDPTGTGPV DDDEDHTMTGTDHATLMMSAAALNADEDDADGDEELDDGDDNGGVSFNHGQT LY89DRAFT_681812 MSDDARKSPKFSWDYDIPNDAFWNSIEYSAARNFLQCYKESEIS KMHFDNKLSLPAKYKLMRQYLDKTFKEKEEEVAPAPLLDANYPVWLQLKLAMSTMEYY LEDYNEQERLAREMYECAPNDNKKMSALHQLSGILEKTKRYADAERMAKKVLPWLQGH ELLGKDSPQALSCVRTIASSIWKQKKYKEGGEWMDQYGMLVGSMKDGKFEKYRDTEMK LYVEAKRALWEWRREQGDA LY89DRAFT_681813 MSLRNPTIRLLRTHIPRPRILRPISQFLPRYSSTRELPSQSNHV EPPPALDPITLHRLEAEHRAYQQRRTYYLSAGVVLGMLAIWITATSIDLETYPPASKL DSGRRSDDPLVVLGRERKVVVQKLGEEPEEKVEIVATGTSYVPTFPRIVLFVDDEREI IGNGAGPAVSDDRLVEYQLLGLGIRTVSFLGVQVYVVGMYVATDDIAALQEALIKKID PIASTLVAGEKEKLREKLYDPEEGTRIWSEVLRDAGVRSLMRIVPTRNTDFPHLRDGW VRAITARAQGNRAEFGDEAFGKSVADFKALFNRGTVPKQKELLLSRDKQGKLAVWYDD GKVGANRLGDVADERLSRALWLNYLAGKTVASEPARKSIIDGIMEYVERPVGTVATQV HV LY89DRAFT_715480 MSAAKTKAQNIIDENAVAVFSKSYCPYCKATKQLLNDMGAKFYA IELDQVDDGADIQAALKEINGQTSVPNIYIKQQHIGGNSDLQAAKGKLPELLKDAGAV LY89DRAFT_778827 MANAPSYIDTSLETLQTAYSSLPPSTQNYISTAAKYTHLDSVPP TTLVSSIVLLTTVFAVSMSGWGRSFWGGGSNTRFSPFGSRAYPPNVTEDDFSYITSDD LAHPNRTYDPNGRAPPPSMAAEDDVVLIKSKGVTYPVKFPAYSIGDGKLQVRDLKERA AAVMDLPSGKMVKLLYKGQQLKDDYKPCRDYSLKNHSEVLCIVGDAPPEESGDSEDDD SESVPASKKKRNRKGKKKGGKKKSEASKGDPNLSPGDGTSTANSRTVSPAPPKTALDK LNTISSHFHTKILPLCVQFTAAPPSDPKKKDFEHKKLSETIMNEVLLKLDAVETEGDS EAREKRRALVRETQGVLNGLDAKVAE LY89DRAFT_705099 MSTFEPVITIDGKGHLLGRLASTVAKQLLNGQKIVVVRCEALNI SGEFFRAKLKYHAYLRKMTRYNPTRGGPFHYRAPSRIFYKTVRGMIPHKTARGAAAME RLKVFEGVPPPYDKVKRVVVPQALRVLRLKPGRKYCTVGRLSHEVGWKYKDVVERLEE RRKVKGQAYYERKRAARRQLSEAQKSAKVDDKTKKQLAECPEIEPDAEAIYHVTANGK VLRRNYITFADRARGLAYYLRKRGWKRIGILAPNTPAFLESIFGIAAAGGVSVAVNYR LKTEDISYIFTFSEVDVIIVDEEYLHLLDDFRKQSPGVPFIIDTDTDATEGQLSGPFD EAVLEGLNYDHQQGNKGWAGLEAQCQDENGTIAIPFTSGTTAKPKGVIYTHRGAYLAA LGNVIESGLNYQVGRCGYLWTLPMFHAVGWTFPWAVTAVRGTHYCLRKIDYPLIWKLL KTENITHFNAAPTVNTLLCASKEAEKLKNPVRVTVAASPPTAHLFEQMTNLNLIPVHV YGLTETYGPITKGYHMPIWEKLPIKEKYARMARQGHGFITGLPIRIIKPDQPEGVLID VQKDGKEIGEIIFFGNICAKEYLKDPEATRKLFAGGGLHSGDLAVWHEDGSAQILDRA KDIIISGGENISSVALESMLVQHPDVLEAGVVSVPDSHWGERPKAFITVQQGKSLKGE DLIEWAKHESAISKFMVPREVEVVEELPKTSTGKLKKNVLRMWAKGDYSEK LY89DRAFT_577528 MGSLGHETTPLQVAIVGTGIAGLTAAIALRQHPNINVVLYEKAT ELKEIGASITLGPNGLRTLERLGLQDCITDQVAYRGPNPISRIYRHWKTNEIIGEDFY ENVSEPLHYTARFHRGHLQQALLKHIPRDTIHLKKKLVSVTVHPVDGVQMTFQDGTTA TTDLLIGADGIASGVRKSFAPDFKLEWSGHTAFRGIFPASLVAPIAGVPLDSTHWWGP DTNFFATRLARDLFTVQGGIYADPNDPVAIAKFRDGGRWDREADVELLREKYVDWNPV VQNLANTCPDIRYYPNYFCGSSLPTWIFGLGRVTLIGDAAHAHGGAFATGGSLAIDDA YALYLAILEVYPVTETGRRLGREGVERALRLYESTRRPHAVRLLKVVHANNEMKTRKI LAETRETDEELRARAKAGSGTTWLHEHDVVKAFEEVVRAERERGKGVSEVTAR LY89DRAFT_430020 MRLLGLMHEGDPIFAENENALVFVYAELWSWRHVVDELSWRRNS GVSAHLTGAVPRGNLHTTIFWAIRISEDGTFYIFQAVLYPHLPNALLHHQPGTCSIPL PHLWRQLPSSMHIGPYATCTYLLATWPAMPFSASCTKVEFPMSDWVSSEDQARKPLKH DRRGTLTPYLG LY89DRAFT_694812 MGITTTPPTSVRWNGTSVPVYPMQTVDFSSLLSQEPAELEKLLQ CCQTEGFFYIDLQGIDGRRMLDDQQETLKLMHRFFESPLEVKNEYGLVSPHLGYEPVG SRTGVLENTKDGYEMIKVSRDEIQRDSPHIPRNIKNSGDIKVLENAIAGSNIITKTIL SALSTGLGLAGSSRFENTHRNHRPSTSTLAMMHYIPSEPVTAKNIGHQKHTDISSLTL LFSEQWGLQIRPPGAKEFGFVAPKVGCAIVNVGDSLRFASGHTMQSCIHRVVPLDPNE HRYSIAYFLRAEDETMFTDSDGRYITAGQWHDEKFYTFTAPEEEQMKAPAYLLLGGMK EDAEVTVKQAEVEAY LY89DRAFT_665681 MEGDGNRLPWGKPKPPTTPSANSDGAEQVPLWRRRGSMRPPIQD AREPAPRRQELTQEPSSSSSPLPSTRQDPPNIREPLRRQPDPRQDIVAGLPPLPFRTQ DPSSANAPQRRQDPTRQVQRRTSDTLSRSPESPIAREPPRRRHDSTPDATTMASGGPS RRRGSSTQRAGASRDYSAASWRDNANPLPIANTPTPPPPAIHPPWPKAHPTKLTNKNV AYMTIRLSDINPGIMPHISIKECKFLASYNWIKADVASIYVPGTPARWSPPALPFTIA PDSEQDEHRRLITAPRWAPFFASMLIMQPNYPLGEIDLVTDRSSLRRLFEWVCSSSTE DSWRLDANIVEGTMFLSKWVKSFGRFIGPGHSGYGFGFEKACLKFEGDVNDSSSHHRI LEYELGGLKCLVQSEADGYVADNNTQAESGESSNSRTDASTSRTESLFPEVDGVKVIQ KGDFVSPLSIVEAKCTSHRTPLQQQKDRVSLQCWLSQTQTVLAGQHQQGTVNNIETFR MGSSFSQWEKHVGHQHELRRLIGLIKVIRKTARDNASGRCYLIYDVDAGPGILQVLRA NDDTRVSLSDEVKEQFWPRPTPSS LY89DRAFT_681819 MDQTGKPARWAPPLPVTARWAACFAALLFMQPSYTLLPGSIVAD RNSIRKLLDFVSGKRSQYDWQIDAQMMKGTIFLTRWENDPSQHVIDRFYDGYGKSFEK AFLEHGVGLEDSSSHHRIVSYELGGMRWILQFEADGYLVDNDADYGFATDQGTDVSVV ALPLSKRVDAVSLNSNQQYEMLEGVKVIRRGSLVSPDSIIEARTRKNGSKSPSGDEST SILVLQDESHV LY89DRAFT_430765 MKCSNPFAFAPMSVTLTTTVVYLAILIPLLVIHETVPPAPTNPT VYRGLNLTEAWLDLDELSNGYHPFNSRRNDDVRNWLLRRIESILHSNGVSFLSDDSAN TQSWDASPSKLPLEAANLDELDLGSTDAKPASTSHQDLRTRTTDHAAVVFSDLLSNYT STALTSIGVSGRRAGISTYFEGSNIIVYIRGTEDEEGDWWKPVPPFTPRTFGKGGIMV NAHFDSVSTGYGATDDGMGVVTALQLIKYFTTEGNTPKRGVVVLLNNGEEDGLYGAKA FLSHPMAAFVHAFLNLEGAGAGGRATLFRSTDTEVTRAYGKAKHPFGTVVSADGFALG FVRSETDYVVFRAEGYRGLDVAFWEPRARYHTNEDDAKHASKASLWHMLSASVETMKY LTADTKDFIGPRGDNATGKVKNGSGSDGVWFDLFGSAMAIFRLRTLFAWSLALLISSP LILGIISYLLVRSDKYYLFAGAVKPEGQDSDAAISLKGWRGAFRFPIVFIVSAAITFG AAFLLRKVNPLIVYSSQYSVWTMSISLFFCVFWFLMAGCNFVRPSALHRVYALTWMFI LGWGLLVAATVFEDRYKISGGYMFVIYESAIFLATLIGLCELFALPKKMSIVEDAHEE NETREAFSAIPNVEALAPANDGGDDEAEASETTPLVGGNGHRTVLGNTFSRGYRRSIT DQTDGATDDGHSKHHAFGEEQRWSAKLPTWTWLLQFLILCPFILVIIGQVGLLLVSAL AQTGPDGSPLLLPYLVVALFTVLIILPITPFMHRITAPVPTFLFLVFIATLIYNLVAF PFSANNRYKAYFQQTVDLDSGINHVTLAGLEHYIRDIISNIPSAAGQSIDCAPRPQIR AGVSFCTYEGLAPQVVDNVVEGVPPEKGYQDWLHYNVTRAPGLNKATFHISGQETKAC IIRFDEPFTGFHVRGAAPSNGRWSDVPESGSDQIKLWHRDWNREWVVDVEWPVSDSKK EGEEGRRGRVVCLWADQNKLGTIPALDEALRFSPAWTVITKMMDGLVEGSKAFVV LY89DRAFT_681821 MEVFVRDVPEQVTEKGLRNLLQPYMRTLKIEIFHCTKQQQKRFA FLVFLTAEDGNRFLQHYGQQKPSRNAPNGPVFKPKIQILRVTIFCGVSNKPPNPHILR SLEKEQKDRKAKSKTAPSENSKLPARKDFPVLSVSCGVWGYADTDLVFIPYLALHENG QAIFGSRSLLVKLDSGKRIEFLYSCTYGITMQDAPRPSFSVQTWEAPRFYEHESMDLI QSLIASAANLNLNGQRGNKRRRVGSLTPEHAIIAGSCFVYRIELSHDNAPPQSNSNNH IEDDMRALQKVPGLPPIAHQQIDGHRPRKSFASELKALDSTFSASQTLPWKLKFQIRL LVSNGYLTPSQTLAMLPEFQKLHGRSELDICITALQRFRNQILYAGSDTDAKDLELEA LVQQLREAEAKAKSDDRLRSTDGPINLSSDHLAMIHRAMITPAGIYLDGPDAEPMNRV LRKYPNHHEFFLRVQFGDEDGEPVRFNPRVSNDLIYNERFKSVLRNGISIAGRRYNFL GFSHSSLRAQSCWFMAPFTHNGSLLFDRMLIQQLGDFTKIRCPAKCAARIGQAFSETP TAVSFPPETFIKINDVERNGRVFSDGVGTLSLAAMRKIWKAVPSMRDTKPTCFQIRFQ GAKGMISLDNRLEGERVHLRPSMIKFEGSTSVDIELCGSNARPLPMFLNRQVIKILED MGVDDHWFLDLQSQEIERLRNITSTAANAAKFLKSQSIGETGSLSWFIKKLTSLGLEF RSDRFLRDVLELSVMMQVRVMKYRARIPVPLGLTLFGVMDETGILEEGQVFCTFTQGK DTTILIGKNLVITRAPALHPGDVQLVEAVNVPDHSPLRWLSNCICFSQKGERDLPSKL SGGDLDGDLYNIVWDSGCKPTHYCHPADYPRQLPQDIGRTVERDDMTDFFIKFMETDQ LGRIATSHQVLADQKNMGTSDPDCKLLAELHSTAVDFSKTGIPVDMKLMPKVIPVRPD FMAPGPNIKIHKKEGLLIEKDDNTPIDENDEEDFTPYKYYESTKILGKLFRAIDEHAV FSDLHKYRMTSRDSLEILDQVWDYVVRETRLIQWHQHLSEAQEIREMYEESILSIMKS YSEHPARPISELEAFIGNILGTQGIQSRRQRDLSIPMKEAFDREVASTVRFIRGVTDD YEDLEETLARSIACFSVSLGEVSRAPAGGRKTEPLVSFRYVACAVCLRALDKYLEDD LY89DRAFT_694815 MVERSPDDVTELLGSTFARLARSGTILVDQSPPPEPKNWELDTA DDLRRRNTENSSSSSSSSSTGKGTSTSTLAPTTTSSGIAVAATETATSLPTPFDTGFN NNITTNCQSFMTSMLSNSTFKSCLPFSLLLQNSNSFFQASKSLVRITQTLDYSCAANV TTCSAVMASFASNITSTSACSSDLASQNPTIQQARLGLLAYRPLYSASCLRNPSTSAY CFADAITNSSNPTDSYIYFLPLNVSLVGGSQPTCDSCLKNTMSVFEAASSDRSSALAS DYVSAAMQVNVKCGPNFVNQSLAAAITSGSPSSLPGPSVGLFALVLLVASWLL LY89DRAFT_778835 MLGVAREKARVEGVGVDGEEVVRFLEGDVGALEGLEGLELGNGE GEEEEEEEEKFDVITICSALVLLDRPGEAMKGWVERWLKRGGRLVCDVPCPRAMLGIK TLARVGKMVGVETLGDWEWVEGAESLRKMMEGAGLDARVIETEAWEEVPSRTKFLGRM GEEGVWGVEEGGDVFDDLTRGREKWRERREEARGLFEVEWRGMGGEDGLVREVNGLFV GIGVKR LY89DRAFT_430856 MDMSKEAPPRSETSLSLVDDNIALALIDGERRALNNEPVGSWFG LGLSPWQELELHFKIVACHASDLAYILRQRAFQTVKLQWRSDYFAWRMRCLSRMSLAM YQTIHGSGRKSNSFQDSKDFLATSAIVSLKNCIRNLQVFGSFCQAIQHQSGMDQTSWS MVDLGDCSDEELATGAEERSFDFMIHSAETCILNAAKLVSEAATGQKELYDKISYHTY VASIDFSVGVS LY89DRAFT_430873 MSEPRDENPKGTSVLLLQDARPESEKSLHTAPEKSYLSTPSTIS VTSPSGSDIDLVESTASEIEMASPVTTSPMVAARSLQANPRKRMQGYDDYQSELVRQS RSSFPNNNLPCLWEQCGIVFSSSTERRNHVRSHTINAVDCRWPGCARSFEGRASLNKH LDTHIKPHACPNPTCQHTAATPRDLERHMDTHQRLLGYYCPIDSCRASRSSSKPAFSR LDNAARHIKRIHPGSSAIPRPKLGAVHNIKL LY89DRAFT_430859 MASSDRSEIFLLRLASRDNDGFEDLFRSLMTHAVVKSAKTRPGA IRYLDTNTPKVIIVTDHALTNESNRAVLEKLKSYIRNGGRAIFGFDFCHYLVNRGGRG TFGFEFHNHPAASPSTSFFKEEFGVPWRLCGPGSEVFTLGSGSNLLTGTSVSPFPEQS HMVAVAVMGTGPGEEIYSFEPANNLQPPGDLRPVAIAITPVGSGYLMYFGNIRAAVAR LDIRILVALCGI LY89DRAFT_609778 MSHPRRAVIAITSATAPLHNGNPTGLFISEALHPFQVFTAAGFE VDLVSETGKYVPDWLSQQPDFLAGEDKKQWEDVSGAFRQKLDNMPNVKSIDGKKYGIF FASAGHAALIDFPHAKGLQKIATDVWVEGGVLSAVCHGAAIFPGVIDPDTGKSVIQGK TITGFTTQGEYDMHIMEPIQSWGEPLIDEWAEKLGAKYVRSAGVWDDFHVTDGRIVTG MNPQSAKSTAKVALEIFEKL LY89DRAFT_561961 LLYLQAELVHLEQKLHRLEATDSTSSEGHRARYSKDWYWLDNPS DGDADEAVLQQSAISRLANPEKHDLRALQDWLEREAFGNLALIGADRETWGRTDQPFD SHDLFTLNSGGCEDKFSRWFSRKFIAWFHYTFRHRRSKSGGDLESGINYYSSNVAAKY TSFVTNVVASLLPILATVVLYFASSMKLRLGLTVLFTLMFTSC LY89DRAFT_730035 MPGAPKSQINHFSAASNKVFRRAIILRKMIKRVAKGKEASNPFL KTIKIFCSKTETTDAKMILDTGCEAHNLISYQVIDDMHKTENIIKKESILKFMGFGGR TMLPKKTKDEKAEAAERRREHDQEVATNEADIAANEMAKQSASSWSNWEWDESQQSYY RARLIGDAWQYQYSTNLI LY89DRAFT_577871 MANLLSKEVAVTVSQDARVKNIGDSALLSAIQRRDTEMVQFLIE AGANVNELSNFSTMSFIGTALQAAAARGRTDIVQLLFEKGSNINEPLQGQSAMTALQA AVHFGHVNTAKFLLEHGADVNASATNYEASRTALLTATINKNLVMVKLLLDFGAEL LY89DRAFT_639213 MGLVLFTSLGLLAYTLYGIVHRLYLSPIAHIPGPKLAALTRLYE AYYDLYLGGKYTFKIIELHEQYGPIIRISPWEIHISDPDFYHTLYASSASGHRRDKYE WFSKSFGLDYSVFGTAGHDLHKMRRAALSPYFSMASVRRLQPVIQERVDLLLERLKGF RGTGEVLMASWAFSALTNDVVMMYCFGRRDKRLEAEDFDPSYRDASFFGSTAGSFLKH APWVNDLMKSLPNSIAELLHPAMASFVAQKRAVLAQIQAIQAGVNNAHEDHSHPTIFH SILSSKLPEHEKRDIRLSDDAHVLTMAGTLTTAWVFEVVMFWLIRQPETLRKLKDELT TAIPDISMIGTIPLPVLEQLPYLNAVMKEGFRLTYGVSCRLARLDPDNEIVFMDGDKK YVIPPNTPIGMTGVQIHHNQSIFPNSRLFSPERWLDGKNKAIDKYMVSFTAGSRQCMG INLAHAELYLGLSAIWRQWGSKECRGKDDVGVFELWETGLRDVEIESDAFLPIQQPGT KGIRVKAFM LY89DRAFT_681832 MDCTQDLHEEFYQFRSESRFIKIVKGVHSVVILPSPSHNTFTQR LSRGSTKFKSDTNMTSSTLTIAPCRFERIVALMFEFSEPTIDPMPEKTRQGGPRNCSL ETVRRCLSLPIGCDPTTPSTLSSLTFSLDCEDVVFVSVDTKRVDLKEFSISVLDTRDL KSLNRTSDCSSVIKTYSYAYQAVGKVGQQTCDVCPPLQRIEERWVPRLLNNILCTGSP DPKVEEERVVILVGSSIGTDPQSPFGSPFGSEPVVKVPLVDIVQLCADGFETMEGPVI LGVPEEYFCDACLMFKVMMILAIDSSDLGTTEEQERRAVLEAVVHAHLA LY89DRAFT_770501 MWFPNAVALLSAAVAVSSTPVTESGAHALEKRSGPQFAQGEPID GSGKGGPILGGTNHQLDIQNPDNLGAHTTDNGLLPNLKWSFSESKTRIFNGGWTREQI ISDLPASTDIAGAQQHLEKGASRELHWHRVAEWGYLYSGSVLLSAVDENGDYQVDKLE VGDIWYFPKGSAHTVQGLDDDNEYLLVFDDGNFEASGTTFMVDDWIAHTPKSILAQNF GVNESIFSTIPTPDPYILNGTVSNVNTTGPGSGLYGNSSYVFHHSQKEVVSVPGGGGN LSIVDSRNFPIATTIAAAIVSLEPGGLRELHWHPNAQEWLYFHQGQAQATVFIGGANA RTFDFTAGDTAVFPDNSGHYIKNIGTENLVWIEIYRSDRVADISLTQWLALTPANIVA NTLKIPLNVVQQLKKQKQLLIKGN LY89DRAFT_665695 MIKSFVTIALLASTALASPVPEAEPEAQLSLPPLIPSIPGVTEP LASNAPPLPILQIPTPALPSPPFTGSNIRPKKIGYFWTGAGDNEHADFLAAYSLDDDT FGTFLWLADVPSSGNSPHHLGVSHDGQTLIGGGLLSLLKTQDTAYYFDVSNPYRPAFK KSNRGILASITDEIRAKPDGGFLITYMGSAVGTSPGRLIETDANFNIIHEYPEDVAGT LNILGEQFSPHGLSVDWDKNLILTSDFVVPVTILKPSLGIQHANTLRLWNLASRTIIS TLTIPNGGGIQDVKFIPGNNESAALATAVHLGQVWIVYPFRYDSNGNQGVVELLYDLG PKAQDTIAIYSDITQDGKFIYLTLTTANHIAALDISDLNNVKRLDDPDEVQPTIGPHY IKVTPDQKHIVVTDYFVQTGDIGLINTPADYKALYIDINPDGSLNFNRTIDFERNFAQ TRGGAKPHSVVVFDFTDPANPIYY LY89DRAFT_705107 MGAPSNTDPEQQTPSSLSNAYKTSPDEKDDVTIEKTGVEIQVAD SSRNNDQRKSFKDGFASLFTKQGLSDTGAVLWKFARFTGPGAVITVAYVDPDNLQSNL TSGAEFKFKLLFMILFSNVVAVFLQALSTKLGCVTGMDLAQMNRAFLPRWLNFVLWLM AEASIICTDISQVIGTAIAINILNSKIPLVAACGISVVDTLVILLFYKPDGTLRRIRI FEIFVSMFVVAIFIMYCIELSYISADVGQVFKGYLPSRQIFVSDGLYQSCALLGGTLM PHTIYLGSGLVQPRMREIDRLHNRLHEVKASDSKYAIDLYKPTLSTIRSTMSYTIAEL CITLFIVAIFVNSAVLIVAGAVFSEDASDADLPGLYQVFVDTIGLASGKIFAVSLLFS GVSAGIVCTMAGQMICEGAMNWRMNPFLRRFLTRCISIIPAMIIAAAEGQQGFAAALN GCNVVLSVALIFLTFPLLWFTSQNKYMRVRIDDTKEPVGVVDGILTYQTERAIWASGG DVEDTISLTNNKATTTVGWIIWFIIAAMNVATLTFLGLGIGGD LY89DRAFT_639220 MRAALIIRSLQLLLLARGSLCSDELATALSPVSTTSGLVVGHIA PNKTGVIEFLGVPFAGSTNGSQRWLPPQRFTSNLTFNASTYVTSCPANIAGTVAFPNK TAQFDRIFLAFTTQGGNVQSEDCLSLNLWVKGEPKTGDLKPVLVFLPGGRWNTGGSKS LFYNGQYLADAEDVIVVTINYRLNIFGFPGAPGIQQNVGLLDQRMALEWLRDNIAAFG GDCNRITVFGQSAGSVSVGYLAYSYPDEPIVAGYIMESGTPHSWTPLSPTLAAQHWYN VSSALGCGTTGDVLSCMQSKNMSAVLAAFAKVPYDPTNALYQPQFEPVEDNITVFHDY SALAAAGNFAKLPLLIGNNDFESGFYQIAAFATNKSSNATQQKLFNLEAFTCATATEA AARDASGATVYRYRYFGDFDNLRLFPNSSTYHGSELEMIFGTAEEVSGLPSTAAENAV SAYFMKAWAAFARDPQNGLSQLGWPTYGNSTTPTLVRLGYNDDDTPSFVAASSSDADC AALGGAVDLGKGAM LY89DRAFT_681835 MGIPYSKQINLAFDQVTPLVAAGFKVLQTTRNITYLLAAIQILT ALFLGLILITLVAVLITVNPDLEEERRSLVTPAVRYLANSVVLYGRWLQVGIWTAGIG TAVGTAGGWYVTREIEQVDVEVEDPEGEDKDGEVAAS LY89DRAFT_681836 MGSNDSTRIQTACAVLNSYSALTVEALLKPLADDFIHQVFPSSL EFPPRDRESFAAHASGVTSIFSSFAMVPHNMFEDASKNTVIVQAKMVGELHGMGKWEN ECVIFMKMLEDGTKVVETTEFVDSLRSKQLQEKLMAGSKGNVLKNDV LY89DRAFT_577895 MAPILQTLVLGSSISFSFILAGNAITQSFMTVPALLVGFPNSTS PDYSSKSALLGRQWGVCWTAGNVFFRPISSLGALGYAFTTYSAYASATTKADWRLFAI AALMHVVVIIHSAVNMQPLNDKIASLDSESKGKDTLDLGAETLLRKWGKLNYVRIVSP LIAGTFALSQLFL LY89DRAFT_694823 MWLKFKEWADIYGPIYKTEMLGATFLVISDEKIAEELLVKRAKI YSDRPAIRSLFDSKSTHGSMEYLPLMGKNKYWARQRRFTHAYLTQASNNHYYGVMNFE VKRCLARLCEDPDNFSFTLEDMASKIMCQLTWDDTSFSAYYMKNAWGLLTQMSPAGPI TNVLTPLWHLPFAINPWQRAERKRHDEQQAFWMQKLSEVRGKMAKGEARPSFTRQYLE SQQTSNLSGDYEGSSVIGMMALVGIFTIAGPLHYFLIAMVFHQDWLAKCQAEIDSVCK GRLPTLHDSPKLPILRACILETLRWKPNVPTGVAHEVEEDDFYRDVFIKKGTRILPLD WAFMRNPEKYPDPENYHPERYLEPSWPTYRAPLTMYPNIKGLSSFGYGQRQCLGQTLT QDELLLACGSLCWGFNMSKKIDPQTGMEIDIDLNASNSLLIVKPDPFKMQFTPRSPAK KQAMLKQWEEAEKANTEERVAFLRAARSAKIG LY89DRAFT_681837 MGSIDVSQAIPDAYPPLPIDVLIVGTGLAGLTAALECTRKGMKV RVLERNPTINTAGDMFFMGLSSTKWFKHWPELAAEYDRISLHNAWIETYRHSGEPMIK TMKVSERLRAVGLDPNTPPGTFQMRPLIYKMFVDQVARLGVKVEFNQRVVDYFEDVET GKGGVITADGTKYEADVVIAADGVGSKSQKLVGGQVRAISSGRAMWRAAFPIGNLDKN PKVKEFFSMVNGEDPIVRTWLGPGTYALTLTRPDMMIWIMNHDATGSEKESWNDTIDV DEVLKGMDDVPGPVKWAPIFKDLVKCTPKNTIINFELLWRNPQPSWTSPGARVIQIGD SAHSFLPASGNGATQAIEDAITLATCLQKGGKDNIADSVRAHIRFRFIRTACAQKIGF VNADLLQETDWEKVKLDPRRAQPLMPRWIWEHDPEVYAEENYEKAISSIKNGVPFDDD ENVLPNYPIGYKFEPWSIEQIIEDKRNGREVSLGTGKWL LY89DRAFT_715501 MVGVPLSRGCQTCRKRKIKCDETHPKCTRCKKSGLLCLGYKSRL KFMDEGPKLQKKPRQPQRLVRQDVPRTLPSSASENLSLQLCQTFRSDLPAVKNLRSFT KTYFVNDLPRHVGLSTACDLAMKALCLAHSTLLSSCGDSFVRSRIQYGLALTELQHCL ADSRLARTTGTLCATMILGIIEQLLHADFRASIRHAGGAARLIEVIGISHFDDPFAYG MFHAFQGAIVVESILYQRGCFLDSPLWRGVCERTQTPFSALFSLLSQFPEFLSALRDL KEKSSDAEEDRIRLREKIVRLRNDLLIWRFDPAYTELFHTISHHAEGFLEKSIIYTSS KSLSLLITYSAMMILINAALLVLSGVEAFDHRAENLVLARQICQSYENSRKFLPVGSV AIDFSFRAAYLVSDSEQRGWIAEKLCKMQSSLCRWQDDQTIVVELDSCFDYLAY LY89DRAFT_431042 MRSWVQSPVKPVVAFFFFASCEAITINFFYSSICCWWWRNAAVL RLAFCANRVPISPSRVSDPITPKEIELYVGTVFKPPHHNTCYCNSHLNI LY89DRAFT_730049 MLDILIAIYKNAKAGHAAGNQSTTETASLANLVFRADDATQTAA ALVVSARPTPKLKNTSASNTQPNTSAFLHSPCGERRTCFSTPSSQPPSSRTTIPLAGA KTPARKEIVIFFWEARIELRNGSNFGLKRSIKIIRDFMERVEVDILNLS LY89DRAFT_730051 MRAPVGGQGSESEEDEEGEDPAAGDEENEEDDQLVQTMEDEDTI PRIEGELAKCLGKAAFSLALQHGRRKGSGLEKNIETLSQFVVFLSGISLFVLQCEEST TGENKDNAMATYPTVMSELKRKFEKDDTEAARLGDSMEVSRDPSTFRNK LY89DRAFT_715503 MVGECRRSEGGDGAVCFELEATVGTVLADDKIRGKAAGVGDNVD EGCVAFTFFFFLTGSGFGSWTRATHIQVLEADQYGQILRPSSEHSRVRSFPYGILKEP TPNKVPTDLEVRFTDEIADSMDIRTKSNDAVRQIVDKVVERAIDMNAPKHVIDSFIWN VALSGDNASGEWDIDQSVTESDKSVDKINTFRDYLRSVVHGSMVGKEFYKCVIKNTIF AALEEHRENNSALTNDDEEFPVLKHPDNMLQALEDSERSPSPSATPVRPVFNTTRASS TFSTIQA LY89DRAFT_577724 MAAENRPLLPKNAPFGTTDAIESLTSSPKNVDEWKPSKRLRWVQ IATWANIFLSGFDTTIALSTYAVIGSEFDAANQVSWISTAYLITATAFQPLYGSFSDI LGRRDCFVASISLFLSGTTLCGLASSMWMLNIGRGMAGIGGGGLFTMATIILSDMVPF HKRGFYQAANNTVYGFGTACGASIGGLLASKLGWRFGFLFQIPICLFGIVIGYVLIPQ NNSKLFPEQARSERVDNRERLKRLDILGAGNLIIGLAMLLAALNMGGNVVPWNSIRVI GFFVASTIFLCSFLLVETNMAQYPIIPMRMLRGRVAVVSIFLNVFAGMAVYSYMFLIP LFFQAVLLESPANVGVRLILPSIMFPIGGFVSGWIMSRWNLLAHLVRTGTVIVAAGCA LAMIFNEKTSYWEYFACLLPVNFGQGLVYPSSLFLMLAGFTQKDQAIATSTVYLFRNV GSVMGVAIGSAIVQNALLKKLSASLADVPDAEKIIHAVRHSVEAICDISLEYRSVVIT CYLDSLRYGFAACTFFAALAFLSSFFGKNSIQNR LY89DRAFT_770516 MESSSAQEQSSVDGCGVGPVKKLQERGFALNDIMVICYYSEAVK ALKNFLKSQGIKVDEHSKVSRVETVGLALDIKTVDGSQGMEKLVVITMSITNGCNKDH LGLLRDLRRIKVSLSRAKVLRIGVAHRGIGQLGGISGGFGL LY89DRAFT_715505 MASPSSYPPGTHHVPPPRASSPATANFRLNHLMLRITNPEASLR FYNDFLGLHTIFVFNTGAWTIYYLGPPSVSMSELGTASGLLELYHIPGHLDAYKNGNE NGGEGFGHIGFTVPDVENALQRAAEFGFKVIKPLGENGVETMGVPSFVKDEDVVEGYK FVFRQLAFVVDPDGYWVELVPQVVRSPSN LY89DRAFT_577423 WTLYALAVYAILHCGFGKHIAAVMLMDPSGRILEKGELVIEWLG ELVYVLLITIVKCSVLSMYRRIFPTRVVQLGTMVLGVIVAMWFLATTLAFIFQCFPVS KAWNPAITTGSCIDKEKFFLGNSIPNILTDLAILCLPVYEVYHLQIIKARKIGLLFVF LLGGLVVIISIVRLKVLIDIAREGLAADYTVEINGANIWTVVEPSIGMVSASLPTLRP AIAILGKVFGISSSGSKDNAQKKSDSQHTVVTIGGSGKSRKATRAKGSGITTTTSDVQ GSFERLTDEHGQEDSSLWPPGYENKRDTRVTSKGPEGYVTDIPLKRIAVKHDIDWIET RNADATS LY89DRAFT_639238 MTTDKPIILLAHGAWHPPSLYDPLKKALETRGYELLVPELVTMG AGKTGKTWDADVTMLLENATPLFDQGKSIILLGHSYGGIPACIATRGNTVDDRRTLGK RGGFSHLAFLAAFAMPAKGMSVLSVSGGSWLPWHKVLEFEDGSVSNFLFVNEKAMDLL YNDLPPDKAQMMFDSLTPSSYEAFTTGVDFAVTEVTIPKIFIVCEDDALFPPEYQKSL ATACGQHLRQLSVSGGHSAFASVPQEVAEILVQLAKE LY89DRAFT_715507 MTDDPTGSQRLSSAAIRPSSHKSSSSYWWSTTGRDLANMLQAAQ YPEETQRQFLSYYKNTLCPMLGDDPSPSNALHEKSWTWDGSTHEYSFEFKGSTKDPEV RFVADFSQLRPVDWTDLLNNEGTESAIASFACRASGFDDTWYQALKRSMDYSHLSASA KKDLIDKAGHMTPSLIGFDISRELPPGPGMLPVMGKAYFLPCFAAAAENKTRFRVVCT AIRELPGISSPPNIISSLQLLEEYLASKPEDWENGTRYLATDFISPAKARLKIYIRCP SMQFSDMWDFFTLSGRILCMEDARNRYLEFVNLLGGSTAVDEAEDPRQRIETANRRKL TTLYFSLTDQYPFPAPKIAFCARNFVANDELVAQGLDRWTKKYGWSDGERSIYELVHS AITHRKLEEKAGIFTFIGLARKDPTKEDLSIQTYLCPELYETARSVW LY89DRAFT_770518 MVTQYQQQQNLPPTATVAFLSSQKKDEKLDESCQTLNSLVSAPL PAEETSFSEEAVSDQRPEGGPLAWLHVVGGFLLFFNSWGILNTLGVFQTYYESGALFT TSSSTISWIGAVQAFLLLLVGLLVAPIYDRGYLRLLLAVGLFGVIFGHMMLSICTEYW QVMLSQGFCIGIGAGCLFVPCISILPTYFSSKLGLTVGLASSGSSVGGVIYPIVLNEL LNRIGFAWSVRVLGFIALVTLLVPLSVMRMRAPPQRLRAFIDWYVFVSLPAVYFVQLT RDKNMLGTRIGMGCSMMAFGLLAGAPGAGAILGTTEPLRWSGVWLYCGLACFSSGLMY FVLRFYIWVQTYSRGLEHSSNREQ LY89DRAFT_730060 MSMEKPLDEAAKPQPNLAVNAQHFSEHTNLRNTIWKTHKLQPFR VSTSGTCLEARSLKSGHLSWRLGAFIALTISTLVLLTNIVLLIVGASAHGGYVKGIGT LEAGSAELVQKHGAALHVLINILSTALLTSSNYCTQILLAPTREELNIVHAKRSWLDI GIMSFRNLRHIKRRRFMFWSILGLSSLPLHLLYNSSIFEVTTGQHYNIYFVNGSDSSI LENLEKTKTKLSNGTWQALYDTQYVPNAGDLYLIIDQFALSAKLDVYSSKNWSYGIAP QLPQQAHQLA LY89DRAFT_558466 KRLVLCFDGTANKFTGDETDTNIVQIYTMLDRQSPSQYHYYQPE IGSYVSGHISNTKRNPFTQATNWCIERYDEAFGYSFVHHVLAGYKFLMRFYTPGDKIY IFGFSRGAYTARFLAEMIHKIGLLSEGNEEMIRFAWDMFSDFQTKRGDRVESDLISFK IAFCRKAVKVEFLGLFDCVNSVARLQDGSSSDAGQVARHVRHAVSLGERRIAFKPALF GWDKRVESDDGTETMEEMYFAGNHGDVGGGWGYAKEKAVEKVSLFQISLQWMVDEVVQ L LY89DRAFT_681843 MAPEEHISANLSSLTPNVREQRYDFLAIVDFEASINESDGTREI TEFPLVLLSTATPSLNIIDEFHNFVRPQRNSGMKHRHLKDFPPQELLDESPTFSEAWQ SLLAFLEQHGATASNTLAITCGDWDFRAMLPAEQSFYNIARLPLFEKWCNIKHAFRSF TGKKADSMVRMLNVIGQELIGTHHSGIDDARNIASIARWLHEQGCVFKITSDGSVDEE DLQRQAMLQREKEELKQAAEETRITKLSGGAVKPEEMFRNDSNFSGWDDDGIPTHRAD GTPLSKSAVNKRKKMWKAQQGLHEKYLAWRESLE LY89DRAFT_705116 MAPKISARISLRWLPSTEAPSEPTDTIVFGVGAYFVDLRVLKTD NTIDWALAGERQIVSTDPLKVRWIKTLDSLGLTEEPDEGDFTTLPNGDSLETGAMPCP EKGMAVTQYEEVWQVLAPQSGPDGEKGSAWILESIDAADTMKTFLGRIGGSYMAMRGK TGEAWKEGEFGARSEEWDASDRKWKNKHVIGYIAGLPSPAELGTGLFAGEDTWKEGDK VAVLGQEYIVRAFEALQ LY89DRAFT_665716 MYLLYQFVACFLLAAGFSAEASPCSNGSPPATNSTPSNVPLRIL PLGNSITWGYLSSDGNGYRLDLLNMLTANGTQVQYIGSQQSGNMTDNYNEGHPGAVID EIAQYAQASLPDQPNLILLMAGTNDMNNDNNTTTAPDRLGSLIDECHNATMTEAGSAV IIVAQLTPAANNATEERIQIFNAAIPGVVAEKVSVGMKVLSVDMEAYVTFNDLKDGLH PNDYGYNQMAKAWYAGVGEANGKGWFTAPVQLLGP LY89DRAFT_770526 MQIPSQTKGDAALATLAVAQPPAVNAFVPLTEFTLFPELPVELR LEIWEFSLEARVLQLTEKRPRTPQEEFILKSDKPLSIMQVNTESREVGKRSYDFLEYY NREIKGIFVNWELDTIYAEEDVFKYQSLVGNVYLERISSKMRRLAILCVDNQRTSEIN TIVDTASSLTNIRNLTFYNPGGANYKIFRIKLQIENIRQDKELWGRTPVLDHELPQLY EELCTIASSLSRRPLKKYNTPPRFIGVSWKGVAPIDKANKRVESAVAIQMTEDISPW LY89DRAFT_665718 MSSKSTQVAGLDRSSGKALACVVAFPKFGDLPIELRYIIYFLRI KAKIPVISRVSQESRSVFLRNYCLIRPMNETDHTLVLFRAMLIDFLPESKQVGPASDR LQQLVFMGEFRHGSAGTHDDLIKFGALKKLVLPCQTYRSGVKLSYGRRKRLEKDITRR LLEGYLGVEEGGKLPDLDVQFKNNTEILVDIQN LY89DRAFT_730066 MPRDTSMAETALSSTFKYFPKLPIELRLIIWKYASQHQRVIRVR ALKVRSEIPVVRFISQPNALLANKEAFQEMMKNYTTYSNRMFMKPLMINLEVDLLYFE DEEDLRVLIYGGRDPLREVLVNMAVSRKAMWYLTGTLWSISKFTVLERLILQTANDFS SSVDRLNQRSLECFWSNCGPAFQRPALEWISTEEMENL LY89DRAFT_694833 MWTDSCCIVTFLAVLVTVASAVVLYNEYRLTVSGLIWGPTGILL TGLSRACYVIGLEGSGFELALESRLKAQHGFVVMTLLFGLLFSGVAAYRIEHIHLLDP LDTPTKALMAVNIVSIVGTALSGTSVVAYTPISFDSQLQFSNIPIQLSQLLAPLVSSV LVGTVSVYWHPTYISWFQIGSFLVAAAWLAGGDQIHNFVVQCMDSTQQRFSKPLEKDF HEPRKPSRILTSGGLLTIIILISWSLSCLSSASIDALPASLPTTLDLSYHPQSQFDIV ISMYQESPSSIRFMVDKLKATKYLSTFSDIQVIIYTKDKASDLDLIKRETGANTVRRL PNTGREGGTYLHHIVSNWDTLAEKTMFIQAHAHNMRELIPHINSYLVPQTGMLSLGFT GVTCPCGSCSDRWGWEDKWNVVPTLYEKIYGASCESTQQITLSYKGQFIASAKRIRGI AKRNYQGLLDAITSKDGWAHNATMLALGGFGDAGEDDSPSNPYFGFTVERIWGLLMQC GTDAGVAARCPSLLSGMGREGDVGDCQCLDVVG LY89DRAFT_552705 KKEELCWSCGWTQYDELFASYGSRIRIRHTRGTLGIWDVGSRWM IRDQPNDASVGNDFMTQEFLRQQPGLKTPIIKEMRVLSEPTDKVVLTLMSRVQGVSLM DVWLTLPPKEKRGYADQLGKCITEWRKFTSPIAAKVNGEPMDDLMFGFCKRRRPPMCK KVGFTNEEWFKNLEPELRTGLSSIHKTKDPLIIEEKYQELIRGSPKSEPYVLTHGDLN TSNILVKNGKIQAIIDWEMAGYLPWWAEQYL LY89DRAFT_730069 MIESQASGFAQDVRIIHQAASRRTFLIAALRGSQLVVEFVVLLL TGYRASAFQGDWYHTLSSESHMLHDRNLDTSQPSNRGRAQRTTKFDAWKALFSANVFK RFNTIGVWIMIFQQCTGINAVFYYAPQILYTFGFTSVTTDLLATGVTGVLQTYSPSQQ SFPLTTTAAKHSSSQEQGVSIVASTNWMFNFLIGLTVKDMLASMKCGTYIFFVAFCGI GGVFVWIVVPETKNKTLEELDVFFRGDKEGIAVKDRESMRAVKAKVGLAGVENLEDLK GGDEKAAEHQEMIV LY89DRAFT_665723 MKRDLDSVSFLDNELLPSHEMRVAGEEPAMKKRKEEELERSLEQ EFELLFKESDESAAKVAASMTNSIPKVKLNLILKKPQTHVAQEEASACESPRITVANH QHQNNNFIENDDSDSESDADDMDEDVTDPRLVARTIYDPITGLKTRIHWDHRVRTARA KEIEALFDEQRVDPKKAAEVHWTLRSQQNIYLILLDEEKGHHMKDDYLTRKYWKVLRR VFPHGVYRPEDNHEEDLWHIYSLYGARIPFILLDLDFMPGVALEKIQQSMTLHFDPTN MPKLFDASQLPSFFECKELPVTIVPYQSKVFDDMHKEWKKDFTHVPRQTFTEEEKEKM RNILKEWKTHREHCGLGVSTLWTKNTSWAYLHGCHNRDLREGEQLPIFGKLDWNAEDG SKAREEEGIGPTGESSLDSGYASSNTSFDDSGPYITSLERQSSGCGTLSLVSEEDSYE LIDDEEEESQPLAKKSMWD LY89DRAFT_578259 SLVLGIELGRTFSRAGVYRDNVFEIIADEEGRTFVPSYVTFVQD GSPLIGYFAMEKSADHRENTIYDISLLLGQQLPDSDLEKIISGLPYTIIEKDEKLMIK GILGGQDHYFEPEEIAGLIIGKLKNMAEAHLGCSIGLALFTVPSEFNDIQRRAVKDAA DFAGIELVKTINESIATGLGDGLDFPVYAYNPTHVPEHYIFYHLDDKDSSLALISVNM GILKEIAVVRSASVSGMLVEEHSSYSKIFPEKAVGLVRDLLQQANINITQIDSLIFAG NSATVAPVEEAIELFLGKKARSTENTWKIPVIAEDAILRGVSIQASLQKESGANAGFY WDNWVAVDLGVEMNQGVFATVLRRGGYRNRATGTFVITVDNQEKVLIKVYEGCRKMAK ENELLGTVEILGLPFQPRGELEIDLTFMVDSGSFLTVIAKEKETGREGSLEVALPSRE YTQDDIHKMIQEGELHTREDLLFVRAIDYGTNVHGEYEGGVMPKGGKLIGVDLMDSLL DEEIASLGL LY89DRAFT_730071 MGMQSSVDDLLVWTKAVMREDMEAEQCPDQVYNHPSILRGATVA RLPFFQTWSRDDVNSWAYCMGWTKTKMPTSRFGALSYNFAAQDHRFAQPEKYIIGGND TTNRTILQCNGTVNGSNCGLYTFPETESAIMVCCNGLTNGDAADWAARILTQALFNLQ PRIDFLELARIEADARNAHYQAMLTEWHSNYDPVPQSVADVLRFVGIYNGMATSLTIT SRTKTYDADPASLSSPASDSDRSSLGLHVVFNDI LY89DRAFT_730072 MGGVVAGPPEYTQKDALGLEILYSGDETKVNIDIIAVHGLNGGA LSTWSKLPAKDAKPCCWIRDLLPSISTTDMCPRIMSFGYNARIWSKTAKQTIYTVSSE LLTQLVDCRKGIEDNRSILFIAHTMGGLVVKSCLLLSRSSRDASPDFDIQRSTLAVVL FGTPHSGSKLAVVGSIASNLSSLVQGNASVEYFKGLKINSAMQQHLNQYSEFQDRYRQ HDFIESKAEHAGKRVVDGSITKHRTLNMSTSFLDANHTDMARFTGPRVPNWRSASEKI HSILCAIDINLGSQQAVNTAPAICDLLFERKDKLHQIDKLLASSIDENARVAIISSKM LATLHLGRSPSTTTITLDIKPFSLAESLSFCRGILTAKGYTREISKVSISSISASCEN LPLLLAIVARHIAARDLEDCSLDRKQIMDIISLSSPEASLKHSTEDLDSCARHLLRLL SFLDSQGVSSSLIILGTASTPSFVDCDGNSWLYVEKQAEKDLRQHLDTAVDIFKTKGF LKSDRLCLHPVVQDKALESMSVEERVNIWSWAITLIFKLTPKQQGGIPLSKYWKGVSQ WLPHIQHLLQQWKNDHELSTEDRKLSDNPLLLEIGRIWAWMLYEQGRLLEAQDIIDTP LHLLKCIQQRSPNLKVSATATLAHEGNQHQTALDEMRVSKSLRESVHASNRDLVDSDT NIAVALIMMEDSTDEATNLLKAGLAYANRHRDDKVMQLLEPKYHANLALCLLLQSRLL EALDEVHISLKGEKDALEKARVYFTLGKIQLATYNANHPEALYLAEAAFQKCWDLRQR HSGTHYLVGYTLHKLGEVFIMRACLEEASTYFQLAAQSFRQAQGQIPNGPLIRTLWAW SAALYSQEKVEQAGSIRQEALNLIRHSDQVLRGADGKAEFRVADLTLEQCQTRAGRGE FEQWVPFPHR LY89DRAFT_681847 MSSPAPASVPVAEKTFNSYTPKQGEAYAQARGSYNPSLFKAIIE HHTSTGGKLNTLLDVGCGPGTAVRALAPHFVQAIGIDPSGGMISTARSLGGTASEPTP IRFETATAEDLGTKLSPPLEEESIDLITAATAAHWFNMDEFWPQTARLLKPGGTVAIW SAGDAKMHSSMPAAEILQPVLEDIWIRELKPFQTRGNEIARELYVDLPLPWTISSPVA EFDESTFYRKVWDPETDEEFLWRTNTTTGLDTWEKMMSTISPVTRWREAHPDKVGTDG DVVKLFRKEIEKFQREAGVEEGKEWVKSSLRGVLLMVKKKA LY89DRAFT_639261 MHISILSLGLLATQVLGHGLITKPWPRTPGALSLAACGPTVTNN IKGDNTSHVEGLPEAAMTDAKYNAAECNLWLCRGLQLEESAKANVIAYTPGQKVSMSV KISIKHHGTANVSIISTKTNKIIGDELLYWDKYADERLPAMPANNTQFDVVIPTTLGS QCANAGDCVLQWWWYGTAARQTYESCVDFTVAPTMPMLEKRFEA LY89DRAFT_431256 MVAGGVAVGGDLDLSYGPAGYKGLIKEPFIFFISCFASIGGVLF GYDQGVISGVLVMNNFGKHFPLLANDATLQGWMVAVLTLGAMFGAFANGPIADRISRR WDLLLANGVFLVGSVIQCAAVNIPMIFIGRFIAGLAIGQLSMVVPLYLGELAPPNIRG SLVALQQLGITVGIMIAFWLDYGTQHIGGTGDGQSQAAWRFPLALQCLPSAILAAGTF FLPYSPRWLMSKGREEEVMATLCRIRRVPASDPRLKLEFLDIKAACIFDQESVAARFP GINSRFTLALKQYQELFVVRHLNRRLVIACLLQIIQQFTGINAIIYYAPQIFTAIGLT GNSVDLLATGVVGIINFFSTIPAIMFLDRWGRRKVLIIGAINMSIGHLIVGTLFAIYQ HSWDAHRSAGWAAAVFVWFFIANFAFSIGCVNWIMPSEMFPPGVRSKAVGVAISTNWL TNFIVALITPRMLKSITFGTFYFFLVFCLILIVWVYFCVPETKGLRIEEMDLIFGGNQ GVEDVRRIEDIRRRLGMTVVGDRDTDDFKEEEVEGNKGMGMEVSHHEA LY89DRAFT_770545 MPATNGTSNGTTPKFVVPTEMKAIRYNKIKDFSLVTMPVPEPKP HEILIKVKSCGICGTDLHIHNGDFDSAMPVVTGHETSGIVVKIGENVKGFKLGDKVTA DNSELCGYCHYCRQGKLLYCENFQAHGVHLDGGFAEYCAYPAEKLFHFENLSWEEASL FEAASCAVHGMDRIRPEVGSNILLIGSGPTGLCLAQLLKANGGAHMVLASNEGPKMEL AKKLNCADEYVELSRKDPSKQWADLKAKYPYGFDVVVEASGSHALLEKAIDYCTRGGK LIYYGVYEKEALIKVAPSRVFGDEITMIGSFSEMWCLPRAVHYLETRKVDVRGIVTNT YKLENFGEALDAIRNKTCIKAAITFD LY89DRAFT_694837 MSATQIESSPQQLRACLECQKRKSKCTGEAPCAFCARTQRICVY TARPVRTPLTRKNLDSAEQKCEKLTSLLKSLNPDVDIESLLKNGVPSSRPAANNPSPK SPQQVEEASPGSSHEYEWHEAPLSNDDEQAHSLRDGMALLSTDPSESGYLGSSAGSSM LQTIFSLLSLRGPLDDPSKHEISKLIATRQRSDSGFLTLEGDLASSAITHGLIDAYFL FYNTSYPILHSQLFRDTYANRSQIASNSAWQLVFYTVLAIGHWVLSAGEDHADSPYYL AARSRMSAGMLESGTLTGVQAFLLMGNYLQKRDRPNTGYNMIGIAFRMALGLGLHREI SSISGEENTLSKEIRRRVWWILYMVDSGFSITMGRPTTASDAFIDVHLPQNIEDSHLT SDELVFPLPPPVDYPTTYSAMIAQAQLAVIANKIYNEFLSAHISNAVINEELAHKFEE ELRTWRVSLPPYFHDPKSPTWFHGPRSVILWKEQNLRMMLWRGGQRNNRVRPRSELAV QNCILVALESVDAICTFCDTNERLHQGLSWYATYFLFQAVLVLDFGLLQSPQDGQASI WREAIERSRRSFTQLGITNPAALRCIAVLDRIHQHHQAGSTIPSQPAPDEVANAFSTF GDESLQESSVDYATHQAYSADPALQFFFDGPPMTNLFDGVYGFPSTQEQENFDYIPGD FYNMDDFDMSLNWHDPQNGSHA LY89DRAFT_681849 MEPVYQSLTDRALSLKQDLINEKHRNRVVVILAGPPGSGKTTIA GKVAQRLNASSDTPIAAVVPMDGFHLPRSTLDEMPNKAEAYARRGASWTFDPDGILGL VTKLSASRSERIETILAPSFDHAVKDPVADGISISKDIQFVILEGNYLLLDEAPWNKI KGMVDQSWFVDVDPLLAKERIAKRHIKSGIENNWESAIRRAESNDLLNGDMVRQNLVQ PDVLVHSVEE LY89DRAFT_577256 MKIQSRFLDGIPTFFIVDLEDFERQFQIKRDQTTDSIWNADHLE NRLEVFEESEGGISYRLKCICQEDSAHNLDPYCPRNIPSEPKEESSGSDSESETEEDG RVRREKEKKKKMRQNREEAIKAIMELVGLSNVKEHVEKLLVKAKTMARQGIDIKDERY GTVLIGNSGTGKTTFAKQYAKLLHSMGVIGSEQVKHTTGIYLADGGISRIKGYIEDLV ESNGGVLFIDDAHYLLNDEHNGIRVLDYLLGEVDSRRGKAVFIFAGKEKEMMDVLGHG DKNLSSLLPYHIRFKDYTDEELREILWYSMKKKFDGKAEVEGGKEGLYMRIATRRLGR TRGSTLFANARSVNNLLSQILDRQAARLSNTRAERITAAAQDAKLPDSPSNSEGKESV NEVQDAKSSEDKDVVAGNIPAESHRENTWGFVGTRTTEELTPTKLEEQIPATEVSNSL SATAEEETKSDDNLDSKTEAENKNDDDTLSEVDSAEEEDYKFTKEDIIGPNPSAAVLE SPAWQKMQRLTGLESVKDSILGLLELVKTNYDRELEEKVPLKVSLNRLFLGPPGTGKT LVAKLYGQLLAEIGILSKGDVIMKNPSDLIGRYIGDSENNTRAALRSAMGNVLVIDEA YMMYSGGDTGNESDSFRQGIMDTLVGEIQSEPGEDRCVLLLGYDDAMSEMLQNSNPGL NRRFPMSDAFWFQNFTLPELECILKSKLEDHVLEATEPAIKVAMDVLEKASSRVNFGN GGEVENLITKAKINYQKRVSSMPVPDRPKTWIFAPQDFDPEFDRGKSATNNLKELFKD VIGCEDIISKLEQYQRISQVMKLKGLDPKDFIPTNFVFKGPPGTGKTTTARKIAQVYY DMGHLADPSVIECSASDLVGKYVGQSAPKTAKVFERALGRVLFIDEAYRLISSPDSCS FNSEVVSELVDLLTKPKYHGKLIVILAGYTAEMNTLLSTNPGLASRFPEEIHFPSLGP DHCLEILKRNLGKNGVSCPVLGRPSLSVCKNLMRKMRFLGETKGWGNARDVETLGKNL IREAFGKVKDVEDDLICTAEMVGNAMDNMLKERRARGVVLQREREERVVDVGCEDY LY89DRAFT_547954 RNNPRLDGQPNTKKHVDWSNPTLMIMSLLAGIGFAVGHHFYYRW LNGKQVGDSHHQQWPLRIGTGFAWLVALFLKTSIGVAYIQYVWMILRRKSFSL LY89DRAFT_665735 MTRAIFELTPTDEVLVLNSLTLRDAIEANKMLLISFFHKLIPSC ARFVHIFEEMLLRPQTAQSARNSFETQFAQIDVMENAAVCQTFNITSYPAIMLFQGMD KIQKYNGVLEEAHIMSYLTRQTSPIVSILTPNTLPDFKVANSVVVVGYLATADKQSQD AFTTLATDMHNDFLFGLIYGEATQLPEQTTTPSIVICKRFEGQEEEHEILKFLHDVNL MRTLVKTASRPLVVEFRPELHDDYFNLGIPLGYILINSVADRKRLANMVRSLAKQYKN QIVFGTVDKKDIGRFRNWADEIWFEMEHWPTWPSFAIREPIKNLRFPFDKRLDLTEKE LSKFVKAFKEGKLMPTIKSEPLPDEQKSPVIDVVALNYDNVVLDNAKDVLLEFCTDWC PGCKKSRSTYEGLATLYASDETLKSQVTIATMNFEKNDCPDRDVLGVPWFKLFPAHKK ESASLYFGPITLEGMAGYIRDHGTHKAYPKFDSVNTITDGVVA LY89DRAFT_681853 MHLSEAWFFLMVPYLVAALPAQDIGDIVEHDGDCVDCAPPPTTI FLCADSNCGSFIDDSCTSDADCPNETFPRCCRFIFIF LY89DRAFT_577873 GADIDVKDNKGYTALHYAVEKGSLEVVTLLLDRGADKDASNDDG WAVIHIAVERNQEEIVRLLIKRGVDVGSRIDPAGP LY89DRAFT_770557 MYLLILTSLTALALPGTCFPACFPAVHDLHVKTDSGLLLGFVEP SAPNVRQFLGIPFAHPPTGPRRWLPPSKLRSSAPLNATNIGPACPQIAFEGAESGLSV YSPSGGNQTEYFPLDSFSEDCLTLNVWAPSSAKKQLPVIVWFYGGGFIQGGTSSLYFN PQSWIQRTQEHIVVTVNSRTNIFGFPNAAGVAEQNLGLLDQRLALEWVRDQIAHFGGD SSRIIGWGESGGAEAVDYLNFAYPSDPIYSGTILDSTTALFPKKGGRTFDTARTNFTA VAAALGCRNASSEIDCLRDIPWQDIEAVLAADPTLMFLPIVDNTTVFENYTERYSINA ISSVPALIGTNQHEYNEGIPSPLSLTFNQSTSDRDTDEIFLCSTARTSQLRLSRNLTT YRYRYDGNFSNISPLAYPGAYHASELPLIFGTEGGFHGASTAYEKTVSRTMQDFWLDF ARDPEHGLRRAGWGTYAEGKAVLLGDVDQPVKGIDVSQLDDVCSTLPVIE LY89DRAFT_705124 MGNNKGRSRACTTCLRRRVKCDQQRPACIRCARANIVCKGYREM LFIDAKAQVLRKLGHTSPSGLRDETSSPKEVKKCQSDSQVTKLLAGSRRVLCDSKAYP IWPAPSESAMRHDHFIAHLVTNLDGPIKIICHSQLLAISHHVDSLERVARNHSFQALA TTFYGLAHAQLPLVLYGRRLYLQALSMVNTTISCCCDSTGFFSETLSSVVALSLHELL AMHGSLLNRSNPLAIALLEVTRPMMIVAALIARRPSLMAQPEWNTTTICLPNDHHLPS PRSALAYLLNAFAQLPALYCEYDTILSERSADPSIQTSSGTHKQIVSTSVQTLLSRAF SLRDDICFQSTCWTTSDPDFEFSSVSPTIVASTPPYPCTDIIHFSSPQAANVVTFYNA ITILINELIVSSLSLLPSDDINVLTQAAASEQTSTAISHIIRSVDYSLPFAPPPESTI RPPEPRMASASGPHNFYLTIAIRVAHRVLSQSQAPQDIGKKLWLEGVLCTIQDRGGTW MSNDHIFRAGNS LY89DRAFT_431394 MIHNPNQQIPPPFPQRSAPSTSPSPSHPSPPTPTTPRTAHPSNL SQHRLSHLHFHPPRLRRPTLCQPLQSRAKRSGTKRRGLASLDGRGEDGSYCCGEVCL LY89DRAFT_665738 MGSEKMEDRSEKVTSIASKEGINHKTSSSNGEVTLNPDSSEKWT RSHLTEDEKRILDRQIDAPAVKASWFMLFRYATKVDLAIIALAAFCAIAAGAAFPLMT VVFGQLTTTFARFFQNATTSADIAAFRHEISKLSLYFVYIAIGSFTTIYIATVGFIYT GEHITQKLREQYLAAVLRQNIAYFDKLGAGEITTRITSDMVNIQGGISEKVGLTLTGV STFVVAIIVGFIKDWRLTLILFSVVFCIVFSMGGFSRFMIKFQKESQAYSGVGASLAE EVFSSIRNATALGTQDRLADEYDGYLLKAEKGGFKMKTVAGSMLGMMMFIMFCDYALA FWQGSRYLVKGEIVVGHIITILLAMMMGSMALGQVAPHAQAFGVAIAAGGPIYSLIDR PTSQEEVVVGEKIPSPEGAIELRGVKHVYPSRQEVVVMNDVDLVIPAGKVTALVGASG SGKSTIIGLIERFYPPVAGQVLLDGQDIQTLDLKWLRQQISLVSQEPVLFRTSVYGNI VHGLIGSPMENASDEKKMELVIEAAKMSNAHEFISSLPEGYDTDVGERGFLMSGGQKQ RIAIARAVISDPKILLLDEATSALDTKSEGVVQAALDAASKGRTTVVIAHRLSTIKGA DNIVVMAEGSIIEQGTHDELVERKGAYVQLLDAQKMVAETLAHHDEDTDEEPTTGTLE KTQTRATTASEGMVKPTRTMTSKSLYDQRDETRDSDFNYTLWEMIKFVSVLNRKELPV MLFGIFCSILGGAANPLQAVYMGHCISALSRPASQYGSLRHDIDFWALMFFITGIVIL LAFSLQAASFGYGSERLIFYARSKAIRAMLRQDISFFDRPENSSGALVSMLSTEVTGL AGISGATLGSILSALTTIILALAIACAINYKLGLVCATAMPVLICCGFLRFWVLAQFQ LRARRAYETSASFACEATAAIRTIASLTREDQVWDLYHDMLHEQTRKSLRSVLQTSTL YAASQAGMFCASALGFWWGGTLISRHEISMQGFFICFASVIFGAQATGGIFSHAPDMG KSRQAADALKTLFDRKPEIDIWSEDGEKVQDVQGAIEFRDVHFRYPTRIEQPVLRGID LKFEPGQYVALVGPSGCGKSTVVSLIERFYDPRTGGVFLDGKDISTLNLREYRSRIAL VSQEPVLYSGTIRENIVSGAAEHVDEEVVVKACRDANIHEFITSLPDGLNTLVGSKGV MLSGGQKQRIAIARALIRDPKILLLDEATSALDSTSECIVQEALDKAAKGRTTIAIAH RLSTVQNADVIYVLEGGRVVESGDHKSLMEGRGRYFELVRLQGLRGKE LY89DRAFT_778872 MDGTFTFFALGGEKPIDLKVDAKGDPVRKRQQHRKSRNGCGNCK RRKVKCDESQPSCLNCRKRGLECSVVGGNRVRTKSPEREVTMKDASQQCDLSPEVPYQ QSFLSPDSASLSSVPSQQGIFGPESNSQSPLPPGDPSQQDIFAPTTSPTQYFLSSKQV WCRTALHPETIAELVSQYGEWGNVKKTDSVATSPVSSIPGNVDLILRRSLAERELILH FELFTSKCLPMSSTIWESEVLNDALHHDYLMSAVLLMAACHINHYLPKDDLSRRPLLH HFGNAISGLRMALQEELTPTSFNNIISCSFLLMLYSWTYIEAAPGVPVEVVEMFHDPV TLFYCLKDCIVSSQFLLSKTSWSRVFAYRPTEALEEYVRTNKFRDHEFGERMTHVALC GLRSRDPSCSSADNMNAIQRLGNALWAVKLSQGDLEGSGVADDINRYLFTWPPFCTKG FIQQVRDNNPTSLCILLYYYAAIVGILSEKTWWMKDRAWFMYPMLLQKLEGRCEECIA PARELAGENGRLMGF LY89DRAFT_715524 MDMSGMSTTMDMSGTSTTMAMSASTPVDTSPPYTITALPNVKNQ TSCVFNCLIPIGLADSSGCDDVTENCACLSAPVDAESFFSTCIQTVCKSSTAAYIASA TSLYENYCLSIYGSASLEAATTANILADASAAAPSSTSASSSSASATAKSAASGGRER VGLLWHVALVAGRDRLRLLDLAIEANFDLNFHVLKR LY89DRAFT_609858 MSRLVHLYVSLIAFLLLGQATAHFLMHLPPSIGFDDDLEGEAPC GSFTVDFSTDNVTNYFVGGDTVALTSIHPQATWLFRATLDTTASGNWTSLLPAIQQTG LGDYCEPFVAVPASWAGSKGVIGIVQDAPDGILYQCAAVNFITGTQTSVPSICVNGTG LTATFTADAVLSSLPASFTSSATSSATSSASTGSSTAKSSANTIHVSTYSARGPLAWV TVCWSAALVAYLL LY89DRAFT_730089 MELRSGTHSMTMYAAEGVDALGDTTQCFSAISISSSNALCRSVK PSANERPDTTPHQANWLMFDWNRQKIPVLGKLFGRSSPEDCWKDFPTPYQGQNFRLLA VACDQCLFAMVDQGNVIEFWMNYEEGEMQQICKRTLYRGCEITAITFSPNWAGTGLEK TSQLAVGFADGRMDVMDVTIIWQ LY89DRAFT_778876 MKYLEHGDLEGYLTDPMPENEGRTVTQQACNILILHKGPEWWIK IADFGISKRVENTALRTRIGTDAYLAPETKGKNFTFAIDIWAVGAIVFRMVSCRLPFL DDPALSDYVEGALPFPVVNGISQECSEFMVQTMKASPLKRPTSHRAMSHPWLRVADQV TTSVPVPAGKTGGLPLPELRTYKPSSQFQAYNLPTLNAERASTAPDIQAPEVLIPNIP VSSSASNHTHNNGTARKSIERTWPSLELRRKQTLKSNEYDDTVNRYPGAVTSLHFSIR GHRILSRTKNVFALWESGENEEFWCRGQQHIQARGVVRSIHVSQRGDKFTLRRQRPGE GKCDISERHL LY89DRAFT_730091 MADRVSDLIVDSKLDVEVHADYTIHKIFHSDPTIGRRRIKIDER WQKSRELGRGAVGVVWLESCSAGPHMGQLRAVKEIRSGGRDAYTKYLRELEAIAKFS LY89DRAFT_639287 MKLSITAPVAALFAAAVSAHGGVLSYDIGGTTYKGFVPYNSAAG QSTLQREWDSYNPIQDPTLASMACNSAGTPGALTATVAAGSTIVAYWNNPWPHTIGPM VVWMANCGGDCKTFEPTGNVWFKINQAGLISGTLSTGLWGSGQMVAQNSSWTVTIPKS LAPGNYLIRHETIAIHTANAPQWYPECAQLVLTGSGTGVPGASYLAAIPGVYQMRDPE VDIDVYSAANQGVTNYTIPGPAVWTG LY89DRAFT_778879 MDSNVYLGVWTNWSNGTVMGSTLTTTRRDGNLLIAFTGFLIPFV ASRFWSIICLIFHIHYSSVKSKDAIHHQRQILLRNSSSPETGLLSLFRLFWAWRKSEK EDKRHFHLLPIILIAVCCVSAFTAAGGFSSQISTAPGDEVLMRGDNCSFLQLPTNVLG GNLTSVMSGVGKLTSQSVNDAANYAQQCYSADSSGILDCDKFVLPSLPTAVWDNNTGC PFQDDICRTNTSNLLLDSGYIDSNDDLGINAPEDQRFALRYVLQCAPLVTQGHATEVS IQNRTWVRYNYGTLANEPQSLNFTYETYGLDTQYPFGVIWGTGSNLKLSKLFSPTFQG MPYSAGADFVPLLQLFRPDGDLNLLFLSGNGISFLNSMDDDWYRATVPNELVNFAGEG TATSFTPVEAASPMGCVEQWQWCNSAYPRESGCGPLAGFMDALFGAAALFNLTQEDLT NGTKTPTAAGARFIWSAAVLDVGVASLHVVTAQLGAKSLASQALLDSALQYPLPLNQW QLDVTNWFNTILAGLQATYVEMTTGITDPALKEFAMTPSNAGEHKICNSQKVLSTSYS SFSLFGLLFTYIIGSLIIIISFVMEPILRTLQKRRKYKEYAILEWTTNEALQLHRLAN EELQLGTWSGCTDMIPTTKRGEMLASLDISDLDHPILAPVAEKAKQKNNPHGGLRESS MEQAQQGPKLVFVSIANSVDGDTLSGDQADDDARVSNSQPPSHSQQSLEGHGRYRTHI TDEYLTVPDHSDPRLQSQPGVREPFPRSGEVDESHSRATYISLNPNSRLI LY89DRAFT_770560 MQATILSALYVKSDLEDAPNYKAISYAWGNPANKVNVHCDGKLI VVTRNLRDALLRLRFKDKARLLWADAICINQSDDVEKGSQVKIMQTIYGNATGVCVWL GCGTAEMQPAFKLIDDIVSHESSTSADRTELPDPTSRCWSTLGAFFSAAWFTGLWVVQ EVYNHACTVFCGEHEMRWQDLVLIAEFLWEDPLNAYPGSRGDSISILQINSAGHSHAT SGVFDRFRHFNCSDQRDKVYALLSFPPLSSLRPLIQPDYSKSVAEVFEEATVRIITSS QNLGLLSSLEHDCEIEDEDWPTWVPRWDRSRNVQVLHKYSSGRVHSSLPRMHHQPRIL TLEGVRISTSSWCGMVIEIVGATARYSESQRDPLKQPLQELLNTLPKVTHPNDPLLLR IGMTLIVGLDLQSKCPPLDLAQFRLDFLAYLRDILPSLYMLCSTHHLSQLLMEQAQVG DAPRFYAAAFRGSKNKRIFCMQNGEFGIGPRAARAGDLVVLLYGSNAPSVLRPKGEYY QFVGECYVYQHMHGEAIEMAAEKLLPVEKFELR LY89DRAFT_639295 MADIRSQLFANIKKSWLGGAFVAFFVFWITLWAIDSHRTNRLLT QSIGSPSYPSSLATPLGTDSNRPLILYAFFDSQNARMNLRFFIAHALHDAADFMFIFN GETDAMNLLPNATNIRFVQRPNECYDLGSYAAVLVADDLYKQYSRFILMNASIRGPFI PYWSEGCWSDMYLDKITDSVKLVGMTLNCIPINHLQSMILATDTLGLTTLLFPTNATI ATFRASLPPYPYTNIPPNSPAVNVPPIEHPGINSCPNEYWHAVSVEVHASALIKAAGY KIDAMMAAFHKSETYEEECEGDVDSPDVLYEESYYGTNLHPFDTVFAKSNRGTNEMVL RRLTEWTDGRGYSSYDFCRARK LY89DRAFT_577242 MEELRDNKPSTVVKIAADGDVILVVGPEKVKLRVHSLFLKAASK PFSVMFGPDWKEGHNMLGRDGPVELPLPEDNAAALKLICAIIHHQNNKVPQ LY89DRAFT_770566 MLNLHQFTGLAALLLSLTWIASLLRVRFRPGLRNIPGPCLAAYS RLWNVKIAASGDAHESFRRLHEKYGKLVRVGPNHVAISDPAMIPVIYGTNNKYLKTGF YDPFATPYAGELMHSMFSITDIIQHRALKRGVANKYSLSFMKEFEPQVNDCTNKFASI LHEYADSNQIFDLGTWLQWYAFDVIGAITFNRTFAFMEERKDINDIIAGIEGGLWYGS ICGQIPNFHPWLLGNEPVMSVLSDNIAAVEAANPVPKIVEDAIKDYDTQNSGDSDRTD FLALFRKESEKSPDNMPHRNLMNHLMNNLLAGSDTTGISLRAVFYYLIMNPRSYEKLV NEILLADREGKASKNITLAESSQMLYLQACIKEAMRLHPGVGFPLERYVPEEGLKVDD VFLPGGTIVGMNAWVVHHDRIIFGDDADEFRPERWIEAKPEELGVMEKCFLSFGAGTR TCIGKNISMMEMSKMVPQLLRDFDFSWASEQKTWQIKTFWFAKQSGVFVRVHPRAKKE VKESLL LY89DRAFT_705130 MAATTGETFEDIYDYSVTKHSEFFDFAFRHFNFVHDGTYSEVVN KSALIETVPEWFKGVNVNFAENLLGKEDDKIAITEVTERYEAPKHISWGTLRSEVMQM AEALRSVGVRQGDRVAVVSGNSINSVKVFLGITALGGIFSSSSTDMGVASILDRLVQL RPKFIFCDDAAVYNGKTIDLREKIKGIIEGLSGIQEFEGVVVMPRFIAKSYDLSNVPR STSLSKFLGRRNSSAETARFQFTRVAFQDPFIIVYSSGTTGSPKCIAHSVGGVLLNTA IHNQLHIDQGPGDTVLQFTTTGWIMYLLTTVHLIHGARLVLYDGSPFYPNTMSYLELI SREKVTMLGTSPKWIGEVKKQGIVPKDLVDMSSIRTLASTGMMLPDALFRWIYQKGFP PSVYLENVSGGTDIAGAFACGNSITPVYVGGMQGPQLGMAVTVFEEEEGVRLNGRLAQ SGTPGELVVTKLFPNMPTSFWGPDAKEKYFKSYFEKFNHVWTQGDNVLMIPGTRRLIF VGRSDGVLNPAGIRFGSSEIYTIIEQKFPQVSDSIAVGQKRPQDSDERVLLFLKMQDG EEFTPKVVADIGSVISKALSPRHVPAFIFETPDIPTTINLKKVELPVKKILSGKAFQP SSTLANPESLKFFYQFIDIEKMAPPKSKL LY89DRAFT_778882 MSSSLDGLDWAAIMSPNVQPTPGLMDIVPTPTTNVQPSTSPGAR RNENLSTPVYKFQVCSSCRRKKRKCNLERPSCSLCLENGWICEYRHMKKKPGPSKRSG STVAAASPVVAAEPELNTNTTFFSAANSLSTISENAKNRLVDLYFVHVQPILPLFCRS SFERDLAECRIPNKLIYALFTVSSRFAPLEEILVLLGSSNSTPWKSFARIADQESQAQ EGNTHITLNDLKADVLLTLYQFTSFPGRKAWMMVGTLMRTAFAIGLHRIDCGIPNPDL TEFELEEQRFVWWAVWKLDSAINSITGSHFGIDSHGIGTALVSTSVAKFTAGGVVRSN SQFLPTDSSRSWMNAREILTTDTDDGMNMHLLSICFVRAVSLCRQSLHANTTPELIRQ FSVLRNALPCMRLSLSEWYFDPSMQSLETVGSHRARLETLLLMHMSQVQCFLPFPSSK TGDLLGDDSVSNWKISVASGEGIATIFRHWKPEYFAVADPFVCSTIWFTMCMLSLHTM SIYGQSEAGPDVKISNALDLLNVALGNFARRWELSQRLLDSAIQLQSWNWLKIDFSQI LSLMAQLHTPFDPLKQEPAGIDMWQIVGTMNEYQ LY89DRAFT_770578 MVPWDSLIRFAGEDSAEYWAALAMDEMPKVGLQLEGFASIEDLE SNKGAKKVTVKKLLAPTPNQTDPIYCIGLNYRNHAEEAGLTIPANPPMWYKPAAALSN PDEDVPFPLCTQSNFPDYEGELTVILRGPIKTISKADAAPSILGYTVGNDLTARLFQD PKRSGGQYTFAKAFDKFAPLGPRLVHPSKFKPGEATVTTRLNGKVMQNSPLDFIVSVE DLVHFLAQGTTVPYGSAIMTGTAAGVGWFHKPQVSLKDGDVVEVEIQPIGILKNKIVF EK LY89DRAFT_694848 MEETTVLIVGAGPSGLALAVNLGRINIKTVILEKELELSEDPRG IAITGDAVRISYALGIGNELTTKIGRKTGILHFHNKTWNNPAFMYFDTDHDHLQQSVP NAINQFQPNYERELRKRIVALPTVDLRIGCEVLDRTEEEAGVVVKYKDEEGNIRSIRT SYLVGADGKRGIVRKKFLEPKGIRQEVGQYNHVSTWVATNFEITLPTPATHPDFPLWK IGYSPEQVYDAFWPSGFHFVNDPKRPAATGSFGPKGTRFWRHEYSVEEGDNLDDPIAH LWSQIGPWLEIAGYKFSSKLRGTMVSYPRDCVGILRCRPFTFATKVVNRWHCKRTILI GDASHVYPPFGGQGIASGVRDAHSLFWRLAFLSRMTVPPVVHDKFLTGWGHEQRQACD HATKLTKTNGSITNQRSRVLAFLTRTLMRLLWCFPGVPFLMTRYTIGDSFRYQQFDGL FALRERGGGYKMPQIWIRRGDEKPQLSDEVFHRDASRLALVNIVEDEDDVDEISIAKT IRRMALPGDLLGEKNVTHLCLGLGKSTKDSQVYRPCKREELLAEGIEPMIGYDPHTLA KRIGSAAKYAIVRPDFFIHSVASSVEELFGNGRTIAEYFI LY89DRAFT_577506 MPSSVLPLPVVNNSSKIQLIRIGHVYHGHPDLRKFQAFARDFGF EEVAEVDGKIYYRGYGKDPYIYVASKSGSEKEFGGGAFIAKTQEDFEKAAALENAVVS DISKAPGGGKMISIPIPSGNKIHIAFGQEEREAAKTAVSKTEVHKGAFNTSLEKSRKG EFQRFKPGPAMIHKLGHFGFISKKWDEDVAFYTEKFNFVPSDVLHAPGNSDMDVMTFL HLDLGEEYTDHHTLFVARAPPDMPATMMHHTSFEVDDFDTQLLGHEHLLSKGYTLVWG VGRHVLGSQIFDYWKDPSGFTIEHYADGDLVNVHTKALRQEDQGPNTLSVWGPNLPEV F LY89DRAFT_609869 MATPKGFVAVAGAAGNLGQLIAFALRRRNAAVKAIVRPGTALSR TQKLRDSGVIIAEVDYNNFPALTEALQGATTVVSALQGLRDVMLSTQGALLKAAVASK VQRFIPSDYALDFTKTKPGTNRNLDLRREFHVELDKSGIQWTSVLNGGFMELLLAGDL PLINDAFHRIIYFGSVDQVLDLTTMVDTAAYTAAVAVDPNQTPKFLRIAGDKFSSKDL AVIVSKLRGQEYTPMWMGSLGFLRVMTKLMKFVIRGENTKLLPPWQGLQYLENMVSGA GTLDPLDNDKYPELTWTTVEAGLKEADAKKSKKTK LY89DRAFT_770615 MELEPKPVLNNLLSVNTWDDMVSLEVEPQPIKLSVEHLLMYRTR GSELSTELLNTPTLKETPEPPRSCQAASLRPENRRALALPKASDLDKLPIARRCFGLP GCQMQGPGYLIVPGLFKDAVIVSIDFENVKAVKRRTTDTVFAQMGVCILDTRDLSDSS KTKSPESLLRSYNFSTRAVPKWRRGQGWNFIFGDTTYTSLTEFCSELENLIDRSRNII FLGHNVANDLSTLKALGFDVKTGVSAVLDTQHLMRTIFLPNHKPTSPVLPRSQFDQRL VGLLNMLGCECRDLHVGGNDANFTMRALLLLLVENLRRTYNVIEPALKARVALMESIA RAKLPAPASENKAASVQPLYQISSTFEYAYGGRPAEEIATQEFLKWHLQISWQQYWVG QQYLAWQKLLSERVPLPWQQYSQ LY89DRAFT_694858 MEDKSSGSDILGAGFEHVNIVLDEIGGVVRFNESLSEEDDRRIL RKIDMCLLPVLALSYLFQFLDKTAMSYTSILGLRTDLHLIGQEYSWASSVFFFGYMAF SYPASILMVRFPVGKFLSISISVAAILMCTSAATNAAGLQSARFFLGAMEAAVTPGFS IITSMFYKRTEQPLRYAAWFLGNVTAGILGGLLSYGIGHVQSIQPWKAVFLIFGAATL TWSCMLFVLLPDTPMKAWFLSNEDRMKAVERVRHNMTGIKNNQWKRSQAVEALLDPKS WLIVLIALCANIPNGAISTFSAIVINGMGFSVLNTLLVSMLAFVFQGIFVVLACGGST LLENTRTFFMALMMMISIVGAVLIRQIPHQYIWTRLMGYCLLSAFSANLPLVLSLVAS NTGGFTKKTTVNAMIFIAYCVGNIVGPQLFFAREAPNYGSAFASMFVCFSVGIALIIL LRFYPIWENRRRDGLGEVVVEHLNGVELLATALNLLDKTDRELGRFRYVY LY89DRAFT_639303 MSTLTNPTITGIKVYLAIGVILSIIVASQLISHLKLSRALSRYP LVNPKWGPAEKAEFTTSAASILAKGVEMAKGKPFRLNEKSRPRLVIPPKYMDEIKNDK RLDFHDFVAKEFFSTYPGFDGFSADQTIFQDAVRTQLTQALALTIQPIAAETPNTLNL VYGSAPDWQEIVLTPALLKSISRLSALIFLGESFMNNPDWQRISVMYTVDVFMAAKAL NNWPAPLRPIVHWFLPECRKIREEVKVAKDLIQPEVDKRRKELAENEGKPRRKVLDSV DWFTASAKGREFGYANAELSLAMAAIHTTSNTLGFAMFDLVENPEYFDLLREEIKRVW EEDGRWEKNTLYKLKLMDSVLKESMRLHPHSLLKMPRQAKEEISLSDGITIPKSAFIS MGPVLMKDPTIFPNVDKFDGHRFLNLRNAPGNENKHQFVTTSPECNVFGHGQHACPGR FFASNEIKLLLAHMVMYYDWKLPDGQTSVQHTKNGVGESPNSRQKILFKSRKPELDVS CAMKEA LY89DRAFT_577653 MEGKVYAITGAGSGIGRATAIRCAELKCAGLSLCDVNFQGLETT KKLLEEAKFPGKVLLFKADVSKADEVDSWISSTTSEFGRLDGAANVAGLSRRSPETNS SNIVEEDWDTTLAVKLTGTMHCMRAQLKVITRPGGSIVNVSSGAGVKGVAGMPSYCAT KWGMRGLIKTAAIEFGPVGIRVNCLMPGANFDEAVKKGLVDPVKLSEGTMMKRMGTPE EIAKVMAFLMSDDASFVTGSESSICLSTLERSIRMILADVLYS LY89DRAFT_553621 FTPNCTLPPPGSNYVAGLNVRSTTGILWNCLSIIILCTWNIQHL NVPPIQDSPHGLEKIWRPIWESRVKVKWMIITILVPEFLVGKALGEMLSARQSVKDMQ LSMSGSETILHAQPVRVPKVPWGMVHGYMANMGYFVLDWEMGIEEEHEIEGTSRKSNE IEDNKTFPLPSRDIDDQDLEYLALQNLYRLESTSRRSRSEIQTGPDEQWQMDRFWALD ARQWNLIFKYNVATPPKLPVSHLERLDKGGTLVKILAVLQVSYLILQLIVRKVHGLPS AQLEIAALAFSASSIITYLLYLKRPQGIETIYTVRADPGPIDYYASSRLKDIVEDGPR YLWQGKRNIPEFDPTLGPAPIPNDSSHWTMGLPGRALYGGNDELLLLAFGALVGGTVF GGLHCLAWNFHFPTETERLVWRICSVMTSCLPLLFLFPVALWMKLNPAALPGYERERA SSTAKFLVGALILVLFVGPYILARLFLMVEIFRSLCFLPSEAFFETWSGNFPHLG LY89DRAFT_577612 LKFNFKNGGTNHRVHSLGIKPNTMIVGADVTHPGRDADETCPSL AGTVATYEESHAHYLASARMQKKNEHIEQLSDMMFEHLQAYYTKRKKVWPDHILFYRD GVSESQYGMVKTDELPEIKAAIERVRQTAPGRNYMPKITLVVVGKRHHSRFYPANKPE DSRSLCAGHVMDTNVVIPHAFNFYLQSHDSALGTAKPAHYVVIVDESGYGGGKLQEVT NDLCSLGSRSMNTLSVCTPARYADLLCNRLRVYMKPVMDGKLDIPEINAVNSYQRNRD IWGIGRNTGNNNPWHENVKDVMFYL LY89DRAFT_730109 MPGAIIKQKAYRHLSRSTQGLNTQALEKQLSVNLQSANPESSSQ ERMQAKPQITEDLSTTLGAAHWKGRFEEIRRWQDEKVYELLRLEDYNRKSQELEGMAV EHELLKTAYNSLLKENKILDSNVKLAQKVQRLKERNEILSTANERLREEIQNFKHGSQ LAAESSNLKDMLEATKASHDELQQKTQGYKAIQKENAQLQKTANGLRRTLLAAQEEKG NLRKDNEQMMSKLATLNPFKIFAGPNWYPELSAKMTKVVKDRWSGRFGSRYTKVRALM TRWESDDLGVASEIAALSQVLEDNFGYEVSTYLIPDLDSENSLVDEVARFLGDSAADE LVVFYYGVHVLIHTGGYWAARKTDNSPTLRAAEIQRLFERSSSDSLLLFDSCHAAQSS NTTNPANALTELIVACGFDSVAPGVGSQSFTHNLIQVLQSLSKEGPFPVHQLFNQTLH YCRNRIGRTTETAPVHCSLTGVKGGRRMMLHPLVPAPVPPRASIPKNALSVGIAVEDD FDEKEWEEWILRAPTSAECVLQLRVASCRSYELVMR LY89DRAFT_609880 MSLPAILCLHGSGVNATIFQFQTATLQHLLSKTFTFIFLDGPFE TRAGPGVLPFFDGCEPFFRWKSKSDDNVFATEEVEDEVRTLIRETLKTRDDWVGILGF SQGGRMAAGLLAEQERREEVGEEEEGAGFKFGVFVMTPEPPMTGLVYEEGVEVRIQSP SVLVSGKKDFWYESSKRMREKYFEEETSKLVEFDVDHRMPNASADVKIIALEVLRLYL ETTGIRGILNQ LY89DRAFT_770640 MESQSITDSHSDSDDDDSILDLELGELIEKTTTTPPTTTTKFLA LFKSFLITILPSFIQPFFRSHSQPEPPPPTKSDRNDALTYLTGIRGVASVIVFIYHWT HGTYKTAMDHAYGDPNTSPPNAAQENNHILQLPLLRLLYAAEAMVALFFILSGYVLSY KFIQQIHHNHNHNPHSPLVTATTTLSNLAFRRGPRLFLPALAATLLASLLQLLGAIPL PHSHPPNSTALANLLIFLQKLLMSGIWTWDTIPGPGWGLHPHLWTVPTEFRCSMVLFV LLLSLAQRSSGLRLGIEGVVVVWCLVAGRWDVALFVAGAAGAELRVRREEGEGRGWVK SIAWGILLVLGLLLASYPPIPPPAASTPVYGPLAKLVNGNPEGRRIFYALGAMFILAS LDHLPAAQGVFRSRLALYFGRISYSLYLVHGVLIRAVGQRVLDEVFGWRGGRIEGWAF GVRFAVASTVFVPLVVWGADLFERGVEGRAVVFIRKL LY89DRAFT_609882 MSADNPASIIAVGAVMPALGIIAVGLRYYCRIQLKSGFRTDDWI LIPALLLTIGMGASLIAGVKLHALGYPTPFTGDPNDPLAALTQTNSGIRITSQVEWAL QLMQVLELGCIKLSFAFFYRRIFVSPASKYFNVITWATIALILAWMTAFFFSLLLACK AYSGEWSAWWGSVIDLTTKCVKTEKLETALVVTDFLTDVLIMLLPIPKIWALHLPFAR KLAVFAVFALGIVAVAASVVRMKYFLDVIAKGFNPHDDEDLAITRNLYWSMVESGLGL LAVCLPTLRSLLRGTTPEWLKSSEWISNIRSKLSLSSGSKSNSSKTTLPTTEREGPYR FMDGVSADSLKQERFVLKDVVTTVKATRSDRTSHGSDGDEQVLFTSHA LY89DRAFT_681871 MEEQKHLLLRQDDDSVYKEEESQASQPTALAKRTSWSQTLSSTA LLLTGLFAGLALSLYFHKRPCLSQYSTYCKQPTCLPIRGTKKRCGH LY89DRAFT_639313 MIRASYDEMAHAGERPEDSIELLDGGYLASLGVYHDLHCLRRIR FFLYRDHFYPNMTAEQEHGEASHVEHCLESLRTSTMCTGDTGLWTFEWHPHVAKAQAK TAAQRSCVDWGALDEWTRGRAVGFNPRLKGRPVGMGL LY89DRAFT_681873 MASRIAELAALISSNTQKIDEYLASNSLPRPSFEEDGPVKLGLP AELEAARSATLNATAELEALLKTPDDLLRPILNGTSLEAISRYDMARKVPVGGTITFE ELAEQCGLYEPDVRRIIRFAIVHHFIFREPSKGVVAHSAASRRLVDDQGARDGLGVMF DDCYQSFGRTVEAMEKYKGQEMAQTGWALSHNGMSLFETFGKVPAKAKRFAGSMAAFN ASPMMSHKHIATNFPWETLGEATAVDLGGSHGELCAALAPTAPKMKFIVQELPRTVQS VNRDALPSAVAPQIEFMEHDFFTPQTVTAAVYIFRQIFHNWADSNVIKILRQLIPALQ PGARILVHDLLLPEPGKLPLMMERQIRAMDMLMLSICNARERDEADWRQVFTEADPRF KVLRVFTPKGSALGLVDAVWERE LY89DRAFT_577417 MTIALVAVAARALFYFYSSTPQESALSSTQCQNPSIRREWRTLS TSEKLDYLDAVVCLTKTPSVLGLNQTLFDDFPFIHTTIGKEGKYHLESHHSALFLAWH RYFLHIYEARLRDVCHYPGSLVFFDWQLNIHDLPSAPIWDPVTGFGGDGNITGPDTVN VARCVTDGPFANLPVLYYSNELKLHCLSRGFQPPVDMERYYSARVSVEAIDKLMATPR YEEFNLGVEDGPHLSMPHAVLGDFLVDTAPNDPVFFLHHAQLDRLWWKWQKSSPGRLR EYNGASARGSKEPASLQDQLKFGELAANVAVEGVMDTRDGLLCYEYAY LY89DRAFT_577706 MSGETFEFQAEISQLLGLIINTVYSNKEIFLRELVSNCSDALDK IRYEALSDSSKLDTGKDLRIDIIPDKENKTLTIQDTGIGMTKADLVNNLGTIARSGTK QFMEALTAGADISMIGQFGVGFYSAYLVADRVTVISKHNDDEQYIWESSAGGTFTIKP DTEGAPLGRGTKMILHLKDEQTDYLNEAKIKEVIKKHSEFISYPIYLHVSKETEKEVP DEEEEEAKEDDGEKKAKIEEVDDEEEEKKEKKTKKIKETKIEEEELNKQKPIWTRNPS DITPEEYGSFYKSLSNDWEDHLAVKHFSVEGQLEFRAILFVPKRAPFDLFETKKTKNN IKLYVRRVFITDDATDLIPEWLSFVKGVVDSEDLPLNLSRETLQQNKIMKVIKKNIVK KTLELFQEISEDKEQFDKFYTAFSKNIKLGIHEDSQNRPALAKLLRFNSTKSGDDQTS LTDYVTRMGEHQKNMYYITGESLKAVQKSPFLESLKEKNFEVLFLVDPIDEYAMTQLK EFDGKKLVDITKDFELEETDDEKKAREAEEKEFEGLAKALKNVLGDKVEKVVVSHKLV GAPCAIRTGQFGWSANMERIMKAQALRDTSMSSYMSSKKTFEISPRSPIIKELKKKVE ADGENDRTVKSITQLLFETSLLVSGFTIEEPAGFAERIHKLVSLGLNVDEEPETAEEA AAEEASADAPVESAMEEVD LY89DRAFT_431677 MPNPLPSPYSLIPSLSVPAYIFLSIFPPTSLTVSAAILQFHNMA FPTPLSILILIIATCLPFTLSSPQLPPPNDGYGDTPPPPASIPYFPGGIIMPTFSFPF MGGNPFTTSKKTTAGLPKTTAGLPPGYTFNPPPPPTSTTSSADGLPGYTFNPPPPPPS SNLPGLSRTPSVSPFPPPTTTPTIQTSNTVDGITGPSISNPTSLNIPPATSCLAPSLS LSVVTQIRTSTLTTHLVSVSYTTIIQPIQVTVPSVIVESLTLLQTLTNHYCPPPTTTL GGGGSNGNGGGGSSTCVWAPPITTGGYTIPFQPVVGGIGVGVSPSFESSYKISTTNFF YAETEVPYAEFKCQFQCGAGCSSYFVGFCTSHPLPSPLSLLLFPLLL LY89DRAFT_715544 MDQLSTRVLLFFQSSMADEVLQDNPALETPAPDNIHHDDDAPPS KRRKIPLACSTCRDKKSRCDGKRPTCSACAKRKTATKCEYEESTLKTQRDIAILESRV RELEGIKTSSIFEHEEPTVRLQHGNFLQENTRPRDGLPLDVVLHHPRKCSEEDANLKS MTHITSDLNDALAIVPLDADGAHLFGTTSSASFLHSLKKTIAPTHGIDTAHASQSSTI NSRVKQNSSKLGHGSDTISFFLPTRKQADRYTDCFWQFVHPIAPILHKTSFISLYLSI WLPLKQQNRDVHSATEDLTFLSTLSIMFAIGCQYDESIEPSRKAVLAERFYHQSRSLI MVDELDSVSLLTVQLLLLTGIYLLNSKYTNRCWNIIGLAIRNAQTLCLHEDNNKQGNQ IRRETRRRVWYYCVYLDRLVAMIFGRPHMISSSTRVPLPILMDDEYLLSDGEGRQPVN TVSYLGLFLWSLKLFDIMSEVLALSNRQKEDATQSNEAGIPWWRSHLLDDILKIDRTL DHFLETLPSHLRPQQISGENRTDDRDNMQWRQAKILQCRRLYVRALLLRPVLLSAAQA HKSNPFHPATSSNELGERVATQVCIKCIETVHELVTAIYSSLHVNYQSPEWVTHYTFS CAMVLAAARICSFTDLDALQLSWSRCIAILLHYKEHIPSAPYAVQVLETLQQRGVSRT ANDDEVGLDAPELNEFGGIEDFNVDWSYPLPDDLGEFDFNWMGHA LY89DRAFT_432171 MKESHIRDEVLEHQWEGAGTHEDPFLVEFLPGDSENPKNFSETR KWFYAITVTISVFVVTFLSSAYSGTVDELRAEFGSSTEVILAGIALFVLGFALGPCFW APLSEIYGRRVMFYTTFGCLTATTAGVAGSNSMTTLIVLRFLSGTFAASPLTNAGGVI ADVFPPRQRGLGMTIFSMAPFLGPALGPVYAGFTSEAIGWRWVQGILAITTGVIWIFG TIVLPETYAPVLLQRRAKALSKRFNKTFISLLDKEHRHTRAQAIKTSLARPWILLFAE PIVLVASIYLSILYGTLYMLFGAYPIIYQQERGWSEGIGGLAFLGVTVGMIIGLAYML YDNRRYMRLLDTLPENGVPVPEWRLPPTIIGSIALPIGLFWFAWTNGPSVHWSVSIIG SAPFAFGCVLVFVSVLTYLVDTYTIYAASVLAATAMLRSFFGTAFPLFVSQMYANLGI HWASSIPGFLTLLCLPFPPIMYFWGAELRMKCKYAAQAAAKMAQMSGAKTAPSAIEGE TGDESSVIQLPDMEK LY89DRAFT_730121 MHLVSFFLSFIAVTYGVAQIFPRPKNITKIRSKTHAGVNITYSE PGLCETSPGVRSYSGYVELPPIPEEFILSRSSTFFWFFEARENPETAPLAIWLNGGPG ASSMFGALQENGPCFVGDDSNSTYLNQFPWNNKVNMLYIDQPVQTGFSFSDFANGTLD LLTNTLEFIDFSSVIPQQNLTFLVGTFPTRNEASGPNSTVHAARGTWHFLQTWLAEFP GYKTSDNRVSLWTESYGGKLGPVFMDFFYKQNEKIGEGSLVDAIEIRLDTLSIINGCV DALYVDPAFPEMAFNNTYGIQIFNESHYNWAMTYFKQHCAADIERCQQVELKLDPTRN GNNQEVNEACIAAGICAHKMIGPYGDDGVGAWFDIAHQPLDPFPPSNMYGFLGQPWVQ SALGVPVNFTGAALNVAQNLRKTGDPARGGLLEDIASLLDSGVKVAMVYGDRDFACNW IGGEKTSLAIPWSSKNEFASAGYQPIYLSKTYVAGQVRQYGNFSFSRVYQAGHQGILL FSHSILSTSQLIISPKVPAYQPEASYEIFMSAMLGQDISTGQLTVGENYATQGPSSTF NIKNEIPLLPPNRCYVLNLRKMCSEEELSWLRDGTAIVRDYVVIGREPLPEFDLRAQK PLMEV LY89DRAFT_730122 MEDHESVEKAAQNQQFHIPQVVYVCAAIELLTTPGGSLCVVSYV RLIESAVCQRYYGVGTFVAEVLCKGDAVQSYMAYLMGTMSSFSSLPTLLLTLPYGILA EHVDRRAILLVNFVSTIISILYVIAICYYPSIDLKLLWLSGLFDIAGGGSSVSTVLVR SMMAECVPSSALSTLFYRLSAISMIVRLVGTSFGSWLLQNGAASTMLVGTSSKHPSPD LEYTVCEQSESIKLSTKTIGESGSGFEQHRPKASVFHALVQSLGSYKRLILHSKPFVM CLAIMFINTVALDSRALLHPWLSKRYNWDLATTGYILSVESLLGISILLVLQFISSRS SSMTFRQKRGHELRVAKMSLICAILGSVLLGFSES LY89DRAFT_432179 MVISGSVGFLDAVKAYFTAMLTRKSNEKADIGRIYSATLMVEYV AMICVAPLWSAIYSLGYRLGGLGLGLPFFGGAVMMSLTLALVWKQGLESTR LY89DRAFT_778900 MAPMTDDKYDEEDLAGSEEDVHMLEQSHHGHKYRQLGFTANSRA SLFSKIMAIVNLALGVILAITLGFVAHTTTVSCAERGGPVHEPYSPALEAVFPVIRKF KPELVYQSETSPEVEDAWKVTLGPGNGLVALDADISAKLAKTNHESTESVWEPGKHVY GISMFHQLHCLNTIRKSFYREKFYPHVEEKKFLHHKNHCFDFLRQAIMCHGDVSMTYW WNVNYTVSNVDGNGGEGHSEWFNSLSVDERATNGSTYWDIEHSCRLFEPIAEWVARYK LLPNGISFPEDENQG LY89DRAFT_681878 MRSVSIVTLAYLAAFFSGSLAAPTPDNPKFDWPGLLNEVEKRDN PKFDWPGLLNEVEKRDNPKFDWPGLLNEVEKRDNPKFDWPDLMEEVKKRDNPKFDWPG LLEEVKKRDNPKFDWPGLANEAIAK LY89DRAFT_639329 MKGTVELLSLLGSTIKDICASSGTPGLSLGVLHHSAVLFRENYG YRDVEARQKPDSDTIYGTASLTKAFTSSLLGIFVDEGKIAWDTPLHDVLPDFLRNDEI NSATIVDVLGQRTGLAKGNNYWFGNDNGLLLEQCQAVPIVNYLTAIEPFRASRSSNNW HYALAGQVVDDRILTPLGLKRTVFWNDLNQENVAKPYVALDDGSSYSIPYPKLADGTL MGSAMGLRSSVNDLLTWSKALLDGLADQRHSGLTSTNGLPLKQPKTIMDGKSPLSSSY PGKMGLGWMLTTTPSKIGGGGANAMLLEEMPNVGEDSPGIELIYYQGSTSGYTTSLLL VPETQSAIIVLANSMGLNDAADWVSQAVLEVLLNTTKPADHAALSRETAKKQVSTFPS MNQTLQKDRTLGTSPRELGKYVGAYYNSIKNFFIETCIEDGELHFAFQGLRDHQSWPL KHYNYDTFSWIISRDECVKRARFAAASPGLYLLEFVHSEDNIVALQWKHDPGFKQPEE FTKASKPYGNGGLSQIPLAIL LY89DRAFT_778903 MSQPVGQEMANDTSQNEAAEFSRTGIQSTKERNDRLWDSHKDAI RRIYVDQGRPLRQTMQEIESRYQFKKSTRNWKMKLREWGFEKNMTRDMKFMLAKAAKR ERDKGKATEFIRDGQIVPDDTVLQLKRRRISEVEEIGSPMISTPSNITYATPAAIPDT IMIVSNSQGPSQPAGCSNQDCVDHDSKSLSAGGDQVSLLESYTNLASTTSWPRFPDQP AESLLLEAQIAAKSLQSLLKQSSRREARLSDELFERYMENVSTFVRCPLFNEKEVNGL YQDAIEYHMFETGWMDYSNILEQALDRLIRNPQLYVFAQHHKDICTRLVTSAKFFCSA SEIAKSRYIFAKVLRLKDLPSEAIEQYFESFYESLSLWKSKPGSFELTYDVRRIIKNC NECLQRVGLGENWDDSSITPDSLKPKMKEMFRQIRLIHTELNYRYESAEILDSLQPMG EAAGLNPFSKDPKEWPETFATAMLVFEAWSVFMSRRGESTTTEVLDASYAMDFDEWSA FMTTRPSTSKKKNTISSAASKSLKYGMTYTESTVSGLSLDYTGLFPFYAR LY89DRAFT_681881 MEHISTLSLSLTDSTAVSVSNGTSSYSASKPTTKERNNKKWNDV KHLIKKFYVEEKKPLKETMQKVARDSGFTASERNYKSKLKEWDIEKKIPAKEMAFMVR TAEKRTRDERKGTEFIRKGIKVSQGKMRSFKKRKLQFADEPYQAETPPGISYHTPAPN NFDIDSEILDLEKDQFRIRQPTPTATETDSETLPRDSDVSETNIETATLALGGRDSET PQMDLDLMEVTLEASSSLEEIPQILPKYPGLYSTVPGGQEGELHEATVLNQLSGHEQR AEYHPDYLNRFETMAQYSQLDPHLGDLQFDELQLDDRVEDSRNLENDSYDQDVEMSGL DNAYQMDSSQMVDVSSDSIANTQATVQLEGEDFIGRPSALHIRHVREMWTDETLLEAQ DEAEQNPFSPSTEPFLSQPFFNPFFHSARTVAAPSPSEPPYEIARRSLRHLFESFYPS KRDMSKEEWNQRMNEILSGDFESFPSLQAAGKEEWNQRMDELCSLVRKKGTDQRHMSS VFQFAFAATTNYLGLSSNDPEVLENWRRPIWKGFLRYSTSTWLPKAEIVCERFLHSFP RSGVKINRWKCRSELSNALAQAGLTEDSENQFRLAFYDCLYQTKTLPGHSSSELWQEL LAGCGSNSRFAYFVVYEASQCFPTDQSLCTDIMRAMRNELEVTDYSRCPKEWIILYLQ LFEYNYGSNRVHLRSTPFLPEAALNLSRAVQITNRYCFKQNASKLETPLRKHGWQRRW IKLSNPHYHHEELGRELLQQIKDINERLGNAKGDAWNLSTTKSGSHEGEYELASARRH PHNNRGSSCRKRSRHSSIRTDQYSLESSIRRGLTYSVGSCASQISITAYIS LY89DRAFT_705142 MPQLTEPANLPPAPYNETGAVFVNSTIRQTLHMSLGGSPIRIQI SNAFGTTDLPITSVTVALPTNGSAGVAAIQPSTLHTVTFSGSKNYTIPNGALVVSDPI PMTVAPQSNLAVSIYLEDGQLSATNAITSHPGSRTTSWMSMGNQVSKQNITDETTESV QHWYFVSAVEVWRERSARGFVIVGDSITDGRGSDTDENDRWPDLVLARMQRNPDTSDI AVLNQAAGGNRILYDGLGPNALGRINRDVLSQSGIAYAMIFEGVNDIGTAATDAVSQK NVGDRIIAAYKQIVERVHAHGIPMFATTITPFSAPGYNVTAEVYSDPEREATRQRVNS FIRYSGTFDKVIDFDAILRNDSLPYLIQADLQGGDWLHPNAAGYQKISQAFPLDIF LY89DRAFT_681882 MGNVTSSLNTGPLQSIADIIKDARADPSAVLGSLNSQGRTLAST ISRSLKEASEDPSAVLDSLNIHGRNAVASLSVTAKEIGSGPRVAEFLKVFKKSWHETI AQLPAYQKRILALVSAWWIPFTATIVVLYLFGGFGRAGIRGGSLASGYQSRHYGGYTP RSGFFANSTSESMRGKTPTIYKVAALVVASVTVVAVYKSWT LY89DRAFT_778907 MPTKERSQTPNRVRAYKPKVKTGCITCRIRHKKCDETKPHCQRC ISTGRKCDGYLSTPSSSNNSPSPSKITPLPKPQFKGNDLERRSFDFFCKRTVLTLSGI FDPTFWTRLVLQATHHEPAIRHAVVALGALHETSELGSREGPSIFALQQYGKAMGCLI NSTPEEKKRAADVALMTCVLFVCFEIMREHHGTAISHIESGVKIISSLQSGVESTDTW SLSRSPYAEPSILNPIFIRLDRQVSEITFRRKRQLLDHVLDDKVSGYHTNIPMTFTSL EQARNSLDHIRTLSVRFMKKAMPDPSFRDPAKKALTLDVLKTSSFIRLQQWSTAFNSF IRYHGDFDTTGQEAVHVLKMHRIFMGIVMGVDEERSFADETVWDQYKPQYEAIVAHAT SVIELKTRDCETEGRKRATFNLDSGINFPLIFVASKCRDGAIRRKAIQLLRTMDRYEG IWNSILSARVAERLISIEEKGLVGEEEFLKASEIPNENRLGGAETRWMTDRRVQMIYT RKGRMIIGGDSYQGGNVVMEELLEW LY89DRAFT_609908 MMRTFTVFTALSLQLVWAAPQFIQGECDNFTIGQTVETSSGSVT GHASSTYSEVSEYLGIPYAYPPVGDLRFAAPVKYTGSSALNGSTYGPSCPLLPSANGT APTAANIAASNVTEVGLELIEILTSEVLVHSEDCLYMNVWSKPQSGEELKAVMVFIYG GAFDSGTSSSPQLDGAGLADMGDVVVVSFNYRFSILGFPGDPNTQRNVAFLDQRLAME WVRDNIANFGGDTSRITLFGQSAGSASTDYYSYAWASDPIVTGIILESGTVFSFDLPY ASNDSAAVWYNVSTTVGCGNASTDPTELMACMQSVELDTLMAAVPQTGLYSLISAFGP TVDNTLVFANYSEQTPASIPVLDGSNDYEAGLFRTELAMSGEFLPDIDWDLYNLASFT CPAGTRANASVAAGNPTWRYRFFGVFPNTNVSAEGGAYHGAELPILFGTTFSPPNSTA EELIFQKYLIGAWTTFAKDPVNGLLTYEGGWPLYDPNEATLVRLAYDDNFTSPNLALP MQYDVECPDVSLAALIAALLG LY89DRAFT_577330 LKTNYRLGGIVHSLRDPLPVRGTTMIVGADVTHPGKVNDTTCPS MAGVVATYDTYYMTYLASARLQKKNTEHISDLKGMMKERLHAYKSKNGGSLPEHILFY RDGVSESQFGEVRATELPQIIEACKEEAGAVPRPRITLVIVIKRHHSRFFPNPENRQN NKSGLLVDETVVAPKQFNFYLQAHDSPIGTARNTHYVVLENESGYNADELQSITNKLS FTASKGTKGLSVCTPARYADTLCDRLRRYMRPALELDRSVNYPNYPDHTLINYNHDVV IWNAPRAAIKVNGRTTVEARTNPWRPELNDFMFYL LY89DRAFT_730133 MHRGGTIPVHFFGAVGYGYKSPLINIHGTGKSGAFTQTDYLAQV LKPYIQDFLAAFAAVLGPGKTPQFMEDGNSAHGHKTTSNICATWRTSMGITLFPHPAV SPDMNPIEKCWRRIKQALHRRLRQPTTEVQMVVAVLEEWDKIPQEWINGLIEQQDFWA FGLKVANDFLAVKGKTLRYPDVRYGSQTQNTDPSWNLKSHPKFLTKGGDWQNLVVVEV GSFTEHGLIRTFLAHLVSELAFYEIPISGDFKLRVDPLVDRDSVVRRAHYSVAFQEIF DDVLRQAHTNPVMFLFILADKDATVYADIKWWADCIRGVPSICVTSTAVQKAVGKRPK PTDRDLRLANNKNILDCKVYGNLALKINFKLGGVNHRLAGTSGVKLNTMLVGADVTHS GKGADPGCPSLAGVVSSCSSSIGKFVASARLQSNNTEYIEDLEGMMLERLQWYQSKNE NKLPDHILFYRDGVSESQYGMVRDEELPQIQEACRKIQAGRTPRSIQITLLVVGKRHH TRFFPKGRESPENLKAGLCVETEVMPLHQFSFYLQSHQSALGTARSGHYVVIENESRY TATLLQETTNNLCYIGSRATAALSICTPARYADILCDRLRCYMRPVFEGELLNFLKNN PIADYRDKNLIWGTGNGRVSPWHPNLNDRSFYL LY89DRAFT_432472 MMNDRDRSRSRGRGRGVNNRGGGGRGLDNNSPSPSRSGNNTPSP GRGPNRGGPNSRGLVRGRPRPVMSEQEEQERQHREEQQRRAFWCRICGNQGHYVAECS DTLAQDAVDVITPDPVKLATEVTALRLTSVVAPVLSADAKRAVRKQRDELRKQNLEKW GKAGLHLAKRILAPVSRPEVGRANFLKLEFRTDPGQIDLLRYRIEIPEINDKIPTKNE VRRALILRMLVENPPTLNKKFWVTDYYSYIISRGKLYTNIGDEAGVSDLDVSYYRNPT HEPNEPRLLTKIVFEGKVDLPRLIAHVTADSNNLDQDYLPDADLRSLNIVSWYQLHRT AEFNGGIVGKKFYPANQDENHSANVKTADKTSNIFLLRAGFFTSVRPGQGSLLLNVNT TTSAFFPSIVLSRWMQCRWPRGTTFAPELRVLKGVRVTFEGDTATARSGRKYVICEAT MTAISNKFITHPKTKARIRADQYLTTNRGE LY89DRAFT_432317 MRFRNPSSSWLRSHSVRPVKIQEQVHFPTSQVPSMTERRGRGGD TSGNVNQDPAAAITNALQTFRVAPGSVARGEAARKQEQAQRKEARAVQKSQADSKRAV RTSNYEKWDKASLSLPSYITTGIVASQGERRGEISANYFELSFEPNKKYRRYRIDLGL IDGEEITNNEFKRKLIGFLLTKFPPNSSTKWASDYRSTIISVGKLYGTWSETRPLPRP HPTVERPGVNDLDIPSSIVAEGEFDLANLNQLISKHERPTPYHPDQDINALNILTWRR INDPSWNGIRVKNKFFPKDAKKWRLTRDIETYVDVRGRMQLQQDVPTTVYEARTGFFT SIRPGESKLLLNISTISGAFYAVGVNLQEWMRARYDLAIGATPRAGACKDMRGLKVTF DLRNPTKQWSVYAVSDRSVSQEGFEPNGGGAATSVFDYMTQRYINATFDPNAFCVNLG ASGRDVWHPADKLRIVPNQYIVKTLDSFFAGQMIKCTERKPITNQNAITSHALQPLGI APTQPFWNDFGLRVTGGLIEMPSRYLKQPTIRFSGDATIALAEVDPDASEYRQLATWD LKHMKFYKTSSNLLQLKIIRIEQPARLHGPSMNDVKIFGAQLLDKLPKYNVNFNGSVA VVNVTAVRDGSAAERRTAWAQSLEQAWIELKKPGFLLIALATENATIFSDIKFWCDCV KGVPSMCVKPKCIAKNKEKSRPDARSGDGRLLGNVCMKINFKLGGVNQVVLSDSNTWM AGVKRGTMIVGADVTHSGKGLDSTIPSLAAVVATCCPDAGVYLGSARLQTHNTEFIEN LASMIEERVLRFVEAWGKGPDHILFYRDGVSESQYGMVYEEELPQIRQGAKAAGQKKC LQINPAITLLVVGKRHHTRFFPKWLEGQKPAPKAGDRSTDRNTVAGLIIDHTVVTPHH FSFYLQSHDSPIGTARTGHYVVISNGSNYSPDELQQITHKLCFTGSRATVSLSVCTPA RYADILCDRLRNYMRPVMEGEIPDSPDKTLAQYGVDTATWRHTVFELRDTKQVWPNPW HPDLRNSMFYL LY89DRAFT_432315 MTEPRIRDCLGAKMWVCQPCKSGILKTERYWDLVSGDFDLETLK EQSNLKPMLHTDGFRQPADEGHCTNSPLGCDIKSDVNASHLRFGECISADSVDVWDPQ ETFWQYVRLELKEEIYSPRSLRLFPNKARLQRKNLDPVLFKVPTSTVRSQSRKRNLEQ TL LY89DRAFT_577248 MATITETVTNPYSLSTTKQYEPISIPPFDPAIHLDFTPPTKRYT FTSLNLPKPATAPDTCFTEPFQLFSDEGVRMIRRDLLRKEVLDKHLKAWDRAPGYIGG AEETTKWVTSTWHHPAVTACVSEVFGLPLNLLGRKGEVGYVNVQLGPEGREGVYKLGE TPSPPLSSDSIEDNEGQNDASMIDSWHKDSTQVVVVVMLSDCSTMLGGETAVNASGTI LKARGMKAGSAVVMQGAHTPHAALRSWNSAERISMVTSYGFVDVDRDDSGTSLRSTSP GHHEWDNVKGHFLEWKLGRLRERVSKMEEKVLEKRRNGGSGMEEMEKEQIEGWVKEQI TFLKQMSWELCERYPKFLYKDVPEGAQRKYLSDI LY89DRAFT_577189 LDANSSSEGNYHAAVVIIGVATGVWIICGTPWFFLEKDRAAKLP KGETYFSVGAKAYYNAFKRIPRLTQTWLYLLGYFLISDGYATTNQIYGICQNAIVSYS TTTSTELYIVQGLSNAFGIAIFWLVQRKYKTRTKLVLMVNCGFLLVMPIWGCIGIGTT RFGFHHVWEVWAFSVIDCAAVAPFYTFSATMLSDICPKGREVTFFALYALVSKSTAWI GPIVSGVIIDRTGNTWKGFPFSLGLTVVGYACICMVDVKKGKEQCERYVLEDPTLRKD E LY89DRAFT_639349 MGEKDGLISHIQGAGSFTPPQHRSWRPFVNTFIAGLLLASLIIY KRHDLSLIPSDCIGKPKYQPQCPPQEVIGPKSRPDITSRNVETIFKSPAFRNLSIARL SGAVQIPTEDFDGMGPVGEDERWDKFYNLQEYFEKTFPLVHEKLELEIVNSHGLVYTW RGSDNSLQPLLLMGHQDTVPVAADTRDQWKYPPFSGYFDGKYINGRGVHDCKNNVLAI FSSVTALLEADFQPRRTVVLGFGFDEEAPEGYGALQIAKYLEKLWGQNSFSIIVDEGV IGISKVAGRSFGSPQASEKGHMDAVIRIHVPGGHSSMAPPHTSIGILSEVVTVLENNA RRNFPSRFTSNNPFYYQLHCAAEDPLTDISPELRHALKSPNRNDKVVELLKKDFLKDI LLRTSQAVTIFNSGSKSNALPQYAQTLVNYRISNEESLSYVQTHIQDTISPIATKHNL SFVTLPETSNSSSSPENTLSLVFQRPLSPSPVSSHKSSAWKYFSGVIKHVFDEEGEGN DVLVAPTIAQGNTDTKYFWNLTDQIYRFGPMRAWHDEGWGGVHDVNERVAIEGHLEMI LFYHEFIRVFDEADLS LY89DRAFT_681891 MRGGGGFFEDVGEGEDFEEIDEEEEEFDEVREEGEEVEEIDEEG EVYDDLEEYEDARRMERVQEEWDEEEFDDEL LY89DRAFT_577473 MSLPRKACHNCRRRRLRCDRSLPQCYKCTSTGQDCLGYQALLFW NNGVASRGKMSGMTFEDMKQREVKKERLSPESLSSTSSPPSSNIELESVGNISGGASK LTPQPLLDHSSQLLVAKRKFPFADDIALPLGRHLTDPFLQDLNQDARFYISHFNSKVC KDLVIYDLPKQNPFRDLIPLATQHPALLNIIIANSALQLSNASQRSAVMSFNHQLRLM KGSTPHLQISPTSQSSTWYKDALIAKQRALGSLSSILNDVGSSDIDVTLGTILLFIEF ELTDFGTKDWRLHMHGAIALINSMKEPYKLKHSNMSSLRRSLISSCLVYDALSSTFAQ SNSSGPARYSASIVRSSLHYAEANNYLSFPAALLEAVLTAAQLLQTMHDHFPAKFTTT LEGNQLPPLLQAAQSFNVRDWASGLQRISPHCDLENRVHVASAHKAAVCIYICRVLRF LSPEAEAEDNLEPVVSDIITHLSFVTSDNELFKATSWPTFVAGAEARDLEQQAWAMTR LQQLRECLPCTMGYVRSAMEILDSIWRKRDAAVCAGMHNIGWIQELKLLEIDIMIA LY89DRAFT_705148 MSPEMAGITNKSERADSPSQTCPTLFPTQNELERLGRQRPDIFK TWWAEVGFCFAILGSMLAAEYFISGFTIILPTLSTVLDISAESRTWPANVFSLVTGAF LLPFARLADLYGAYVVFTSGLIWLCIWSLIAGFSQNFLMLTFCRALQGFGPAAFLPAG IMILGSIYRPGPRKNLVFCLYGTFSPLGFFSGIFIGGLSGEHISWGWYFWIASILLAL VSAVALVFVPSDRLDPRSEKVKMDWWGAATIVPGLLLVVFAITDSSHAPSGWATPYIP VTLIVGVAFLCGAFYVEGRISENPLLPFSLFKIKSMKPLLVALFFTYGVFGVYLFYAS FYIMEVIGVSALRTTAWFAPMAVGGLVLATVGGFTLHLLPGKALLIASGIGYVVSVLL FALIPEHFSYWAYIFPAMLGSTLGVDVTYSVSNVFITTSLPKHQQGLAGAVVWVIIFL GIGFFLGAADVVVSATAHLGLRESYKAAFWFGTGCAGLGLFLLAVFVDVGQAKSALTA DEKNELEAGLTG LY89DRAFT_730142 MSRSVNRSPEPRPCPKCGGYICSGVYESCEDYYLVFTYQGKPPS KPKTPTMEEDWVVLKPRTPPSNEELEEAIEELVQDSVQESVHERAVRSLKEFIQYHHK VDKQNDVAAEDEGEDVPATDHQSDEDPATKEVPNSEGQDKAEEEKAIRAEDWERKEWK TALRA LY89DRAFT_730143 MGHTKNMEARAEASKAIPMALSHALALYKSRIAEVLTGFQGDKL AGILASKETFLQSSTGALPDLMNRLDDTKRAVQEMEINLQEKEVLLREKDVLLQAKDD IVLKRESQIIRLGQVCNNKDQTIGAMKAKLGGEEVKPAYLLKEQMKSLETTLNRLQFD QHQTKNDIKRLQDSQATLLAENLRMAIELKEASTFTKMLDQLRENRIMPNRPPLPELI RFPVVPRVDPGEETSLDEASGPNQDKKHGIDPLDDEIKMRFKRLKSQPRGTIVYPAAN SWRAFERARGWWMHFLHGSFSPGKFFTRSVNGDFTMRISCWWPVTVS LY89DRAFT_715563 MDKLKKECSYSSDRERERAETIELREGTAIMEAKIRRKEESIKI FDEQLVGLGLELVEMSSEDETPDATSQSGRNNIKTDAESRQRDVLYMGFLRWSKITHK I LY89DRAFT_681893 MSNHQSQGPGNGPPSAGMSMQHQSGGQAPSSQGQNLSQQNLNQI VIEYLVKKGYNRTEQTLRAESAHVDKDGRPLQDRPDEHGYGKYAKAYTLIRDWAEGNL DVYKFECRRLLWPMFVYSYLELIIHDGSSEGEKFFTAFSSSFEKVHGDELRTLETIKL QQHVFDNSLTKLYRNNKYRIPLNTHVYFGLTTFLEQKDTPVDGGRTILYLLQSYCELR ETQRGPLDQFSFDAIVNKTRAQNVDDLDLQEGIEGAFTGVSNKDIMDNTTPLKLGLMA MEHDLASDVRAELEDEDIKNPPHSGKSTLVEEFDHTIKREDSTDAPSRSEIPLPPSRA RDVMMEVQKIKEYRDRFKIEGRTGGVGPGISVCMWTFHNSMDSITCIEFSDDTNLVAC GTEESYIRVWHAHGEVLHSSLAGEPSTSRRLIGHSGPVYNVSFSPSIESPEDAGSPTP STAPQLLLSASADKTVRLWSLTSWTCLVVFKGHEGPVWNVRWGPHGHYFATCGWDKTL RVWAQDHISYLRLMVGHDTSVNQIAWHPNGAYVFSASDQADKTVRMWSFVTGDCVRVF SGHTDMISALECSPSGKILASADNTGCIILWDLAKGTMIKRCRGHGKGGVHSLTFSVE STVLVSGGSDGTVRVWDVKLPTDPHPTGDGDTLPTNAANDAARITNGTASGTQPNANA ATAAGSKKKGKDTTITPDQISAFPTKKSPVYKVKFTRMNLVMAGGLNLG LY89DRAFT_549535 SPSNEAEPPSAYRPFTKAERIQQLNDIDKSITQLLQSAGLALKT LSASQSDSTQTPSARREAFENASNSYLKTLQKVDIGLKRQIYGLEESNIIPPEKAKRG RDGQDGSGMGGLRSAAAPAEPPSGESGMGRLDIGWLNSRSGRVGRDMEAELWDKAKVF LEDLERGNSN LY89DRAFT_681895 MPIPDYAATAPDFQSSSTPNYLSLSIATMDLEDAGDSPWGDVPS SAPTSNAKSPEAPGFQESTEASKPEQSQSLEAPSTQTLKSPAGRNPRTPRRPGTQPVR LQALDDDLGPLGPLGDNAPVPQIDQPPAPPQKEQVVTHNIRQPLASAQSSMRGSMLDS VDLSDDAAPTTGPRIPPPVQPAQAAPVRRDTQPSVSIEQAAKPSFDISVGDPHKVGDL TSSHIVYLVKTKTSSKAYRQPEFAVSRRYRDFLWLYNTLHGNNPGVVVPPPPEKQAVG RFDSNFVESRRAALERMLNKIAAHPTLQHDADLKLFLESEAFNVDVKHKERKEPLPES KGMFSGLGISVGGGGKFVEQDDWFHERRVYLDALENQLKALLKAMDTVVTQRKGMSEA AGDFSASLHALSTVELSASLSGPLEGLSDLQIRIKELYDRQAQQDVLTLGITIDEYIR LIGSIKTAFGSRQKAYYAWSSAEQEMQKRKSTQEKLLRQGKTQQDRLTQIQGEVADAE RKVHQARLLFEDMGRLMRVELERFEREKVEDFKSAVETFLESAVEAQKELIEIWETFL MQIDAEEDDNAFYKPTVEPAARASNESAQQSQAAATITAEDDDDDD LY89DRAFT_639367 MAVKHPLQTVKRASIPSIQPKRTQARHASLLRRPHRPYTFTQLV TLSDGSTYTCRTTSPAPVFRSTKDTRNHPLWQPSLDSLRNVEQDEAGRLRAFRERFGR GWDLDSEVGGGDEGGSLMDLISGGTYSKPGSKEMASQTKGKKGKK LY89DRAFT_715568 MQWAAATRFYGLFYRWSRAECLMTRRNLVRNLHSTKRSLSAKVA KDGSSEIRDIGEEEKNRVVSGQGALKTDKGARKWRRPQKMLTGHALLRKVKQEKMKSA RLKKEVDNYQEQFMKEKREAGEDESKLDMIDIIAEFKRKEGVSRGELQQKMREKTKAK KLRRFKHEKKKAKRLPLHLQKRNRDKELLQNKEKQTPSLLEEESHQVMDHKLSTKSSL GDETTSSSILKTIDPPTEDGLQAEVYKSSHTSIPSPLAASLPPNVDQPEPQDQVSPEA SMLASRADRDIQSHDPTAAFSTEGQPEVVPDDSAPRLPDEEIAAIDLQQQQFSEPSAA MPESQNLNGFRSEQQVHEDGMSTEEPGTHPTVPVSERDIVTTHPDPRLIDVSGEMAPR DVKHPATTIPEQLPLLSEQREPLRAKGNIALEKSRSGDLPDKARQDESQLEKSAPEQT KDPTDKKSGSSKISTHLRSVMRALPASVVVITTALTTSASDTQRSRGRAISPALAANA RGMTVSSFTTVSLDPHPIIQFNIKVPSRTLGALMETRHFFVHILEATMLGAKIAAAFT KGNSPDGNPFVNPVSEEYKVRPTPVDVWKRVTETDDTYIRPSGWQKRLEEDFAWVPDP DNSSFKRPATFSNADVWQRKPISFDSPKSKTSKGHRIILPRIASDGVLRTLRCKVLDK GARGGGRGDGFIRVGDHVIVIAQVHTIVSHRPPEEVSKSNKKALAYAHGNFTATNHTI DPNTTVAGSSPRRTKDRELLELLQSNKAPPEHLLNATTEHGTEAVQDEKETKSKSKIK DHLDESQLLPDQTSNVPIETLPDEEDLVDGGVPLRKIRMKGLSLREINELAERYSDRA HILRTRLGLSPASAEEEASIQEPPTFNPTDDVGEAGDEGPEHPAFSPGVGVDGAWTGH WGRKPDNPKTPKPPGPRIIKHVSNRGASLEDIRQEQQISSEVQVPRRIAQAAIEALPD ADAETRKSYALFRAAEELTARREEIEIMRTASKSRAPPGSLRSGAKPSSKSRSDEWSR KQKQGSVDQPVNIKKYEIDSRGLDPELRPKMDAVWEKVQRFKTMRTGLRVADDEQYGL FWRPKHIVKEENKEKARMELEMQKCGSLEESRVEWRVFEGKPPAEELILEPEERYHNS GSRSIFTKLGDVERDDAVDTGSYERGRTNTGKQTKQKEKTIVYQEADPFADEFL LY89DRAFT_681896 MQYTSTILATSAALITSVSAHGFLTSPQPRMPGSAMEAACGTQV YDNQASDNYGNIQGELQVAASQTDYNAAECDIWLCKGYKYADNTDNVQSWTAGQVVDM TFDIRAPHTGYANVSIVNTATNTIIGDMLLYYSDFADNAKTIPANETSFSITVPNDLG DTCSTAGACVLQHYWNAESIDQTYESCIDFTVGGSGSSSVSSSSPVVASSTSASSSVL ASTSAQVVSSTPAAVVTSSSTRVSPSTLQTVVKSSSVVASPTSSAAVPTETPDDDDEC LY89DRAFT_432559 MNEGHVVTRGCPTWLGSLVVLRDIDLTRFLLDQGMDPRVGSSPP PNPRKPLWGNKGWLLHLASMFSSLETFMLLRSHGANLSYSHALHGAACGGPSQIPIIR HLLDSKEVDVGELDAYHVPHTGTPLLAAIMKGHVEVVKVLLEYGAHPLTCIQTPYETN AEKLARGLGRTDEEASKDILKMLEEARVVREREGKLGNVPITGARRSGPSSTSSTINA P LY89DRAFT_665800 MSGISSSFAWSEEMSACGVAKADKWSAMVTKKDYQKPPDLTVNL PCVKLFLGTTQLTTQQAPDFNVQVLNFISTGEQGRFEGLSDVVPSLFSGPSPGEEEGE IVEVPVFSGAVIFTPSFRQGDVPPPGQPDQDLCVDQIPGFTLANMDEKINVATYQPVG NSAEQAFNPWLGLLTFEYVPQHISNDNDACEVLRAIRIHVQDAILPIMALDRPAPSSN PNVAGPSRFKHKRQGACPVNLPQILSTQGDGTAEAASFFDISTPVSGVTGVTSLVGPA SASASASPSPVVPPAAATSSTPPAAATTAVQAPSSSVAGPVLCSNWELSSNGVQVGTD CDGDPDMTVTVLIGF LY89DRAFT_730152 MAQYESQITAICARATGCTLEEIMRFCINQYTYLKDLNPTASNE ATRCRAEMIACMRFAPQYFREICDHNDPHIVELLEELDAARLIVPLVGSTISQNNDTA VHHSSVSGETSSSLTCAPEEIDEKIRNQEAVISKMTKEARIRDRIDASQARRLAKDLD RKMKTLSIDHKKTNHSSIRKRKSAKIVSKIPRKSFRVDEQLLEDTSQKDGVSVSSEMK DGGPTSHPSIPQAVFSTMSRVGEDDDQYVRRRPTDLDVEMSG LY89DRAFT_639373 MFSSKPTFCSSVHAILAFFTFFVRNTFADQLTFDYNAYSIEAKF GTYPHHDHRSTSTTSPLLLVNEWHKERMCKTGSHIFLRNDANGNSGPEKDTSPLILSA EDLSAVYIDRRYQSVFDVRVQKNNGKDYLTFFGGPISGIGLGNGYSYVFDTSYRQVYR VAAQKLTVKADLHEFELTGHGTALLTAYDTVAKDLSSFGGGRRRRGQLHDSVFQEIQL DTNELLFQWRASAHIDLANSFENYNPSSPWDFFHLNSIQKTANGNYLLCARHTHAIYL ISGTTGDVIWVLGGKANHFFELPPPNGTVVSNPALSMSWQHHARFYPGSNETEITLFD NHALPINGFDCTANCSRGLHLKLDTSNPANMTVQILHEYLHPKGLLSQSQGSMQVLDN GNVFVGWGRNPSFTEHTIDGEVLFDVQFSPWRSPATNGDGLDNYRTYRMDWKATPHWD PVIAVDNIEGIVTVYLSWNGATEVKNWVLLASDSPNNLSTFAKTIARLPRAGFETTIS LGYTDATYFRAAALDVDQKIIGSTGIYDIKSGIAGELIESNDTISATIYSIVTDKEAN DSGNDTGIELTSSDALAWGPGWKNASLFFMFLGLLLSGYGLVSQRKHLYIVLLHAWRN P LY89DRAFT_770728 MRHRRLVPSTKVNREAEAARIKRKQDRFEHEQAIEAAQVFEELE AKNIFSALPPEVREMIWRCLLVRPATILPGWIRWTYLIERKIGNKKPRLYQREGRSYK YQFNERRQVDRPLNDPRNHSWFTPLPDYRLHNPLHMAITVPTEPTPAQAEMIANGWRD LPFTYDHGEGTQVACQVVIRGNPEDPDNPEHPERQFPQVLNRVKLRVEPIHGVAMLRV CKQAFAECSRLLYRENTFAFDTDNNEGKFNAHEPKELTHDVAWIPGVRQRNGEPQTAE QFQAAMTEMFTDGAWRPMFVARDPMLSFFSRIGRVNTAYLTKVRIEGRLKTAPGPRKK ALYIGFSRVLSILTPILKAACPNLKELALLVHGFEDEDEEQKWFWDHDPHNKAKLTDE DRIDAMAENVVKKLDTVKVLRLDFPYIFKKTDYEVDFNGDGEADNDSDDSESEESDES DETEETKDSEESEESEQSEWGEGVEVVESEDTESSEEIENSEASEDNDIQDDVDAEED SESDFEDEWGRSTRWIDWVKQRARDQRTADPEVRDEDTSVALVTTGDPGPSQGEASGS RGRGNGRGRGQSRARGGRGRG LY89DRAFT_715572 MATHTFTCFPLLPPEIRHSIVNILSIPISSSGSAMPVSGLFSPL PNSAYVALNFHLETQINCLQWAEASNVPRNLDIRCSEQEGRYGYENREAISCRWYKST QRPPSVLSVNRESRSIAVKYYELSFGEVSRLLPTTKATIQAPATIWYNRNADRLCPMG DFSDPGAKELWFSNGAPPSCALNVIDLYPILDSLLTCAQGFAYSSDLFLYWTPFHSSD IQHRGQFNFSAMVEEQADALTWQILLHSKDEIVKQSKDMFPDRPQPKISFGALIEDTV PL LY89DRAFT_770729 MEILPNSRAFTLFPQLPVELRRAIWEHAANLPRNLDVWALWGGP RYILDTRDEKFELATRQPIPGVLLASKKSREATQKYFELGYGVEIKGRLGGKVVIPPK VPRNLSADTICPMGIYTASSQEALWRDHPTACWAINVAPSHS LY89DRAFT_730157 MPLEALSNELLVEIAEWVNETCDTQTLLDLALCSRRLNAVATPI MYQSFHSGHRDVLPVFLRTLLAKPELGLRVQSFSAPMHAHWDRRGYMSGYGNEDFMRA QAAVESIYHALPVDGGVQMWMARISTGNWHALAALAFFHMPNLRDIEISQYDVLDPYD GILDRGYLHSIPATLDFLADSKDHLNSLERIEILPYDPLYGFNFKSIWSFFRSNSVKT VTISCVVGADLDDQASRYETEEIDFKRSCCSGEPMIRFLRCFPQLKAFSYEHLEGPID YVEFDAPRFGQAIAHLKPCLEYLHISNGGYDELVLNQPHLGTIGSLSGFRALEILEID ADTILGLYHGNAGDPDELSSGANARRKLYKLLPRCLEYLHLRNCSNSIVGQLWDVIDW KKKADASLSSSFIHNHIILVDMATLSALSNEILVDIADKAGSIDGTTTLHNLALCSRR LNSLATPSLYSSFPNKDVPKFLRTLIANAQLGFMVKHFSVNVASAEPLGNEYLQVFES AIEEIFNSDVALNWMNSLHQPKQEEFAAVTLFYLPNLIHLELQHCGSFSQYQPWSIHW ALDLMANNQINSSGFYLQNLQIISATHRGLTGFHLKELFHFFKLNSVKEVRIQPASHD LCRGDFGYQFPTERLDIQDGLCSGDSLTNFLGYFPKLKSLIYHHDYNSMIEFNQYRLR LAIGRLKHCLEELSLTTGETLFETFTSGFGSMAEFGALRVLEVDASFLLGPHHSNAPI IDDNGQQSMPLRLYRQLPSRLENLRLLKCKSTILGQLWDLIDWKLGNSAQLKHVSVSY LYWNTGHDQSSGSRGDQRLLSLESMNMDLIEACRNAGMLLQFPPHQAELLDGL LY89DRAFT_730158 MDFPWTSPADFPRELWRVLHAKQETKSNGMGLVAGAPWLRLPFQ TNLAAFTGSIMAHRNQDRLLSPYISFFEDKAEAEQWCLAAEEYLHETTHIIQFVINDD MLQALSMGTFRAFRVKDVARQLGLEDSILGYGSERGFSDSEFMWLGAAPVTNFFVVES SKDIRQRKQKEMYPILNLDGETKCKCELDGRAKVGETHLCVTKYDCRSTDVNMWMYR LY89DRAFT_770737 MEYDKEIQAMLEKARADGRLITEAQLHAYRTAAFAAAMSNGKVA LGDQAHREADINTWKHFFPGDVDALKAAASDNIAPSSKVPKVPKVSTSNAIEYTEIAQ WHMAKAMEASAASIVASQLQADDLAKTRKKYQESAAFATTPAFAKKKSTDNARFANLQ AEKRQLRADKEGLEDRVEVLDKEVKELTGNVKMLKNLIVDRDEMIAQLKKDVGQEKAK YHDDIRKLEAVKRATLKRAADAAGVDAPEPKKSKKELMTRAERNAGNTKS LY89DRAFT_432587 MDNKMSYRSPKSPLQSAGFSSSSAPPPLPNYDADDEFTLEFDVS SVVLPNGEPALDPAALKRIEEATRIMNELELETSTFSSEATSGSDKENENPSASDGDM EVEGVDEEDHFEVRSIASITDEDAMSNDSINVAINAETSASQVDKLDKAEMATRLKNA NTKIWLLENIVKDQQGDIGKLVKINEEQARTIQALIGNKPEYEDIICGASPLSAEEGM EETRGVDTKRKGKEIDEGGQLGKVPWSTDYLGLMSTIQSEESSPISGMRREQEKRKRV EDSTDVSLGEAKRVKHKDSQSGSSTKGSVFSVNDSFDFGSFGGAAVVKGTDVEEPKKV QERKRRSMATTPWRSTPNRIVSADLQQQMKSDSDFIERLLDPMREAQDPSTCTLKPSM WPSSGGLGSSDSMSNGDEVDVHRWKPTGDLVQDAKKMAAKIVDMEE LY89DRAFT_609947 MAIPVAITKGGRERVPQASALFAEAFATDPVITYILHNMPESKR LTYLPKYFNALLTAAALNDATFIEIDDFKSCVTMMHPGKKVDNPWTLIPAGLVQMLFD IGFGPCWRMLFEFSPMTDRCKLKGLRGQKKYFYVFFTATASSAQGQGLCTKLLNHWQD IASREKVPMWLEATTEKSMKIYAKCGFEVVERMTLGKGKVEPKGLKPVKEGGSVKGKE ELEGVPIWAMVWWPEGSKPVGN LY89DRAFT_665814 MQTPTTQEQLRHALEFGSPSPDSTLDLGNDTSLPLRTMKNTDLS FKPSTAMDLEPDSPMSRFLAAPTPLSPNTPTKPKAMRAQENAGPLSFSVRQAERVGRL QSPSVTPKRKELLVKDSIQDPRGGKRPKVEGAGWERDHWSPPSSRSGTPATRELFPDH RKEKRRGFKNDSRSRFVTTEKEQGVGDLVGNEYPTVLSGRSPDIPDGPDTLCYNCGKK GHWFIDCMVGCGRCGEDGHKTYHCDFSGKEKKVKLEEIKEDGVKKAF LY89DRAFT_665815 MSQDEQTLLKVTSSCADNPPSTDDMLDDTPITIPRSQFQLLHLH INELQKDVQEAKEESAHYMKAAKDIPKSKFSIHKKTEKLFQDMDLAKSRAKRHIGKRM SEMDERWFEGKKRNRILTEEIAQLRMMLATTIRKRQHEGRKNTTVKSDVGRDVENDMR SNRKKRKAVEEADTTIQECSTSFKKMKVNETVRGSEEGYMTNEGVEVMPSEGNSEHDV QGMVEEAVEQAFADVLAGCAALKQEVDDCDIIAER LY89DRAFT_665819 MSPYASQMATILSKAEDMGRNGVDIVEIHTKYLARYHDITDQPA RDWLSELSTWTSLFPGESFQGVCPHEQLDPETARIALQSLQVILDDRDRTIEDYIKQV DIWQEEENELKKLGEASKKVIDTSARSDTMIPLLDQLLGEVQSMKLGDDFMDKDRLNG FEDTLGEIK LY89DRAFT_770742 MTRRVESCDKIECEVHSLKNDLRHEPTSDITNDKEGGQRTTWMS ISRQDRNNKEFKKWECALPGLCNYSARSKPADKHQIRIIIIKMHLGLAPSFELREQAY VSNLPQRLVDFTFAQCQFVAPYDPDPLAMVDHLDSCVWTILFPSVPGLDDLRYPCRKN PSVQKTLHQLSQNLRTNVHSPTGTFLLEKIEFEDELRAVSIAYILTRGERQAQAEDLE RPKGQQKVPEEGRVGALWAGDSRDNECLLMESSEIRAPIPGLAPTNLAQKPLLTTPDS SQHLSNESSQGLDKH LY89DRAFT_665821 MMYTSQIKSINKKARKEGKLDAVCIAYQQHKRLVSKTCRKKMSA SEKLFFAEFLTWKCFWPHNQFPDVHFQKPRHNTPKQNADHAAEQQALYTAFYEWFPKD KEIIIPRNSRAKKLAKRQRRAVKIKAKEMQTGQAQNKINDQSLSGQEELSEVSDSEEE IAVLEATKRKTEANVGKDRVGAVDLNNMKAVESLKILPSVVSRSDVARQGERVEGGQI FPRMRELALRDGIQIGEVNIHYRKCLETFRSNGPQYTPPHQQWDAEWRTWIAFWPDEH FPGVEPLPAHFTPPEPPAEISSEEDLMIHTSTIPAGPRAGRPFPQSLASQKSAYELHK VKKEKGQHAAIPKPLALVGGPKICGGCRKSGHVLADCTYNVDEYGFIDGCPHCNTVEH RIDDCPGRSTAIPGRGKLKRKTLFSLLVRGRAGKPPFRSAVDFRFISPDQFRTLDAFP QTCQFALARRDRRLYPQSHKDRVMDSSWLDQTTIVSQIHPLDAPPSLRLTPNAGSDSP YSRRWQMHHNDERNFGSSHILRQYPPAADTPTNPRIKPEPEDERNAQLRHSPERLGSP AIERHVDIKEELEEHAIEQLRLVPSIDAPLQANEAQKLNQESGDVLSSRTTLPEPRAR TTFANDTESAKQRAYDSRRERSQRTKTSTDNVEVKYPCVPSFPGLHPQISVKAQTSNV LTGPGELKYPCVPAFPGFHPQPSVKFGVPGFSGPEKVTQAFAIKNSGRLLDLETKKES VKKLLPNWTGKVCGNCGEGHRIQKCPLPCFLCKGATHKPVNCPFN LY89DRAFT_681900 MTSSSKPSLPLSKVPSVRQLCEELGFQHASLKDTNTFMEAAHAW RKSYHTSSGRPATHVLHWNQSSDQIDLEEMAQAFLDEKGGNGERFWSPERSWNHDSDL QLPADRARIVALLKQLFWKQNRYAFNNTQYGHKDSEPRDQSRESTTPARQIDCAIERG VSAPLTASAHARSGSAQVTLKSKRTPSIYAAAALDERSRKPPPAGSATTGGIGTSNVD IFEVPDDSDSNDETFLHSPKRRHPPSNADSPRKKKKYHLAEPLRRTDRKRVIRSFPHT VPNDQIEQLIKDEIEARDRTGSSSVAGSFDQIPPRSTTKDAETNPENLLPLALTVAGR PAPIASMVSSDIRKSASPQLNASRVAQVTNHPDWGQDSAPSSPRNDTTTKAVQPKPWD PVSSPRMEPVREREKRGSRTSRGSPSSSSAHESEIGALAKSTTTNEAGPIGHSSGIDD PKLILSPRDALLHSKNSKSALHRPAAKQFSSSREASPSPSVNDFGRSANRQDPPRELS DGFKSLADLSEAAPTKQPRLEKSSHSTETVSDEINGSTTATNRLQAHERKEATQGSTT EPSSAKPRQKIQIPLWIITREPRYTEERWDDGKFMGTPLPAFIEGISKVTQRRHIEKI KLTLRAPTFDTKITVYHDAEDSWASAKETFIEKLREAKAEARAGRQTENVTFKILVEP FYEEGMLPSGGIDEDEEEYDF LY89DRAFT_681902 MPSYSSINLHPSTHPISSLKTHTFDVICIGSGWAGRVTAARVVK TGLTALIIEQELVGGDCPFWACVPSKAILRPNEALEAARAVTGVGEKVAEGGVVVESV WKRRDDFTGMFDDTKLLVPMVENSGVTLVRGKGKLVGVKKVSVVALDGSEVELEARVA VAICTGSEPAIPDPLKAVNPWTPREATSSSTVPEHLVIVGAGAVGTEMATAYSSFGSK VTLISSTKEILPKIDEEGAKLVRESLTSKGVNVILATKVTDAAREADNSVKVTLSNGS TFSANEILVAAGRRAQTANIGLESFGLKGDGSFLPVEESLRVTSVPGKWLYAGGDVNG RAPLTHSSKYHGRIISNAISADFNNSPTSTTEWSRVTATADRLATPAVIFTDPAVASV GLTRKAAQKAGIKFKEINAPVATLGAMLHAEGYAAGWAQWIVDQQSNKLLGATFVGKE VADLLHASTVAVVGGMTLEQLSHAIPSFPTTSEVYLDLLDAAGL LY89DRAFT_639377 MANTIIITGANGSLAIPTIAHLLQRLPDSTLVLTVRDASDRDAN TKYLRSTIAKHPKAHTSIRELDLSRLTAVHDFARSIVAEIAAGTLPRLSAIVCTVFYW NLVDPVQFTDDGYEKTMQVNYLSHVALVLQLISSFQSHGGRIVLFTGDSHEPGKNALD KVPPAISSDPAQLDQLVNPGADASNDALGHGMYRYANSKLALVLFTHALNRRLQQNTE LRNITAIVMNPGNLAHSRAMLVNTPYKLVFLRKFVLRPLLPLLKMTDPTARIAAAAAI DVARLATNEAHPGERGYFTLLKPDQGSEASRDEMTQEALWLKNVLWVGLTSKDLILVR KSVA LY89DRAFT_432694 MENEQFVTLSAGGMQPPSSPNPEPISFDSSSEPDSSEDCSSLPA TESPNSDDSSLFDDQSAASGIFPNKGQSRYHSVRVLLLRWEEDSLGVQYELDDLAKTL DGYGFDTETWLIPTIKSHRALMHKALQVVDDYGESDTLFIVYYAGHGRMNTSRQAEWT CSVDNKPISLQWHAIQTLFEQADSDVLLLLDCCAAASGVLTGSDNTNVTETIAACGFE TWAPQPGRHSFTNTLIAVLEEWQERPAFTAAMLHCEILNRLRHEKPERYRATKKFEYR NHQSMY LY89DRAFT_432668 MLLAHTRHLTVDRRLDWMSFSKLLSRTRRLESITWLFWEASFPA EVKEVLLKHSPDVKLSVESLSIGHRTDDEDVQNADLNLLKSLVGIPNVHTMKVEINYE HPVAMRWLKDVLLSSRNLETLHLTLPRNQDGRIDWDYDGLGVYDLCIEKGEQLESLKE LVYEARQPPWSPKQLIPTSFFNWSKIHHLQLRGHALHYYVKYLHTQDVYFQTLSIEFI VSPGYRHDGNKFLDNFIVKLRGLEKLELATPLDQMPVDKVALHGDTLTSLSIRSIRRS VDPYSFLLMIPPYELDHLNNLKASCPHISSLALDLGIVDFMPYDFLVSLAQFPQLTTL RLYLLFAETGTCYYPNHDLLWPRVNRRLPNVHTDRLTVQHMFLYISMYKVGRRLEQLE VMMGDFPRSMAQITMRPTEPERPNILFKCHKDDDGKVVVEDDVTDDEDPVWDRYFKVQ TFEATPGQAWQDNLEETIANEEEAGRVLKAAEDLDPYHDDDDTSLFGDF LY89DRAFT_432741 MRSRTGCLTCRSRKLKCDEAKPICGQCRKGSRECQPSDGVVFRH QQNASMNGNGEVEEGSARLGGFYAYRNTFNEDNVWVEVPKTVTFLNITDPYNMEPTPE PEYRPATTPIIVPQLEERPSESYFAMPFDQAPGLEALSTAATSNFHYIRPLSVPVPSP GDTHASPHSSNNLNFILNPTRPETPHGTSTPAIDPSLIAPPDRAGQPSPVSEHEVAFL LRHFGETAGQWMDLFDLGCYFAHHVPVQSKSNPLLRYSACAYAGKQLGRVNGRKAVVG GLAGRQADMELHPRHETIDWAYVGAKYYDRAISLLMEELSNSRTQEPPMTPMTAIEET LSPQSTSQSPRSDPRTPANKRRRLSRPASSSNADETLAAAAILCVYEFLDNANSAWAR HLSGTKSLFDLAEKEGMMPVQSPTSPGAISQRIRPSRARRATFWNFARQDFLAALINE GQTRLNTEDWDLWRAAGLQIDQQGFVVPSNTRETTFPEQTVMREDMISNALIWLMSKI VNYMASGDSVDHVFPQDPSSPTGIIGINQMLLLERWKELEKELEVWRQGLPDTFKPCA RLPPVTDGSVSFNSARAIFSEIWYSIPMCASTMQSYHMARTILLINKPHESTARRTTL SDRLSSYRAIEREVRHHVHEICGIALSRPEGSVRIHQVQPLFVAGQCLSETRERRVVL DLLRGIESDLGWATDYRVQKLLKEWEWNQAAT LY89DRAFT_432742 MVLTANPHKYQQQMPPLACIQITTLSNNLEDLRFPHPAQSPNQR HSKIVDVNINQQVDDDRQTPSCRHNPTGRCDNATSRNTSFKHSTKPFRQSSSGYPKIH RQDFVRVIQRAILRMRWTGSMRRKRSFPSRVKLPTSGMCVRTSRSKDLVWRSRVFKRR SLDMVIVVCDLGAHRDIKVTKRRWYGSQCSEGVIRCWGSVRDMV LY89DRAFT_770755 MAYEHQIELIFDKASEYGVTVDTIAKHYRTCLRKIQTDRVDLNI HIQFYYAECQTWYFFFENFPGIEPSSSISDPKVINTSILANTSTEGGLVGMTTRHENA AKKIYDHAKAMNIIAKQSAEQYQSKCNKVVELQTLRSSNTSTAGLEKRIKKLEGELKH ERNVTREKGDMLKALKKSSGIQKEKFRNLGRKVISKLERKGVDVTKLLEDLKAIDATE ELPEIEEEDVVATTNAAVQEATDSLFVN LY89DRAFT_730179 MLADLLFKDPSLIGTGMPTPCLPCDQLTQPPPSFVGGPVCPASP PVLNPGMNTLGPEQLCCTETIRLGTAECCCDGCPDHPEWGQPCSGDLPDPICPDTSIL GFLDLGLINTGPNCYLGVCYLQRWQYDWSCCACPDGWLPVEQDWCAGGNLCFGCGDLT YELVNDPVAGWECVSPGASPTPTTPQSSTPPSAPTTILTSPESSPAAPSTTGNTPTML PTSSGVTGVIYNGTSTSTSSNLMITTSTSYLFSSNSTSSAPRSSSTTSTTIQFRVGKN A LY89DRAFT_681904 MADYSQMKVPDLKKILQERGLVVSGNKADLIARLQEDDKKAGGA AAGAGEDEIDWDEDDNKATAPATATTEPAAAAVAAGGQGPVDTPIAVPNQKVDVDPST TTDLKVSGGEDAPTATDGAVASAGTTTTEPAPAPVEEAPKQDFSIGLEKSDAQKEAEK RAARAKRFNIPENEEAKKLAERAKKFGLDGKDTVISGLDSALPERRQKRAREEKNGGN GGGGRAAKRQTPDRRSDPKPKGSAPSSKKESFGKITDDPVEKAKAEARAKRFGKPAVE ATPAATATTTS LY89DRAFT_681905 MRIYLRRNPGLLLLLLAPLPRRPPLVAGRSVGPKFGLHYQKPIR TSKLASASSFAKAPLDSPYPTRREERDETKRNVPWEGTGSVESARALTWTGLDCSAPA ATLMEYCG LY89DRAFT_665831 MASYKSGFDPPTRPGETSRARRLGTYMQQHAGKQEKLEHREADI AHLSGQMDTRRPSASATTGRAQQTTLPRPRMREPSPSTRPKLEGARTGSSSSSFGYSR NAPKPAAKPTPELRGKSSRNVLRRKPSSVAQDVETSRPKAERQDSSSSAKVSSSSSDR RPSVDAIQKSSDAYNEIFTRPPRTRTPASKESPQIYPELDRYRSRPEQNFGSRNITDL PPKLSTQDLPPHNPMVSGTPVYSGSSSHHRYSGYSGSGYSASPSTRFSESPGPGAYSR DTTPTSISSQSPGILAPMKTTTTPRLRQGSPALNRPPVTARRRATSIPTEADASPQGL PSLRESITSSSSNSTVKGDGKGKEKEKKKKKRLSPLPPSPPPRKSSQKFKKPRSDELE DSPSKPSQAPARPVMMSPIESSPAKPVRAVTSPVSKAGPPARPSREGAPDLHAQWGDV IPIVQSNLTGLPQNRRQSSLPLPRSVGTSPQLSSQPTLRPQPGRRNNPSPSPILPSPR EATPAPSGLGIIPDLRPHLPGSRSISRTPSPSTKPRFGLFSRRTKTTPEVNTLENRGS RKGPAAGTGHEGYGKYATRGRSSSAGGFSSRQGSISLSIATSSSQESVSSTRTHDPFL LHRMSPVIIAGGGEIIENRNEGSELLRTESGTSLLLGGDRDRPSLESKASGLSNEVSR TTLWPSAMPREPISKRASAIVPKGRRPSDSSDDVVVKRSLGFRRSVQRLDSSIRQPDL PRPLNFGDMVVSPSMTSLDASILSDEMEIGTARKGVLTKPKKLEKKPKETRKWNFFHR SQPTKPQPDPVPAMAVVVGKAPTKAVPHYALLDSSDEQVDPDSVNFEDLMHDAEVVAM SNEELDALQFGSYKEKLRRNEIDANTTAPIPEPTLVPEPRVETPPAPVLFSSPEPMQI AERPKTPQTDLHLTQEPARVRPSRLPQVGRIPKVISARPETTSPKSFSRPFARLSTLQ PLVQPLNIDKESVAIGPSPPKPSTPELHIEPQLESSTLPTVRQESLDSKSSRASTNHR DFLAFSPRKNSEATTSSSGGGLSFADTTAVIPLPDDPLEEDEMWDEYDDLIEHDDTIK VPISATSSQGMPFQYESYESRRLRKSKIRPKESPTLTSTPVIKEPEPQPERRSALTSS SVYSVDMSARLKDALTAIPSPTTPLSFTEFFSGYGDRNNSIHGDSAQKKRRSSGSRKS NSSNGLIPIAEQDTSSPISQVNLRVGSMTVSKWLTFGHVLFSPAREDIDAAPVSESSK RHSILVIDGLGNDDWSFYAAETYSHSTFYNLSPTHPPSQSSPPPHSRFPSTPPNHRQI HWTTGKLPFPSSTFTIVVYRFPALSPLSSYRHIIAEAKRVLKPGGYLELAILDLDMLT MGPITRRAVRGLKLRLSEREEGTAVSLGSAADEVLKVVGKRGFEGVRSCVVAVPVASA VSGPVKGESEGGKGREMESLPDLLRREGEGADKDITTMVARVGRWWWGRCYESTLASQ ATGGSQRTQGNTASSGGRSIFDDTKVLNECEDWGTSFKLCVGVARKPSLVSRRRGASV LY89DRAFT_432895 MQSWISPTYACFLSQRQRGKRPIADFLAKLSLWHSEQLSNSIMI TFSNGRFPYSFRSWNTFNWQKMKVLDVESFGSMLGRWLVILIVSAINSYSRLATCPPA HTSFISRCRAIQIMNKFMVDGKWAWGQLKDAVANLDLETAQEGRYLAKLANLCSKDVL ISTLIPSLAKHAANLPMTMAFFANTSVLTNFKDGITDIMHAALSRIQISPRNEASGLS RNQSTCAQLNGVESHFHDVITTLSLAYKHGVLTQLDQGIAKLEKEVGGASSKVLGTIY IPFLAYFTTKVEEAYLNTYIPMQRLYRTILNAYIKRNITPEPQPPENLARESRGCGCF DCITLDVFLTHPTMTSFEFKISTKRRRHLKHRLQKDIAARSINTTIQCASPHSLMVEK ATKYDDDLNRWKQLREEWKAKIRTIDANTLKKVLGKADFDLITALKPISAEPNPTQPA KFEPFNETKLATKDQSSSNAPLKIRSTTSARSLSACTTVPVVEIPVPRPNSTKRVSDA EDQYGRSVKRPRPQSGPSGL LY89DRAFT_432878 MTAGPEVWVVEDSSSDEGSIAMETCKPSAEKPTFPEIHISTDVV AETILATPHVSNDHSMAKQQPVCSTNLRQLMQPPPIVFPKDVSSAKRRMHVSRDDNTE YEVGREELIQPDGIRGMLCRTIDSVDSSGLFAYGQSLKDAPNPNLHLKGYGVVRFPLG PDDIRMIKNASHKDLSVSGSSGEALDPAVLSKSWIVPWHEWETQNPFWTRTLQSITAN ICFQFGMPTDLILFPCALVLDEVSGSRNLYETTGPGSNCVVF LY89DRAFT_609961 MSKSTKSKLAQQYGGLHSGRWVSRLPASWIPYVQLARLSPPAGL ALIYFPHLFGALLGAVIQDAPLVRVIWTCAILLPWSLFFSNAAHAWNDAVDAPLDAAV ARTRQRPIPRGAVSTRAAVIFALSQALLGITVLSFGFSGGKGQGPAFYVLPNAMATIY YPYAKRHTHFAQFVLGFCLAWGVVIGCAAMGLEPAYLMMACVLWTAIYDTIYAHQDLA DDKGLGLCSLAVLLGYRGTKPALGLFLCCMVTMLVACAVSSGVMGWWPYLVIAPGGSL LSLGCMIWYVNLKDSGSCWWWFGHGFWTAGLSLAGAFTAEYLVHHSFLA LY89DRAFT_577667 MTAAAERRRVALPHERNADGTVTIRAFEGAILSRVLPAPAGRAF NFEVIFFPNHPRLRQLPSKPPEHFHPLQEEYVSVSEGALAVEVEGIEHILRPGDPEFV LQRGVNHRLYPAPQNEKESQNDKSRKVSCLLSAEGTSSLFALDLVFFENWYTYQEQVV VHGAKLNLIQVMAMFDAGDSYLTLPWYIPMRSLMSRVMGIVFGRWIGSLLGYQPFYKE WTTDWDLACEKMETCMLQRRFSDRKKVA LY89DRAFT_665835 MVRRKATRLGYDQSQFDDMYEQTRENITSTMPMASQKAQQYAIY LSMWITLLDPPEDLNYSNPLYADFLNAIHEIEHLKQRIKDKEELVGVKDEIIASLKDG SRISDVAMRITERSIDQQAGILAQREASDALERIEELEEEAEEKDEQIEKLTDGMQQK ESEMQAMAAKVEHLRDVAARATKETIRVKRRSASKYARKKEVVKALEVDIRDKDQTII DLSQENAITKARADSLARQLERLRDKAEYEKGPLAGSISQATFPINSCTNCGQRGHLI TDCKESCLKCGSHSHLVRAGCKGEYHGRKHKIQDDGEDVLIRSVRTRANGVRKVRYGK LY89DRAFT_770768 MPVSRYKSEDVGREPLHQPLAFAFSKRIAQNRLLKAAMEERLAI WDDEILVNRGLPTKELIELYRWYKNGWGIIVTGNIITDYCHVAGSGDQIITPESPCFG ERFESFKALATAAKAQGSLIIHWAGQPSWSSTPQFGNWTFGAPRAATEQDISRIITGF AHAAQYLEKAGFDGINLHAAHGYLVAQFLSPRTNKRTDAYGGTLTNRMRLIVEVVQEI RSRVSPSFIVSVKLNSTDFQEDGFKPHEARELVGVLQDDVGLDFVELSGGTYEHVGLE WTKESTRQREAFFLEFAEMIVPVLGAERKTKVFITGGLRSVGAMVKALDVVDGVGLAR PAAQEPRLAANIIEGKVDGVIRPLAPFDTAGLGMLAAGMQMRQTAHGVEPFDMSDSEV VKTLLEMVNEMRKADENKVEDVEVVEFPDWIRNSKSYGVAE LY89DRAFT_681909 MTDREYDIVLVGATGYTGSLTAEHIAQHLPTNLKWAVAGRSQEK LGVLTTRLRGLAIDRLQPTIEIVNIEDKSQLETLVRKAKVCISVVSYDSVGAEVIEAC IQSRTDYIDTAGSIPCLRKWINEYHDAAQSAGVVLIHSCGTFSAPQDLLTWTSVRELD KNSSLKTKELILSLLSMPSDPSGGTVESIEARESLGAKVLQESEQPWYLSPIKGLELS KSTNFFGMRHDPFLGELSASSMSAAQNRAVIHRTWGLLDGGKDYGPNFQYHEYKKAKS TVAGILQILNSKAIALLLAIAPFRAMAKIILPAPGQGPDLQKERNYRVEMELVAVADT DDDRTAPRVHSRFAYPGGPYHATGAFLAQGAASLLYARELEGGAVGGCLTPAFMGADL IERIQGVGGKLYTMML LY89DRAFT_432929 MSKDKKIPSYLFEILLPSAIESLGISDSASHQNIIEVLSHCQTL GLSSELQRMTAKMTRKALEIRDEHLDSVCLPVFRLILSSKDASNILNLGPVQAMYRNV LNYYISRCVQPKPVFNQDWHRARRGCGKPRCSECKTLDEFLTSSTQSFTELRCKAQIQ IHLDSQLQTAYPWREQLYETTQARGVMTVKKIPTEFNHELRVWEQRQNQAKKVLLSFE QGSLKVVLGDAFGIIMSLQTLHFDHKPTPPETPAIDVTKNAPPQITNSTTSQGPASAR VTPLASSNRSFVNASVGTLKTKI LY89DRAFT_681913 MSGTDVWVVEDSSEAETEEDRHRDVKLEPNISLGDQATSLALSK LEEPIHRRSSSSFEGLTPDEEAELVVLCSQHEEILASPRVTIRGAQSAQTQPARGESQ VIHTFSLPQDDVVHQKVLSEHHNGKDSARNLTGPVSNTLGDGHHRIPDVQADLNIIHG SYHRDMHTNGGLGDEYGLVVPRTSTMAFKKTESSKAKSKELGSLMISVRSEEVKEPPE VFIPSDKIWERLRKHFINASKDIPFASHKILKDATNPGLHITSKAGTFLGTIGFPLSA GDITTIKLISGHLDRDGTTTDAISRKQDARSASSNGVWEITSDMWEAKNPSWEKLLDT ICSNLKKKLGDQATRKGMAFTKSYLFLYERGSKIASTKARFPPSAFTFGTLNTMLPTQ DSRFEAQFVHDGNVSQFSTADTSEYDCCAFAWLSTVDMSMSSPTSGCRVVLQYDLERD KPGPIYTLPTKDQALEELSDMLRSWDRHKYSIKAEAIFLALDDLYESKHFVDNTSPPD PSEFFESDRPIVNQLREACKQNGWCMYLAHLVKTSERFERPSYTIPGGRFQPELYFDS NEGDEDEPDFDSDEEDEDKMDDEEEEEEDEVEIKLVDFVNIEGEDHFYPVSMSLDETD LLFTNPECIDEESPDSNEDGLKLWDRTVVVVLPQVF LY89DRAFT_577942 MASGPSQIDEVGDQLPAEAAPAYNEVTGTLDVNQAGLSVQTQVG NDGRVEIHIDETDKPLVEQLEQIQLASQPEPGTPPNPSNPPSYLPSRSSKAPPPLNIV IHVVGSRGDVQPFVALGRVLKNDYGHRVRLATHGTFKKFVEENGLEFFDIGGDPAELM AFMVKNPGLIPGMKSLKEGDVGKRRKAMIEIIQGCWRSCADADEVKEEEVTHSAVKPN PFIANAIIANPPSFAHIHCAEKLGIPLHLMFTMPWSPTREFPHPIANIKSSNASRSMS NEMSYTLVDMMTWQGLGDIINKFRTDTLELRPITQAAGISMLHRLQIPYTYCWSPALI PKPKDWGPYIDISGFYFLSLATNYQPDPELAEFLASGPPPVYIGFGSIVVADPEAMTN LIFEAVKKTGQRALVSKGWGGLGGDQLEKPEGVFMLGNCPHDWLFQHVSCVVHHGGAG TTAAGIALGRPTVIVPFFGDQPFWGAMVARAGAGPSPIPFKELTAQGLADAILHALKP ETLDRAKELGDRIREEKGCEAGAASFHAQMDVDRMRCMMAPNRPAVWFLQTMTGGVCL SAFAATVLGNEGILDLNTLVLYRPYEYAVEESVAVSNLNSANPVLSTLGSFASGIVHI PINIGKAWGGVVYQPYKGARKDGWKGLGKGLGKGVAGVFLHKRGLFQYGGKTYGLRSL YESIKKRMGGGTLTFILAAHFAQGFEEASKASEDEQSDVLARWQELAPELKKNKTGSS TASSGMSPTSTRTSASTSTTTSEPPGPSILRR LY89DRAFT_778946 MQFLSFLAILAATSSCVSSAAIDNTVGLSMRDELDDIINLPTKS VRCGGSLARAEIHTTADIKKAATNTLNHLDANTVVGDQNYPKRYGYRDPAVTLSSQCS ATDTLYEFPITRGTWNGVPGDTTDIPDRIIIKRTSKKGIYCGLITHTGAPASPITNNP FQSCTG LY89DRAFT_778947 MDDTSDYTEWFNAVRGEGYYIDGGGPAFEAYLSGRVPPPEAAAR VTERDPKSKLRADAKVGRVWTLLLFCAEDCLEAHPAIIELLRRIGTLPKSTEEGGVDW AGNDARTSWGRLWRDANDSLWSDTISKSRGARALATNPLSEKWKNFQAFSAACVPAKI MGMDNEVFFALILIISVLEKKRTIPELEMNVPAAAQWFLIAGRTIFNQKIEENESVNC AWDTESELWKGKRGFSKERWAIWKERWGAIGSTSDLSEETREICRRVAVTMNKVERMK K LY89DRAFT_433046 MDLSHGSRHNGTARSFNGCWTCRLRRKKCDERHPVCETCAGLHI DCYYAQEKPEWMDGGARQEEMAERFKRKIKEHRHRGEIVESLSVEHMPSIDPTNAAWT VLPHLDAPTDDVLRITTDIRRDEPCPEVPAVQRHNGTTCSITSKDPLVLGRSEIILLM FCLEHVLPFLFPFYNPSPLHGGRAWILEMMIGSPVFRQATLCQSTYFFSLAQETSNPD DVFESVLKQTGDAFEVLGQSLQVIQGSSITEHIHGAVRIMTSIMQVQRFEVSISSFKN CQSHLNAALTLFEQLLNSAGEAENACPSSKFHAVMSLLGPSSWRLPNQCIQGPRSEQA AFRFSVTLLMLDDIIASTVLQEQPRLYEYHSSLLDGIECLINFEAVVGCQNWVLLNIS EVSVLDARKHQCSRSGTLDVMELVHRATYIKASLEAHLTKIEMEPAFLPKRTNSILDV LGADSQQSTVPADQTSLVTRVWAHAALLYLSVVVSGWQPANNDVRYHVARILKLLSQI SPPALLRTMAWPFSIAGCLAESAQETHFRELVGVLEPSVVFGTLRKALEIMERVWQNR NAPAAGRDLAACFKGEGDLVLLV LY89DRAFT_665843 MASNPVVLILGAGPRVGVAIAEKFASLGYSVAVVSRKGTGTKND KGFLSLKADFTEPNSIPALFEEVKSEFKIAPSVVVYNAAALTMPPARDSVLSVSTESV TADLNVNTVSAYVAAQQAVEGWKTLPKETKKTFIYTGNIANVLIVPMPMMLDLGMGKS ASAFWIGLADATYSENGSRFFYADERQADGRPIGMELNGDAHGEFYAQLASQGENVPW HATFVNGKGYVQFK LY89DRAFT_547989 ARTPVYFLGIGGPNSMVNTEHPSYSQIISIGHEIATQVKPRAVV VFSAHWQDGPSKVSINVAEQADIIYDFYGFPKHYYEYKFPNKGSPEIAEKVIEKLTGA GIEVERVERGLDHGVWAGFIVAFDPKKNPLNVPIVQVSLFASEDTDQHYRMGQALESL RDEGILIIGAGMAVHNLHDFRASRGTGQTMPYTFSFDEALKEAAESKPEERRANMLES MKASDARKAHPTLEHILPMHVAAGAAGLDVGERLWTLPEGSLSWAQYRFGKL LY89DRAFT_730195 MSDSSTPPGLTPASASQRRAKPERKPPTLRDSHLVKLFAGKSEK AKSFTVHRHFVTYYTPVLKAAFESNFIEGQTQEYRFDDFFNHNTIMLLGEWFYTQNLN LDNIQEDGNSVEEDLIQHQTPKEEKLVEENPAQGQVPKEENSAEENVVQHQAPKEQKL VEEGLVQDKVPKDEPSAADKVIMHQIQILVELWVLAEHLLIPKLQNQVMNQLQKVCSE TRMMAIHQCCFIYENTAAGSVLRRWIVSDIAFLIDESVIRDYSKQYPHEMLVDVFILL ASSFPERVEQGDEQDMEEFIVPEDEGEQKENK LY89DRAFT_433069 MHASELSQANFTTFNQLERIASAFSLCGTAFVAVTFLSSEAFQK PINRLVFYACLGNIFTNVATIMARAAVEAVSDGNFNTPLCQFQAVLIQMFLPADALWT FVMALNVYLTFFRGFTGPELRRLEKYYFIACYGIPFVPALAFVFVTNAKQGHMYGNAA LWCWVSSDWDVFRIATFYGPVWLVILATIAIYVYAGKEIYNKTQLLRDFNKRMPDPMI IPHDPFSGHPNEVVYVTSQTTVESSAPIDLSQLTPTGRQQPGAPTPALESKYNVTITA GSKDKADAPLENVTRFSYDEKSPSESRPLPEPPVSVLTPTTPSYRTPQSGRRPASLQA TTAMLSYTKVAGLFFLAMMMTWIPSSANRVYSVINPDDVSLVLECFSAFVLPLQGFWN ALIYATTSIPACKSLWKQVKEQRRLSGSGLRNLARGFPSDGESVQPHIPHHHSGGHQR IKSRYHEHETDSMTELKESRPQTGKSTRGGSGDSTDPIVHVLSQEDYSRGFSGV LY89DRAFT_433118 MTLFRLIPSRGVSSDGRRAEPRHEESKSRCILCRSEVGITVPQQ EQATTRAILVANPSSCFGPGTRMRNHPYLDASSCSQCCREPSPNLLADRSWSLCHATR DLVSPEMRTSARISDQAAPKVDHVSTLHDDYCRPFWNIMRVDLSKQNRRRSQPMPLSS SSAAVSATRE LY89DRAFT_639407 MSQTFLITSATGSQGGSTARELLHRGAKVHALVRDPSSAASKAL QALGVALFKGDFFDLPAIRLALTGVSGVFLNTFPNFNEGEGEIQQAKNVVTAAKEAKT VTTIVVSTVFKAGEKSEIAASKPNFPFLNLYLHQKSGVEEVVKNAGFEHWTVLRPDWL DYNYLVPGYAIHFPEYRTEHILSTSYDPSFKKAHLDPVDVGKFAAAALLDPQKFDRHV LELGGELLTLEEVAKTLSKVAGVQVKVRYRTEEETRELVESNKMPVIEMQLWPREVSL DYDASELDQYGIKLGTLEDYLKREKERLLDTLGVPKV LY89DRAFT_730198 MVSKRHHMRGRQARPTRTDNRICAHNPKHNAKPKPTNHPDAPCA ECGSLVHQLGGHPNANTPYGYLKGCLPCNTKDHSISTCPRYRGSARRRQLFYWYRTMR EGLCPGEWHEDPREIANMSGLYTLQHMPRRPDFALQYPYEHPEAFQPPAKGGDPEELR RDPYWMSDKAWEYVGMFTNLEARTNSDFRRLDPESIEYLEYIEDIRENLRGQIVDGQS SKPTLLQLCNFSDVYDMLKPVTDNRTSDERIYDWEIDLNFSPASIGGLCHPRAESYDQ SGFPARDDTPGPKPPSAPGSYTQPTQSGFDA LY89DRAFT_715598 MSQLKSYAYPGIGEWAQKNLSYSQAIRVGNRIVCAGQGGWDPNP EVIDLSTLIKEDLMEEIDQAFSNVDNNLKHAGGKGWEQVYKVVTYSTDIKSQHTRIVE NLRKWMPNHTAVWTEVGVSHLGADVAHFEIEVEAFDEEGAEELSKTKARAA LY89DRAFT_433164 MDTLWKTHEFDAVDYSVFKAPKRQRSRVALGWAINEEDNSDNNA LHWATFGNVKDWATWFDDKESLYDLEMPQKAASFLFFKRDVAPDNLPSDDANILQHIP VDEVSFRLIVDNFHIHRAFPILLENGAASFSRIPCKIGGRECKVYTLRVEEKMEKHTA ITITYFPKTKDLESKMYALVLGLNDQEIIKAFGQLRAASGNLFSPFTLMKIFLELERN TRLKFVSDKVTLLETLVDIYGGLPVTMGRATPKPGTYDHRGDDSHGLLNLFLEVGYAK GGIAAWKAQLFGMKKSIKEFRAMSSPTSQEIDPSDYLSRLIEDYHVQIHKCDVLLQAI PLAFQRDTFHQSRLDTRQMKALALLTMVFLPGTFIAILMAVPEFEAMKNVRSLPPWSW YLALTLPLTAVVLVTYVLWVALGDDKWQKRFKTAWEKLNCGRRRGRRA LY89DRAFT_778954 MNLCEICQYSQQFFSIVIKMPTPGFLKSNKTKTDDAEANRRSAD MSVDKLVTGATPYPLPSNLAATRTFVLDEGFDPRAMEKALNEDLGEDQWRLEKGLANV QKTIISRGPQNKEAAAELYANEWLAKFKDLSEVLKPDKQTDEYPAPIKIALIDSGPSP DHLQSKGIIYMHDKGSTAGSENIQHEMLSVDVISEVFDRAQLYLANVDMPIMQKVEAT VEQIAEAIQWAVEENVNIINIAITLKESVALPEMKVAMNNLRAAVDCAKMAGILIFAP ATTSRGDINSIAYPAVMRNELFCIYSSDGRLGISETNPPASTSDNNFAILGECVRLGT RKATVTGTMVSSAIAAGVAGLILDFANQPDPPKKLGEGGFERLQSKEGMTAVFRLMST YHKQSGYQCVAPWDLLPPGYDSQNEQFRTKTRERITRAIMKALQQ LY89DRAFT_730202 MNAFPPVPINRIRGGGYVTDVDPWGRTVATNLPPTHVLHFELER LNQKIKTLESEKAEILETTVDLKRKVGELRTRLRTEVPADTRPTNTSLYQRIDTAIST ADANILRAILREVCRESEKAANIAASILPSAISPVEERSNLSKASSTRTTSTRRTSVR FQEPPTSASSDLPKTTRRTSARYQPEAPFHPERTTDDAETNEYTRRRRKEKAAESGRH ADVVASEFTTPRRSKRRADEAEEDVLGSRKRRLTAPLLCTNCNKEYNASENTRGVCRK HSGHKEVDPNRDHVWCDWDDYVHGRPESYINNPEYAEGFVWSCCGEYSNVKRCTTSKH SNK LY89DRAFT_433157 MATIISTSTEYSTLEATQTSLALAASTSTTLELFSASQTEYITE TLEITSTAYSTITETSLAFAAQTSTFFSLDIIPTFSIEYITLYQNYTATEIFELTTTI YSTFESDITQTSTDFAAATSLDVVSASETQFVTVYQNYTVTETQVIDMSSTVIDISTA QSTLDIIATDYGQYMASTHGNIERRLIALSAFNSHFVDDVARTSELNSHRDTAVDQHR VCCCYCHIPIHAIGHSEPDNH LY89DRAFT_433299 MSPLSTSTDMPVRSSRQTKSAPQPQQKSASISMPTRFNSTASKD SRSAARSRRSPRGGSHRVHNNVERQYRARLNDQYSVLLDALPVEITSSHGTDGDKTLS KIEILDLAKQHIATLEEEEAQLKEEKVMLKGQMQLLKGLVQLTGELVP LY89DRAFT_433374 MSRKRSSQYSVSQDHEGFHDCSVPHLPICEGSPTIALSLPAFDP LHDSEVPELTTASTFASFDDPASNPFDESFANIDLWENWDENQTQTGYNPTQQLVEGY TPIFSGSSFSLYPTPPSTGTQTSQNTSKNPYLLPLTKPNEQQGDVTPGYSYESSARYN AVHTPCFGYPNMVKSVQKHWSNGNATSPFPTEIASLESPSAPRSPKTIASNPYREQRS RSKQHTIEKRYRARLSEEFALLLAALPEKLIASEITGLGGLQIERGLSKMDILDLAKK YIGQLEKEGIKLKQVGRSLQLDVDAFKRIYDDCRRQTSS LY89DRAFT_681932 MTSLDGEEECNSEDAFPTLDWHVNSDHGEPPLTFDSSTTFPSPS LESSCNPFEESFRNAQHWETWDRDSISTASFQGNVPNVSNDLHYATDLYSMITTTPAE GENPFHYTLGRTSPEYNNTTTSDFVYPAMTTSPLSTTSFMGYNTGEQIDINKSYTDHT TKVNTQMRHHKEKLTELPATVDSNKFFQNKA LY89DRAFT_681934 MVEKQYRTRLNGQFSTLLSSLPPDVVGNETDSYGRVDSGAEKKV SKAEVLVLAKKHIENLERTKKSLECDKKALMEDVQRLKGA LY89DRAFT_665858 MPSRKPHLKSRNGCQQCKSRKIKCDEKVPLCGPCHKHRVPCSFE GLTPPSATPPARPAFHPPDLQYAPPLSPTSMLDLELLHNFTSRTYCTVSASLVLSPAQ KEFHRTGFVEAAFGYDYFTHALLAVSVFHLIFLIQRNQIPNHKGIETYLIAAHTHHNT ALRSFRSTLYNVTPENCSAVFGCAILITLMSFAQPQLPDSLSLDSSPKKVSALVLDWF RLLRGIEPAHQDYKHIIQSGPLKPIFESKVDYGTGPIDAEISSNLNALCLAFSQNSTA AVRRICVDAIKELRVGFAGVGERRESSSSLGWVVNVDEEFVGLLEEEIEDIFHLPQKN ID LY89DRAFT_681935 MEPFSVAASILGLAGVGVQAAQTVQTAGHMFGDYRRAHDQMRHA EAKLDLLRSILQNESLKLNPDLAAAQSSFESIGTSFPRNLHSTTKRQRVRWAVKDKQR AIELCTQLSDLQTASSLSLLLGQSTLMIDIQSNLEELRDSLASVEEEIRGERKLHYTE TPTPTITPQQGSQFSETRVHRWASSYFGANINLIVSRFDGQYSQNMILQCPLSSNRVL RVRVQSLRAPWPSLLIYPCFRIQNTVPSDSSMVKACQDGDTEKIQELISSGRANPNDM TVDRVTMLHFAILSGALDAVELLLDKGADPNLTYGKWETSPLNTAFYTGNAEIVQKLL DSGAELEYTNCRMWTSARYIFEPQRLHLNSTELLEFFTALEPSFWNALDRVGWTILHR AAAFGKAEDVQMIRSMQGSPNIRTIELNWLPISCAAVHGNEPTFDTLLADADLKSANR KDTRGWNLLHLAARGGSPGILTKVLRLGIDPEERTARSKLNLPDALKAKELTARDIAD YYQKKDCYDQALKDTGWAA LY89DRAFT_681936 MAYTQSKRSKKNLDSEEEDTTLLGKISLLPDLASQPGENNQNQS GWTYTATFGVFKQCLALVIQVLCSVIVSNYSQSHSGKGLDRMRRISEYVELNNGHKFP WLSSSHTSADARRQASVIVDCPTMVSVPMVFLDPSPVTFMSAIMIYSSAVLLFCMLGQ GQYRDHFLIFGCLLGTVLGILASWTQSNLEPLRDYIPLSITAGLTLSLIWQGLMSALS RKKRIRILA LY89DRAFT_778962 MQISPIADQGSPTLSSTITALATTEQVNSPSTEITSARNDFQRQ EDHGKGAAREQCSQSIGNSGTDLDVGPTDTSRHVASQEANHKIRPSREDDGQIAHRAA HPQEGNDPVLASGIHSIVTEQDYGSIIPPPTVDVSNSEVHQLLRDARASYPPPGQQRS LRQIFHPIPIPLASLLFLSFFVPVGAAPLADSHGHSRSTTVLLPAVAIETFVLISSAV SFLCLVKRFIQGCDGLGSSTVPLWIVLISVMPMSLSVFADVGLGLSGLVYAMILVTLV LILSAILLYKTILGVLTLARVRQGDAHLPLPVEVASVEDDRWSRQIAILGGCPHCRGE GCSKCGNGGSSGGDPRSTSQA LY89DRAFT_665861 MSSFKNAVGALDERISKSTFGRVFRLDGSGHPKERKGSKFVTEI RAGLTTFFTMAYIIAVNANILSQSGGTCVCPGTNPNDTMCNVDPDYNQCLLAVNRDLI TATAAISGISSIAFGFLTNLPVALAPGMGLNAYFTYQVVGYHGTGSVSYQLALTAVFV EGFVFVFLSLIGMRQWLVKVIPSSVKVASGVGIGMFLTEIGMSYSGIGLITGAVDTPT DIGGCPPQYLDPGSGACTSHKMTNPTLWIGVICGGVLTSYLMAYRVKSAIMIGITVVS IMSWPRDTTFTYFPHTAEGDEMFAFFKKVVDFHPITHILAVQDWDVRGAGSHFALALF TFLYVDIVDATATLYSMARFSGVVDPETGDFPRSTLAYCTDAISISIGSLFGCSPVTA FIESGAGITEGGRTGLTAITTGTCFLISIFFAPIFASIPPWATGCTLILVGCMMMRQV TAVNWSFIGDALPAFVTIVSMPFTYSVAYGLIAGLFTYTILNGLIYITKKVSGGRIQP PDADLKEYWTYKPGLSQSLPWFVRVVEQRRWFTGRDGVYVEAEEGREGSLGASEKELV EGVNTGRKEKGKGVVVVGERFA LY89DRAFT_577391 MFDVSWTDPERETVGERRTRKEQNGGSSRKQNVISRRSSMRSSK STESPAAAKPSLLNIFGSGRKPAIDRAKSQSKLYSEDVNDHRVSIYTSGSETSAPESF GLPATRIASNSFFDGRSSHSDTEQSNPSEPPDSVFSGWTERTSRTDSSWGSVIDTSTL SSGTSSCIVQPLSPTSFVTQSTEVTVSPRDSIKAEEQTATIVRITAAGTTIPVEVSNV PDSPTKTYVPLYQATSPVAETWKPPETWECPASSSDSSGCSLSPTAKTHPRPRRDIQK PRLKSPPTSAEILHLQRSIRRMEAASKKIMLERLREEWTEIADASVYRELELEKQMWM LTALRSFDGRRSIDGSRCGTPVWHRGKVLSLFENHASASSLVAIVPKGTEVHHLSTAP LSPKQYLNILPLTVPSATPQLPYASNIFSSIHAFCLPSLLPSSSIPAVLKECYRVLVS APKPSQSTTPSSNTLSNSVEPRGGTLCLTILDPSPLPGTLGPRLRTWLDDHLVLNLEK QFRCINPSRLLPDWLADAGLRAKGSTVMYVKFFASVPNLEDERIKQELKSVVGRMLWK EMWGSYVLGEKWWWEDEEVVDECERMGTVWEYAVIEAVKGE LY89DRAFT_433659 MDKRHPSSFQQLEKLGEGTYATVFKGRNRQTGELVALKEIHLDS EEGTPSTAIREISLMKELKHENIVSLHDVIHTENKLMLVFEYMDKDLKKYMDTQGDRG ALPPTVIKSFMHQLLQGIDFCHTNRVLHRDLKPQNLLINNKGQLKLADFGLARAFGIP VNTFSNEVVTLWYRAPDVLLGSRTYNTSIDIWSAGCIMAEMYTGRPLFPGTTNEDQLV RIFRIMGTPSERTWPGISQYSEYKQNFQMYATQDLRVILPQIDSSGIDLLQRMLQLRP ELRISAHDALQHPWFNDLVGQGQMRPPQHQGAAMMQQRGYAQQGVVAQGGYEY LY89DRAFT_778966 MRPLPGDDALAGRPRSGSLDNDVTSLATRHQAPQMTYFLADEKS MEASQSHSPTILPKQREISKSNAYGVESLETTISSLAQDSDDSEERLRSARHNWKKNL GQLMSRKSEEDLEESGSPSLGSTSDFSRNASPSHQRRSSQATISRPFTPLSFSAGPPS TMSSPRSRRHSDAGSDMDDIVSQAIVSSGEEDRDMGPVLVDSGSAPQLVMPSIKMPSR RPFTEKGKNMGRLKVLVAGDSGVGKTSLIKAIVQICEDIVHVDPISATAISVQDPRRK NSKSKSRSGSADMQSTSQITEVYASTRAYPPWWSDLEESRILRRRKSMGDSVLERNLC FVDTPGYGNKTSCLECITPVVDYIESHFKKASSFEGLSESEMVNMFSGNGGPQVDLVL YVILNRIKPVDIEYLKRLSNVTNVIPLIARADTHSAEAISSLKEHILSEFSANNIRPF HFGVSQEASQFLSYPSAPYAVSTTPSKDDDNMEASLLMSPDYVQPLIQSELQPLVSQI FERDAISWLRHSAAKKFLAWQSASTPLSRPQSLYRPLSLPSPSTSQVLTAPVGATTSY ALARITDHTQREERIAQVRLANWAADLQRALQKERLEYEAKARGERAVWLTERLGECV QDGTLVPISQARRDNPAFDQASGVLVKQGTYSRRREMQQDMRDMLDTRDPLGLLMMNE NFKRKGWAILKALSVLGLVGGIAGFASWFSRQWHAANVADGTFYGFGVADWVEFGMID WRA LY89DRAFT_434877 MQTSKQTMEPKTARQNRTSRVKERRLIPTERRRNKQTMETGAKT LGLPMVLVARVFSASMKFDEARYWDIIDNRPRLSRPSSPVYEGFNPANSCANSVLANE SLLPSPRSTNTPSPTIPHEDSLTLAEQSQEQRHNEQWRRVQQDEGERHRSTVWTIRYE LHAVYQGRFPTPVDEDRVGQARIAAGQAHARQFTANLNRANSFAPSDAASGGVAGPSR SSPSPTDAGTSRPPSRPSTPTRPSQAVPSHRSPSSTNARRFRFPYGSPAHVPTRPSQP GPSRTRRSPAGGSSTQARTYHSRYGSSANAPTRSFHGGPWRAGPSQAGPSQAGPSQAG PSQAGPSQAGPSQTRPSRARPFRSRSGPPANAPTEPLAMRPNRSSARHSESRREQGDV GKGKERERDGDTEDEGEE LY89DRAFT_770823 MPLQPRKPIPQFLPSDGTKHESTTSNGCPQDDSATHASNGTSNV DSIYLTVGFAIPHGETLEISGTLELETDDEKPYHMTPRPGTSDWYEQGTENVKHTLAD MMIGYGWLPSVDYNDKKFCLPFSKLIIMIGTIKIQWGFPISRAEQTFLSHVLIPFSRA DEKSCGEGSEEVQTLFSAGWKSILHLKATAERCSRKSRAQDTEAGVRGSPGGSQKSRN WETSGIAKLFQDMVLTEEYKNTEKLKDAEVEDLCLVFREMESIYHRSPIPTKADQDHE VRFRTPTRSELARQAYERSRLSSSDEDENHNGEVGISAITELPESNTASHKEDQCCDA RMEKQELRGEAEVQHSCDDDEEESGTEGGKPAQAENTRIQYCSDDEEVKDAGVAMGEP ASAEKGELQEPQEPRSHGDEAGEETSAEQKGAQYSSDDEDEESSTILRQQPYDKKVWE YYSDDDDEEDGGVAIRNVRTLYEELAEKHEEMKEYLGGKYWRISKFAEQWMGEDGRLE MLRAQAKSSVRKSSG LY89DRAFT_778968 MRSTYLLLFLGIIVPQSSCGTSQQPLNEGANRQYYICDGCVPRE LVLAPGVGFDLSSPYGTAAIRYYNGTVVDIGRVEGSPEYKDFMARLSAPYKQYGPPFR TPLDRVEYDLDQAKRRLRRLQKLPSTEDISTLHVMLSKMRYLVMQELHMLPRKIVLSL PYMPNFQPEDLAEAMELADLTPLESFRHFGDSLDNTKAALAGMGTGICEHWQDIQKCD EEQDRFKIKQTLALTYTYDELVVETFLMINPYHFIRVERSRFPDLSYGTWDTDRTNTT YWDTIGRKVVETAKKRNTLPWPVEELVLMGEYAEEKRFLDSIWKALGGSMDVQKLWAP LQRPKFSAEFIAARGAAEMAKRWLGETWGCVEGDWCEGNRD LY89DRAFT_577416 MPSLKQLLCVAPALLAVAYSQGVILSAQGTKGSVASLPLQVSLT QSDANIINSQEIAENAVNECGRTLLAGNIDIGENTEDQLLNKTVTSVTKGSTVSVTLN QVNADGAGPYTCDLDPTGNADGTSGQLNLTMTEKDAGDGTTTLTLTMPSDLACIGSSA GNVCTVRCFNTAAAGPFGGCFAVQQTDTTASVNQAATITTAQTLEGIQAQIEVNQKDL GAAIKANAEAATTSEQGVDAVNEILSIDSTASATAGAAEATSAANAKAAGSTTSATSA KASKAAAAAAAKAKGAKDGNGKNGRRGARVFIS LY89DRAFT_730219 MASESTFLQQPEPEREDYRASKHIRWSEVYSYVIPLPLMICVVL YPISNSIQENKEYKRFMDYWVLYPTAAITTIDMLWFYFRAIKRALRNDELEESLETEK TPLLPVSRNSKDFEERMKDSTGGSFKPYYFVLVMISIYMLCSMLLILRCIFLPIQEEL NIALDTE LY89DRAFT_665870 MSDLNIKKIIDRRVHNRLVQEYDSEVLVPILMIVGSTILCGQDI YNWTFAPDSVNDWWIVGLGWLFTFATGVVSLRRVFIVQKEVEKEVQQELEGLPTFAIE KTPLLPPNTFLGEELEEKLPAKWFQNPYKNVANGWFHHPSHNQLCNSGQEIQHSLIHL TKMAGKLCCVNV LY89DRAFT_665871 MPKASRRSSGAASVSRAEPYPAIKPGDKPSTTSAAKSTKRTSSQ KASTSSKASDSKVLTEKSPNKLNVPTLQTPPNNNSFLDVTLDTDAIYDTCSTVRQIIN ALLGKDNKNPKNLNPADVDKDGNPKPFTKASFCRAVGSRPDMLRRFMAAKKMMGGAES AIYPPAYKFFENKRVWEGAKKTKTREKVESDRPHGLPLREPQSYKNRRKIKPVRGSRL EDFLDEYGQ LY89DRAFT_770828 MARWKYGLALGLHLLSTSFAKPVAFEDGDTGSLPQGYIPNFVAS ANENTGDNPLKFTPESQDAIESFPSPAAVSGVESPIPESPLDSSPTSSPQTAPGTDDF LTPEARHNSELQASAPSTVDSTSELQNDHSPPHAALKARDGTFNTSTNLTTIDWNTVI PPFLQITNSSEELNDIPDVDAFEFTAENVRKSGVDTWYAAFTMHYQTDPRWKEDEESK FFARLFHKDADFQCDQTVTWCMSVPSRNDIIKLWPGRENRRLAQRVYFHTRRMSMMHN RRRAELEAFAVAESYFLGHAHDMIKTFTKQVSQDMIAMCGAFHKLLDLGIQVAAAMAG GAASSLSTALTAEGSLLECFASVNLISGKTFDNTGPKMVDGVLKKTMRDSSLDAAKFD YFGILQNEFKSIATTLATDATRKTSPLTAGNSSSSADNESSHLDPGMGTDPLCSYLGG DQKDLNGRNAHAMNEIISDFFQKDRQQIQNLDARMSRGWIPQPGMPSAMAIDFAMHDW FHEAPTNSTGSHSTENLSTAVSQEEQLTHRLMKHFIGTIMSEDNTYMTCMHVKDAQQQ CNEVPRKGKPSWWNSYRKSQICPEPEADPTLSAQRADGFIPLIIRITSESFIKDLLHE AWAFYKKFKNNVGKVDWASGDLSGPTFHLPTCVSDHLHLHDMNPLWKSYLSKDPSYLQ FPLICGDWRAIESVNFMKEMNLAPGSKIHAAVEGISGTKHPNQLFVDIIPRLIAERNM SATIWVKPSHKKQCDNWFKGRRKADEKAKKEEEKAEQKALEEEEKALEEDIKRVMAEE ERIEAEEKKWREAEEEAQKL LY89DRAFT_705173 MGSIGGQQPDYEVLVIGAGLSGCYACYRMRKLGVKVKVLEAGSS VGGTWYWNRYPGARFDCESYSYGFFFSQELLDEWKWSEHFAPQEETEKYIRFICDKYQ LWKDMQFNTSVIKANWESEARCWKLIDQDGHEYTSRFLITGIGLLSNPTLPNIPGVRD FKGQAFHTSRWPKDVANFEGKNVGIIGVGATAIQIIPEIAKTVKNLTVFQRTPNWAIP LHNAKIGEEEWETIRKGYPELLKKIDGTRLSFMHEGINDSIWDATPEEREAFWEYMYA QPGFGFWVSNYKETLVDRKANALVSEFVAKKIRQRVKDPATAEKLIPKTYGFGLRRVP METFYYEAYNQPNVHLVDLLETPIECITEDGLKTSREDFKLDMIIYATGFSAITGAFD AIDFRGIDNHALLDEWKEGPRTYLGLTVQHFPNMFMSIGPHQAYGNIPRSIEYAVGWI SDCIEYLRNHDITYIEAKEQGIVEWTNHVHDLGQGLLSNEVDSWLTGVNKNLAGKQKR IIARYSGSAVDFRKSCNAVASTHYDTFKLL LY89DRAFT_770830 MPSATMTLPSEPTTMFFYLEVKDGGIIQTYPGTAFEKRRKHVLH DVTINDMRSIREDFNVNNAGFELVEHKTGVKDFGSNEEIEKVYYPECKELIKKVSGAS EVHIVSHMCRKSTFAEAQEDAKVKSDTDFVTKNNPARFVHVDQSYRGAEQIMYLNLPE EEVERRLKKRWAIMNVWQPINKPVKKDPLAFCDYRSIDENDFRTVIANLPPPGAGEYG NVSKNLPHKKKVAEYSSNGERSAVYEVTNMAHNPSQKWYYASDMTPEEAWVFKIFDSK KDGRARCAVHSSFPLKGQDDHSDPRTSIELRAFVFWDDEETE LY89DRAFT_770832 MREDDHVTAKTWAVVLAASYGISFFPVPFFSTIQNDIAAELGST VAQGTWFTSVYSLGGTIAFMLCGANSDLFGRRAFILLGNVLMLIGSILGGTSHSLGQS IAAHVFLGFGGGNCQIAAFALPELLPNKWRHIGVVIADAGIYFDVIVGPVVSRIAYKH GAWRWGYWGITIAQGISLLILVFFYFPPKHPRGIPWGQALRDLDYVGMVSFTAAAAMV LCGIVYVQLIPSNSPTVIALLVVGFAALIFFGAWETFAKPKEALAPTRLFTANKGRRL SAPFICGFVVTMFYYSNNITWPTMLGVYFTSATTPPHIVYWLATVQGFGVFTGAMLLS LLGGTIKFWKWQMTVSITIMTFFGAMLAYVTPEKESTGIAFAFLSSMGYGYAQYLSIA YIQLGADQTELGIAGGLAGVARYAGGAVAVTLFETILDTVQSSWTRTHVIAAAEAAGA SLGTAKAVLTALPLGTAALEKVPGLTTAIAEAAGAAFVGSYVEGVKKVAFASLGFGGL AIIASFFLEDVGPKMNNQIEVFLENDVNAEKNTYH LY89DRAFT_639431 MTYKFDPDYQACARQTFEELGFPPDRPTPRFPKGDVKARREGAS FAKVILALEPEVPGIVKTRYTALAKDGYEVPIFAYRTETEQKSATLQPAALYLHGGGM IFGSSEVFEQATKSDVAATSVAHFSVDYRVAPEARHPTPVEDCYAALVWLHSQAKDLG IDNSRIAVVGLSAGGGLAAAVSLMARDRGLNPPIAKQILQCPMLDDRNIKPDEALAPF MTWSWDDNWTGWNALLEGKAGSSSISAYAAPARAENLGGLPATYIDVGTLDIFAKEDE DFALRLKKAGAEVEWHLYQGVPHGFEFRGRQSKIMHKAQSNRRAAVQNI LY89DRAFT_730227 MKFSTTITFLTTLTLLSSALADGIFAITGSSSTSSDAVTVTLEV QNGNVGDAPTCQGSYTGSLLPTSGTIPCNDGYALSYTWNSVDEGIAATYTDPTNAFTY NVPNNGCDDAGDCQFGFTDLFPSKKGRAFRG LY89DRAFT_639433 MEPLQANVVVSLTRESFDNIYLDLSKESGKCRFAETGFGWKPSG GGDTFTLNAVEIGAAQWSRASKGFEVKILLRHSGVVQLDGFQQEDFERMQKVFKNWYS TNLEHKEHALRGWNWGKGEFGKAELAFNVQNRPAFEIPYSEISNTNLAGKNEVALEFA LPANGDDTGTNGSLGGARGKGKKAGAGKDQLVEMRFYIPGVTTKKEALEGEDAPSDAE EAEEEQNAANLFYDTLMEKAEIGEVAGDTFATFLDVLHLTPRGRFDIDMYENSFRLRG KTYDYKIQYDVLKKFMILPKPDELHFMICIGLDPPLRQGQTRYPFLVMQFKKDEEVTI DLNMTDELMKDKYNGKLLPHYEQPLHEVVTQVFRGLTGKKVNAPAKDFLSHHGQFGIK CSIKASEGFLYCLEKAFMFVPKPATFTPYDNIKSIVLSRVGGAVSASRTFDITIQLKD SNFETQFSNINREEQKPLEAFFKVKGLRVKNEMDEDSSALLAAALRDPDMASSDEEVV AARADRGSADEDDESVDEDFKTDSDSDVAEEYDSAHESSGSDDEEGGSDKEEERPKKK AKTG LY89DRAFT_434972 MATNGNFAQQEQYKATPESYPATSGPAESNSGGSTGGQDLSKDE VGWYFVEQYYTTLSKNPEKLHLFYGKRSQFVSGQEAEVSAVAVGRPAIQERIKQLDFQ DCKVRVSNVDSQASYDNIVIQVIGETSNKSADLKKFVQTFVLAQQPTGYFVLNDIFRY INEEGEDEPVENAQEDSAAATTALVEDVEMPKAQPSTEDPVVPAVPALDAEVIDKKLE ETVEAEPETVEETPATNGTEEAEEEPVASTEVESIPEPEVAAKEVEEEIKEPEKPKDP LPSPAVTRAPSATKVPTPQPAAPAKPLSWAGRAAAAVGAAPKPAIPVVAPKTATPPAQ TRAAPPTSQAAKPTSTPQAPSTPNEKENVTPGSGWQTAGSDHTKRQNRPQSISSPPEK EGTMGYVRNVTDKVQTEELRGALATYGQLIYFDINRAKNCAFVEFATPEGYQAAAAAN PHQVSGESIYVEPRRPKAGAYGGNGYSTGRGGLNNQRGRGGFPQRGRGGQGAPRGRGT GQPTNA LY89DRAFT_435156 MQLNQTGACNTGVCFYFLLKIRDAAVAVFSLGGIPSLVAASMGM GFFCMSCSSFDSAAHIILEGWNGLILGRCIFYSLHTMLLEDGWL LY89DRAFT_639440 MSATAQIASKRTSNRYSTLSTAPSVATVHTTLSSASAQQEIKDI EDGLDKLENKKLGAQRFVPSVEKTEHLSKLALGAKLERALNRRMGGQDAVMRERKPKL SEKRDEEKVAVVA LY89DRAFT_577669 MPKSKQFLKEPKKKSKHATPVPTNSDEYLAAGVDFEEAGEKWRG GDAVKSTRFFVRALDCYEEGLKKFPDSFDLAYNNCRTGLQYEITQHPKIVENLPGTLL ELLQTALDSSRYALKLDPEDADALFTLASLLTDFAETKVSNNPSDKLQEALWLFERCL KRQVGTFKEENAALGDLAPKDVDMGEGDSAAGPPFPTKEEAWARVVEPTTKEILLETI VAKLETLSKLAEETDPRDGDSISYISDYYKDTIRRKYLKPLCEATSTDSSSFPDTLKL AIANYQCAVANLSYSARRIDLQQYEQVVQTAYNTLKPSSDPQELPSNPQVLCDYAESI IAFQNAVKELGLNHNPYLTQRWLALTEALKSLTVAGAMKDVKHREQIQLMRGDVEMMR FQLGEGPGQLAASQKNREALLGNSKKFYDGSRRISINNGAKEREIEARIKLDVVDAFI GDNTTLLEAKATLESFEAVLTEAISDGVVTKEQLLRLGLEG LY89DRAFT_435380 MSGTMDCRANRRTDDPLATLFESRPVFESALSAGCIEMMTGWMK RCKVEHKSCPRQIEQPLPTRVIDVEPSNGKVRLFVSNGRSGQWAALSHCWGEVQPVKT IKTNFDIHCQKGLDLASLTPTFRNAIILTRRLGIPYIWINSLCIIQNNSAD LY89DRAFT_435005 MAFSTPLYTDINRLPAPVQDWIVTPSVSSKKAMFRYWYLNVANF YVGSKLTYPTDKFRALDGTLNVIQQYMPGLENKAGLWVEDLHSGLAWATQYIRATKYN EHVAPSWSWGNLDLSGSGITRKVSNGTPNHGMQQYLYDARLVDFCTPLAGIKSPSLND PTTSVEDFALEISNECCSVCSCNIPEPFLDIRSDPDEGIQLFTREGC LY89DRAFT_778981 MSSISLLFSPQSALLIFLLLLVYISYTIYQAFFGPLSKLPGPLI AKFTNFWRIKNAASGNAPANFQDLHRKYGTVVRTGPNHVSISDPAMIPSSFYDVFTPK NAGKSYPSIFTLQSQDAHRQLKAGIAQKYSLSSLLSLEPMVDNVTRNFMSTLHERASS GTRLDLGEWVQFYAFDVIGAITFTGTFGFIDKGCDERGILRGLDGGLIYGAVVGQVPG WHEWLMGSSFLQETVMKIPVLAAGNPVPIVVQMIKDALASNAKDGAESTREDFITFMR KQNEKDPERMSEDVMINHMFVNLLAGSDTTAISLRSIFYLLMKNPCVYAKLQAEIDAA DSKGLLSPIITYSEGQEHVPYLANVIKESLRLHPAVALPLERIVPTSSPLQTQSHTIP AGAVVGINAWVVHYDKNVFGQDARVFRPERWSEENGERLKMMERSFFAFGHGSRSCVG KNISLMEMGKFVPQFLREFEISWDGDEDGWKVDNTWFAKQSGVFVRYRSRERKAVFA LY89DRAFT_610019 MSFGFGVGDFITVSTLTLKLYRSFKGAPGEFQELSRQLESLHIV LADLNDQIHNPNSLLNLDGTTRHAELNTIHDNLVQTMEELEDIHERHQRMGRIAWSRF KLGLRDLATLRAKLTVQITTLNGFMGSLTLGALGRMEPMLQRIYELLEERVTGNRVMA QTILSAASCPDDSG LY89DRAFT_694905 MSIKQAAWTHGIGLELETPTWTALRQGFYTTAKSAMIRFSTGPT AKITSIHVYDGEKKIADYNGLSLTGNLQFVLWGTAVCVAVQFNGTAASDYVQFIGSGI DFYV LY89DRAFT_705178 MKIIILGSGIAGLSAAIALRRSSHEVLVPIHLAPNGTRVLLTWG FSPLRAQLVTAHRSIYADGKTLQVNHEEVWDGVEGVYGARFYLAHQVDLWGELELLAR EAGVRCFWGLGERGRGGERLIVEQDPENGVVMLADGTERKGDLIVAADGIHSRAVKYV VGFDNPARKTGTACFRCLIPSEEILGDEETRELMEGHEGLFRYLPDGEGRVLVWYPCR GNKVQNIAHIVPEHEGLGAREGEKAKDIKLWTLLSRDPLPTWHKSRLVLIGDAAHPML PMQGQAGSQAIEDGAALGIMFSNFDATDEKSISDRLKMFANVRMNKASAMQKLSDMTL GDPEDLEKATQPYMPGETVPVTVAEQIRYRFSQNVFKDCEKELEKVKEQNSG LY89DRAFT_639450 MATNGTNGTNGTNGAHKNNNNSKYDPLFTQHVIDLMSPETKPRH RQILSSLISHLHDFCRDVELTQDEWVIGVNYVNSIGQAWRKNRNEAWRVCDVLGVESL VDEINHKIATEEGVEPTSSTILGPFWSPDTPFRDLGASCVLNMPADGQLTLFHGVITD AETGKGISDAVFDMWQASTNGKYDVFDPDNQTKNNLRGKFRTDRDGKFWFYCLKPTEY AIDTSGPSGDLLKLMGRHPNRPAHIHMMVTHPEYIGVTAQLYPRDDPWLETDTVCAVK EDLLLDFKPVENDPHGATLDVEYDVRLLSKKYKPDSTMLMGNANQDQFK LY89DRAFT_694908 MDEYYHQEEGGIVSEPPGPPPNYDALLESPTLRRRFNIQPREDE GREVLPPYSCEISVQSVFLRKMELEGAVHKASDRNWYKVLVTLQGTALSFHKYQKPGM FSSSSARDASGRKIGGPDFPSGVKRGLFLRSYNLQHADVGIAADYLKKRYVIRVRAEG DQFLLSCHKIETVVLWLQSLFAAIDLAPPLDDREIPRDLSIPRRTRRRLVARGGFSAT PANTALVREQEEIISTQFPRLAESVSPPSSSSTPSLTPSRTNSSPTPTSSSATPISIS SPISSSPPTPTPTARTSLLHRARHAIQFPTSTSSHSSSTHQPPNPNLTSDGKWRPQHQ WTHFYDMMYAKRCMAVLTQRSPRKSNLVIMKGKRWMVDWATGKLERCEPPDYGEATVG REGVGMRDVRVG LY89DRAFT_639458 MPSATPPSPSPPPPLPQQSTPGPRATAFTTLYLSALDSTLKSTT YTSFSACFPTVSARAEAALRGMHAGMLERLRGFAVEDFERIMEERRVVERVNGLEDVI TEARKRRAAGVDGGWADKRDRPHTLPPKPLMESHTLPLHRAQNGQLNARLQTVQSQNA MLGAEIRAQREEIEELLKKVEGGVGDVEMAGVRLGERVGGLSGEGRTASEVLSGWER LY89DRAFT_715632 MAPQDDLSPAGSSTYSSNTMYVGDGTWDATRNDFLLPNLQGLNF ETMRYNGMGNRFAGLPQYHQLIKGHGVIAVITFLVIVPAAILIAAFYGRSPFWALRMH IYLQILTVALTTVVFTLGWFAVGPERSLTNPHHGIGLAIYVLVLWQAITGWWIHRRER GRGRRRLPVKLVLHQWLGRATALLGFAQVPLGLTLYGSPKWTFILYALWMFFLLVWFF ILSYRAQIPIDTGLGRASSHGGTVIEDRKPSRFGGLLGPLAAGAGAAALLSGRRRDRS RSRSRVEVIPSRRGSRRGSGSYVEEEKYDSRRRDDRGGGVMDKVLKGAAVLGVAGLAK SWYDGRKRRRDEDAYSSVAPDTPSRRNDRRDDRRDDRRRYSDDTESVEVHRMEEGRTN RPILPGPGDPVMAAAAISAAEARPVTPRPVRQGARRDSYDSDSYYDYDSTISPSRRPQ SSHGVRNGLLGALGGAWIGKKLSDRRNKKEADRLARLEQDRIEEERRARRGQTPQRLT GDGFPAGRHGRRGSRTQSSDLSSVIDNPHDIRPGAIPPVPPSFAAPVAAGAAAGAAIS QSQSRHDITQHVMEPVSMPPIPPDPQGILHQESGSESYMSAGGHPHRRHSERRRREGE AAMAAAAGAAATLAAEEERRRQRSQSRHEGSNVASPPVSVKVKVHDDKDRHVTLRRLT EQEAAAEREARRSERHRRRADSLSSLSGTDTAASRRRYRRDERDAERRAESSVPPPPP PPVMAPLSPPNPAFAAGRRPKDSAYYSGRPGEPSASGGVGAPSVESPESHATWSAMSP DVSQGDGAEAAAERRRRRRLERNARNHPGGTTGTVDFT LY89DRAFT_681956 MATTNASLSHLERTAEEPRDNSLYTVRLTHIDPINPTIRLFRLT PTNPPIKFLPGQWLDVYCPSIPKAGGFTITSPPSLPYLELAVQKSPENPPAAWLWQAV PSILNAELQVRVGGSFVWPPPRGVVGLKRVVFVAGGVGVNPLMSMVSCIAERGPGEGV EVRFLYSVREGGRVEEVLFLRRLVETFNMLGKEGDLRIFFTGGRSSNGDVEIQGQTIT TRRRRVTDQDLLEALGPVEERKDTVCYICGVPTMTDEFVEKAKKAEGMLEENVLFEKW W LY89DRAFT_435620 MSQNRNQPPSHDGYPQSSNKIIEKMNKFVADHCQLYTRPPPLPG FSWASIDKLEASLLVSVVATTTRLHQIIQASADSEPQFLATTNECMKRYVEIMIPAGI CCSALNNMRDGKFRGNLAVIEAVLTAFHYVKEKHNPILPDFKGSLEESRNWILACRMY VENAVMTMKHAALALKSTGSI LY89DRAFT_730243 MEDEPVRPLVPRPRGLQPPTYPSTPVLPSPTTPRSILKTSDSTA APRSEASTSTSHSSTSSSPSKLERQKIAKLERNDPMRVTPVNKVKEGAFFAFVLLPLS CWYTRGGKRLKDLDDFDDEPFRPRPSQQLARRSSVSATPSMQLQRRSSVSVSMPMQSP GPGRW LY89DRAFT_730244 MDSVRQDPPADLLKRLRQLAGYTWDDFRQPFHTSYDIWHVFGTK HRGNNSSPSTSLPTSPTSESVRPHYIWKRPSRRKQDSEDTNKDLSSDGKDIPVVARIS FHVLRDERAYHICKSLIATADPKGHHVARPLERMRWSAQAGDDEIKPVVVCIFEALGT NYLSKIIDYGPAWYHLHLRGDTVQGRRNEIFEPESVSLRTFLDFAIGAAECIEVLHGQ QIVHGEIRGDAFHMNKETGKVMLINIGPGRLRTFEQGLTSNGWSTMSKELGAMTKLSF MSPEQTGRMPFEPDSRTDIFSLGVLFWTVLLQKPAFEGETAMDIIQAVLGQRLPLVSN IRLDIPEVIGRIIQKATAKPVWDRYHSMSGLRHDLVEVRRLLSIGDSSKLLGWEIATR DVSPSFILPQAMVGRKDEHDTLVRAIDHAFRLHQTSQRQDKQTQLGRLSEDNSTSAEK SFTAGDAILDDDNGSSTGSRTNSHSLFDTLPGDQKAYKANTAKQRSPAHSHHDSTELS ATSPDVGLKPAQLRIRQLGSPGFGESVNGDFEGSLAGSDGMSSLFADRNNVRMLTTGQ CAVVTVEGAAGLGKSRLIQSVQVDARQRGCFASSKFDHNQTESKPLDSILSLLSSLFQ QVFSESDTDPVFHLSLKRRVGPVWNILHKLLGLPEFLLDPSSSHRMSIDSPKISKGFN KSLDVASRRRDSSPGSTSSRGSLYGKTLGAQSAHNFLRAGSSTQSIALPTTLLDILRI FTRHKFVCLCLDDIHLADEESLELIAQMVSSKIQMVMILAYRSKESLPERIRKVLDPS HNQDHQMSRDIDTTQIILKPLTEDQTVEYVANTLRRTKLEVFALGTIICSKTSGNPFY VKEMLSACYRKKCIWFDFRNNCWLFDLDKLFENFTAARLNDARREGLVMNLIKELPAV AKLILAWASMLGASFNFQLIQFLLNGDFTPGKLHYSEQDSVRGLQAAIEAHIIVPTSD EDVFRFAHDRYAEAAASLQTEDRSLMHFILARTLLKHYADDDNYRDIAAFSICESTTT IKTSIPDRRPFRRLLLNHARMACESGIRSASVKAYASCILLLQDDMWNDDAEDVSYEE TLQVHTAAAECYLYSGQYEEARRLLLEVSTNARTAVDKAPAWVLESRAFAQEGDSTRA FEALKNCLVTLDVIVDNDPSFPKCDAEFKRLCEEIQAADISSLMKRPMSTEEETNLPA IGAVLVEATSAAFWSDTLTFYQMTLIMINTYLFSGNYPQAGMGFLQLSLIAVSRHNMI NFGDDCGNIALALMERGKDPYTIGRGGTLYPAFIGHIQSPLHVLIAQLEGALEFAIQA GDRIATILNFGLVGSLRFFASDNLTDLELFCTYACQDISNWQTDTPGGTMIISIRQVC RALQGKTQTNDPSVGVMSDDQHSSPKYKSWLKNTVKNSDRPLMLYESIEIAPLFLYGH FSNAVALGNSCLKKINAIWSARNTRFLMFFHALSLAGSVWTRVEEQLDPAYRSQSHEL SSDISGRTLEAGLQEEIGGLAMLMKYFKKRIEQWQAVTDVNYLAWSKMLAAQIAEMED DHTATLRLYEEAIDHASTYNFCFEEALANSLLGGHLVRVGSKRLAKMAFGESIKLYRR LGATGVADHIEEDNQRIFEETRSNHWNVDVSVQTEHYGNLGIMPTDVDGFGHEDSPVP ESMIEKGEDRIGMWQEGAAVLVDAGENLHMLDLTSILESSQVISSVLQVDQLLKIMCE IILQNCKGVASLAAIAIEDATTGWGIAASGHPEEGAEAHNPPLPLAKSEIPESVVNYC SRFRETVFLPDLLQDPRFSNVSQTWVARNNGSKSVVAIPISHGDEDKPLLGVLYLEGA PNSLTNRNLEVLQLLVNQIAISYSNSLTLKEVERVSAINKSMVEVQKKALSEAIEAKN NANIARAEAEEAAKAKTTFLANISHELRTPLNGVIGNSELLLLDGHLQEQQAEMAESI RVSANLLLSLINDILDFSKIEAHQMQLHLTSFYVEEMVRELVRSIPAVSKNKSKNVRV VQELDLPQSLVYGDPVRLHQILGNLVGNSLKFTEKGSIIVGAKSEWETESATCLTFWI QDTGIGISPQQLKRLFIPFSQADASTSRKYGGSGLGLSICKSLVESMGGTIRLDSTEN VGTTVSFSITLRKAIPEASVGDSPIEVGKRPASIRIPTITTDFADLSHFSQSDLRVCI AEDNLINQKIALQFLKKLKFQEVDAYNNGQEAVEGIRKKAEAGRPYHMILMDVQMPVL DGYEATKLLRQDSMDAVRSILVIALTASAVQGDMEKCLASGMNDYLAKPVRLALLKEK FGHYMQME LY89DRAFT_681963 MADEPRAPPLRLGSLAPNFEAETTVGFIDFHEWIGNKWAILFSH PEDYTPVCTTELAALAKYEPEFKKRGVKLIGLSANSVETHGGWINDIDEIAGCAMSFP IIGDKDRQIAFAYDMLDHQDTTNVDSRGIAFTIRSVFIIDPSKTIRLILAYPASTGRN TAELLRVIDSLQASDKHRITTPVNWKPGDDVIVHPTVSTEDAKKRWPAMRVVRPYLRY TTLSKEEVLAARV LY89DRAFT_435634 MEETKTEISQVETVEQHHHKHHAIEDLAANEDEPQTPYQLGWRT ILALLTLSMGNVCAALSNTTNTTIKFQVATVAKSPADAALASWIANGNFLVVLAAGPI FGSLGDRLGKKWFLVCGALLGVVGSVISGSADQITHIIGGNILTGIANAGCIVSISCI QEIMPNKLRPWAMGVSQALASAFVVLGTFLAAAFVRDNAGGAGGWRWAYYFNGIIYGI TALSIALTYFPPPPVLRRHHVLKEIASTVDYIGILLMSGSFASLIIGLTWGGTTYAWD SKQVIATLVMGCLGLVIFGLYEAFVVKEGILDHRLFQTLNFPILLFVCTIDGMLLLGV NVLYSQQIFDLFSQNAVRIAVILSPYLITSTFGCIPAGWIMASTRSYRTMLVFALFWC SLFTGLMALVNSHRLSWAYAFSTLFGIGTAVTTTIPVVALGLSIPSFLIGTAATVSIS CRALGGIIGITIFTAIYNNKYASYVGPVLAANSHAPVVVAESERAWKYVWIAVACLVA ANGIAACFLKGVKPMMNEHVESALEDGKVREQQLS LY89DRAFT_435667 MSKPEFTLRTDVYPAIEPELFKGSLNGKVAFVTGSGRGIGREIV LALARSGAAVAISGRTKSQVEETTQAVLSSASGVKAIGVVGDVCSRTDQERMVKEVTE SLGPIDILICNAGTNTFMPFHMTDPDEWWKQMEIMVKSPTELTRMILPMMQKRNSGTI IYTSSRAAGADLPWAAGYSCAKTAITRFAGILQNELNILQKDTFGHDTNGISVFSIHP GEVKTKLHETAFPQKTKDEAPYVIEHMAKMAKMHPDFKAELAAWTCVYLSAGNGAGLE GRLVDCTRDIEEVKKHVTATPRPRITNACG LY89DRAFT_639476 MTFDIYIPSNLTFQDYLAVCQCARTLADGYDRKDKSRVRASLAP NIIVDYSLVVPAWGEKHFAADAFVEEWLGPNHLGVKALATQHLLGIPYFKSVTDEEIV VEWQQMASHGRRVEGEDYASPMCKIGEISDGRSWMKQTFVKVEGSWRIRIIRPEVLYH TGDFLSVGRPDHGEDIKPR LY89DRAFT_681966 MDAQALLKIIEADEASHISFLQSFTQAPSPNPPGDTREAADVII NYLKSRAISPEIIAPQESMPNVCSDTPCGSTEGPRLIMNGHIDVFPAGDGADWDRSPW SGEVVDGRLHGRGTVDMKAGTAASVIAYSYIHKYREHLKGSVALCAVSDEETGGKWGT KYLLEDPRWRGDCVIDGEPGGLGTIRFAEKGTLRLTFTVKTEGAHGAHTHRSKSATRI AAALINELAIIEQIVPDLEPTLKEYMKRKDVRDAIDASMGAGAADITLVPTLNIGTIH GGLKVNVIPGDCIFEADIRMPMGLKADQVMEVIDGLLERFPETTVEIQKAASNPAAFC AHDHPMVDILARNAAKFTGKKPVTIPSLGATDCKFYRYKDIPAYVYGLSPETMAARNE SVSVDEFLTVVKTHTLAAWEYLGGS LY89DRAFT_778996 MNERLPTTLTRVLPTPSLAMTEGQATATASNSSPSDSLSEPDAA TQLDNIFIELAEVTDMTRAQLEHLVMMKGFGATTESLLRKMATTPTKSSHFPTSSSTS QWSKRDAVDHEGNLSTGHVNAEAHISGRSNKSKSPSDSRNTTHIPEFSFKMLGNGHIA ISTSHTLDQGTAKSDHQTVGIPLYKIWRKHLLHISQHLKRFSSILNSSTVFFNQTDDS SSPHINSIFRPFHEIEAEIDNEPHVKNKDYFAFTQENIDKSKVLEWYSAYSMMRAPDF PQYEEWATFYDDFFDNPDFRCSLSRTDCGIPNDIDHVLLHYPGLENRTIARRLWFTAR MQHEIHQLLYAIRVIIFRNIQGKIPKIIKHFTQQQDKKAVANCKTLHDFIDFLVEMAI NTAVGMASSFIPPLMYEGNVLSSLASRNLLSWKTNDYTEMIAPKDSKWHTQQPANDDD KHHTTKSPQYQFLDPLINYVKALPVQEYKKHNRDHPQSHVDAAYIEEEDERITGGIDN DSLCGGFEGGQQDNNDKNIEKLRASLPKNLDKMEKEMDHFFNLTTKGVFVGQGGPSLW SMVMASAHWKDIMAKLDDGEGRRDNFEKHLMKHTIGKVLGEDNNYIKCFHFPDRHEAE QHKKFTMETPGRKEQWWTTAYRKSIFFPDYEKDPSLMCQVAHWYAATNLHSHENAFVG LNSLETFENHTYGFKLRDSLQEAWEYYKLYGNEIDKIDWMSWALGSGDLTTFHLPVCV SDHLGPYDLNPSFNLLYTEHLKEIRFPGSCGGYRAELLAAFLKAANADPTSMLYANFN ELFYDIIPRQANFALLSLFSHYCVWCEANIHMPEGGGVAGYRSPRPGRNKDCDFIQNA TLGMNEEEANIWFCENHGNHTVFQDEDDHYGIRNRHPQAHKGRCEGWLKVNKADRQKQ QKETKEVMQTQNETRILAGLKSQILAGLPCMADFLGAADLLNSTYSLNFTQPLNGTEF FNATAESGCTIWTSELAEEGKP LY89DRAFT_610053 MSTSMQIQPNGNGHASHDHILRPRAVKPGNPGVLRTLSEEGLLA PDGAKDASVSGNASGQTSGRSTPVPADAPPSVQSISSARKQLRAEQRRRLFPTIEYAS RVSHFDPNSDYRDFQGFYVLFWIALTIMIITTMLRNIKDTGYPMRVQIWQLFTVKVWE LALADGMMVLSTAVSLPLHRLFRSQMGRSLGLRWIGGGMVVQALFQTVWFAFWVELPF QREWTWTAQVFLTLHTIVLLMKMHSYAFYNGHLSETERRLQELDEPSTASREPAYQYP SAGHSPTTEDRKRQEADEKTALARLREDLAIELTSPLGSVTYPKNLTWYNYGDFLFCP TLCYELEYPRTDGIVWSELGYKVLATFGVIFLLVVTSEEFILPVMIESASRLETVTSF SETALILSESISLLLFPFMITFLLVFLVIFEYVLGAFAEITCFADRHFYSDWWNSTDW LEFSREWNIPVHHFFRRHVYSASRPHIGRPMATLITFLISAIGHEIVMACITKKIRGY GFLAQMSQLPIVMLQRTKWVKGKRVLNNVCFWCSMILGLSMICSLYVLC LY89DRAFT_770874 MSSLKQFIRNVRASKTIADERAVVQKESAAIRASFREESGDSNV RRNNVAKLLYLFTLGERTHFGQIECLKLLASPRFADKRLGYLGTMLLLDENQEVLTLV TNSLKNDLNHSNQYIVGLALCTLGNIASVEMSRDLFPEIETLLSTANPYIRRKAALCA MRICRKVPDLQEHFFDKATTLLSDRNHGVLLCGLTLVTSLCEADEVEGGEEGIMEKFR PFVGGLVRTLKALSNSGYAPEHDVTGITDPFLQVKILQLLRVLGRGDPQTSEQINDIL AQVATNTDSSKNVGNSILYEAVLTILDIEADSGLRVLGVNILGKFLSNRDNNIRYVAL NTLIKVVAVEPNAVQRHRNTILECLRDPDISIRRRALDLSFTLINDSNVRLLIRELLA FLEVADNEFKPIMTSQIGVAADRFSPNKRWHVDTMLRVLSLAGNYVKEQILSSFIRLI ATTPELQTYAVQKLYIGLKKDITQESLTLAGAWCIGEYGDALLRGGQYEEEELVQEVK ENEVVDLFSLILNSSYATQIATEYIITSLMKLTTRFHDPAQIDRIRRILSSNSASLDV EVQQRAVEYGNLFGYDAIRRGVLEKMPPPQIKEESRVLGEAAKKPNKAANRKSKNVKP AEQDLLFDLMGDGNMPSADLNANNSQNNTDLLADILGGSTLPASTSPPAQQQSNVSSI MDLFDSSGPSQTTNNAPAAAMFSGMSSPPPQPAAPSIPTNPCYDKNDLNITIQIQRNA EGTVQVMARFRNTSMHQSISSIALQAAVPKTQKLQLNAMSNPDLGPGAEATQSMRITG TKGPPLRLRLKIAYAHPSVGQVMDQVDWSEPR LY89DRAFT_639486 MAPIQNSIPVIDIGPYISPTASVLEQRKVVEVIRDACIQYGFFQ LVGHGIPLGDQQQALSCAKMFFDLPVEEKVKLSMKNAMSECGRGYEAIGGQVLEEGKL PDAKEGIYIGEEVPPYNPRAGKFLQGPNMWPNLPENKYRIPIMAYRQKLLHLAETIMT ILALGLPYGPDVFNEFMKQPVANLKLLHYPPNESKSRLGAGSHTDFGCITLLLQQPGS EGLEVLSPLTNTWIPIPSTADRYVVNVGDLLHRWTKGHYRSTVHRVVDVGKTDRYSIP FFYHGNLATTISPLDGSVSLNAITVEEHIRGKFRKSYKMDEKSQDA LY89DRAFT_705192 MPDTKTSDQKNAGKEISDLAEQHIQHDLTQSDRDALKSASGTFS TYTTIGSLLGLGLGAAMAFRVRKVRTDYFKAFRAIEKPTHVQFANGRTEALPDLTPML KPSTAGDIATYFFFSAGGLFVGGETGLLLGGYSAKRTITGDPERKARVESAFRKFKAD VLKRQIAQLEKEDNKESTLEKWF LY89DRAFT_681969 MPLRTRRGGARDRVEDSENSKSSSSEPATPTLKSLSPGAGLTPQ PRWSARIRPGDRAANASITPPTISPLSNQSLGPRSSDGEDGVEAGDIKDEDVEEGDVE DGEEADDEKSPEDTEQSSASTSSSPESEEYVESPPTQRPAKRRKIGKRTTSSSSSSSS SSSDSPVEEPIFGQLYGDRVRDPGQNRVRKVPAPSKNAKSAPQKAPQVNSGPPPPDYN KPIVFTPYFKRADFVPQPPVTGNEAWAANNVWNEESKQFEKVNLYKLRKY LY89DRAFT_665907 MCRMNDVGIKHARSTSDDNDDDDGASTATTEEGDLTEQATRVHK CRPERATRVQKCSPSAGPGPDEEANGHQIVRDDVLYDPTLRGIVMCYAMMRCNPLSLS RISPACVVLRCSFSNQRVRPAFLYDLFNMVTAPGKEAKWNELSKCSIGTCTTTPQPSV GIGVEVCRDSQNLSQARPNQDGRTVDSDRGAEWSSKRQTTTTIDEAVNYCFALLQRRV PALTRMPAASSRRSWVNSLLRSGSSGIFNGNRFSHARGIEETERRNLTIERHRIDDGM DGSKVAVSEISRYLDTNDGMPSIKVQYNKEYEKHFAVLDSLGTNPLLKSDV LY89DRAFT_577811 MNSTLPPDPYKLLGVAKDAKLPEIRSAHRKLVLKCHPDKVQDAA LKAVKQDEFQKVQQAYEILSDDSKRQQYD LY89DRAFT_435854 MIVIMLPMLLILLLQVYEELRHRSILHPNIKSSDTPPSKREKSR RRSPEHPQDPYINIVEPPSPGLPPKKPSLKTYSSEPPMVSSRKEPSRSKTQDYPRQDP NPPPLPRAQTFNGDTRERGRDHGSSRLRKEYASAESDSDAPGYPSPRLSHSPRRTVPE PTVYRVQDSRAHPVPSRSHRSDLHGLNEPSYARERSTSPRGTPHRPPMSRNPPSGEYR TSPSAYYSVPEAPPTKPHIVTARPKMPREGSGRSHSHRAAPNASYEQVKYAPTYDQSQ VKFADYAAASLYDSNPGQVGRLYAGASFVWFVFWIRCCILHTLTLLHSLWMGREPLSR RLA LY89DRAFT_715647 MPRIFERYVSKVLLKIIGGQNIRRDEKLPTELQARMMPIYTAIV RNVCRDLKLLSIFYEESNQEGAGQMPIVHGGFAKSCMGGVVEDIVQSLPLLQESRLEN KRRQRISGDDEYREVTLWSDVACEEVLVSERSRERKDDLDVKGALGKGKKVGVSITSR DSSQL LY89DRAFT_705193 MRSTIKVLAKAFVITFAVAQNTTASTNTTTAAEGILSSGDVDLG TWTDAYAKAVALIAELTNEEKITIITGGSVTSVNWTALEFKDGTQSVQGYDYVTGFSE ASTLSMTWDKTLMYNQLKAVALEFYGKGFQVTNGPTSQPLGRTPWGGRLVETLGQDPY LNGIAFGIGAKAFSDAGIVAGGKHFLLNEQETNRQASGSDSDVAPYSSVADDKTLHET YLWSFYDGVKNGLGAVMCAMTKVNGTLSCENEDLLQGLLKTELGFPGLVFPDVGGQTT AFGSANGGLDYGSSSYWTNATLQAGINNGSFTQARLDDMAVRNVIGYFKVGLDNGTQP AYVDADAYVDVRANHSTIVRTNGAASLILLKNTNSALPLSKPKSMALFGSHAGPIMAG PNYIFSVQGSGPTYAGHLAGGSGSGQTSFPYLITPQQSLTNKASLDGTMIRWILNNTY TSTTTGGFGGGTAPSGNSTTSTLAKRAGGAAIAGLSGGTSLSQNIPDYATGAEVCMVF INALSGEGADRTELRNTEQDDLVSSVAENCNNTIVVVNTVGARILDAWIENENVTAVV YGGLLGQESGNSLVDVLYGGVNPSGRLPHTIAKNESDYNVGICETAVCNFTEGNYIDY RYFDKYNVTPRYEFGYGLSYTTFDYSGLSVNITNSTALASTYPTGILAVGGKTDLWDE VISASVTVTNNGTLDGSEVSQLYVAYPDAADQPVRQLRGFEKTLITSGSSATVTFNVR RRDISMWDVQAQDWAVVGGDYVFSVGASSRDLRVSQTVTVGA LY89DRAFT_681975 MAGQIARPMNIKEIAAKAQDFEFNQFIAMKYWLRTADTLLREAH IYEQEENDQQAYLLLMRYAALVAEKLPLHPSAKDPEYRKGIKDLNKTLPGVLDALTAL KPRITARYDAWERALERRRDASMALSYERSRAESQTEFPAASDPAVAGNTTTLAAAEN SELAVKLAHKEIRRRDAARRGPRQVGVSEEEEHERRTAGIWDDWEAALSKDSSTQDED EVRRNMEASRRRMDGAHDIVPDGVRSRNTRPRPLPIVPRSGTQYRYPSINRSQPYSYG ETPESRNISQVPEYTPPTPPPKERFQDQMGDVPPPRPEKAVPALPPAEAASPKAPPTF TFRPSAYLENGEPLRTVFLPQGIRQEFLKYAEPNTRRNLETCGMLCGTLISNALFISQ VVIPDQKSTSDTCETTDDAAFFGYCEKEDLLQLGWIHTHPTQSCFMSSVDLHTHCAYQ MMMKESIAIVCAPSKNPSWGVFRLTDPPGKQAVAKCRNTGLFHPHSEDNIYTDALKPG HVFEADGMEFSVVDLRT LY89DRAFT_639500 MFRIVASKRLALLRSTYRSSFRPFHHSAVRTRSPSSATAYSELP GLDDHRKLHLSEDAIGIGDSAAVSAASVADLSSVENGPKSGRVAKRTATQSKAATTKT KTKGKTKAASKVEAGTASVSPKRKPRSRKTVNNQQNSIENQKESSTLFGDTRKPENLQ KHLARQYSTLKKRVQSKRMNVVSRSLCDDIIERLKPSLEQYKGCDIIDINPGAGVWSS KLHDHLKPRSHIMMEPDDAVYAPFLQPLVDKPNSTYKLVPKSGIIWTQLEKILTEEYL PQQKKLSSSDPELSRANTSLLVIGNLGVTPRKPYKGFGSLAQLTLYQFLSAMKAHSLF HQYGLIRMLLWVNDEEKSTVLSRSVRQRSKATIEMEIACPQSAEIASSTSRLPEAYQR EPSIDFEGLRQVLERMKKNGIKTPPGRESIMMDELAQGTSVETIRKFRERPNQVAKLE EMRRGGVDVTSSGKLSGTEDSNLNKYRYIASSLKSFHRKRAAMRELVEEHNEIMALAK DLHNRPSSKETDSTRDNYLQRHAAWRQRVSTEIGLKSNAELQLWLDNWHCFHEQYLIW DRREFEPLRVRADEFYPQKEMALFDLWPETQSPILRENYPENDEILEFIIAQLYLTPT QSVQKGLGSLWPGAFEWLSEHCPSIKDPTKGGWPDLNEMNIRRLSSDMFLEILEAWVE WPWRPTRWDIMHKLGSEQFDPAAAERDE LY89DRAFT_779007 MKYLCVLAWLSATLAATVTYNWDINWVEAAPDGFKRPVIGINGQ WPCPTIEANLGDTIIVHVYNGLVNETTGIHFHGQTQTGTGTMDGPSGVNQCSIPPGGT FTYNFTANPAGSFWYHSHNKGQYPDGLRGPLIIHDPKDVYAGSYDEELTLTLSDWYYK QMPVMLPLYLNPDNSAGVEPAPNSTLVNDSQNITFAITPGKTYRLRVISVGNFVGQIF EVEDHEMTIIAVDSVPVHQASTSSIYVSVGQRFDVLFTAKPTATKNYFFISSIDQSMI GGDFEITYPNAYGYLVYNPKPPLPAVYQPTFSWIDDFTLVPYDNEPILGTVDHQIVIN MNFTNDAYDINRAVINGQTYVTQIVPSLYTVLSAPAVDVMNPLIYGNGSNPFVVQYDQ VVEIVINNYDTGSHPWYMHGHQFQVVYRGAMDTPFWDGTQPVSAIPVRRDVVMVNTMA SAVWRFKANNPGVFLIHCHIEWHVEAGLQATLFEAPDHLQGALTIPADHLKVCKTDNT PTVGNAAGNSKNWLDLAGAPVVAPRYDYGSLVTPPATAKMLRSRADMRARQEMSSWP LY89DRAFT_435985 MRWTLVSLAASLALSSASSYVDGTPLAGIIAGSEAISGFAALNT SNDTHLDLLRRQNDGRCGASFNNQNCGTSACCSQFEYCGVGDYYCSAIQNCQPQFGYC GDSPPPSSSAPPTPTTSSVISIPPPPPTTSSVISLPPPPPSSTPTPTTSSSIFSTTTS RSSSVIVPTSSTVSPPLPSSTLVSTNGMCGNITTCAGSNFGPCCSQFWYCGSSTDYCS FGCNPLFGSCSGVVLPTTSSIIPPISSSTIPVVSSYTLLLLWCLIDGFGVRSEKPGGE VGCCHLLGLC LY89DRAFT_577755 MALSYCWGPIDGTSKLLKTTHETLASRREKIAVDTMPQTFQDAI IIARTLGIQYLWIDSLCIIQDDSRDWQIESSKMAEIFSNAYLTLISASGSGCNDSFLS QYLAGLSCTIPVDLNPEMAIQGQFSIRLRRFPGSSDKMAEILTGKWISRGWTFQEERL ARRVLMFGENKYFFDCRTFEQAQDTDKRGLRPNWATAVASTKDEIKFRKENVQLDNHW EHWQTLCRHYAYRELTFPEDKLPAISGMASKMAMKVQSEYLAGLWKDNLMHDLFWETG AVTTKLRKYRAPSWSWVSLDGVINWPLWRTFASCSECTTYCDVLDVRTTPNGLDPYGA VKDGFLKVRGRLQEVKVLRVGESKYQHPGKLYQQGIEIGSANFDRGIESNSNQVHSSE EVYQGLLMAECNSSEDGEPLIRGLVLAKNGRKREGHDELERVGTFTLPSGGIPDEWNS DAEQVVVII LY89DRAFT_436006 MVHTGRPSRGCGVCRRRRIKCDEKSPECSYCVKTKQKCPGYKDL FDLAWRDQTSIAQKNVERRKRASPEQATPKVDFLPGIDPKAASGGRSPLTIKQVMTIV PPTLSEDPEAYALGFFFGTYASPPLTIYDRKGFLEYVGPQFVNAKPDSALKMATMAIS SFLFVAWLDRRPDNPMSRGFYLKALSIIKDRIRQPDGCADNDVLTSVLLLQMYEVCLG RYSSNRPISNKIQPKMLVGTVNRSGNPRAHLDGALALIKHRGAENFQDDIGQSLLHYI RSQLIEEAFRNGEPISDDVSTWKEHLTGPKASPGTFLDNINVELANLEASARQRAALP PFLRADLNPQEILQKAQDIERQLIEWSETLPLFWMPVRVVGGECIPKGMKAAGLYQSH CYIYPSLSVASLWNKQRVSRIKVNNLIRQELSQQSSSSKIDMIQQLTDDICASVPFML GDKVKPSSMGDKRVQYPHAPGQEVPSAHYTMAPAMGGFWLLGPLQMMMGLRIGMREGQ KQWVGGQLMRIAHIYNIGK LY89DRAFT_610080 MRKVYRPLGFSKGYNFPLFVIFAGAIFGFVLARISYLNVTGTSA RSFRKSAAPGEWYFFHQGHYRVGIILHLAGCLPAGFLAVWQFVPVIRHKALLFHRING YVVILLSLIANAGALMIARRAFGGGLDVQSVVGVLAILTTTSLALAYYNIKRLQIDQH RAWMLRAWFYFGTIITTRLFMLASAAIITRSGNYYQVQTCGEVAFNFGGAWTNPRTLP PPTLKMYPQCVNGTNDTPIVVNANMNGLPEQIGAGLGLSFGMALWLALIVHALGVEWY LWLTPAESARLRNVSYERQLEAGFRNPGNAGLTVDRWGDAPAFQPTKTVSLKPMEEK LY89DRAFT_577399 MKISSPITMAYPGPPRRAGGLVRYAALAVGLIGLLWYLRYSAPS FTSVHQPVEVTPSHLPTIPSQQNPTGPDIKPTEAPSPEVQTEHPITTPKTSAHPIDEL IEAAEKTYEDLLSKESHDLKSAAAEYRNRRGRHPPPGFDVWFKFAQDNGAVMVEDFFD QIYHDLGPFWGLPPSTMRKEAWAYEMNINVRNHNASTGSGWFWTQIWLNLTQTIEHLL PDMDIALNAMDEPRVVLPWEQINKYMEIERATRNMPPPSEVVSEFSSLSNKPDPDVKV HPKEWTEYRPYWKIATRGCHPNSLARKADILTDFSHTPDISLAHTLPHTYKGYVSNFT LSSDLCHQPDLQSLHGMMIDPLSVSATKTLFPMFGGSKLPTNNEILLPAPMYWNNEER FSGGDDHGEDWVNKQNKVIWRGVATGGRNKASNWRGFQRHRFVAMTNSTQVSAAEDWS QIPQNWAMPTSQFNLAAQQENRLGEWTGEWADTGFVDLMCSPIEANGTCNYTSPYYKI TPGMKMADQFDRKYLPDIDGNSFSGRYRGFLMSTSLPIKATIFREWHDSRLVPWKHFV PMDNRFMDFWGIMEYFLGYEGENVKIEGHDKQAEKIAMSGQEWANKVLRKEDMQIYVL RLLLEYARISDDRRDKMGWVGDLL LY89DRAFT_436269 MTTTSMIQPKFLTKGDQLGVVAVGFSGGQCKPGVDAAPSALISS GLLTQLREDLGYKLYHDDTVHSYKELLPASDPDYRGMKNPLAVSAVTRKLCDQVYTHA KEGRCVLTLGGDHSIAIGTIAGTAKAIRERLHREMAVIWVDAHTDINTPETSESGNVH GMPVAFLTGLAKESREDVFGWLKEENMISLKKLVYIGLRDVDRGEKLILREHGIKAFS MHDIDRHGIGRVMEMALGHIGNDTPIHLSFDVDALDPMWAPSTGTPVRGGLTLREGDF IAECVHETGCLVAMDLVEVNPTLEPGIDDIGAHQTVRSGCSLVRCALGESLL LY89DRAFT_578215 MTEVVVQRVRTRYHWPPWQLNFWILIMLIGSGTILGINAWFVTV QNQLLVGIPWYFPYWITTSALAILFILAMLWLISQRQLLPGIVIMGSFILFVLWIVGL IVFSIELWGPNGVSSNCNLYVDGSKSSGANVNTLAWLEQSSICQSWEAAWAFELVGVV FLLWMMILSYQVYQNN LY89DRAFT_681985 MDFLNKGKEMLSQSGNTGAAQGQQTTSNVQQGGAGQEDYGDKGL DFIEKKTGHTMGRDTNEKITDGARGLYEKATG LY89DRAFT_665922 MSPIFWNILALSSIVSAVPNGHLVPRSSSSAPVATTLNGSYYGT HSSTYNEDLFLGIPFAQPPLQNLRFKNPQSLNSTWSGALPATNYAYECIGYGTDQIGY QQSEDCLYLNVVRPSGYKNTSLPVAVWIHGGGFSEGGTPDRRYNLSFIVENSVSIGKP IIGASIAYRLGPIGFLNGDAIANAGALNIGLKDQRLALQWIHENIAGFGGDPTKVTIW GESAGASSVGLHLLAFNGRDDKLFRAGMMESGNPIFEGPLNGTETYQARYESLVAAAG CGNCSDSLQCLRELPFFVLNNILNTTDFNSNWNPALDGDFIARLGSEQLADGSFVHVP LISGANSDEGTAFSPTGVNTVDDFIAAINTTTSAQYGLPENLVQELVSIYFDNTSYVI PSDETLGGNVTLGAPYGAEYRHSAAYWGDEVFIAARRLTCETWAAANISTYCYQFNAV PAGIPWPIEVTHFQEVAFVFNNLEGLGYAVNPFANKSESYTQLSDLMSKSWASFVYDL DPNGWTGRDAAVPAWPAYSLEEPMNIVWDANVTSHTEPDTFRAEGIKLLNENWGLFVR LY89DRAFT_681988 MSKNTMKAVVFKGTLKVEIEDRPIPKIVDQTDMIIKVKYSALCG SELHVFRGHQPSKTDFIMGHETTGEVSEIGSAVKNHKVGDTVVMPFTVSCGECFYCQK GFSSRCEKCLLFGCPLLDGGQAEYMRVPLADATAVKAPEGIDEKKLVLMADIFPTGYF AAANAFRGFDEETIHQSVVVLIGCGPVGLCALINALEYKPRVVIAVDRVESRLELAKK LGAEPWNDQKDRAGLEKRVKELTDGRGADTIIEGVGHADALKTGFELMRPWGNISSFG VHNEEIPWTGNQAYGKNLRIQMGRCPVRSIFPQALEMLKKKQHLLDFMTDKFMPLSEA IEGYDIFNKMQVQKVVFVPEHQS LY89DRAFT_681989 MAGIQKALDAGAVPSHISNVCPPGTKAYLLEVGWLECDEGFVVR GGNTSLKSTEGESFVNKRRELPMYCVLIDHPHEGCILWETGSGVDYPTVWGPQVADVF SRIKYEPRHELKAAIEATGHKLTDVKKIILGHMHLDHAGGLDQFLDRTDIEIWVHDKE LRSAFWSVATGADVGVYLDHYMKLNLNWKTFDDRTMDFCQGITLHHLPGHTDGLVGMQ LNLLNSGTFFFISDHCHVIENWRDGIPQGWLARDHPAWFQSTQRLKRLEATTRGRVIP GHDKETFLQLQSEIKDYLS LY89DRAFT_779020 MGPSSLSDLKRKDLFQTKGYVNAEWVEAKSGKTFDVLDPATLKK LATVPEMGAADTALAIEAAYQAFKSYKNTTARQRARMLRKWNDLCLEHIDDLALILTL ENGKTLTEAKGEVTYAASFLEWFAGEAERAHGEVVPVANPNQRILTFKQPVGVAACLA PWNFPIAMITRKVGAALAAGCTTVWKPAGETPLSCLAQAVLAEEAGFPKGAINVVTTL DLVAEVGKELCTNKLVKKLSFTGSTRVGKILAEQCAGSIKKLSMELGGNSPFIVFNDA KIDTAVEACILAKLRNSGQTCVTANRILVQSKIYEEFAEALTKRIKELKVGNGTEDGV FIGPLTHERAVEKAMIHINDAKKHGGQVVLGGAPLEGMGGYFLQPTIIKNMNTEMLTT REETFAPVVGLYKFETEEEAIDMANDCEVGLGAFIVTESIPRSWRVTEALEVGMVGVN LGLLSACESPFGGVKESGYGREGGRQGIQEYLSVKSMLINVAN LY89DRAFT_436506 MVSREGLVETDRLTLWRPVNRNGRQVFQNEITHSTKISEPAECR GGILADEMGMGKSLSLLALILYTLSCQRSNNEENGYLSYQKKDIRSIATLIIAPKSTI QGWEQQVKEHTRPGALQVHIYHGNGRRISRDKLRIFDIVLTTYKTAASDASTNGMLSR ISWFRIVLDEAHQIRNRSTRNFQELTKLRAERRWCLTGTPVQNKLSDLFSLTQFLGFK PLENHANARKYILEPLSRKDPEGLENLRLVLQTISLRRTKNSCSTRRKIEAVEQVILN GRERCCYNITRADARKALGSATGNSQGQILLRAINTLRQICSHGGAIIDDTPDSQHTR EHNICDKCGHTIDTRNDSQQTFRGTCGHNVCYECTLDQNSSENISLNSGPESCSVCQE PVISILDNGQQWRGDQVNSTMVNWDTKTAPASAIHSSKIDKVVVNLQNLEKASPAHKM DPIKSLIFSHWNRTLNCLEEALSHHGQLYVRIDGSLSIEQRRTVIYQFNTVPEIRILL LSYGTGSFGLNLQAATHVHLLEPHWNPMVEAQAAARVDRLDQLKDVYIYHYIVKDSIE EHIQNTQRGKLQDAELSMSRMATGEDPNTGANNSMELRDLL LY89DRAFT_436510 MPGPKRPYPHDEGVSFARTPCFVEKRRLVAFSEAGTSAASPRTT VSIYQDTYCDETMRVDAPSPVPCISSPSSGDSLEVPSSTETTWTPLTASSNTEAAETW NKGDEIEICFGMLVNGLLFAWKSSPVSIISSSRLGEPTLKPRFRANGITILNSAKQEM GVLDNETASGMIVLKKAVSSARFDIYACQGMKQVQSQDGTLGMPLEILVFGPRNSLGQ VGSLLSQSSLFLQEPIDRQLSVSYKNPHVFSWDGEEDDTNSSYLLEPSSESQIGSTDK IQAVLNDTSVPRLSFQVEQDARITSTLKQLVIVSYSILHISTSRMCMDFSGIALITCG SYADIS LY89DRAFT_610110 MASSGPSHFEKILANFKKRLSSEHTELFQLTTLDDLKASIKRIQ TEQAAKKGLRNLNKIKPFLNGLRQYAEVLEVFVQAKPEILAFIWGPIKLCLQIASKLN EAFDALLDAYEKIGNSLPILSTVDALFCSHPHVKQVLANIFEDILDFHKRAIVFFKHG TWRLTFKLTYHTFSDMFRDILTKLDRSQDLILQSANVAHFQESQDARILFTKQMEVLL EAERNQRKKAVIDWLSSDSSSTTQHQELGQIRNMLPQTTRWIFSQPQMLSWLKRTEYT PCTFWLCGIPGAGKTVIFSSVVDEIGATLPNAQAVYFYCKNKDPLRSHFTDIIKSLIS QILQLNPNCLDFIYESMLSYSERRATDASKLLQILEQILSSHDSLYIGIDGLDECSEQ ERKLLSNLITAVSRANDAQGNVQLIVTSRQEKDLERSLKSAVKFNIREKNLESDITAY ITCKMTELCQIFHFTQERKQLITKEICTRPKDIFLLARLIMHNLLSQDSLEDLNEELK FEVLPHGIEEAYGRMLVRIGKAGSSSPNQMEKRRQRAKLVLTLVTTSHRALYKHEIQG ALAINLEEQNVDFENRHSRFPLDDLCGPIIQVRQNGIVDLIHPTAKDYLWQYHSGHYI VSSGAEEFMATLCTSYLTFDFLSPGLAGDLFAARVMNGDFAFQEYAACNWFKHLKSLF SNT LY89DRAFT_563409 MTAGGGTKGNALQAKARKGDCEAVSYLLDLGADIDNLSGDFGTP MMAAASNGKLEMIHFLIERGADIMKKDDSNNGSGGSAIDVAAANCHETVVQALLDKGA LY89DRAFT_779023 MSLPRLLLDLSTDLPPTRDHPAHPSSLPTATSIKAHPTNPGSEN ASIFFVGTATTILEWEGVRLMTDPNFLHKGDHVHLGPGVTGTRETNPAVNLHELPRID VVLLSHYHADHFDQDVEASLRRSLPIITTPHAKSHLAHKAGENEAFTAVHELDTFQSM MVDIKPASQEQKPNKVPAMKVTAMPGKHVPPGVLGTMNDLMKAVPPTNGWMLELGYNT DAEFSCGYRIYISGDTLMVDELEEIPERYAGQNIDLMLIHLGGTTIPSPKLPLLMVTM DAKQGLQLVQLINPDLTIPVHYDDYDVFLSPLEDFKKVMDEAGLSDKVVYLDRKDQYK FAVKSS LY89DRAFT_770917 MEAPTKASVEPSSAEGGNKSQGTADSTVAIVTGAVGGMVRAIAE AFAREGHSLILCDLAPGDDVQAAIKSTSASSTLSITTVTGDIATPDFPDKVLQAVGER RISVLAHAAGVSPSFKNGKRIFDINFTATKRQVESLRPRMLEPGGVIILTASLSGTFI ANTVIDFGVTRHIKGHWPSTVWIMSRWSYTSYAISKRCVQLYAQSMATVLGSVRVRIV SVLPRVIDTAMMTDYAQEPALVTFIESSGLKRMGRPDEIASVVSFLASPGANYVTGID ILVDGGLTAQRWKAITTTIRELIKNRPGKRKKN LY89DRAFT_681993 MGQYSPLRYDHKEFRLLKVRPPISSESNISSQSLQVSLIQCDLF VASRVDPPDYLALSYTWGDPNKVVPIQVNGSTRNVTLNLRTALEHIRREHEEVVIWVD AICIDQTNFEEKSDQVQIMTEIYASAQCTIVWLGLAGDGSDEIIKKVNEIGGKLAKRN TRDGTTLPSLTDLTSELLLVPRETVAGSKRSHSLTEQIDEQIGKLVEEAKQDIPGTIA SLEAFGKLQNRDYWRRVWVHQEFIVSRDIVIQCGNSNIEFSKFSDAILYYAQIQFKVA TTLSASLRNLLKNSPPTIQAKINRWIMDKWPESNNDPDLEQYKAILNSFNNLCKFRTP LPALFGMRRSYHKPRTGDRLNSFTLIAILSSVFIGGTAEATQARDRIYGMLGMADDRK ELGLVPNYDEAVSDIKVYTDAARAMITAGRVDLLSLSQHRAHLDKDTGQQEEKSFPSW VPDWSRPIVRQSGHTTFAVSGNVPFNISPSLTNRIPGQIGLLGWTIDTIETILPAWID PDIQGSGNKENADIFLTNIKILCLASTAKLGVTGHEIYARVVDRKTAHFRIPIADQER SDGGHIRRATENSRDGYSWVNKPLLGILQTQGIEEMNAISMKCMKYLEAMRLQKSRRP FLSISGYVGLVPEFTEEGDVLVVFCGAKFPYVLRRNGDETYKFIGEAYVHGIMDGEFV KTAREAKEFVLQ LY89DRAFT_694933 MVRHAEVNVESKGYISYEVICYKYSISIPLLFSLISRSWRNIHT EREVSTSFLKAKDLFAQDAHSPSIVRVTSKVYRRVALYEPGEYVATLNYYNGTQTVAN WLVRPLQQQKRAKSVILFICDGMTTNMITAARLIGHKSINGKYHKFPVLGHQMTHSLD SYITDSVNSASALYSEHRSTVNALGGVETIVEILTRIWGSAIGVVSTAFIADATPIAL NGHTRLRSQYGNLIDQALRGVTNYTWTPFSGPDVFFGAGAKRFIAGSRSFQGKDYYNE FANSGYTVSLNKTSLLKVSNATKALGIFCTSNLQCGNKKPVLDLPGLKEMTLKAIDIL YARGGDKGFFMMSEAASIDKHMHTLDYHRALGDLLELDDTVNATKLKLDQTLIIVTAD HGHGFVVFGSADTKYLSSKFDDREKRNAIVEYTNSGLSQYTVTNASISYNTGANFPVN WNPRYTLAQDVGANPDHRENYRVHKSGPRLPATNVTGFSNDDYFICSSEAQGVHSLTD VPVFAMGPCQELFGGVYGNIDIFFNMANCLGLARPNNATGTTPSNGAQRGLRAGMLVF QMAIGWVALSI LY89DRAFT_436551 MATEKKWADGPFKLLSTPRSTLNGKEESGASKNASEMALIHNIL LRGLNCIYLQAPNIQAPQDIQDFMLFCDAWSCTLHSHHKTEETVYFPLLDEQCKEKGV ASKNHDEHETFLPGLVAFDKFVTGVRAGGSYDKEMFKKILDDLGPKLEAHLISEIGLL DELEKDQGIDWDLLGKAMAQHSKKVADRVREVPFLITNSDVTYESGIHGARFPPFPWF VSQILRWVYIPQLKGAWRFASCDDYGVPKSLPFA LY89DRAFT_705207 MLLFTLSLLITAAYAVSPLAGVNIAGFDFGVDITGTANLASADP PLTALGGSDGAAQMSHFAKQDSLNLFRLPVSWQFLINSQSLTGSANNGTMTNGTMGNA THTNSAAGALDATNSNNYDQLVQACLATGAHCIIDVHNYARFNNEIIGQGGPSNEEFA NLWSQIAAKYKNETNIVFGIMNEPHNIPDMKIWAATVQAAVTAIRTAGATTQMILLPG NDFTGAQTFVSNGSAGNLSNVHNLDGSNTSLIFDVHKYLDADGSGQALDCSSNHITDT FMPLAMFLVANKRQAILSETGGGNSASCLTDLCNTLTFINANTDAYMGYVGWAAGGFS PTNYNLTMTPIGSAGNFTDQPIVKQCLVGTRMGGGIASKKRMVRKTL LY89DRAFT_730284 MVVGGTLQASAFHAAQLGVGRVLSGIGLGLQVATVPTWQSECAK PKTQGRWVMIEGGLQTTGVACGQWIGYGFFFTHGQIQWRMPVAIQLIPAIIVKINQGV HNLCKLRGLSEEDSTLKAELESIMATFESQKSEAPFQYKELFQNGRSQTFRRMCLGFF IQAAQQLSGINLVSTYANQILTDSFNLSPSMAHLIAACGGAEYAICSVLSVFLIEGLG RRKAFLLTSTGMTISFILIPILLSQIERNLQLAAAGLLFLFNTFFGLAWVGGPFLYSA EIAPLRSRAQINGVSAAANWIFCFMVVMTIPPSFANIGWKTYIIYAVLNASFIPIIYF FLVETKGRSLEELDVIFAAPGDPVKHEKRMPRNISIAEGRRILGLDDERTEEKSDGSV EGIKIEGSKEVDYA LY89DRAFT_730288 MASWQGGTRVLRGSTWSRKHVRFSTSSRRLSYADTVANLKIGTH TRVIFQGFTGRQATANAKESIAWGTNIVGGVTPGKNGEHLGLPVLSTVREAMEKLRPD ATGIYVAAHQAPAAIEEAIEAEVPLVIAVAEHIPIHDIMRIHSMLKTQSKSRFVGANA PGIISAIGKCRIGFQPLPCFMPGRIGIIAKSGTLSYEAVASTTRSGLGQSLCIGMGGD VLAGTNFVDALKIFEHDDDTEGIILIGEIGGEAELDAAEWIREYRKRTPNPKPISALI AGVHAAPGRVMGHAGAFTLPGESDAPRKIKHLQDAGVIMVNHPSKLGDSMKSLLGSSG RASSGPGVSQRRGIHTLRRVQLQNTIRWSHAEQRRNLYIHEDQALDLLRERGINASVY SGRGRKRMLGVAVDRANLSPCVIASPATDPDQPHIEVKKFPFDYRKGLDPIRVAAIAQ HLQLGESSKDSLPKLIDALIDLYMKKEAFQLETMFVERLGELKVVGANFGFDDAAFRS TKRQQDVHALRRIKDEDPQEVEAEKDGIVYIKLAGDGNIGTLVNGAGLAMNTVDALAD AGGKAANFLDTGGKATSETVKKSFQVILQDPRVKVIFVNIFGGLTLGDMIANGILLAF KELEMRLPVVVRIRGTNEEEGQKIIANSGLPLHAFDDFEEAAAKTIELANQG LY89DRAFT_730289 MAEAKALETSLKTLSLNPQASKSAASFRKKQPVSESWEDEELED SGHDTDRPLSPQQSADYPSAPPPTPISPSTSFARESFVSPYGIGTDGSPEARTERARP EKTDAVAKRMIAGALGVRAPKKTEEQKAYDRAIKEKETKRRNMEKEAAAKAKEEAERA KAAVWED LY89DRAFT_682003 MSGSDDNGSPGAPEKPEGAGQSEHLNIKVTDNNNEVFFKIKRTT ALKKLMDAFCERQGKAPTSVRFLFDGSRVQPTDSPDTLDMQDGDTLEVHQEQIGGAN LY89DRAFT_639558 MGQKDDLATDPQCHARACAIQTCLAKNSYNEGACRSEIDALYDC CNAFYSNNGDDAKSVSCPKASLLRLKMRQRMDENRETGSRS LY89DRAFT_682004 MAPMMTRSNALVSLSDDLPPPPQQRFREASWQFVAPQSRSDREL SEEPPSSYDEDADVGSRAEEEDYDYENQPPLTSPDDHEDILHPLREAANRVGREVERF AEVLDAYNPKRTIIDDERREMMFDLIELYHGVAKDTVQRLEKSHATEIRKVARQSRKK VSSFAAPTTDDKMDVEDSEQGSPYSQQQTSLTDLERWKQEEQTWDLLRRLVQLRFPSP DSVATTAGHKRVHQYSTQRDIWEHFLETDKLALERKTVLQWLEATAENNREDITELVK DLQQNADRGEITAHGWIHTKAAIKKQKIHNSSSGPLDPTSAPRDLFNLAGTEPLVTQL DPDATTRQRRRLEIQDEHFERSIWLACYEMLRRGWDSRRIRETCEEYTEIWRAISMSG FPDQTSQNEDISGNADATALWRRMCFTLAHNGGGDDYERAVYGILSGDISSVEPVCDS WDDFVFAHYNALLRAQFDSYLEQVQPRNNKPVGSSHTGVFDAVQFYGDPLSAGKRLVS HLQTHPRTSEETVQPMKMLQGVLIANDFSNFIYQQGLALSKFANAKGVSSLIPPNRNQ PENEDFTKYIALDDHDSLRVLTHVLLIFMGLGLDLGGVFRETEVENVIVAYISFLRLA GKEELIPLYSSQLSGQRRYAILCRNLIDVTDHEQRVTQIKLMRELGLDVQEFVGLQAR FLLSDYEDTVSSFPASGNFKLFDDSPVPKGTHRRVRKDFFGEDPDKIDRVDMLLIRSL EWYLLVDGLWKQTFTVGTMLYLRFFKHMHLNAARKLAVRVSAAMICQIKTRAILGDSY DFESLELGDDEDLTEVLDGSADQKRLLRKHLLDEAKNYRELENLIECLDNIETVASME CLINEQSDVNTWQKSWRQQLAAALKPTKFTVQPLLKGWLTTFQNENLAADLRRLREAY LPETLLAYITTLQFAGQHLSRDFLLECMDFATMVADEDSDLLSVFMSTGQMQELVETL AFSSKNLLLATSQKPGSSKSKKLRMKGWTHDLWSVKKEDLNERGIAEH LY89DRAFT_639563 MATAPVGHAAVAGQSLNQFRLIQFGGLSNATTADARSPAPIPDA SEQMAQARPPSGQNQERIPSAATSRTKPLDHNPTHSPSGLGGALDLVELTSNPTVEGI LGPNKDGRVRNPVPSKLKGKTDNNSGAFGVMSMGMSPGKKNEGQGKDAAAERDAAARR TSENTASRAKAAQSEKYVPPKKRGLEASLDGPSTSRGSPFSRDDHKQRGRPLAPDETK IEQARLLTLLRSINPVTVVDQICKAVAYFGGIPGAPPPEDGIFPESANTRETGALFIG WLAEIFPDVTARSPEIPRDVMPGAGKKKGKQTKGNRISVEGDASHEPPNSRNGYGFGP AVSAPAWGLPPSLGLVNTPQMTPIPLPETRIIPNNGPSQNKQPEQQSPATPIKQQLEE HNDGNTSTNKRRRGRPKGSRNKGRGEGGSEGFEPNAPVAGQTQGQQGSESPQAQAAVN KPTHSKTSVPAAALPAFTPVANNQATTSKPHQTLQYSEQSWPNNFQQNQTTNPTNLPQ VDELSPEEKAVIEAFRHHGPEAANAVPSPITTSKPPPEAGQKRKRAPPKPKPAPTSVP AFQPEKSQSAQKVGSLGNVPSGNESPLTVAKEGLQWAAVEPTPQAAPPPAKRPRQRKP KAPSTNDPPSRNQTASIVNSATPPIPPSTIPDSQATASQQSIPPSRPPAEGLEAHYER FVSLQQQQNGRSTPSLYGSHQASPQMSNNSYRSSSTHTLAQASPQFSQAENTYRTASP HTLAQPSPSFSQSDTTFRNTNTQNITQPSPSYSQAENPYRTPSTHSMAQPTPSYTTTR SHPQTQPSHQNHYSHFSDSTYIDLPTLESLGHAGSSNSQSVGLATGNYGQGMSVGLGT PARSSASSNTLYGSSSGLNSAFDANTNDLLRGVSRSTTHTNSAYGTSPSGLGNAFDTG PTENEMRERLLRGFRR LY89DRAFT_437355 MDPLHITEFASERYFSKLRQLNENAESASSLSSATPATPANAPP LPPLQGPTFTLPLGRQRSLDNELPPLTLRPSDQKKRSLGHDLTALRTQRRPSFTGSFG RLSSKVNVIHKTPSIVEHHEQILAEDPSAPPNLSLCDLFLALPTELQAQIISPLPIHT ILTLRLVSKSFHTIVTLNESPIARYHVSHSLPSYALRLYPLPDPTEINLHYLCSIWHR LHVALKLSTMIASQATKEIFLRTTEAQRLEFEPQHRRMRQRLMPLVFTLFHFFETYRN LHVQLLMSNGLPLSHQPFTLNPIETQVMEMYDDQTLLKVHQVFPLVVSSFSRRLRPPS YAGRLERSLKGYLKDRPPDEVYATILAVGGLRQAQRFWETKGYNARRAAVDTWYGFVT RSPIEAPAKSKMSLQKITHLGRKKANPVIEAANSEVAAGHDLTSCNEWFCVKPSCQAS RRRHSTDNLVFHSSLAAGPPMSPLTRDQLRLVLPDLQHLSNIWMHTAEALILERKIVD RPQDIKRNTQVLLELIRDDGTDGTDDWTTGNTSEITRRAAAEPQDGNVSD LY89DRAFT_437354 MRMYLPGGYFSRYSGLLLSNRRALLLRPPQFRSTPPSTIHHPSH RPNYHDHHHQNESAKSVLPSPLLPHDFDPSRRPPRVLTQHSDIRTPRPLTNPRTSRTL SSTWSDTPQGPLSIPPEFDRVCIAPHYSRIFLPFESEVTRFDDSNSLALTLHHHSDRP SSFSAHGPGDPNLSLGQAAIVSFSV LY89DRAFT_579242 MSSPSPAYAPYASHRNSQSFDPNTPPAPPPKPSSQEVSRRSTPA GSQPLLPPPPPQQQEAFGTYGSTSEDQRPIQQARLHEAARAQQLQDPGEQWLPKVLED KSKHDLGDVLARPELLAALAHSTSTAHPSIAATQEPLQAALQDNNALATHLVELEGRL THLRSSTQAQLLSMHALERQWRQKQSDMDRALAPFSPSSLYQRLSSGVQEQEMVCRAL EESFLEGDGGVAPEREATEWVRRYRDAKKIYYARQERKERWDEGRVGGWR LY89DRAFT_694942 MFSEFDFIIVGAGASGCVIAARLAQSEKKPSVLLLEAGGKNDDA SYRVPADRFALAFREPSLNWGYKTVPQQHLKRQEIDYSRGKGLGGSTAINFSCWVIGP DEDYNEWARKVGDDAWNWTNVKQRLKKIESYHVEVPAEHRKFVNPKAEDHGTTGPIHL SYADPWEKGMADVFEAAQEVGMDVNLDVNSGNPIGMGMGAGCVYDGERTTAADYLKNA PPNLNIMVNSPAAEIIFSGNRATGIRTIDGRAFSARYDVILSLGALNTPQLLMLSGIG PAKELEKHGIPIKIEAPEIGQNLQDHCFSTATLLRNPGTDDRSTFEANSEAARAQYQK DKSGLMRTMYCGVPMGWFKNDRVLASDEFKSLDENIQEHIKKPTVPIFEVATHTPPLY VGDYDLKPTDSYLTALSFVMNPQSTGEVTLNSADPSDAPKVDPNLLSHPFDRRVMIEA MRQTLDYLEAPAFNKSTIKMIGCPKSRSDDDIWDHCAGDLFSSWHMCSTVRMGRRDDK GACVDPDFRLRGAQNLRIVDLSVLPLLPNNHTQSTAYLVGETAAEKMITEYGLAHEGF SQIKL LY89DRAFT_559457 LSSSSALARFEFESGRGNEGTKILMVEWSDDDADGPANMGDWEV SWEGKTTVLSAKDGAEGKLHRIYFLLAPSAPVPRIVKLSQTGGKSMQTNPLPALFPPE LGISATTQGRKGVLHTVWAKKRLSVLQREIELEMKNGEGVGLEMAMQEKEWIEENFGV GQRSVSDLQHATSPTSPKSPGGGRLSEKLKGLKLGTSALELTAPSEQTTSGFGNRSNN PLSPDLGDVAVSSFSMFHGSSRPSRPVAQNPPLHLLARQPGPAHSNRIASLDAMTSGQ LPARDELETEDELFAVKLSPRSPEMTKSPFSFSTKDTVPWLK LY89DRAFT_682009 MTNTDAQAILNYLAELEFPKIMETSLQFALFKTYGIPTISGLLV ATKEFSTPENASKRYADTTVLISEFTSHHPKSERVIKAIARMNYIHSMYQKSGKISNE DLLYTLSVFVTEPITWVEKYEWRPFTEMEICAVGTFWKSIGDAMGIQYKGQLARSEWV DGLEFVEDIQNWAESYEVRYMVPAPSNKTTADELVPLLLFYVPRRFKPAASHIVGVLM GDRLRAAMSFPTPPRAYFNLAHVMFESRRYCLRYLSLPRPTFMRARELADKPDKTGRY HLGKYLAHPYYNKPGLLNRWGPEAWFVWYMGGDVPGSNGNQYIPEGYTFEEVGPRPMK NKGSEETKAWEEKIKAERPAGCPFAFAR LY89DRAFT_730298 MARKRASRSEQLPCPLFNTFRSIASPIIRFCTVSTDTLDILVEL CAGPAATSRIELARSTKLIKRLSQTLDPEYTGDDTWADAVGGRYSGVREATPSLVTPW KDRHLGVVYRYRLDEAPETPSQSSAFGSGSLHGLLFVNPSASYTSSPPNQESGRTSHR SQVSFDSIIEEHSAGRTNLAHCYQIFKPEAYRGRPPCPDVFPSSQTHSYIAAMVAHER GESAEETEDGTGEDWVDDADVVQMKPKVTKVIVRPVKGARAGKRPVKLAGGGLKEKGT TTLGKGRTEARGIEETKETKEAKETKQIKKVERDTEDEVAIESCDSQVWDPKWVIQRK RYVQ LY89DRAFT_694945 MSKYILISMPSSISPSNDKEEALSALRSTVGPENGAVQPFKIPE FKIGTLDALVQQADDLAKLESSCEAVVAKVGDSLRNLLEGDEDKIAQQKTVNDKPADQ YLKTFVWNKIKYRADKPLAELIDSLQKELVSIDNDVKSKLSQYNQVKTNLTTLQRKQT GNLSTKSLTPVVDPKLLIQDSEYLETHLVVVPNNAKKDFIRSYETISPMVVPRSSIEV THDDEFTLFAATTFKKHSAEFQHKCREMKWTPRDYRYIEGGKEEERREIERVSKDERK IWGEALRLGRTGWSESVMIWIHVLTLRVFVETVLRYGLPLDFVCGLIKTSPKLAKKAK ASLDSTYSYLGGNAFGRDKKGRVAKDDSALSSEMAAAGVGGHGEGSEYTAYVYYDFEI I LY89DRAFT_694946 MSVVPVVNVANGYLNPPSDAETLTMFTPEDDLSREVEEFIKSHP VAVELRSQPQFSESRPHLKIPEGQRSHNLTAGTLMGPGRVVVPPFVWSERGGKSLVSI SYLGEDLCGHPGLVHGGLLATLLDEGLARCCFAALPNKVGMTANLNINYRNPTPAGGF VVLRAKTTKVDGRKAWVEGHIETLVAEGEKPVVLADATALFIEPRQAATNITWHPSLS RHERNELRKQRGFTIWLTGLSASGKSTIATALEQHLLHLGVAAYRLDGDNVRFGLNKD LGFSEKDRNENIRRIAEVAKLFADSSTIALTSFISPYKADRQIARDLHAASNQGGDDP IPFIEVFIDIPVEVAEQRDPKGLYKKARAGEIPNFTGISAPYEAPEAAEVHLRTDQLS VEESVAKVMEYLHSKNLLPK LY89DRAFT_779040 MATAETVQLGPVHPPKEESIKVFNEIEVELKKTLQHLRHETNKH EPEYFTLVRNLSDHQLTNFSSDDLKEVRIATSAYGMHLFGKVLLPDSDPNHSYPEKGS SCYFMFRAFIGGDAEMAKLHCIHMEEIEEANGNKLFKAIFHQKDPLEWFDV LY89DRAFT_715681 MAKKRSKNSKAATGAGPSTDGDIPLLNEEALSALTARIESGLGT SNAQQESHLATNRDHETRDKLSKESKATSKIMPTETPRGTKRDANGNAKLAGQQLGNS RNSTHQSEQTGDARNAMLEEIIALGGTEEDLDLILDVASDEEADSSAGITLDKSFRKE LASFVSQLGIEPTTNSTEADAASEDSEDDWEAASASDASEGSSTRMVEAVKEQQPSTT TRRVAAASGNVNHLIFEARPDWHATPLPTLPTSQVQDTSEYRHAVASLKDYAKSLLEA DSNLYASKHLSSSSSHRFLSTIMASGTLSDKVSALTLVVQESPVHTTKSFESLLTLAK KRSRGQAVTALGALKDLLGAGVVLPADRRLRTFATQPGLLSTLQQESLLSWRPGQSLP GDLSKAHLIYWAYEDWLKESYFDMLKVLEGWCNDEVEYARSRAVSYVYELLKEKPEQE ANLLRLLVNKLGDPDKKIASRASYLLLQLQVSHPLMKSIIIRSVETELLLRPGQSSHA IYYAINTLNQTILSAKEEDVAMKLLDIYFAQFVSLLKKPEQSKVVDAPVTNRKGQIQG GGAPMGKKAKARVAKEEEAKLISEETTEKLISAVLTGVNRAFPFSQTDDLTLEKHMDT LFKITHSSNFNTSIQALMLIEQLATTKHLAVDRFYRTLYESLLDPRLVTSSKHALYLN LLFRALKADLNVKRVKAFAKRMLQVVTLHQAPFICGVLYLLRELETIFPALKSLLTES EEGDDDEEEIFRDVPEDGEISKAQSTNLDPLFHRAPYDGRKRDPEHSNADKSCLWESI PFLVHFHPSVSLFASRLLNNEKLPPKPDLASHTLSSFLDRFVYRNAKTAASGPKGGSI MQPLSGGDSRGILLSSRNGIRSLDPLNTENFWHKKAEDVAVDEVFFHRYFSKIGKSKE ASGKKISDSRMAEDENGEEDQDEDEIWQALVDSRPEVEGPSDDDSDMEMLDLEDSDVS VNESEVESEGEVASFEDTEDEEGDSDGLYETMTNGSGAELEVDDLFARELQTAAGEPE EETGKQSGREKRRKLKSLPTFASAEDYAEMLDNDEDEDFGGSS LY89DRAFT_705220 MKCFFATPLFFKGSQINSVQARNRCIVQPITLSRLNQCIRFNST LSTQENKEDDRIRLSKLWVPTGGIAVALNEDSHSKLIRAGFLRQAHSGIFHMLPLGRK VQDKLEALIDKYMSQLGASKLALSSISSEELWARSGRLNSVGAELFRFQDRRDARYIL SPTHEEEITSLVASTVSSYKDLPARLYQISRKYRDELRPRHGLLRTREFVMKDLYTFD YTSSLALATYHQVRAAYARLFDEIKLPYLVAEADSGDMGGNLSHEFHFPTSKGEDHVI SCTSCDYVANEELAESANPQSISARNGIPEADGVSGLLQDDVHVWRGTSRDRSTLINV WYAPELSQCSPSFGSSSAPEVNMIAVKALVPDLDTSLQDCLSLWTLQTFRPTTSCENS TPVPPPRRVLNLVDCRLSSAIRESIVSGSADLQIRPAFWEGFIPDSEIETVVNDPLTQ QPLNLLRIRAGDLCPRCPDGKLKVERAIELGHTFHLGTRYSDPLSASVTVPSDLLDAQ QSTIEESRDGNHNVQTPLQMGCHGIGVTRMIGAVAETLADDKGLNWPRAMAPYEVVIV PGKDLEKAANEVYDALCHPIFDAGSVLDLIIDDRSHSFPWKMRDADLVGYPVIVVVGR RWNAEHMVEIQCRRLGVRQDLHITEALLFVKELLLKL LY89DRAFT_438025 MREMALRHSAVMSYKGKRSSSLRGSLIRGASGSHTRRFDHSRAS SAPLLPADGVLDAGRWAFPFLVGALLCLDRSHSKSHRNANIPIPPADLSTLSDMLTRI WWNGIICRMLCIYLLYFIYHQDLPCLFPVTWQMERGHNHHSEEPRIVEDFFPSYYYRA EIESYGHDAENRQTPQERIQQSGRTTNAYLNHQKVVGGTLPLPRRAGDGAASDLLSIH RSRYCTVHQIYGAEPLNQDSGSGFLAP LY89DRAFT_438028 MESDVPQGKGKSTTVQLLIQNSRSSSNTRAGSPHVPEHLFCSLV TTLEVPWRWLRIPGPVRVAPHLEESQASQSPPQRRPQPKRRFTDLSHIPERELLRAHD WLQKASFPLFKPPCVDGWHPTLQRADARCPSNQEFFSRPRKCNTGVVWC LY89DRAFT_579108 MHARDSSGRRVSLLNDEPTQKQQLPIRTTFSHYDHPQMARSNSS TSARSSTSSPHTPSLIRADSFDSNLHDPLSPITPTLISEFGRQNSYTSAEKFEDYPTS QYAARQSYADPQQPSYGYTEPRLYDEESYQNPNVPERGPKRYPCRYRDSHGCGKTFTT SGHASRHSKIHTAEKAVGCRWPGCQKKFTRTDNMKQHLETHNKERSRSSGAPKAGLKT LTIPAGIKKPSSIAGRTSRPSSRNSVLTTPEQPPVDPAILYQTGAFPTATQHGYSTLA SPTTATGIYGQPSLSLPHLNRPVGGRHESEQSGLDALAIAADFQSHS LY89DRAFT_682014 MSTLADELLQDFEDSGSEGEDQQNGGLFPDDEDAKLGLNNGHAT DGANGSSMELDGDEEAVDEDEEMGGINDSGVDVEDEEETKAKVEKMELKGVNDVRSVA RLMKTLEPVLEKIAHFQSLPQEQQGTFVGSVEDNPEYHLLTQSNTLSTSIDTEIMIVH KFIRDHYSIRFPELETLVTNPLDYAKVVTIIGNGPMDSDNIKALQTSKDNTLNATLRS VLDGPSVMIVTVEATTTKGREMTQEELNTVMRACEMMLALDKAKRTMTDYVQSRMNLF APNLTALIGSLTAAQLLNFAGGLTGLAKTPACNLPPLGSKKQSGTGFATNVGVRQQGF LYHSPIIRGIPNDLKRQAMRIVSAKVVLAARVDRVHNSPDGSTGEELKAACLERLEKL TEPPPNKGQRALPAPDDKPARKRGGRRARKAKEATAMTDLRKAQNRMAFGKEEKEVGY GTGEGTKGLGMIGQANDGRIRNLQIDQRTKAKLSAKNKGWGGATPVGGSASSLRGFGQ GAGSGIDLRGKGLRSSGVGTTIGAGAGTASSLAFTPVQGLELVDPKMQAEMSRKRKAE EDRWFKGGTFTQVGGSGSMGPPPPPNKKVDTGLNKSMGPPPIPRK LY89DRAFT_610177 MESSRGPPRVKNKAPSAIQISAEQLLREAVDRQEPGLQAPTQRF ADLEELHEFQGRKRKEFEDYVRRNRINMNNWMRYAQWELEQKEYKRARSIFERALDVD AASVTLWIRYIEAEMKTRNINHARNLLDRAVTILPRVDKLWYKYVYMEEMLGNIPGTR QVFERWMSWEPDEAAWSSYIKLEKRYGEFQRAREIFQRFTMVHPEPRNWIKWGRFEEE YGTSDLVREVFGSAVEALGDDFMDERLFIAYARYEAKLKEYERARAIYKYALDRLARS KSLALHKAYTTFEKQFGDREGVEDVILSKRRVQYEEQIKENPKNYDVWFDYARLEETG GDVDRVRDVYERAIAQIPPTQEKRHWRRYIYLWVFYAIWEEMESKDVDRARQIYSECL KLIPHKNFTFAKIWLMKAQFDIRQQDLTAARKTLGQAIGMCPKDKLFKGYVELELKLF EFVRCRTLYEKHIEWNPANCQAWIKFAELERGLDDLERARAIFELAIDQPVLDMPELL WKAYIDFEEEEGEYDRTRKLYERLLDKTDHVKVWISYAHFEINVPDEDEEETEEDEEK PISEGAKARARKVFERALKSMRDKELKEERVSLLNAWLSFEKTHGSEDDIDKVQKQMP RKIKKRRKLDDDSYEEYLDYVFPADDLQTAKLSSFLAKAQAWKQAGGTITGEGTNGD LY89DRAFT_610179 MGNRFSNLSQNIFGLIALIISVVALLTTVLQVLQQYFSSAEGYR RCHKSVMGLWAKGTHRRLRFNQFRIEVVFETPVIFAASPENKKGPVQGRDVYVIDGTN ASYKNTRVLQPKAQRQADNDAKHVHTADDERASWVTLLSTLQEEESESREWDFMQRLT PKSPPRRATPPAPKYKIAVAVQSKTRSWDFIPPSITKPYATSAICHLVELMSMLGLYW KTFDQLNWNLRAEGNGFILTSQHVHGLGVVVVFATTGKSRFQENRVIPCAEIKELSFG TVPNIFENEKYLNQSVENQSLDLVFGSLEDEINTLESLGCQAATLKRWQKDHKHIFSV AFEIVGMLGQVVRIRGSSFRMIPNPTSDQWSKKVGHKASWKVTRLMEVFQSKLLDIIN DRKLPGTHRICIIHAQWLKITELDCTNEAELSLEVKEAIHDALDNTTEFLLDLRQLDI LSVLVAHVTKVIEILVDPQSPLNTIVLANKENALLDYYFSKIRPEVIDYKEKKGTPVP VPTNAKEKEDREIIWISLIYRMLCWFLLHDFDKQDIKIVPSDLKGSRMPIYIG LY89DRAFT_779047 MFARVLATAMLCLTVSANMQVKRQATDAAQFTSAADQLISQYIP STALPALESAISSAASVAQITGEPLSLIYEALLSSSIPGWFSSAVPSAYSTQIAALES NINALRVTSTPGGPLLPVATVVTTTNSAGSAITTTLSPSSTGPSSSATVTSTSITGLL TTTTTTPSGTATGAGSVVSSLTTEVISGVTSIFSTAVSTAVSKASSVASVASSAVSSA VSSSTSSAGGSLPTAVSRGAAVGMMGIFGLVAAM LY89DRAFT_438136 MSTVDPYDDRPRRSHRDRDRRDDREPRYVETQETYVRSPVAAVP DPPYIPRTTEIVRRPVREDSDLSIEEVRRDFPPPGAGAYVQQRTMVRDDRYGPPVRSR SAERGSQYGAYLRDPRHSDRDLDDRRSRKGAVYAEQEIVEKPRRRSLSRNQKIIAAVG GAALAVGGKELWDRRQADGRPVSRNPLATAAVGAAGAFAGYEGAEYVVKHTDAGKTKE KKKTYIAHQGRNGEVAEYYSSDDETVKPKKSRRKSIVEGALGLAGIGAAAKAAGGSSR DGRRSSRRGSDDDYDDDRRDRSRGRNKEPEGAAKFQQAAKAALLAGATEAFRVRNEPG GWGGDKGKRILTAAIGAGGIDAAADRNPDKHSKRHILEAVVGGLAGNRLINGSRNNIE DDRGSVRSGRSRSRSRGPGGSSGAGPLAALATAGIGALAGKKLLDRSRSRSRSRAASR RRRDSPDSYDSRSPSPRRGGKDRHKRSKSVTDYARNGLAALGIGEAVKNRDRSRDRGT VEYEEETRVHRRSRGDRDRGGSDDGYDGGSRRSRRGDYAESRGDPYGNSRYAESQSSN VGSRSGGHRTARQKDGQRNRRVAEGKDADGGESDSSLGSSSGDEKRIKKMKGKQLLTA GLATVATIHAAHNVYQSMEKRDARHKAVAEGEMTPEEARKLKAKAVLQDAASIGIAAL GIKGAISEIKEANEMRHECREFREKKEERHKKRLERQKKSSNGNSKKQSNGDARSSRD DGYSSYGGRDGYGPRYIDDNPYSTALPAPPVGYGR LY89DRAFT_438207 MSSRHRLKYPQRISGVCVVLQFIAYVAVPQQYMLHGVFRHGCQS RDLPDIEVKKLNSSTALNKLGIAVRGRSLLGVLFDYIDGRLELHCLSGSKTSNEHLPY LENVCDTQNVGRWLFFSIPREVSRI LY89DRAFT_438096 MSFGGPGGLQANSKPSPPERGSFPLDHDGECKNVMMNYLSCMKK VKGMNDPDCRLLAKSYLGCRMDRNLMAKDEFKNLGFDEGKGSTIDAKKVDGGQEQGKK GELRW LY89DRAFT_705226 MASAGAINDSGLLAIALVIRSKDGPRFVFHYPPRPTTKTSRCEK LYGTELDESDSEDENEQRDELDDSDLEESRFMAGKGLGKLDLNDKPEGKKTDHVEVLE GDDHYDDASGEQVVPWETLFNFTTADLRSILTPARAYHKKKFELSLDPLHFVTYPIHI REDGSWKKKKPKKAKKQNSSAPSEGSKGGDGTTVQEGAGKAADNNSEDGDDHGGMTMF NVVFVLSLPKHEADERITEIYQHVIKSFHKALNHAQASSNYVWKESEMILGMKEKARE ERRPMSWLWSEILVRSTLASSIRDVFIAVSNNKIATIQLNTTPPLDLSLQIPVPSFLT RLPTPTERAMPGLIVTTANPLVNDEGSEEQEVLNKHFALLLLNDESKIIAEIQADDTD ISAPLLECIRLCKPAQSFLQVAQANNIELGSLLILAQHLIHWRRAIAIPPLHSREMYI VSPNCDSRKLPAASVAWKKAFPLAPSLPSFLAMLSAAPRPYKSFAPSKNHRPTYLEML AWLIRGGWVTQLRTFAWILVWPEIIYEVDYELKAEAIEKSKKGTKSHSGSSESPESTD ESGPDKNSDNDQITALTTEQVAENARLGRLADKAAKQAAEEAATFAKMPVPVATEHIS KNQAEHLKSIAPYIIKDPHRVSHEESLYIAAIGKRILDPKAKDCWQKFVKYFNGNEAL ETIALRENMKRKETWGILMHYQEHILICKHW LY89DRAFT_438540 MPIKTINTNSREKTYEAVTTANKKQPGNSPNIPCQTRILRAPFS NAKPIRIESSRTTSKCKTPRVIKPKKGDKKI LY89DRAFT_705227 MAGTGASSPYIKDEPEEFFFHNNQRYMGGGNQQSPSMSQQHHQF GGAHGGSINPSDLMGGSGVAIPNTGYGFGNQNNYNNQASGASASFTRGIASFDDDELL DSLGTNQMSSNQPGMQGNGQDFGGMDYDIHSNFYNNGTPALSVDPNHINGYSNTPDGD PIQSPYVHNFSNQAFRHVQPQHQFGSIHSPGQYAGSPISGSDMLNGSADANNLMKPRR PLGLGPRKSSSTRSPLTPKTPAISSLNIGSAENSFPTQPIRTHSSHRHQKTLSGQWEQ TPSSLTSFPGSDFSPIQGVHPSAQISEMLKGTSMPTKLNNGHQAGSAPALQSQEMKRR RRRESHNLVERRRRDNINERIQELSHLVPMHRLEDEKVRKALQNNSPLSPTLAGLSAP PTGMSPPQATSGLAGPGARRATAGNITTGIPIEEKDKGPNKGDILNGAVSWTRDLMWM LHLKLQQQEDLAQMIHDLGGTFPFEETEDERRMHTELMDAMLKNDGTKFHYSRAPGSG LRVPKHTDVRGDAVNPSAQVGQLDTSLSPDNHSTGDAGQAGMGGAGQYWSGHNSGGSG PGSISFKEEDEYGMDLTQ LY89DRAFT_730314 MASSSFRDSMNSLGWSRRSPDVPVNTAQQTGLLSSLKSLNPFGD SDYVRLPTTEGPGAPLPARNRREEEEGWFALSRWDRLLIFGGCNIGALACFVLCFALW PIMMAKPRKFAILWSLGSALFLASWAAMMGPWNYFQHLISTPRLPFTATYFGSIGLTL YFSLGLENTILTLVSALIQLACLIWYLVSYFPMGSSGLRLATSFGAQRAAAWMTG LY89DRAFT_665957 MSTSQLRPNGESSPAPPVFSYAQAAKGRATSTTASAIQSHQSGA SGVSTPAKDSNSVINTPSAGSERGDRSVNGSLDIPTKPEHPAGELPNQAVKTSTSAKS VSTPASPSFGTASTATLPKEEKDNDDFTLVGTTEPGRDRNTQIGGGGGSSVEKSGEQG EGRRGKKGKKQKNVEKETDKEKEKEEVKPEVLVPAPLPAVNFWQQRKEELAKAKPVPA IGQSSQQSPDASRSELPSAQLTKSTDVKKRGKVGSSEDVDKSTTTLQNGVKDGLHVSK GQKKGAEGTGKAKEDQASKRPGPRGSRSVEKEDKSSQLPPPVHDAISWPTPDTALEEE KRKPQEKVEKEEKDDGNQNKSRQKWVPVPFVPTVSFNTPIPPRGGRGRGGARGGRTEG GRSRDPTTGPSSGEKVHNPTDDVTSVMESEPQSSSTTRATSLPPQKRQPPTDQSSTRK PTIGQSAEKSRANASRNESSTVAEGRSSSISQSDLPLDISQEQKSLYNEGARTSKQEP PQGVYPDSLSRNARRSETNMRGMDPFREGGNMTKDSSHQSQDRSDGRSDRGRGGSRGR GGHGNFPNGHTQHTFTPNGHRPQPPNGYSSQQTPAPYSPPLQQPPFSTQYVPTARGRG GSRSQSIPNNAMYGRFAPNGGPLSQHMAPIQTSNPMFDYQHLQSLSAVPYNSYVDEAS LVQLVTMQLEYYFSIDNLCKDVYLRKHMDSQGFVFLSFIAGFKRIKALTTNFELLRYA CQESEIIDVIQGEDGKDRLRRAEGWEKWIMAMEDRDESVRNAGPAQHLRSHPTAKFHQ MGQMMIPGHHSMSPMTFSPNGTAPNFPPYANGIQAPPIVNGNGAFHPETPLSAAVAEF SPSPYPVNSNVDQLEAETTFHDEEVANLTLVFAAPKNSDDPKPKLPFHNASSRTFSNG SIDGRSIAEELHDETRQGRPLANGVHTTESSPDAFRRSRSPYSPLSPTKSPSNGPTVM WAKGEGEQAILSEHNSEELYTTFRARTLKYRDASMPGETHPDMNLLYEFWSHFLCGNF NAQMYTEFREYALQDAQNNAMAGKKNLISYYAEILNSKKKVIPEVLARHYIELVQQED PTGERPAFERLRIAWRDGALDIKSRKQIGDLVDVNLREDLDRAPPRPKSDSP LY89DRAFT_682023 MRSYIPLFLLPTLSALQIPSILAPFYEPHLEESLIISNVSLIPH ELDDLRKRDGNCPDNYNSCSTLAAADAGACCTIGTFCTTDHAKNIACCPTGATCTGSI TRETAATTTTSGGGGGGVFGTSSATTTTSTATTATITSAASLSYVSNTFFPWPYIPTT YINSAACMTAYSDCQSNLAACTADLEGGSSGFPVTIVAPGGGVTVGATAQNLGSASAT SICSSLYQEGCYGIVPANCATFGTGTVTSFIVGTTGAAARPTMGCFATAGMMAGLGIG IAGQMI LY89DRAFT_578601 MDTEKKSCDESESTQQPGPKPDTAPDGGYGWVCVGCVFLINAHT WGVNSSYGIFLAHYLASNTFPGATSLEYAFVGGLSISLALLMSPVATICTRRYGTQVT LAIGIAFETAGLLGASWANKIWHLFLSQGVAFGFGMGFLFVASVGVVPQWFDRRRSFA NSIATAGSGIGGLIYSLGTNAMIQSIGLGWAFRVLAIVAFTVNTICTLLLRDRNKAIG AVQLAFDAQLFRRTEFLLLLGWGTFSMLGYIVLLFSLPNYARSVGLSAYEASVIGAML NLGQGLGRPFIGFFSDAFGRLNMASSCTFLAGLFCLVIWIFAKSYGVLIFFGLITGGV AGTFWATVGPVGAEVIGLRVLPSALSIVWIVLAIPCTFSEPIGLELRRTTGDIYLHAQ IFTGFMYVAAAICMGLLRAWKVRELQSMALSKEQREQEILDDDAVARPRVPAHATETV VKRKAKVSIWSWQRV LY89DRAFT_715694 MLLRGRSKDRKRKVTPPGPVYMSNEQFASYLSDLRNNRVARPSP SGARPLPSSSRRESDRGLPTTTPPAQTPELPVTELVLRSSSVLSHRRGQSSASTYSSV TSRTGRQLAQQPLQCEPLVLLKPSDVVPSATYMERGQRWMEKEEAVSLREAMEDMDLK KQEEEARLHTAAQDEASELVWQHQHPTAAISPDAPYRYNDHLRKNSYQHARTQSVGRY GGIGMVTGLARNIAPRSVSGGSSSSGGMASQRGRMSGDFSDKGSRPERTLSPETTGQI SAEFSAEGGSSKKSYGSMSNSNRPQGSSRRKSSGKRNVSGELAGMFTGEQIWEEPEQE SDESAGRGRTQNTSDIPAPLRIKPRNPLNRVQFAHEMAPRSSSTPPEPIKRLSTSEIH RNPPTQSRNPDYTANSLLPAPVASETKSVPPEEVPTVPMKDGLEIRSDEIRQATSMRL KDRSPKLPTPTVVSDKPGRPIVSFDSNWKPKEADIKPEVRRRSPFDRGPNGQRQSLPF RSDSKTIPTSIPTIHVPDTPPVEVNQVPQITVSTINRPESAPPVPTINLPDARPSVPT INISNSQPPIPTINLPDEHTISVSAPAVPTITIGSDNSTSQGKRPLPDPRTSAGRPPP RHYATVPTPRGHWSPVAGRRATATCHQCQLPIEGRVVKLSGASEHFHPECFICFTCGT GLESLEIHPEPPAKRSERLDRIKRRARGEDIPEVDGQTLAEDGDVRQRFYCHLDWHET FAPKCKHCKTPIIGEHMVALGDSWHYGHFFCAECGDPFEKGMTHIEKDGYAWCLSCQT KRTERRAPKCKKCKGPVIGEYVQALGGEWHEKCFRCANCKSGFDDGAFYPKQVAGETV VLCIQCIERELKA LY89DRAFT_639639 MSNPSIEKYGWTAVPRKVSTLLSQSESSSNQPKSLSTSTIPLPD SPLVKAVQEYAKAELPTETYNHSMRVFYYGEAILTHAFPSWSSPSFNETYFMTCLLHD IGTTDKNIHATLMSFEFYGGLIVLDLLKKLDAPVPQAENVAEAVIRHQDLGETGTLTR IGALIQLATIFDNMGGNPQLVDKSTIEDVVKTYPRMKWSSCFAATIRRENGLKPWAHT THLGERDFPEGVENNKLMAPYDG LY89DRAFT_682026 MALEKDVSPDFTDKKNEDGAGPMNYGNNNVDLEGDHTKTRFGSS NKSVGPRIAPVLPHLQAYDFGSDDSGSDVLGKQIELEADNAIKYRTCSWQKTAALLFS EYICLAIMSFPYSYSVLGLVPGLILTVVVAALVLYTSLVVWEFCLRHPEVRDVCDIGQ MLFWGKQWAWYVTAVMFILNNTFIQGLHVLVSAKYLNTITNHSQCTVVFAVIAAIICW FASLPRTFSALARLATVSAIFTFISVLLAAIFAGIEDHPTDYNADPNSIIALTGLHGG EPTVTATPVKGTTFVAGMSAFLNISYTFIGQITIPSFIAEMKNPRDFPKALWAVTIAE VLVFGCVGAVVYAYTGNQYNTSPAFGSLGNEVYKKVSFSFMIPTLIFLGVLYASVSAR FIFFRIFEGTRHKTSNTVVGWAAWAGILAATWVGAFIIAEVIPFFSDLLSLMSSLFDS FFGFIFWGVAYIRMRRVDGGVNWIHEQSLYGYMMLALNICIIFIGAYFLGVGTYTSVQ SIINSYAAGTVSGVFTCATNGL LY89DRAFT_682028 MAGRSELDERTWHIPFEPILDFSLFCNSTDLTGITIGVPRNCFD SNTAPAPIMASFESALTVLRSVGAKVVDNANFTAVEDFKKLNQ LY89DRAFT_771123 MTTGKPASEGLPSLLTIRIEKASEGLNAGHFTSVDLVKACIARI EEASNFNAVLQVNPDVLIAAKSLDDKRLRSGSRGPLHGIPILLDASAGTYALLGAKPA VESSLISRLRKTGVIILGKTNLSEWANFRGLNISASWSPRGDQTLGAYCPNTRSDGSS SGSAVATALGLCGAALGTEVKSAVLFRREMKRNLTQIQTIGSIVDPAEIANVVGFKPT RGLIGTDGAIPISKR LY89DRAFT_694965 MCKELRPDTAVPALSQAQDALLKLSILARLIGAKSVLEIGTLGG YSAICFAEAGAEVTRIEINPKHQEVARESVEGLDVEVILGAALEVLPKLSEEGRQFDI VFIDADFDDQWEHFDWAVKLTRPNGCVFLDDVDSDSIITKIGKDDRVTATLVPIVATH PMIPIPHILSFVVGSE LY89DRAFT_639643 MPGEVIDRPNPQPLTSQIPENVLELSVKLDKMKINDEDLKGLEE FRRASNYIAAAMIFLSDNALMERDLKFEDIKPRLLGHWGTCPGLTLVYAHLNYLTRKN DLDLIYVVGPGHGAPAILASLWLEGSLEKFYPQYAQNKQGLHNLITGFSTPHGFPSHI NAETPGSIHEGGELGYALSVAFGAVMDKPDLVVACIVGDGEAESGPTATAWHAAKYID PAESGAVIPIVHVNGFKISERTIYGCMDDKEMVSLFTGYGYQCRFVEDLEDIDRDLAT SMQWALDEIRKIQKAARSGKPIMKPRWPVLIMRTPKGWSGPKIVDGEFVEGSFHAHQV PLMAAKTNKDQLADLQKWLLSYGPAKLFTETGDAIDSIKSIIPVEPHKKLGQRVETYK CHEPLNVPDWRKFRVEKGTEESCMKAIGNLLDQALVDNPKSLRIFSPDELVSNKLDAV FNHTGRDFQWDEFSHAKGGRVIEILSEHTCQGFLQGYTLTGRTGIFPSYESFLGIIHT MMVQYSKFNKMARETTWRTDISSINYIETSTWTRQEHNGFSHQNPSFIGAVLNLKPSA ARVYLPPDANTFLSTIAHCLRSKNYVNLMVGSKQPQPVFLSVEEADKHCQAGASVWKF ASTEDGLHPDVVLVGIGSELMFEVIVAASVLRRRCPALRVRVVNVTDLMILEAETLHP HSLTNNEFNALFTADKPIHFNYHGYSNEIKGLLFGRPSLDRISIECYKEEGSTTTPFD MMLRNNVSRYHIMEAAIKAGAKSNPKVALDMTGLLGEVRHQVTKVQDYIMATGKDPEG TFDIPKFEGTVFEGGSRDKGQSGGKEDGFFVN LY89DRAFT_779062 MAKIILSVNAGSSSVKISVYSAEQGQEPKELAETQIDGLTAPPP QLKYTRGERTVCKDKKLEEKISSQNDAFKYMLDQLIGDKDFPAISKKEDIAIVCHRVV HGGDYETPQIINEDTYHHLEALTDLAPLHNASALEIVKFLIKEMPDTRNVAVFDSEFH QTIPEHIRTYPIDQEIAKKNKLRKYGFHGISYSFITRSVAQYLGKKESETSLIVLHLG SGASACAIKNGKSWDTSMGLTPLAGLPGATRSGSVDPSLVFHYATNVGKLSPSSTESL HISRAEEILNKEAGWKSLTGTTNFGVIASSDEPKMKLAFDIFLDRILGYIGSYYVTLN GKVDALVFAGGIGEKSDLLRRRVAEETACLGFEVDDELNKKQIKDVVQSVGKKGAKHG LLVCQTNEQFEMARYCAIDEKLFG LY89DRAFT_694968 METESILHQQLPSTYRALQPQAAQAIQHGQPHLGHHTLASQSGL DLTGLDDNDPVFHQDLRLQDPNGHAFQNPHPFDRNHGHGQMHIPNDGSPHTPQQHGNS GQYGILTAGPVQHNAINRLQEEDIFGNPEGGDQKSNGHLSTKIVVDPPNLAEWRQKLF NVDEMITLSEDEFQTYFPHVDNVYSHRSTQRYKRKPFVSHYWDCRLKGRPPGTPKSND PNKKKRKRTARERDLCDVKIKITEYFPGAMLRADFVPDGGQVQQDPSQSNNFFAPGQP GNPGMNQQQQFGLPMVNTSLGPNHPGATGQRYYTIQRVNGNGGNGKGDGVPGPHKHGL AESDRVKKNSVQRYVMKRDKEEKKTQKTYHKKASGAALATVRKHSKDHDFKLFGSCFC PFVQRVWIALEAKGLPYQYIEVDPYKKPQALLDVNPRGLVPGIRHGDWGCGESTVLME YLEDLNSGPPLLPPDPRHRATSRLWSDHINRRIVPAFYHLLQAQELNKQAENTKKLQD EIAKIVEQCDPQGPFFLGPHISFVDIQFAPWMIRLNRVLKHYRGWPDPTPGSRWGRWL DAIETNEHVRNTTSSDDLYIDSYERYAQNRPNTSELADAVNGGLGLP LY89DRAFT_682032 MASHLRDDVLYGRDHPDTHASANRWRHQESGSFARHVAPREADE RGGSKDLAEFLNTTRLEPPKSAGSGGRFQPIMVAGNVQNGAAGMEGMDAQNDGAANTP STYGSLDVRCGPLLNYRRMENKNWYGSVLIVTRGGGLRESPVIPELHLRFDRARLSQE ISDENANGVSTEAYGVVNGVDYRNGHQEEAQQHEPINGTGSNGTNVVENGSGESKISG TKLYSDPSNTFWRFDLKVPMQKFELHCHYEIPGLSFETGEKNDKQSFFVPAISQSMRI MFHSCNGFSVGTDEEAWSGAALWNDVIRVHKRAPFHVMLGGGDQIYNDGIRVNGPLRP WTDIGNPIKRREFPFPEDLRKKCDEYYVENYIRWYGTEPFASANGQIAQLNLWDDHDI IDGFGSYVDEFMRCSVFRGIGGTANKYYLLFQHHLAPPLSTYTTDAPQTTSDDGVGTD PRQLENTFVLQEENIDSSYIIGSKPGPYVAEHSRSIYARLGARIAFFGIDARTERTRK QVNYPETYEIIFTRLRKELAEAKASSTPIQHLVVLLGIPIAYPRLTWLENIFSSPLIA PIKFLNKRFGFGGSFFNHFDGSVDLLDDLDDHYTARTHKKERLFIVQQLQALSAEFSV RISILGGDVHLAAVGRFYSNPKLNVPVAQDHRYMANIISSAIVNKPPPQAVANLLARR NKIHHLDQNTDETLMNYFDRDPGTSNKTANFNHVTMPSRNWAMITENAAAMVDGNVPN GHSVEGDSLRQLTPPAKDGHSCLHDGEVNAGTKHKAADEAQHGLGSDGSLDVTIRVEK DQHSKEGLTEHYGMTIPLLAYDGAAENTLRKRDAIHGAVAQRIPM LY89DRAFT_547553 GLPFRDTPISRPEAVAIFGNLLDANTVNRLLRFIHGRRVAGTLG DPSLSNPYDQQAFEAGLEWLRKHVPVDEVECAGLRAELELAEMEGDVMAKAQRAGLYE PNSQGQKDVYGKSGLDAIRRAKEKEFDEREARKKAQLRETRGIEHKTGMLEELSARSQ VELRRPGEDPRLKYYLERAKVLPDTPPEMSKFQRLWPSGLVVLAFVTGCSIFATVYTP PKKEWRLWPDIPPAAATIIGLIFANAIVLGAWRVPALFRMLNKNFITVPGYPFAKSMI GSMFSHQQFSHFALNMGVLWFVGIRLHEDVGRGTFLAIYLSSGAVGTFTSLAFYVLRN NFTSSSLGASGALCGIVAAYLLMHSAEKVTFWGVPPDLDWLKVSTMTILSAVIAMEVF HMIRPISKRVGSHAGIDHWAHLGGYAAGIVGAEVVKAREQQRK LY89DRAFT_682034 MSNEATPIFPARFAEALKDLPLSTLHLKAAEIRNSIAHLDYSNE QLKPFADGTEPSANGQPDQDCVDAIKENETVIARMQERIALLRAEVEGRGSNWSEFQS ADELKNEEGEETLLNGTGESHVGREAGEERSSAWTDGTFQTGRIVNGEVMMNNERQAN GATNATSSTGGSLDDEALRRAMEERMRVLAEEGDEDEGMHL LY89DRAFT_665972 MSISVALQSVAFYVLSCSTCAKINHRRKAKVQAKRERAEKHALE TEQPGLYRHPSPFSTNPYWTEEIMMGPGPPKQKGESKNASQRALNTAGQGSSYAGSTA MSSEPPSSPTNVTENSRISGDGWNRKRYQREDEALWGHDIPGPGQRIMDAIARAGSSA GRLLEGRLSRSGPGREEEGPSHYYLAKNPPVNDLHPPVVSTAPSSREETRWMLQPPPA AKIMEGKERVNRSRASSNGSSRRGGEEIPLSRQVTERLVDARLQRGETPYSEGRPVSS KNNPRTLAPLSGPGMEHSRDRSRSPSMDSSGSSDAGMRRKRKPPPISISMSSKSSRDA VEHIPIPASIEMREQSVRPMLSTIISSSAAVPQLPIEDDGALQPTTNSAINSRASSPS RRLSPNANAMPVSIPKMEAKFPGNSDYKFHRSTSMENAEPTA LY89DRAFT_682035 MPTAGTIGGWVVVVAVAGSFYWVNNQRKNKNRRAVDTKQSGKAI EIKKDPKSKKRKDTGQSSGDQKTPKKSSKVQAKPTEEDVAVTTARPSADTDGTDVDNR EFARAMANAKTGTITAPKSQTSNKPKSVKQSRAQDKPVVESTSAPSSTTGGDADDDQS PINSPEFSASDSTAPIASGDVSDMLEKPGDAPSVLKITAPTNPSSAKQVKPKASFEPA ETKKQRQNRKKAEEKKAAREAEEKERQVLLEKQRRTAREAEGRAAKDGTAFMAAQAPS KSAWTAPPEVNGSKEAAPAKPVELLDTYEPTKGKVSTKPAEAVYSESEEAGSRLAKEY AYMTEDEQMRKVKEDSAAWETVKAKKGKKSEKSGLKDGKTSNDKQSSASEQSDFGVPP VTAPTVPGKKWGMTVVHVDNDSNVVEREMEVQDSEWEVA LY89DRAFT_779070 MAPLNRIIIDTDPGVDDVLAMLLALAAKPEELEVLLLSVTYGNV EVQSCLRNVVALFHVLEKEMEWRRARGQLEGFGCMTSSKPIVAVGADHPLEDEILMAD YFHGVDGLAGVHTSHPHLSPSDAWKTLFQPPQANATTQQVAEARELATPITSFRASQV PAHKEILRLLKENPRDTITIVAVGPMTNLALAAAEDTETFLRVKEVVVMGGAIDVEGN ITPVAEFNTYADAVATARVFALTSPTPSSTMPPPPLKISKLPPYPTKLSRQLKLTLFS LDLTTPHTLQRSHLMAKLDPLIKQGSPLAEWTSAFVNKTYEKIESLTRKQLDPGLELH DPLTIWYMLTREAPQWMGAPKGLEDIRVETAGQWTRGMHIIDRRNRKKGGAPEQIKSP GAVDIANPMEQLVIPNADGEGGDAPGDNGGWLAPHKGNRINRIVGSPGEEFFGPYLLE RVFG LY89DRAFT_610240 MSSLQPYVNKKVLVLTSDSRTLVGTLLSCDQMTNLVLSQTVERI IRPPDDPEPSSEVPHGLYLIRGDNVAVVGLVDEELDDSIDWLQVRGAVVGGVKHS LY89DRAFT_730334 MSAFFFSTPVDIDIVLEDGDDRQMVDMKTEKGRREKAPLYKDGE SVKGAVTIRPKDGKRLEHTGIKVQFIGTIEMFFDRGNHYEFLSLGQELAAPGDLQHPQ AFEFNFKNVEKQYESYSGINVRLRYFVRVTVSRRMADVIREKDIWVYSYRIPPEMNSS IKMDVGIEDCLHIEFEYSKSKYHLKDVIVGRIYFLLVRLKIKHMELSIIRRETTGAAP NQYNESETLVRFEIMDGSPSRGETIPIRLFLGGFDLTPTFREVNKKYSTRYYLSLVLI DEDARRYFKQSEIVLYRQAPEIAAGAGQQTQIAGAPPPERAQVQQEA LY89DRAFT_682039 METFTPFYAYKETRLDLDPTVQGSTVVIRLPGANTFTSRAGQKR PHVADIPIAEDENAFRQRHLATASSIYHRKHKKSIRSFLWRVLEDGKVLSIRSVDISR QSAAADANLALRLVFPSAIKPGCIAFSDSKEHDILSTFVITESKQIYTLSLRPEYFRK PSSTEDNVDWCKLYSCSTFTFKQPHRLVALDSDELLVSLSDGGLIKFDRHSGGDGSTW QETHYSEGGWRNTVRSVVPFQAGNTVRYNDHNLELSTVTSIASPVISIDDLPYAFTVS LDHKIRVWNLRNRKIAYMSDILDQELDPHEQAKRVVDPFQSQLVRVFANNGENALCVT FSPLGSGQFKFWNVTPAVDGTLIFVDLFPGNVLEPQAPSSEIWTLADFAVVIDKSQIN SFGLWVLWKNNVTYRLHRLDFQSGSVAAIGSAWKDNWMAMATETLRDTLLPTMLSADS ADGTDKWLEFILSPGRFTQATIETGLAIYGRGLGASKDLSRRTASPADRMCTIIASTT SLSRSSDGNMDFEQFRSGTDAQWRRFYRLLLELDKQRGEAMSLVIDYQGEMPWVVLAD GITAIRSCSDLERIWHNNGMVPSGTETLARPLFAAAAFRDSLSEQFIHSCRARLAEET FQEPSLTDPMRMRAFYEKCNFSTQIGDEDYSQLVGGLGGTFKDVTPKVYEAMLSLMDV SSSSTKGDPILPLAEFGKKLIVKGVQEIVELHRNICLDQFILLIFIEAEINHGEEGIQ FETAAVFRQLMLMLQRLELIEWLTSTQIFKEVKSRNERSNSITDSTSTISKKGAPVTE MITVLEGVMRHLFGLDLRNDQSMPSIVTDVIVQICAVNSVYEAPPAVIQCFLLVENRP DLALEFSRFTGADSFSTYVRGRAYLAANDAITASTYFKKAALGMAYPNKSAEHRSAGY LDDVERGLLNSGLPEYYSHIVALYEKEKLYSFVIDFARLSLQFIKPETAEVRHPQIRT DMHSRLFNAAIQTTRYEIAHSILALFTDNALQQSSLRTLVTKMCESSYALELIELPFI GLQDKVDDILAQKCQGIVDVNVGIPYHKILYAWRIKRGDFRGAAATSFERLQRLQQSG GGDRIIGDDELETPITKQYIALINALSCVDPKQAWILSEDDGRKSSGAGLTSKNAPPK RKVVTLDDVRKSYQAELDRIAAIQNDQFAFVGGDEMDVL LY89DRAFT_682041 MFFTSWALWEQMTFILGLAILLVFAIGYGKLLYTNRLVQKQEVV DEEKRMRIQELRSSGQIVESRKSHDIPFGIRAIQSGIQIDGIWISQGSTPVPSELKLG HLRGSSTDMIDPDPNKEAQFSSETTAESLRPSSRQGRPLFRAMDSSNLILDKVYEASD TERPNTARSHASYKPRRASHLRHGSHGQYDEETLGHLEGISPSPKRKVHAHRPRSTPL LDAGADSSAADNEHSSTGVSDSDTSLSHKLQEADSSSPQFFFSEPDVRNATSLSISNV PSGNAVRASLPMQRSKAEYFSVPLDSPRFESSDPFATPLASPLESVPMLKVENSPLVQ EPSPPADYQTLPLQPSRSPSPFIPGELHVNKTVRKVNSGFEVLPAGTFGVPPEFKGKG IDLGEDDDSGDRRQSRLQKKPRTSMTVQRTSGTIEHP LY89DRAFT_730338 MPIRNPFSKRPEVSTGLQPYEEGIRPLSQNGTRPTFEKVDTMGS KGSSAMSIRSSQSQEPVEYKMSVVNDSGVYLPPSPPEKKGFWPRRSNPSGTSTSSVNG EADIEPFSISRESFDSYRRSFDISARSPIVQHDIGRQSLDSARLPRLPRSAMNERRFE RQPPTAEEGFEDVGLNDEAKQTKKKGFFSRLGDNTDSTAPTQSGSRFHIGGRKRGASG VGEELGSIQRPGTSGSREAKEVH LY89DRAFT_682043 MKTKPSRKNDKKARKRGKSALNRVAASPSKSVSPAELLVQAAFV LQQGNIEGSVPLAKRALSLLPSDSEEALPALNLLGEIHVELGDIDAARRYFAQAAAID EDGKISEDLGGGAEKFLWLAQLSEEGGQDSVDWFEKGALCLRIQIQALLDSKKTDADT EATIAEKTRKLAVALCGVVEVYMTDLSWEDEAEQKCEALITEATMVAPGFAEPWQTLA SVRISQQKMEDAKAALTRSLELWKDLPPEDPSVPDFPTRVSLARLLMEADMDVEAIEV LERLVGEDDSSVEVWYLGGWGLYMMGEKQRNGEVKIENGDGESWKVSWISSRQWLQHS QRLFEQQDYQDERLGEHAEELLKTLNAELGGTSADAELEDGDEWEDEGSDEDEEMAGS LY89DRAFT_682044 MGKDEQVEEREVLDSIFPDEIQDISETEFRISILLDVTNDDGDE SEPPTFLLQVKYPDAYPEEPPVLDLLAPPNAPAHPYFSVGSDRETLLDGLSETIEENM GMAMIFTLVSTLKDNAEQLIASRQAEARHEHEEKVAAAEAEENKKFHGTPVNPETFMK WREGFRKEMEQMKTKQDEDDEAAEKKKNRGKDTTIQLTGKQLWERGLAGKIDEDEDDE DDVPVEGVEKMKVEA LY89DRAFT_439326 MPTATITKSAMAGVKRKSAPVKNGHVKETKKAKVESKFAVRTKS KAKPAKKEKTPSDSDSDDLDSDEDGGVPLTSYEEEEEVAEESEVEDIPKVADGLHPDR AKAVSTNTQSSREAHAKQKQLAQERKAAKPLADQLARTKKIWERLRRKSHVPLEERKA LVTELFDIITGRIKDFVLKHDSVRVVQTAIKYANPEQKRMIAKELAGTYRQLAESRYA KFLIGKLLVQGDDEIRDTIVPEFFGHVRRLIKHPEASWILDDVYRGVATKQQKATILR EWYGAEFVLFQKGKSEEVTGELSEILAEDPGKRAPIMRSLQELINHLIQKKLTGFTLL HDAMLQYFLNAKPGTEEITEYLEIIKGDEEGDLLKNLAFTKSGARVVCLALAYGTAKD RKQILKTYKDTLRTMAADQNGHIVILAAYEVIDDTVLTAKSIFPELLSKDAEKQVENI VFSANDINARTTLLYLFQGRSKALFPSSHSSDLEILAEIDIVRTATSKKDPEVRRSEL AKALSPYLLKAIETAAKDLVASSFGAQFVTEVMFGAEGDKTAALKAIAETAAGDPTFV QPETTEEENPEPASHVGNTPFGGRLFKSLIAGGRFDSKTKSVIPVVPALNFADILYPN IKDFIIEWATGSSSFVVLALVESDTFSKKDEVLKVLKAEKKTLEKAAREETMEQKAKR EEMEKMAKETGKKSKYVSNIGNKGSALLLEKLK LY89DRAFT_779079 MKLTISTLALLMTTALAAPGSLTARQEAATIFDRAVNDGRPVAT GLCCIANTSKKGDTCTQSNGAAGICSVADTAGCGAKLTCT LY89DRAFT_553279 MAIKRHIRNLLAQAGLPVGQVEKTAYQQGDYSVWEVVDDSTIEG PEDSPYQWAQIEIRSPIKANTQASLDEVQKVCDLLKASYHIETNQSTGLHVHVGRGSN SFEHQQIRNLIAFYWVFEEQIKTALGQFRSQSTMAQFSRDNSRYAHKYWQNNAHHTKA ENVTAWIADQANPYRDTDKYTSLNLTGVREEANQPGRADSKPTVEFRSHEGSIEGVRV INWIKAVVGMV LY89DRAFT_730344 MLAKSLAVALFVSVVAAQSSNDTINPSSITLTLRNQWCQGQQNT CGTLCSGDPGTNNCDPDTLTYNCTCAANNSAPGLQYYTQTIPTFECEQIFQNCINSNV GDAAAQALCNTNEKANCGHLDPDNFTETAATTSSASMTATSTSSSGAATGSSTGTSSS KAGAATLAAMRNLGTGAFAVGVGAAFGYML LY89DRAFT_439651 MYARLEVSVCLSAKAAAHLSTLFFPLKHRRISSDHHLSDTDKGM SRQINISDPWREGNCPISVTAGLASLRCWADSVCQVVEESLSKCTYGSGFESSRQSSI CMGSISKWLLVVATCRWRPSAKKRRRRRRLQYDESLDSTPRSRDSSRMV LY89DRAFT_705251 MTKDEVTEAEPPSSVRDLPDEKDALGKDANDSTSTVSTGEERKF ARIRDNEYARSFWRFITWTPKRCRWDPESPPQFSMGLNVLFAFAGTFTVANLYYNHPI LNILAEEFNVSNERASQVPTVMQAGYAVGLLFLCPLGDIFRRRAFVLILVFFTATFWV GLCITKSFSVFLAISFITAVSTVTPQLMLPLVGDLAPLHRRATALSIVVSGLLLGMLI ARLLSGILTQYTSWRTIYWFSFGVQYLILILLFFFMPDYPSTNPGGLNYFSMLFSILK MLFKHPVLVQACLVGFFVSSTFTSYWTTLTFLLSSPLYSYDSLTIGLFALIGIGAMCF GPPYSKAIIDRFVPLFSVILGLSYCITGVIIGTYTGRFTVAGPIIQAFAIDLGLQTSQ IANRSAIYAIEPKARNRVNTAYMVSVFCGQLMGTAVGNKLYARGGWIASGSCSVGFIG VGLLVCSARGPWNEGWVGWKGGWSRTVTEKMPCWVF LY89DRAFT_579414 MQKRSHFREEGLDDLTTSIYHDLQNYNFSPPLIKKEDSNDKKRK FEEPLKENEQKGNSKKSGTWYSKLAKTPRPMVKSSATAPPGIFTTIPRVALIVFLIAI VVPGLRNRGDSEVNMNGADAGVIREAELVENASAIEGRANSPTSICTRWANQIANVNG TVYIYGGQATTQSGQTENTWNNDFLTLDLTKSWQIASPSLTGLPQPSGPPAVAMGSLW HSYTSLFLYGGLFSDSPPTSPLPVATWEYDISSSTWTEWSNPQTSAGNNSDGGNQPVQ RAAEGAGISVPELGKSWYFGGHQDLYTTEGWSNQIARIYLKSLLEFTHPGYANSGVDS LGTTTAAPNGGVYRNITQGGIQDSAGFTERADGVLVYVPGWGEQGIILGLAGGTNETF TEMNIIDIYDVANSTWYKQATSGPSPPIRVDPCATVAMAPDGTSFNVYLYGGQNLIPY GSQIQYSDMWILTIPSFTWVQVNMDGQSQPPARAGHSCVMWDGQMVVIGGYVGTDISC DSPGIYVFNASSLEWTTGFTALSSSSSDSTSTNDPDSTIIQGSLGYLVPGPVQSIIGG SSDGGATATQPAAGSATSGPLATGKPPTFTITQSGSTIVQTAHSTSTATSPASTSNST PAKAGTNVGAIVAGTIAGLLAIIAGYLAFCSWLYRKQLKLYKNHVAMAQRTAFAGSPE NWGGESGNSSGIREKVGTGVMLGPFGTEVSGSGSAGRPSASESAEGSMHSGLAPVYSG AGVGGVGNRYSRVSEGDENLAYMGAGGSQHGEGARSTAGSSMEDLLGGQEPSFFSVVL NPRRTLRVVNLD LY89DRAFT_715719 MSAMQLYFSFLLVSSRLSYHVVAQSQNVQFGQVSNLNGDMQLNS LTFPDNSKIETFSQTQRQILVNQNPAPVPASHVMGSTGQPFIQLSQNSMTISTNGATD LVGAQIEMPINQAMLQQNNITPDNTFVAMLSTNRQAWIIMEGQKSVNTTDNTVRMVKL NSIDGEYMAVGRQTVETSNVLTPFGGSQQQAVNITGSGIQELEFQDGFRMSIMASKPM TVNTDVVNGVSTSMLTGGAMSVNNYRYLVTTNLAGVVPNLNQMMAVVQVPLNAVRLMT MAQSMGIGPNDTVSLGISQRGVIQNPGGATGGSLSPQKRKASSSSSKVAAASTKSAKA SKTSSAEATETSADSADTMDTGTTSNSTDTASAADTAPTDSASASADTGASAASSANS SSATADASSASTSAATTQAPASSQQPANTSPQNAAATQLLLSPTFTPITQRTVLDMTN SRVAVTVSQLDGEFIVTMAKAGAQNQVQARPGDISPSGQTSQNYALGSNGTFLTKRQS TQAQGQGGLLVSMAQLQALVQQQKTGGMLPVTQMMDEMMAQSQSLSSSSFRNKRTGSL RMPQAV LY89DRAFT_567710 GPSDVQVMNAVMSWMNDTGKVSKFLNTATSFSGDEFTRQATIAL NSEKDELNHKMILDAAVGQMDMVQAANDTLATQGTFQAVVDALQSMVDNGPDTAQMQV DAINQNRCVNVLPNIDAYFAAAGQPDMQSVRPSGCLEVQNAAASSSSG LY89DRAFT_610274 MKFFSQLLVLGLAAEATVASSWFSKAVYNKWHETELERWLSDHN VPYPTPADRKDLENLVKDNWQSKVAQPYNDWEAPQLSSFLQQKGVEVKESAAANKDGL IAQVKNSWYETEDKSEDAWSSVKDWIFDSWTESSLKAFADKHGIPVPQPRARDTLLQK LRSNYETVAKKAGETASYPGNWLYESWSESDLKEWLDSHGIPAPQPTTRDKLIASVRR NARVASLKMADMQASASKSAADATQTLSDKLLDSWSDSQIKEWADKNGIKVPQGSKRN ELLAIARKHRAQLTGDNASYSAKSAASKASASGASAFGAATSSAGNQYAKATDDAQLK AEDAFNSITSTWSESRLKAYLDSRGVPVPQSGKKDELLAAVRLNRHKAATGWSAWTFD TWTLENLKAYLASSGNKAAEKASNQAGATREQLVSAAQDAYASASKTGGTSYASVTSY LAKQTDAAKDSVFDTWSESELKNYLDSYGFNVPQGSTKNQLIAWARNQRNYFQYGTTT PQGTLWAKLQEGASWVMNQLSIGAAAGRKQAEVAGDSVKEGATYATNRAGEAAQKAGD KIKEEL LY89DRAFT_730350 MPFFRPYMTLSSFNRQAAPQALRHQPTARFISSTPIRFSQGYGD GEGDPKGETPQDQGSSNETKHNAEHPGPAPPSEGQGTGAGPTKGGSGGSPEEASAQSG GSRSKDAKETGSSPTGGAVGDSGGKSPDKKAASPRIHDKNVPGHDNTSDKQAEVEKHN KEFEQGHDRAPPAAEDKVDKKFWKGHGGADRES LY89DRAFT_639705 MKTSVLSVIALGGLFNTAFASPVHPRDMSVCNAISQRQCCDVGV DGIINLTCAAPSRTPTDMKDFSELCSENGQQAACCVLPFVSILRPTFEGRRANCLHSL GMDLCAGNHRRDQARSLRR LY89DRAFT_705257 MAPLDIDVDAEQVAFDKEVASIEDWWKTPRQASIKRPYTARRIA TLRNTVREEYVSSRQALKLWSQFQSHLDNGTYELTFGATDPLIVAEMAKYQQTVYVSG ALCGFTQVLEPGMDQADYPWDTVPKVVEKIYRSQMWNDRRQRQIRMSKAKAERAKMEC YDYLAPIIADADMGFGGLTSTVKMAKLFVESGVAMIHVDDLASGMKRFTTGQGRTIVP TSEYIGRLTAVRMQFDIMGAETMLLMRTDLIKAQFITSVIDPCDHEYIIGATNSVEAL STVLSRTMESGEPSLKELVLVRTEWEKTAGLMTFDEASLRSQDRLSTALAQRREAARS VLGKEVAFDWELPRSREGQYLFKWAVKGETERCLAVAPLGDLTWPRMDFPGEIHNALR EKYPRRLFCFGYTNTYDWAGAGFTETDVKDSPSKMAKQGAIFQIQPTWCVQGIRYYAD KSARMLKEDGIAGYVQEIQKPALAVGRNGSEESGAYLTDAYFETIAAQDYI LY89DRAFT_578409 QDIKYGFYYCGGKLAEYLNTDQTKLGTIYDLGRSALALNWGSEY PITEVIDDNENYMMLKLNSELNIVVEDINKSFKFTQKSDLGSQLGHELSTLEQKYSFV FRLAATTTKPRTRTLVNADLSIAYYHAVRVCLFRCTLSDLKAPCPDIIQSSLSCILSI AHQTFATGDDALFHRIEWPIFIAGVEIKDEIHREWIQEKLKHSNIGTALNEVIQVQQE FGRRVGVEFMRDVFCKGLRAP LY89DRAFT_439766 MSSGEKMPTVTDQDVMSAKRIEEDSSVVLEKDTVTKIDSDLDVG ALYANQLNGDSYSRKEEVSLRWRLDRRIMPIVMLNVILASCDKTSSSTGALYGLKTDC DLTGNRYSWVGSAFYFGYLVASLPAAWLLQRLPIAKFTVGCQLVWGIILIATGFVSNF PGLLALRILLGIFEAPIIPGNLIMIGMWYPRKEQALRLGIFYTGFAQLITGPVGYGVG YVTGTWFKPWRLFFWIIGSITIIWAFFISLVLPDSPVSAKFLSEREKAIIVDRMRADQ IGIENKTWKWAQFREALLDVKTWLLVLFNLWVSIPNGGLTSFTPLIVNGLGYSSQRAA LLTMPSGILETVSSYLCNGCVFLLVTRLPKYHPRGVIIIIGLIVGMIAAIFLYTLPLT ALHNRLAALYVSYFYLGPYIVSLGFITANTAGHTKKVTVNALVFISYCVSNIIGPQFF KSNQAPLYPLGTGAILGAYVLSIITMCTYMAFCWHENQRRDKLDTAGGELAHQETDFK DLTDKENIHFRYVW LY89DRAFT_610282 MFPNFESFDLKLSSSLTIHGIRSGSGQPLLLLHGFPQTHHIWHK VAPELTSKYTVIAIDLRGYGKSSKLPSDEKDSHKAYAKSTMAEDCVKVMSGLGYEKFW ILSHDRGARIAHKLCVDYPEKVGKLMMLDIAPTLAMFEKTDQEFATKYWHWFFLIQPS PFPENILLNNREELKARFFGGSWSGVKGFMDEKAVEEYISQFTDKAGVHAMCEDYRAA ATIDLVEARSDKEAGRKIKCPVRVLWGKNGVIEKSFDCLGEWRAVCDNEVSGEAVNSG HFIAEEVPNVLLKHVREFFG LY89DRAFT_682054 MRTEITSLTVLAGLFALAFATPARHMTLADRQATLVCASGTPQC CDVDVLGVADLDCETPPTVPTTVANFTSICADVGKIDKCCLIPILEQGLICSDPI LY89DRAFT_682057 MAVFSEFFRLHHPVDGRSNRIVPANGVPVDDQLSLAGVMSGCLR HLPTCSRKTSAAPLHSRTVVGLVRYGRY LY89DRAFT_578765 MSSGILPSFVGALQASVAVLLTIFAGSIASRYNLLKESSSRDIS KTCVRLFLPALLITNVGSELHADTALRYVPVLIWALVYTLSSMALGWTLRRIFPRHFP AWTTPAICFNNTTALPLLLIQSLETAGILNDLLMGENDTSSAALSRAKSYFLVSSMVG NSLTFAIGPKLLDDEECPDEHHDQKKTEHVHSNGRVEGDEEHAHPEHANESTTLLPNR VAERSGILRDQAIEASDKQWLKLPAIMRKALEFAYSFLNAPLIGALIGAFIGLIPPLH KAFFNDPSEGGFFKAWLTASVKNVGELFAALQLVVVGAKLSGSLLKMKKGEASGEVRL IPLFTIFFIRFILWPVISIAVIFLIATKTSLLTDDPILWFVLMLMPTGPPATKLTALA DVSGADENEKMSIAKFVTISYAASPVICFAVVGSLKASLAVK LY89DRAFT_439863 MLLVPVRRDERRDSDHMGPEHNGRSNGLAIVLIGGFVIACLQIG LLSAAKVCPIVRFQLRDFDSVAFSMSGRG LY89DRAFT_578866 MSNPTAVARTAPIAIASRRPRPDSSSNELSNSSHLTGRAQLSGS MSSSASGESGTNNIRSRKERPCDACRRRKSRCVINEGQTTCVLCQFHTQECTFVQSPQ PRKRKLNTEGKEESVAKRRKRRTTESSVSSLGATNSLVEDMANIGGPTLLKRTLGLQN DRYSQYIGPTTDFEPSLIDLSPFDPQDESLLSRGTLRKVSDIDTFLMLPDYNTPGQEH VIDDVDAIEDIVKPHGPALLELYFRIIHPNFPILQKAVFYEKYNRSYREFSPPILAAV YILAINWWDHSDDLANQPRPDLRELERLARVTLADAMYRPKLSTIQAGLLLSQRPEGD QWAPTAQLVAIGQELGLHLDCSNWKIPPWERGLRKRLAWALYMQDKWGSLVHGRPSHI FASNWAVQSLSQNDFPDVEYDESDAEESAEYERGRLLFTQMIILSQILSEVLDTFYTL QAMAAVTQAGAQGTHLVLQLAKPVQLKLKEWFAALPQALRMDSSTAIQNTNRLISTGF LHLAYFATEITLHRRIIRSLAPQASSAAQNNAPALDPYIVHICRSAAKTRLISAMDFV NRLTSSHLRSFWYFASKTNFALIGTFGSLLWATAPGREEADWYRRRLGEYRWTLGVSG KGGGADGGNALLTEFALNMLDTSTSLLKSLPEKPALSRVGSESENLGGTKRQRSIAEG YGYGFNVGGGFAVGGPRGDGSVGSYGAERDGENESIVRSGLASPSTSVSSGGSGLGYD TYLAQPPGFGAPTEEGY LY89DRAFT_682062 MVLQLSAANVADVDEIAAVHLAAFDSNILLHAQFPTSTSLDVLR FYLSQEMLASIQGGRQSGKAVFVVRDTEANDKIVSFAKWDLPGPAAESPNLSVQDITC IEGCNKEYLDQYVSKAEAAKFRVVGNKPCYRLTFVGTLPKYQGRGAGRLLTEWGLEKA KQESMPIYLESTISAARLYRRLGFTALDGLSMSLPGRSSEGGPNVYEEVGMLKTWDEN ADEAFEYWDSSLNITSLYQDYDAGIKPQQVIQAIYDRIDAYKDVQPSVWTHLQPFGDV LRAANELHTRWPNPAQRPPLWGIPFSVKDSIDVAGVPTTIGCPALSTVPNVSAPVFQH CIDAGGLFVGKTNMEQLATGMTGCRSPYGTLHSTFSKMHIVGGSSSGSAVTVSEGLVS FSLGSDTAGSIRVPALFNGILGFKPTKGTVSARGVAPACIHQDCVSFLATSIEDVERI WKVCKGFDKQDFFAKLPSQLLASNGNRQLRLRFGVPPLDALQACSLEYRRLFSQVVET LSKNGAEMADLEWQPFEDANELLYNSTFVLERLTILPDGWFEKNKQLLHPVTRQVFEG ALARNSTAVDVFKDLHKQAKYKRAVENILRIETNAEDGIDELTVMVVPTTPFHPTIEE VAQDPLGINGQLGQFAHFANVLDLVAVAVPCGTYETAELVEGRPLRLPFGVTILAGTG LDAELLKVVAQFEEVLGDLGQDEM LY89DRAFT_730359 MATHTGKIGISILRSEGLRFDAVHWAYKMPSMMAVGLRTEDLLL AVSSETSTNVCFGYLTLKETAVPVPAAYTRHLSYDVSKDSQFNPHVYVETKRMTTESG VTEVQSLKGKELDNDQEEEVSTAATRSSLLIFLRDSRIYIRVLAVLIMIISLALILSA VVMFSKAQRAPGHSLDSVPHPPSGITDSPCIAFSGVAAMNLVLSVALLSLSCMSSKFR KSNNALNAVFAILSAIGFSSSMAACFHLNAQTKLENDLWKWSCSNHKNNVFSDALDFG TVCGVVSYGWKFGLVQASLELLTFVVSCTAFVLTKYAYFARYGRMGKIF LY89DRAFT_771157 MFLRIVLAWTLYIVSYVVVAAPAPAPIDSSPSYKVSGVHTGSKR QAGQIWPARHNINDLVNNIPQWSLFVQAYNQWQQQPEDFFDSYYQIAGIHGRPYYSWN NAPQGPGSPTTGYCTHGSVLFLSWHRPYLALYEQEIAAIIQDIVQTYPASMQPTWQVA ADQFRIPYWDWASSPNMPDVVSQPTVQITNSAGRNQTVTNPLYQYSFQNMPMNQTWFP TNAGDGWLANYPQTMRGVNTKGGPSNPALSNYYLNYFGLQKGIWYALTRNAGMYNNFT TTATQGSSIEAVHNNVHIGIGANYGHMSFLTYSAFDPIFWLHHANVDRLYALWQAMNP DSWITPTTEPYGTFALPPGTPDNENTSLEPFASSGSSPYYTPDTSRMPNIFGYTYPEI PDWSIPASQLTAEVTAQVHTLYNPSNQYRKRADTPGEVTKEVSIHRSKFPRILMIIVY QVQVNKFDLLGTPFSIHLFLGHVPENPQDWLSSPNQVGSTAIFPPPHVDGTPFPEVLA YSEFSLVEALNDKGQDQDDIGAVTEYLKQHLHWKVQKFDGTAVPTDKVPSLVVMVQNE DVTMAKDTTELPTYSNITVVPEITSGQAGGFSR LY89DRAFT_440123 MYFTLGKCTLEMNRERYSSPKFVSSCKSPLKSIKWKNSSTFRRT NPGRRIAKRNSDPPQHFPTQLHHQTQIPLLINHSHNQHSPPQQLLLHLMSPRAVPSTS PTPKLKKMASGNNCSVQLLKPLANSLPSPPTSNFIPRTVRICKMCQETRNPNLLNHLM INTSRPRIEKRGKQYRLSSRGMKPSLTCCAKKKVFLSLLVSARHLGRGLIDTAGVFAR TLFDVRRSLTGGLARASLLMF LY89DRAFT_694995 MSPHNDAPSPAGSFTQSFNMSFRPAAGSGLRVSSSKSNSIFDAP LNDSQYSSSYSSASFDPEDHMARHLVPGIYVPTPCFFDPVTEDLDTATIASHAVRLAQ AGVKGLATQGSNGEAVHLTHSERQTVTSTTRSALDSAGFSHVPIIVGCGAQSVRETVQ YCREAYAVGGDYALVLPPAYYAGLFAPASASVVEFFQTVADQSPIPILIYNYPGAVSG MDLSSDVIIQLSAHPNIVGVKLTCGNTGKLNRIVAATKSAKPQSSSTAPPFLVLGGSA DFTMQSLIGGGHGILAGLANISPKACIEIMRLYREGNFAEAQAVQEIVARGDWTAIQG GIVSTKAGMESWLGYGGYGRSPLPRPTSEQSAKWKEGFRELFTLEKSL LY89DRAFT_682069 MASPPPTRMTLLDTASSLPGLNGTTDTIILTKDDAPYESDDSEL SIPNVDDEASPASNHQSEFGAQGAQDTEASDSSDAEAQDQSEDADFDMEEDSPAPVTN GRREQSSSSTESRRPTKRKLDLEEEHMRANPELYGLRRSAREVQHRPIIESDDDNDDS ESDVVPAHRTKRRKQEPSQPSSKRDTPVLRSVSDSDSDDTDTYGGSRARTLTKKHRRR QLESGNLAPAYQEKRWNSRRAAQVTAGAYQESEAEFEDESEMMTPNYWAGETEDTTPY IDVVLKHRLKQGKDMKTDDPTRDDFEYYIKWQGKSHYHATWETTTSLTGMRGFRRLEN YFRKIVQEDIYMARGDDIPPEEKEKWMLDRERDADALIDYTKVERVIGTRDGEDETEY FVKWKGLYYESCTWEVASLVSELSQDAIDRFLDRSSRTLSSDNKESNPNSRGPHVFIR EQPSYIKNGQLRDFQIKGLNFLAYNWTKNKNVILADEMGLGKTVQTVAFMNWLRHDRR QEGPFLVVVPLTTIPAWADTFDHWAPDINYIIYNGKEASRSIIREYELLVDGNVKRPK FNVLLTSYEYILTDATFLAQIKWQFMAVDEAHRLKNRESQLYQKLLDFKAPSRLLITG TPVQNTLGELSALMDFLMPGELDIEENMDLTEEAAGEKIAALTNKIQPYILRRTKQKV ENDLPPKSEKIIRVELSDVQLDYYKNILTRNYAALNEGSKGQKQSLLNIMMELKKASN HPYMFPNAEEKILKGSERREDQLKGLIASSGKMMLLDQLLTKLKRDNHRVLIFSQMVK MLDILGDYLQLRGYQFQRLDGTVAAGPRRMAIDHFNAEDSNDFCFLLSTRAGGLGINL MTADTVIIFDSDWNPQADLQAMARAHRIGQKKPVSIYRLVSKETVEEEVLERARNKLM LEFITIQRGVTDKEKKELREKAVKAGKIDDPKSSEDISRILKRRGQKMFEQSGNQKKL EELDIDSVLENAEEHKTEVPEGMVADGGEDFLRSFEYTDVKIDLEWDDIIPKDQLAGI KAEEEKRAHEEYLARVVEENAPRKAAMRNTAEIEREQRLAKKRERDQAKQDELDEKRE AQANRADPNRELNEKEARNLFRAYFRYGSLDERKEELIKDARLVGRNIEILRSAIRAI TDESEKLLKADNERIEALERETNKPLTKKDKKAVLFDFLGVKRLNAETIIERPGEMRM LKEIIPSASEFKNFRVPDASKAAHYSCEWGAKEDGMLLVGIHRHGYGAWVQIRDDPDL GLKEKFFLEEHRVDKKEERNNGNEKVAKAPGAVHLVRRADYLLSVLKAKYSDNQAAKR AVENHHRNNKKHERTNGHRRSETRGSVSASPAPPVKKHHRQPEHRSRDRERDRADSHS RAGPERSTPKPDLKRKHSAPDDDRPHSKNHKSENGHSKSTHQQDSMLRVIFRPVKDSL KRIQSATKDKITSRKERANLMKVELVTLGNFINDLTKEEGNALEDQFWEYVAGFWPPV EGKPLAGQRLIAMYKKITNPETAVADGASTSATPVVNGTSTPAAKVKT LY89DRAFT_440274 MFDEPSSYLDVKQRLSAAKVIRSLLRPDDYVICVEHDLSVLDYL SDFICVLYGRPAVYGVVTLPASVREGINIFLDGNIPTENLRFREESLTFRIAEAADEF MVDRTRAFKYPSMEKTLGEFHLGIESGDFTDSEIIVMMGENGTGKTTFCKLLAGALNP DGNQKVPGMKVSMKPQKITPKFEGTVRQLFFKKIKTAFLLPQFQTDVVKPLKLEEFID QEVKTLSGGELQRVAIVLALGLPADIYLIDEPSAYLDSEQRIIAARVIKRFIMHSKKT AFIVEHDFIMATYLADRVIVFDGEPGIDARANAPESLLTGCNKFLKNLDVTFRRDPTN YRPRINKLNSQLDQEQKLGGNYFFLEEDKAATAAPPS LY89DRAFT_639737 MNVMNGMNGHAAAPALWSEARNADGRVYYYNTITKATQWTKPDE LMTAAERALANQPWKEYTAEGGRKYWYNTESKQSVWEMPEVLKEALAKETPTPTPVAA APTFVAGGGFGASQFDQPRDREPLGEARQIAYGNDANGSRAQVFVPANTDPEYSTFEE AEAAFLKLLRRNNVDPNWTWEQTMRAIIKDPQYRALKDPKDRKAAFEKYAVEVRIQEK DRAKERIEKLRKDFATMLRSHPEIKHYTRWRTARPIIAAEAIFRSSNDDDERRQLFED YIIELKKAYIEREVVTRRAAMDDLVEILKSLDLEPYTRWSEAQGIIQSNQRFQGDQKF KSLTKSDMLTAFENHIKSLEKTFNDARQQQKNQKSRRERQNRDRFLALLQELKTGNKI KAGTKWSQIHPLIVEDDRYKSMLGQSGSTPLDLFWDMVEEEERSLRSTRNDVLDVLDD KRFEIQQKTSFEEFLALMQNDRRTANIDRDALALIFERLHEKVSRRNEDDKHQAERQQ RRAIDALRSYIKHLEPPIRIEDTYEKIRPRIEKSEEYTAVSTEEFRRSAFDKVIRRLK EKEEDAEKDRLKRRERASVDRPNHRDRDRGERPHRTGGRHTRSSRSPEPDAYEADRRK AIADREKNYRKSSVAETLLSPGRRDARGDRDRDRDDRDLDRPHRSRREEAVSHYDRER RDRENEREKLYRRRGDPRGSIDELPYGDERPSGGSRRRRADSDVESAGSTRIIKRSRR ESPRERSPPRERHHRTRTPPAAVLPPKEEPAVHSGSEEGEIEEE LY89DRAFT_440277 MMEETEVEEPRPRGSLEFVESSILGTVIPSTSDLNIEEALSGSV ERLDEGNDSPLAAIPQRHALYFDETVNVYIVLQTPYFDERTLRSYLGRLDIHLEAQVV NAHSDNPESSPGQEVIYNGSIQDGEDPIVVVQGPDESLRNPTEGHILVVWKMSAFLIR PRLRLQNPSVVFAATAHLRPAEQIHNATLKEEYLPSQVPSGINLLEAFTDDPAMGGIK PRLSALRVARVAPAPQSTRDMMRPLKNISRQSIKVYPAVNARVRYSRPNTTPTNAAVI ASVDVDITAFANCEITLTKVDLSISGGSVEDLNAVSGLVLPIATLPQDDITFMYRLLP DDLDATNKSQVRALEISISAIAKLSDVCQPQISMHWTTSLDFTPPVNPGFGTPTQPIQ RPHRPAQLSIGSAFDTPTATSLAITRPDALPSVDITTRQQRNSAIPDFGVTMTFTGPG NDDPIYPGVPFVWSVFIVNRSDRPRKLALMVIPKRRRTEARITRPPSTGYGGKRDPKV ADAVVDENIVYAMQKNSVIDQTEIVCLSTDPRVGPLAPSACYEVELKFMALRAGIVGI EAVRVVDLGTQEHVDIKDLPSILVSKLET LY89DRAFT_682073 MAPETFTLSECTKEDVPAMIQVYLSSFASDYFGQFVFPKATISD EERHRWLTYRFTTLFTKREVRSFKITENSTGKMAAFLRWTFPIVLTEEEQLERKKEKA EKERVMKETGHDPTWPRGANLALTEEKFGGLERMMDKYVDKKEMYVANLLGTDPAYQR KGLASRLLKHVLDMADREGRKVYIEATPAGHPVYLKLGFKDVDIVKVDLSRWGGKEIG TNRIMIRDPEPAS LY89DRAFT_639748 MHVQTGTATMAYSTVPLPASPTLTNPDMILPYGEYDSTPSPPRG TYRSGSPNEDWETNPATMQFSIGSSHSHMGLMTPTTPIIYGNGTMLSDIGEVTEAEST PGRKLPGPAERRMLKQQQQQNQPLRSSPTIGYDAVMKRTKTGTHQRKISIESTSTITS EAQHGELFKDFDDSASVDDSVFQGDDEESVADSYTEEVIASETKRLNQQDNNIGKDED RNSSAALSRRAEQILLNAKKRLNNMEGNLTRARSSLYITPTGSMSSIHSSSPLSRSTP SPPQNEPRLITSLGVAPSKHRQLNTPSDSPTNSPGHSRVYSETSISSPLRPVAFPVRS ASAAARYIGRSGLDASQPSPPLSYTPEGTHEESRTGSRSGFTSSLSKASPPHGTILEP LSEDDASPDFEADRASMRSALDDQLGPSGEHRGLTRSASSMQMRDLRDQMQDLKGRLS VLRDRARDDTMKRRSLQSLRTPSPFTAAEQWYAGAKNYGNSPPLSADAGVAQPPWNEP EREKDGGKERELKESAPIAPGYANSDVTSVYEDVIETHASDGMTGREPPIQEEDYDTA AEGEQPQDFEEDFVDEYNDEMVNREEADDYESDASLYHDTVATQISHEDREDAFDYEH FFLHSAMGTISQQRNGRRDSVGSYSSEDSVETTRGPTTSSTAKVNERTSLGHLRSGST DSISTMATFATATEGNGSENGEDGNADFSVQEVTAPEVRTSTPVTAKRTTFGSAVNGA SDNNQVSTQVVRRHSTGHDEQQRIVSAAHRPSVASFESFQSTGTTRSFPLVNKPKIQQ PTSSDPDLRLKEHEASRLSDSTTLTEVNSSRERLEPSPVHMLAKDDQILVERLVASLG KCVLGLQEAGRGSYDGRVWRRRLDAARRVLEGQEGAV LY89DRAFT_695003 MLKKKTNAAAKRKRKDKLVMKSESERIFTGKTFLFIPNDDIEPF RRARIMDVRSRGGVWTQEWTPDITHVVVDKTLKLEQVMSFLKPMMKSDSLPSNVIMVN EEYPPDCVVNGILVDETQGRYAVKKMKAPEEQEPQSTKPPPTLSIQKPKSKKKDHETP EERTQRSEPSTQPLHSGVPNSSFSGRLPPTDESLVESPRERSPILDNYDGISSVLDEF IKQARDTKDLPLDDEDEEVDRPSSSERDPDQEGSSEEDHRPPTKKKKKKSKKSTGSGQ KGLDQDKYSCMHAGEVIEDNLNSDTIDILTRLADYYDRVKDQWRALAYKRASGTLRTQ STKIRTYEEAIDLLFIGESIAKKIEEIVVTGRLRKLEYAELEPDDLVLQLFLKIYGVG PSEASKFIQAGHKTLEDLRTKARLTEGQEIGLEHYDDFNTRIPRDEMTALGVIVKETA KAIDPDVELIIGGSYRRGASTSGDIDFIVTKPNTDNSRDLLPFLYKLVDELTRKEFLT AALAVPGHREGSGSKWHGACVLPGNPIWRRIDFLLVPETEIGAALIYFTGDDIFNRSI RLLAGHKGYSLNQRGLYRDVLRGPGRKKLTDGELVEGSDERRIFEILGIPWRRPEHRI LQ LY89DRAFT_682078 MEAPTPQSMFTKFPELPIELRLQIWGLASQVTRALELEYCRADR QFFVSQHVPSVLHTNSESREVGLSHYHLSFGTEKHPPATYFNPIYDIVYFGTRQNLMD ISFMVKHFYKVAKDLQPRDQIQRLGITETLWASCSNDSSPVFSIMLPRDQPPRSSIST FLSAFPQLRQLIFVCRPQEEPDWESEIEDKWEDYSGVSLIESEDNHEDLLTDERFDLR KFHTHLSERNLATPEDPGPSLKFMEYGFA LY89DRAFT_779106 MNEILRFRRVATTAIHASVKSIFMVVLILCVVYPTTTVLSFTRA LSAEANLPSVDSNYEQHKDVHSVNVDMYKGKNILAAQAKMMVELVPHARRDTESTFAD YDRDTKHGKHGKHGRSGSEESNNAPVLELVPHVRRDTESIINDYLIASKHGKHGRSGF ENSNNTPVLEHVRVPDAARDKIPRNPTDLKTRVDHHEGIAASSDIYVRIAQASGGGWG RQKPKPKPKPKDKNPPPEAIVKKDNSEHRPRTKLDEDTRESSRAIPKRGGVRNCDGGR RKCIHRPFFGPPAAAVMAREDKAKHAAPHLDDCSKGNHSPSCIDKGNNLLAMNLPVAL HETGSEIPSVMSRKIPCHRCSPIGSGVGDHSTEAYEARGYRSNPTMRERQEAVSDLSS GTSSETQKTNNSAIADVMSYLQSHNSTMMSAGNSTNNATLPDNGNNKSEDNATRGVFY IVLSVAGFCMVLLGLIYLRHACIQRRRWKSLAERKTTYPYKPEASQIESLPRTRLHGS SRMKRIDEEVAEEPASTQPMALDGASDGWTRWLLQKRDTRKPIQMSPTPKIRPPRIPT LRLPQPTFSTVRKANGIKTETSMGHDTFKAKGRDGSKTKGTSMV LY89DRAFT_578617 MPRSGPSAADTPLLRVSRPVSACSRCRAAKIKCDGKLPACTACE KSGRAGECSSANDQFAKGKERSYVASLESRVEKLEKRLAYARLRKASVAMHDNDDPVE APDRKDSLATIRAAIHGKAARRREAADVNELVSDFGFLSVNATTRDFETSTSNMTFAR LVLAATNNEGVPKSQPFQLPSRPAAMALVQFYLDNVFALFPVFSETALFNALDAVYQE NGRPVTDFEHWLLYMVLAIGANGQSRSSKDAYYADGVLWVGRALRYADRVLMPGYVSQ IQALLLLVQYSMLDPAHFDSWQLIGFACRAVVDLGFHQDPPKEQVSDKNTLELRRKIF YCVYSLDRMVHARSFSFTDDSTSVTFPQAPATVTATTSTGNPVQGPHSMETALLLFQL RQLQSSWYQELFQSSRDPLQNSSTYIWQMCQEMREWSESFPDSLPLAFKDFFDLELLY SYVYCLAPSCRVQAPSAHGKTLIFEYSIAYMQKIFPISRDPINTAFYTYHDALRVYFI GGQFLAVLGDNQDQLLNGITQFPVAVAGSPPPPPLPSNAGMDSIDRSINCITHIKETL KTFGHRWDDSQALLTNFELQAAPLSAALLRRKHHIDDFSRNSHSPPNYMPQPSFDAVG NIIADDWSNMGSGYVHTNVLSGGSGTGQHGV LY89DRAFT_578941 MDAADLFRQAQSSVNRAREAKTSRARESSHESDDHSPRIAHTLT ACCRCRQRKTRCDPNLPRCLPCERAGAICEYFDTTKGKKISRTYVIRLQDKVRALEAE LGQYTEEEGFPQNTEDIVRPGGLVRLDEDDETPRFLGPSSGIAMTRLVMEEAKKYTDS RSIRELVPEVRQRRTPVQSPEAATRKKSYPMISAVPATTLPSRLVTDKLVEVFNQKAQ YLTPTLHEPTFAKDMQEVYDGSTDPYKNFVVRMMLAISMQKLDPQYAGLADSYYLAAM GYMEDVIRPKDMRTLQCLVLIAQYSLLTPTRTAIYYIVGLATRLCQQLGLTEEKTIAS GVVQIDPLQLDMRRRLSWIILSMEFGLAHSMGRPNAFATGQDHVDVGFFEPIDDEYIT AEGILPGPVSEKKTVTIHFLRMRLLQAEIRRVLYQKKRPEPRSEEHPWYAQMELKLKD WVDDAPQNPPWSKPWFIGRYNTMVVMLLRPSPQVPKPSIRSAIMCYDAASTNIKSTSK QMASAMVDITWIFVLTIFQAVNTVLWSISYSEVRALHTKEELEEHIEIALDVILKCRE RWPGTSAASDLYATLAKACLKSYDTRESSQAPSSLSATSPALTDANSPSASEHSSATT GSLAYSQNAYHSPPQNAYHSPPQFGYVFDQMPEPVSNYEFASSLPPPQPSFRSNSIFA SPSSMQTDRRFSYFPPDFTQPQALPNAWNPMAAGQSQVLAPPPSSAQMQQQQPAITDP SYFIQPTPYTFGAHMFGDEHFDTEMRQGSLSQEQQLELMQSLETEGLNEIDTFMSLSA GYENSIKP LY89DRAFT_682081 MGKVRNDDPSKLTDFQVLSFDVYSTLIDEPGGMFIGLQPLLSRF PSGNPYQNNRTYTLSHFQKYEKELQTSQPSLRYNELLPLAYKAFAASLNLPEPSQDEA LSFGASIGSWPAFPDTVAALQSLKKRYKLVMLSNIDNDSIARTISGPLAGVDFDLVLT AQEIGSYKPDLRNFEYLVRRIKEELGIEKERILHTAQSLSCDQVPAKQMGMSSVWIDR EGQDEKVRMLKEKGEVNFTWRFESMGEMAEAVEKET LY89DRAFT_639762 MAKKKSKGQNEGENTESAIPPSPSPTPSTSKPKQAKLPQPSTSA LIICRNKHWRYISSFHGPWLQLPPEVLESLANNNYNLPRPRPIDPAVFFDLLKIRQLV DDATNLAVRAASGVTSSSLNNSLNAGNGLLGNSGAALGLGLGGGGGNAKLSRERKHRM REQATQKLSKAYHLDEIACSVATMQSASTLEDVAQLVLQRSPLDADAKYVHFFHEKIP SRQLAECTSLQPLDEVIAERPSEGEILRTRAVTKIFKEDFVGAAQDLTTALQVCRYYQ GQHKAGRQQLQLASEAAAEAQRNSRWKEEIKLDEEDHPSSLETQLLFHRAGVYLTIAC QHVNDALPTKPSQNGLNGVKPHPNGNADQAGDPTEMTPAEKEAHRKRLEARKIVKTNA KRALRDYVAYLSHFDYTPGLPAEITEEFVRKVNQAANGFKIPRPQNYSRNLDLESNAS LSNGQLSDALIPHNGSHNSRGRPQSGTGRNTEIPSLPPPVVHQMSALFSATPPADLPP YPETSTSLTTKQQMQAAQSDAAKAILAQTDCHEALTYHPLLTDALHSLLLCHCLVQTS ARELQRHAHMVARLARVCDGYPIFQAARSPSRADWIEVVRRADNWIQLEQSWESLCAP APLPGQAAPNQQKETPAEKRERLKQQAIMEALEDDRVHDEASFHAAVAARQRRQEEEA RKAEGREMPKRWAQEDGKEYPISTERAQAIVRWIREAPLGSEAGGPRKRKKSTKPGAK GKGKTGLEESMTGLDVEDSEKFEPVEEEVD LY89DRAFT_440650 MSSPGQPIEAINRPDELAIQSSTAEGNADHAPSTRAEQGATLVG FDGTENATSTMLDRHDNEAAERPSADKTSVDNNEEELLKGTKSNGDQPQAIGEETGIV YDHMESSTATLKPDPVSAMKTSTQQHLSPEDLVYRDPTPKTPTSSQPPSRSTSSAAHN PHSRTADGLERSPTRSDAGFDEKASTSEDEREYNSRSEIQSIMDQFSEEGQGPGHEEV MSPRLEFAGPLLGSPIQHPPRKSSLEPLNSGPGSATQSMQDLRISSHTSANFAVRDPS DVGPTVPPKPGSIRSLGQSRVADDRLQSVDSPMSPPLHRPPPPEPEPEPDLPFDFHRF LEQLRHRTADPVAKFLRSFLQEFGKRQWMVHEQVKIIGDFLAFITNKMAQCEVWREVS DAEFDNAREGMEKLVMNRLYTQTFSPAIPPPQPLPGSKGKRRAGERQMGPGRKGQHQE DVERDEVLAQKVSIYGWIREEHLDIPPVADSGKRFLILAQQELLKIKTYRAPRDKIIC VLNCCKVIFGLLKHSKADSSADSFMPLLIYVVLQANPEHLVSNVQYILRFRNQEKLSG EAGYYLSSLVGLGAVQFIEGLDRTTLTISDAEFEKNVEAAVSAIAEKHQADTTENPTS AKFSEKSTLARPEVTPRHSMEGESSNPRKSTSSNEYESGDGLDEKAAMTGLLRTIQRP LSSIGRIFSEEPSSTQTGPARTPLPGNTPRMSPSPRVSSEAPQGLPRIPNNHDTSTRS RMSAEDAAARQASAEAAEAHRIQRAEHANVVETLAGMFPDLDRDIISDVVTQKDGRVG LAVDACLALSS LY89DRAFT_440735 MCGIYASISTQIFRAPNELLKQLLCNRGPDHIGEAQARVDGKGG TSFWISLVSTVLALRGGHVTAQPFLDPSSNSALCWNGEAWKIGLDTISGNDGQAVFDL LIKASSDHVSAPDSTAAVLRVLRSISGPFAFVYLDTYHNQLFFGRDRLGRRSLLHRSD DDTNVQFSSIADTTSGPWQEVEADAVYRYSLDTVNSREKHKTSSYDPFQASFAAIHKH VWACGSEPCPSVTIGTFNRAIPAEDFVLDEQTASVQLLRYHLSESLKLRILNIPKPPE HDSTKPVSIAVLFSGGLDCTVLARMAHDILPLNEQIDLVNVAFENPRVVRAAQKAALL EDKPPKDSQGNQSTPMTTAEISVPSFSPFEACPDRETGWKAFRELQTVCPSRTWRFIA VSPPYKETLEYKDRIIQIIHPHNTEMDLSIAYALYFASRGLGTASTISRLEPEPYKTP ARVLLSGLGADELFGGYTRHATAFNRTGFTGLLDELKLDVDRLGKRNLGRDDRVISSW AKEARFPYLDEALVKWAVECPVSQKCGFRINAQPSQQDSKLEPGKKILRLLAYELGMH SVAMEKKRAIQFGARTAKMEVGKTKGTTLIS LY89DRAFT_440606 MEVDGSARFAPNGVVLERSTLHQQSCCPGIINRVSQLRPSLLCL PSLYPADKRCAGRRDQPCSCCNRCNNAGLAGATCTVRMFEMLQETRQRSAVQYDADAL LGSYGMNGWMVGRWPALPHEEPAGGSLAQELRPQPGCQDWTVQDRTEPETGPNRPDAR RTTARSRSRSSALCPGRAKRRTTEGFLTTADNDWRAELAGWLSRKKDGDDDCDWDWNR DRKRGLGDVRQKRAVMSLTPELDLELELEPAAAAAGDPSEGCFYGSAVDWARVEETSK LY89DRAFT_440531 MYSAQTQNGLGEGTINPAALNSSVLSNQSATITPDTSPRGIKRS HSPDTYGDLPPGENLGEDGDSKPRKRGRPMKSTRVSGTVPESPNQPSMPLSQVVPPQT PQSQTAPLPSQLSNITPTQVSPPKTTPTKTVIKALPTVRDHTTDQLGPEGDEYIPREY DEAGEKKVTATGQLLDGRDYRCRTFFVPNRGDKLFMLATECARVLGYRDSYLLFNKNR SLYKIIATQAEKEDLIHQEILPFSYRSRQIAIVTARSMYRQFGSRVIVNGRRVRDDYW ETKARKQGFTEEDLAGEKRPGAAKARDAAAAEASATASLTLGHHGDIVYSNNPGHFGV HPQPQTVQPGMIGAPGGATTLPMITLAPEQTDMRLRDYSNIQRPRQEITGPAYQDRTQ ASPPTELLTQAHQAAEYNKQITMQRARSQEYLERRWRQPHEAPPSNIAPQPIGTNDGL PSTQALQSPRTSQSSTQQPTQSQQTSQHILAAQSYSQAPHPQNPIAQSPMRGVPQSSM RPDQIGRSPGLSLGAAGLGQGGNPYGFSQQNTMWASSQPQQSPQHFPGYGSQPSPHIQ QSPHQAPPQLRQQGSNPQMQPALQYPGMPGMTQGYGGPGRGMYPDQNSQQFMQPPTSG PPGPQGWAGQQPTGAGANWGWTGQPQ LY89DRAFT_639775 MRLHTLLCLALPVVSAIPTADNSQAAFFYRGHDLSSLLTLEEGG ATYKDTERNNETRPAEAILGDGGMNSVRLRIWVNPVGGTNGLEYTLDLAKRFQSEGYK IYLDFHFSDSWADPNKQPPPAAWPTTLEPLAATLRTYVKDTLVSFKEAGITLDIVALG NEIRHGILWPLGYADVDVEPWPKTVANFSNLAYLWKSARAGVDDAIYAGVPKPQVMIH IDDGWNLTLQQRWFGAMIENGVPTTAWDVFGFSFYPFYGTAATFDNLRTTLNTLALEY RKPLQVVETDYPAICNGEYNPIPESSEPEIPYSVPGQLEWTEDVISIVKQVPFGLGQG VHYWEPAWLNNTSLGSDCNDAILFDADYSNWPQTIGYSRKSVNLFK LY89DRAFT_730380 MVFFEVERRKLMRSVNSKYIYGRVPLVHTIIFLIEMAILSRLAA KFNSYYNDRPILTMMITNAVLGGVADTVAQSITAIRLAALRKPGGINKDDTMAIEIHE LDKSNPLLDRDLIPDSKALPPPFDFERLTRFMAYGFGMAPVQFTWFKFLSKAFPTTKT SGLGPALKMVAMDQLIFAPFGIACFFTIMTIAEGGGRRAVTHKLRDMYIPTLKANFMV WPLVQIINFRLMPIQFQLPFVSSVGIAWTAYLSLSNAAEEGEARSAPQSPNIRLL LY89DRAFT_682088 MSLSNKLSITDVDLKGKRVLIRVDFNVPLDADKKVTNPQRIAGA VPTIKYAIDHGAKAVILMSHLGRPDGKVSAKYSLKPVLPELEKLLGKSVEMAPDCVGP EVEAIVNKASGGQVILLENLRFHAEEEGSSKDADGKKVKADKAKVEEFRKGLTALGDI YISKFSDGPLLQGIANYATR LY89DRAFT_682090 MVGVDLPQKASGFLMKKELEYFAKALENPQRPFLAILGGAKVSD KIQLIDNLLGKVNSLIICGGMAFTFKKTLENVKIGNSLFDEAGSKTVGDLVEKAKKNN VELVLPVDYITADKFDKDAKTGTATDAEGIPDGWMGLDCGPKSIELYKDAISKAKTIL WNGPPGVFEFDAFAKGTKATLDAAVDAAQNGKIVIIGGGDTATVAAKYGVEDKLSHVS TGGGASLELLEGKELPGVTALSSK LY89DRAFT_695016 MSAAPAAGAALTTKATTTDKSAESTKDAKPAAALEEDDEFEDFP VENWTQEEAEVPGDNTHLWEESWDDDDTNEDFSAQLKEELKKVEAGKKR LY89DRAFT_639788 MSRWFRGVAKSNAPSMIAEEEDQHLHQVEEALLRLLNDDIAEAD RILKQQDSSYHHLGRGISSFIASMLGVEKELLKDAAATLQVAETKTWEDMKKAQREPT AFQSHIYPPGTEYLLCFAISQLTSAICAVLSGSVTEAVKAFYKLRKSYLTLDGIMEIE ANYLKQQAASPGLRTSGHLTNHLAVNTPPASSHGTSIGEKPELGAGDEKASLRAPSMH SNATEPDLHVLPDPSSSIPATRVESKLLDIDPASVGITNHTDIFIHSGTRLCYGILLV VFSMIENPLFSKILYIVGFKGDRERGTRYLWQAARFNNFNSAIAGITLLGYYNGLVGF CDILPTDAGAADDLSAYPRAKCNVLLADMRRRYPESKLWKMEEARMHAYNRNLEAAVK ILAENSDSSMKQIATINMFEMSLSSMFLHEYELCAKSWIQCSELSAWSPTLYAYMTGG AYLELYRNLRLSDPKAAGVYKEKATEYFRKGPPMAGRQKVMSKQLPFDVYITRKVQKW EERAKAWEVDLADAIGVSPLAEMVYFWGGPKKQGTAQLQKSLDILQWERTSHPEKLQG DLDETAIQAVLRAASLKNMGKYDEAREVLKAEVLKHDRNEFKGHLKDDWTCPSAHYEM ACLAWNEKDLEGADPKAKVVECEEWLEKTQKWEQYILDTRMSVKITTSLLTIKRHKRI MGM LY89DRAFT_610365 MSTSSGTPESWISSFCSLLGHEYFAEISEDFIEDDFNLTGLQGQ VSMYKEALEMILDVEPEEDEDEEEDEEEEDGDDSVEGEERASRRGAVDRRHHRMASDL SIIESSAEMLYGLIHQRFICSRVGIQQMSEKYEAGHFGVCPRYYCNGVRSLPVGLSDV PGEDTVKLYCPACMDVYVPPNSRFQTVDGAFFGRTFGALFLLTFPDYDLAKKGHETIR NPDFPSPRPVQLNAPDGEEKENINGMNASNLAPGLGKGSMYEPKIYGFKVSERARSGP RMGWLRDKPSDVNELDEARRWAAEHPDTSDEEGASTNARPPRPPRRIRRPNNGSPMSV EANGQGL LY89DRAFT_441218 MDISTMDQGLLRRNPSLTPEEFYHHWLHKHAPIVVPFFLHSGAQ HYEQIHGPLIPSNTASTPSVDISTYDGAAGMPPQSILDNPAPMPKWKQDYYQEVILAD ERRFLVSEALDHIYRVPPGTVKGERKVVIDQGKCLIEVSDEIWKVWKEYEARGVAEKE GGK LY89DRAFT_578660 MYGSSIRKDNGYDAGSYTYYPVAILGAGESGIAMGCRLKEVLGS DQFRIFDRQSGIGGTWWINRYPGVACDVPAIFYSFSFSPNTKWTTFYPPGPEIVKYLQ GVCEKYNIVDKIQLNTDVRECRWLESEGVWEITMEHLMTGFGDLSEYDRAAKVRENGR ESVYVSEEIVRAKVIVSCVGGLVEPQIWPSKVPGKDKFQGEIFHSARWKYDVDLKGKD VIVLGTGCSAAQFVPRLTTEYGAKSVTQLMRSPPWVVPKTIPPLGNEGWEKWSPFLNT YIPGFNKTIRLLIAAGAEYDWRLFGSEDYHEKERQKLEKRLLARMKSLVPPKYHEILT PDYGVGCKRRIFDSKWFESMNDPAIELTTLPLTSIGENTVTLGPGRTFPNPADTKSSA PSHEVTIPADVIILANGFQTTQWLHPLDITGRGGKKLHDVFAERGGPQMYMGTALDGF PNFFAIFGPNTATGHSSVIMASENMINLSLKFIRPILKGDVALAEIKKEAELEWARDT QAALKKRVWNTGGCVNWYQNEVGWNSTTYPYTQIWFAFRCMFPTWSDWDIRYTTKGRA KRNTMRVVSILALVAAISGILRLRQAAQGRGVSGLVADVSRGLLLRGSSWLQSASSKV LY89DRAFT_579487 LYIAQAQLADLPLALQADLPTPRLVTEAGKGDIYDANLWMGIPP TYTPLHKDPNPNLFVQLASRKRVRLFSPRIGMEIFRAVQMRIGRSGEGSLRGDEMMEG PERGELERAVWGEGGGDGGFEGLVEAGDALFIPRGWWHSVKSEAEGVNASVNWWFR LY89DRAFT_730388 MYSDRPVGRHPSLPEHLSRYPSISPQNLPTELIRRTSSDDDGRS AKRPRASKPKVKSGCITCKARRVKCDELKPACLRCQKFGRVCDGYLPEPSQSRGLIQL QPRIPSVTLYGPNTAIHTTEEESRYFQTFSEHTAYELSGYFDSAFWTRIVLQESHNVP AIRHAVVALGALTKSLETAPGPGLKVNVIQATNARHHEHAVLSHLRAIQELNQYISSE KSPQLRYALISCLLFVCFETFQGSYASSVQQTYGGLKILRSYYMGRPGSRPWIPRRTI PESSRPRGQPIAAAKILQTREGCDNISKERSIVRHVEEYLENENAPDMASGGKIATYD TEPHILMSPVYAVYDPRAKHVDIPGMRTSDFRKDEHSAQDHYSNNQSSRSRSAESPSS TQHLAKQSHRPQLTLQQASSSTVSSVVSTPTPHTPLSTGAPSPSPSSASSTQVISANR KRPLASRSPTPNPLHNDLTIEEILIQSFVRLDGQGLFFGMTPGIPPLIWDIHKVWHLP IPASFPDFPAAQQCWDFLMDRALQFYRRTLFNRAYAPAASDSPERITSQYTEYIQQLS AFDKAFKPILDQAIDAEGTVNNASALVLSLYQRCTRIILATIQSDSEMLYDAFLPEFQ YITRICSRLVACQDPLQLPKNRRFSFDTGIIPPLHVVATKCRDPIVRREAIEILFSSP RQEGMWDGVLTARIGRWIAQCEEEGLPPPPIPSEKENGQQKQGSRSQEMQSSYPSPPH MAGEGWENVRRISEVVMSEYIGRGITEYGPAAEGGKLLNSAGIRGQIAPRKQSTAEDK PPERPMSKDWIVPEENRLQLMVVDFHMPDRYIRVKCQRVLLSKGGTKVERETVIAW LY89DRAFT_441296 MADPSRDTYESPLKGYENASPLPDEKAADGKSYLNHQTGVLSKS YERFTDPLDNGIRGGFDIHIYYFHKNPTQLQFAKDLWKRIRLEFPELRIYRFWEEPIG PHPLAMFEVNLFTPAQFGAFIPWLVINRGPLSALIHPNCVDPETGAHEDEYRDHTQRA TWMGNPVALDLQPFQKREAEREKKRALEKKQDNA LY89DRAFT_441297 MPESDTEETLDLFTEPSDYYPPSPKPTTQTHTLLSGRVLKLRLV GHNPLWGHHLWQAGRIVSTYLERNPGLIEGKTVLELGAGAGLPSLVCAGLGARRVVVT DYPDVELVENLRVNVDAFYDEEEGKKRKMVVEGYCWGNDTRELLAHLPAGEVGFEVLI LADLLFNHSEHGKLVDTVRQTLRKDKKAKALVFFTPYRPWLFEKDMAFFELVKEEGFE VEKVLEEKMDKVMFEEDRGDEELRRTVFGYVVRWKL LY89DRAFT_730391 MTKYDYFVDPKGISRKRHKSSGRARQEGPFSQQEDSQHSNYGSS RRSQGSGGITRRSGSRLESLFVGSQEKDISAGRRASGSRHEFLFAGSPERDIGAGGRA SESHVRESMLAAPLHRSGSHVSGSGSQRERVSTHGITLPGGSQLLRTSQRMQSAAAVS QQRASEIVASQMRASQIRDSQIRASQIAASHIRASQIRDSQIRAS LY89DRAFT_578582 MPLINGLKMACEPCIRGHRSTKCTHANERLMVPVRKPGRPLSAC PHPRGQTCGCGSVTAAIPRKQTCHCGTPAQGAAQVVPRPANGTSDLPSPSKPSFKVQK TSRPPSSRKQSFDPSNLERMDMNHVNIQPFEQRSQTVPTTFTNGYTMANPAPVYGFTP QYANIQPQFGMVPMQPPPNRNGIDGSNGHMPSTNGYSNGVHHNGLEHVIESPLAKPVT FKSGDSGKFTNEQSYTEPAKSGPNGNVISNGGSCCAPKQGTHSHSSSSSSISETRETQ GGGCCGSKADKPIFKEETMSNGTSAKNTPHLAHQTLPQNGLPFNPVMYQQFVSQPTIF TYPPSYGSWQNPLQPSAWRDGMRSNYAQGEIPLPPNEMPFNTPIMPASLDTVHTCSCG DTCQCIGCAAHPYNDATQDYVRSAYASMSIEKASSEVYSNGQPAIHDGTNGHDATQGQ NIDPVASPTAQTPSSTTSGNADDQNLSADNFLFVNYPFTGEGCGGTMQECPCGDDCEC IGCTIHGNQIQNIPMPCCGSQDDCPCGDDCQCIGCEIHKNTSGS LY89DRAFT_682096 MSRIQQRRRLAGLAIVLVVIAAFFLWQDEPVTWSSFPAVTESPA IQKDAVEPQKPLKVSTTISDPVPKVTKGPTKAPTDLGELPNPETTRWQDAEFPAASPT SAPTKTCIKYEQLQRLKQEPLSEGWRQFPYSRPSPECRTFNLPAMEKLIEKMRGIIKD PDLFRLFENSYPNTLDTMIKWRGYAEVVDESTGNETTTDEELTYVITGDIDAMWLRDS ASQIYSYLPLLEASTEPDSLASVWRGLINLHARYIIISPYCHSFQPPPESGVPPTHNG AYSQNHPNPPYNPKLVFDCKWELDSLASFLQVSSAYYQKTKDLSFFQKYSWVTAVHAA LNASAAMRIGTYSKDGKVLPSAWTFTGWTNRGSETLTNDGLGNPTKSNGMVRTAFRPS DDACIYQLLVPANMMFAKYLEEASLIMEGLGGDEPKNLTSQMRDFAQGIRKGIDEDVI IHHKDFGDIFAYEIDGYGGTNLMDDANIPSLLAMPLWNYSHSDMNSVATHDYDEIYAN TRRFILSDANPYYMKGPIISAIGGPHLGPGRAWPMAAIVRALTAFTMLASTSGGTGSV AQSEKKARDDEIKGQIMMVLNSTAGTGVIHESVDSWNEQIWSRAWFGWANGLFGELII RVAEEDEAREKGEEGWLSESWQ LY89DRAFT_682097 MSSSSSPKRIRLGILTPSSNTALEPLTYELITVLNAHLPSTQIT AHFSRFSVTKISLTPDGLAQFDLGPILAAAQLLADAQVDIIGWSGTSAGWLDFSQDLT LCAEIEKATGIKATTSILALNKALELWDVKKLGLVTPYLDDVQAKIVENYKGIGVEIG EKMERHLRVVKNTDIADIGEKTLDGMVGDVVGSGVDAVTTFCTNLIAAQRVRFWEEKY KVPVFDTVTTVVWDMLRECGVDAKGTKGWGMIFDKN LY89DRAFT_578989 MSTRIPEPAYPARNAEALYGDKSLYAKLGEIAEKQGGKTIIEEF EIPIRSGKAWVVKKGQLCKLSTPRGPQVGDLNIWSLHNPRERFWASRTKQLHASHVSV GDRLWSVLPYMRPLVTIVADSLKEYGVDEVGGRCHDLLGTRCDPYVNKLLSGDDFDFQ CHSNLVRAVLPYGLTEADVHDVINVFQVTGLNKDGKYFMEASPAKPGDYFTFFAETDL LCAMSACPGGDLSVYGWGGDSAQRMLETCRPLGVAVYEIEGKEEVLKDWKESQPPGYK GMHGLKIPVFGQQ LY89DRAFT_730396 MSFPTTLSSEKPSNSNIEPNPATEPSTSSASQTITTDTAKPKSE AEEAADRLYEERIEEDAGAGGVGMTPERIIVLSLIPLRLLSLTE LY89DRAFT_441525 MYLVSEYITISPIWLLLIVLFLFPLYFFLLDFYIWFHLPPGPLP VPFAGNKFQIPTSKYWLTFTQWSRVYGPIYTIWMARTPILIISDPEIAADLLINRSAK YSSRPRAIVFSEIYSQQSSIVMLPYGPAWSIRRKLLHHALKGRSLAAFQPVQEAEASK LVSQMLHQPETWSSSIERYTATLVFSMAYGRRIDTLKSKILQTRKQLMEVAHAILAPG AYLADSFPILASLPDFLAPWKKEVRDSGNEHTEFNIRLVDVVRSDLRKSRGEGVGSMT ETMLRLKEQRMLGHQLSQDRYLSSVPATLFGAGADTVSSTLHSTVLALLTHPHILSIA RQEIDSVVGTDRSPTFEDHLPYCDALVKEVLRWRPVAPLVLPHATTEADTYQSWKIPQ GTIIIVNTYAVNKHPDYFPRPEEFAPERFLDERDPRYRPELKGREFPGRFGHGSFGWG RRACAGADLALASTRSAVVKIVWACEIVGLEGEVYDVDAFEGGIVLKPRDFKCGFKFR SEEHKVVMERGLEDAGRVLEKFLPFE LY89DRAFT_578652 MSLVGLKNFFFTETVTQAPRSPGSKYNGPLDWSDLSQEPLLHSN DRTTSETAQPTKSDEDTDVESQESLASKTKKSKSKTGFRIDARMISDATIGLSDGLTV PFALTAGLSALGNTKVVIYGGMAELIAGAISMGLGGYLGAKSEAASYHAQRGETQLLV ETNPQAAVQSVAAVFKPYGLPKKTLEDITSHLAGSPNLVDFIMQFQHCEEPPAASRAL VSALTIAMGYFFGGLLPLLPYFCVGSDQVFEGLYISLAVMVVALFAFGYGKTCIVVGW REGKHIRAGCSGGIQMVFVGGIAAGSAMGLVKLFSSEGDKPATMTL LY89DRAFT_666037 MGNNPTKESRGPEPLSTSQRHPDATGGTSGPASPTERPTLYTTR NGRGSRPDLSFLGIGSSSHTSEVPERRETKQEREARKLEKERVARIKERERSLKEEHV DGGYLVTMGVYTGTEDFSKPIVRQLMIERRIAPFWRGLDDFKEEWTEHQLVAAGRGIP IPAPDEVPDELLLSPITDSPHASNQNLQNLTVPISGRSYSVGSEASATLSPSHPAFAS PPTSPAPTTSAQSSSPFRPRSKTLASLTNAAKNPSSSEIVPREIHLPRDPFVNGQAIE VFLYKDAAECPICFLFYPPYLNRTRCCDQSICSECFVQIKRPDPHPPEHHDPSNPAPV ETTAEAEALVSEPACCPYCQQPEFGVTYEPPPFRRGLAYANSTNLGSFASAMSSSSSL NSSAGPNLVPNTHKRRTTSISANASTVITTDRVRPDWNTKLQNARNHLARRSAAATAL HTAAYLMGNGTADTRSFGFGSRSRFGRNRHDSSPGASGAATPSPTTGEPGAPRSVSEQ ITFMRREAQENGGARRRSRMDDLEDMMMMEAIRLSLAAEEERKKKAEKEAAKEAKKKA KEEKKREKKEKKGVYGSGASSASGSALSLLPGIGRRRGNSGASNLQREVMPEDTETPG DKGKGVDRGHSAPIDFSRSASSSSGIPGARHIDTSTLAAISDSHQTSSSPTAPDRPSH LRHMSNASSPASSFVESTPGSLRNEFHTHGSSSTLDSPNASGTNLASPNENADDANGG AGPESMFNFQSLAAMIGEDGKEDQEDAAKHIEHLGDGGQGSRHASQDEGTSTGMEESI ATLKVDNVNHYMANGDSEIARQTTLLEPQRTTPEVMITPVTPAATNHGDDDAKQLGSG WTGEVSAEITQ LY89DRAFT_682102 MFIKSLVLLLLSAASALALVAERESTQILNRTTTCGSAFFGGCC QGLLDSMSYNADCYNATLVATNVGGSTFGPQTRYSCVTALNATQTFEDAYYAICCKYM EIYVNPIVRQKLMTCSPQGGDIQ LY89DRAFT_779134 MPPTQPPLSTTLPPLICGTATFNNLYNPSIASLPTNTIISSALD AGIRAFDTSPYYGPSESLLGQALSQPSVLSSHPRSSYHLITKVGRIAAAEFDYSPEWV RYSVSRSLQRLRTQWLDVVYCHDVEFVTAPEVLAAVRELRRIRDEEGTVKYIGISGYP VPLLCELAELVVRETGEPLDAVMSYANFSLQNTTLKSEGLERLRRAGVSCVPNASILG MGLLRGQGVPVGGKGDFHPAPEGLRRRCLEAAKWVETKGERLEVVSIRWGLDTWSREG AVLGGVGGIGVNVMGVSNLEELAETMRVWNSVLDGLPIAGRKVEDGKKEWSLKRKDDV EVLAKGVWNILGEWKDFAWPSPDEGYVNVRAVKGVVDEIAPLPAVKDDISKSSRL LY89DRAFT_639830 MPGLDLQPVITDDTRILGQDPLIPPQLLLHEIPLTHASLKTVVQ GRREAIEIIMGKSDRLLVICGPCSLHDPAVALEYAERLKALSDKLSDDLLIIMRAYLE KPRTTVGWKGLINDPDIDESFKINKGLRISRQLFCDLTSSGMPIATEMLDTISPQFLA DLISLGAIGARTTESQLHRELASGLSFPVGFKNGTDGSLTVAIDAIGAAAAKHHFMGV TKQGLAAITRTSGNEHGFVILRGGTKGTNYDAESVKTVKETLTKKGQKQAIMIDCSHG NSNKDHRNQPKVAKVIADQLKAGETSIIGVMIESHINEGNQKVPAEGPSGLQKGVSIT DACINWESTVNVLEDLAAAVRERRKHFTNGHVNVTN LY89DRAFT_639833 MFSLQLQSRKRDREDDEETIASGFGEHRTKRHIAALPHRQSPKL ARQVSPIFNYSSNYTSQPLPPTITPADSDSEDVAAAAEPRSFFSPYSSSPTTATLTQS GSPSPFLDVDGPQSSQSTQGSMLSTQMSDAPSYSDDFEMTDSFHLSPGPFHNDPSSSI SGRIPTPIHSSFAPFIRSEKSTMHHDSDFADDEAMVDRFRRGRRLPSPISEGEMSPSI IIDGVHDMQVDVDNPHQEPDKETPTKKGHQRSKHSLRQWTGFGHDMHGNGGGTIKRSF SMGYRADCEKCRMKVPGHFSHIITY LY89DRAFT_730403 MTSNDEAQTPDLATILRTLAALSPPNQTQQPQQAQPQFQAKPQA EVPPYQPTIQNHQPPAQPWPRQQHPQISRSTTPPGSPKNHVDPTTIIDWKEGLHCVTD VLSKNKIIIVQEVQRMIKVQNEHELQWFKGREALIEKQKARKEGQKQIDEALKAVGGT VMAGESNTTPEELARELVTFDMKVYKAQTEMTREMSSRLRSLGIPFFGTRSDLVRPTT KAEAATSTTSTKEGKLTIDELELVRLQRKMLNHLEEYC LY89DRAFT_578692 MPRSATDATRFTSTTPYASAKPPPSAQKSKGPVGETPQEKIRRL RAAADRARDAQVTTFDKIVIRGRVWADRAHRFTALSLIGATFVAGGVTVYTFWDMIVY NRKKRAQFYQEQKAKQQSAVHDAREAIAAGTATEAQINFIKMEDDYQSKVDAAKKKKA EPGPVRKARDWLFEGLKKEEQGKDVGTSERRLGYEALSEEDDSMGERESDIVRALEDK KIAITEKAKHAFAQERHLERTGGPLDRIGTATETSVVVASATQDQPKSKSWSSWIMGR LY89DRAFT_541539 LYTAPFSGCSARIRIISHLKSIPLTHHTIDFTTSQQTSPDYLSV NPNGSVPSLVVETASESFTITQSPAIIDFLESHFPDLPLVPVGKEKWKERARVMELVS LVACDIQPPQSSRVRKRIGEEFGGDGKRWAQWVYERGLGVYEEFLERGRKVDGKAARM WRYSVGDEVTLADVFLVPAVQGALRVGLEIEKWPLVKGIVDECWKLEAFRKGG LY89DRAFT_730406 MEDHESEPFLLESPYTERKASESSTDLLPNIELPPRGSLLRYAR WTVFGVYRRIFLVVLLLNIWHGHKIITMKRRSKYSPLLVDISTAAAANMLVAVLIRQD YIINTLFRICWLVPFWAPLRLRRSLAKMYEYGGLHSGTAVCSVLWFSLLSAILTWEFI TIRIADPLIMMCTFSVIAILWAMLITAYPAFRSWEHNTFENIHRFGGWAVLGLFWPEL WLFTRALGHQAGPASPGAVLTKLPAFWLLIATCFHVALPWMRLRRLKIQPERLGVGVH AIRLHLSEKVSNCVVYRIADSPLTEWHSFACIPERNGQGGSLIVSNAGDWTRRAINNP KKFYYTRGIPTVGVLCMAQLFRKVIIVTTGSGIGPCLGTMMNIPATRCRVLWSAPEPR HTFGDDICDKVLEVDPEAVVIDTHAVGRKDLVSLAYKLYISDGAEAIFCVSNKALTKH LVYEMESRGVPAYGPIWDS LY89DRAFT_578468 MNRLYIFFALCAFLVTTLAASPPSFCKCTCFTNSTIIPLSSHSS GLDEAPSRSASATCATCNKAFCLAQRLPICKDAEEKDVYTTCFQRDSRKDQIVVLTFI GGTIGLLVWAAVRKFLEKRKGGEVRMEDTQRGYAPVGR LY89DRAFT_578754 MRRVARLERSIDLVKTQSSAHSSSIYLCLACRNRAAPFSTSAIR AAQVPYTEKIRNKIWGTDKPPGQANPYGEESVFDQTKKKQQEELKEMEAVERKRNVAP AADMTTYEQATTWGDDAGGRIPWVGGFGNWWKDNWDPEHRYLGFAPKSKMVMKDLDQI TAAFYRALVETFACKQAGLPLTTPSTIAAWNRNHRVRISLTESGAELASEGPSLELIM QSFKPAVDETAEHEAPTESEEDVAADRSTVDPLNPESTAEPVEETSVHENPTESEEDV AADRSTINVAKTLKRNYGYEKFVKSVDPSWRQISLADPEIKFAVHKRVLRLTGIRIPD SAIQPSKTAGAFLSHLIAPPKPRKLAQALQDQRPLTSLQNVNISATRVTPIDKEKQVG RWKLIEQELTNRGLPVTGH LY89DRAFT_730408 MAFGSKEDKYRLISLEELAQHNTPESAWLAIKQKNQQEWSVYDF TEFLESHPGGEGILLVYAGRDGSSVYNAKHGDFRITQQRDVTKVGILDPCTVTEAFAK TGSVVRPTKTRKNPPNSDRMDIDTVEPSSAEKRKVNFDKDSEYADEVEEEAEEVPAKK AKTTPAKFVFGPDKNLEAGKKITGRPRGRPRKQTTNPTASESPTKPVSPVKQTDSTPA RKRGRPAKIKHEVTDDNSNTVSTFKEDPTTQPEEPVQPGTTFWDTGPNMYARARKAGF NPNPTGPWKGPSRDDIAILRDRLSGVDSSKKRETEELAKAKELGDYKSGLVTGTFIQN ARGFFIPVPGYEPFLSSVEKCNGIVGAAFLESQKTGEDPVVVQRKLERVTRKDSMSVE GVDSEDDYDVGGVNVISKAAARGRGRGNRSRGRGGVDRTAKEPKIEPPAYTGLFAPFS RRKESPCESITSNVSNPFGTIPATIQQPGHLGGGNGLFGPGQAAGGFGDTTTAPNQQI VQQKPGLFAHERGTSFAAPPTSSYNTGITVCPGSSYSSGVHSNLFAAFGPFKGSTVHP TPADPVNGGSGSTSGAGSMGRVCKRPW LY89DRAFT_441818 MLPPTLQILAVGLLAQFAAATATPTCVSSSEGYQVGQVDYLFNA FCNQLSGDGFTTQQQIYGMPVISFDFTSASSSDTCNLDNCLASYQSLVQSCQLPNSTI WGTGSLDSGCGTYNFTIWNTAENPVTLGTPTTTIQGSTLTTAPLITLTSSSTTSTTSV SLTSSLSSPTSSSASVIASSTSLAAIFYSNGTSTASGAASGSGAIVSTGSVTAVATAA STTKPAVGNTALGTSGADILRASGYSLMVVACVFGLWL LY89DRAFT_730410 MKSSHIAALALNVLVVIGTSFTSEPFDSREATIEGVHNALYSGI TSCREVVSSFISRIEAFNPTANAIISLNPNALTIADEMDTQLSTGNATGSLFCIPVLL KDNYDTVDMNTTGGCLDLAGNKPTEDAPVVTAFKNAGAIILGKANLHELALEGLSVSS LGGQTINPYDHTRTPGGSSGGTGAAIATSFAVFGTGTDTVNSLRSPASANSLYSVRPT RGLISRAGIIPISYTQDAIGPIARNVKDLAVGLTVMTSVGYDANDNTTALIPASSVGV DYSKTMYGGSLKGMRFGLLQGFFNRTASNETTPVNQVMDNMISVLEAAGATVVSVNET VYNATAIATLDVQTSEYRQELDSYLEMYGSQGLTFNELYSSSKFLVIPSQYSYVNTAL KSSTSNSSYPPTKLGIQNLTTILSSTFSSYSLDAIIYPEQKNLVVKIGSPSQSGRNGI LAALTGSPVVTVPAGFSPSTEDAPIGVPIGMEILGKPWTESKLLNIAAHISDLTHIRR MPQFANGSVEVSSYEAIPTITPNKSNIPAAYPVGTL LY89DRAFT_779145 MGLQRVLLGFAAVSTLAATSGNAQCPDYSTFSQQKHEPYSTGRY QLSYMRPEPACRTFNSSIVEQTLIDMQSVIKDPDLYRLFYNSFPNTLDTAVKWKGFAA NNSAEELTFLITGDINAMWLRDSANQMQSYLTLLNASSSYDSIASLYRGVINLQARYI NEAPFCNSFQPPVESGLPAEQNQATPDNFTPPKSNLTVFECKYELDSLAAFLEISTNY YEATGDIDFFRKFQWVDAINTIISTTESLLIGTYASNGSVNTLPYTWQRQTTSGTETL SNSGRGNPVQSGTGLVRSAFRPSDDATIYQLFIPANMMFSRYLDSASTIMANIGNERN LANHMHNFSGRIKDAITQYGIVTDPKYGQVYAYEVDGFGSVNRMDDANIPSLLSAPFF GYLNATDPIYQNTRNFVLSADNGYYMRGPVLSAVGGPHDGFGLAWPMASIIRIFTSSD TSEIEGQLKQLVSSTDGLGLIHESINSFDETIWTREWFSWANGLFGQCLLDLRARGFT GVLAASYQ LY89DRAFT_682110 MNLLRSKTRLGGRVTIALVASYVLDWIVVILAAVIGAVLSTITP NQRPFSLTNAEISFPYVETDKISTTLLVILGLVVPAVIIALVCLALVPGPTVSKSTPK GLIWRRRLWEWHTGWLGLALSCAAAFFITNGMKNLFGKPRPDLLSRCEPDVINIANYT VGGFPNALEGFNVVSAAICKQTDKSMLNDGFRSFSSGHSSFSAAGLVYLSLFLASKLA ITIPFLAPNLFSRDESRFAAFPSRAEHHELQNLSPSSPKKLPASSNERLQEPSGHNDA FIAARNQAAAPPVYLLVIAVIPFFLSIYISSTRYSDFKHHGFDILFGFFIGTVTAVLA FRLYHLPISQGAGWSWGPRSKDRSFWAGIGVGNYVGTNANRVTKPRDVEAGTSGRRTT GDMGISDRIDTAV LY89DRAFT_771237 MFSRTARLSTTATSRLSQVSSHTQNSSIQKVQRRMASMTTAKLN TGASIPSLGFGTWQDKDDQELAVTEALKAGFKHIDTAAIYGTEPMVGAAINKSGIPRS SLFITTKLWNNDHSPERVESALDASLKALGLDYVDLFLMHWPGAFKPGDDKFPKDKDG KTEAANISYIDTWKAMEKLVKSGKTKAIGVSNFSKAEMEHLVKEASIQPAAHQFECHP WLQQKDFCEWHKTKGIHVQQYSPFGNQNEIYDSGKNMGKLMDDPVLVEVGKKHNKTGA QVALAWGVTLGHSVLPKSKTPSRIKSNLEGDFKLDVEDMKKIAGIDKKLRFNDPSGSF GYQFYTDLDGKKD LY89DRAFT_771242 MSRAHGTLSRHLPSGFLFLFAVLSFFLSEANGASLRPRDTLKVM IVGDSISHGHQGDYTWRYRLWQWSQTNDLTIEFVGPYSGTIPPAAPLPPQPPALLGST VTDTEPATDGGYATDIDSAFLSNCNHFALWGQQLAQDKGLIANQITTYQPDLLLVELG FNDMGWFISGVNGTLVSMQTFIANARSAKPDINMAIANVPQRSFISNREDLVENTLSY NGLLAQYLPQWTSAQSQLELVDFAGNYDCAPDSCPAGHDGLHPNAFGEFQIAQAFSKT MYSNFGLGSGPLTIPADIPTRPCPVPTNVKAVQSPWGVTVTYGLGFSIWNASTNRYDA SWTVKGETFDFYIASDCGDTIPPSDYSSMVSAVADPTTAPGPQNISITPTAEGFDISW VPPNGSWDITQYALILLDKDTIGAYAGEYGVQGTSASLTTLEPGHHYTVAVQTWTSAE GGGFPAIARSVTVGYGMPYTPYAVEVTTMDPTTVQITWAGDQGSAGYTFTVRNATSHE VLTDDKGSTDVPCYEVAWLFPGTWNYEFCVAAYNGNLESDMSVCATAPRNSTGELSCP TYAASVTAVWPWLTDPNGASGVTPDNTTSGDPLPEGIDLPNCPDIDSYSSIDAVINDT SIDPYCVNTYLIQGMMATLSDSLNTYNDLMADGYEDLYGYYVKAVQTAAPAQWSKFTD SDDFTNLFTCLYTVADGSGYKNVTGGCGTGDDPSFEKTIALYAIPNNETAWLDVMENK YGIDSSWIYPYDYHSTACGKTACVEGALVYFYSVPNNMSLPDPADTITANLASYSALA EWLEDAAFSASNLFFDGSDSDVIDGSVMSVYSVAGAVDAMQQVEAIGKKAEDAEALEI ILFFLSAVLMLLPGIGEELDAIADATIFTRLGTLLSDAGNAGLTIYDIVQDPSSAPMA IGSLLIGGMASRDDDAFTVAANARRELPDSVIADLGTDVESGMAKVAGKYKLCSI LY89DRAFT_682112 MADNNVPVISARLSMQKYTVDACRAAIRGEMVPVALGLELSRLC VIRGMRYHTGFAKELHGTLPEFTRALNARAIMSNTIPDMDGSLEETPYCIWHPEVASE STYRCLVQRYPHMAYQVARACAVAGYIDLYLELEIVPDVHVAEEARECGNTVIFNHIM AASVTYSIMDDYTRSIDATNSKPSHLNGDTAVRWMLDLKQEFTRADVEDEDDFSLFTR RGFEERYLNVTEDMGIDEYTTPKRPVYDITPLLSAPLPVNLPTVEKDLLILMAAYHGD IDRYARLRRPVMIEKEVNCCVRGIYHNTMFAIWWARQSHPQSKPAAIGQAIKARYIMN NVLEPISSNDSSSLPYLISYPGLGHPSTYRELAARKPLMMPQILRACIAGNYAELFQE LMTKATKPDIELLVKHQRIIDPYFRDALRRRMEELGFSLAVSSNTTPEVQLGGCSSVT IPRDASTDLVGTSFSSSSKMEFMNGLQCDVSMVELLACLPEEWKLAEGENRHVELDYV EWPLNEEKRGVSS LY89DRAFT_779150 MKFLIALIVFFRFTSAFGDAARYERVFYYYAYLIDATLNAPNGP QTIAANCGKTMGVGTRTTCTFNQFNRYIANKGTNPPDGWDATAAQYPDGATITGDHGL STTYQTARIGVNINDVATLLRETMSFTRKNIDLLPAGTATDTIKTNIFRQIVGIARTR MENKVNDLIEKFGGNGEGGYKWKDAQGVEHQTQLTIVTKEIATYEGAPDDAVSLDLDF QATVKANPDVDKSDIKTAIKYWNTNSGAPNHNPNILQARNGAEVLSAKCQ LY89DRAFT_730417 MKGSIVAVGLVAVVAAQNPFAVSQLSVLSADLKDALSPPSSILP ELLSGVPSNVISAFDNPTALPSLVSSLEATPPAWFTALPSDAQHWIIGAEEVYASLEP QIASLEAIVGGITAPGGTGATGSSSLPKSTSYSNSTITSTKSSTSATTKATSLTASPA VVASSSSKATSSSSSGGAASPTGAVAAGVMGAVGLLGLALAL LY89DRAFT_441907 MTHLSDAAIISIPGKNDSTSRSSPNRVQNIMSPSQQEERTQPTE QTPNTSSAHTKIQSTEDKSFSLPVASLRTREPSNGLSYIPSSGGSLRDRFRLGSDAPL PSVLQEIFQELRSVSPFGVADDDFRNSTSVPRENIGQDDLGRHKCAQCPTTKAADLTL FHRLPADLKLKVISELFAPESPCIEDIFAECHDPWSCRNGIHSGITDVSVYNQRLYVP CPLLTRLGPIFHEEGHRLFFRRNVFVFDHDLTYTNSFKDLGKLIRWWRSGEPLRDPIS TRLLDWIDRPEWQGVGSEQHRELIRGAQNYSPILLTLGEVDQYRYSIQHIVIKNSTLV SNGRFEDWDNTLKVDWSSLRNLKTLCLDLRAYTSVQTLFMFNPSKQKRHERKLVGGVE AMKCLKLKSLIVYGAGAGPTWPDCPHKEMMQLIFGKAVAVDGVLEFRDTRDLDW LY89DRAFT_715786 MPNMASENPPQAFISSYAPRLRTYANSLLTPVIQPAATLAAPLS RTTKRGTTAINYAEDGYDDYDDDDDDNRRRPTGLRSIRRDESGQAKQDPSDKVGKEAT APVEVQGIWRDWMGKSRNAKPDLQNIGQTALPLTLIPIRIDLDIPAFTPAAPLPIPQG VAHKIDTTLPIYRSQETTVPYRLKDIFMWNLHETLTTTDQFAQAMVQDLDLPNRGQLA NEISKQIRTQLEEYAGVALHPLFHSQQTTTTNGVVTTIKQGPLSRDASATPAGSGNAT PSRIGTSNLNGFSTPIKRPENNSTEDITASASVIPPESDEYNPDDMYRCIITLNVNLS NHLYTDKFEWSLLHPPGTAEIFAKQTCADLGLPGEWVPAMTHAIYEAVLRLKKEACES GGLVGGYGGEIPNDAAHGADAGWRYDNEHLADEWEPKVEQLSKDEIEKREGDRERQIR RLRRETARFSSSTGMLGGMPQATENRGGGYDEPPEERMGRGERSKKKRRFRSLSPLAR AGTPGRGTPDVGGIAGYGGGGSLNDYERNTWRCSHCKVWGTSVWAVRDGPQGPRTLCN NCGFLYERDRKLPKWAKELHKADLRAIDYR LY89DRAFT_546078 DEEDSDDEDPNNLDPDVAYDESTPIEFRLRVPINVTGNNNVIAI DAAKMARTVSAALTETLRDMSVGSGGVPMIDHNGVARAVNIRVDCKVNVKGDKNTVGE KAV LY89DRAFT_705316 MSISPIITFKAGRCELDTSSKPYKVKPQATPGYIYLYSEDELIH FCWRERSKPMTDEDNLDLVMFPTDGHFEPYEYKTSNQPSSKTNGRIFALKFASSSQRY LFWMQSGSQAQNGDASWFSPRDLKIGQIVDTLLQGEEVDVSEEIAQVRGSGNGGNDDD ETMEDVEGHGDPTEQHQGGSGGAGPGATGGDIREEGSGAREGGADGGRAAGSGPTDAA TVVQNFLNSLKGGQGMQQQAQGQIYTTLPDLLPTSITVPSIDSAKPADIDNLLSYLPP TILLLAQESASAIDGLVEPNAETANAALEALSLEQKKALLKRVLRSPQFHQSLGSLTM AIRDGGLPSIADALGVKLQHGGLVRGGSVPLGGGDAVEAFVEGVKKTVEEKK LY89DRAFT_639877 MSAVLEATRPAAQTKTFGKSTREVPHHSQKAKKWYPAEDEAQPK KVRKSIRVSTPRPSLVPGTVLILLAGRFRGKRVILLKNLDQGVLLVTGPFKINGVPLR RVNARYVIATSHKVDLAGVDSKKVEEVGSEKYFAAEKGEKKKGEEAFFKQGEKAEKKK PSSSRAADQKAVDKALLATIKKEPMLISYLASTFSLRKGDRPHEMVW LY89DRAFT_695045 MNAQELKNFLADSPPSTVNLVIKKHFDALTDQQARYAHYISRAA FTGTRITLRQVSPESEDIYDFIIELYKACNGDWKSLQEKAGVTTEDLQYFLEYAGQFL GNCGNYKGFGDAKFVPRCTEKAFQALAATSPKAEAHYKATKGAIFSSDNSGIMHLGYL DEGHMTTYYPNSKGITKADISEVSDFLEKKGLLVENTRLRKDADGNFDLLIASAVTNV PASGGDIGKETIFDINTGSLKGRKLKLVFGDHAKEMALIADYHKKAAENAANENQKNM QLAYAKSFEEGSLEAFKNAQRFWIRDKGPMVESNIGFVETYRDPHGVRGEWEGFAATV NLERTRAFGSLVDAAESMIPKLPWSKEFEKDKFLSPDFTSLEVLSFAGSGIPAGINIP NYDDIRQSEGFKNVSLGNVLSAKAPNEKIPFIAEADLEIYQKYRDAAFEVQVGIHELL GHGTGKLLQETEPGKFNFNPESPPISPVTNKPVTTYYKPGQTWGSVFGSVASSYEECR AECVAMALSCDFEILKIFGFGDGSANMDGESGDILYAAYLSMARAGVASLEMWDPKSR KWGQAHSQARFSILKCFLDAPDDFCKLDYKNDDFSDLTIKLDRSKITTVGRKAVESYL QKLHIYKSTADLEAGTKLYAEMTGVEPKFWGETIRNEVLRNKQPRKVFIQANTFLDES SGKVSLVEYDPSLEGMVKSYAERNV LY89DRAFT_682119 MKYIHSEESLQVPEGVKVSIKSRQVTVEGPRGKLTKNLNHLAVN FSHPKKDVINIELHHGARKNVATLRTVRTLINNLIIGVTKGFKYKMRYVYAHFPINVN VDKNNETGLFEVEIRNFIGEKIVRRVVMQPGVDVVASTTQKDELQLSGNSLENVSQSA ADIQQICRVRNKDIRKFLDGLYVSEKGNIEEA LY89DRAFT_705320 MRFSQTPPSLISLLLVALSQINTVSAGPFPKDPLHDLLGLGWLQ PRDCASYCGAENQYCCTTGQACFTNAQNVAYCSAGAAVSGYGVYTTTWTETDLVTYTS TYSSYYQVATTTQVWASATTYTAAPAICTTSLGESSCGTICCAQNQQCAFAGSCTAYT STYIAATSTYSAPLRPTSGGVSTQTSVVSATTTAPFISPATASGSTLPVTSASSSSGL SAGAIAGIVIGVIAGVILLLLICFCCIARSLFDGILGLFGLGKRRNRDRRSTERVEVV ERYSRHGSGTASRRDTHSGWFGGGGGGRPARVVEERRTEKKSSGFGGLGMVGAGLLGL AAVLGLKRREDRKAKSNAARSDISSSYYTDSYTGTSASSQSSDRHTRRSGGTRGTRQT SRR LY89DRAFT_730427 MSDEGYNITEDRSCTITTPFGRTITWTPRPHTLFPRLPAAPTPP NSPVEERSPEQWSNGFYGYSGVVVGKAEESGATRSGRKGSKAKRILEKVRTLKSKFTT RLRMHPDRPYFGERGDSLNYTTQNFAFTTSSSNIATLTRRSKSGMMNRMREYWQPVNR SIPKENASKTAKRERPWRRFTQWNSATSDTESNLTEDCTYKQILNNPLTSNKPIMIQR ARSDNSDTGGSMTCQPPSALDCAYTTRSRHYEQQAEEMIAASLSTADEFPEEKDSKAK CNHKGWRLCCLRKRDKTPAEEQEQDPSGQGAGNTAIPEDAEYLTTYSDSGSIVNIALE SPIIDDSLMIRKTYDLRTLDYSLSEGQYGQHKTKQNSNLRVPRLAARQRQVSSDESDE SDKPELSPHFDDQDLAMDDEISDLDLDLSDPSVPVHQPLDRKALAARLGSLPKLRIPT SPQSANTLIQRQSRDMEEISEKVSSLDIDEPAIESVYHDAEEERTVEDNKLKADLKEA IGTPLLDRVAREGSQPQALRNLLENPATEAIDGSYVEVSPDTYLSSSPVYLCVAHPSL DDFVDEFRPTSPRIGNYPALDSSATSIDFGFHPTIETMPDSWSEESESAMEFPSETTH SQEGRTWRDFSAADLPMGRVDEQSSRFARVREAQESCRPSSLANGRRSDDSISSVEAH LY89DRAFT_579459 MAPLRTGDEELYITRSQAYNLYTSHFLSTWNIRTYEFAAIIFTQ AAYPNTLRPAAVRGIVRTLASICLTSKVGRWVDQTPDRLKTLINTISVNRIAVIGASV LWFFIVEPSHGGALHSTYGSEYVGLPFPELLKGGIFALILMLGILEILSGQGNMLSME RDWVVTVAAPAGRPYDLTHLNAVTKRIDLICKLIAPILISIIISSTNNKVGVLVVGGM SACSWFLEIFCARMVWNKNPKLQAPKPSARKSHLGPEERRNIFIRAREGFEHYTQDFK NYFSSRVWIPSFSLAMLYFSALAYSSNFVTFLINAGISLEVITIARACGSVVEISSTL VTPFGVRILGKAVNHGRFRGRERGNSTAALLQHEVEADEEEDGNEEKWRAETGCERLG LWGLSWQLANLIPVVLALFYMSSTTTSSPAFNLPLLSRLPNTLSGLPTPLLTLTLFTF LSLSRLGLWIYDLTTQQLTQTLVPPTHRSSFTGVEYSFYNLFELLQNVAALVFATPEQ FKWLAVLSLGSVVASWGMYAGWVWWVRGHLVHWDRVVCGKECGGERKRGGGGGERER LY89DRAFT_578919 MTSSNRDSYASNTSSPITTIDAIMRRQPSMLDMEEERKSFGSGL EILEPRPLVYWGGLEERMGSL LY89DRAFT_442097 MSLLSAMMMKMVMIWCVGLGGDRVALFHAPARHFSCETSHSAPS FPDNFASQSARDSRKCIIHPSSGLIMSCLSIKS LY89DRAFT_579312 MPHTDSQNSTHPSTPPETRIELRDLGARPPDSADIADWEQQHSR GRSLLGGGSRPTSGGNAGPRYERLGDASPSPTERQRNRGPPLTVPSRQLRSVGDEHGT PVSPVGNPADFQAAMGFAGLLVPDISVSHAPLTHTSSYGSGDFDDGRSSFGEQIRSPY GDSAGDDPSYFPSESDTVPLTDPTSLQLPSGVRPTTPDGQRHDRSRSSFQSINFDTPD TAYRGSRLGDDLMDVEAGVSPHGGRSRSHSYGNALTPDGRTRSRSPSNVGALSRAGSI MRAMSTRVVNPEIAEITARIEASRDESIPSTLGSLSDESETRYQPKRKENPLAAGPNY RQNLPTAPVEKAMRFFGGAQPEPEDDEEPDQPPNPLRGKTLNFFSPESKLRRKLCDIL VYPLTEPAILILIVVQTVLLAVDASQNVYEHPRPTHFGQSPIDLALLGLFVIFTIEMI ARIIVSGLIYNAAEYSSRPGKKGFKSAIMDKYETVFKPQRQRSLKIPRNGSVNAPTVV RSFTAIQSDSIRTVEQAQRLQLARRAFLRHSFNRLDFLAVIAFWTAFILGLTGIEDSF HLYIFRMLSCLRIVRLLALTNGTAIILRSLKKAAPLLVNVSFLIGFFWLLFAIIGVQS FKSSFDRQCVWIDPSDPFNNSGLAYTQVYQFCGGQFNNETGAPEPYVTGTFGNAINQV DSVILQNGPTSHKGYLCPRGSYCLQLLPDQLPYNGTVSFDNIFQSLELVFVIMTANTF TDLMYYTMNSDYLAAALFFAGGIMIMMLWLLNLLIAVITSSFQVIREEGRASAFAAHV KGMLQTKESDTPRRKSKMKALYDKTYWLWIIVIAYGLLCEGFRSSIMSVERGKFINES EVVVTFILLVEILIRFSVDWRGFFQDNKNWVDLALAVITTVILIPPIMSSGQPYAWLS VFQILRIYRLIIAVPITRALIMLVLGNSSGIANLMLFVFLTTFLMSIFAVQLFRGELT QTDPYGNVIHITFFTIYNAFLGMYQILSSENWTINLYNITAFDTARNTAWIGAVFFIG WFILGNFILVNMFIAVIQENFDVSEDEKRMHQVKSFLNRKELGNSSSNLSLSAIFRFG RNKSTKDPLDYGPATMEMLLKDAVVKDFLDDEADNADQPTTPPSNTPPVETASVKPGF ISSLWGRFMTRIWNREPNPFYSNIQFSLGPDEQTDARTMAKEAVNATSQRKKAQREFL ARHPNYNTALFIFKPQNPIRRLCQKIVGPGRGSERFDGVEPNTIVWYTFSAFIYAAIV AMVLLACITTPLYQKEYFNTHTFNVRNWFVWADMSFAALFTIEALIKIIADGFFWTPN AYFRSTWGFIDGIVLITFWINVITSLSNDGAVSRAVGAFKALRALRLLNVSDSARDTF HSLITVGIWKIVSAAFVSLSLLIPFAIYGVNLFNGQMLACNDLTGTVSNNLSDCFGEY TNTPYSNNWPVLSPRVVSNPYYSFDNFGSALFILFQIVSQEGWVDVMWSAESITGRGL QPASYAAQGNAMFFVIFNLLATVFVLTLFISVFMRNYTEQTGVAFLTADQRSWLELRK LLRQVSPSKRPLSTEGNKFKDWCYKRAVRKHGRWHQAMTIVLVLHLLLLLVEYYPEPA WWDSARTYIFLGFTVFYTANVIVRIVGLSWSRYRRSSWDLYALLAVAGTLASTVLLLT QIGKQSYIQLHKLFLVAIVLLLIPRNDALDQLFKTAAASLTNIGNLLATWFVLFLVFA IALTQTFGLTRFGSNETDNLNFRTVPKALILLFRISCGEGWNQIMEDYATILPPLCVD DPSFFNSDCGSTQWARALFISWNILSMYIFTSLFVSLIYESFSYVYQHSSGLGKVSRE EIRRFKQAWATLDPEGTGFISKEQFPRLLGELSGVFEMRIYPHEHSVRRILEDIQSEP TSGRIVSMASTSAAPTVNLKSLNKRLAEIDPVAIRLARARYNLFFEEVMVSADVDRGI SFTTVLMILAHYNVISDNKSLKLEEFLRRRARLQRVEEEVRRRIVLGFFDTMYWSRRF RKHLESKRSGRMTDIPQLGPEVFVDDDDIAKQARTKVSPLLSPPSPMDKSDTRSSFIA HGLDGAARHRRGSSLGGQLPPGPLSPGSPGISPGVSPRGSPQLSPHRPTNSAYSFEGG ELTEGAGSAHSSRRGSAVTAENVLEVLDNSAWGESIRRSFTMRRPNRGGTA LY89DRAFT_552514 MSSRKRKFQGRQESEVEAPEPPKEKTLLEKIRNLWEFSNLYQWI YTFGGAVKLDEKFDIEDFEMECLKHNSTLLPEIGLAILKYVSSHRGLTPELFNEYTRR QYMAKAPTRNPFGDEEEPNKFNDFDIFTKIRVLQQLTQWAFVNPDKIREKMTEQKDTD QTNWRIEPLGWDSDDRTYFILDDNRLYRRTDPPPPPPPAPKPKKNSKKAKAASRASKR RKVSEPAESEVEPAEDEHNEAQADAEKEDDGFGGMTWECVAVTVDELTTFVDSIQKSK DPNEKTLRNRIVEDVVPILEKQEDERKRKQAQKERELLNLEKLATAKRSSRIAGKLEQ QKHEQEIKEAERKKEAELAMAKKEQEKWQKLEKERESRMMTREQRLKEREARRILHEE ELANLSEDSKKIETGEGRLSERHLKAEIERKKQALKELTEEEDWVFDCICGAYGQIDD GTHSIACDKCNVWQHSKCVGVSESEADNEDFHFICQTCKRRAEDAERAKTHPPIKIKI NRPGSSSS LY89DRAFT_639892 MTQTPPPPSEGELMHQGLHQLIQHNASTPYPQDQYDERARQQQH SNYGSPAPYDQYAYRGQQQRYPYQHQHHDSGLGVQYDYSWSMYPDQYSTPATSPPTPS MNHQEMMRARNGMGLQRNHQSLRPMPDSRSRITKSPKPKREPKRTKIKAESKVAKLEK PLSELTKDWTHVEVVDIDAYVNRSAEVRRKEVEEGKIPGKVKRPMNSFMLYRKAYQNR TKDWCLQNNHQVVSQVCGDSWPLEPDVVKDQFSEWARIERINHQNAHPGYKFSPTKPG QGKGANKRKVSEEPASEASDLDDFEWQDPRNRKKTKHRPSPRPEAPVMYPSTRSAYNY SREDSAEEYVGGYNKSLFQNNNPGVPLPPPYNQGGLRQGEYYQQTIQSNPRIPGAEDV IIRKTETPGSFLGLPGGQDFNMMNLYNQYEASQAQGQRIDPGLMGPDQQLYEHTMYPD PLTPNMYFPDGQNGEQPWQPSYNIGHDPVMPFIDPQLTQDGGQIQDPHMHVLKGNQEG WHVESLDAGGEFDKWMEEEH LY89DRAFT_442154 MYGDLGNKLVQHAKRTQKLEHLPPYQTEIVRAVTREVRDLDKDV SNILQDFNGSFEPSENPATACTLLVDHLCMRRNKRCLLAYHRTRTDKLEEMVWNGSDV ADLAAQQQRGPSEGQNGGAELGNGEGNSSSLSPEEEEYVRQYSDLLAAYKGQWTDIDL TGSLEPPRDLFIDVRVLKDAGEIQTEYGTINLTKNSQFYVRQGDVERLIAQGYLQKLS LY89DRAFT_730434 MSLPRSAFGSRRRAPSLSSHSSPRHIPGSSDSMDGTSTTSDANY GSFRGRPEVPSALHPSTASTHREPTRSSVHLSYRAPIGMYNSRRCSLCSYTDLTTAPI DNAQYARSVREDTAELASYALSDRASARSVSPPRHRAQTQLESYFSGGPDTESAINSE PEGLHHHTIQEVSETVSPEGTSSDKSPGTSALTSMLKRSPPNTLPKDGSRSSNGFIDG STDADTEEDDMQRRLIMTANGVKIDPSERTPLLKQRSVETHHPDWIRGEQDVEGQVNR RPPTWPKLHNIVLWPTEKGVGVLRTIVNPKAWDRKAIVQHAVLEPVSCLPAVVLGLLL NVLDALSYGMILFPLGQSIFEKLGSAGISMFYMSCIVSQLVYSCGGSGFKGVIGSEMI EVVPFFHKMAFMIMATVGEENPQAVIATTITSYAISSILTGLVFFLMGVCGFGYIVGF IPRHILIGCIGGVGWFLIATGFEVTAVLDGNLEYNIPTLKKLFTPDTLVLWLIPFILA VVLYWSQHKITSKYYLPTYILTIPAIFYFFVLSTDQLDIGNLRNTGWIFDGPDAGEPW WYFYTLYDFKLVHWGAVAETIPTMFALTFFGILHVPINVPALAFNIGEDNLDLDRELI AHGVSNALSGFVGSIQNYLVYTNSVLFIRTGGNSRLAGILLAIFTFGVMIIGPVTIGF IPRMMVGVLIFVLGFELLLEALWLPRKKLKSLEYWTVVAIVLIMGIYDFVVGIGVGIL LAFVSLVFQTSRVPAVRASYSGEIAGSTVRRNPVQYRYLRDVGSQIHVTKLAGYLFFG TIVSVEERIRGLIEDEAFYERPIRFLVFDLAHVTGIDYSAAEAFNRLNRLFSRKGVTL VMSGVNEEGPLGLGLRAVGLGEDGNEVKLFADLNSALESCENELLKTFYASKEARTSR NAPSAHLDVPGQGKVVSHTIDTQFSSPRRSQLHRVATTALDEGPPESRYNNFKEPLRL ILQTFQGLTDKNEDFWFRAVPFFTRKEYLAGTILFRTGDSADGFYLVEQGILRADYEL PQGRYFESIVAGTTCGELPFFSETERTAVVHAERDCVTWLMDRDNWERLRTSEPDVAS ELLRISLKLTSERMTNITSYVLTTAG LY89DRAFT_442175 MSMPTYTKTFHTTTYPAISPLLPSLSTARKVVLLTGGGSSIATS IALSFAASGSKAIVLLGRNTTSLLSNKTQIETKHPSTTVLTFVADINDEAAVNAAFTT VQQQFGGIDILLQIAAYFPDGQPIATASVSEYFSGFETNVKGNLIVTQAFLRTRTEKR EAVLIHVSTGGVHLPAMPFPISAYIASKAAAAKMMEYLSVELKEKGVRVLNVHPGMLD TVQGIKAASAGMVVPFDDAQLSADFMVWLASPEAEFLHGKLVWANWDVDELKAKKEEL LSGPALTLGLNGWP LY89DRAFT_682129 MKRLRAAASVRDALTVRSSIPRSARALSTTVQRPAASSYFPSYA DIPASRQLRVAPRLSASIRHASSSSAGSDLRKTPLYDLHVAHGGKMVPFGGFHMPVQY SSLSVGDSHHFTRSHASLFDVSHMVQHRFSGPGVNAFLEKITPAGISGLGIHQSGLST LLLPVTGGIVDDTILTRLGPELFYVVTNAGCREKDLKYFEAQLEEFKNGGGANVEWEV LEDWGLVALQGPLSEEVLSHILVEPEEVKIKELFFGQSKFVKVKLLGGETSSPLLVSR GGYTGEDGFEMSIPPAETVAVTEALLQTATPAKLQLAGLGARDSLRLEAGMCLYGHDL DESITPGEGALSWVIGKDRRTGGGFHGSDIILSQLTPKSKGGSGLDRRRIGLVVEGAP AREGADIIDEKGEKIGNITSGCPSPTLGKNIAMGYIKDGYHKSGTNVEVVVRGKKRKA QVSKMPFVPSKYWKGAAPA LY89DRAFT_639907 MSLDPPPYLSSLQNNIRSRPIPWDGAVRAGTISDDQLSKIRAVD KVRKEQRKQVVEGNLDGYRALFVGAQGKLSVLESAARRADVVQYILVLLKDLLDGIAT LAKALSEHPDPYSSFMPLLTQSNDPESPIPLLTSTVLASMIAGAPILTGKESKALPGL FSYLSTLTKQTDGGLQDIAVLQYSALLRGKQSRQLFWDQRTETVGPLMDILRAAAGVQ SGDSSSTLWNGTASVRSGPEGSLGGGVGLQLLYHVLLVLWQLSFEGATVGEGLEDEYD IIPLYAQLLKLSPKEKTTRLLISTLYNLLSTNRDSLLPTAVLARIPSLLQNITGRHLS DPDLLEDLQNLTDMLDEYTKTQTTFDEYAAEVNSGHLRWSPPHRNPTFWAENARRILE HEKGELPKKLAEIMSKPWDQDKQVLAIACNDVGCLVKEVPEKRYQLEKLGLKTRVMEL MQEPNEAVRWESLNALSGWLRYSFETK LY89DRAFT_442294 MPPNRAGSRRAVTNENDENSAVGTTRLTRSKSAAFSEDSAVGAK KPLQSKKVAVNTQQVRKRSALGDVSNVTKGEIVEGKKNLGKAGLASKAAQPTGVQKNQ NLSRTNSSRSALSTKDTNKKAEVKRTGSGVLAGKRKTSSTSSTMIKEETPAEDEQPSR KKIHIEQQRVTVEETQEYMVEPEVAEKKSIKQAFADGVEDLDREDMDDPLMVAEYVVD IFNYLKELEIATRPNADYMEHQEDLEWKMRGILVDWLIEVHTRFHLLPETLFLAVNII DRFLSTKVVQLDRLQLVGVTAMFIASKYEEVLSPHVANFRHVADDGFTEAEILSAERY VLTALNYDLSYPNPMNFLRRISKADNYDIQTRTLGKYLMEISLLDHRFMDYLPSHIAA AAMYLARKILERGEWDVTLSHYAGYDEEEIEPVFRLMVDYLARPVTHEAFFKKYASKK FLKASILTRQWAKKNAADLDIDVSVPLDAAR LY89DRAFT_442371 MLQPRLLLRQSRTASCKFVSKRSSLPRHYATIVEPVRAAPPTGA AATSGFAPPATLDEGNATFMMRTYKPRTPGVRHLKRPINDHLWKGRPFLPLTFAKKGH GKGGRNNSGRVTIRHRGGGHKRRIRTVDFLRMAPGKHTVERIEHDPGRSAHIALLNEE ATGRKSYIVAAEGMRAGDVVQSYRAGIPRDLLESMGGVVDPGVLAAKTAWRGNCLPLH MIPIGTIIYNVGSTKGKGAVFCRSAGTYATVVSKDDETTQGGNKYVNVRLQSGEIRKV SKDACATIGVASNPHYQHRQLGKAGRSRWLNIRPTVRGLAMNAADHPHGGGRGKSKGN VNPVSPWGMPAKGGYKTRKVRKPNKWVVTARERNHGKRRVK LY89DRAFT_442332 MSSGSNSPPDGYFNDLSRPTEANGRNKRARSPAGEANGAADPAS GGDSNVPKPKRIACIICRKRKLKCDGTKPSCSTCTRLGHSCAYDEVRRKSGPKRGYVK ALEERLKQVETLLKTQDPAVVTPELPQTSFGNGQRNASGVGLNSVPAGDFNISNTALN IGNGVDTDRWGFNGESPSAPIDNMAFTADLNMGMGIDDNTFTWEMIGLGLEEPLPPQD TIDELHQIYFDKIHPSLPMIHKYRYLAAMNLAPGQRPPVALRYAMWTLAASVVEKFMD LRDHFYQRARKYMEADYLKGHGEHMISIAHAQTHSLLASYEFKMMYFPRAWMSTGSAI RLCQMMGLHRLDGAGLDVKMCLPAPRDWTEREERRRTFWMAFCEDRYASIGTGWPMTI DEKDILTDMPASDEAFEMSKPERTLSLAESMSPTGASKLSPFGGVVLMACLFGRNLIH LHRPDADEKDHDLNGEFWKRHRNMDNILLNTSLSMPSHLKLPNGLASPNIIFTNMNIH TSTICLHQAAIYKADKNKLPASISAESKIRCITAANEIASIMRMISHMDLSSMNPFIS FCLYVAARVFVQYLKSRPDDSQTGDSLRFLLSAMNALKKKNPLTESFLVQLDVDLEGL GMRNAKYKSVFAYSEDNLGISSAKAPRIVNHGFQTGLPKQTNECLFMRSVEDDGTAMD DRAPATSNQEGDPTSASSSVPRNDSFGEHPNWMPIDQSGKHGDHVQRTLQGLALGDIP QPNYPTRDVNGSGYGPSDNSTGADSGLSPDTTQSSSNRPTPNASTPSDTRSNLQNGQA GSGATSFSTSPASQQSRVPTTDGRAMSSFFSGAPDYTGIVPSTGMTPGNEFSMPETPG RGFDVPSGWEMSNQTNTGLTPVGEGVFRQLMGLGPMDPMDIGWEAGS LY89DRAFT_682134 MAPAPLRPRQSVAKERFSALFGAQKTVSYHDPQASRGTGNHGLR CIAWNPLGTLIATGSPDRILRVWNPERQNVKYSTELKGHGAAIEQVAFNPVKDAELCS VSSDGVVKFWDVRTKAVINEIKGLREAFTLAWHPDGETLLVGNKDDNIFVLSPTQSQP ITSHQQPVQTNKLTFDHSGDRVFLTTGDGRVKILSFPDFEPIYYLSHEHTTPFTLNGH TSSCLSIDLQPTARFLATGGSDSIIALWDTTDWICQRTLIDMIGPVRSISFSFDGSYI VGGSDEGPGLEIAHAETGEYVHSVKTAGPCPVVAWHPNKYCLAYTDLGSLKIIGVDPE RK LY89DRAFT_779174 MYWPIGAPRIYAASTSKAPRDRTFELDDDAESRETTEGSGSLLE APSIGGEGENEGLSGISTPVTPMTPMTPGIKPVEHDNQRRFPVRFNGHDTTLSASADN EPLLSLKISRTGHLFAVITSTSLTIWQTKPTAILAVVVRSKKSLSTYGPNVSLLVRPD SAIFVVQTTSGYLITYTLATDPDARVYRPHFATHTSGQTRRQSNFSGARNQGGDRILW GPGEGGGVREVSVRFRMVIKVDAGIGRALALDDELVVATQKPAAVQCIRWTPDSTGNQ TSTELFSRMDWMPKKTNVTEMIHDRPMNLSTWITNDGKAYAVQRVSQQKEVDGPQKLF RGYCFHDPETVGGNAVKAAINARFSLIAVGCTDGTIQIYTARDYVGNIPQSHSHKASV SQLSSGRLTCLTYSPDGYCLFAGYENGWAIWSVFGKPGANSFVADRRISEEHEEGWLE GVREATWLGGGSEILVIGQEDDRIWTIEMARSAITGCYSSANISRTLLQTTSNIMVYR GYDLPDLTTISAEASLWHTAQIPSSYLVDQWPMRCSVIAADGRYVAVAGRRGLAHYSV NSGRWKTFVNENMENEFQVRGGMCWHQHILIAATEAGDSFELRLFSRETALDSSMMLH VEPLPAPVVLITLTGDDSLLVYTYDNLLYHYIFTAASGSVKLKKVGQITFHGIVRSPA RVRGLSWILPDDQILDGDPSHDVAVATLLFLVDGKLVLLQPSLNEEQKLKYEMRVIAP NVEYYILMRDLPLASPLPLPESADSSNGSPSVQDEGNGLRDSLWIFEGNEMKLWTEVQ DVLQSAPSELARELPPMISIPIDFYPMSALLGKGILLGVEPDLVQRRDIKFAFFRFTI RTNLFIPQVLRFHLSRFDSSAALHLAHRYQNLEYFAHSLEILLHTVLDEEVDNPPSPE NALLPRVLSFLSSFAEYLDIVVQCTRKTEVRSWRTLFAYLPPPQELFEESLQRGTLKT AGGYLLILHTFEELSSSSEQLVRLLSRAKDEQDWELCKELARFLTALDESGATLIEAL EMVNLRSPKDERENSSFLFEGTRLKVPRPTKHSSTTDGSSNSDVDGESSDGHSPMSQG DSKESSPADYFSPQSDITGQ LY89DRAFT_682135 MDDFNSDTDSDYTSYWRDWFISSRGNEYFCEIDEDYLTDRFNLT GLNTEVQYYQYALDLVTDVFDLDCDDEMRETIEKSARHLYGLVHARYIVTTRGLAKML EKYKKADFGKCPRVMCKSHPLLPMGQSDNPNVKAVKLYCAKCEDIYNPKSSRHSAIDG AYFGTSFHNIIFQVYPALIPQKSYDRYVPRIYGFRVHAPATLIRWQHGQRDEMRRRLR RLEIESGFKDDEEEVDAGDSDEEEEDEEVDMDALENGEVEGGELNNQI LY89DRAFT_610504 MQLFSSTIVLLLCSSSVSAGPLDRLFGRVTSRQLVSVRTVSPDN TCGTIFNSTNNGTTANGYTCPSDLPCCSVHGFCGSTNDYCLTTAGCQSLFGNCTAPSA GTITPDETCGILGAGQYGYTCDAQSPCCSGNGWCGNTTDYCSPSNGCQTAYGTCDAAS NSTSSSGGSSGTPGTSTNGQCGPGFGTCASNECCSLAGYCGVTEDYCEAPDCQFNYGP ACDANKIPSGTNTSSIARPKLGSVMYGSAGIYDCANAGDMALTFDDGPFIYTSHILDL LDQYNASATFFITGNNNGKGEIDNTSLPWSALIQRMYNEGHQVASHTWSHPDLCNITS DQRKNEMYKNEMALRNILGVIPTYMRPPYSSCTAECGCEADLKELGYHITYFDLDTQD YLNDSPTLIVNSETIFDNAIANSSSTTDDFLVIAHDIHNQTSQVLVEYMLKGLTTAGY KPVTVGTCLGDSKANWYRTDTTATLG LY89DRAFT_442562 MKELFRDTVTGHAIRILTKGRVLQYEEERDPSLWKRYVNKEKSG HMAHHGHTGEPDEKEEESGDTDGQQQQHNGDSTSRESSDTRVGHPENTHARRNEVSGV LVDPEKGRDVTIVTWFSDDDPENPMNWSMGKKFLVTFLICLLTFAVYIGSAIYSAGTE EITEVFGVSQVAATLGLTLFVAGYGLGPMIWAPMSEIPQIGRNPVYIGTLVVFVFFQF AVIYAKNFGMLLAFRFLTGFFGSPVLATGGASLGDMYKPSKRAYAIGIWGVAAICGPV LGPLIGGFAAQHKGWQWPIWELLWLSGFALIVLVLFLPETSSSNILYRRTRRLRKRTG RDDLKCEPELMSEQMTGKDIVMMTLVKPFSLTFTEPILFLLNLYIALIYGLLYVWFES FAIVFEGIYGFNLGEEGLSFVGILVGAFVVIPPFFAYLWYVQEPQFNENGELKPEKRL PPAFVGAFCIPICLFWFGWTSRPSIHWIVPIIGSGFFTVGAFLLFNSVLNYLGDAYPE HAASVYAGNDFMRSSFGAGFPLFATAMYNNLGVDWASSLLGFLSIAFIPIPFVLYMYG ERIRKASKRARHDL LY89DRAFT_695075 MPSTRRVKVLCLLLFVFIITILFYTSSAREAADRDFYSITKSAL DKKHGTPGAKEAVVGTAADEESKATKQRLKEAADSAKEKANAKAPKPDPPSVVVGVGS AAEGASGERGVAGRKKFSVDGESQKPVKEESEEEHNAEVELNFILKKAPIIIFSKSYC PHSKRAKNILLGKYIIDPPPFVVELDQHPQGLELQALLADKTGRRTVPNVLINGVSIG GGDDVADLDAKKTLIDKINEFGGKKMLQVKEKPVEASEDHGLR LY89DRAFT_682141 MDHFTTPPPIPSRNRQHGRRKSLQGPLAHSSNRVHIQPASPEVI SSLITSLSIISSPANQLFESTGAPQPSPVSPTSTNATYNTFGNLNAHNGQRSSGGSFG IDYGAYEQPSLRQLIEEESLDELAAPTPVIRTAKPPSGFSPLTAPKSPADSSPLKTFL RGSSRPSSKGSNASKDRDDASSIGNVSIEPGPTPIPELRRKSSGDSWGKKQGRNQRGL MYMSSRERLREKEQEKKRASVGTVGNNTKGLGLERIPLPKFESDSFMAEDAIGEEPEE VSPTKNGKTPVTPTMPGSGKPSPALSAGSQGGIGSGRFIPERDSSLRKPAASTKKRSS QRSSRQNGKGKGIDEGDDTIQEKEEQSQERKRDRTSRHQKNGSTEAASKMMEPLDDLQ MPRPTKPVNGQKSDTTKFDQLKVAEDVDEGAPSPAVAQRKARPSPDRGDRSSSQKRRS GKATPEPLESQPKRSGSRLKRLSAPLSPRASDKDAHQRANSNPLARVGSPEPSRPHIH VDDRPTSADSIDDAVEAYLCSPRLSQKIRHPQTGRVISFSEVGDSEGFAVFCCVGMGL TRYITAFYDELALTLKLRLITPDRPGVGDSEPYNDGTATPLSWPDDVYAICQTLKITK FSILAHSAGAIYALATALRMPQHIRGRIHLLAPWIPPSQMNVFGAQVASPPTNSIPTS QRILRALPTPILKAANSSFMSATSSSITSSLPKSQRRGKRKSTGRDTPAPSGRSTVTP GPDKENHGRNSTIYPDPSEPGAMERAAKDPNDPNHETAILAAAANTLADKERQMTYDT RLTHAIWDLATSGANPAVDLLVCLERRHTIGFRYVDITRAVVIHHGSKDTRVPVENVR WLGKTMRRCEVRVLEGEGHGLMASAAVMGGVLMEIAREWDDWMKVTGSTGGTRGEGGR RTLRERASVQAF LY89DRAFT_610516 MGIALSLPVMGYFLMPSLTSYSTSLNLLFFYMTWSTLVLSQPPL KVELVGTAAIRTLFFFIPALLFLIVDSIVPSVAVSFKTQGLQALPTRTGGGRGSRRGG GSEWYKVIGLSLFNICLGIAIQAGVELLFTQVLGFRSALKVTTTLPMPWSILKDVARG LVLREILQYYAHRFILHPKSPNYISRLHQTYFHSITAPYSFTAHYDHPLPYLLWRFLP VYLPSIMFRVHLLTYLLLVSIITIEETLAMSGYTTVPGIILGGIARRQDLHSEGQGQG NFAPYGLLDWIHGTGIGPDVIDDMRDEAEKHNVKERSGKALENAKDSGVKAWNGRKKN SRKS LY89DRAFT_442635 MSISLFRSTGGLELYPEVCLRVAEELVLVDPKSINNLLATSKSW HKLLKTYEKSICSSILTREPRLEWVNIDQHEVLSSRIPLGSISVTAYTYPWASEMLSR VHTMEFLISNELTDMVDHHAQSWPTLDVSKDELGQRIARFKRLSFLLLYRLADCTASL PDTLKVRAHQAEFLDSLSSAELAKLGVIVEVMGQNYFTMTKNTLEATVSENSWTTAP LY89DRAFT_730451 MAQIAPISFTSPLQDDDTEIERAWWNLELQWVEEAEEDGYESST LDGESDEGETDEDSDEWEDVSESGSEVQQQRNYKMSIQDLTTSPLDLSTITPIMAVQS ELHLRGGSSGSDADDERDDTPDTESDDDFYGKYESTGSSSSGSGGSSGHYDVSDADSV ASESTGPIYGSS LY89DRAFT_730452 MPTLKQQYLVQHESDAPPPSYDAVTRSIDPMGAPQTALVPPMST VAISSSSQSNSSSFKPIVIPQTSKSFRSAFFSPFSRAWAPSLTSKDITPDQFLTFIDG LNEAFVAAPAFQIVTMIGGIMTFAPHPINYAGIGLQVGTGLASSATSWVRARAFVKHA NTTIWEPRGLKVKVLKTKKMMAVVGEVGEVLNLPPMVEMDAASLTRAEWDSEENDPRM RRMRALGDRVAELQFKNLPPPAEMSNWWKRMGSKQAQKRDEKTQGRLMKKREKAWEEM EKEGGKEYKRARKYDKEIREIEEEKAEEIGKAEKKLSGRRGADPEKRDKVEGDLQKEL RKLDHDLEKVLRKKEKKVGHREMEQDVHISGKGQRELRKVDAKEGKVAQKIYWIVIDK AETLDKEFGAEEDVESLEE LY89DRAFT_610518 MPPKKVPPPPSATGDEGTPSKQDAVFREGINIEDLNLPKSIVTR LAKGVLPPNTQIQGNAMLAMTKSATVFVNYIASQANEFASASNRKTIAPQDVFAALDE AQFADFKPRLEAELAKFNETQAEKRNAYKNKVANKAAGQSGAGEDDEGDSLMDREGQP ASKKARLDHDDSAMDVSEMTEGYSRADHTADEEGDDGGDETEEDEEDDEGDQERLEVE EQLEGPEEPEDQDEALDNGDDSE LY89DRAFT_442736 MKTSQTALFVCLLSLSDVVLGDLPLPSLSSVAKASPPTPSPPNG RKKTDPPSAIPAASSTVTITASIISTTPLSTATVQPSNLATTINTIDVSSFMTSSSSA TLTSSGAASTPSSYNGTSMSSASTVSQAKDSSSISHQTLVIILSTVLGSVGLVLLAGA LFLLYRFSRGQTPFGHRGASPINDDEIESWRRSGREKHQMTPPDHGPAIRDVTSLPLH SPAWTWAASPSSIRTVSANLSELHSVVAKAPNARAGLTDEALPGADPFITPPKRQSSR LSKPPPGHARTKSRRSSISTKSMWSGRERSSSDLKAKDRHSTWYDQDDEVISSHIRTD NGSSSPGASDFFDGMPSGGLSPRPKSRPRLYEISKEDIGRAIA LY89DRAFT_442755 MGLAVAITIGSYCVSEAHSSGFLFQELRRSFIQIPRTTNPSSCF CFIRTIDGIRNIFGYSGSLSSWLTFLTGKLCFVSSLLSIAIMGRRGTRPQMMLVKFEV RDGSNGYCRS LY89DRAFT_715816 MWKLWALALSINVGYQDLMCMCLMVVRYGCPLAFMRLRGRKRLR KLSTLGSGSGCVSGWRECVMLGYLCVWGYRECRIRTGLEGPMFWIVSIDCLLQRHWRG QERVGPARRLPSESRRSFESSCTNSGSLDRT LY89DRAFT_442773 MSVEISPRSVRFSNGAEDDTLDTLKSPRVMARPHVQTSDSSGSG DSHDSEHLPPDSRSVPHLQTSLSPPDTRSQMNSLNSSRNGSVYTPVTSPFAPPAARPP RPAPARTPSSTYGQTLTQQRRPGPPYGIMTSTSDRKQSRFKIAPYQVQEKAYVQRLRQ DPEEYFEPYTPSLGYTSDSGEDESPSELHFDNDAYDQETLLFYNNEDLQPTAEDLQDP ANRERVEWHGMLASVLTGDVVRQEKKRLIGTSDKQGENLYTEIWLGLRARITGRGVAA QKRMIEDTRSTLDNMLDEIIRFQVQGVSEAGKSPVDQVRDVVAKIEKCELLYPSRLAL EKANKLAASPQYQATCDAVISWHNTTELINTELAILQSWVGNNELDFNRAKERSPSGN GLSDESSFIDRLLKEDGLRSLQGDKSILLGVAEVILKAKKTLIENSEGFAKRHLPPYI EELLTLINFPSRLIEEIIKVRLQFARKMKDSAQQNAMMQEQMISQFQILLKLAIRIKQ EYLTISQPEPGWDLPPCIDEGFDQVVLDALKFYFKMLNWKLGGNKNTFKEAEVLEQEW DFSNEIGRHLQGGDVEVAEQFSSLTHKAFYRLSSTFEKDLLRKPQETATEMTKRYKQS LDSVRVRQRMLQRFSRVLSDRFENATDFSIAVRSDDLQHLYSRLIETGHFLVYTASIE HDGIFLIASPSLYNRPQEIQSILGTCYHSEDVPEDPTNPYILVLRPESQIPWDGRQVD ANIREPTTDVKVGQLRLIADGSQVRLQNARAAFLDSIDMHLDMLVEQRSNLKKVNLKL TEIKKVVYRLSNTIMDSVETVRQQTNGMDCQELIQTCFVFATEFGQRSLLYMDRNRKQ MNNLKLTKLALDWVSFICDDCIATDRKTFKWAVQALEFAMLMTQGQHILALGEDEYAR LRAKVAGCMSLLISHFDIMGARSTLAAQAEKQRAEAIAGQYRKMDMSRQMDDEQSAKY VLEEKLAQLSFLDDTRKQKEAERQALGRVLEETNEADRSLTYLSSSASNVNMRWQQGN FIGGGTFGSVYVAINLDSGHLMAVKEIRLQDPSLIPTIAGQIRDEMSVLEVLDHPNVV SYHGIEVHRDKVYIFMEYCSGGSLAVLLEHGRIEDEQVIMVYALQLLEGLAYLHESGI VHRDIKPENILLNHNGVIKYVDFGAAKVIARQGKTLVAADPAGKANKSMTGTPMYMSP EVIKGENPGRAGSVDVWSLGCVILEMATGRRPWASLDNEWAIMYNIAQGNPPQLPSYD QLSPEGIDFLKRCFVKDPKKRASAAELLQHEWIVAIKSQVAIEPSTPSDSGSSVHSNS GRGSLSSSMTSY LY89DRAFT_442789 MFGQDEHDASGVGTRSGILTPASDNSLNSSDGFGSSSKKRKRDG STMDDLLKDKFVVKPYPTTNSTKQHTLQPLILLPRSRLPLSSLDIVASAKALSHSRLY EAHVKILELEERMGTQPMVLIARLDDARTLYAVERESLGLYVLCQLGSWVNLQELRAA AVASKQELPRVSEGPSTIFQEASLPTVTPEINKYNKKKRLAIEAIQSMVKRPISNTSA ESQSIPSESAPAFTSSAGERPDPAPILDDVVSHPDAAEIFENVRTQYFEALYLSMASL AYFAKGPLSRARAAFHMDFDSTLDLKDHVNFLESLILSNALIDSKYRDGVPTCVSLLD IQDQSEDNANPTAKVKRRKSNKKQKPGKNGLYPTEDTLIRKWWNSHDDEAESGAPGDS RDERTKRRISQLRIRETQLQIIIILEVLALQPLASSSEDIGEDLPTALPTIEPMESKQ KQGKSKKPQDLTMLIDLHIDRLCIWQSVALEEATKGHSSESQNVSENIDTPTARTSHT DNILRDFCVEVIAPFFSSRLPDKCAAINRKLGGPVVVSPPRPKLSKSASFSGAPSRPG AATKRPVPAKPRHSLKRVLTDDRERRSMSRGPNKAISLMRSATMPIVPGMKREASEAP SLSSIPSADSQTVEANRGGLLNSRRFSRREIDLSAMAPKPNAKAEKQAVIQAELKEAI SALKKPNRELAGKSIVETAEKRSASISRKSKKPVRNPLFQSVQISATPKANRQRDMFA RSQHSSGERSMSDFMAIPPSSLPMIPQSVSRSSGGFPTRNPFLSSVQATPTRKPVPSF SNLSGHAGVFSGDCPPSSPLHIRRSSAQLFPAIPDSAMKKFSNTSGIADTPVKATTGH AHPSTLEIGSDKENLRQGSEVEKVFNQSKNHDVTNNESIYKALGWDDDLDELA LY89DRAFT_682151 MPPKSVKKIAAATASSSRPRLARGAKVKKPTLDNVAPPPPPPKK KAARPKERPSSSTPEAEAEGDDIDAAHIKPPSTSNSKPKPTPEKKTPKTTAKKITKKP TSQPRT LY89DRAFT_442835 MFLHLRTTVPILRCGCAWSLVSTRPKARQNLDHRRPSESPSPGR PCLHRSRQTLRAHRRRTHTHFAPRRLRNPLPPLLSPIGISSVFHASACPVRGFSTHPQ RELTAAHSSSRSTSPPSTRKIEEGVSEPTTSRGRTGTRIALIFGNSHCDPLTQTLSSD LPVSSIISNCSPTCQCLVCLPAKQIIMAAVARSRSRSRGNINPSVPISDTPYASDPSE LLLSSIHPPGQPLPDHANEHTRTRLPSISLRTRAETISSVSSTSSSPVRRKPLPATAS PLATRFSSGEHLTGRLKLPDQPFVRPYSVDSPTLHEFPPTSSVPYNPAVDTEQSLWRP DVHQDALTSEFFSDPVSPHRATFSQQTASHPSSPNLIVPLQTRSTATPLSKHARLTSE STLTTASESITPWFSDTSSTTYSNSTMSIFSPKPTPPHNLNGPHVASRSYSNESNDSN TTIQKSQPKSPGASKLGSFFGWGGNSPTSSTTTFSEKSFSPIPSPGPSENTLISADAS RTASSKNIPSAIDVPKANAEAGSYFGSAYLQLPLATPTTPVQVEEMEKELKDISSELA SSIRREMDLEDLVERLQAEAQNPPSSAGKRTSDYFSDSGTSSVKYGGEPDSRQDELDR AIRKTEQEKAQIRLELTGKVQDERTRRKHLEAQIRNLEEKASQVDLASINSMDANGRV RDLEATCEDLRRRLAEEKQVKDNFEDLLTALKSELLTSHNERDNLRDEIVPQLRARVE GLEAQAAEHEQLAYEQSKMQQELQTLKNENQTLINAQRLQMEMQRQMKKFNTIVEESA APTPTRSSIGLMRSNSVAQSNVSRAKSGSLSRSASVKTAESRDALAERVKDVEAQRDA LHRALKSLLERQEYQNRENQKRIRQLEMERDRALTNSPKRLSYDKEVANLRDEINTLR RRADEAIEQKWQ LY89DRAFT_578999 MSHINGSNGTNGHKVHHNQGTFLFTSESVGEGHPDKIADQVSDA VLDACLAVDPLSKVACETATKTGMVMVFGEITTKHNIDYQKVIRNAIKDIGYDDSAKG FDYKTCNILVAIEEQSPDIAQGLHYEKALEQLGAGDQGIMFGYATDETPELFPLTLQL AHKLNAEMAASRRDGSIPWLRPDTKTQVTIEYKHDNGAVVPLRVHTVVVSAQHAESIS TEQLRKEIKEKIIKKVIPAKYLDDETIYHIQPSGLFIIGGPQGDAGLTGRKIIVDTYG GWGAHGGGAFSGKDFSKVDRSAAYLARWIAKSLVNAKLCRRALVQLSYAIGVAEPLSI FVDSYGTSNLSSDELVEIINKNFDLRPGVIVKELDLAKPIYFQTAKNGHFTNQNFSWE KPKTLKF LY89DRAFT_639956 MSKFGCLVMGPAGAGKTTFCSALITHLRNNRRSCFYVNLDPAAE EFVHEPDLDIKDLISLEDVMEEMGLGPNGGLIYCFEFLLDNLDFISEALDPLTEEYLI IIDMPGQIELYTHIPILPALVKHLTKTGSLDINLCAAYLLEATFVVDRAKFFAGTLSA MSAMIMLEVPHVNILSKMDLVKGQIGKRELKRFLDPDTTLLDDDTGEGEDDGSGATTD TATLMRGASFKRLNKAVAGLIDSFSMVSYLRLDVQDEDSVGGILSYIDDAIQFHEAQE PREPNDEVEMEYGDE LY89DRAFT_682153 MDLLHDLTFEMLRFYHASEPYTKPLTKLLVTMKNETYPLVLPYM NRLAVLAQDSPAIITVGIFLLILLIALQILAVMKRVMMFWFRLVMRLIFWGVVALVIS VVMQRGVGRTVEDIMGWGEELSTVWWREYERWEGYQKQQQRGVQQPFGRGNAETSWR LY89DRAFT_779194 MSPSSSPLSSPLSSVASRSPTPPGDYPSPPSSNASDKETASGSR DAQGDVPDSDGPPPAKRQKIAKTRELKTEYLDLQKLIESEDELHHKTQDAKLTRLMEA LRTKRKIVVIAGAGISVSAGIPDFRSSNGLFATLRTQHKLKASGKHLFDASVYRSDSS TTSFHTMVRELSHLTQTAEPTAFHHMLATLAEEGRLLRLYTQNVDGIDTSLEPLATTV PLNAKGPWPKTIQLHGGLGKMVCSKCGHLADFDGALFEGPEPPACEECETIDTVRQAS GLRSHGVGRLRPRMVLYNEFNPDEEAIGAVSHADLKTRPDAVIVVGTSLKVPGIRRLA KEMCSVSRGKRGGFTAWINYDPEPVGIEFKDCWDMVVRGECDEVARLVNFPKWNEKDL GEYTIVKSEEVEKSNTRPEVVVESYPITSFQPPAMPTPVDSPRQQSPTSHAPAKLKQI SLSFAGGLASEAPASRPADAKKKRGRKPLPSMPTKPMSAIKKTFGASKTTKSIESKPV KVASKSAGPTSPYFPSLHKTTPSSPMRPVSPFETRNNKDLSSLKAPETPKPVVEVPVY QQGTISPKSVPTGMAHLLS LY89DRAFT_610538 MPMIMDDDALDMELFGGGDLSLPARPPPKELYQRLDELRESGCC QSIAWSKWGSIASITANGTAVDLRNLRCHPEDGTWALSEPYKTENFSNSMEGGPLKHL AWAPNGSDLAAIDAAGRVAILSVFSSLNKVALARMIQADPTDDLHAVVGSYWLNLATL PPNRPTILNGPAVKDGTDYRYEASQAPSLGPSHPNHNRSAFIFLTTNGLLRLLFPQNN NKWYEVHTELESIVSSDDLITHAAICADKNNTLLIAFATSSKQLRTVRAVIEWNQPKM DKAAPAQLPVQPQIKTRHLAVTSWYHEGPGIPLNPSHVESSMQQLSRLEFLPPCVDAG GKVVSPTIITFRSHLPISSSHFNQDVYTTVDRWEVRDKHQNIHPAFEQLSSRRNSVGS QPGPTVFLKKIESFTVNKIAIGLEPMNHGKVIFFAYSDGSIEYRDRFDMSETFNDGNL ERVWHLSQIGFTYPEDEPCLQIAFSPSYCSIVQLKGDGKVQWQHLDYRLGDLGTSAED PMYGATIAALSLSIGTAVMRGVNYDDLLATVKKHATPQLIYDLLTDTSRIFKLQADYS EESHHDMLVRNTSIQLCLCLQNSLGFKGEFNPRTFSGRFSWLVLQLRNIVVCVSMAAN LNPMGPDKVSPLEDSEVINSLTGSVRWILDLMAWLVDTLLTLPTTVPSTVDLTNASKL SLPDLLAHLHSTNTVALHMLLSSSVRGFLTAICRRLVHLDYIARKAIMLSGGTPSNNL NQQNPSQSPHPISNVTPALRQAYLQIATLTSTTIVKVKTAEALLSSLSSLIKTAYATP PTSSSSTQPVLSGSPQAEKVRNQLEIKMLFGSSFPDAFKTVIVELFRKEGLLETVQEE IEPAQLFFADFTMLEIDEDHASVKKRKNLGRTMDCFLKTWLRNPPSLHSSENGDSQAN GSSRQGVKWRRCARCAAVMEDSMSQRPALAWLMMQQRRCFCSGYWDTLPAGQMAA LY89DRAFT_682157 MSDPVVDGINLDALNYTIKVPYNGSIATGGNSLTEDLNVFYDAG DIAWMITSTALVLLMIPGVGFFYSGLARRKSALSLIWLSIMATAVVSFQWFFWGYSLS FSHTAGKYIGDLENIGFRNTLAAPSVGSSKIPDLLFAVYQGMFAAITVALAVGAVAER GRMLPCVVFMFVWSTLIYDPIACWTWNPHGWVYKMGGLDFAGGTPVHISSGCAALAYS IMLGKRRGHGTQLLNYRPHNVTHIVIGTVFLWVGWFGFNAGSALSANMRAVMAAVVTN LAACMGGITWCVLDYRLEKKWSTVGFCSGVVAGLVAITPGSGFVPAWAAVIYGVVGAA GANYATKVKFWLGIDDALDIFAEHAVGGLIGNILTSFFAADYIAHLDGATIIPGGWLN HHWVQLGYQLADSVSGGVYSFGGTCLILFVINLIPGLSLRVSEEAEILGVDDAEIGEF AYDYVELTREVLNGDSDEDSKYSNHLAHEGVAHLPGGEKLGRSSDTDRISRL LY89DRAFT_705353 MANITGVEAAGWDELHRYWWQYILVGFILLAVGLGSTFLLYLGL CKLYNDHIQGRVGSKQVPNDVRRYLSQSSADLSPYSIEKTTKRPNSYGVYLGAFETPP TSEQVRLLAEWDLLVFDPSQAGVLEAMSSGIYAISPQTIARLDVRHIARGSIHPISSI VQWIGRHVKVSAKITGHQHCFTGVLVSAWEEVITVPVLKAFIIFASGLGFTVYLEVSA PQFLVEPKLAELEEVTGLVLRNGTISANGEERDAFQMSQMRTTIKAFISQACLRSFVV LLWETLEDDASPLNAVMRRSYQWSSFYSALPWIGTKSALTSAELSLVQEEPLAAFDWL KELRVMKIHDKWRFNKICVSTISQESTEISSLTSRPSLERQTSRNQASAASSRSASNS LSSTSQSSIPLASPSWLNLLDQSAGNALSNSPRGGSYDYFGCFPLGFNVTRKAFADVV QAQQRLKGMILLDQIKNSQLKEIGEKLQQFCTTSTARGTRPAFEWLAVIQELAHQLSN LAESGSDDFLDPIRVYIGLDSGFQIQARSRFWGVYGFNDDGNLDIFLSRNVHDITGAI LHTFLSSRGCSRHECFQTEVMFATWSGDLVEPLHLSQRMVDDLALLSPAELLKFLQHL TLTPGNGDSTIVSLVRAACEKQLLDGTDFAQLKEISTTGYLSGRATAADLVNARLHWY KQSGCQHPDPLIALHNFLRIDDSITLMLKHRNISSLQRLTDVLVKSIEDGIIDSRVDL IAFAVFCAMRKHAFDEAYIEVTDRNTLFNDQSDQAAAFAELFATGARCEAYFDLTPSA FGKMLSDRYRTYHHRPGYEPPIWSDLEPATPSAYAAAKIDVDPESKKSSMSAPQRFTF LSVFAIPALLDILLLTTTGRGLYLSSQMSHKVQHSATLALMLSLLISGAVGTWITCGG SYYLISMAFSAMNMFVITRLVGGLAFTLAIATVGLIAVGVLDGIGAGFVFFLYFVALT SYLTLLATLANFQYPGSAFQSGRPIIIMVIPFLFISPVMCMWVLHHDIYIYLVVIYTF LSLLVLGVRRTGSRWTTWYLAIEKINDQDLRKWYIKKYEDGDETAVSAMTEPGVLKLA RDALYRDVTAVRRQWRMKSSDSVVLSLAKSYDATIFLLEWYSGYSGTPMPIPYSSTWN MQTKVALQTLKQLQTGIRLHNAFIHWRQAGDEVGCTILYFIVALLDKWSALLAGGQLL GLSSQNTRYRMPVGFALAYYLIGAVLLDFNAAKLHTMTAKGQNMLIGDVVSISEAVKR EVRARRYLYWTMLGRYLLFHVWSMAVASTLLWVFDSTRDSTLLFLAYVAAYTGLLWYQ YTKIFSGPRSLKPLIAAVLIALPLGQLLRHYFPKWMYCDVAALGVATWTAAILTLYYA RIKTKSVYKTSKKPLISKQSSNKTAKDGNYHAFTNPGKDPLLSQDELRIVFNNLQALR EEERYQIDPQTHPGLEIKSVLLHALGNCCDPRGALSKFSLEAFPDAGELLERAVFGFE HGSVVVDCIPMAAMSEAFGDVKAIARDKQGILRIVIGCEMMSVHEQQSSISNFCQTTA EVILHAVAERFMGMTHESATLAESFLVPVPTYHIARHSPVPSRVKNYLTVSKCSASQA RALARFCEDQTIQFLALGFDPDLQWEHLPRNIRELIIKRCTGQEENLTRSQHEWLVFN HARGIPIKTFMTRCNFGAYVAMSNRRYALGARNDTLGEIFYHQDTLTSLSFLRVTPPV AKFTTQKIIRSLKVPFSTVYHNLGIYLKLLAIAFVAEPEFQRELNYAIHKNPKVVRNI IFFFATGVWKYTRSIQQIVMPIFLLHGRKNVSTLWHRIGGTTVSLKRQRIAIENTDGI STAFIHPAIAEDGAFEVHQYAGEIDKEPEDNCKLQRISTYSKAMLLLRREDFTNGAKG NVYEYEYLTENGGLRPKRLSKIQSHRYPMLRKCIEGKDACEEVNFNYRGLVQSGSYIL HGSLIRFSCHYRQGSNFDDELLRAEFVLPHLTCTVSWSAPPPSHPEKLDKWIPHSQIM EATFVLGSDVYESHWRYDHKFDPTISTTLNGESIETPPIIRWDHLGVLKKPTRYSFHY DDPLITFRSIKPHAIPRWLGLNIHQNPVSTSRARSRLWMAWKNTPGFDGVIVRWLDER LLRREPLLRTYWSRRDRGDLPGAEAFLNENADSVMAAVDLDNSISGWAPLAIKIADLY SFGQGGDANSRTRSKDPDFDNDGLQVLAVDSGTWPNEGGGVSACRRDMINNLRSVSWH MIAESANDFGLPKHQTEMNVRSLKVIPLWGLDFLTPTHGLFRDRLDTEVEHVPRDATK ADVKRNFLPILTALVKGARTSHFTKADIMQTTRALVNLNTYFSESKHWGAIWTSRTVK DAWRELWISQDLVSPTPSESWFQTEIPTVAQLDGALELWFRYLFIFSIPVPDRIPAIF QASHHSVSASYGIVCKIKRGCTLQIWDHAISWRETNLYLSSDLCTVAPFVRNSLLGLM RITSQLILHHADTILPCADFFNPGWEIEIGTSQGSLEHRNTFKRKIDPVVNGIPDMSK FAPIKEISSTLPTVTMLSHVWYAKDIKTAILSADIIVNEWHFTDYRLDIYGAIDKAPS YSTDCFEIIASKSLPRFVVMRGEANPTTVLEKTWVFLNSSISEGLPLALGEAALTGAP VVCTDVGASLRVLTDQDTGERYSAVVAPNDARNLARAQINFLAMLDEWAPYANDPSGF KAPTITDKPTKEEVAAITKRMYEKTAERKALGMRSRAIVQKAFGGERYLREHEQMLWI GKSKYDLIHRPPPQPLQRPKLLRSGQSMPMPSTVWGTASTSSMMTAPSSALMTPGVRS LFDVGSGSRPSKASTLFSTQPPSMNMLENRKSGLSASMPALTARAMPQRVQLLKRDSS YGYESSERSSSFRAVMTGIESGRERKQQEAFVRDVSAALQQV LY89DRAFT_579580 MLLPSCILASVLCLPSAFAFKHHNRTGNHTHFHGTETILLNHQS VQQPAKPLPLGLLQLNQTSISANTSFVPTVVTPAVPATSGTVDSTVLVIARDTTSAYS AYSGLNDRGIPYSVLTVPQAGAALPSLNDTTTHGNYGLIVVLSEVSYDYGTKGYASAL TSAQWQSLYAYQVAFGVRMVRLDVAPSADTGTQSLGACCTGEQLVSISNASAFLQAGL NVGAGLTTKGLYHYPAKITNPKIAWEIAQFAPATADNITTTTTAGVINVINGRQQMVF FMPFATDWSLTSNFLQHAWITWGTRGLFAGWRRAMLNTQVDDMFLETPMFDTGENFRC SAVDVAHHVTVMAGINKRLNPGSNWWIEIGHNGNGNLESADPSGSDVVCNPGPIEYPD QIDTPLEFAKPLGSGSSIWPANASLEYGNYTLACMKKDPLLVWWQQPANLNAFSHISH TFSHEDQDNSTYFDVVRELTWNKAWLEQSGISKAKKYSGTGIIPPAITGMHNGDALKA WYEQGIVHVVGDNTRKPLLNADNEHWPLMSTVASNGYAGIQITPRWASNIYYNCNYAD CVVAEWKNVSKGVGDMYTILGIEQDTNTRHLLGLHHDPYMFHQANLMYEDADYYTMSD DITTVYSLMEVWVETVIREFIRLVNWPLITLKHDDINASFRDRMTRDACKPKMTWDVN PTTGAISAVTITSTRNICSVPIPITVPASVTDTQNFATEQIGHDPLTIWVKMAGQPVT FTLSKPVSIY LY89DRAFT_715828 MRSPPLLPPPHPSPPLQRTTPQQQRGGSRRSDSTDFLPSDYGAP LVGWPGEWWLNTSSPNVRSIMLKRLDLAATKGCDGVDPDNIDGFKNDNGLNLTRAGAI NYITFLSEAAHARNVSMGLKNRGDLVKEVIDEVEYAVNEQCVKYRECKIYQPLVASNK PVFHIEYEFDEFHHQRHRERRCLDADLRLQAGRQFLHDFEKCVIG LY89DRAFT_730468 MPGSTGSGASSPERFSSGSDFGEVDTPFTERSLLFDDIDLDLVQ KSVNAPYILVVGGCGFIGSHTVWELAKAGYNVVVIDNLSNAFSSVFDRIEAMVSNHYE KTPERRPCLKLYQADYRDSKAMTAILDEYEIPAPFVLSPAESDLRKRSNITGVIHFAA YKAVGESIKQPLKYYANNVGGMIDFCSLLGEYGIKNFVFSSSATVYGTVADIGIPLRE EYCSQQTTNFVDDDGESKVIHSGSSGLTNPYGRTKWMCEAILSDLAYADPEWTITALR YFNPIGCDESGLLGEDPRDAATNLMPVVLRVITGALPVLSIYGDDYDTPDGTAVRDYI HVTDLAKGHLAALKSRADRGDHGFRVYNLGSGRGSSVLEVVDAMEQVCGRKIPTRIVG RREGDVGSCVAKARRAEEELGWRTEKTLEMCCRDVWHYLSGAALVSL LY89DRAFT_443229 MAISDAVQTLSAAFSFGILLQAATGALFIYYRGHGSSIFQDGRR LVLVLFLLFAALWAQIDFVNLLLPATMATGCQATLIITTMFDQLARVGMEQFLLWSVG HGTRLTAERLILQGVLLARLVAGGLLVGFTRPQFEPVCVAETSVLPIAIIVLALDAII VGVLLVRAASLGMFQDMSGKSGSEQSKALVLSIFGFGFWTAMSVPLILGIPTIILILR TVLPANGLLVLVGIVTMFPHALLSDREQITTPEARSPFVTPMPPSRQIFQENVNNDGS PISNHNYTKSGSLFVVNPSATPRDSPRGPFANSPRSDTRGFTKMSEEVTVREIGGRDA PERPNRPERNAPGYRGSSGVFPSMANAATVSALAPQIRPNITVNTKVISGPMNLGPSP AQQKRGLFNFNKTPTKPSVRSLGISQPVTLDTESGAQPFARMQTIDLATAALNERERR EGATARAKLIANRPAPQPPTMSSQEALRKSISVKRKEMPMRVSEAMPTIPGSVSSSME ASGSSTSASLSPGRDDIRRRSPRQTNNFEKVPVIDAKTGKPVQLQRKNTLGGLPSNPR ATRLMNPAPEPPKMTIPQQTVMFMNDIVYDNPSVVKTIIRDAPSMYAKRPKTATEKKG SFDSTSSLHSAGSIIHRPRPYKRDQSKDRAIFPSEPSPGHRRSRSGSGITPSRKSMFL SNPGSPSMLPPLPPPPTTAADLKRLLPNDTKSMTFDEKIELLFPAPPGGATTRQRRSS VPSLPRVPSVFMSDSPQAQSPTEEEAAARRASKRTTIAEFLVDTVPPTIPNKSAKRQS VKLAESERQAYRFSANTYRTIADEVGETWIPGIPAQDVEPRNALLGVPQRQSVLDDMR KSHFTVTTNADSEDDATTYWGSVHDDVPAVNISSARSTFIKPFDSKKSSTVPSIRTID SEDAIDGEEIMTVMLESEENRQSFLVDNVADNNRRSFFLDAGEALPGDKTPSSINERG WHKRIGDELPTFSERKEMRKSRKMPPPTPLLLNRNGRQATVVVRPAEPSPPADSPERA IAEIQAQLKRFEEPGRGSVGSLIRGLPGGNESGTTMGTDRLRLLENLELEMGQQENHW QQMQNNLDRDSIASTSQLISPQPETVSESTMSRESSQRSSRTPSRVLSRRARIRSSMT DRSKGQMSSRTTSTESSDNSRASVWQQRLAEAQNEYMENAPQLLRKKSLNFLSVSKSH QLGSPTPPDSGESETDMETDAGTESESELEAARYQELLQAPRKDPATLWQQPLPTPKA AVGRMWNPPFESVQVRAASPEPPAMNVRPVQRRTQSALPISSTDLWSKPKTAANKRPV VALWGSKFVRPVSIVTRRVTQRPQRKSKRVTFLPDIVESPVPLPNKRDTLGIFQFPWG EKSDQPVYQPAFNPALLAGPALNANLEARARQLENSEQSEYSSSFFDDYDEDQDEMDP ESDDDFDETTLWEIANLLNSKDVPSKQSLLPPARGQAIAEEFDESDDEDSAIPPPVMN LPIRPLAMNERTESQLWASQAESAIPNISTGLPQPEPSVWSAMVLASDDAVRSKARSS DALPVLSSRELWASNESEKSSPVESSMWQASKDISSPPSSAMWSPQPESTPTRESGLF TPVEGLVIRTTDATPAAIQMAKTPRPAAVLAPSISSRNLWTRLQNMEEATEWLSKSSA ARSPRAQVMWAPTSKIVEAQKIGLFDATLPRVVFRTTTSAPAAINMICKPRTTRVPLS QLTSTKLWSGCNKLPLERDWISESSIRPESPSIYSASSSGNSSPASDSSSVKSTSTKA SSLWGSVSALAIPTWWEGKSKKPADSSPVDDSKPASKIPVRQNTPLAPVRESRVLASR DMWEAKTPVLEKTPSRRFRRGTVAQDSAPVPHRALRHQYRPIVAFRANWDEALAEAIA AGTPKRSLPRPIARKADWTSALNEAITSSKPRLQRPQCSRAMWADALAEAVSKSRIPR PVKVQRYDPAVLHPVFFTKSLATSAKDIHPAAIGHASPKYDPAVMHPVFFTKSLITDI KDVHPAAIGHVTKKYDPSVLHPVFFTESLVSTTYDVHPAAIGHISKVIRPSMWTSSPV TAASKSGSMWSKESSVPRKAALEASIIAEPVRKVTVARVVELPILESKTFWQPANTVT VERNWMLSTPVQSPKTWTPRSDSSSQGSDISMWSAKATSSPSSPDMFTDMDAGPVRKA TAQKGLDLPVLESKTFWQPTRSDSTERNWIQSAVVVSSKTWTPRSQSPRLQVKDSNSM WAANTTSSSTLPDMFANMKGGLVKKASPSRPSALPRLNSNELFGTGSGSAERNIHWLH NTSTLPSRALTWTAPSTCQATLPESSMWEARPHINLPSATLFENAHSQPWNSKKREET SLSQLESTDMWRPNMFIPTSPRNWLVKRRFSKVEFRY LY89DRAFT_579020 MESRDSLDPSTLSGIPAAFDPYNTNSFDDVVFTTDTLALDGADS PLFPADWDQETPTYDGGLYSTPLNWEAPIETKIEPIPPTPYTTMNTLTPAQQEKLRNI AMPQHLQYRGQHSPNSTASNKSRSISSPDNHEERSRKRKSSAEADDDDDDDSNPPVKK TAHNMIEKRYRTNLNDKIAALRDSVPSLRIMNKTRNGDEQVLAKATEYIHHLEKRNKR LLEENSEQKARLAAFETLFRSGSMGYTAPPMANPFQFNEYNTPGPSPGGLEPQGMIQV PDDMRRLHGHMSQQQPFSVPQEQYQPTRPVVQGGWQNNPYFGKLMVGSLAGLMFFEGM REAEQEEDTPGARGLFALPVHLLRSLSNTIHSHMEIRVAGYHVSAANTMAYLKIILVI GALLYAFLPSLFVSKPKPSNGKTQTASLAAAPSLASPIQVRRQAWLTAIQTVWVPRHN FFLEAAALCLKMVKLSLRNIIGPDGYAYLTGVTQQEEAARIKAWTIALDAQLAGGDVE VSKSRLTLTLLASGTLPDTPARLMLKALHIRVLLWEIGNCGFSGYYLFQAVAAKLARW KWNEAKQLQVLASYTKAKQEDELPPYLVTLLEQGCDDVLADSIGQRAYNLAWNLPTTH DTDSRGGSGMDGVVDDHAIRSPLDAVAAWYSSLVLQQALARSLTPEGEKDSKKDLAAD ITLAIRTAPIGSAAQARALVAFSVLIQKERGRNIATAIKALTPLENQDKKPSNLVNTS TSTVGFPDIKMSLSCAMAMAHMVRYPVPLNPKPAHEIIATIRPTNMTLLGFTSAFRLM ETIEGHTLVAASCTNALEGLAGALRIWVGGKDGEQNCLDKEVKHAIVERCLSIQKRIV GMEDAGYESSEYP LY89DRAFT_578424 MSSNWQPQVPVEEIKAGPIEGGPDGVKGSENESHGGPRKKVVVV GLGMVGISFIEKLMKLDAKRREYDIIVIGEEAHLAYNRVGLTSFFQHRKVENLYLNPK EWYSNVPEGSLNYHLNTKVTEIHPEHKLVSCSSGETVPYDVLVLATGSDALLPRHTPG HDAKGVFVYRTIEDLQNLITFAAEHKGTHGAVVGGGLLGLEAAKAMIDLEAFEKVHLI ERNRWVLSRQLDGDAGGLVVQQVRDLGLDVLLSKRVGKIEVTEDNAVKGVVFEDGEKM ACSTICFAIGIKARDELARRAGIKCADRGGGIVVGEDLSTSTKDIYAIGECASWENQT FGLIAPGIEMADVLSFNLTQAKVHSYRKFKRPDLSTKLKLLGVEVASFGDFFADRDGP KHLPVRAARKKEGSPDALSKLTDGPPPSPVKALTYKDPFANVYKKYLFTLDGKYLLGG MMIGDTKDYVKLVPMVKNQKALEVPPSEFILGASKDGEDDGNDLDDDTQICSCHNVTK GDVVTTVKDGTCKSIGDVKSCTKAGTGCGGCMPLVTSIFNAQMKAMGQEVKNHICPHF NYSRADLYNIISVKKLKTLEEVMREAGNDKESQGCEACKPSIGSIFSSLWNQHIMEKP VHGLQETNDRFLGNIQRNGTFSVIPRVSAGEITPDKLIVLGNVAKKYDLYTKITGGQR IDMFGAKKQDLLKIWEELIEGGFESGHAYAKSLRTVKSCVGTTWCRYGIGDSVGMAVR LEERYKSIRSPHKMKGGVSGCVRECAEAQNKDFGLIATDKGFNIFVGGNGGASPKHSE LLAKDVPPDDVVPILDRYLMFYIRTADKLQRTARWLENLPGGIKYLREVILEDKLGIC ASLEAQMEELVGTFFDEWALAVKNPEIRKKFQQFDNTPETVETMETETDRGQTRPVYW AKESVKEDFKNTKWTSLTWQPIIEASHFAGADAAPNGISATIKRGDTQLAIFRVRGKW LASQQMCPHKRAFVLSDGLIGDSDDKLWVSCPYHKRNYELNGEQAGRCQNDEEVSIAT FPCEEREDGMVYLKLPPVHELDGVLGTKKWVVRKGESGEGPFEKLDRRIGRNGVGMKG RKPFEAKREMVGAVGGGEGNIDW LY89DRAFT_443303 MAGGAIVHGTTDTSRIEAPVTIKAYLMCAFAAFGGIFFGYDTGW MGGIMGMKYYIRQYTGLPYLPENPTAAQTAAFVLPGWQQSLLTGILSCGTFFGAIIAG DLADKIGRRWTIISGCLTFTVGCILEVASTTLALMVVGRLIAGTGVGFISAIIILYMS EIAPKKVRGALVAGYQFCITIGILLANCVVYATQNRDDTGSYRIPVAVQFLWAIILGT GLFFLPESPRYFVKKGRIDEAAKALASVRGQPIESEFIQDELAEIIANNEYELSVVPQ TSYMASWTNCFNGSILHASSNIRRTLLGIGLQMMQQLTGINFIFYYGVVFFKSLNTIS NPFLISLITTLVNVCSTPLSFWMVERFGRRNLLIVGGTGMVIAQYIVGIVGVTVGQDK GKDTNTAAVSAMIAFICINIFMFATTWGPCAWIVVGEVFPLPIRSRGVGLSTSSNWFW NCIIAIITPYLVDAQYANLSSKIFFMWGSLCIVSVLFAYFLVPETKGLSLEQVDRMLE EVTPRKSRSWVPHSTFAAEMGLVEKGVRVEGTKEV LY89DRAFT_610564 MNLPPNSKRLCERCTRMTSTLEGLQELASRQGYKHLSIEELYSS AALGCSFCTILTRYHKRGERGYPETQVQILPRSSPLKDDEIGDHMAQSGSTDYPFTNA KLDDLQSCKWYNWTKAEDTDSRSLDSRPGWLYPFTGQDDTAAPYTNIEDDHISVSGPE IEEEILKRLELCMKNHSCCPKSNESCLPSRVIDVRPKGEDDKVYLYTTQSKDASKYLA LSYVWGGPQEVTTTTATLLDKHQGISMPNLPLTIQDAIRVTRNLGFRYLWIDALCIIQ DDDEDKKHEIKRMGTIYKNATMTIAAANTTSVNESFLAPRPIPGTCPFPYLLPDGTFG TLWIKDKGHPEPIQSPLDSRAWACQESLLSPRILWYGPAHLKWICNVDKFPDCNLGKA PTYYSSYNYKHRRLPQSIYGLAEELVDDIDTLRSRIWIQTMMDYSSRALTYHEDRLPA LSGVASETQKVWGDEYYAGMWQKYLVRHLAWHASISTTATITKDADTENLHLPKQDQS QSPTWSWTSYKGQVGVEEVEKSFAEVLDCRITLVDDEFPLSRLIDGRLELDTACITEE DYSQMRKSYPRELPRFTRFYWDYDQCEDQDHINKSFVYALLGFKERDDFVALVLAPLG DGTFMRIGIFRGASGNVLFGKPRSLVRKRIIII LY89DRAFT_730474 MATKYAVKVLEELGLVSLWSSTIDTKLLCIQRFVRLFAYGGSTL ILVSYLEVLGIPKWKIGLFMTLTLVGDTLISFVLTLFADALGRKAILALGAALMTLAG IIFALFGNYWVLLAAAIIGVISPSGNEIGPFRAIEESTLAQLTPSANRGDIYAWYSLI GTAGAACGMMTTGWLLYYMQETLGWTVIVSYRSAFWGYACVGLIKLCLALALSRACEA EKKKPSPIADPETAPLLGDGAEDEEPKKQKNWLTSKLPEISPSSRIIVINLCILFALD AFASSLAPLSWVTWFFRSKFGLTDGRLGSLFFTTAIIAAASMILASSIAKRFGNVKTM VFTHLPSAIFLALIPIPNSLPFAMMFLILRSCTQSMDVAPRSAFLAAVVLPHERTAVM GLINVVKTSASSLGPLITGILADHNVVWIAFVAAGTLKATYDLGMLAVFAGHKPQEER AEEERLAEEERLRETLTEDPEEH LY89DRAFT_639993 MDTQASRGAQLEVAQAEAPEFEKVTWYSDPGLRVLYFWCAVLCV ASATTGYDGMLLNTSQNLKTWENYFDHPKGGKQGLLNAIYQIGSLISYPIVPYMADWW GRKVPIAVGGVLMILGGFLGAFSNGYGMYLGGRFVLGFGNSLAQMSSPVLLTELCHPQ HRGRFTAIYNCLWNLGALFVAWLAWGTMQIQNDWAWRSLTLLQALPAIIQVTFIYWVP ESPRWLISKERYEEAETILAKYHANGDRHNATVAFEFREIKETLRLEFEFKQASTYMD FLRTKGNRYRLAILISLGVISQYSGNALFSNYMNLIYTSAGIVNQDQQIPLNGGQTLL SLIVSVSCAFLVDRVGRRPLFLASTAGMVLMFMAWTIVSSQYVGPLLADGKTPNPKAT NVKTTGYPQIVFVWLFSVFYALAWSGLLVAYALEILPYKLRAKGLMIMNLTVQSALVL GNYTNAIAWANLAPHDWTFCLFYTLWDFLELLFVYFFYVETRGPTLEELAKIFDGEGA EVAHLDMREVEKDIHMESEPMNEKNAVAHNESTV LY89DRAFT_682173 MEFCIKLLTTSHCFSALLSALQRLLVCFLVWVRILLSIKDPQST PYCALSSSSIS LY89DRAFT_579046 MRFSTFAVLAGSLASATPFNPRPFDEVLRRRQASSNSSSLQVDL GYAVYEGFYNDTHNVNEWMGIRYAAPPIGSLRWQAPQAPLVNRSSVINASAIPNECPQ SALNSGNQQAAITTINAPGGSEDCLYLSVYSPPNSQNLPVLVWIHGGGYGEGNGGQDL GPIIGTNNGSFVGVAIQYRLGAFGFLSSDEVFQMGVANAGIRDQTFALQWVQSYIHLF GGNASQVTISGESAGGGSVMLQTMAYGGTLGTSLFSNAIAASPYLPMQYNYNAWVPSQ SYYAFAQAAGCLSGFAAGSPAVNTTIFQCLVGKDTAVLKNASAAISASGMYGTWGFLP VTDGVFIQQLPSQQLAKKQVNGLRMLAGNNALEGPLFVPQNVTTEIDLVTWIQETFPM FTTDDISKVLQYYPGSNATDSTNAVEYATLGYTGATANNESSISTGQQQRANNIYAET TFVCPSYWLAEAFANKGREAYKYQYSVPAALHGSDVSAYFGPGSVTQGPDFVKAFMTI WGNFITLNDPSISSSIAAGNSSNSSTTPNPITNWPAYTNANPYQINLNETGGTEFTTA GVDFEGTEVNVTEYMGPGLRNNFSLVNAWTWEGNRGIRCDFWRAMGGLVPE LY89DRAFT_443527 MKNFGSILTFLAVSATLVSARALPIEGDAIEARAPYPLVDEIIA RSPKKAKAAANSTADASVAATSATAKKGKAAQAAAAASNSTAAATGKAAKAGKAAKGA ANAASNSTAVAAASNSTVADTGKKAGKASQAASADANSAAAGSSSAASQLESIVQGLT GLDLGSLGLRDLPKLESRKKKNGTANAAAVAGSAAATGKAVRITNSCD LY89DRAFT_610575 MASSRSVTTSLQRNLLRGQLVRVTTQASRWYLQTRSSLARPRSR APPLPQRREFSVSIPRRLADVNDDFDPRSIDRESDEVDVCIVGGGPAGLSAAIRLKQL ANEAGNDEFRVLLLEKAGEIGAHILSGAVIQPTAINELIPDWLAEDNESRFEDATPAG GDKMRYLTKSSSIPLPAPPQMNNHGNYIVSLNQFTRWLGERAEEIGVEVYPGFAASEV LYRSDGSVKGVATNDLGISRQGKPKDSFERGMEFHARITLFAEGCHGSLTKQVIKKFD LRNESQPQTYGLGIKEVWEVQPEKFQKGQIVHSMGYPLPSDTYGGGWMYHFGDNLVSV GLVVGLDYPNPWLSPYQEFQKMKHHPLYKSVLEGGKCISYGARALNEGGFQSIPKVAF PGGALIGDTAGFLNVPKIKGTHTAMKSGMLAAEATWNALESSDSGTPFLYDYEDSLRK SSIWKELKEVRNMRPSFNSPLKLWGGIMYSGLEAYVLKGKVPWTLKHHGTDASATKTA DQCTKIEYEKPDGKISFDILTSVSRTGTNHEEDQPVHLQVKDWDKHAELEWPKYKGIE NRFCPAGVYEYVEDETKDLGVRFQINAQNCIHCKTCDIKVPDQDINWTTPQGGEGPKY FMT LY89DRAFT_640003 MSRGKPDSSMSNDSFSDGAPSSDYDPYQNEQNPVTYHIDYSHFP KPIPIFGRLFGYNDAMVSQLVQRKLDNATKLLNRPVTQDEATALAYFSAKQVSIMSYG SPAGLAGGLWRCYATIDTFQFPFFKPDLEKFRFDKFPSTRAPVLRDLRAIAAWHMVRA ASYSTVGITIGNILFGSYAASVTVAGEMLDTRLKTYVEAIRERQRQNIPQGTKGSQPQ TGQTGTISTGGSKLPYDQSPAGIFGQADMTPSSGTYDSGVQVQPQRRQWPLPRQIPPQ APQAPADDQAKPFDMFDGASPTSGQGMMGDITPAQPQGSAWERLRRGEKPAAAPSTTT YQQQQRQTQREQRDGSTIGDGYTFSRSDEERSYAKEEAQKEFDARIELERRGGDFNAG NKRW LY89DRAFT_730480 MASSEKEKHYPNSRARRHSGEVMIINHKKKRSKKDKDTDTESVS SSTNSGDTVAPEPKKCPLRFMLAGLFEIEDDADGKKPKGCPLRRVQLWHTIPLCLLAV INLLLVIIALLGLAGYRIAVGIQNAMVSLAKPESPYEESVIGSDDGTLVESKT LY89DRAFT_640005 MKQNRPVRIAGASGSASDRRHAIAAFAANYPSDPVDVIIGDWLS EANMAALAYKSQLPNEILYEKTFLEALSPALPDIAKYGIKIAVNAGGSDTKGLADVVK KLLKEKGLGDKLKVAWIEGDDVLEVIKEKLQASEKKKQSKWQQIRNAPMGKMRDGMSI FDAWMEGEDVSAEVKSVLSRRDPDFWNICTGEKLQDWVFKDQILAAQAYLGGLGIARA FREGADIVLCGRVSDASPVIGAASWWHNWSREENLEELANALVAGHLIECSNYVCGGN FSGFKALEPTGWVDIGYPIAEIGKRGDMIITKQKGTGGCVSVDTCKAQLLYEIQGPWY FNSDVTAVIDQIAFEHLSLDRVKVSGIKALPPPPTTKVGITADGGFQAEVHWFLVGLD IKDKARMLESQIRHALGDTSRFHVLKFTLNGTAAENPTDQNSATVDFRIFAQAKNANE VAPDNFLRPIIDLIMCSYPGATFHLDFRQGIPKPIQEYFVTLLPQANVQHVVHMHDGR DLKIEYPKRSKTFPQNQPSQDISLVSSKDFGETIRGPLGWLVHARSGDKGSNANVGFW VRHQDEYDWMRILLSTEKMKALLADEYNGKNIVRCFHLDRFELPNILAVHFLLHDHLE RGVSWSSTYDFLAKNVAEFLRCRHVDLPKRFLNRGKL LY89DRAFT_715842 MATLIRLLRLLPVASSSAVLMFALDEHLIFGTWVQPSIRERANA NLPAWWTRGGLRWRWVLIIFYPVNYILGILNLFISQDQLQDTGASKWYTLGLLFSIAH MFYLFRALKLIAAIENDEPKGNVTYSMGRWLKMNWTRALLTDLPAWLCFIAAALKAL LY89DRAFT_682183 MSSLHIFSDVLALSIAAFSALCVQAHLTKRFTPTFSKNLEEKLP QHNKAVFWWLGISDNALRYVFVSLNILVSVSLALADLRTTGLKVSMGLLFIGFYSDMK LGESPIPHLILCSVVGAAIVAR LY89DRAFT_443717 MPHACLPLHLLSVPAPPLGPAFSLGPATSLNPSASLGSKPACSL SPSSGVLVSASSPPPLGFWAYSQHGT LY89DRAFT_443733 MKMGPPDSSNRLSNFRSASGVGQAQQRQQHLACDRCRGQKLRCI RTSNLHASCQRCQNAGATCVTDPSVRMGRPQRSDKDRRKATNNSHKSQPKHPPNSPNS RQSPSPTSSKNFTTNSNVWTGPDLEESIDSNLMDAQNQNSLDFELHHVELDNEQTCIS PSQNSFDNVFDLFSPHVPQNLDDFGAFGFGTEGTTVSLQEFPFVSIEPVTIDQTTARQ PKDEENPKLQARVVSIPTPQEAVEKVSNLNLELHRQLSIIGRKEE LY89DRAFT_730484 MESVGRQNVLSPAIIFMIQGLQTFHGLLVNILSTAYQTCEENTT QAGMHGYHKNQPTLNQDSWQDSKFWAPNLLGLTDDTNTDSLESISEPQNKRQRVLRSD THVKSNTQDVPSKITGLDMSTCLLIISCYINLIRLCREVFAAVRDALPAHGHQSSFPT LSGFQIGGVSIHQDSDLQIIILTQVVLRLVEKIGLLLGHPFDSAAETGKKDQLELRSK AILPQLLDFLLRQEKSGGQMSCENGIEALKQEIRRLNEIVFKPV LY89DRAFT_578540 MVLNEHHDSVEAESEHKILPDNAVESSTKEATTDESDEGGGVKD VQSTKKTYRFYAIIVALAFSGLSTALEATITSTALPTIIADVGGANLYVWVVNGFYLT QTAFQPFFGQISNIYGRRWPMIFSTAAFVLGSGLCGGASNINMLIAGRLIQGIGSGGV NVLIEIIVCDILPLRERGKYLGIMFGLIALGTTLGPVFGGLIVQYTSWRWVFYLNVPI GGVALVIHFAFLRVKSDNTLDYMARLKRIDWIGNVVFVLSMVSVLIALSWSGSTYPWS SFRVIVPLVIGFLGFGLFGFYQASKYCINPTMPLHLFSNRTSAIAFVLTFLHSLSAIS VIYFLPVYFQSVLASTPSRSGVELLPTIFFLIPGAIAAGTLLSKFGRYRPIQHAGFAL MIVGFGLLTLLKANPTTAQWVGYQLANAAGTGLVLPVLLPAVQASLTEDDTALSTSTW AFVRSFGLIWGATIPTAAFNNRFNTLLYRITDSAVAQQLANGAAYERATKSFMDAITD PVTRAQVVSVYVDSIKTVWFVSMSFAALGFLLVIIEKEIPLRKELDTKFSIEETVKSK NSDPENSASKVG LY89DRAFT_771340 MFTFLNSFMFDFELTRILGSTSSGGCDAGEFKSAVGKIKKHDAE SWYRAWKEQGERAKKIGDEAAKAGFKILARNAYLRASNYFRAASYMFSNDDTRVIPFS EKSIRSFQRATALMDGEVLSVEIPYEKGFSLLGYLYLPPQEARLPGKTPVVMYLGGAD STKEELYFLFGHSGPPLGYAILCFEGPGQGLLLKRSKLPLRPDFEVVAGIALDFLEKL SESRPDLTLDLGRIAVAGAATGGYFALRSATDSRIKACVAIDPFFSLWDLALTRAPES FIKLWDRGWVPDGIFDWLTELSCKMDFQAGWEMMLGKSSMGVEKPTAMLRRFKEFSLE SKKYGKILDKITCPVFLTGPGAGREMYASADDSTLKIHRLITKVSENNKRVWVPTDVA DGGLTAKIGAWALLAQKSFEFLDQHFEIRRKKL LY89DRAFT_640007 MSAQDIHGSGGEPIAVVGSGFRFPGSASSSSKLWELLLKPRDLL TKIPESRFNPETFYHPNPSHHGTTDVRESYFLEEDHRHFDAGFFNIKPVEVHAIDPQQ RLLMESVYESLEAAGISIESLAGSQTGVYVGLMCADYVDHINSDMNSLPTYTPTGNAR SIMSNRISYFFDWHGPSMTIDTACSSSLVAVHQAVQLLRSGDSDVAVAAGANLILGPL QYVGASKLHMLSADSRSRMWDVNATGYARGEGVASVVLKRLSSAIADGDNIECIIRES GINQDGRTKGITMPSSVAQADLIEKTYAKAGLDPRDPRQRCQYFEAHGTGTAAGDPKE AEAISKAFFHPGERISEDSDPLYVGSIKTVIGHTEGTAGLAGLLKASLAVQHGIIPPN MLFNTLHPAIEPFYTNLEILASPKPWPKLEEGVPRRASCNSFGFGGTNAHVIIENFVP SPTTINEVAPIRQFTPFNFSAASEKSLTGILTDYAEYLRIRPQTSLQDLSYTFYARRS EHTVRTSISAKSAPDLCAKIDELLRSNGNVGVRSKALSNPTRILGVFTGQGAQWATMG RELILNSPYAKKTVQELDAMLQKLPEAERPAWSLMDELTCDASQSRLDKAVVAQPLCT VIQVILYDLLSSAGVRFQAVVGHSSGEIAAAYAAGHITREEAVKIAYYRGYFTNLTPS DRRGGMMAVGTSVDDANELCNLPMFHGRLSVAAINSSSSITISGDRDAIEQAKEILDD EKKFARILKVDMAYHSSHMIPCAKGYVEALKCSGIKPQPATNGCKWLSSTYENREMHA DEDLAGQYWAENMVRPVLFSHAVESATSTGDAFDIAIEVGPHPTLKGPALQTLQEFQK EAISYTGLLSRGKDDVESFSNALGYLWSQFSSSIVDFGRFDFLATGHKNRNFINNLPT YHWDHEKLFWHGSRTSKAFLNQKNIPNPLLGSRTTDLMEQEIRWRNILRLSELPWVRG HQLQGQVIYPATAYISTAVEAAKFLAPNENIAVIEVKDFSLGKPLVFRDDDGGIETVF TLSEITKDGGNSYSASFTYHACSNTDTEQLSTHATGKVIVTTGETSSRWLPSRKPDLP NLTDIPVERFFSSLEPLGYNYSGHFKALSSIKRTLNFSSSNIRVPPQDDEPDQMLLHP ALLDSALQGIFLAYCWPGDGSFEQLHVPTGIKTFRVNVGLCKQDIVLETNVASCAQLT ANPLTTKQLHGDVDIYASDGTGLVQFEGIKVVAFAEPTADTDTPMFTEHVWGVASPNA ELAMGGKRATAEDYEFAYAMERVAINYMKQIVTLFPEADRRTMDLEWHFHCMFNWFTD VLATIQVGTRQSAQKKWLEDSADDVASEKAKFANRVDMQLACAVGDNLPAVLRGQTTI LEHLTKDGLLDRFYEIGMGLKEFSTFLGKTVKQLVHRHPRMKILEIGAGTGGATKMIM GEIGQSFSAYTFTDISTGFFETAQEVFSSVGDKMIFKPLNVEKDITEQGYEEHSYDLV VASLVLHATADLQRTLMNTRRLLKPGGYLIIQEVSNNDVTRQGFMMCALPGWWVGQDD GRKLSPCVSTPEWHRLLLQSGFSGVDSSTTDEDATPFPLAVIVSQAVDDRIALLREPL SLGSIQGADEWDLVLIGGQTLSSAQLIDHIVGLIKPSNIRHTVFKTLGDVDSSKISTK SAILCLTDLDEPVFKRLSEKTLQGMQRLFETQRIILWITQGCRGEDPYMNMAVGLGRS LVLENPDLVLQFLDLESGVKPNPRQLLEALLRLRQSDSWEKDGQFENVLWTNEHELAY ENGELMLSRVYHSTALNDRYNASKRTVVETVDPHTVPVNLSVGASRRNLVVDDFLAAE MLDPQALVADAKVLIKVSHSLLMPVFTTPLSPSYLVLGTSEATGKPVVAISRNNGSYA LVSKEEVLEIELPTGKESEFLTQLDIKLQAENMLSVCQRDSILLLHEPSLEIASSIVE SASDGNITVFFTTSSPTPTDKSWIRIDAYSSKRLIQSSLPARVSVFIDCSTSDQNGQN GPLIASSLPGLCLRTTITGIQALQHARNFSIAALSQKFNGAVQRALRDTLKPNQLVSL PSIGLEQLTGRSEAIPAIVDWSAITTGVPVQVSTVDSQVNFKGDRTYVLFGLTSDLAQ SICDWMVSHGARNMVLTSRTPKIDAQWIELLAKVGVRVEIFANDITDKEALATLVNQI RQNFPPIAGIAHGAMVLDDISFFEMPFEKMHKVLQPKVKGAVHLDELFQDSSLDFFVL FSSSTAIAGNRGQSAYTTANMFMASLASQRRRKGLAGSIFHIGPVIGVGYINRGFQES IFAALRKSGFMLIAERQFHLCFGEAVLASHPLSGRTPEVVTALETFKLGGKIAPAWTK FPRFQHCLQSDQGGDKKAEKKSAAVSTKVRLLEATTAEDILEIVQDAFFLKLQVALQI PPETEKSQVLSSATDDLGIDSLVAVEIRSWFLKEIETDIPVFKVLSGGSVTQLVEYAI ENMPFGLTPNRGESSEVSAPVAPDAPVVAKPTPEPVSSAPSSPKNSAETSQIGDDQDK EDSSATSLNDGSQTSFEKILPISPGQSRFWFLKHLMEDQTTANNTISVSIKGTIRIDS LASAVRKISARHEALRTAFFIDESQKPVQAISETSRLYLEKIVITDESQVAQEFERLR NHVYDIEHGECMRLIHLSASPRESFLLVGSHHIIMDGISLEVFLDDLQKAYSRQNLSE PVYQYSDYSEKLRQEIAHGAMQEEIKYWKSEFANPPAPLLLLPFTATKNRTTLTAYQH TSVSRSIDSKLAKQIQDTCHKSKANVFHFYLGVFEVLLFKLFGNSDVCIGMADANRWN DQVAKSIGMYLNLLPLRFHLDDKQSFEGVLKDTRRKAYLAMSNSRLPFDILLDNLDCE RSTAYSPLFQAFINYRQGVSERRTFDNATGTTKDISLPRAGYDLSLDIIENPGADTRI IFMLQKQLYSENDTERVLDMYLKLLGDFSGSYNRILQEVSLFSKQDISNAIRLGQGPV MHSKWPETLAHRIDQMIAEHPDKISLQETSGKSWTYQQLDAKVNQISSALLHANITPR SVVAVYQEASPHFVFSLLAILRIGATYVPLDSTIPQARLCVILAECKPSALLANGSTL DQISSLGLLPSVIVFNVSNLPNEKIATRAVTISPSDPAAILFTSGSTGVPKGVVLSHG SLRNHLEALTERHGFGIETVLQQSSVGFDMSLNQIFIALSHGGTLVIVPEAVRKDPVA VAKILLEQKITYTSATPSEYLSWFRHGYTDLFQSKWWRYATAGGEQFSTELLHVFRSL NGHFEHQLHAFNAYGPTEGSMSSNELEVSLDNSDSQHIPAGRALPNYAIYIMDESSNP LPIGFPGEIFIAGAGVAKQYLNNSDETREKFLKDPQPTSFATKRGWDRMYRTGDKGRL LSDGTLEVLGRIEGDTQVKLRGLRIEMRDIEQSILETAKGEVTEVIVTPRGDPKFLVA HAVLSPSASMGNEGEFLRLLSSSLPLPQYMRPAAIIAIDSMPLNSSGKIDRQALQKLP IPTSSERTHPERELTVTESKLVQVWEEVLPQQMADIHTIDEASDFFHVGGNSMLLIEL RERVKQKFQVGLPLIRLFEHSTLGAMATTIQDLSVGKATEINWEIETEVPHDFSRLNL RPISVRSNRSPRTIVLTGSTGFLGNYILRLLVEAPGVERIHCIAIRNSDKLAEFADSE KVVVHYGDLSLPRCGLSDVEAASIFDAADAIIHNGADVSFLKTYSSLRVPNLGSTKEL VKLALPRQVPFHFISTGTVGKLSKTEFLAPESLAKFVPGPSFADGYAASKWSSEVFLE KVHKQLGLPTFIHRPSSITRNQAGETDIVSNVLKFSSIIKALPESNQWTGYVDLISLE NAATGIVDSVLQEDPVNGARNNVEYLHHAGEKVIPAKSIKEFLSADQRSRWESLSMQD WVDKAVQKGMNPLVGEFLRSADKGQGLQIGQKLLLK LY89DRAFT_578802 MSNFFTVTALFSAVAVAFVSQVPLTPPFPDRLNEEWDDSCSISK STAFEVYDGLITFSTSQSDHINSVKILPAVNSTNWEQWEFDGLSHTGLSGLLMAFSRD PSYAFFGQGNLRVEFYITLGDGTVIQELDYLKESYIIDCPDFTAGVWNSSDRSYSFHV TKDLKYAKLKFDSWRVRGGFTMSSSTPPHNADGSPWIPEGGNAKATELSPGMFYALPM GGAAVEVDATLGSGRRIVFSGRGGSTRLWATEGWLKICDGWKVIRAWAGPYSLVYWDL VSRMDWGVKYVSAHLFYDDQLVVGTNVGNVSETEDYVLATDRFDGELHGRYKDKNTGH IFEFVSPTQDRRWKFELEHMITQYEMGAGGGFGMTGFANRVTGGEVGEIQYEGRAQSE QTFWPEYIEEWKIWLVYGAGFLGKGKTQFMKLMGYIL LY89DRAFT_695105 MAIKTLPPLPTSRQAVVQSAKNPGSFEIETRPLPVPSPTQILIK VAAVALNHCDWKMPGRVPCPGAVDGGDYSGTVVRLGETAALTSGLKIGDRVAGAQMAS SRRRPWVGAFTSYIVEEADCCWLVPENLSWEEAASVGCAVTTGLPGTPETPILDPKFV LVYGGATASGTFAIQLSGYRVITTCSPKNFKLVEDYGAEKAFDYHLSTCGEEIRAYTN NTLEYALDIITEARTIRQCYAAIGRGGGKYCGFELLPEDLIATMRKFVKADWVLGLEM TGLEIDLPGGYYRKENPELHAWFVDWMKRYAALLAEEKIKPHPIKVNKGGLPKVIEGV EAMRQKEISGVKMVYPMYT LY89DRAFT_579296 MTATPANVTLKDLNDQWAMNWKLCDDSEPMLVAQGVPWLVRKLL GLISMQVALKTVPDPATGLTHFFAEYKPPFGLPSSGEERVLDFVAEEITVPVFGTLRV STRWATPKELDEIDAYLGEGFEKGTKEVIHMKTENSELGVVTHQTFGFEKIKGVRYHT RHIVVKRGGESTRLTLVYDYVGPQHAKKS LY89DRAFT_771352 MIFLAITFFLLFILCILLAIQPSLLQPLLSWHPLPHAKLTDSRR PSLGTTETKITQDIAPYQFPPLRPKSSSRMAMGLKRLDASNWLTLDSSYLPEHSLRLS LLESRHADVIQCLPGSQASCHEVLDLVTSFLASRFPQHYSLRTTASEPCIESHLTGEV YPIGEKCENPLEVAARLAMEDFNVLIKNQETREYHLMASATLFPAGWKLQERIGYSMA RLHGPVPAWKEKLGGSVNRYFDHLSSKTAMERTNLFIQTTTELFQNAPEDPLARALTA KDLMVRRERQTFVRLEKTDAVLFTVRTYMQSMTGLGNEEIRALRSQILGWEEEVRLYK GFAIWGEVLMKWCEEMVGGVQREAEVKDKE LY89DRAFT_443778 MSQPKSNLLSTRTLTAPLKILFSAKGAAPLLLALLYYPDKVRSI LPERLHPWINSPVVIRALSVLLGLSVLRGINNKVSQWAVNNWKPDAKFIKSQEIVLIT GGSSGIGEIMSNQFAKLGVKVVILDLNPPKTAVAANVAFYQCDVTSPENIAAVAEEIR KDHGDPTVLINNAGIGLCRTILGAKEQHIRKTFEVNTISHFWMVREFLPAMIKKDHGH VVTIASMASYVVHAQNVDYSCTKASAQAFHEGLGAELRSRYNAPNVRTTVVNPIWIRT PMIAPLIAKPGFNDPVLEPEDVAATIVNQVVSGRGGQLILPKEVKFLSTLRAWPYWMQ TRLSNRMAHVLDFSDEEAEAAYRG LY89DRAFT_695106 MSSKLPFEIKNPDLFKDKSYVNGEWVEAKSGKRFDIVDPGNGKV WATAPDNNADDVDAAVNAAHTAFQSYKKVNPRTRAQWLLKWDALIRENRDDIAHIVTY ETGKPLAESQGELDYALGFTWWFAGEAERITGTIQTPAAPGRRVFTVKQPIGVAAALV PWNFPIAMILRKAGAALAAGCTMIVKPSPETPLSVLALANLATQAGFPKGVFSVLTTS LENTPPLSEALCRHPLVKKVTFTGSTRVGKLVAKLCSDGLKKVTLELGGNCPFLIFDD ADLEQAANQLMLLKWRHAGQACITANRVYVQSGVYDKFAALFKEKTSKLIVGHGAEKG TTMGPVTTPRSLDKASNQVEDAKKHGGQVVLGGGKVSGKGGYFFEPTIILGAKKEMLI TEEETFAPVLALYSFDTEEEAVEAANNTSMGLASYFFTKNVDRVWRLLENLEAGMIGM NTGNSSAAESPFGGIKESGYGKESGKDVAINEYLITKTGTLTLDGHF LY89DRAFT_443837 MSSSTMPYNTRRKSLSLPSLGIHLPVTHASRAAARLSPPSAGPN SENPPTKKIKRSHGESPSMSPPPKPAPVKYEHTPPPSPEAESDDLEEDAKPREIDLEG INDDIVEAVIIQLQKTGNRPHLVKELAAILSQSVKIVEQSANPAAIISSRLSTYLKRQ WTALAPCPVAKELETVHPRRTYFYLTTYAHQPIPDPSTSHFVSRAIISPSISSAASRS DEADAERRRELSPSPEVDLSSPEFDDEDMATPPTPTGSFSGRKGPLPRNHRASSPPLE KDEKEFTQTARGMQKRKLSGDVEMGGVPSEMADHPTKNLDNDLFGEGRNLNVANATVF ISSPAMKPSLSLSTMKRPFDDSTDMWGRIDGAMEWDMRSPEHVELEELDGMFDDL LY89DRAFT_682188 MPCPMFSLLLLCLRGKSGPWPWESRDIFFLPFRKTPGPLKPTDA QQSTHTQSRNDPMQGHTTESDVDLPNTVARGWASSPGKETFIIVAHRRTRMKTIDPGR ISPSS LY89DRAFT_771365 MQHCRAVLGDDDYDSIVMFQSPDQLITAIGTLESQYSGGSTVPR LRNRIVPQLQTLSTFVTIILLAMGPNTISTACTWGAMCLLIQLAAQSEKTLNEIVELL GGIHKSLTLFDIYNESIELNPEFLKTLFDILVDLLSCSASAIKHLRKNNIETPTVVVS WATVRTKSTTILEDIADKAHFLKQIAEAQNLKQLTQTQAELARRLEVLATTQTTLERP QLNLPCHFLPFAHNPNFYGRTTILRDIQDALTVRDDNSRIRSVALWGTGGIGKSQIAL EFASRQDSVQLPVILWIPSEKETEIAGAFNKASQRLNLPGVLPSNTPDRNRDLVLEYL QRTDAKWLLIFDNVEETTNLLPILPTTGHGMIEVPTFTTLEGSDFLLKMVAQRATTSV DLDMAKRFSNRLGGLALALEIIGKQIKARKTTVEQFLAFYNRNRQAMNKEPKRGPKNP YYDKDIETVWETSFINLSPEASKFMMLFSFLAPTDIPEGIFTQGKDIPEDYTFLNVEL RIDEAKLEFVDLSLIAVNGETRLISMHRLIQEAYFDWMSLEDRREAFEGLKDLLQDSF SRTAGRHLYTRWKICSSLIQHVEALVTRYTELGSVEFIVPSESLTYLITNASWQVNRL YIQLYLQETGSFMASEKLIGAAFLKCIDTDSLVYAWLVDTASTIHDRQGHGHLALDHD SNALRIHKEKSAAGSVELANAYSAVDRYLRNRARSYFVLGNYDAAKNDLKDAEYWQTL IHGEDSHYHGEYEPPTVKKSRRCMLMLLHRSAYILGKIAALENRLDEALTYQQRALDL MSIGKPTHASVGAAKFQQGVVRMLYQQEEKDMEALRLFRDALLIAQQNSAGKETQADS ARVKWRMSQVMEHQGTAAEAEAFKHAADATKRELLATNLFAQGSWKDQEYDSLVGLLY R LY89DRAFT_771366 MRRTQLANIHVIWVDTVSAHLDFDATTASLCISKAPSYYKLNPS DDTFLSIITKDFYTEEECPTEGHFPQNPMKEIILSYALLFHDWRLARKIQRREERNRA STTTMSGIAKVDPWLDKLCGMDLPNSIFSSMWSEHGIRETYDANSQFLILSGRLKIIH DYVEGIQPSRFSSLCNDKRDLRLWYTVWAVLIVGGIGLILSAAQVAIAAKAYDLQIQQ SQPTATVTATKILIQLLF LY89DRAFT_705371 MTFPTRRLGDGNAIPAIGFGTGTTWYKEDPNEPFNRDLVEILKT AIKHGFRHIDGSDAYGTEEEIGVAIKESGVPREELFVTTKVLEGIYDVPAAIKASLAK LQLGYVDLYLLHSPYVAKKPSDLQNAWLAIEEVKKSGLAKSIGVSNHQRPHLETILEV ATIKPALNQLEFHPYLQRAYGYLSWMREHGIEVASFNGLTPIRSGSPGPLDGPLARIA KAHGVTENAVVPVTTTTKEERMLEYIKAVDLKLNPEEVEEITRIGFTHHFRAWAPDRF GPDDRS LY89DRAFT_666129 MTEKCLGRLGVEAFKAGKLIRNAGETKYRLFPDVCLPPLQTQLG IYPKITNLASANNLQVSLNFLKEDPLYKTVRHYEVWLDDKIPAGLPKTNVKFELYHNI PIIDARADGLDTFSIEEQGFEFLFQKFPRQFEISGSDAANSSPEQRQSILGYLDLMAD FLCGSPNGIKKSLSYLLTEEEKADIVEVRYRLRVINLWRPLEPVVENQPLAFCDRRSV QTSDWEEWFWLSNQTMDEVTAIVVLDSADPDSLTANVPPCSLKLPVEDSSAKLRESIE IKIRFVYVGTSLL LY89DRAFT_666130 MKAEDQPIIQCGEYQSLPETTADLDWMEMRILDFSLFDKSGGKN KLALQLKHAIHNLGCFYIINFGLSQDDIAQQFSLATSTFSLPQSEKNKFIDCKSNPTL GYKPTGERVMTQGIRDAVEIYDDLKCSSFFGPYLRPPPCVKQKGETEHFCKAIHEQAL HRLLVLTAIIMEMADKESLSVIHGFDTMSESRMRYMIQHPRSEELALFRFEYAI LY89DRAFT_682190 MSAAPPPQQSQPYQNGHHYTPLTKENLRQLPHPPYSNQETYSKV DQNFEKVPLGLETRRVDGIATLRAWDQRWATAGRA LY89DRAFT_666131 MKTQGHKSIGRAISLDRFSASLVRRKRSTADMSSMQAGHTEGGL RDNPSIRTTTHRTSHTEFLNWVTYSRDLSPDTTDDQRRTCPVCEKAFRDRRSMLQHIP TCSKLVNGFYRCIYCNEREKIGRYHMNGCIEVHVYQNWLKRILSFRSSKILVDASESN TLEAVETEVTRAPWERVELPSESLIELDSTRCVLELDSTEARSSTAELDGDGPTFGSD YRLDAWGPVHDMPPWQGDFTPEYALNIYGGWGPIHEMPSWQPQELAGDGYDMSDVQQN SSDLHENCRAGCDPASPSSGGVNQTRPDYDHPIIVNESVIEHSNEAISARSEVLKPSL KLDTSNFARQSQYHPQEESYLPFCVDGTVQHAEENFHSDPRVQSESSISYTEFPSPPT SPIQQHEHDTPVSPIEYSPRSSSASSCSRFSSLSSLLMDDSSVSSFSSSDVIFKHDSS DIRVFEDREMCFEPEDMDSFATPPDGQLSTSYDHGETMYSETETSTSMLLPLLSAAQD TTVYGFDLDSTHMSVQADTTTIECFNTSFDSIELDRIMNELTETSARLSPDIPETELA RTNMFDVGIFDTIFPQDTILTDSVSSSESSPATDNRQSSTPPSIESLSPEDDHGQSPR SEGRRSLTRSPAFRAVYKCTYGACSFEPTGKKAYHKRTLQRHQEKCFYSPLPREKKPC KCTFPGCNKGFSRSDGLNAHRKTTGHGVKIELQVMEGNDDTREAIGFL LY89DRAFT_444013 MAKQDFYHYTPSLDAAIVFAVLYTLAAIGTVLQFLRYRSWVWTV MVLASGMESAGYILRTISTQHIYDQNLYVASFSLIVLAPVLMAAACYIVFGRIVFHVV PKEARTTRLLWIPPRFVTPIFVACDVLALLLQLTGAVQITTVSSTAVNAKSKLSRGKT IAQIGVAVQLICFGLFSIIAVRFNFTSKRFKASFEERLGSEKGEKHYTVDGKKLKPNW QAILRVTNFASAMILIRSVYRMVDFSLGKTGYTSEHEWVMYVFDAAAIFPVVSLYIYW HPSKYLPYLGFRLPKHAR LY89DRAFT_779225 MVFCGKPSKGCAHCRTRRIKCDLLQPACSQCLRAGKECPGYRDQ LALMFRDENEKVVTKATIPKAKAEPRKRPRGPSPGSRSESASPPSLSPGQVVFQHRIF PPTTLQDEGIRFFFCNYVNTGMENFKTRADAAETAMFQLLFRSESFSNAVSSVGYAGL SNVSKDPQHMLVARRKYAASIQNIARALEDVANSDLDATLKSVMLLAAFEIVNGTSRS IGVGSSWAVHIEGGAEILKMLAIKEPELLPRRRGWIQFVFAVYIKSLSKGEEAPSTMH DWCESCGKSMSSEDAPAISLAHIAYRFTNLHASIRNKTLLDSNLILLEALTLDSELEA WQKALPAKWRFTTTPAPDNMHFTFRGQKHTYEDMWVSRVLNNYRWVRILVNELLLVHM AQLGSFASEYESQRERSLEIISRMASDICICVSGTFFRTTPVPGARWTIPAMSGIFMV LFPLAVAGSAWGVSEELHCWVISVLEFIGNRMGISQATAMLDLIRLHRRAFEEDSDEF HTISDLWIADALSPSPRF LY89DRAFT_444090 MFYSRVFVPVVALFSTSLAIPQPANLNLPRQDRPDNHTLNRERA DAVKAAFVYAWDGYYKYAFPNDELHPISNSFSNSRNGWGASAVDAFSTAIVMQIQPIV TEILNFIPTIDFDTTTSQVSLFETTIRYLAGMLSGYDFLTGPLSNLASNKTAVPLILK QAERLANNLAYAFDTPTGIPSNNLNFPNGTDGSTTNGLATIGSLVLEWTHLSDLTGNP LYGELAQKGESYLLNPKPASSEPWPGLVGTNVNLTTGLFVDASGGWVGGDDSFYEYLI KMYVYDSTRFANYRDRWILAADSSIAHLASHPSTRPDLTFLAQFDGQELIFQSEHLAC FDGGNFILAGLVLKEQKYTDFGLALVNACHDTYISTLTGIGPEVFRWVTNTTAANDTD NPGPSADQEAFYAKAGFYITDSVYILRPEVLESFYYAYRATGDQMYRDWAWNAFLAIN STCAVGSGFAELLNVNAAGGGGYNDFQDSFLFAEVMKYSYLIHAPDNVWQVDNNGENQ WVFNTEAHPFKVAGPPL LY89DRAFT_578645 MADQHRPHRESARRRESRSRSPRRHHDSSHRRRSPHQHHKHKRS TDDAPNLPFGSRQLTKRDYEAFKPMFALYLDIQKGKILEEMDEGEARGRWKSFLGKWM GPSIPNLQDLELKREMDAEDGLARRDDIRFARKIDRKEQKAALDELVPRAEAGTRERQ LEKKKEMNEKMKSFREKSPGAAEIPDTELMGGGDGIDGYKKQKQEFERKKNERELRKE EILRARQAERDERLQEYRTKEEGTMAMLKALAKQKFG LY89DRAFT_640033 MDRTLFNMCCRRTILRTAIQNQSCLPLLRNGRAIHISAVRAAKS TKSSPKKARKQQPLEYRPPTRKSNITSHSLPKPKENPLRFRTIPIVGASVFLFTMAGY MGYIYYQSIKPDPDPSSISTGDFAAQADVSAQYEKIAQSFDSSVDATEYTMGIASLRR KLVSEAKGDVLEVSIGTGRNMEFYDWNFKGDTKRGKTKEGKVRSLTAVDKSGEMLEIA HEKFCNMFPGILGIRWVIADASELGKIPGPPKNANERSGSVERKYDTVIQTFGLCSVS DPVGLLRVLGDCVKEDEGRILLLEHGRGRWGWLNSILDKGAERHAKEFGCWWNRDMED IVEKSGLEVVNIYSKWWHGGTTWWIELKKPKSEVIVKEKKVEQGTQKPPEPSSAVVSS QKVEDDAQQSSPGPVSVKKGWW LY89DRAFT_444128 MFSAQEDEEEVIQDSLGATFLAWAVEPELYIYATEKSKAHPSLL QALLNYALHYGLYGKYMDQDPIMLKHLLGGGGPEALNDENSAPLTAWLLGRG LY89DRAFT_444138 MREPLLLFFSSFHYILLCGFGFGWACDDGSDAATHHRPHSLGSP PTSLAKRQHRYPRARPGQASLPLTHYRCHHDISKKGYSTVRYGTVRYSTHYTTNPLST TTTHNDSPSLVHPSSITMESFSSTRSPFLSCPASYLKPRRDDMRNDWRSLGRSQALGV KALDVIHV LY89DRAFT_695113 MDQIQTHPSNAAQAKTFIAPGSLSFPGGAGELTPPTEADKQNGQ RNGQMNGSQQQQGQGQSGNGVTPATPAATPGATSTGVSGIVPTLQNIVATVNLDCRLD LKTIALHARNAEYNPKRFAAVIMRIREPKTTALIFASGKMVVTGAKSEDDSKLASRKY ARIIQKLGFNAKFTDFKIQNIVGSCDIKFPIRLEGLASRHHNFSSYEPELFPGLIYRM IKPKIVLLIFVSGKIVLTGAKVREEIYQAFEMIYPVLQDFKKV LY89DRAFT_715859 MEKPPSSILILGHGVFGLSTALSLSLRPSFKHTKITLLDRSPFP SPDGSSIDTSRIIRSDYSDPAYAKMGAEAMETWRSSSSSSTQQAGVAKVLAGLGEEGR YTESGLVLVCDKGKQGEEYVRGSFENMIEMGSEKQKVKELKDRREIDKEMGTGGGNGD WGYINTSSGWADAEAGMRFLRKKVEALNRVTFLQGEAVSLLYSPSTSNKKKKIAGVKL STGQEITSDLTILATGAWTGTLIDLRGRATATGQVLCYLPLTPTEQQSFSRAPVLLNM STGMFIIPPSPDPSKPSLKIARHGYGYSNPLSLPNPSSPAQKISISTPRTTRDDPTLQ VPLEGQLACRAALREMIPSLAERPFTASKICWYTDTPKGEFLITYHPQMEGVFLATGG SGHGYKFLPVIGERVVDVLEGRGKGVEEFGGKWGWPSEVVEDVVTEDGSRGGRPGMVL ERELRRKEGTRAETTVIVRRKR LY89DRAFT_610620 MASEKKIVEFPDVENKLQAPTKKSLFERQKAEAEAKRLREEAET KAVYEDFVKSFDDEDEAPVKSGFGGNEGPRYGGFSGAPPKRHFNNGPPSGPVGGRGFG TPGDRDRRGVGSGPGSLGPPPQSLNKKRTFDGFQQGSRNNDRGLLAFDDYDHDERPSK RPLNLSDDEDERAGSGGREEDRAVAKPTLRLASLPPGTSPAVIKALLPSNLTVDAVRI LPPSGPGVYMERKSMSAIVTLAKDTAGNDIDATVNSLQNKYLGYGYYLNLHRHLSSAA ISSSASVPALGTSMASQPFGAKAVDLAPAGRGHAPPVHQREFAPPTSYAPSGPGQLPR GGQLLQVPVQAPRDIKELKLIHKVIESLLTHGPEFEALLMSRPDVQREEKWAWLWDPR STGGVWYRWRLWEVLTGSSTQRGKGKYLPLFEGSSAWKSPDQPLTYEYTTRLDEFVSD SEYNSSDDEDSGDEGARRQNQAGGPPDPNALADDGKAYLNPLKKAKLTHLLARLPTST GQLRKGDVARVTAFAISHAGRGADEVVAMIISNIEKPFAYTSANPDRRKEKDTSGDDA AEDEDEDTSSASLIGVYLISDILSSSSTSGVRHAWRYRQLFETALKQKHVFENLGRME RRMNWGRLRAEKWKRSVANILSLWEGWCVFPQESQEWFVNVFNNPPLTAEEENDAKKK EEEEEREKEKSKSRWKAVEAQQEEAAIEEEDVDGEPMAEDEDVDGEPMVEDEDIDGEP MMEDEDMDGEPMKEDSQPASPQLDRPVSEEKTPAKVDSMPAGAARRRPRAVDMFADSD DEET LY89DRAFT_771376 MSSQPTPVLQIDHPSSRELSPADRSSLEDTYFSSKPAKKRRNAS PGALSLPQTPSVPFTLVKTPPPNEQPRQNDDYFSPPPQRVTRTATPSDNASNIYSSSL SPSGGSFHSSDIGRTTCSGSSSEFLTPPLFQGDNDNPPYKVRRGSTTSLTMIKKLRRA NTMPYDKEAKKKVKRQHSKRHKCRHRKVTMDEHRSQSHWWLPGCQVVPTAPRGQKDMC EGHGGHHTKSKLRNADTSDRLTISMTPKLKTTSARRWSSVEIGAHQIISELTSTLPPV SSAVLASPTSEDATIIQPFDPRFRSSTFTRGAVDNDIVRTVRERLALRRIPSAQLKTP ASITLRRASVLSSRSGTSQTPSTFLKSEEPSGGSTPLARLQGLLKQRRPSAYLITSQD IESITELIEENLKRKYGKKLYTKSTNSSSAGSGSPSITSKGVVIPPSFPDLGVTVAEA QTRSGPFDYLQVVPVRKARNILTRVASQRSVHEVIWQGGSPNSPSSTTEEEELKRSPY CECSQGFGMPRDMFQHHECKPSRNFSTTGDRSDAFDPKNANASINEWSSRTEQIDIPL VVTSSDSESNDISASNTNHFGHIAQRERVPVEGRASLTPKSRSKHKIRPVPRPAASDS NLHKSRSRGMTLEDVVSFPPLPPRKTTEEWYSPLPEMITTPPLTAARSLYDLGLDAYS GPRSSKSVTPKASQACLHRSADLSSPSTLSPARSIEFRPSFDLRKKSSAKGNATIGPV SQLDSAESNKGVDMRKKSIVKDHPTALPRAGDLSKMGSAIGSHGHERRRSSAVPAQKV QRVRTIDNVHKGEREVPSSKWRRPSVCPPRKPSSPGTADESKDSRPPSPANTARKFGA GFFDRMSLVRDRSPPQPKIDLVGIYGQLTGTKRAHTLRDPCLLESGPCEPHDCDDCDR DPRNPSVDWIG LY89DRAFT_715861 MKLILTGCTGFIGGEILSQCLRNPAITSVAALSRRNLPEALTKD PKLNVVIMKDFNSYPDSILTELSGADACIWCMGTTAGDKALEVDYPLAFGNALSKTLP ESKKKFRYVHLSGAATERDQDKPLWFKSEMRKIKETLIVKASFVIQKELKNPRDFMGW MLGAKACIRVDELAATMIDAALNGWKENTLQDNAAMAAKGREILGK LY89DRAFT_666143 MASQKDLQELLRLLTTGRNKVPMISAMGRVKALQAANLRSISDI AASDLTTLNDVLKDEKVAKSLLTACKSQTKPGAAKRSASESLSSSAKKPRSAYEIANE PQTPAELEASLELPQPSADEEAISKSVIYTNRAPLVLAFAVELLKYTMPEQPPSSRLS MAQAVVSVNSRSKAVSLGIEKGKGADEEGWGMGQPKVRVMGREISVLKRTGYEWKEEI KVEESNGPERKIEESADNTQDTLVSETAAEKKPKDGWTVSTSVTSKQSAFVARAISIS SPSEASSKLQKLLSDNKDLRSASHNITAWRVQGEYGVIEDSNDDGESGGGRHILGLLQ ADNFTGVLLVVSRWYGGIMLGPDRWRIMSQVSRDALSQRLRVAGVIGQEALWGLDLEA MRKTDAPVTGGRVAGMPIHKPEGARQYILKSFSSPPDSESKKKKSGAALDREREENLG LLLGALDMLFGSWVDHITREELDRRAWGWYVGVRPEVEAGVAGWGGKGEVKLIDILNL RRKG LY89DRAFT_695118 MHTTNPLDEEFAELAEYTLDQWNVPGLSIAVVDGHDIWAEGYGY ATLPSIKATSETLYYGGSLTKSHTAAAVSLLITSGNYSGLTLQTPVSALIREDFVLED EWATKHITLEDVLGHRTGMARHDMSYGGDYGGHMGTPKDVVRSLRYLPMSSEPRSTFH YSNIMYVAAAYVVDTMSGQRIADFLKERVWGPLGMKSTFFSLTDAKKAASKRMAVGYS WQEDHYESTPHPDVTVITGAGSIIASVHDHARWLRALLSCSAPLTEADCKELKSPRTF IADQPEGSPYAGFMAYTLGWETDWYNGYQVFMHSGGIEAFGNHIIFIPELNWAAVASG NTAYTSTFACIELIHKLLDDKIGLSHAQRFNWLEYHKGKRLKQINFYNDAQSNFYPYT LDKRPLSLQLERYAGTYYNPGFRNFTIYVKDDTLQIDRYASYNVHMDLEQVTGDYFMA YMDSMTVPGSIYQNAVAAEFVIGPDGVARKFGIAEEPSMGPNGRVWFDRVDGV LY89DRAFT_640053 MPAFKGIAVSIHSEGAPLPEYSIQKQSRVSRVNTYIPVPKPKIP QDSSSTKPEPAKFAISITLLTPGLAVPYSTPKPSPENPHPQPQTVGGLPGVGPQRGSY VGSIGPYIPITKSENETIAAYIYFDGRLKEEVATLLRPGEETWVNSRWVQVPESEGGG LAEREFLFREVGLERWLNGLDLEGKDAAAKIEKRRQKFEKRRRRRKEENGSDEDLTKT TSKPLGPREVLRYGPDAQSPVEKLDDWDDDDLSSDSDDDSPPEAAGQIKVAMFRVLAS GEIKRGEYSPQFDAHDDDEDNKEGGDEADIDHTTSFAKPKTLDPKSISTQTVTGIDGP DKPFATFTFFYRGERQLQKMGIVSPKQQKATPATTKRRSTQLDFSNLGPLKPDRGTVG FSAFRENDPTARKSKSRKKSNGSLGGAMEEDSDEDEDDPELVGKMEDIDDKDVKTLLS PDDAKFSGELADGVGRIKLKRQHSAEPLGANSRKSPASGTTSIGATPPAEPETGSAPK DILPNNVFGGLPDDSIVGSPLKKHRGSLYDIDNDTMKQRLGAGFTSSLGDVVAAAEAA QTPLPKTSVEEVKMDDDEEL LY89DRAFT_610633 MANFADVAKQFTDFYYNQFDADRKQLAALYRENSMLTFESASVI GAPSIVEKLASLPFEKVKHAVGTLDAQPAPHDGILILVTGALLVDAEERPMNYTQTFQ LLPDGAGSFFIFNDIFKLVYG LY89DRAFT_610634 MTIAEEFATRNFSIYGQWTGVICIFLCFALGISHLFHVSIMILF GALCLASSFLIIFIEIPLLLRICPTSAKFDTFMRRFTTNYMRAGIYLAMGIVQWLSII HEASSLIAAAIMLTLAASFYALAGVKGQGFVGSKTLGGQGVAQMII LY89DRAFT_730516 MSTAMPQVPPRPTRTQDPATGSGSSLGSDIPKIPPRPINRRLDR SISPSRESFARSPLNETPFLANHGNQSKSSLYATESANNSSSDLPRRPSSVALPSIGQ EGNEYADVFGAPEELGTSPTQTRNIANDLKLHAPKPSLPTSSAKQRVSTVTRTDSGQA AAFGIGKAAIDDKEPASRPLKAKASFASEKSNGTERPPSSLESEHGIPEIGQRVPMYP NAGDVQAPSPAPFAQPYAPGIGFHNDGSKPRHHGRKTSARGHDIPPDAYGRYGHGVVP HDRFEKAYYEKHPELFKKELGQYGEGRPEWAMSSEDLNKIVRDTASRGAGLGTSPAVM GTPSEQIGFQASEEYTSRMSSPRPQSSNLHLAHGNASDTHVDSPLRKESFPGDLPPKV DFEGTLSRNLNAPSDISLESEAEEEGVIHVDAPGRRVSKIYGGDGHLDSTEDLGLGAE NDGIHDEHGYSAPILASDEVAKEPFGWELQPAVSPNNERRGSALEDGMYHHRSGSASS LSGSRPTSRPGSIHGTIPGLRLTESKPLEDLDEYEPLFPEEEKNAASMKRPLTAADKL KRPELKNRKFPSQDIWEDSPNSLQYTATVSTPQLPEEKEETPETAREGETSAQAFTRR QEELAEAESHDSESFLHREKKPWAHKTHLVAETRPGMKQRFPSRDIWEDTPDSLQLQT TVAGPQSDEKDILSPPEERPTTGAVTYHQEKAATGLPLSSEEGRATTGIAALMKPQIP ARPTKSKPSESPERSQPAVPERPQRSKPATGVESTSPPVPMKAKPVVPARPSKPVTRD SSENVPLTTVPSNSSAKSVGSDQGVAAAAKPKPPVPSRPLGSKIAALQGGFMSDLNKR LQLGPQAPKKEDPAPEAEEEVKEKAPLSDARKGRARGPARRAPAKSPAPASAPVTGTS STLSFSAPSTLWAIDPAEDRVYVALHDEAVQHDVTSKATETETPTLGTNTSGQSLHEP SEIALDAEKPVSLPSVVPETQSEHVENAEKEIVSSGSDKHGLEPLKPSDEPPVISQSL APEPAEAEDLSASTATLKPSTEDVE LY89DRAFT_578988 MAYPNTNIFENKIFNYSESSWSITETAFNPGIFYSRLPQANGYI GTTVSAAGPFFERDVNQTNINGNTPIEGWPVDNVRQTFSTVSGFYDCQPNTTRTNFAD LVDRGCESVISGIPHPMAILLTIGNQTLDSTVDPRTISNFSETQSFRNGTVTWQYTWS PNNTDIKFDIEIASIVSRDKRNVAATQLFVTPRGGNATLNIADFIDGRGGVRSSLGSR GIDSDNGSVYVSVHPDGLLNVTAWIVSTMDLSMGYTDENSGRMIFGSDTNDTMSIGQE WDAHLIDGETAVFEKFIGISSTDGSPTNAEEVARNASSTAFETGWDNLNTAHVKAWNF IMDESTITTFHDPTTGRLPVNDAVMEMYQIQEIASRYYLMSNLQPEDGSGLNDNGVPV GGLTSDTYAGNIFWDQDFWMYPGIALTHPDYAAQIINSRDKLFPAAKANAQEPYVQAK YNFDNESSLYPWMTGRFGNATANGPALDYEYHLNADVSLAAIHEFRITGNEKTFKEKH WERVKSCVHTFMGLVVPDGDGYSIRNVTEPDEYATWKTNGAFTNAAFSEVTNITIALQ RKFGEPVNETWAEVAAKIIIARASSGITLEYEGMNNTVHIKQADVTLLIYPLSPTSIS LEQKNKDLAYYNQRSEPDGPGMTAAIAAAAENRIATSGCAASIYYSQATAPFLRAPWF QMSEQANDDMNGNGGIAPGFPFLTAHGGALQIPHFGFLGVSLNNETLHIRPSLPMPFT NLQLADFYFGGNRLRATMNGTHTNLTRLENTRVEGLVDVYSGQPMPIALESRNEDPDG LDTKLYTLGMNETITIDNDMYWKTLTTPGNILQCQPTITKASNILGQYGGAINDGDTG THFQPGHRNRIALIVDTSKSTYRRLDGFRIDWGSRPARNITVTLINSTEAATIQNNSF PVEVYPNKIYGESESLSEVVPYQGNVTEYLFPEDREIWSGNYSILEFEGCRDCGWVGS TLNPDGTLTPIEDTMGATVAEFEVIATEKYDIQGDMMKGLEDQSAESRQGSGDVDRVS QGGAKRGQSEGIV LY89DRAFT_444467 MPAGLLRRRSFKRCQPVARWTVEVFRESATIIPCGSVSWLPAST FLFLSSTLSADPWWVILTFIKSVPLLIDGKQTVDELVNHGCSRRLFRENFPKSGRGVD ICEHSTPFGSRTGFQLHSQCQVLRQGKSS LY89DRAFT_730518 MSSSSPHSSSGSSSHADSLQQLENNIIKEQEDTVSHPKDILSQP EDALPLHETTLSHLADILSQPKDSTMTSSDRSKHRNVHIFNANDRNTTISGLIFTEKN NDTNCVTNANFYAMLQQTPNYVQTANVFEDMNPVPRAPLRAAQPPVLCLSTGNTDNTI NPFTLAKRSILPSKPMFEAVEETASINSTTQVTNTTPSITFLAPDQIEDDEDDEPRLE LY89DRAFT_444458 MKTRGRPSKSATKPATETVEKDTSTNPKVQLEPDSANPPQLFIL PKDKSKDARIVTLQNPRYLSDSRYLICPENGFYEFTKVAAPRTTPRSWLLSGSESNEV KEPDAKRRKHSGYVTKSAEMLVATAIDPLFVVLSVLAPLPTSKGSEAPKKLFLSGDDY IEKIATASPHFGGFSRIKSIRDLLEQRMAAVCDTVEAGDENMYRLNEEKLFGELLKKA KNMCETGLPPSMEEKLVRKALDIPVLSIKREDSSLHELVNEEAETPDTQTCVSTIESA GTSFSEASTAATSFSDDPIIESKPKAIPPINAPEGVVDLLRLRTALYFICAAYLAPHV TETVKKMAASSASVDFAPLEAHLAHLAKLRQDALASRSLGDFSRKRLAAEDIETRAEK KRKEDEEEKRKKANESRGVKALKKVNVTGMKKMSDFFKKK LY89DRAFT_682207 MSKPNHTNPEWPPRSPHDALLSTPGGRARLRRLAERTSPSPSPI KRSTSTPSLGKRAQHLLKDDMEDDDDEDDEETLELQLQEIQARLKLKKLQKKAKQTSD SEGEKTRTGLLSRSNSVAASRAQSRIAEIREERAERSRSQNEVHVPVSPVRRAQPPTV QRSPGRVLLGIDKGLRGADISLKRAPSLKKKEEAFGGRLHGPYLQRPTSQFSSHAHGV TSSQELPAQERPKTFSERMAAVRSEETERKEREQRIKKNRSMAFDIDHEEMEQFKANP VVLPQGPRRGPEFSRDEVLNSYTQPSGLARNKTDPNLRSAARTPSSLSGAATERAESR ASIHVPPRTSSTKSKTPPNEVPEAEASQFEPYSATHLSKRIIPHQTLTRTLTGKKPFL IPDLLRTVKGPDFNPPDIEEDLVVFGIIASKSEPKAHQQQNSKNQKRGKFMVMHLTDL KWELDLFLFDSAFDKFWKLTPGTIIAILNPLFMPPPRGKEATGKFSITLNSDADTVLE IGSARDLGFCKSIKKDGKTCDSWVDKRHTEFCDFHVNLTLQKTKSNRMEVNSMTFGKG QYGDKYNSKDVTSRLEQLEKKKLEEKTRYDRGSHSQIYIGKSTANMLDDVDFDPDAFH RGSTKEERMTRRILAQEKERDLAKKLGGMGSGLGADYMRRQDPLHPDPSSSLASSETI ASDAGSLGLLGGKAKDVMLSPIKRKRTQTPSATAAIGWGSDLTKQLGRMKEGESLQPV KKKTRFVTEKGIREAGRESFGGEAVKAAVDELDDDDDDLDIVRE LY89DRAFT_779244 MEYTRLHISPFTPALLNTILPASILLHARNISYHSIQTSEIGYG YVELPAMDAEKIKKKLSGSILKGTKVKIEKARPEKEVAIEPEEPEPPKKEKRKRKRDE LPGVDIGERQVKRGWTTPAKDINSKDKVKSKYTTGPECLFKTNLPPNVASKIKTTEVK PEKRKRKAGKETVVHEFAKATKYATFLRSSGSNKSKGVAEYVEGKGWVDEEGNLVEEV VTKKRKHKAPVPEPPIEESESSEDSGNSEGEANDIAESTLPNPKVERETSSDDSSDDS FSEEEKEDASITKDTSDSSDSSSSDSESSDSSEYESEVEEPQSAVSNRSHTSIGPALS IKIPVSNDSTPINTSVHPLEALYKRPKAGEASSSKPTPPFFSFFGADGDEEEVEEESY SQVPLTPFTQKDFEYRGIRSAAPTPDTAHPNKRFIWPTDHDDDEEEPEPSSPIRKGEA SEAKEAKEGEPESEFQKWFWENRGNVDRSWKARRRAAAKEKRQRENRKRQDRAL LY89DRAFT_558829 ITNAAGSYIVFITTIVLLVLWAILGGVFGATQTWQIVMQDASSI QCYVSDTLLMRQQQNGFIELLKTIGELRSRNQTLVRILRTLSPGQRHEVLAHRRRSVI LRRRQKVDSKDGPWLKKWFDIMCDGMAIAFGSLPSLALYVVGVGIWIVLGKMLAFDNT WQLYMNTGVAVELTLTSIFLQHVRRRHTQWLRETLRNIEDVDCELEQRIRSITGDATP NEAVILEPPARRFVERGIDGYAHLVGSGLGATLSFAVFTVWLGIGHVLSWNANWLLII GSWTGLVGFVDAFVLRNVGYRQHCILDDQVRALEEQDFALYSLLGLPAPKVDCSESMK MEKRLNYRISNWFVVTTAKWYVLLAALGVVALVLAVATALRWSETGQLIANTPTMIIE GFLLLVLIQAHNIAIVKRWFEFGAVLERR LY89DRAFT_444535 MSQPTSRVRNRTVTNPTNPFSDPATNKSLPALPHYTTASNPGPA PLPASPQVGGHGQAQRPRNSLVRNNTPSVKDLARVFEKESSSSDLGSKNPFRDAESPT PPVPPVPEILQPRVYSENNERRIASGIPNVNQQHERAVSGSSMDAGDRVTSMCSVATS AGPGPDIGCGGQYSSDDIAEERVTTVCSVATSAGPGSDVAFGYNTQEDLGNGTAGYDY HGGYEPHETAAPLEFLSDSDDTPRSADPTEISVHDSFSPTLQRRQGHEPASNHRTAPT EDYGRWLPARPSNITLVSPDEARGRSGLPRSRTTNPFLCEEPEVHLTGQVRSPTASTR ATYGGESESENPKFSRADKYVAAAYEGQKRVPTSFSELLIEEHRKRGGTASSSTSKQN PPPVAPPPPARNPDRKPLFKTPIPVRARSVGMNLQENPVAGSSQVNVADVPGYEPAKK AEFRLELERAKMTNLSIRNAYIQPSPTVLRLAAQIARNNGETVHSGGRAVLRDIPFWV VVAILQCSVLSTTACVAVIIRDQSSSTQRVAIGKGTTFWLVISIVLFAFSGVVVLFIW ARKKGYFSTFNEKLGVDEVGLLDRVLRDSTRASGVESLGMNGRDIDVEANVGVYSRRP RTFETSPNYPSMISLETNPGWQPVYADARYRANSGAHLQTPIRPRAHTSSESLVNNAS PSGIQEESPIAPPTPAYVKHAVGFPFDSPRSIQRGTPDAAHHFNNNSAPGRIRSGTRD GNGLGTGHVLQAAGWSPLENLQREINDPAVHRAPAHQRHSIIAVKRAASEEQTRSLRQ SMDRGPSTRLKRVQTFESASESQGHQTIVEDEDEQIGQTPLRYPRRLNLQLEIESMKK GGNVGMLTRSPDMSNIAGGYARQPKFAQQPKFDSSFDESGTPMDELDHQTGKASSSTF VSSRNGYSNASEDGQVKQGRGEASSKAKGVFDAVRDHFTEPYGQSLRSVMHDKKGKKK EEQ LY89DRAFT_682211 MRRSALTLGRTCLRCPRPTLRSQQCLPNYNSRGLGLGLQPIARR AYIHGGGSQRAGGKSKWQWQWQWRSPPSKRGLLFATATSLSPVVFIQLSEEDNTGTNE TAEGRMLAASRSELKDSKTVSADTRGFARFKDTVVLFLDRYLWEPVCTGLRFLHLVVI FVPVMVSVPVMWVGRRDRQRGGERRGCLWWYGFLVRGMERAGPAFIKLGQWAASRSDI FPEEMCEIMSNLHSNAPAHSLHETKRIIRRAFDGRPFEEIFDEFEEKPLGVGAIAQVY KAKLKPDLAVPGDTDVEEPKNFTKIARKNVDTLIKSTPQRVPSSYVAIKVLHPGVERV VRRDLRIMGFFASILNAIPTIEWLSLPDEVSQFGEMMRLQLDLRIEAANLTIFRKNFK ERTTAWFPYPYTAFTTRQVLVEEFAQGIPLSDFMENGGGVFQQEIADEGLDAFLHMLL IDNFVHADLHPGNIMVRFYQSSKPHLPFSKHKDEDPQSQPDVTEQVLARLRPFRHKKD LKAWDTELQKIDYEGYRPQLIFIDTGLVTELNATNRRNFLDLFKAVAEFDGYKAGHLM CERCRQPDAVIDEEIFALKMQHLVLGVKSRTLALGNMKIGDILNEVLGMVRSHHVRME GDFVNVVISILLLEGIGRSLNPDIDLLSSALPILRQLGAQSGGGMLRQGDFSMLKVWA GLEARKFLQASIEDVERCVKYDLLSPNV LY89DRAFT_730525 MADAKRPSPSPSPGGRRQRAPTITIDTSAVSNMSPPPQDMDSDA VALKALPNSPSTLQGEPSSPLSPDGTHTSTNHRPHHASQGSRSSIPELRPAPSFDSRD SRPTSPHNISSPTSRGGDKQSGFLAVPGLRSRQNSIESDDANHSATNYSGETYANSSQ GDRAFGKEPGNDDIMNDAEALTPDPGTEEDFEVEDNSFAFTPGQLNKLINPKSLPGFY ALGGLAGLEKGLRTDRKAGLGMDESDLDGAVTFDEAKAAAKNASSSGTDSKPPLERID SKGSRRSSRRAAPADAAFYDRKQVFKDNRLPEKKGKNLLQLMWITYNDKVLILLSIAA AISLAVGLYQTFGTVHTPENPPIEWVEGVAIIVAIVIVVVVGSLNDWQKERQFVKLNK KKEDRDVNVVRSGRTQAISVFDILVGDVVHLEPGDMIPVDGIFIDGHNVKCDESQTTG ESDLIRKHPADQVYAAIENHESLRKLDPFILSGAQVTEGVGTFLVTSTGVNSSYGKTL MSLREDPEVTPLQSKLNTLAEYIAKLGGAAGLLLFIVLFIEFLVRLPHNPHDAAGKGQ DFLNIFIVTVTIIVVAVPEGLPLAVTLALAFATTRMLKDNNLVRHLKACEVMGNATTI CSDKTGTLTENKMRVVAGTLGTTSRFGAATDAAGEDPSINKGKQTQPEAVDSVPPQEV VSSLHSGVKHMLLQSIAINSTAFEGEVDGEKTFIGSKTETALLVYARDFLGMGQVDQL RSNANIVQLIPFDSGRKCMGVVVQLENGKYRLYVKGASEILLEKCSYIIRDPTKEPSS SLMTEDNRRTLNNIIDNYASRSLRTIGMIYREFDKWPPKGARTAEGDRTEVIFEDIFK QMVFLGIVGIQDPLREGVAEAVKKCQNAGVVVRMVTGDNLNTAKAIATECGIFTQGGL IMEGPAFRKLSKTKMDQTIPRLQVLARSSPEDKRILVKRLKELGETVAVTGDGTNDAP ALKTADVGFSMGIAGTEVAKEASAIILMDDNFASIVKAMMWGRAVNDAVKKFLQFQVT VNITAVLLTFITAVSSDQEKSVLTAVQLLWVNLIMDTMAALALATDPPTESILDRKPD PKSAPLISVTMWKMIIGESLYQLVITLLLYYGSDSILSYQSDREKNQVPTLVFNTFVW MQIFNQWNNRRLDNKFNIFEGVSRNWFFMAINVVMVGGQVMIIFVGGKAFNVVRLNGA QWAYSIVLGFLSIPIGACIRLIPDELIMRLIPNFWRSKQKGPELTVSDEEEHFRFPKP LADVKEELSFLKRVKGGRLNNLKFAMRDARDQWLPRSRSGSRSRTNSVPQTPSGESHR EDSFGSNGQSAHTPESRKRGRSNRSRSNSALGATTVMAGIIAGSVAGWSPIERNYDND SRFTRALGRSHLEEREDVEIHPDTRKDDPIITEDPHHLAAPPSQIPEINPVVPGLSIP RPPPVARKSTG LY89DRAFT_444525 MEVSRLSSGQAAVKMPASQVIDLDDSDTEPSIPIALDAKRVGIS GVNGIDLPDVEESGDEEDEDSANWDEVESLFEDTLEEMDDQHLTTAGGPEHCTLEEAN AFRQQLRTIGPEAFCAKTVEAGTITAKKLLTAFGLRPPHFLEGSPDDAYYGLLSLGIS RELSKRIKLPQYNTIDDAVELIKKSRNIIVLTGAGISTSLGIPDFRSKDIGLYSKLEH LGLNDPQEVFDINIFKEDPSIFYQVARDILPSTDRFTPTHAFIALLQAKGKLLTNYSQ NIDNIESVAGILPEKLIQCHGSFATASCIDCKYQTEGEKLFDDIRAGKIPMCPMCIER LRRLKVPTAMKRKRSYNSQDKKRRKSGYDAMDGDTSSEDEYDDPKSGVMKPDITFFGE PLPDRFSERLTNHDKDLVDLVIVIGTSLKVAPVSEVVPYLPTHIPQMYISRTPVSHVN FDVDMLGDCDVVVAELCKRAGWDLEHEMIPEEQKVDIRLETGFTSRHIFTVKE LY89DRAFT_579417 MSSSRENAEGNTPHLLFTAPSFGPRHQTTSALNPQTHASRLYRR RKSQSENHDDKRIRLQPPLGDPKTSESSIYRGSFSHSPRRSRSLRTESLAPASPRMSM SDAHLEDLLQNLNFDLETYGLDESRDGFFDASFLKPTQVDHEDLMNDAECTLPIAYKK ANPLSPKAFLPKQWHEVKGVFRAVMTTRAGIKLAKSFLAFFIAYILCLVPVIVKWLGR YSYIMVLSTIVNHPGRSIGAQIDGTFLTITGSATGLGWGAFALWVSDSTSVARRGYGG ILATFLVLFMGTIAALRSYYIRLYQLVLCAGIAISYTCLADTSESIAWKKLFDYAIPW LFGQAICLLICCTVVPDAGARLLAVDLHDAFRVMQDGLKLPHPDSRNLHRQLAWTFVN LSQAYRDLVLDISITRFKPADVMALRNLMQAVIRSFLSLKMQTQLFDDFDDPEHTGVG EQQDSSPVKQTPTKASENTQTRANDADDTIIDIDTSRKRPSIFRTATQERAVRLVADR LAEPTSKLLSCMRSALARCDAVLLEMSGHRKYLGPPEDVSTDILAALTKIRKAMIKYD EEEELLMKSPDLPPTYSNHPEVVELFLFVHPIRLGATSVEALLVKVNEMQQRRPGWRL YLPSYPFAKALQRTNAQVRHDRGGLTAGYYFRSQSQLARTMKGMANVYKPLPRHQGSQ AEEKDDPIDSLGRSDTIGKYEEEEDIAMDRDSQATRKQRLRYKMWVVLHRLQGFETRF ALKVAITTSLLSIPAWLSQSRGWWNENEIGGNLQDLVTRALCGVLGAVWGGIAYGARN GNPYVMAAFAVIYMLPMMYRFTQSSHPRSGIVGCISFVVVSLGAKAADGLPSVVQISW TRGLAFVVGVVAAVVVNWILWPFVARHELRKALSAMLIYSSIIYRGVVAKYVYYEKGE EPGKEDVERSEMLEGRLREGFVRIRQLMALTRHEIRLRGPFNPLPYSALIDACERFFE YLVAVRQSSLFFHPHYISDSEQAAESLLSYRRDAVAAILMNLYVLAGALRGDRPVPRY LPSAALARKALLDHMALLESQMASTESPSRVVSNRKWSQIYSYSYSQSLTGCVKQLEQ LQIYVKEIVGEQGLVFSFAFSFGE LY89DRAFT_682215 MSADQKPLGFIYQFAAGAIAGVSEILVMYPLDVVKTRIQLQAGG ATGADAYTGMVDCFQKIVRNEGFSRLYRGIEAPILMEAPKRATKFAANDSWGKFYRDL FGVAKANQSLSILTGATAGATEAFVVVPFELVKIRLQDKASAGKYTSSLDALTKIIKN EGPLALYNGLESTLWRHILWNAGYFGCIFQVRELLPKTDKKGMQTLNEMLAGATGGTV GTILNTPMDVVKSRIQNSPKVAGSIPKYNWAWPSIALVMKEEGFGALYKGFIPKVLRL GPGGGILLVVYTNVMDMFRRMQNPVA LY89DRAFT_682216 MAREGTRSATGNSRPRVFPTVPVTETTTKKRATANTGAKRGPKT TTTKAAKPTGVTKKKAAPAKKTSVADKAKGVVKKVEGTVTGNPEKKAAGTKKIKGTDG SKTKATVTKKK LY89DRAFT_730531 MHILVVNDDGPPSNQSSPYVHSLVQNLQEAGHTVSVVLPHTQRS WIGKAHMIGQTVKPTYFRPGTLHNDDGTTHTRPLPPGSKEQEEWVLVDGTPASCVQIG LYHYFHDRGPVDLVVSGPNYGRNSTAVFSLSSGTLGGALEAAVCKRRAIALSYAFFSK NHDPEIIAGASKLSVRLIDYLYKNWGQEVDLYSVNVPLVDNVGERKVMWTNMLQNYWG NGSCFQEVEAEEGDADEEEQKIREREGQDGVANGGEKVTRHKHKHFKWAPRFTDVYKS VEEAGPGNDGWAVKEKYTSVTPLKANFMHAAAKTEGELKLPPPPVTSLDSLSLLSKPA KHFYALVNYEDTYVQPLILAALKSKLPARSYTLIPSLSELPSPDSPFLQISSYETLPF DHMLSHPSTTLGNAYIIRKALIRKHYLSTTAHTWITKHPSSILATNIKPACDFELDYA EFLDESLVEAFELRAGLEENESKESSEREWWILKPGMSDRGQGIRLFSTETELQSIFE EWETDAPDSDDEETNEAQTGEGIITSHLRHFIAQPYIHPPLLLNDRKFHIRTYVLAIG SLKVYVFSEMLALFATTPYVPPWSSPPSDLSPHLTNTCLQPSLSAGTVQLLSSLPLSP SLNDSIQKQIYETTGEVFEAAAKGMMIHFQTLPNAFEVFGLDFLVDERGRAWLLECNA FPDFRQTGEELRGVVRGVWEGVVGRAVGEFFRVEGVGEEEGKDGGKMVLVRDVDLGRR LY89DRAFT_715880 MATTLRSTTPPPPSQQARTPQTPRWGAKGDDYQPYSPRKSARLS QLSHGTTPPPHQSSRNTIHSSTPSKSTSSLTSTPSSPQTAKKRAPRQSLPEMGGRRVS GALTKDSAIEAASALGLPAPRDNDKMIAQQSTTVFRNNGMLPTPAKTPKKRPEKISPA VTSVARNLFAIRPSSDEIMPSPRKGRKHYTGFTLDSFEAEEEQTPIQIYTDSNDRVPE VDNNADNPFYGQGASVPSEPTKRSSKRRKIMVAGEGEQDIEDLEKREDGLVYVFRGKK MFRKFAVDSDTEDGTVSPELPRSMRSAVKPKLLFTEERAQAAEAKSHNTEDEEADTDI EDRDEITTPTAQAHDITATPKAPKFAPYTPPTTVRATRSKKVYMTSSPAGPGSDDEMA NDVVPTTPMFRASQLGRAGLFDEWSHAGSTSRESKKRGTEAMGSPSRSAKKPRA LY89DRAFT_640097 MSTPDIERLDLSDSDNEDLFASPSKASNPSQKAPTKPSENSNAP PQRNGESKYDAEAAREASLKKELESVRSINEVIEGVVSSLECAQGNMDTVSRTVTSAS TLLNTWIRILSQTEHNQRLILNPNWQGASQDVADMENEMVLKAQAAERRAAEEERRRE DARRRAEDEERQRQAGTTVRGARGTRARGRGVGRGSSVSGTGYVAGGSSSGTGRGTSQ ISRTGTGIGRGLGSTRGRARGVR LY89DRAFT_771410 MFSSFISILPLLLTLSTAQNFTTWSPPGPGDVRSPCPGLNSLAN HGFLPHNGKAITIPLLIQACQDGMNVGADFATVIGGAGLLSVPDDLLATSFDLDDLDE HNFPIEHDASLSRADYYVDDGDNYSFNQSIFDMVLAYYEGMSETSIAVASAAKYNRVT TKEAEDSKFTYTPQQYILSYGETALYLSVMGDPVTGVAPVEYVKIFFEQERLPYNEGW RPPTTQTTLLTLGAMILQLVAANKDALPEGLEITTNTLKLAFGGYDPITGLLVHAL LY89DRAFT_444918 MVPSKGGTFTPSPAQQRIETGISHSPHGSHHGPFSAGASPSTSS PTGSNVTKIVVAQVYLLLSTIKEDKDRTKWEQQAEQIRKLVDEHGMDIFPKYFTRLVV GNAPQIFPGSNRGPIGNGGNYEILVREVRKIRQDVEQARRIAESIETANEDLFRDFDL STFMEHFKLDALEKTVLALAFKIGPRSDLKTKADAILSTNYTPFLQILAQPLEDDVLS DEFVATIVDRFIQYHPPNFDQQAKKDLETAISYRYVGLDRAPPAIVLSALYLRSLLDG SNPFASYIQQVGNGFTADEETCKTHLQNLPGIQITEKFASSALLYTAISRTPVFSPSV LANTLRMSAPNLRWSSIIDYFDIPDLRISREQLLAIYEALLPLAVDGALDVQQLWGGQ WTNPETQLSFINAFTSLTSDLLDASTIPNLQPAFTVAEFSHAEPEIQERAAQAARHPL VSVVALSAMFNVALDSPTASDSPEAKRLFQDVVVPNLDIFLVAAFGVPKPWPDLASDT ITNLFERFLYKFDPNYNFVLEGLWIKDQPWVFGRLTDTHAKSPSDLTIIMDHAVRHNW IDQLVSQPTGFSMDLASVACGRGLVDLEDWAQKQAAIRADFVESLLTFLSIKAQHELD LQRQGELRSVALTVKAVWQLLHIVEDLLPKPKTPSHDLIVVQRTCITAYPRLINYDQG FDDIIDANGANGNSLPKESVAQMEEHYKRMYSDEVQVRTVVEALNQYKHSRGPAEQDV FACMIHGLFDEYALYHTYPLEALATTAVLFGGIISHRLIDDLPLQIGLGMILEAVRDY TPTQSMYKFGLQALMQLFPRLREWPGFCMQLLQIPGLQNTEAWAKAKEAAQYQQELDR NAPPGINGGLGAITNGDLDDMPIPALPAFASLHVEDPQYEYEDPDEDMQDKIQFVLNN ITAENLDSKFLELKDVVTEQNLQWFAGHLVQERAKMQPNFHELYLNLVRLFSKKSLWA ELLRETYLCAFRTLNSEATLNSTHERQFLKNLATWLGALTLARDKPIKHKNIAFKQLL AEGLDSQRLIVVIPFVCKLLVQGQYSTIFKPPNPWIMDIIKALMELYHKADLKLNMKF EIEVLCADLGLDHKTIEPSDEIMNRIPDIDPGEVMAPEGLDRFDNLSLNGMAGGVGGG RFSPQEITSSIPDLGPLLNYPPTNDMVNQGRLQEIVRTAITRAVHEIISPVVERSVTI AAISTAQMIHKDFATESSETRLRSAAINMVKKTAGALALVTSKEPLRASMTNYIRGMS GELAQGLPEGTIIMCVNSNLDLACSQVEKKAEERAVPEIEDMIEPELEARRLHRLNRP EEPYVDPNLNRWSWTIPPPYKLQPSMAGLNQEQMAIYDEFARQPRIPASLGSTTHVPS TSDATRSMANDILQDQYPAVPNLPTPAEPPAMPHIGTQQPAYAQHNTIMANGRMPSLQ VGPASVIERLQRTLAELERAVQDSPEQHFRSLRQPHPVLDAFDTVMTLVIRSCQTDAL DVPIVPIVDFISKSLFGGSEHDLLVESLVLVLRNICRLSIRASQLVHNTVENQAGDAL LHVPLAVTLVENEMVDWQRIDQETTKAIKQHKEEAIQFLSALLDAVLLNDRPVALYAD FASSLEAAWKWIEEDPSLEIGQQLKEKLISSGLPQAQNAGSNDERITFVREQMGYVFE EWVRLCNNPNASDKASLEFISQMYNRQVINNRDDLCLFLRLSIESSIDRFEQHIQHNG SINDAYIPIDSLAKLIAMLVKGREQEGEVKADKAAYLKSISSLVVLILNHHHVTRGEL FNQKVFFRLLSTMLCEFSMSADHFSDSENQDIMLVFAHVFLQTRPAYFPGFLFGWLSL VSHRNFLPVLLRLPDQLGWGPCAELVEGLTSFTGNLLKPLQIQGHTKEVYRGVLKFLL MLQHDFPDFLAANHSQLGNNIPNHCVQLHNLILTANPASYKMPDPLQPGLKIDRVEEI RVSPANMNDVEIPLRQTGLFDVINQAFETGASEHAVAQIAHAIQRRKTRQSGLGFVPI SVDLNLMEALVVYIGVQSIARAEQKGAPTFVPGSPDAVLLSMLVHELNPEARYFLISS IINQLRFPSTHTHYFSQALLGFFGSDVNDPEELDIRQQVTRILLERLLGQWPHPWGLI VTVQELVKNDQLMFFELPFIKSSPDIQERFMALASRG LY89DRAFT_695135 MGGTVVDGPSVTMSFANNFWGKDDAGVDPLLQRMHNAKQTCDEL KSFYTARAAIEDEYARKLLSLSRKPLGSQESGTLRASLDVLRGEVEAMGKSHQSIAGQ MKTELEEPLAAFAGAMKERRKIVQGGIEKLLKVKVQQTQSVNKTRDRYEQECLKIKGY LAQGHMVMGQEERKNKAKLEKTQINLATSNTEYENAVKALEETTVRWNRDWKAAADKF QDLEEERLDFIKSSLWSFANIASTVCVSDDASCEKVRLSLENCEVEKDIASFIKDRGT GQEIPDAPKYINFCRGDVSDTQSEVSEDESYSVAQFPRTINPAYRSSSPQPSTYESHH DPHSLIARELGHDPGTPPSREATMTPQKGLADRSKHARVEDYQAPPPRQDSEEPPQSS RQPQLEYEAKPRQPQLDYERPQSRQQQNGGQLQARQPQSSHQNKQSRQPQLDYQPQSR QAPQQRQAQLGQAQPGRISYEQAQHGPLAPVPHDPYPMDGMTMLCRTGPPSERSSAHS PVRPSSADSASEYSNPTSFSSQEPPSGKTSPTKQVAPPPEKQVLKKKSGFFQSHSPFR RKSNKEKDSAMTPSSRNTWSASRTTNQSTPSRRPQLYGQDSQNMISDRPSGSPEPIDP RASFQLNVGNNVFDVATPEAKKKSQNDFAQQEENLDPIAQALAELKGVTKASSTRVSA DNYHGVPTPAPGSAPASRPGAALVANGALMAGMRGTPPPSYDQPVSRLGAPQPAFTSK AMQQTRQKYVDQTQNMFNSQARPGSQAGGYGSGSHSRPGTRGSDMPRATSPAPPRSVS PRPNMQVDSRQTYRSVSPNPYGGSQRNRAQSAAPQNRGSDQAYYRHNSPGDVARAVSP APFREQERPSSSHVGNDMSMQMQLAPAGDEGYGSQNGRGSRAGGSASSRAMSYYGGSQ GEQQLAARSRSKSVADVRQFSRDGRPIMHFARAMYMYQAAIPEELSFAKGDILAVLRL QDDGWWEAEVTGKNGRPGLVPSNYLQNC LY89DRAFT_666168 MDLIRKPVRVVTSKPARQTYLNTILFMITSTILLGLAAFAYILF YLNYVPQIGIERIIHLQYGHANPSLTMAKANLALKVTDPILSSSETLFSLPLYLLGLK RESEILHIPMAELATFPKGWKNIPGYAMLELQSEQEVQVYDVRIQFQARFGGLRWIMY NHRIISFVGFTFAFWMSECVFTILGWLALRTLFSSSGEKVKKEVKGDETDTTAIKNEE DEEEPDLSDTPRTFPTYGRQPPLRYTPKIKDEDSEEYIIDETAIQPLAAEADDEDDEE FQHRSGRTDSGIGTSFSEGGERSGASIGDCRACEDEAELNNLNAQLTFLLLLRAEPTH GEYNCSTHILLVQPSKRTTFSPRRAEQVCSLSAMSGLEIVAAIASIVSAFNGSISIYR SWRDKRNERKERKENQTLERSLKKGKTTIRHEYDAHFARLGQQFAVGDAQARLELFGY IIKLQQTIMTLMTESNSTASVVLPCFPGVLESSESTRRGVLTTLGEQYQRMAQARPLL SPGSIQHSFGPQGVNVQRPQDRNVQRAPETLQSPYMPQLRSTNRMTGSIRTIEVEGRD QSSSSDFSLYFTEAIRKNEERERQSASDFSSYIAKRAREIEEREKQATNDWLLYIDEE KRRRAEEERQATDTFLRYIDEEKRNKSKREEQRTDDWVLWMDLEERRKQE LY89DRAFT_730539 MPPQPTHLESSLFCCEQITSIDENRKTFAHRILSQFAEYITQGP ASPTPRVLDWSEAHLVDEAQYVIQYEKRWQSHECPEGLRYFIPEPGTDDFLEIPEDTF RHKNRIVPFHNNEGLYVKFSCTYHPGFLFVCQRLFRRTVRDPVVVPINKKHTRPDRTD EDDEDGNGPRGYSEPKTILEHGAYDYDGESEEAKMVLEQGGVGH LY89DRAFT_779260 MAESVGVIGAGITGLAAAYVLSPKYNVTIVARDLPGDLGADWAS PWAGAVFHPQKNATKAQQEMQTKSFNFYWDLAKKDPSSGVKVYQMTEYRDDQDDDSNI WYKPLLPDYRLMSKSELPAGVTLGLQYTTVAMNPLLLLPWLKEKLIAKGVKFVRAEIK SFDEARNIAKSKIIINASGVGAKQLVGDDTVGPVRGQTMFVKTDFSKLIMMEGSEYTY IIPRALSGGVIIGGIKSDRVDTEVDIPLKSDILRRVNRISKGAFKDVDLDTVTDIVGF RPGRKEGIRVEREGDIVHAYGVEGAGYIYSFGIAEAVKELVEGFGFKSKL LY89DRAFT_682224 MSTALGGYGEPDVNHGPKLLAATLVVTIAALLSVSARMWVRKIM IKSVGWDDYFICGAMLISLIAEALTIADVNYGAGRHEVYLTDAAKLSYGLYLNFISQP FFLVAVVLVKVSVGLFLLRLTPSQFFHRFIWCMIGFMAMYTTVSLITILTQCRPLTVI WDPSVKAICFTPLGLRACAYFNATCGIFADLVFALLPIPMLWNVKINMRIKIALFAIL SLGLFATAACVVKVVYLSSYGKYNDFLWDSVNITIWTSCELNIAIFAASIATLKPLFR ATFQNSTFGSGYNNSKDKSMDKSGFVKHISNSGGTSKIGGTLSKDDDFEMYCNVITAS GKRGRIDVDNESEESILPLQNPGILKTTQVNVSVDRYGADEKV LY89DRAFT_579440 MPPLERKAYTDAVLCLQAKTGLTPRSLIPGVRSRFDDFVATHQN QTGFIHFSGTFLGWHRYYVWSYEQALRTECGYTGYQPYWDWSKWAASPQTSPMFDGSP YSMGGNGEFIDHTGLVIPPPVAGVNPTIYLEPGFGGGCVQSGPFSNMTVNLGPIGAAG GLIINGTETNVPEGPDGGFGYNPRCLKRDVGPFCLEKYNNYTRIYDLMTLRKTIAEFQ DDLEGPVGTGDLGPHGGGHFTIGGDPGGDLFTSPGDPAFYLHHAQVDRLWTLWQAQDP STRQYALSGTNTMLNQPPSANTTLTDYINLGYAAGSPLQMQDVMSTVAGPFCYVYI LY89DRAFT_682226 MQLTTDASRLLLYAEWTLATSAWVTLEGRGHAPRKASIQLKHTQ VLKYYLLPHDTAVPTTITSSQS LY89DRAFT_682229 MFLKTSSLLSALMVLATALAIALPDPSPAPVEVIVEGQDTVIFE NIQCGNEHQGLKPICPHLNTTEEHGCVRYVKGFDVTGVVTEVDLTFPEIQDACDCIQA CLNRPGTCAAYVWKFSTPASVTAGHRTCTLYSQFNLPAQVAIAIDVANTTNMNINSEE LVANGNNPQMGALVAQTFMDANLNTTADPAAVSGEVWQLSTGKAIC LY89DRAFT_771422 MYLQIELAASIFPLYTEHFRKQLQFADYALAGSGVVFPVLESTD NEWNSIQERRRRVETIMRDQVEVVAALGQGRYWDMYEALHQEPHTLIHFLDQEPYRIE YYWNTHATFDESEDAGPNKSNLATKFLPFCNIGRIVVGRLLRPDSFSHVFEHQLTPEE SDIMLRSKQKFSDLWEIFIRHVGYGDFVPVFQPPSENASVTMPSEISSRHDWDDSSIT MFDVSTNGDNVSQEDTQERLDSGVGIDEKGEEDVAIAVAGAEGNEEIVPAVNGHQLED VDGVGTDGAEESSDSESASIALSNGDSQQDEGNGVLDENQGHTRSDSENLPTTNGHQD EGENHGVADENEGTSSVIVEKVPAVNGHSDNDENHITTNGNQDVSNEVTENAEELINT FGNLPDDGFEIAEQNPEIVAALQLVVALGSIIRREQAGDRHG LY89DRAFT_610683 MPEVTITGWDTRDVRFPTSLDKTGSDAMNAAGDYSAAYCILKTD SEFSGHGMTFTIGRGNDIVCKAIDNVADRIKGRTLSSLVANWGQTWRYLVSDSQLRWI GPEKGVIHLALGAVVNAIWDLWAKTLDKPVWRIVADMTAEEFVQCIDFRYITDAITPE EAVKMLKSEEEGKKKRLQDALDSKAVPAYTTSAGWLGYGEDKMKGLLEETLSKGYRHF KLKVGTSVEADRRRLTIARSVIGYDKGNILMVDANQVWSVPEAIEYMQHLKEFKPWFI EEPTSPDDILGHKAIRDALRPDGIGVATGEMCQNRVIFKQLLASGAIDVCQIDACRMG GVNEVLAVLLMAKKFNIPIVPHSGGVGLPEYTQHLSTIDYVCVSGKLSVLEYVDHLHE HFNHPAVIKDGYYQTPMEPGYSVEMKPESMEHYSFPGGPNGWWHSSEAKPILEGEKI LY89DRAFT_445172 MVVFLPPGKCLFMSTPTRCHRCLADKEPNLKTLDPLSNSQPNIQ KPGIAKSLWLCRMPSLPCARDLRSSPYPDLG LY89DRAFT_779266 MARPGSSKSAKASIPPPKEPLLTQENFEKELKALASKAQEETWS KWAGEQAWVLTQSGVLLTLAAVYSNVSELSLSPVYGGIPSSVYHAKGVMTACFLGWSS NLFIKRNLPLRPQQLLPVIAAYIPMMQFFLFRVSGLLGAVYGPIITEALTFLPLLLLS VSCTATILDDLEMSIGRWQWLSDAMPGICSFSFFKTMEYFSGNAIQRGIGASFFQTRL GLQISLTGLYSLFSPSKLLLYTLPAILHTALFNVHVPTPFATSSLNATMAKNGWSLLE RQESLTGYISILESSKNGFRVMRCDHSLLGGEWLTKASGSPMAEPIYGVFVMLEAVRL VQIKDPVPDNQASAFVVGLGIGTTPAAFMQHGIKTTIVEIDPVVHEFATKYFALPKHH TPVIADAVSYASEVAKSGAQYDYVVHDVFTGGAEPVDLFTLEFLQDLNTILKPGGVIA INYAGDLLLPSARIIVNTIKTVFPTCRIFRESAAPSAATIEADGRDFTNMVIFCTNAA TSDQVTFLKPTERDFLGSGARKMYLLPKYEVEESVFEMQEGDGGVLLRNATERFRGWQ EKSALGHWAVMRTVLPKEIWEDW LY89DRAFT_730547 MSTLPISTKTNNPKASTNPNITYLHPQYFPNHHSERVKIKYGPF LVPGNDMAGFQLRNVTKPCSDCKITFFQADLEYEDGSYANANTGMWLHHMVLLNPTQR DTVCPTHPERIFASGNERTAANICVDGTKEAGYHLTPTSTLLLTTELMNETPHPTFVY ITVTYEYIPSPPPTFRPVKIVWLDIGSCSESEYPVPVDETAFSITAAPWIADISGDVL FAAAHPHDGGVELEVLRGGEGFCTSMATYGGTEGYREGDGMEHISSMSVCTGLGRMDV GAEWGVVARYDLNEHAPMVGLEVIPEPIMGIALLYVAQDGA LY89DRAFT_705399 MAVADHLPFNFSQATTLIGPLLIGLIFFDYLYKRRLPPGPPSLP LLGNYLSTPRGKSWLFFTSLSKTYGGIYTFYSGLVPNIIISDLKSHTISSLVEAINTY YSQGSTIVTHPYGASWNMRRKIFHQFLKPSALQTYKERQEAEASKLVFGISLDEGKKW KDEIERYTSSVVFTLSYGRRIDALNSAVLKKRLFFVHYVARFLLSGQFLVESLPFLDY FPPFLARWKTAVTEMGKQNAAFDSWLVDTVRQDLAKNGGKLTGRGSLTENMILAAEAG NVDCQQLMQNERHFCGIPSSVFGAGSHTTIASLCSAVLGLILNPWVFVTAQKEIDEVI GKKRSPNFGDREKLPYIDALVKETLRWKPAIPMGVKHATSEDDIYEGYKILKGTMIVP NIWAMHYDPSYFPSPEEFAPERFLPENDERFREELKPEKEFPGKFGHGTFGWGRRICV GGDLAHNGMWIALVKLIWGLDLMGVEGEKYDGIKFTGDTVMAPASFKCRWKVRDTEIG HVIEREMSLAGVVLEQFPALE LY89DRAFT_579088 MDAFDHDLSFEGEGLFNRDDVNTSGLPPLPFTPSYELSDAFSTT FEDPFSYQSNTDFSSILEDNNTHPHNESSHNTVDPDNKLLGFGVTNVKAPIFDDAGHT WPAMTAELYGMFFVAEDVFGDNNTNANRPLELTCYRRNLFQISGTVTLSRGIKSMVNE HGQQVPLYDMMATISALESIEGKSTEIISVPWKTSTGTSSEDKAGAAPPQWPLDLSMN PELDPSVVSIPIAWKRLQFKHATANNGRRKGLQQHYVIQINIMATLATGETVKLAEIR SGPIIVRGRSPRNFDSRKDVPLSEKKLDSKPRTMSDAGVSAPQVKVDPGVANSSYRFY ALNALQQQPLDLPDWTNNPLATLSTSEIHARQAKRPTLTNQSSSASNPPRPPVPKARW KSESVPTKSSQATAPIDLSLADDEYGKAHPGGHLRPGEPASPVIDRDRLGKKGVGSPV ENADLLYEYFPLSLDDWMPPVDAIYRPHVVHHTIVPPDLKAAQVKNKTKRYFSADD LY89DRAFT_445271 MQPFIAAMQKVRQAFLAAIIKSFLRTKHVLQTILNIWISPSIIK GENVEAEPSTQEVRKLGAPEFVEVVNKAFHGLVGRKRLVALSDGLRKQFLESMFDNPQ CMLPSYNHQLPSGDEQGTFLALDVGGSTFRVGLIELSGRKSGDEECKILKRSTFKIDK TVKQLKGVLFFDWMAERIGEVLAGQAEGHDMSDAPLSMGLAWSFPIEQTSLRSGLLQG MGKGFLAAHGLLGQDLGDLIQDSCSKRGLNVQVDAIVNDSSATLLSKAYLDSTTRFAL ILGTGVNAAVHLPVHTFSPSKFGIRPPEWHDSAKHVIVNTELSMFGSGILPSTQWDEL LLSVHPDPTFQPLEHFVGGGYLGEIVRLVLVEGIRTAGLFGGVVPPNLREKYSLDTET LSRIESDRSPDLSTAQAVFMSRHPTTIPPTPTDLHTLRLIAAHVTHRAAGIIAAGVHA LWQLRNEAESILPQESSHTLVAYNGSVLENYPGFKVACQKHLDTLVEASGGTKGSIEL MYAEESSLLGAAIAGAVASLDG LY89DRAFT_579064 MRLIIRDDPSAASSYIANYIINRIKTFKPTPTHPFILGLPTGSS PVGIYKILVEKYKAGEISFENVVTFNMDEYIGIPREHPESYHTFMYKHFFSHVNVHPK NIHILNGNAPDLEAECVAYEAAIKSFGGIDLFLGGIGPDGHIAFNEPGSSLASRTRVK TLAYDTIIANSRFFDNDLDKVPKMALTVGVQTVLEAREVVIIITGAHKALALQKCIEG GVNHMWTLSALQLHPHPMIVVDEDATLELQVKTVKYFKSIETVASSQGFEQILPSAIR TGPREGNKQIQPLPEVHESPTILAPKPLTSTLLSAPATEFPLRSVSPDLMPESMSARI AAY LY89DRAFT_715896 MPIAIPTGKEKITKFTNCRLIKGNDLVEQDLWVSSETGKIVRSQ EVFYSEQVVPDITINLGGRIISPGLIDVQLNGAFGFNFSQIPDDPSTYSKVLRQVNKS LVQTGVTSYLPTLTSETPYVYKNALPHLGPSGFHRIASDGAESLGAHCEGPFLSPTKN GIHKIENITPAINGFSDLEAMYGSQYLNPTLSPDGSIIKPPTIKKITAAPEIAAMMQT IPEITSRGIIYSIGHTEATYEDASRAVAAGATMITHLFNAMRPLHHRNPGVFGVLGIA ESLPRPYFGIISDGIHLHPTSIKIAFNAHPDGFILVTDAMHLVGLPDGVYEWTNGESI VKNGPRLVLEGTDKIAGSSITLIECVTNFLNWSGASLPLALKAVTATPAATLGLSGVK GCLEADADADLVIIEEEVDGEGRKNLRIDQVWKFGTKPHHKKMAAPFGFSIGDFIAAI ELVGTVIDALRSSGSASTEYRELVSQLLSLEMALIQVKNLEFEECQYAEVTALRQAAV RCRGTIDAFWEKVKKYQPALGGDGSGVRERWMRMRKRSDLQDKRQIERHKSLVGVVQD GFFACMQRLVEVNEQGKQLLVATTEILKTNVKIFQAVLQIQHLITNIPGQIERQQPVY MVDALGRASPFHLEFIRSAEACTALKSVLRVNFQKHGNGAHKIDKGEFVFQDAITKAD IDVTGDWETCFRPGQRIEMNMVCYSSKLQERVHATPNRVLCPRCLDDCGATNPLLPRN FDCTCCGLAFQQKPSAVSVALISKVDAALEDISTPQIVEYSGPWGRTLEDYRSYYKSS PMCRSTFQKIRVYRAGQLIS LY89DRAFT_445363 MEGAEGDELDPLWQDLDWAMGWEGTEVTPQIRTLIEDHHLGAIL LTTKNLKTAQETAKLVQDLQTIAHQAGHPVPLLIALDQENGGVNSLFDEDYICQFPSA MGVAATGSPELAYEVANATAKEVSAVGVNMIMGPVLDVLTNARYQPLGVRATGDDPQE VSQYGIAAMNGYKDAGITTCGKHFPSYGNLDFLGSSLDMPIITETIEQLSLSALVPFR NAIRDGLDAMMVGGCAMANASMNVMHACLSDQVVDDLLRHELGFNGVTISECLEMDAL SHDIGVRGGTVMAVEAGCDLVLLCRSFAVQQEAMAGLKLGIENDMISRERIMVSLRRV LTLKSKCTNWHKALNPPGINQLATLHPSHLALSTKAYDNSITVMRDKGHLLPLSNTLY PDEELLLLTPLVKPLPASAATKQMTENAKKEVPNEHDKWIHRSSIMSGEGVFRELGRS LARQRHGKLLHTSYTANGVRPVHENLINRAAAIIIITADANRNLYQNGFTKHVSMMCS MLSASGKKKSLIVVSVSSPYDFAMDKSIGTYVCTFDFTETAMSALVRALFGEYVPQGT LPGTLRKSRKVQKSRQHWLVESWNHDRDHRGLLALIKAIEKTSQPGKPSGLSGATAAA FELSAATESGDPIEEMHYVVRNSSTQALFGFCSTYYYPSSHLGVISTIFVDPSKRNLS IGHSLHQRAVRGLICRPGIKTLQLGASLPTIFPGIPMSDLTEGARLKRWFAANGWDIS SPRLLYTLTIRNLAHWQVPEGLLQTIQRVSFAFDLIHGRENAATALEHVSAHAGPEVL ALYNLALSDEKSCGVVRAKSPVDGSLVGTVIITRPYSSLSTYIPILQSSESNGSDGGG IGGILAPVVPNSPQAAIVLQGLALLGIKQNKAHRSHTCVLNCVSGEERDVLLGMNFDV LDAFEELSCKAERFSDMNLG LY89DRAFT_682242 MRTTNAFFLAACLLKNSYGTPTGLQQSVLQAPLEDLTVGHTTSS TRQLHGKFLHITDLHPDPFYKIHSSTEEDDACHRGKGLAGTYGAETSDCDSPYTLVNA TFKWIKENLRDQVDFVVWTGDSARHDSDENIPRNQDEVLSTNRWVAEKFIETFGEDNE PGKPQSIPIVSTFGNNDILPHNILLSGPNKWLKSYTDIWSQFIPEEQRHGFERGGWYY VEVIPNKLAVFSLNTLYFFDHNAGVDGCAQKSEPGYEHFEWLRIQLQFMRERGMKAIL MGHVPPARTDSKQLWDETCWQKYTLWLKQYRDVVVGGLFGHMNIDHFMIQDTKKIDIL SQEDEVSEKQMVRAFLGDELSIMSANDYLEELREVWSDLPNPSVAMESFEESEDDHSA EIAKKKKKGKKTKKDKALKKMGGPWGERYQVTMVSPSVVPNYFPTLRVVEYNITGLDV KSTWASVQKTDSALKVDWLDEEQHLSTEKQDSISFDDDSFDDEVSIDKKKKKGKKGKK DKKKKKKPSNPDLTVPSAPSKNSPPGPAYSPQSLTLLGYTQYFANLSHINNDLPITPG DDQSEEVGSDKWHEGKHKGKHPKDKVPKPKTFKFEVEYSTFTDKVYKMKDLTVRSYIK LAHRIGQYKPEKGDEIDEASSEENEDDSDHEDDVSDAGKKHKKKKHHKQHEKNKVWLR FIKRAFVGTLEDEDLKSFERTESHSGEL LY89DRAFT_445737 MASSSSTHDFSQRDLTELSALEETSPNPQSNSYSAHDVYFSYFY SGVTEHSNVIDANAMEDRWKFHPECNSVSKPHLEGFVVDETPCSSGYLWLLASLALTL ETHLTPQDAVFAVLSEVFDLSTLKNSNTFTHGPLTPRLKSDVNYWISFAKSRRMQDAM SQETFDYHLLHGHPKEDLINLLILLLTNTNSHRKPHEGLSLTLRAEGGPTRTIVRSLR DVGLPFAMPVSESIMMHELDSNIIEFGTPRLIFSCAEDLGTYQRSLLD LY89DRAFT_682244 MAEALAVIGSVSAVVQLIDFSGKCISKGVELYRSGDGILDENAA IELAANHLTTLRVQVEDRAVRLNDAELQNLCSAIASASSDLSEVLAEVRMQGNKTKWK TLRKAIRSVWSKDKILDLEHRLCSFRSELNLHITLRAK LY89DRAFT_682245 MHRGTETAINPALILLLFIYAGISSANILYDPPKHNPLNIDWDP APSPEDGPPLSAHASRDPALIPAQVGAILGAYLFSVCVVGIALVLIGRKLRLSVQRAA RALDIEMVHPAPIITQFNPTPLSPGGGPRNFSWPSPEKDTKNPYIYPSSVRSPVTPAG SDPYVDTRIVEADREMMDRDLEDIYAHVMEQEEAKKAGVNVKELPPPLLQKTGPVPMS APQRTPKGRPSNLNFDEPKTKSRASSIISSLISPRKKGLRAMNISSPLATPMSATFPQ SGASDEEPLTPKYYNPPPPPPIPKDQEPYNHSRQNSNGVSPTRTIDEQLSSMNLNPYK QHRPNPSQTSMDPTSATSTTSQTPLFPPPRSQKSTPQPPASNNSSTRALPFRQFEPAI LSPSFAPTTKTTVLERTGPSHHGPNTAGLKTPWSAGATPYSPYQPFTPLVPMTPTLVT REERKARKKAEGRAPVLEMVKSADETWDSGY LY89DRAFT_445796 MDPTLEQIVAATKASLKANATLIDEAKCHVYGQFLHRMNQAEAN GLYWSCFIFNIMLLIIVACLYTSSIKRQKYVYHSHVSINKQCTNLQTKRAFEIAFHVS TWHPEISERDIEGLRRSHRRSEHWLLFASFVAASVMIPVIIIEALAGLNINFCHKQDL IMFYWGPFFLLSVGTLIATWGLFLSQSIENEPAWNVALGTPVLVFAALGHWAHVFVRY SWRQMRDKEKKVVHPSLAPRDLCPR LY89DRAFT_682246 MAQKCVHQSCGKIYTDPEEECQYHPGPPIFHEGQKGWKCCKPRV LTFDEFLAIPPCTTGKHSTTDHPPQLEKKTGDAAAAETPSPAPPPATATESSRLPVNA APQPTATPPPPPPESEDDDPSLEIPKGKMCRRKACGQAYNGGGREGEKCVFHPGVPIF HEGSKGYTCCKRRVLEFDEFMRIEGCQTKDRHLFIGSGKKKGVNEKGGEEILETVRHD FYQTPTTVIASFFLKKIDKENAKVEFASTELSLDLVTTDPTPKRYKTVVPLFGSIDSE KSTSKILGTKLEVTFVKADGASWPVLRSDEQRTGEIIQVGKAGRAV LY89DRAFT_705408 MYPSIRLLHQCRITLFTRANCSLCTNAKQTLSTVWDKRPFDYKE IDVMKPEEKKWRDLYEFDTPVIHVSLSTSGEEQSELSSQAKKLMHRFEFDQVNEKMDL VEAEASGSEEV LY89DRAFT_682248 MSRRVLQPQANICQFCDFMIAQKPLVRPQFRPQRSVYPTRTFST GRRICSQKPSNTSSSTSRGEKEAVASTSSQAQKVNSTKRSVVELENAIGEVRQTCNSL LNLERIPSEGDTLYVLGKCEAMAKLITLDDTIPVKSGRDGAASALLSLDESDPKRISV ANISSGLRQMADELSNLAYAVMKFPPVFISPQVLQLYVEIQEILGKPETLPEVLLLYA SKPFPQEGSSPIRYNKQNPNKVANAVPLAVADHALQTAIRAKNLTAAMDIIEMSYCTK AFHRAKFVRKGLLPATGLAVTPVAAYSLASQLSLLQTTMDSAMATQVAFAGILAYVGL TATVGVVAVTTANDQMDRVTWAQGVPLRERWIREEERAAIDKVAGAWGFREIWRRGEE EGEDWDLIKEWVGRRAMMLDRVELMAGME LY89DRAFT_682249 MPPPPPPPPPPPPGMGGPPPPPPPPPGNLPSRPQGGSGNRGALL SDIHKGKALKKAVTNDRSAPIIAPTSGGSSAAPVSGAPPVPGMLKPPGGGNRARSNSD QSSRDSGSVTGMEQPPQLAGLFAGGMPKLKKRGGGVDTGANRESSYTSDPEESRSSAP KPPTIGAPRPPPNAAPPIPGTQNGNAPRFAPSIANLRKTGGPNVPRPFSSASMKGPPP PIGKKPPAPPPSFRKPSAPAPQAPPPPPSSAPPPPSSAPPSSAPPSSAPPPPAAPPPP PSAAPRPPFGGPSRSQPPPPPPTSPPSTNGASQSLAMQAAIRAAAQASPSGAPPPPPP PPSAPPPSSHRAPSPPSSAPLPPISRPPPVQAPLRSMLDPSSYTLSSNGTLPKSPSPN RGPEASRRVEINDTRWRFQDESQLPKPRDFHGGQKRYRAGRGSSVPLDLSAFQ LY89DRAFT_682250 MAQIRGTAGYNLGGQTSFGQPSRGDTMNDPSPLDAIREQTGKIE DFLDTLSDPVKPYLPAIGRFLIVVTFLEDALRIITQWSDQLLYLHDYRHIPYGLTHIF LIVNVLAMVSCSSLVIARKYSDYAVAGLIGVVVTQALGYGLIFDLNFFLRNLSVMGGL LMVLSDSWVRKSKAFAGLPELDEKDRKMYFQLAGRVLLIFLFIGFVFSGKWSVWRVIV ALFGFVACVMVVVGFKAKFSAIMLVVILSVFNLLVNNFWTLHEHHPHKDFAKYDFFQI LSIVGGLLLLVNSGPGKYSIDEKKKVY LY89DRAFT_579338 MPQTSAPANKPVKHRACDECRTRKLACSKDPDGCERCRRENIVC HYSEQKPMGRPRKRQFIETTREEPTNNANIDIDVSALPLVADDLEGYNDSNVAEPYFT NTQSSYGLRPEPTSEQLMSKLDNGRTIWHFGDGDIMNGPHINFGNIDFGPPDGPLPSL DSAPQLTTSNASVSDSEQSTPQALPLGPCSCLPSMYLSLSALQTLPTDIVVALKTVRS AAATAASCIWCAQCGAIVLDNPNPPIESFQNTMLLGTILPIIANSYQKLLKMVDDETD AAEAAGETKTFRFQDYGGLCGKQKTVEHTMACLDKELMFNAVEMPAHQWRTTVRALLR VDIYGHEQDGFRHKGLKDLVGEMELRQRTRHDMLDAHVAAGNMEYGSFGQKLCLGEQT HGCLQILKMAKVAIDALVIA LY89DRAFT_640148 MAPSTMDRKRKAVTRDVTELSDVESEAEFGDGLLEGILSQSEDS ENESDENEDSDEAEDEEDLDELEDLGSDEIPSEESEDDVEDQNGGLVKSNGKNSRLSD LVHRQAQTKEEKEDKPNYTVTTDANGGERYVYEEIDPVYDSDDSDAPVTTNTVGNIPL TFYDSYPHIGYDINGKKLMRPAKGEALDALLDSIDIPKGWTGLTDPATGKPLNLSQDE LEILKRIQTNEMPEEGYDPYPATVEYFTGIEEIMPLSAAPEPKRRFLPSKHEAKRIMK IVRAIREGRILPYKPPEEREDEEEEVHYDIWQDEQPRPDHVMNIPAPKAPPPGYDLSY NPPPEYLPSKSEKQAWDNTDPEDREKEYLPTTHDSLRKVPAYDKFIKERFERSLDLYL APRVRKNRLNIDPASLLPKLPKPEELKPFPTVCSTLFRGHEGRVRSLAIDPLGVWLAS GGDDGTVRVWELLTGRQLWSANIGDEEAVNVVRWRPGRDAVILSAAAGEDIYLLVPPV VDPETETASRDLLDAGFGYATNATQALTANGQKKEPVAKWTRPGFRLEDEGVLIKITV RTTVKVISWHRRGDFFSTVSPTAQKSAVAIHTLSKHLTQIPFRRLPGIPQTVQFHPSR PLFFVATQRTIRSYDLQKQELVKIIQPGARWISSFDIHPGGDNLIVGSYDRRLLWHDL DLSTRPYKTMRFHSKAIRAVKYHKGGFPLFADASDDGSLQIFHGKVVSDMMENATIVP VKVLKGHKVKSALGVMDIDWHPREPWCVSAGADGTCRLWM LY89DRAFT_640150 MMSGFPKLIPAFTAHIAIDPPVTVGAVSKGAPLAVVPFVTQHSF LKSEPDYPIKVDAVFTHGADYIRQDPSGKLVRLDVNSILNDKSGAIIVYKYSGIVTLT EPTGKVLGGAEDAKTTDFGDGVTHVLLETGDEALKELEAKIYVASGRFILEAGKPVIV EYKISEVSA LY89DRAFT_578584 MSNLFSGISGRFRGGGKNGGSKSPGPPSGVSPTSPTGTSQSGSS STSLAPRVPPLPNSPSLSQTLSMNESTGSLPGSEALAKYNLPQPKPLWLNDAYSKHIV KGNFMTLSARPKTVEQGEWVAHQVVEHYRILWNFVRVVHDKEEDGTTICNIQTCPRMS AGANHSFTWLNSRREPVEVPAHEYISLMQRWISGKIENTNIFPTDPASISFANNVGNP DIAAATYASGGLNTPGSNTPIPAGPTNLNTSLSQLSGPGDWIGKSSGFPVDFVDVCQT IFRQMFRVYSHLYWAHFIDPFYHVNLDRQMNSCFSHFVLTATEIDMLKPHELEPMQPL IDLWAANGTLPQESKAYNCANLKAGQYLMSISGTKQ LY89DRAFT_715910 MASSEEDDLMENVGDEDLFGGDEDDAQVEKTRELSDRELDSGDD EDRNDRAPQEEEVDYESGRDARVMESTIWRHPLPKPVDGEFSYLRLPKFLGIEPRAYE ADTFRVPETDHHSDTRSATFSASAVSASTMRYRKNSTTGKLESNTNIYRWSDGSTTIS VGDQHYELQTKPLAPPKDTKSYSETQDSHFYLATPSIASQLLLLVGHMSNQHTVRPNE AVEDDALDKLQKSLAAAARRGNKNEKGPELIASTQDPELQKKRAELAEKERMRAQRRR ETAAEKASQSHRPGGGRSGGLSLDDLEGRGRRAPTSGRKPPKPRKRRPEYDSDDDLPK GRSREDEYDMEDDFLAPSDDEEAPEGDDDEDEEEILDDESDREEPKAKKQKVSRQEEV SDADADAEADLDDDEVPAAPVVTEAAGRGRKRNIIEDDDDE LY89DRAFT_730568 MAGVLNSIWRMLFDVLLFWQIRFLRWLTRKKPEQLWFEILRDSK TYEEWEEAAFQLDVLLGNDLWRQNPTSKYYDYRLIHERLQSIIIAREEGDILQLVNLL RSGLVRNLGNISTPRLFNRAFAGTKLLIEDYITQVAQAIADVTKLPTSPGSDGNGPIP GFSSQAKLDLLHDTRQAFGRSTLVLQGGAIFGLCHLGVVKALFARGLLPRIITGTATG ALIAALVGIHTEDELPRFLDGESIDLSAFAGKGIPLENGHDESMSFDGWWNTLVRRVR RFLREGYFLDVTVLEECVRANVGDLTFEEAYNRTKRVLNITVATTGRGGVPNLLNYLT APNVLIWSAAIASNASSPTLYGRSITLKCKDTSGAIVPWSAASDTTFRPWTHASYSDR DSPLSRIAELFNVNHFIVSQARPYLIPFLQSDMHGPSPLYTRGGRTSMTGGLLRLMTM EIHHRLQQLDSLGLLPLSIRRFLVDEHIPAASVTLVPELSAGDFIRLLETPTQASLDY WILRGERSVWPAVGALKVRCAIETELDRGYQVVRRRKAGGLRRRGSAVDNANDKERAR ERANSAGAKW LY89DRAFT_445989 MSPTEVIRSSNISSGFTIFNLHLFLKEVISNFSLLNSIQLLFFA ISYHWLLHICHLHLLHFLPRSRNTANLSSLLLSLIVRPQLPAYSLWPFRLQPFNMAIP DHNNTDSTHHYEESIDQWICSQCFKTVSPTRPPVFNPENCSCVEAGGGGPVSQPRTEE QPLYGYDNTMNQPSYASSVVSFTGPEGPERENPNMQITNNYLPLGPDTGLNETQHRRS ASFESDMSYQMRRFLVEEGYVNEEHRFLQWGGRVGVRGI LY89DRAFT_578713 MSSSSSSSSSSSERPTGLIGKIGIELLTFGTPNGHKASIILEEL KAAYGKEYIYQSINIMENIQKEPWFTKFSPNGRIPAIVDHDRNDFSVFEGAAILAYLT RHYDPEHKFSFEDPDDLSRCEQWVAWQHGGLGPMQGQANHFYRLAKERIPYPTQRYVG ETERLYGVLDTQLSDRDYLVGPGRGKYSIADMANFSWVNVGYFAGVDLSQFPSLEKWW KRIEEREPVRNALKVPSESKIVNSAYLRRLKEEPEFKEGEEKLKKLGDEAKEQYNYKY TSP LY89DRAFT_578390 MANSAASNTEAERPVEEEKRISTSRRPSLPHNGSSPIPEVAPVV GGAGFVLEPSEKAEHAATAFKHAQDGHVVPEKDGSAGTSATIVQDGEAETEGEEDDEI VYPGQLQLALLTLGLCLATFTVGKDNTIIATAIPKITSVFDSLNDVGWYGSAYLLTTT ALQPSFGRIYTYFNVKYTYITALVIFELGSILCAATKNSEMLIVGRAVAGAGASALFS GGMTIVGFSVPLAKRPIFIAALSSMFGISSVVGPLLGGALTDNVSWRWCFWINLPFGA VSIAVVWFFFKPPQRKSSGLTTKQKILEIDLLGAAFLICAIVCLLLALQWGGSTYPWH DSKVWGCLLGFGLLIIIFIGQQFRRGDRATIPPRIFGQRTVLFASLYSCFLSMGLYSH IYYLPFYFQAVKGTSAEGSGIRTIPYLGSVIISSIVIGGAITALGWYKPFMIVGSAIF TVGAGLIYTLTVNSSVGRWVGYQLVSGFGAGGGVQIPFIAIQVVLSSKDMPTGNAIAI FFNSLGGAISISVAQNIFSNGLKTELPKYAPDVSAGTVINAGATYLRRVVSVADLPGV LKAYMAALQQAYIIAIAVGGLATIFACFVEWKNVKGKKLTAVAA LY89DRAFT_682259 MKVSTSSLLLAASTLLGTALSHNIQLAAHGRECFHEMLHKDDKM TVTFQVGDREFGSAGNLHVDFWIQNPSGGYETHERSVSNGDHSFTAQHDGKFVYCFSN EHWSASSKDVSFNVHGIVYVPEAEAPSDPLEAEVRQLSELLAQVKDEQSYIVVRERTH RNTAESTNARVKWWSIFQMGVLAAEGVFQVWWLKRFFEVKRVV LY89DRAFT_682261 MGLTFSKLFDKLWGKKEMRILMVGLDAAGKTTILYKLKLGEIVT TIPTIGFNVETVEYKNIQFTVWDVGGQDKIRPLWRHYFQNTQGIIFVVDSNDRDRVVE AREELQRMLNEDELRDAILLVFANKQDLPNAMNAAEITDKLGLHSLRQRAWYIQSTCA TSGDGLYEGLEWLAQTLRKAGHQ LY89DRAFT_640172 MAVQEPKIRQLPVTLLSGFLGSGKTTLLEHILKSPSHGLRIAVI VNDMSSLNIDASLIQQHTVSQSKEKLIQLQNGCICCTLRGDLLEELVKLARTDAVQYV VIESTGISEPMQVAETFTTEFSRMMIEQEGNLDDGEESAVLKEIANLGGLNKLARLDT TVSVVDAFNLFHNFSTADFLSDRYGKDNFIPEDERTITDLMVDQLEFADVIIVNKLDM VDSSTKARILALVKRLNPVAKVLEARYSAIDVMEIINTNMFSFEKAATGAGWLRSLHE LTQMEIGGKSKMVPKPETEEYGISSFVYRARKPFHPNRLWDLIYDKFIVMQNVDQVVE EDEVGEDDDEDMDQNAEEGEDSDTEMGEDVEDKEYPKEIDPKMILQNKAAHPAFSGLL RSKGFFWLATRPSQHGEWSQAGGMLTLQGGGPWFCVVPREAWPEDMDIVAAIEADFSG SWGDRRQELVFIGEKVEQHAVFAVLDECLLTDSEMRRWERVMKNKKYTVEEIEDKLAT MFKDGFEDWGTTVAEDGVGHEAHDHSHSHGRNSTT LY89DRAFT_610751 MDLGGGGEEKSALEQYGVDLTARAKDGKLDPVIGRDAEIYRTIQ VLSRRTKNNPVLIGSAGTGKTAILEGLAQRIIRGDVPESIKDKKVISLDLGQLIAGAK FRGDFEERLKAVLKEVQEAHGGIILFVDELHTLLGLGKAEGSIDASNLLKPALSRGEL QCCGATTLNEYRLIEKDVALARRFQPIQVNEPSIQDTISILRGIKEKYEVHHGVRITD GALVAAATYSNRYITDRFLPDKAIDLMDEAASGLRLQQESKPEDIMRLDQRIMTIQIE LESLRKETDVASRERREKLESDLKECQAEAATLTEKWEKERAEIDAIKKTKEDLEKAR IELDQAQRENNFARAGELRYAVIPAMEAKLPKDGEPTGASGEGTLIHDAVTADDIAAV VSRVTGIPVTKLTSGHAEKLIHMEDTLRESVCGQDEALHAVANAVRMQRAGLNGEKRP LASFFFLGPTGVGKTELCKKMANFLFSTESAVVRFDMSEFQEKHTISRLIGSPAGYVG YDDAGQLTEAVRRKPYAVLLFDEFEKAHRDISALLLQVLDEGFLTDSQGHKIDFRNTL IVFTSNLGADILVGNDPIHPYHEEPNGDIAPNVKNAVMDVVQHNYAPEFLNRIDEFII FKRLSTDALRDIVDIRLKELQTRLHDRRITLSVDETVRVWLAERGYDPKFGARPLNRL ISKEIGNGLADKIIRGELKTGETAVVKINKSGDGLDVGTTESFEN LY89DRAFT_682264 MGFADLVTDAGLTMLNSWLETRSYIVGYSPSQADVATHKALKSA PDAAKYPYAARWYKHITSWTEEFATLPGDASKAYSTYGPEVTTATLNPAKAPAAAEEE DDDVDLFGSDDEEEDAEAARIRDERLAEYKKKKEGKTKPAAKSVVTMDVKPWDDETDM KALEASVRGIEKDGLVWGKSQLVAVGFGIKKLQINLIIEDDKVGLDDLQEEIAEFEDY VQSTDVVAMQKL LY89DRAFT_682265 MTWISKSVTGLGLLFLAHACYSAYEHSALHSTSTASLSSTAAHT AITSTSSSLPIDISIETLVAVFTVCLGLVLGTPELRPIQWRVWAGQIEREGEAGFTNI DGQVDKDYVGNPFRVLESRPGFVDIRKQRKEFAEWVREGGGIDEAKKS LY89DRAFT_578807 MAFKRRRLTSGTSSRSQSSSRPQSFSRLSSVPRSNAASSPALPP LQPQKRLSLGIRGSSVASSTLRGEEDVRAEHLETEAEIQAREDADEMNEVILAVDMRD RGTIGSAYYVTREEKLCLMEDIKMAGLDIIDTLKLHAQPTLILIRTRSDEKLEDHLAK DARGIDRGDEANDIFGSYILNSRTAGDFNEEKAKNMLINLELTADEAPNIIFTTPADE AAGGMPYEDNGVMGRQGRLLRLAGWIDLDSKVTLGCAGAILNYISRRKNVEYLPNDQA ALVAFRIRTIEMFTLSDMMFVNADTLASLQIIQSENHPNSHMQGPNKSTSGAKESLSV YGLFCHLAQSPQGKQKLRQIFLRPSTDLNVIRERLSTISVLLRPDNSTLLGKISRSLK KIKDLRSVMIHLQKGISDTPGQKNAFRRGPWGSIQSFTFHVLLILDAVRELAGSRTVA VANKFVAQIQVARIKSVGEMITNTVDFQISEEQHRTVVMPGVDPELDNFKRTYDGMTN LLTQVATLLSKDLPEWATQYVQNCIFFPQLGFLTVVHLDPTTGKGKYEGEGLEDDVWE KMFASNDMGYYKNRRMKEMDDYFGDLWSMICDREIEIIHGLAVKVLEHEQLLVSASDL CGELDCLVALALGAQKYRYSPPQVTRANVMSIEGGRHPLQELTVPAYIANDCFLKGGV GEDDEENSSLVESRASSVSQSIEGPSMLIMTGPNYSGKSVYLKQNALIVYMAHIGSFV PAERAVIGLTDKILTRIATRESVSRNQSAFMIDLQQITLSLTLATSRTLIIIDEFGKG TNASDGAGLCCGAFEYLLSLGINRPKVLAATHFHEIFENGFLSDRPELSFGHMEVRVD TQAELVEDQITYLYNFVPGRSISSFGTTCAMMNGIDTAIVERADELIILAARGEDLIA ACAKVSPDEQRELEDAEQIGRQFLEQEFPLPEDKQAAGFNVRSVLQNILAVSSN LY89DRAFT_446254 MEDMGTLPAEGPDVDGCREDGRIGDDESHTSLTCACRKWSRPGP VSKVEGKRLNMPESGENPEVDITQASLRALRNRTSLNAHNWALLQNFHLFRFFGLLMV GQGLFPRQGKTSLASKQSVKYCRGLGFIDCLVEGPNTVERLEKKIFMVDGMITSRRNF GLRK LY89DRAFT_730579 MQYSNIIILALAAGAVAQNSTTKAGKTAATDASATGSSTAKAGK TAATDASTSAAAKATGKTNANSTASGKASASGSAAKASSTGKTNSSSTTSGGTVKATT NGAPSMLAQSGGFVTVAGLGIAFALFM LY89DRAFT_705425 MFYTKSDRMFTENAEGREGTRVPLHNVDNNGERPHQPEEASGSS TGVDTPAGEGTWGERDIGGPVNLRNAMIDFEEMRKELSHLSKSRTSKSDSRRPGTSGL RKVASAGSRASRRRSRTHSNATAPRDPDLEAQDDEKPAEEEEEDFELGDFLQDGHFEK RQEGRSAKKVGVVYKNLTVQGVGATTTFVKTLPSSVIGTFGPDLYKILSRFIPFLSQP GSHGQKRHLINDFTGVVRDGEMLLVLGRPGSGCSTFLKAVANKRGAFAGVEGEVSYGG ISAKEQAKHYRGEVNYNEEDDQHFPTLTVEQTLNFSLLNKTKKHQKGDIPVIISALLK MFGITHTRHTLVGDAFVRGISGGERKRVSIAETLATKSTVVAWDNSTRGLDASTALDY ANSLRVMTDISNRTTLVTLYQAGEGIYELMDKVLVIDEGRMIYQGPANQARAYFHNLG YYAPDRQTTADFLTACTDPTERRFRDDFEGPIPKGPIELEKAYRESEAYKNVLKDVED YERMLRETDHADAREFKQSVQEGKSKTVSKRSSYTVSFVRQVLACTKREFWLTWGDKT TLYTKFFIIFSNALIVGSLFYGQSKNTEGAFSRGGSLFFSILFLGWLQLSELMKAVSG RTVTTRHNDYAFYRPSAVVIARVLQDFPLILAQVIPFSIIMYFLTELDVNVSKFWIYF LFIYVNTICITALYRMFAAISPTIDDAVRFSGIALNLLIIYTGYVIPRPQLLEKYIWF GWLYWVNPISYSFEAVITDEFYDRTLACAPSEVVPSGPGYDNPAYQGCAFTGAETGSL NIPGATYLEVAYNYSRSHLWRNFGVVIAFTVLYILITMIATELFDFTSGGGGALEFKK SKASKQKVKAATAPADEEKGVKPQPSSGGTWETSQTLDGPGEEEALQEISGSESIFTW EDVQYTVPYMGGERKLLNNVNGYAKPGVMVALMGASGAGKTTLLNTLSQRQKMGVVAG DMLVDGKPLGPEFQRGTGFCEQMDLHDGTATIREALEFSAILRQDRHIPRSEKIAYVD KIIDLLELRDMQDALVRCLGVEQRKRVTIGVELAAKPNLLLFLDEPTSGLDSQSAYSI VRFLKKLANAGQAIVCTIHQPSSVLIQQFDMILALNPGGNTFYFGPVGDNGSAVIKYF ADRGVKCPPNKNVAEFILETAAKGGKRKDGKKLNWNEEWANSEENKAMKEEIQRIKAD RSKVQRPENVEEYEFASPVALQTTELTKRLFIQYWRDPSYLYGKLFTSVIVGIFNGFT FWQLGYSIADMQDRMFTSFLILLIPPTIVNAVVPKFYQNRALWEARELPSRIYGWVAF CTANVVAEIPIAILGATIYWALWYWPTGLPSDASTSGYVFLMTMLFFLFQASWGQWIC AFAPSFTVISNVLPFFFVMFSLFNGVVRPYSQLSVFWRYWIYYLNPSTYWIGGVLAAT LNNTPVICAAEEAAYFNPPPGQTCQSYAAAFLQTATGYLTNPDATTDCGYCQYSSGTE YMATLNISPKDKWGYFGIFLGFCVSNWALVYFFIYTVRIRGGVLGLGRCLGGWERWLG W LY89DRAFT_682270 MISRTRAILLALSFLSLPLIQAQFVSSLPQCVQDCITQSQDDNC QSTDIKCLCRASAGNFLPDLITCIHSNCDNDLDNDLLLTPLQLACQLAGVPIPAAALR NAENEASSLASQVTTTVTMSASSSSPEATTTVTVTSIGSGTTVYEIYPVTVFSTTTIT GSTITRSLAPVLMTTTNSVGSTYTFWVSDPGPGTRTSLISITLASSVPVGSDTTTSMS VAAQETSSSLRSSLGSKTTKASDPAETDSAPFTNTNSGGVRMGGGSRVWVWVGMVVAV CLVWI LY89DRAFT_640184 MPYEIIQIPRDDESIRTWVEQCKAIRLYALKTAPEAFGSTYARE IAFTDEDWYNRLANPVATTCIAVQSNQIVCSLVGIGPLPCTPEESSPTKNPWELSKDP GATAQLHFRINGMFTLPEARGQGIAKALIERFFEHGKEEANKVGKNFVCSIVVDADNT PAKNLYEKCGFVSLIQETYPESSRVAILMKYPATSEKTNV LY89DRAFT_579513 MAYDLPFENYDDAGVYQRSTNRGLLQETRNFVVEFGRSQAQIAF DVEAEHVQSLLDTPAPAERPVRWINLWGPHTQTDIVELLGEHYSFSYRLLAIMKTEPP GARLKVQHKHARTFRARFYRKPDVETAKLSHGVPLEKAEPPRIQSVDGISHYALAEQM TNYHSIDVGSRFICVGANFMHEIASKCERSEIEIISEGVQKRLWSWLVLCDDHTVLSF HEHPGKVHDARDPKSFRANTLSVLSQLSNYGHGQVDPISMQTVRQALNEDLSHSNPGV EGASLLFYYLFDDWQAVYTTVAKYSKRLAQFDDAILFDMWKKSYQTPDVEIIPRLHRL GRQGRQLSHLYSGYKNLVQRILDSKAITIHVAHSTSSGDLASMKGHKGVLLAQSASQR FERLSDRLELLVIEQMKESLAERDALVTTYFNITAQKDSESTARLTRAATLLAKLSVL FLPVSLMTSYFSIQVSDLQGVYTVKDYWYAFAGIMSGSFVALFFFSRLLMWISENLDA RLKQASHSARMYLADRIRKKRERDE LY89DRAFT_640190 MSRDEEANEHTRLLDPRVAQLTGRKPSRHSEQSLPPHDASSILS SQVSKEEQELAGTAVGERLPYNDYTTIDWLHDLIKDSFRHRAILSNRSLKGRLFSAYD SCQGWFAAALIGILTAVVAFMVDVAEATVSDYKQGFCSRNPLLNREGCCTSKSPLLRV SEDVGEDCKAWKVWSNDYWGGFAIYVGFAVLFGIIAGAVTLTTKANLPSAGGDDDDEN VGGGQGRTVGKSMYMAAGSGIPEIKTILSGFVIPHFLDFKVLFVKAVGATFAVATGMC LGKEGPFVHISTCVGYLVASRFPKYQENGRKMREMLSVACSSGLSVAFGAPIGGVLFS YEEISTYFPRKVLWRAFFCSLWAAVVLKALNPNGTGKLVLFETNYGIDYEPIHYLVFI FLGLVGGLFGGVFCKANFLWSKSFRKYSIISNHPVFELALVTLVTALLQYPNPLTREP GDVIIKNLLVDCREPEGSWVCAQEASEDKSRYYGWLIYGTLVKLILTIITFGCKVPSG IIIPALDAGALFGRLVGQAIPNINPGIFAMVGAAAFLAGVSRMTVSLTVIMFELTGEV GYIPPFMIAILVAKWVADALSSEGVYDLAQTVLGHPFLDPEHALKIVRAEGTLVEELI PPAQTMREITVDVGPECKVSKSLLAMKLSQLKARGLMDAGLVLVDGNSMLHGYLAQGE LEFAISEAGVLEDDEPVDLLEGPLSAFVDRTPLTLCAKAPMEYAVEMFGKLGLRHLMV VEEGTGKIVGVIIKKRLVLYLEGLHH LY89DRAFT_730585 MSTITSRPVNGRTNGSMNGNTNGHHKVRFIPLTYNQAESQTSAL RLILTLRPEWEDGKIEFVRFTDGITNTLLKVINKKPGLSDEEIDNDAVLLRAYGQGTD LIIDRERETQNHELLMQHNLAPVLLARFQNGMLYRFIRGAVTSPADLRRVDIWRAVAR RLAEWHAIVPCIPTPRESLTAEITGSEQFGMPAPTPSRKDPALQNAIDNVAPGKPSPN VWTVMQKWIYALPMETEAEKGRQASLQKELTRLVAEFSNRPGLGKNSLVFAHCDLLSG NVIIQPRSANNANAPETVSFIDYEYATPSPAAFDIANHFAEWGGFDCEHDLLPTQSQR LDFIREYIQSYFTQLGQPLSKTDEEAEVHRLFMEVDVWRGIPGFYWGIWALIQATISQ IDFDYASYAEIRLGEYWAWRAEADGSRKASGKEMAVRERRWAQES LY89DRAFT_446376 MACLLGCLLFSPRSLLLRFGGSMTCMFAVVFLVPERRAWVRRHS VRTDEQREQKQQMDDSESESDPVGCESESTKPPILTTTVANSTRRGRSVVSEYFSLAA MMAEQSAPSVEH LY89DRAFT_446379 MTLSATTTTRSSGRYSLKTSMDSSQDKEERKREYNRLAQREFRR RRKEHLKNLEQAQKEQSSEQSEEIERLRYQNDELRRENEALRAQIYGSSSSSSHLMSG SINVPSISDARQYSLSPSLSGTSMSGTGSPPATMASDMMPMAALSLTSSMLTPPMQAY ADPSALSSQPYSMVHPSGLRHNSQSSPESSGFRSSRSTMGPSFQSLNLSQSVEAAAPL VPGQQRRSSGQPPTMVMVPYDRNKAKNEILDLFRPLYSDPSVTNDSSRHLTVLRTMSE TLPPSLKPSKAQLETPHYYGIDMIASSSLRERLMTVTTEVARSFCADLGIIGGERDEV GQVIIWGDEPLNEMSWELSQPLLERWGWLLGTGWTQRANFWRRQRGAPLLTEW LY89DRAFT_666216 MSSASDSAAIHPQNINGLSNGSLLQKQNFVQANSESFSEWYSFV TAQSEPPTIDCNSFDEWSYDSTTFNQHCPSPIASSLGSSIVWTSHAAAEPLQYGSLSP LTETPLSYWNCERPLMRLGEICVSSATQPFASPFYTHTEATESSYPIQHQPDYNHTIR DKNTTSPLFVNNAASSRSTRASRSRSRLRPKTLHCPNCELSFARASDLQRHIDGIHLR IRHHCRVSGCGDNDKKGWCRLEKLKGHMQHVHGQI LY89DRAFT_715931 MVPHALDKLWRFDETPNVSQPPAKKAGKPALQWTPSRERKLFRC VAMANVPMKHIATAMYEAAVPAEDGKPEQPEFAPCRSSCRNHWHEMTEMKPKQMLINN LFSREKQRKQMTAAFEAYLERKSKTDSSNEAQVPNTVPSMPKTSYEDTISTTSSQMGT ATDMSTPLLIFEGETPIDHGPVFTPKSHDTAQPRIADGAIRPLNWGTGPIGLDSGEMS PAAQITATKRRVPIDFDEVGEASTQKIPKQHLIASVEGEAFQVRTPSGQTTAPDRLSR TGVANKLKPVQEHSDEHNETQLSIFEVEDEMGVPHGFIPWVHSVISATGTMVSRLSSR RSSQRLSVETQSPDEPNPLFRDSHMSSSILFENVGRQDLDLFDELRRDNTTDLDVASR IRHFLGQLSVARARQLVRTRNHRHETALEVALALGNIPACEVLLQFGADVYAKTSNGK SLSAFGRAVGRKDIPTKYYVAIGACRNKIFSKAGEDELKNNPDLQKAKRVSSINNGAW PKSKARARSTTFPKRANTTHVPPPLSSGLARLGSLVGVSRDRLRHSRPNGSRESSRSY GRPVSFQRAPSLAFSDNFWDRISDPRPIQTDRMNSASQESLQLTQSLHSNFVVERGTP VPTLPDFDPSLGVWELLPDNRMVFVPHSQRTMELPILPNPLPHQNPPEAEIRQSTIGL AQFPNPPARIEFRQPSQRNSVNTRRHGMIFDSVAANSIMEPAAATTLNDVLQEYHQPD AVADTINSLPDSDLAFMNAYDNQSYNRQNETTANVWDTLEQIPPNENPTFMNVPEAEL ANLFPEEILDNNFAPGWI LY89DRAFT_682280 MVDVPTTNGASAKPTIVLIHGLWMSPSCWEEWISFFASKGYTAI APGWPGLDGRTVEDIRAHPEALQGVTIGAIINNYIKIIETLPSPPILMGHSFGGLFVQ ILLSKGFGIAGVGISPAQPSGVLTLKLTTVKAGFGVLGNPLNYNKAVKITESQFHYNF GNHLNAVDSKVLWEKYSIPAAGHILFQGVLGLLSAKSEGSVDFGKKNRAPLLLIAGTN DHVVPRQVVEAERKHYVGPAVVELKVFEGRTHGIVNQKGWEEVADDALKWVEEKTKV LY89DRAFT_640199 MIIAALAVAVQAAPTAGASGPFSSKGGKRKFSTLYKRNPKYVHN TTTALLNAYAKHNLTPTGKVSHAFQDALDRHQSKHRYAKCWSSYQYHISVLLATNQVL PMCLDTGSSTFWVPSTELPPSLQNTQALYSPSESATYVALPASSKFDITYGSGGWSSG TCGLETVQVGDSQDMTGDMVGFRGFGIGLENSASDGYAQQDMLGLAPLNGQTPCRDTQ NFFGSIVSLLKSPLFAVYLPGAVDGYYDWGYTEDSRHTGTIQYTAVTSSNGYWKYPSV QYKVGDTTYNIAGQTRISDTGTAGILMLNATLEQYYMSAKVGGVFSNNIWQFPCSSKL PSFSFLVGDTIWATIPGSLLNSGTTNSAGTMCAGLLQDRGSFPKNIYGELMFNAYYGV FGYASDNSLQFGIAPIVPPS LY89DRAFT_578857 MRSFFQALLRRRPRSSQPQQRVSAIQARTISGGMTAAALYAVSM TTPTALGAVPEDAEAKSHHAKNGVGFINPWDSFKDFSAPEIMRKIIWGRVTGSFKSPD TTPPTVPVVAPKFLPNRTVSPALRATWLGHACYYVEFPSGLRVLFDPVFEERCSPFSW MGPKRYTEVPCHIKDLPVVDLVVISHSHYDHLSHPTVVELHKAHPEAQFLVGLGLKDW FQKCGIKKVQEMDWWTDIDITLSPSTGEKRISTASSTTVPSTTAPTDSSNITARISCL PCQHMSARTPFDKAHTLWCSWAVSSGGKSVWFGGDTGYRSVPQLPEGEDDYGEKYKDL PYCPAFKQIGDLRGPFDLGLIPIGAYDPRYIMSTVHANPFDSVNIFIDTKCKKAMGIH WGTWVLTGEDVLEPPRLLKQAMKWKGLPETGVFDVCDIGESREF LY89DRAFT_610780 MTEVSSTRLYLGNLPRNATKADVETHFNTHGTGEITEIKLMNGF GFIEYKDAMDARDVVPAFHGSDFMGERLTVQFARGTRNRDAFANTERTAPRPRRTPHR MQISGLPGETSWQDLKDFARQSSLDVVYSETGRDRDGKGSFVEFETAADLKTAVEKLD GREFKGVRVTCVADTQPDIPRDRARSRSPGPRRSYPADDYDRRGPSRGYSPRRDGYRE RSPPRRGYYDDDRGRYRSPPRARGPIDDYPPPRGRFEDPYRRDYPPDPYVNGRPPYDR PPPRDYPPREPPYDYERPRPRY LY89DRAFT_640207 MSQDTGLFSVRRPRETLGGINYNSNIPQPASAMKRSNSLSGSNG NAPFTASHVRSISGSRASLAPPRPNQPQFQRSSSGTNLAEMGMSSVKRTSFQNTSGRK SYAPGGMTSGMGRSSAENDRRSSVHTYRSRGSNSGPMGHQSFFQQAPQPAGAPTDPRK LKDRSVQVKVGQDILDYMTANNFEMEMKHMISQNMVKSPTQKDFVYMFQWLYHRIDPS YRFQKNIDQEVPPIMQQLRYPYQKSITKSALSAVGSQNSWHLFLGLLHWMMQLAQMLE GYASNHYDDACAETGVDVSGDRIIFDFLSRAYRDWLSMDDDAGDEDQDRVLAPHVEAM AQEFDRANSKYLDELHILEGEHERLQREIEALEKSGSDVATLDKNFKIMEDDKVKFEE FDSRMNQKSEKYESRIQFLQEELDKVMVELKEADDERSNLQKAVDEQGISMADIDRMT AERERLQKGIESTSQRLEEAKKKVTDKEGEASRKLDELERMVDKYNSLGYQIGLIPST APNAKGKNYELQVVVNEGPNFSSSQIGSSRGGNADSDRLLADPLTGYQPAHILNLDLR GHVKTSFVSLRKEISERRTVAMDEMMKHHELLDGIKEAIEDKRGEVQALEHRVRAAEE EYEKTKEVTTTQKLASDTQIEKMEKELAKMRAGLTESVQLMEQREMNTNIEYEQLTLE ANALREELHTEIERMLNDIIKFKVHIQKNLEEYETFVTDEEENEPGVEETEDTQDVEM R LY89DRAFT_446634 MAIREDIVASAVTFLQDPSVAGSPIENRIAFLQSKNLTQEEVDT ALARASGETAPANYSNYAPQQQVARPPQPGYGGYQQYPWQQPPPPELPKRDWRDWFIM ATVMGGMGYGLYFVAKRYVYPMIAPPTTPQLEQDKKDIDDSFEKAFALLDQLSKDTEA LKSSEQARTERLDAALSEVESVISDLKTASRRREEESRRISDEVRGLKDLIPKAMEGQ KESTDTRLRELNTELKSLKTLMGQRMNPATSSSTSPYTRGPTIGSTPTNGSSANTNGS SGTDGVTPKPASVSNGAGTESVASLQGRSSSPFSTGMPASKAAIPSWQLASGHKSTSS TGTGSGSPEATGSA LY89DRAFT_578790 MESSPLPLVKAFLPKVPLIGRTAVSHTLGFSEHSKHWDLRTELI VNVLRSFIVDSPPVSVSRTQRLSLRDPGVKGRIWISRFTIPKPEEDDIRQALFEAIEE CKEPGSLPKGYQEPETVPVEAEWTGYRAGATKQSAELKISEEQKYKEMMREVTAPTTI LYFHGGAYYLMDPASHRPTCKKLARLTQGRCFSVRYRLAPQNPFPAALLDALVSYFSL LYPPPGSFHEAVEPQHIVFSGDSAGGNLCLVLVQTLLILRRQGRKIHWNGQEREVPLP AAVAVCSPWLDITHSSPSCDTNGRYDYLPPSTKNPEGMEYPPCKIWPASPPRKNLYAD DGLLCHPLVSPITAKSWEGSCPLYIETGEELLTDEDQYVAMKAASQNVPVVYEQYETM PHCFAMVVSDLPAAKKFFNNWAAFITQAVEHPEEIKTKGTIIAPKTLNERGVEVASLS TFKEDEILARMNERVRNFNPKQPDSMSKL LY89DRAFT_578664 MSTCPQNIGIKAIELYFPSQCVDQAELEKFDGVSQGKYTIGLGQ TKMSFCDDREDIYSFALTVLSNLLSKYKIDTNSIGRLEVGTETILDKSKSVKSVLMQL FGDNTNIEGVDTVNACYGGTNALFNAVNWVESSGWDGRDAIVVTGDIALYAKGAARPT GGAGIIAMLIGPNAPIVVEPGLRGTYMQHAYDFYKPDLTSEYPVVDGHFSNRCYTEAV DACYKAYNKREAHLKSLANGHTNGTNGHTEEDVATTKTTLDKFDYMSFHAPNCKLVAK SYGRLLYNDYLSNPSSPAFADVPAELRDMDYSKSLADKVVEKTFMGLTKKRFNERVQP STTAPTMCGNMYCASVYSGLVSLISNIDSETLQGKRIGVFSYGSGLASSLFSLKVVGS TEAMAKVVNLKERLAARQTLAPEVYEEFCNLRKKAHLQKDYSPAGKSETIASGVYYLE NVDDMFRRKYSIKA LY89DRAFT_446822 MEGNDRRSSTGIDRRASARISMTQQDNEDYDLEAMGISDGFRPA AAMTGHNRMSSQASQHNTPGRRTPPPRPSSTTKPKLDSFALRHDGAMGPVARINTTVA SQPSNTGPTRSSSVSTDMAFVRTESPYQGPSGPSHPYQMYPQESRIARTMSVATTSTV AVPDRPYNGPGGPTHPYGMYPQNIVPEGEVAEGSSIPPVPVGFPGLNNNYQRRLGPDG EEIADIIGPDGHTEQLPPYTQYPDEAFARKTRPNVQVPLAGAGGIGLATRNPEFESQE DLNTPQSRQSTRSMMSDSSHQINMAAAGISEKPPLKRWQIVAKRKVCGIVPIWAIALA GAVFILFGIILGTVLAILKPKHPMKHYKPDTDDQPQTVLVSTMTTTFDATPLATAPPG ISSLPTGTYALPITIPSAIQNSCILNTAMSAAWTCTIPMTSYTIDVEQIPGATSNLDN NEINLSLGNNTFGNYYAYGTQPPVLPEQQVLNLVTDSQDPGRGPAWFFELPYNKLVIV PEMALTAPSSKRDLDSRDGHPTSDFMSRKNVAEPGDKPWFCYWNGTLLEAFIYETLRI DYSQVNLTSSAGQTASSSSSSAPTSTWKPAASQTSASGAAATSGQSSGSSSSSSNQMT WTPAYPRVLKIEERRVPRGDQSISPYCIQHIIDAYGNAEPYMNSTNQPVTIYLNESEP SAVSQIPGKRSYDALLGRDVEERQGSNSCGCVWLWT LY89DRAFT_550176 HLYGIRKPKNAPIEVSSSTSLAFTSTLSSLLPSKNKEDIFSSHN RNTKKRAARDLEDEERGRQDIGGVDEDVLHRSKRKMEQKAKLYSRMKRGDYVAAEGEA DGLVDFDRKWVEGGKEEESEVESDDGQGEMVDYEDEYGRARRGTRAEAERMERRKMNK VLGAEELDRMSARPAMPKTGLIYGDTVQSMAFNPEESVVQKMEEIARKRDRSMTPPEM KHYEADKEIRSKGVGFYSFSKDEGLRGKEMEALEKERLETERVRKEREAKKEKRRLEI EERRKAIGEKRARKQADSFLDGL LY89DRAFT_682288 MPSPKDVYIAVIGAGGVGKCFLSQLEGLSKRLSASPRSPKISLI FVSRSKKVHYSQNYTALSYGDLATSLDKSSQEPLALPKIIEFLAAAPAKVVLVDNTSS QDVADSYPSFLSNGISIVTPNKKAFSGSYKLWQDIFASAAESGAKVYHESSVGAGLPV ISTLKDLVETGDEVTKIEGVFSGTMSFLFNSFAPTEGSGGKWSAEVKKAKELGYTEPD PRDDLNGLDVARKLTILARLAGLQVESPTSFPVQSLIPKELESVASGDEFLQKLPEFD SQMEETKVAAEKEGKVVRFVGSIDVAKKEVKVGLEKFDRSHPIAALKGSDNIISFYTK RYGANPLIIQGAGAGGEVTAMGVTGDLIKVLERLS LY89DRAFT_446740 MAWFSILPESFAFVETWLIRLFLVLGMLVIGPWALLIVYDMILY IFRTATYEIPYIGGRARNRPRPRAPSLSERPSGKPRSFSLTVPGVPTAVEEAVEVAEE TVEGLKKRLGSTSHSDHVITEDD LY89DRAFT_610801 MVGPANKRQKREEYKNSKGDKPATELPKKKFYRQRAHANPFSDH QLTYPASPEHMDWSSHYPAFVLENSQEQIDAESEANVQTGLAVKRLKQDIEVADIGCG FGGLLVALAPIMPDTLLLGMEIRTQVTEYVQERIKALRAQHPGQYENAACLRANTMKF LPNFFKKHQLSKIFLCFPDPHFKARKHKARIVSTTLNSEYAFVVRPGGIIYTITDVED LHNWMAQHFNAHPSFERVGEEEQEADECVKVMRVETEEGKKVERNQGQKFVALFRRLE DPPWR LY89DRAFT_715943 MALSRRDVILAAIVSFVVWGYAIDWVPTLRWAGYAFVVGLVLPA VGLVAVLVLNSRGSKYGERNDIRRPRGVAFLTGTSWKKETTLLRARQTYEKQPLYPES FLVSNALDDLLGLIIRDFVNSWYSNISKNPVFTNEVDKTIRLALASLRDELLAIDFTE MVTTRFVPILTAHFKDFYDAERAVRGKDLNRSVTESEELDLAIAAKYREGKLHPAASL AYSDTKLVQQKYLRKIIKGLLPRLLPDSVLASRAVGVLVQELVACAVLTPVMGMLSDP DTWNQLMEAYGRSMLQDRSTVRKLRAALDEHASPAPKLKRAAYPRISPGDHERRFEKF VRAIRKVNNLSDARRFRSEISSQLRRDALQEGQDPVYLRRLDIGKRIADQRVNQLAAG GEASHIGNGAGRSNGSQVSKLETASLVELLHDPSGLSYFMEYMDRQRMMSMVQFWIVV DGFRNPLEDDNAEDDEIPTTLAPWTESDRNDLAQINEAYLSKPELKVPESSRQVVQEF LKAGKDATPRQYFLARRAILRAQTSALEVMQYKHFQNFKKSDLFYKCLTSQEASKPIS QPTSPLGPSRSETLHKPQMPPRANSSQPFATKPPLSRITSKLVPKRGDLRRQATSSVD LTNAGNGTLDGTSSNRGSADEGTSPLFDDEEFDSDAMGNSVASLEPEPENTGPDKNVV QAMEAALTNIMEDKPDVDTLRKSLFGDDEHLDSPAGSILSNGKGSTRSSIDHKRADLF GERSERAERSERPEKPSIASLGLVNTSSRIGVFTDDDLFPDEEKFLSDEHEDPDEGKA EDDVEDEVHEAAPGDLGLAEAITALTTDIDRLVAQDEVVDSLTRKAELTNNTAELRIL KKSKASLQREIRRKELQRQQYVVQESDNSLYGRSTIKIKSIMVGKEDDGREYAVYVVE VHRKAGEQMPAATWTITRRYSEFHDLHQRLRTKYPSVRHLEFPRRRMVMKLQTDFLHK RRLALEKYLREILLLPEVCHSRDLRAFLSQSAIMPNTVDLYENEDKKDMVTRFYNSVT DGMEDILGNIPVLDQLSIAGQNLLSAATNQLITMPATISEDPLAAAEAEAELNAFEDR ELEPFVKPICDIFLEVFELNRGNNWLRGRAVVVVLHQLLGGTIERKVRENVKGLLSDE AIIRYVNLLKDSMWPGGKLKRDGKPRTAAEKTKSRTEASLMLATLVPDLAGSVVGRVN AQAASRRIFATLNNPRLNAHLTFTLLDEIIDVLFGETRT LY89DRAFT_705440 MTSNHDPSILSPDRTLPPSNPPSQKTFPFPRDYHFPPYFTRQPT LTTRHAQLQKWSSLILSYCRYHKIWKLSLADAIESDLFWNKKLGKRLSREDAREVLEF MRKEGRSEAVGGKDGSVFWVWWRDPEEWAGLIADWVDDTAQKNTVLTLYELTESEATL SQDFHGMDPDLLQKALSILVKRGKAQVFGQEDQQGVKFF LY89DRAFT_640232 MGAPNGPLFEDCVFVFILSRTLPRSLAEESKSIVEENGGEVIPF DEDFDLPEITHIISDTVDFPQYSEARLQLKPVVTVTWITASLNKNKEASIRPFTPDPS MIFANVTITCEGLPTGDKDAIIGAVLAMGGMETSSLTKMTTHICALTVDGQKCQQAIG KRLKCKIVLPHWFDDCMKLGKRIDERPYILPDPEIFRSDPSDPIPMPSTDILSGASSS RPGALPMPTDSPIRKLTVFNNKIVTISGDLDISSRLRKVLEDLIVGGGGFVSKTVHES DMFVCHWREGREYVLASRMGLDVGNLSWLYHLIANNEWISPMRKLLHYPLPKNGIPGF KDFRITLSNYGGEARIYLENLVTAAGAEFTKSMKQDNTHLITARKSSEKCDAAMEWNI EMVNHLWIEESYAKCEVQNLTDPRYTHFPPRTNLGEIIGQTQFDRALLERKYFPRDPT PSPGDPKLVRRNIMREKDRNAPSSRRTDSDADMEDQDEEIRPKADLNKALSRKKSRPS FGQQVSTPASRRISGLSGGKENDTPSTGSRSAKDKANSRIHAAAPDIALYEKEKKRKG GVWGGERAASRIEKEKTLERSSSPANKHDEDDEDLSEDETRTPKRHKSGLPPVQIRLL TTGYKGWLGNLAKEEMDKKKLRDIGILVTTNPVTCTHVAAPAMVRTQKFLCALASGPT ILTSDFIDTCIAKGKVPPEKDFLLQDQQNERKFGVKLKDAIGRAKANKRSLLRRVPIY CTADITNGPDTYKDIINANGGSFAIYTGRPFVKKTSPEEDDGPAEPVYLLSGQKPSEK LLWPKFTEMAKEGNMTPRIVDSEWLLDVALSQQNKWNQSYLAERKKG LY89DRAFT_730604 MSSSVFEIREHTVECQHIREYPRGTANTREDVLHLAVKQYIPLD NLSPSEGDLTIIGTHANGFPKELYEPLWEDLHARSKSNGFKIRGIWITDISNQGASGI LNEYLLGDDPSWLDYPRDLLHMINHFRKEMPMPIVGIGHSVGGNILVNLSLMHPRLLS TIVLLDPVVQQHATAPSGPNLVQASTYRRDLWPSRAEAEAAFKKSKFYQSWDPRVMER WCKYGIRETPSALYPNEKGAVTLSTTKHQECFTFMRPSWDAMSPDGQTVVRRDLVPDM RPDSLVKFPFYRPEPPNTLARLSSLRPSALYIFGAESPMSAPQYQKEKLEITGSGPGG SGGAKEGRVKGVSLDGIGHLVAMEATEKCADAAATWLGQENKRFVEERKKYAEWAKQS LQVKSTFSTDWLKWIGEPPRPVKSKM LY89DRAFT_610816 MDGYAPAYVAHNIPLLVVSGLGTQSEEHTRLPQGPRIASEIPLV ESEDALTVRRHFKDSDGSTLAWNSREYGGRNKFKVKLVGRDYTLPVRNAQFPPSQATS PTSKPVLHSALSPLSPGSSVFPDGLLDSKWIEKHQELVPSAYLSFYTFTSDPTLSTLN DNQLKTDINSIKGILSRSGYKTRLIVALLSEKSIVQSPDVEERLANIRKATGLDGKTS LFFLPPQSSPVELKAFVETIISTIYPICIEYYRDLSKHSRRKRNRGVVPPPTAPPTSG TSQTLSSHGWNVRYDFKLGVFAEFRQEMDSAVRSYESGYEVLLGLDVLEAIASWSPRW NEARLLADIFAIRILRCLLWNVNSTAAVRRWQSHRERMRDFVDRRGKGSATYGWEAWE ARWAMVMGELIQKASISEFGSSAIFLATEKTIAIGERVEPWEYLHHPGYWFRAASKHL MARRTLALEIPEEDRSPPGYSPASQIASKAYTYDTYLCPEPHEESPLPGREGVDHPLL IIEALSKAIPEFSRRGQLRLVQELQILSAAESMKREAWDDAVKVLRPLWQKMSYRSEG WWNAVEDISWALRKAAACAGDGGSVLAVDWELMNKCFTHHTSWHYDLSKSLDGLDTLK AKPAVVLHANEITSFLSASYVFEYPEGNVGEHCSSQLAFTSNALATAAPLTISEIRLD FDGSMKHLVLKHKPEAVDSDSSEMRPDNLDLSMVSLSEGMHNDRAVLTGEANLTFRPG QTRVFEFSSMLREAGDANAASANLSLATDQFDLEYIHTFQQTTMPDVWWGKRGVKKRI VRPNPSSITVLPKPPKLELGFVNMESQYYANERMLLKLEVLNGEDVDSVVSLDVQLTG ENAPAISIETNDLDQTSAENTETGSDLAGTALGKIASAGTRVVTISIPALDVPATYEL SVKAAYHLVSDIETPVYCSMSMQLEVINPFEANYDFSPRIHPAPWPSLFTHDEGDDEN QQGTQARGLAQKWCLTARYASFATEDLIVDDINVEVIGSNGGIECYTEKLVALPGSGL RVAPKSLEEAQFDCFTQKLSLDDRGTATLDISLAIKWRRDSEGSQSNTTILAVPRLLV SSSEPRVLAAVSYSTKIPSMVHFDVTIENPSNHFLTFGLTMEPSEKFAFSGTKQSTLQ LVPLSRRTVKFRLLPSVRGDWIGPIHCVIRDRYFQKVLKIAPTDGLKQDKEGLLVWVP PEEDL LY89DRAFT_715948 MMSQAEIFAEAIVGMKKALKRKAYDSDSDSSIEQLTNRGNKLRK KARFVHEGQLAPPSGPNVYKRTIDHAGYQRDIISHNPVLVDEDGSEIASDDEGEVAQA ARATAAEFDPYADVRIEQLLAPLTAASDLPDHPTLSKPFTSKTLTELTKHAGDMVQKE KASLWRIKHLLTRLSGDNTWIPCESVETENDFSLFLDERYQGHGLLKRGTVRDEADQG STGTMASDDVDPTARLLTMQAHANESAEEGSGNGKQVVNEDVQMTSVIENAAPEQGAP LPNGEPSLEGPLTSSKMDQLVELQGNEDADGLSAQLPGGPLPKTSDEAVDGNADNVDA DMMDTEEGVPVPTRMRTRAQAQAASDNTARTRSITPEAGNEDFIHPYFLAPPSSHPDR NLGLPQHEADETRRLLQLYIQKQEEVCRGAEKVYSGLLRADRYRKLVMKWAKAEVHVR DMSDGEDWYEKEEWGLDEDLKKGQDEEEEDAATTAKKTRTRRQ LY89DRAFT_705445 MTSFLGSNKGLIDFDQKSLAVAAASIAFNPIFWNTVARQEYHNK VLTKLAGGNSLRACYGLAITIFSLGIFRDFLYERALRDQPAHPLLDTAVSTYIAYALM AAGNVLVLSSMWALGLTGTYLGDYFGILMDDIVTGFPFNVTASPMYYGSTMTFLGSAL WYGKPAGILLTAEVLIVYLIALQFEDPFTAEIYAKRERQRKSGKKEL LY89DRAFT_682295 MAIAAIGQLCSTGSMSHNLALCQVLVKKAVAAGAKALFLPEATD YIAQNSQESLYLALPMETSPFVLGLREAAKESALAINVGIHVSTNSGKLANRSCWINE KGEIESYYDKLHLFDYGVLRESNSVEGGKTIVPPIETVVGRVGLMICFDLRFPEISLA LKRQNAQIITYPSAFTVKTGQAHWETLLKARAIETQAYVIAAAQVGAHNEKRTSYGHS MVVDPWGKVLVDMTGEGNEAEIGVVDIDLDYREKIKSEMPLLRRTDIYTEI LY89DRAFT_666238 MSNGRQAGKSSTSFHFAAGLGSGVLSAVLLQPADLLKTRVQQSG HSSLLATIREISKSSTSIRSFWRGTLPSALRTGFGSAIYFTTLNALRRNVARSNLLAS IGAIDKNAVQTYSSSLPKLSNLANLTTGAVARTGAGFILMPMTIIKVRYESNLYAYNS IAGAGKDILRTEGLKGFFSGFGATAIRDAPYAGLYVLFYEQLKKRLSLVSQKLPVAGE VQAGMKGSKSASINFGSGVLAAGLATAITNPFDAIKTRIQLQPKRYLNMLHAGKKMIQ EDGFKSLFDGLGLRMGRKAISSALAWTLYEELIRRAELALGKAHAV LY89DRAFT_682297 MAYRSREDLYDEEDELDIRVRRGRASPQPVVYPEHRYRPAPRPY YDQGTSYLVPGVSSGLTTVRRSRSTSRRRPLTPPSPALAPAPAAPVIINNRIYNDYED DDYLVSPRRHERDRSRSRPASFVSPRDSRDDWELERVRRELDEVKYDRKRDDYEVEKA RRELETYKLAHSRDEYEAEKARRELETYKAAHSRDEYEAEKRKRELNAYKAASRRDEY ESERIRRELEAYRVAHTRDEYEVEKAKREIELYRLEKERLDEEKRIKKQISQTKEDLE LEAAKKELHAYKAEKERKAEEERIKKEMEMKRLIEEKKAADEKARAKKEAEAAIEKYK IEMAEKAAKEKKEKEEREKEYQKRMEEDLRKSGMDERQIAVVLKKDKGVDPARPTYTR MSRRHLSIETLNRYRIDYEFDQDPDYILIKRWVPEYEQDFLWSHTREIRERRRAEPIL MIEERKTTKSRHHKHDDELQLVIEKKKKHERKPSPSPILQWVAGSSRH LY89DRAFT_447228 MSISNEALQKLVQEIESQAINSQRDLLKVKQSIASKTREIRLLE LTSKEVSTLPSGTNVYEGVGKMFVFSPTAEVDKRLTTETTDLKSDIKNLEKKIQYLET TVKNSREHMDQIFNSGGRA LY89DRAFT_730611 MGFLPHFKYPPARPEEGFWNPVTSTINWCEEDYYATIYSAEIVN TLTNLLFMALGIKGVRNCLKYDHDSVFLVAFIGYLLVGSGSFAFHSTLKYPMQLVDEL SMIYTACLMCYATFSFSKSQITRTLLGGSLLSLAIFITLYYHYLQDPVFHQNAFAIIT ATVIFRSMYVMEVNIRPSLKAKWRTVPPKSDSEILSKSERLANEHRDRAIIKEMWIMV AFGLSIFLGGFGIWGLDRIYCSTIRRWRHDVGLPWGILLEGHGWWHIMTGVGSYFYIV WAIWLRHCLNERQDEYILNWPSLFFSVPEVVPRSDAGKQSKKYLNGHTKGEERKSV LY89DRAFT_447301 MQNRVEEEKQKWHEDIPSTPSYGYNRAESPVASSRRGLTTEFLG LQNLQIRRASARSINNDVPTPTTAGFLNRRPSAQPLGRSSGNGTPTRQESMQSLKTNG ENSDAISMHTDHDDFFTDNVSPSSPHQTINDMISVSTAGAGPSVQLVERMSSAVRRLE SEKVATKEDLARLSAQRDEARAEIVSLMREVETKRAADTRVAELEAEVKDLNQRYETT LEMLGEKSEMVDELKSDIDDIKAMYRELVERTVK LY89DRAFT_447306 MEEPSKFAIHEAARDGRTSVVESLLAANPKLGERRDDDERLPIH WAVSYGHLDIAILLANLKNFDPDIQDGSGWTPLMIAASLKEGEDLVNLFLRKEADVNA KNFSGQTVLHFVASKKNIDLARRLLDNKPPASARVKDKRGQYAIHRAAAVGSVPMVEL LLKNKSPLNATDIAGQTPLHHAVAEGHGKSLSASSSF LY89DRAFT_730614 MAAAVVAHDQKSQIEHLQGLIKENAKRSRALFADGQSEKQDDFT EAADKLVIAARIHSEYDHVRELPPALAAKFANAVGSRKKAKTATEPTEADARTTKLIE GVASKSEGAGSSSTALTIRRQGPADGTPPNANGPTAQKNLPSSSLVRKQTVVQVKPDW HAPWKLMRVISGHLGWVRALAVEPENKWFASGAGDRTIKIWDLATGSLRLTLTGHIST VRGLAVSPRHPYLFSCGEDKMVKCWDLETNKVIRHYHGHLSGVYTLSLHPTLDVLVTG GRDGVARVWDMRTRSNIHVLSGHKGTVSDVKCQEADPQVITSSLDSTVRLWDLAAGKT MGVLTHHKKGVRALAIHPKEFTFASGSTGSIKQWKCPEGAFMQNFDGQNSIINTLAVN EDNVLFSGGDNGSMSFWDWKSGHRFQALDTTAQPGSLDAEAGLMSSTYDKTGLRLICG EADKTIKVWKQDESATPETHPLEWKPTLGRQKF LY89DRAFT_695194 MAPLTPRQKRAEKEARARTLLKGASFQRADLEDEDEQWEWIYSS GDNENDDDSDESEDEENAATPKKRRRRAAAKALNNTIIGAKKGSFSCKIGDTVSVKNE ADVPWVAIICYFVMHNDEMSANLLWLHELYITPAMDIESLDTINGAATILSKADFMDK FPKGTIPRRSKDYGSTFFCRRACNTKTAVYSGELIWGEVALDTEEEIAEFADRIEFMT GSGPKKKGNKRKRKDDFIDDGDEEEEIVEKGTPRKKQKTSNVSTPRKPRTPSKLLTPS HKRVVVKKPLEFTPLGTRVLDPEHIESSPFQTARSRLHVASVPTSLPCREDEFASVYS HLEAAIYEGTGACIYISGTPGTGKTATVREVVAQLNASVMADELDDFIFVEINGMKVT DPHQSYSLLWEALKGDRVSPTHALDLLEREFNHASPRRVPCVVLMDELDQLVTKNQSV MYNFFNWPNLRHSRLIVLAVANTMDLPERTLSNKISSRLGLTRITFPGYTHEQLMRII QSRLEGVPGDIVDPDAVQFASRKVAAVSGDARRALDICRRAVELAESDVLGQTAPNTP SKSGKGEKISKSFGKVTIATIKRAINEATTSPLQQYLRALPLASKLLLAALLAKTRRT GIAESILGDVLDEAKRMSKMDTGSNVIEYLLKDDVEKRTTSFSKQPRKVPRVLGMGTS AIDLMEAGIVGLEARRADRTGKIRLSIGEEDVKQAFKDDPEVKNLGF LY89DRAFT_695195 MASPKGQQVDLTSFSTQQLSQFKKQLDDELEHLTNSYTQLRAAQ AKFRECIRSIATGVSSKIDGKTILVPLTTSLYVPGKLADTENVIVDVGTGFYVEKSTK DATKFYEAKVKELEINIKDLEAIVKQKSSNLSMVEDVLRQRVLSAGNASAATTTA LY89DRAFT_771518 MDPFKKLERDNAKEWDDVSEVGTLPPTAGLPIPSAAHGINYAGL QPLSRNTPAVVSAPKLIGEQETSGSGAPSAIATYGKSPSQTLTLLENGKYQYCARQPQ IPSTAGTQGPTSAAQQGRPAAGNSQNIRAMQDAQYGVRQPQAFVPPRLQSRVGPPLGG RAGNAYQPLRAPNHQSRGTPRHTGRRSPTKFQSWAEPPPLLTNRMADFYQEKTVKQQE DEQYMRRPDKDEEFPAIAYYIWPSNDEAERPREILGQGLEELNAVRRDYKVFVHLNKD DTCIKICSRVPEEEDPDNRLQVAIRAIREIIAHRRAIQLMAQPTHIVIPPTAEAMTTI VKPAIVLSTGRRHHVTALELAGEKLSEEEKLKWMSERPERLQACRKTFEDAMTKGLRG LAPYKGWMQMRLRFGHVTLHNARPNFKNGKSPWEEFFNMMNNVLLTSTLKPNFDDAII VQLLCQKILSWPEKFVPADGRYKLMQDVPFKDTEVIFFRTTDGPFRLEVEIDTLAETG EYQVGSIRLFRDNNRNKRIRVTNVDVEKQIDWSIEIITDNEIPITEIPAKLLDMAKGS VTPKTPALWDHTDLPYPAVNPRKIAGLIIDEIVVKTVAEYMVKDSGYVVELSVYRKLV ENNDPEITAGVAMYNRNWDYNMRSLKDTTQIRRWENPLKLFFGRYSSEGIEAVEGNVG VESVLADVENIRDFLVDAVNEIEATKKQQEQMLAEEDAAQGPWVEVDENAPGQTEIGP DEENFESIDTMSSLVQFSDAGQDPTQNASAGEDLYEDLYSAE LY89DRAFT_578607 MGNLKLLPCEPADAAEILAGQIAAFSNPHEPFFFVLFPEDEERE KAVKRTLDWWLGDKTARYMKVVDEERAIISAAKWCIYEEQLTEEQMNESLHVDWHKSP DTNAWAEYLIHEIHSRRLQRTKGARCCVLDMMSTHPDHQRCGAGSMLVEWGIKIADSM GVESFIEGTIAAKHLYESCGFKAVPDDWLFVPVAEKWKDRPEIKYFFYERAATGS LY89DRAFT_579345 MILCVGARLFSKYNVIRRITLDDVLIGVTMVFAIAHTYALSMMV VNGLGRPQESLNDDMIEDFEQYGYVSQLLYIPALCLAKLSTLVYLRALSPDTPYVYSN IAVEIFVVLWAISAELAIAFQCSLPTAWAIITTKCFNVVPFWNTTGTFDILTDLAIIA LPIYLLWAVQMPRSKKLLVFLVFGTRIFITPLTIMRIYFFNTISSPSLPDQTLTSYHA YLATTIQLNFAIFVACFPFLKPFMESMSSGGFASTLKPMDSSYGAGSKFSTFISGNSS RKASKPKGSYMMDSVTDSRASRSHNFSDMHVNALELPDTPRDDVDKSPSFHFGLTGGS PGDLGTLRPDKVTSFSHIGRVTPERSSARSSIGSDKMIIKRTTGWQVQESYEYDRGAE YGEGISKVDDEITPVPT LY89DRAFT_715958 MAAIDDKDVEMTGYGVVELNGEVSSGDSRDGGNRDDQEMAYYGK KQQLKRNFGFLSIAGFVCSLLSTWEGMFAVFLFGFQNGGPAGLVYGYIFCWIGTLATV ASLAEMASMMPLSGGQYHWVSILAPARWAKFLSYITGWLTVIGWQAGQASVAFIAASM IQGIAIQNYPNYVPTRWQGTLIFYAVIAFIVFINTFLARWLPKIEGLILCLHIMGFFG ILIPLVYLAPHGTASDVFATFINGGGWATDGTSFFVGLITSVFSFLGADSACHMSEEI HNASTVVPRVMLTSIMLNGVMGFALLITLLFCLGDVNSALQSPTGFPFIEVFVQATNS NGGATAMTAIIIVLMGAAAIGIMATASRLLWSFARDNGVPGSKYISRVHTGTALPLYS ILVSTIISLLLALINIGSTAAFNAIMSVNVAAFFVSYIIPISLLLHKRLRKDPVKDNI HWGPWHMGSVLGPIVNITGLIYTLITLFFSFWPSTAVVTPVTMNWSCLLFGGSLIFSV VFYMVWGKHSYKWPIVDPGRRSL LY89DRAFT_447552 MRYLYNLLLLSAAFLPRVLGAASYDEYRDADIGQSGYLPNHNMD PNIVNSAAFGQLWKVAFNSEEQFYAKPLTYTPLAGGNQILFLASSQNWIRTLDAKTGA LINSRQVHTPFLQSDIGCTDIPNYIGIIGTPTIDPATDIAYFFAKTYIPNYRVSGSTG TSNGVYYFHGVNVNTLADVFTPILIDGAVADNAPAKYFVGGVVLQRPSLVQIGSVVYG AFGASCDLFNYTGLVIGMDVNKAKIVAHWAVESGPLAPQTNSLLQNGGGGEGGIWMSG MGLSTDGNRLFFVTGNGAAHENVGAPATGQSGCSTLGEAAVNLGVDTSTGEVSVSDYF QPYDYQNMDGGDQDFGAGGLILLDSTVFTGGGVTKMAVTAGKNGKVYVLNANNLGGYK LGTGQTDNVIQTITEGGSVFGAAGSYPLEGGWVYTTPVGDATFAYQLGFTSAGIPQLS KVAQTNEISAGRVGVGVPTVTSLNGEAGSAILWMTDPDAGLRAWYAVPQDGVLVNIPL PQVGGANKFQRPAFGNGLVYVTDSNGILYCLGSPVALPLNCTSPVNFGQLALGSKATQ RVTCTALIAINSIVGLEISSTTFSASNSSLPTGALAKGASFSFPVTWDLTNANSNNAV NASSPDVTPGVKSTPLTILTNNAVTGYSTAFPISLTGTEVSESPYLSVAPTTLDYGGV VVSNTTDAETISGILTISNKGLTAMTIEGYAFTTQTLADDPTYTNATAVNGVWNMGYG FTSTDLPAVGSTIAPNTAISVDTVFNPINGTGQYLSYFEIWSNGGAQNVILEGSASTA PVANFSISNGEGGWLPGSELTMNFGSVAPGNSSSLQIRICNEGGSALTIDKSKPPNGV FHLADPTELEETQVITPDACAYGTVIFTPNTEEYNVPTFSENNTWTLNTDDLTFGVHV VECVGSVADTFVGPKNSSGQYIYEYLGCFQEATTGPRLFPSEPLSPSTTNDNANCQTA CYNSAQYAFAGTEYGDECWCGNTPPPLANQDLTDTLCNTACPGNANDQCGATGYLSVY YDPTKYVAGTNPALYGPQTVQSVGNYVYQGCYSEATSGRALSGKSPTAPTGGFTIELC EAACVGYTYFGMEYSNQCYCGNTLGTGSVNQTSSTPSVNGCSMVCTGNSKEYCGGSNR LNLYALNRTASTSTSTSVSSSTSQTGTVGSGSSVSSSSGTVSVTSSTATPTPTGPITV TNLAGYIYLGCYSEATNTRALSGLENPIAAASMSVEACAAACVKYTYFGVEYAQECYC GNVINTGSVNQTSSVPRVSGCSMVCKNNTGEYCGGSNRLNMYQVANVVSSSSSTVLSS TVSSSSTSGTLSSSSSMVSSSSLSSSVKSSSISSTSSLSSTSSTSSALSSTSSSSVLS STTSLISTSSTSSSVSSSTSPVQSLAIRQTIGNYGFAGCYTEGTNTRALTGASPLYNY TAMTLETCATYCSKFTYWGVEYGGECKCSTLSVFGD LY89DRAFT_579490 MSDQEPSKGVVFLSTVDFAVERVFPIRNLVAPLPETPEPVQDVE STKSTDESAVHGQAERHAEVDLGESEASPSPYLPPPYPSIPPEDAIRKLRPERAESDF SMSSDGEGGRRRRTERTQLVPATSGPPGVLPGVVSSETAQSTFYRCEDEPIHTPGAIQ QYGALVALRVDGDEDLIVRIASENSHQVLQYGPEQLFQLDSFLHILAKEARDDMVARI QNALHNASSSEVMAEDTNLDVFQISVILPDGAHRPLWCAIHIAKGTQDLIICEFEEYS DIFYLHDIHGERLLPKSPISTIGLEVLPEERLKSTTRGSKSLKVLEIARRNQHSGVSS MDIFNAMTQAQAQLASAQSVQQVMDVVVGIIAELTGFHRVMFYRFDKQKNGCVDAEYI NPRASEDLFRGLHFPASDIPTQARELYKINRIRILYDRDAETARLVCRDVTDFEKPLD LSHSYLRAMSPIHLKYLGNMGVRSSMSVSIVQHDDLWGLIACHGYGDEGIRVTLPIRE LCRNIGECAATNVERLLMMARLEARKPPTRTPPTQDPAAFIAASSADLLRVFGADFGL LSIQDEARAIGKLHPYREALAILAYLQQKRYTTVMTSQSINVDFPDIKYPPGIKSIAG LLVLPLSLGGKDFIVFFRKGQLREIRWAGNPYEKIKRAGSEYLEPRTSFKRWSETVVG MSKEWTDDHMETAVVLGLLYGRFIEIWRQKETASQNNRMTRLLIRNSSHEVRTPLNAI VNYLEMALENKLDDSTREILSKAHKASRSLIYVIDDLLNLTKIEDGPILSVEESFDLG ATVSETITAFRREAMRKGLDLTVSTHQGIPEMVKGDASRLRQVLSNLTSNAFQHSVDG GIKVDIRPVRSKENSSIVAITVQDVGVGMTESQLDELFQEFEEVIDDDGTPISESTTP SAEGGGSLGIGLAVVARYVRNMKGQIRVHSEPGKGTIFGIELPFEHAKEIVEEGQSRG YNFPRAMSDSSSARSTIITQRKLGEEIPEIKSIPAPEVAPSPSVSGESSSAIPTPQSQ ASPIVTNVSESSSSRYPFPSMLDIMPPESQRESLSVLIAEDNPINSRILSRRLLKLGH RVHLAQDGQECHDYFISGPQDFDVILMDIQMPLVNGIVSTQMIRKHEKELEELKKNKY RVPVIAVSASLTEENRFDYVQSGFDAWLLKPIDFGRLDFLLQGTKSLELKMQALYTPG HWEKGGWFLP LY89DRAFT_682305 MVNVLIIGATGYIGTAVCQSLIRSGDHRVFGLARSPEKARLLEK DEIIPILGSLTDTKSLIQSLATKYIDVVVDLSGDPKESESFLHVLKYIGAEKFKQAAT AGVRVPKLGYIYCSGTWVHGSSQTAVNDLYPVGALNAPTPPVELTAWRAKLEQVVLAS SDVLDVMVVRPALVYGRSSAIWSSLFEPLHISAQNGEKSVDVRVEADSRPALIHVDDV ATGFHAAIDKLPLIAGTGVYPVFDLVTSQESMREILDAAARELGFNGKVNLVGAGEHL FAKAISASASLNAGRARTILGWLPKRSEYVVSMDIFAKAWLTAKL LY89DRAFT_447515 MSKTKYLDSLNRDGFVLIPSVLTPEQLSTLRRASAETITLARSG NWPYVRTLPKQFPPWNIEPGANPAAGGIWGVQFLMHPSLPNSSTFVKNYFSSGIADVV KELLECEDEELVMELFNILIRPDRDFELVWHRDDIPATATAEQELERLREPAWHAQWN LALYEDDSLIVVPGSHTRARTQVERNAGPFEKEIPGEIKVRMKPGDVVFYNNNILHRG AYVSTKERMTLHGSMGHSGGSRLRARNVLQHGIGEWVEDVDFSALDEAERKRADGMRE RLIKMGRESGDVGYSLEG LY89DRAFT_447381 MSAPAPAPGETSLTALLSTLQLSIDPRTFVFLTLPPSQSAPPSL FVQMSFHEAEGLTIITTQDSAASHNLEYTFPSKMITLNIHSSLEAVGFMAAISRQLTA FGIGANPVSGYFHDHCFVPLGKEDEAIRVLSGLAEQAKMKQTRQS LY89DRAFT_705458 MSEMASALGPREHIATVTTDGQDVRLFHIDYPQRGQFREKGVIL LIHGFPETSHQYRHVLEPLSNVGYRLIVPDVRGHGASSHPQLGYTKAVLAEDLYHLLQ DPSWHRKSHLSHSFATQYASYVKSLIRGECPLPDTTEFEGTKASRKQFHFTFQAQVDL ALQLVTGNEKVYLNHFYDKLSYKSCWNTTELYDAFELDAAENRKRLNEYGKCAVPTLG MNIEFSSHAGDMKRMLPEMYETFGCEIVPDSGHYIGEENSDALVAFAIAFLQEHPLV LY89DRAFT_779341 MTCSAEKHAVYDFVTRSCSCELVSELKARAEGTPVVLNPGAVVS ALASIIEDIETITIVKTTWTGPYTKRDEADTTALLNPGGPMIAITTGASIPGGPMIGA TATTATDSPFLRPSPIRPHPGHSCGNVTCSADMYAAWNMTLETCECKYMNAQTTLVVP GGPTTFVTSTTRKPKPTSCPTHNMMCEVGKQPTFNLQNGLCECQTMPSLSNQTSSATT LAPLPTGWVGNCPEMLCISEMQPAWNATLGACSCVWIDGFGPNRVR LY89DRAFT_640286 MASSYEKITRWNYHSTGQEVVQAFPDKVKDKTILITGPSSGGIG AATALALAAGSPHLLLLTGRSEAKITPVIQDINQKYANVDVRFVHCDLAAQSSVRSAS VEILKILGDKGLDILICNAAIMACPFALTPDGIESQFGTNHIGHFLLVNLLFPQVLKA ERPRIVMVSSSAHRSGVVRFDDLNFDGDGEKGEGKAYNAWEGYGQSKLANILFAKSLA RIFESKDMQAKAYSLHPGSIRTGLQVHMLAASETLLAEGLAKAIAAEAKHGRVFKREA PKTLEQGCSTTLVAALDPSIDSMSGVYLVNGDVAEYPPEEGSVTIEDQDRLWILSERL VGEKFNW LY89DRAFT_695206 MAAQRLRSMLLGATVLTHAFTLASVLQPTPPMGFNDWSRFECGL NQSVFVDTAHAMVNNGLLAAGYNRINLDDCWSTFERAPNGSMQWNPEKFPKGLPWLTS YLKSLGFTPGIYTDAGNLSCGGYPGAYGHEELDAQTFADWGFKYLKLDGCNMPTGTEQ EYKEVYGHWHEILSSMESPMVFSESAPAYFAEAANLTDWYAVMDWVPKYGQLARHSRD TLVWNSTAYWPDITGWDSVMFNYGQEVRLARYQKPGYFNDPDFLNVDHFDYTLDEKKS HFAIWASLSAPLIISAWIPGFSAAEIEYLTNKDMIAINQDPLALQATLVSQDGTWDVL TKNLENGDRLLTIINRGNFTASYTVPFTRIGLSSDCEVNVTDLWTGNFSVASKKLTAS HVPSHGTAVFRISASSGLGDVTPTGMIFNTYSLTTLTSKGQGVKWANSTGVDGQVWQA SDDGTIRSLANVKSCLTDTGHGKVTMAPCSRGQNQQWDYLYSGNVKSRSSDLCLTEAP NESVITAACLYEANSQVFALPSGVDVVDS LY89DRAFT_779344 MVLSPFVFLPLYLYPYNISSWSNITTSIAEHPDLNFHVVIAPNL ANVFPDKNYEAALTALNNFTNVVTLGYVATSWTYRDISSVMGDISSYAAWNNYTSGNI SVQGIFFDEATTSTTNDSMSYMKNITTFAKSSLGPGRQHISFNPGVPVGSAFYDLADT INIFENAWSEFNLTAVTMLPWDLLAKSTYVIHNFTGDKYLQADLVSNLTESNVSGLLI TTQDSYNEVSTLWPDFCDSMSWELDSGDLSDRSIARRQSWTSTLITKAWRTMRRWIL LY89DRAFT_579567 MSEKETYEPSPKALEESTTGAAPTTARTSIDTATDTESIRENVR HANPNGFSSTSQGVNIKAAEAEFATLQRELSGISQASRKLSRTQSRKVASEKDVEKTA SDGSTTEEEPFDLEHVLRGNHTAEQESGIRSKHIGVVWENLTVSGTGGVTNFVKTFPD AFVSFFNVVETAMHLFGVGKKGREVNILKDFKGVVHPGEMVLVLGRPGSGCTTFLKVI ANQRFGYTGVDGEVLYGPFDATTFAKQYRGEAVYNQEDDVHHPTLTVGQTLGFALDTK TPGKRPHGMSKADFKDRVITTLLKMFNIEHTRNTIVGNPFVRGVSGGERKRVSIAEMM VTSGTVCAWDNSTRGLDASTALDYAKSLRVMTNIYKTSTFVSLYQASENIYKQFDKVL VIDAGRQVYFGPAKEARAYFEGLGFKEKPRQTSPDFLTGCTDEFEREYADGKSAENAP HSPDTLAQAFNNSKFATLLNDEMTSYRKTIAEDKQRQEDFVTAVHDSKRKGASKSVYS VPYYLQIWALMQRQFLIKWQDKFSLVVSWITSITIAIVLGTVWLNLPKTSAGAFTRGG LLFISLLFNAFQAFSELASTMIGRPIVNKHKAYTFHRPSALWIAQIIVDTAFSACQIF IFSVIVYFMCGLVRDAGAFFTFYLIIVSGYLAMTLFFRTVGCLCPDFDYAIKFAATII TLFVITSGYIIQYQSEKVWIRWIYWINALGLGFSALMENEFSRLTLTCTDESLIPSGE GYTSIANQVCTLAGSVAGTDQVSGSAYITDGFSYKPDQLWRNFGIIVALIVVYLITNS TLGEWLTFGAGGNAAKVFQKPNKERDELNAALIQKRDQRRSTKNEASGSEININSKAV LTWEGLNYDVPTPSGQLRLLNNIYGYVRPGELTALMGASGAGKTTLLDVLAARKNIGV ISGDVLVDGIAPGTAFQRGTSYAEQLDVHEPTQTVREALRFSADLRQPFEVPQAEKYA YVEEVLSLLEMEDMADAIIGDPESGLAVEQRKRVTIGVELAAKPELLLFLDEPTSGLD SQSAFNIVRFLKKLASAGQAILCTIHQPNAALFENFDRLLLLQRGGQTVYFGDIGKDA HVLLDYFRRHGADCPPDANPAEFMLDVIGAGQAPRVGPRDWADIFADSPELANVKDRI SRMKAERLAEVGSNFKTDEREFATPLRHQLQIVQKRTNLAFWRSPNYGFTRLFNHVII ALLTGLAYLNLNDSRQSLQYRVFVIFQVTVLPALILAQVEPKYAMSRMIYYREASSKM YGQFAFASSLVVAEMPYSILCAVGFFLPLYYMPGFQHATSRAGYQFLMVLVTELFSVT LGQMVAAITPSPFISALLNPFIIITFALFCGVTIPKPQIPKFWRAWLYQLDPFTRLIG GMVVTELHGREVVCSTNELQHFTAPSGQSCGDYMAPFFERGGAGYIVNDATSACEYCA YKVGDQFYTALGLDFSNRWRDLGILIAFIGSNLIFLFLGSRYLNFNRR LY89DRAFT_682311 MNPHGLLAHLNPSQQAAVSSFADTLAILAGPGSGKTHTLTSRTA WLLAQGLQPWNVIVATFTVKAAREMKERIGKLIGNGMESKLVLGTFHSIARRYLARYG HLIDIKKDFGIADSADSLAIIKRIIKKNNFIIDAKITRSRISGKKSRGARYRDKENEN TSGAKTVEAQEFDICYAEYEEALKRSNLLDYDDLLLRCVDLLRYHPSCVSNVEAVLID EFQDTNIVQFDLMRLFAAHRKRVTIVGDPDQSIYGFRAAEVKNYKRLLKMYPETVTIA LEENYRSSGAILLTSLNIIEQDSSRVAKSLLATHAVGTRPVLRRLCDAHKEAEWIITE IQRIKGLTGELLDLNDFAILLRSSALSRLIESALGKAGIAYRMVGGLRFYDRLEVKTI LDYLRVINQPDNNDALARIINVPSRRIGESTIKSLLEEADESKITLWSLILGFVQGKK SAKTKLPKQTDQGLSRFVNIILTARGKLTAPEEERMTMPELIKFILLKTDYETWLEEH HSDIHKARWANVEELITQATDFQDLVSCSYEDESLPQIDGLDQDEGPDHLSRFLANVA LASEVKNDEGTATAQVTISTIHAAKGLEWPVVFIPATYQGSIPHSRAEDTNEERRLLY VAMTRAKALLYMSYPVKNSQSELTTLSPFLCATSLAPLLDQRGPSFRSSTVQTMAQIL RRALPSATSISKSSAFVVSMEDDIFPLNGEENKDEKTSRWNSMAGNPSFTMGQQAPKR RRVEMNRSVSNIEERSAPDWKPAYHTTMDQSASFTSASITMKSSFVSAGSHLQVLKEQ AVDCAAATSLDPAPEDTQSQARKKVAPKAKSKSLEGQGTLFGFLGKPEPKVAELVNIK PPKTTTNRTETSNFPVAAAFCLPRPLAIVDRPIEIAPSLANHRLGGGKTIIRPRQSVP IEDCHRNDYVFLSSSPPRVTRIEPIPEKQPGLVSNPPIMKLVRPVVSMHETSMDKLQS GSAARKTLGVKRSMNGWENRKNQSFKPPTITRPAE LY89DRAFT_578525 MEAQSLSTCNQCGKKFQRKAHLLRHQQQHSGDRPYSCKFCSKTF KRSDVLRDHFSRCEKRGSSAIPSSLERGRKRHACDECSRLKVKCDNNVPCGKCTEFGR KCVKTRSRLTFAITAVASSPEGTPNPSTPDTGSDRNSIGFLLNCPSESDFIQEFPKST TLSPNSRPDGFSSLDPPVRNFHQIGEAGNASVYQEYGHMIQENNIDVLLSHLEFSNFE QQTNNWQMPGENMILWSGPDALFLDRGVLEQRAFDIRSKLKFASDIMNPPHTPPPEVL QALELITADSIAAWIKLYFRHWHKHAPMVHEATFNPCHAAVPLVLSLMSLGAMYSKET EHVAKLKMLLDTMEWYIFSLPGLNDEYELPGRTYVKQGDNASQEWLQYQLEELQGAYL MIVLQYWTGNAVARTRVRQQRFPRVDAIFHHLEVLTMQHSPDFEIKDQSSFTKWIRKE SYIRTATLAIMLDHAFGIFNNVPPRFQWAEIDLPFPSDDHFFKTTNFEDMVAKSIQPK RSMKIKDAFLNLFTPPMSMEQDLSSLRQRALTALDMQMLIHFLYTHVWTSTFSNPMAC LPSTDIHHLTAPFKTALHNWKLIWDEIKSSSLETEWNKLGFQRTAEAYFDAVNSILAV FEKREGKFPPIPSDCEKGSHLKRVLSF LY89DRAFT_666263 MSDTNFDLEAVIIALVPENIRSKPLDLTITKLVELKDVIKITLV RSKRYGSWDNGTEDGRNAIKELIWLYLNNKDLGAQFKGAPVYAESVLDNFANPLFNLP LEVLRCGEALKWKFEFCNWGIVECKNEDDTSKATSKKRKSDALEDNEEGSSALAGAKN SAIAAQPQGVFVKAPDNHPIFGLNGIMHHIEATKSGKKSYRVSAHYAGRDFRKFGDNG FTVGDCWALQIAALRDGVHGHRIAGICGTPDSGVYSIIVAGTYADSDQDNGDKLDYAA ANAEESTALTADRTNNGTKSLLRSITTKKPIRVLRTSTSKWPKAPKAGLRYDGLYEVT GSEEKTNKKGGKYISFKLVRIAGQVEIATDRPTRAEKRAIRAVQRGY LY89DRAFT_779348 MSRQSQYHAYLQEIRVDEACKFLLKDAKFINWRHATDSQQLVIL GDIGCGKTVMMAYLIDELRRRNEHQLPQPKICYHYCQNDEIGQAICTFSVLLLSLLEQ FSGLKRTFFEWYQQAAASGIEPATYFKNPNEWLQKALETLDRPLLLVIDGLDECNRAS RNSLLKSLRNLSQKSPRLKILLSSHPQEEIFEQLSGVAKIALGSDAGRDKVIVEKTVE RQLFYLSKDVKELVTETLSRLAQGSAIWTKMTVELIEVHGIRGLGPMRVFLGETPQPE QHCLNTNHHELFSFTRPVRVGTDAATVSEGGASENGSFWDEQQEPLREAARLAI LY89DRAFT_682313 MLEQLSYIDDCDTLGQKTDKRDMHKALVLVYQKLLEFYITAFEV LSRKGVKLVMKMIPENDCLPNIVKEFSKYADNLWNFVQKATWEIVEDIKAMLYYHERM FSHTKIC LY89DRAFT_695211 MDPSKTSSIHTSHTASPLFGIFSLPRKVRDDIYRRVLVVAHPLY LFQQTGSEVVEIFAPERPVRWLALLYTNRQVHEEAGAVVYGLNHFMFMDTTRHQANLL QSFLNCIGSFNAGHLSHISINFPVAEIVKGQPGKIVLREDDLHSLKLLQEKCIKLTRL ETLVHSYNSIGLAKESQDSDDSQFWQEALSQIDAQLKVIPSLSKTIVRFYNGPPTSEV VELMQRLRWVILPG LY89DRAFT_579115 MHNAVPAIAACGVSPIVRIPDNQGWMVKRALDTGAHGVIVPLLY TVEDAVKLVNSAKFPPEGQRGFGSPFPMERFHPSLTSADYLTQANSALLTIVQIETLS ALQSVEAIAAVPGIDVLFVGPFDLGNNIGHPIINGVMHEDLHAAIKRVLDAANGAGKK AGIFCVSGEQSKMYAEMGFHMISVATDTLILQASVMGALGKAKGMGPGEKLHGPYGK LY89DRAFT_448160 MNALPCMVVLFRYRSSLSLCAAAGSYLARSSLGSTDTARFGQKT LRGPEELEKRRKFRRCFCHFVVDQTLHLDPLQLLHSTHGRGNTIRMRVCSGVEVRFFP GCHARWLFASHLFRPRVQAGMKHIKQVRWVKVDNFRGGSIWPVLAFGNSLACKACLVL FCQNASRGLTDTRAKLALQLRNTRTDFPLKWQVEVAEVP LY89DRAFT_682315 MLSYEKRPAMGNPTPATSDHLPLNTSSSSLQTNGLTSSKNQPKS EHHHLWLVTGPAGCGKSTVAQFIANAMNLPYIEGDEFHPQANVDKMSKGIPLTDADRW DWLTRLRDESLQRLSSGSQGVVLTCSALKRKYRDVIRVAPYYDHDVRVHFIYLHAPEE VLIQRVQARQGHFMGASMVHSQCSILQPPGKEETDVISVDVSGSLEQVEEEALSKIED AIARELMRDS LY89DRAFT_610888 MHLRSYSRIALRHWLNYSGLIQRQSPRTARCFHATAKALVIKPF LLADIGEGIRECEIIQWFVEPEARVEEWDKLCEVQSDKASVEITSRFAGVIKKLHYEA GEMAKVGKPLVDIDIQGEIKQEDLAAVTEGEESQIQAEDTEARVPEAEPAPETPAMEE EAPSPPKGKHASLATPAVRHLTKELDVNIEDVQGTGRDGRVLKEDVYQYAKHRDTTPS TPSKQPTTPGQQTETITPLSTTQHQMFKTMTKSLSIPHFLYAQELDLITLSSLRHRLN KSLSLTPQNGISKLSFLPFIIKAVSMTLAQYPILNARVDTDPKTTKPTLVYRSQHNIG IAMDTPSGLLVPVIKDAASLSILDIAAKISRLQSLASESRLSSADLSSGTITVSNIGS IGGTYVSPVIVEREVAILGIGKVRSVPAFNEEGTVVRKEVCNFSWSADHRVIDGATMA RAAEMVRGFVEGVEGMGIHLR LY89DRAFT_640315 MITTRTPAQILRSGVGVDSAACLLCQWRSFNSSYRLLADKEASK SSPPESKATPKEVPDPPPKPPSPLADAPRSYGKAVEEFTPKPLSRPIGLPRPPRSGEN AGVDTRSWRQRRDDFVDYEKHIVRRKELTKKISAPYFRDFSNMRHHKGKSFLAPPRLF KADKALYFPNFQGQTLLKDKTPKDTTPLFEDKVSVVSVFSSQWAENQAATFASEKQNP ELHELVKSSDGMAQLVQINVEENALKAWIVRMFMGSLRKRLGEDNWNRYFLVRRGITD EIRDNIGLLNSKVGYTYLLDGECRIRWAGSGPSEGDEKESLVKGARRLVDELRARRKL RPLSTPKEAVKPGNVEKTAAMAA LY89DRAFT_730642 MSSTGTGWAQLRQQARSLETQTETLFHTYSQFSSVPNIPAKPSE DEKQTEAKIQDLLEKRETLIAQLSRLLDSEAQLTASALKQNNLARHREILQEHRKELS RLKSQIQDARNRVNLLSNVRNDIDAYHSSNPEAAEADYMLDERSRIDNSHNMADSVLS QAYAVNESFGLQRETLMSINRRITGAAAQVPGLNSLIGRISAKKRRDGIIFGSFIAFC LLAFIYFM LY89DRAFT_682318 MSSGKVKTGQLWSKNKADLAKQLGELKTELGQLRTQKIAGGSAS KLTKIHDLRKSIAKVLTVINANQRSQLRLFYKEKKYLPLDLRPKQTRAIRRRLSKDES SRALEKTKKRATHFPQRKYAVKA LY89DRAFT_610899 MNEQGQYSNPLGFGQQMPGDDFWPQPHYTSFTDTAQPTQSAPFF QDYYGNILGYGTQLKASNTNAFSGQPLYPHYQAPNLPHELYTFAQRTRPAQAPLPPLK RQKIAQISVDENHAQINKKELGNGQDGTQSECCSSCPSGAPCDEPNCAPCDKTDCDEV VEEVVPCTRKSCAQPVCPNPCLKVAVQQQEARLNNGIVPTERRISSWENTQWSAEVPR AVSQGTRSLNGLLDPALEVFDGPEETPASGSPAPTTPSMAKNVDTPHSPNGEAPTPHS ALFPQTTQADNTAGVLSGTGALFNPATDSQWHNFGQDSDANYQFMFQCGWSGCGQPFV SQHDYFSHFHRDHIDPQMTFDCPAQADTCPSPIKANPLEHLQNDHGYNFNMGTGGFSC PAPSCPQGETFLNPAMLHNHFDVAHAIPAHGSLQCLVDTCGNYFQDYNQLWSHVTLEH QIPQQPKDSDIDLAFPTAPVPIQEPLMRDEPDAAAKPNAEAENEGEEDLHICKWKLQP GVVCSMDCGSEDALQKHIKTAHLDLLDKRSGYKCQWEGCNREEKVPKDKIGFTQRGKL ERHMATHTNYKSSRCDWPGCGRLFSAPQAMRQHYLLHTGEKPWVCKHCGKKFPQQSAC TIHERTHTKEKPLECNICGMKFSESSNLSKHRRTHEDKQSHECTFKGCGKSFCRLDQL RRHRLVHRRKGGEDEESVTERTETGSSSGTA LY89DRAFT_448436 MGNLCGKESKDNFSQPGRTLSSAPPQNQKPISSLPKKVGGPPRT LGSASTAPEAGGSAAEDARRKAAEAAEARANAANKPKGKLGSQLAEQKKQTRTDTLDA ASRDELRMRDADAGQEARVYN LY89DRAFT_779358 MARHRDSRSPSPAGSQHSSKRVKREDDGRRDRDWRDDPRGSRRR SRSRSVDRRHRERDAPRRRDRSVDRREDDYYRSGRRDRSRDRRDRFDRERERSPDRRR RRSRDREYRDRRDDSYDRAPRRREDSTDSWSRSRGDGDTRKSSNKSDVAKPSETAKQS ASAAQIEADKKAERLAKLEAWKKKMAEDKERKEKELAAGGTRKLLEEIDQKANGSPSL GSPASPAAVASPQPYAGKFDPKAIAKKAVANSSSANTLGTDLPLEELTKASATLPSSV KGLQADKKPTAFNSSSKVSALPKARGNLSAFGLGGKPAADPDKSTSKRTLDFGEDEGS RKKLEKLPTLPLAKTVDEDAALANGVEEEDDGDVDMEDAGTEEEAAAAARAAAEKREE RLQEEKKIAEDLVEAPQETNGDTKMKEQATPQVEPTAMEEDDDIDPLDAFMDEMGDPF AAPKAKTFNKSKGKNLQQEPEPLFGDDDVDLKALDADPDDILAMASKARKKKELPTIN YAKLDLEPFRKNFYSEPAELADMSEAELADLRLELDGIKVAGKSVPKPVQKWSQCGLN VQSLEVIRKLGYERPTAIQMQAIPSIMSGRDVIGVAKTGSGKTIAFLLPMFRHIRDQR PLEGSDGPISLIMTPTRELATQIHKECKPFLKAMNLRAVCAYGGAPIKDQIADLKRGA EIIVCTPGRMIDLLAANSGRVTNLRRVTYVVLDEADRMFDMGFEPQVMKIFANIRPNR QTILFSATMPRIMDALAKKTLQDPVEITVGGRSIVAPEITQIVEVREEKDKFHRLLEL LGELYDKDEDARTLIFVDRQEKADDLLKDLMRKGYPCMSIHGGKDQIDRDSTIDDFKA GVVPIMIATSVAARGLDVKQLKLVVNFDAPNHLEDYVHRAGRTGRAGNTGTAVTFVTE DQEQYSVGIAKALEQSGQPVPDRLNDMRKSFRDKVKTGKMKDSSGFGGKGLERLDAER EAARMRERKTHKTDGDDEEEKEDKTGDDDIVLKAASTVQSATAAPSAPLFGVPKGIDL DGKITVHRTETAAPGGSGSKNPLEKVTSAIDAINARLNKTGQLRSGVPIDNKGPDAGA FHATLEINDFPQKARWAVTNRTNVAKILEATGTSITTKGSFYPAGKDVQPGGDPKLYI LVEGDTEVVVTNAMRELMRLLKEGTMAAADAEGRAPASGRYNVV LY89DRAFT_666276 MLSIIGRAAVKRVVAGGPQSTNRALQSIWQLQRVPVPESADNAP SRSQVLFLYKRSYATTTVTKARAARSPRSTTTAKPKTKAAPKKAVKKPAKKPAKKAKP ALRKAKKPVKKPVKRKVLTEKQKELAVIKDLRAKALSIPTMKPPSAWQVFTADQSKTS GAPLTERMSAFGQQYKSLSPAELESLNHIANENKETNAVQYKQWVESHTPDQIRIANN ARKLLKKKYNKKHLATIVDSRQPKRPANARAMFVKDRYDSGDFKGIKFAEASKLVQSE WSALSPSERKVYEDSGKSNVNRYVQEYKTVYHRDSRAQIPK LY89DRAFT_730648 MDMGDTMTMGGAPTDSPLNASGVDFSNSTQAANFLGEILDDTEF QVDGNMYARNFWFGVCAVIAVCAFFNFSQKATFRMRLRAAAANRLRPATPSNILTTSI ASITAIAREATYSQFTPIHNRFVKVPPVGTIALVVGYLAWVILLEFVNNNVDGAQHFT ALGVRAAWLAVAQVPLLILLAGKNNLIGAVTGVGYERLNVLHRWTARILLILVTLHML FLHVSWNAYDLGPLEYATDSCIPTGWAVYAILIWINISTIAPIRVLSYEFFVVQHIIT FFGFIIAVMMHLPSTALYSRTYIYIPIALYLIDRIIRSARFAWNNIRPGHATLTALEG GVTRVTIKSKAIKKWKAGSHVFLSIPRYGFNQSHPATIASVPESHNGDLVFLLKSHKG FTSRLFRASSHESSTKESVEVSHLALIDGPYGSSHADFACFDTIFLISGSTGTTFTLP ILLDIASRAQSQKLPIKRITFLWIVKNTAWVSWISKELSSAAEKLNAVGIELDIRVHV TCDDDFTTGAESGDDGKDCDCECDKSLGPCCCINVDDADTVREIVDEKGTKITSKSSD TQIKVNSRSSGSSSSLKSGNGVKSRVLSCARFYSGRPDLFEMLWERLEIAEGETGVAV CGPLGLSADVRRSVVRCSDERGVHKGTGAQGVYLHAECFGW LY89DRAFT_682324 MQLQTIPINKNWHFKQTTPLNASCASTWLPVSQFPTVAHLDLLH HNLIPDPYIDTNELSCLWVNDADFSYRTTLPPIPSLTSPAQKIVLVFDGLDTIVSVYL NEKLILESNNMHISHRVDVTSLLSSSSSSENVLELKFTNAPAYAKREMKRIGYKGNGT DVHFGGPERLFVRKAQFHWGWDWGPAVNTCGPWKGVRMEVFEQRVGGLVVRQKVEESL ESVMVSVKGKVEGGAEKVVLVVTDPEGEVVGRETVGVNAEGAFEGEVKVEKPRLWWPF GYGEQPLYTVKAEIEGSHLVERRVGVRKLKLLQHGLEGEEGTSFTFEVNGVRIFCGGS CWIPGDFMLPRMTEERYRDWLTLAKEGNQVMIRVWGGGIVESDDFYNCCDELGILVWQ DFLFACGDYPASDDFCELVKKEAEQQVIRVGHHASLAIWAGNNEDYMLAERWGWEYDP KDQEGPWDHTNFPARKIYERILPEVCERLAGDVPYWRSSPYGGETSNDLRVGDTHIWD VWHGKMSPFQDYKAYTSRFISEFGFESAPSLRTLHTAITSPSERHWQSLTFDAHDKGP GHQRRYGMYSGENFRFRFNPLSDFVYCTQFLQAEAMKYAYNHWRREFRGPGKEFCSGI LVWQLNDVWPGTSWALVDVRGDRKASFYITKRALVRVGVGMERVVTGSVPYMVTSYPA PKRKLAVWAVNGFLGKLDCKLRLKAFEIETGKEVELGERERDVVLEGNQTTELLEVEL GKEAEKMVVVAYLDDSKTGERLARWVDWPEPLKFVHFSKNPNVQAKVVGQEVVLSADA PIKGVMLSVPISEGGDDARWDDNFVDLVPGEEVRIGVKGLEARKVETRWLCDWENEKG FEL LY89DRAFT_666279 MPRGRPKCNVPPCRFCNRQFKRQEHLLRHERTHTNERPFLCDCG QGFTRQDLLARHINVSCHSHHSAPPSEAVAIPMLSGELETDGAGADMLWDPDFMIQDM LPAALFDIDFHNFEAPSTEPLQKSSFAQFSSRLPTLDDVENDDEGDARMCETDDRLRA GADDEPWILSKSCYEGFHLKIQDFSAVLPDGCSIPAQSTLIRCLEKYLRCAQEFLPFI HCATFRAEHKPPELLLAMAAVGSLYLFEHAQSYELYFVAKAILLERMRREEVQSKSDF LLGQNNLLHSKGTELERLQTFILLIEFASWADHRISKDGLFMASQLAVLVRESGISES DQAAPDIQWLAWVDIEERRRTLFAAYVLSNLHSIAFDTPPLILNHEIGLCLPGYAAQW RSTNATQWHQAAHQPEHSFQTGLRHLFSTLDSPEIPKISSFANYLLIQGLIQEISNEC HKLTPTPNSDIVKSFEIALRRWQSGWEMTQESRHDSSLDPLYAKGPFALTGAALLRLA YIRLSSGHNLSKQLLLSRNPQCMLQRQHKLQRSQQVNRAVIHAAHSLSIPSGKCNPPS LY89DRAFT_682327 MSTQTVFRLTQAEGISGLHATQEPIPTPGPHELLIKIHSIALNY RDTAIATSTYPLPIRDGVVPTSDMAGSVVELGPLVTGFEVGDRVIPPVSASYLYGAFK EGVDAYGSVEDGMLREYVVLPAHIVVKLPTGAKIGFREWAAVVCTGATVWNAFYGNVA LRPGDTVLLQGTGGVSITGLVFAKAAGAIIIVTSSSDEKLEKAKALGADYTINYKTHP NWAAEVLRLTNGEGVDHIIENGGLGTIEQSLECVAASGVISLIGFLSNAGQQSQPSIL MPALVKAVVVRGVRGGSKHQLEEVVRYMGKHELAMPVGKVFGFNREEIVAAFEYVASG KHFGKVCISLD LY89DRAFT_448606 MRSSTLSATSVVLAIAGLVSAQTFTDCNPLEKTCPADAGWGTTV TTDFTTGASSDWTLADGTTMSYGSNGAEFVITSTQAPTMSSTKYIMFGKVSVTTLASA GTGIVSSFILESDDLDEIDWEWLGADDTQVESNFFGKGNTTTYDRAIYHPVTDPIGTM TTYTIDWTADSIKWYIGSNLVRTLNYGDALALYGKNYPQTPMRVKMGSWVGCADAAAA SNPATAGTCSWAGGPADFSKAPFTMSVKSVTIEDYGCGGDYTYTDMTGSWQSIKSSGT CGANNAQSGSSASGSATSATASVSSTASGTSSTTSGGVLLQTSSTVSTFTSSATGASN GSNGSKSNGTALASTMTTATSSGSSTKATTSSSGTTSSSPAQATTNAGESMKPKHKYG VLDYSVIVLGLGLGYLVM LY89DRAFT_578725 MDPAVAEQDKEKQLHQDSPPPGPEFEKGHVAPEGPQNPGQDPDT TLAILELVQAKDVHHPMHWPAWKRWGIIILYCSLQMFVTITSTSYIGAEYDINLKWGG STQVITLGQSLFIVGNAVGPAFMGPLSDIGGRKWVYVASITCYAILNFGTAYARNLPM LIIFMFLAGTAGSTALSNVAGTIADLFGDIDGAGQAMALFVVSANVGPSIGSPIGGWI TANESLGLKWIFLINVIIGFGYAVIMCFMPETLPRLVIARAAAKAQEVDSDEEAILRS KVNVWKELRFVTTMTFRIMFTEPIVLALGIYNGFAYGILFLYLDGVYDVFAINNGLSI ISADLTYLNFVVGVLVMFCFIPVQTYFFTRDRVKHGHPRPEARFLVSLVTVWLFPITL LWFAFTSSGNVSYWSPIVAGGVLGFADPLLWLSMLNYITDSYPNVAASAIAAFLIPSF VMAAALAHLGVLMFENMSTKWAMATIGFISFGLCALIYFIFFFGEKIRRKSRLARRF LY89DRAFT_578476 VTSSVWRKRFLRTFDFDNSLETAEMLKKYKYRRTVSKQWTCFDL DKYGGGSSSYEVQEFQTVNQEHSLRMFRGLIIDSNASMVPDENGQLVPHGLNLKFIQD MITGNNGMSYVDIIESVFCTKYDKDIGRNLNNVMRLDKQGCALYNVQLCLSALSLHPN YCNAKVSHFDISQHQVYSAPCTQPLFLDNLKQSVNTRWLLHIVNFFKFHFKSGAEGLL AHAYSVLDADQYPQFWVGKLQAGTQTFGTHWKGAYMYLDQRTLMSSRSKNTSRGTVHT DSLDGGETFQDMSFFFDDAQYGTDKWPKQWERILGSDPFKELGNLRTRYRRNQPERPA IKQFWGTSRGSKQGHFFGRIHAMAPQADIPGFQRLVMMKFYTKKENGEQVYDPTQVWA YEGVVLPGRRIVVGRWWDARGDIDDERINSGPFIWWNVDRSGAAKPIDKDEAFDFLDS FQDHDLGVV LY89DRAFT_715989 MAQVISNSGHDDMIHDAVLDYYGRRLATCSSDKTVKIFEVEGET HKLTETLKGHEGAVWSVSWAHPKYGNILASAGYDGKVFIWREQGSTWTKVFDFALHTA SVNIISWSPHESGCLLACASSDGNVSVLEFKDNSMDHKIFHAHGIGVNSVSWAPSTSP GSLVSSAGGQGGIRRFVTGGSDNMLRLWSFDAASQSYKQERDPLTGHTDWVRDVAWSP TVLQKSYIASASQDKTVRIWTSDPSQPGVWNSKVLNFEVVLWRVSWSLSGNVLAVSAG DNKVSLWKENLKGEWECVKTIEE LY89DRAFT_682332 MADGLCGPSNALQNIQKHSTVDRTLQQDRIISRQTPSQGFRSTG QNAGFMDHEFEAFQAGQLPLEHGFQPNTFQHAPPSLQQAGPPSWAADFQRMNISSPAP QVQQQPFGMQAQQRQDTGGWHQDFARQQMAERSVQSPSHTASPFQSRMLGMGSQFTGT YAQPSQLSVAQQKQPEDAFDEAAFERAFDAAARAEAEAEALHDCAQHENAEMGQEVML NESAEVLMASDDLEERADELLSQERIGADTIHDPLFDDRDQPANEDPEELARTAAKLL VSVQHEQSTKFQNSQFLSLMRQFRDREKTVEGDNVVTKNGMGGTDQDMNDERSQVQGP LY89DRAFT_730657 MKTQQYLTIVTLFSSFANSFPQSRRSNTELATPPEVAVLARTIT IGDTTTTIGGFTVGNPGDGGSPVTTFSGAMGNFRLPEQTDAPVSTSTQSGPAHRTTPS NEPVPTNPWNAVCPVPSMFTINNFVFVSGKGGDVSFTVTYGNGTISCPTQGQEVFSLG FYDMSCNNGSVHAQTDGSSWILVQERYTCPGATGSGSNSAALTAWTNYTTFGESLFCD TDDAAVQTCLQLNPNIEFPVLNYETAGSITSK LY89DRAFT_640350 MAAPIPFSVPTIDIAPYLSDPTSPESSQIIEAIKTACTTTGFFQ LIGHGIPNTLQDEVFKGSEALFKLPMEEKVKLDRGKSVGASNRGYELIGGQGLQEGTL PDLKEGFYVGQDIPADDPRIQANAFLMGPNLWPSSSLLSELLFKKPMEAYFASMFALA LKVLDAIAAGMPYGPKVFDEFCSNDAVASIRLLHYPPDKSNDERQLGAGAHTDFGAIT LLLQDQIGGLQVWDYGNEEWKDVKPVPDAYVVNVGDMLQMWTSGVYKSSLHRVVNRSG RDRYSVPFFFDGNVDCVLTPLGGGEVGKRYPTVEEHMRERFGQTYGRGKKREDGEGNF KG LY89DRAFT_448834 MHHHTLLLPFLLAALTSAKPTKRDDTWGGALSLGPTTSHIIHAI TTLVPGAAPSSQNGELFLWPGMSNGTGDLIQTTLESWPDNSWCGATPGQWCVRASLFG SFGQLDGQAGVVGEEDQVRIEYSRSADGLVWIQNVTNAVTGAYLSSFSHESGPMTGWG TGTECDDDCSGTVSAQTYKNTTITLASSDTAFGDTLGVSGGTTYSGLSVSADGTVWHI DSISVPAMD LY89DRAFT_682337 MPLSLSWLACTKVSMTWSARPIWSRKRSFNSARALHDLPLERAQ CPRCSREFQEMSAAQIPLDLHTIHRSKSSMAVQTHQKSQRRGRFDIYSRWRRRRNLRS STPEHDEHRQNSNLEQGDVKKARQAARHSHTLARRRAPTRGSSPLLSSRHMSTNPSSR ADPTRCPCSLGVAADPYIWDLTPVFDNASHPSLQRFSGSWKIPTASRTSKQFPIELLH SHKRV LY89DRAFT_448886 MKTVPSLIASSTVGIAAAGLALGKPERSPPPRPSSKKRKAHEFA DVVVHNQGTSTPQSRPATSSTVITPGSRPLGQPTSSSPNPPPSLQHRASSTRVEIPNS SSGRRLSILRHEEASSPRDHIEEDHRRESVSSRGSWMRRLSTIPNSYNNSPRSSIVAP ESPSLTFSHGSAAPILSNPGSSPAQLPPNKLVKRATSGRAASGPTISRNGSKSQVPTL RRPATSHQRSVTLQQQFRDDSMVTTLPLHQTATTRVHATPSSKFSTPETRHAWRVYFE ARPTKLTKERVSGRSSDGGLQNFLSTSRRIIPDETARPTLMKPEMINSSNADGTLYYD DSFGIDDDLDDVDETTVPTTESVEEPQKRARRSLSMHFSSPSSWISRSGSLRGKQRST EGRSGGKRHASAPVSTMPSRNIATAHGSASYRHRTVMDPKLFQREPLSPTEDLSPHDP FSTSFPSRSRNSSSPLPPLSRLSSFNLDIARLGLSSSSSSAARRSPTSPMPLTNTNPT SVSSTGHPSPSSTTYFGSVQIKNSRTGEVADRASTLVESDNDTRGFISGDEDDMDFQS ETVFDSLRSGATGSIRSHNPPLDHMFDESPPSLNGHAKSKRLSIHEMLANGGFQEASN RIVEEDEGMSTPVKNARSSQEDNFQTPVRTATMESDEAFPSSPLSFCLATKDFSRLSL DDDEEDEDWTKDDENMEVSNSLSPPNSSLNSRRVSPSFRAALADVTHSGNTNGNPVGG ERPKSNLFDWSEPSSAEKIDLMGNSPRPRTAHVKQVADGRGGRAIGRKGPSALHIRSQ SVPVVPDGASQRDHSKLTPKFGTWGLGAKGVSEEWDGDFEFDNDEGDNGEFGDSKMES SGMLVPPAIQASQASVAGHVGQIREVCLLVEDLKRLRLLAKEKNLLHGRSASLWKEAE GIIALAVPDEEDPTLSPPHSPASVVVEQEMEGDDFHEHGPASEEVSMPDTPIEVLNRH GKPTGFVYDGNTVRRRSVFSPEDDIFGAGVIQSPQTREYLRPPSNSPRHSLARSASDT ARSVMETMHQHRSTSDPILQNLTGQPSDKMPFDTTSLKDLVNKASQLSRTLAEVIRKH DGSQSPEDNSRRDSSPAFTRVFTDPSVSPPKHLLRSHSNNSILGGSIDSSPTRSLGQR MHMMTVV LY89DRAFT_640356 MKLFINIVLGAILSEIVSAITCNLKPTYPTPSTSDGWQAQLVAN ELTSPRSILFDGSGNLLVVQSGAGIVHLAFDDGGSTCLDVSKKTFLVNSTELNHGIAL SNDGKTLYASSSEAVYSWSYDASAISVSTTNQTLVTGMTNDDHTTRTLLMSQKSPGTL LISRGSTSNIDPEAALLSSGHSQIKAFNTSNLTKTSTPYDFNTQGLLLGWGLRNSVGV AEEPLTGGIYSVENSADEIDRDGVDIHENNPGEEMNFHGFLNGSTQDQGGNYGYPACF ALWNTSIPDLGSLVVGDQFTLQQNATFNDSTCNEERVAPRLTFQAHMAPLDIVFLENG TEAYISFHGSWDRTNPIGYKVSSVSFSTGEPTAAKDSTNSTVDIFANKDNSQCPDGCF RPVGLAVDGKGRLWVSSDATGELYVLARTSTATATSSGASPSATKKGMGMKVEMGDRG LWVVVLLMSLGFGLGMM LY89DRAFT_682340 MSSIASIVTKTVTKTAASTTATSTDRATPQGGILEGGNPSKYDP KNPIILFIIQAGIIIIFCRLLHYPLSKLRQPRVIAEVIGGIILGPSVMMRIPGFQNAI FPNASMAGLSLVANLGLVLFLFLVGLEVNMRMFLSNWRVALSVGLAGMALPFGLGCAI AWGLFHHFHDEPETVPIAFGVYMLFIGTALSITAFPVLCRILTELKLLGTPVGVTVLA AGVGNDVTGWILLALCVALVNNGSGLVALYVLLCCFGWILFLVFVVRPGFIWMLRRTG SLQNGPTQGMVALTLLLALTSAWFTGIIGIHPIFGAFLVGLICPHDGGFAIKLTEKIE DLVSVLFLPLYFALSGLSTNLGLLNDGLTWAYVVGVITVAFAGKIIGGTLAARACKLV WRESLSIGVLMSCKGLVELIVLNIGLSAKILSTRTFTIFVVMALITTVSTTPLTLWLY PPAYQQKLDAWKRGEIDWNGDRLAPEQLEGESTPDPPIEKLQTKEVRRLLVYLRLDSL PSLFTFISLLGGDRSTVSTKVHRSKSDLEAVPEDSASSTTALVNKRPLEVHGLRMLEL TERTSSVMQGSEMDEHAYRDPVVNAFRAFAQLNNVAVSGGVSVVPESSYAETLTSQAS DHFSDLVLIPWSEGSDDILGLDTIASGIQEAFIQRTLETATCNTAVFFNRGFGGQPVF ESRSLSRTVSGHSLRSRTSHQTPLPPIVDRSHHVYFPFFGGADDRVALRFVLQLAQNS NITATIIHFNMPIQSGPKKPSSVQVNESSSGLGNLSRLDITERIDTEALYAGAAQDTA LIHSLRDSLPIALANRVVFVEVATTAPIDDCLAHARQEIGQSPRNAGDLIVVGRGRHS RLADTNENVANAEMKKTLGVIAETLISGGVRGSVLVIQAGGRGLDA LY89DRAFT_666291 MTWRGSSSLSCLSILRASFRVADRKRGEESFKSKALRFRVEEVN EQRKTKREFTRKLRHYINNPSSALETTNPSWVLVATYPPGLDRATGDHCKDEESAHQN SRGLLWTRHGRGTSKSPGSTGVAKMRLRNDDNRSVLEKVMIVWMTIEWKLTAKCGFRL IEVLQMKFHQCDAETEKLSRRRRGATSDWETSSKVRGRVWTFLVGDVLYSRDEFCVWD CAFWPGIELLTSYECAVSRSKTIIIGVSTVASMEVIERARAVIRAEWTWPIPVMRGVQ FYASSILRIRGVELRLDSSYKAGTTIRNEKLSDYFAVLDL LY89DRAFT_682343 MCHISSQLYGCGHMRAIITYCESCTFLSKRPSQTKIQAEAQYYK TKGLYCNFYATKWYAKLMECPNFQYKYRSGLEEDCRGRIFTLKKHWCGTSPIYQLSAL EPLEQPLIENEDLTDQLADLEKASRTEVNKRNDKYFLEQKAALDKLAVDGEGMDKAYT ELMEGLSKFEKMSKHKSSAECGDQPTTAENMPGEGEPAGTRERMPEEGETVDECKKSN VRMVRTSMTYSPEDVVGEVVEPMFFG LY89DRAFT_449846 MYDTFAGLQSAPRPYFHIVQEFLYSKTQLLYIANFHANFLLYPR KHQLCDLLPHPNNTGGVIRSSVAPKQMDYTGAGPRKQKRTSLLLPPKNLSIQVSNQYN MLAWLCWKSFCLFLFSIVFFVFLVRIQKPSMLLG LY89DRAFT_450211 MTSPSPPTPLSSTQPLTTQLLSLYNQDLKTATQTPFLEQAGRGT LPKAVLEKWLCEDRFVRSFPFFFPPSYLSQPRSRISNLMPVSLEQIGRIPTDSKIRDR IYAHAYLKFAAQLLAGIATHLPRKVDAGDIYERIADVVVEALVAIRRELGMFADIARE YKLNISDNAEVV LY89DRAFT_578383 MASLQFIEPAGTITANTVSGPFRFKLSSTTIASGLCSVREVSEP VTGQYRRHSSQSSSSAAALQNQRVAAIIQSTGHSINTSALTNRFTPQQSSIQQFYASS APNSTTHLQQRQTRPPVPPFSQSTGQIPQTPNMAMQDMDLFDEFTAFEGGASTTQNAF PSAYSSPAVPTIYDPSANLSSSSSTNMGTVSPQDLLRDPFASAPNSTAFTNLTSPSTY NESPEYNDNFDVSPFVGNNGDIDQALAGDPWYPLFPQDDQLESSNVEQSPLLPEEELE VSEALRSSGRRRSGTATSPPSGTLTAASGVRKRDKPLPPIIVEDPNDTVAMKRARNTL AARKSRQRKMQRFEELEDEIAKLKAERDHWKNIALRRSGGH LY89DRAFT_666296 MTEILAVTENWEDRTTARNTQTIPPPSASTNDLLDPQTIKEQLR DCLKNISKETPPGFGGVSGDFPNPRLFLERHDFVQFSLGHIGFSDDSRRFSRICDQG LY89DRAFT_640365 MDPSTTKSTILSPSAIEELVNDGATLVIYGKAVLKLDSWLRYHP GGEKTILHMVGRDATDQINAFHSVETQKSMERFQIGRIEGKWDNIIPLFQRASDGKNI LEIGQHGDRDEENSESSACSRASSVFETNGLRSRAAGTESPASSISASSEDISKLATD ALAPESKGDVERDEDAIVASFRELVAQIEAQGLYDCNYWAYLTDVIRISIIFSIMLLL LHFKQYILSSIALGTFWHQLVFIAHDSGHLGITHNYTIDTAIGLLLSSTLGGLSLSWW KTSHNVHHLATNSPSHDPDIQHLPFLAVTPSFFSSLYSTYYSRIMPYTPFASTMMRFQ HHLYYPLLCFGRFNLYFLSWTHLYQNLGPKHPSMRWHRPLEILGQLTFWYWFGYLLLY HSLPTLSSRVLFVVISHAVTMPLHVQFTLSHFAMSAKAGERNESWVRQQLRTTMDVDC PAWMDWFHGGLQFQAIHHLFPRLPRHNLRRASEVVKVWAAENGVRYEVYGFVECNGRV LGRLEEVGRQAGFLREATRSVVEKGEWLRGEWE LY89DRAFT_682348 MANDKLHLFTILPRLLITYLPTLLLQRLQAIIHSLTYRSLPPSS LKTVLVLGGSFTGTALARRLRQTLPTGYRVVLIEKNSHFNYSFNFPRYAIVSGLEERA FIPYTNLASSAPKGIFAQVQGNVVSVDGVKKEVELENGEKVGFEFLAVATGSRQGFPA KVQATEKRDGVEEFREFQERVRGSGRIAVVGGGAVGVQLSADVKEWFPEKEVLLVHSR ERLLSGFGERLGDYVAGRLGEMGVKLRLGERPSVGSGEKATTLKFKDGSEEVFDLMIP CTGQIPNSSIISSLGPDVLAKSGRINVKPTLQLSDPAYPHIFALGDVAETYGPKMGRA GMMQAEIVVSNILSMIKGGEASKKYVPHFVEGALKLSLGKSESVIYVKESPERDILVP GKSKCKNEDLGIAQVWKHFGADTKDYSV LY89DRAFT_716001 MAPLARSGSESDEYIHIQKNRTLPAGSAFARDSGALPSSTRATN SQASQQTTLPTATPSASYRPTPIAPPSLIPQKVSQYPLRPQEGDATPTLHKQSESKYT VGKPGSIFSIGTKEPYDKENMWKYDDLRNAGGSTRKEYRPGYFSASEERLPSHQDKSF SSSARKEYSSRQREGDTEVHAKQRYLGDSFLSVATQPPRQSSVVPKHIQVIEKVSRFF PQQSSNDESSRSFDVQRSQQPDTVVDGPIQSIPTSVLSAQSSYGRTTSSFVGQPPQQF PPMCAEHSRGFLTTAHPEYNGNNTSMHANSHIHTHGASSQTAERPIFYKPIIPADQQK YYEALDPNKPNRVVKKLSGPTGSQPNPRHPRLQYQAYQQPNSFAGFPSYPQSVGQALP TDRETQWEEWDPPSRPLSAAAQTFIPTPKNTQARGQGVEGAPKGSRAMIAPEPAYKSC ANGSSTSEPETILARVNLGAAREIKNATNGTNEAQEK LY89DRAFT_578935 SRAQSPPPQYPISEASGSSPPSPRPPPFSSLYTSTAEAVEAYKT AVTEAGASASVPAYAPVASEPSNSRDRDVSAETKAALPQDTKGESSKKDEDSEPPPAY SEGSSPLESFTYLMAAAGGAASIITQVQQGGAPPINTLGDVGADENITMDLRGQRFTL SRDELLTLPEFVLLSLFPNGLLPDGHLNTYHEGDAFQVDYDPASLQYMLEFFRNVAQT IPTSESPSAEPDAVPIEPMAGSAREMLQDRAGIIVLREDLDFYVIPPKAEIEQPEMIE VKRAAGKALLRQDGIFSGLRRSEETGTTEQHLIEMLTAGGFNHDDTWGHRANEPNKAV ICSLALARLRTDIRSDMGNNAVGMAQKLLLFWRKPARRCWWEGVELDNVDGVEGKLKV WIRRVWTLEMSVIGLR LY89DRAFT_579290 MESEIKSFLPTIDPIISEYSVGYLTHASNAFVPDAESTGPSPLE EAAVSITSLLVSASGDPSPENEAKISQLVDKWVKKFNAAANGQRKQMSSTARRLDQAI QVGAQRNMSSTLGVSTGGVDLESAGTRKLESKVDRKKLEKAERKIAAKQNKKTFKTVE YEASKLINQTDDAQSYEEFFMAVNPLQLGGSQGQKSKDIKLDSIDVSIGGLRILTDTT LTLAFGRRYGLVGQNGIGKSTLLRALARRELAIPTHISILHVEQEITGDDTPALQAVL DADVWRKHLLAEQVKITKQLADIEAERSNMADTSVDAEKLDRDREGLDQTLGDIQAKL AEMESDKAESRAASILAGLGFSTERQQFATKTFSGGWRMRLALARALFCEPDLLLLDE PSNMLDVPSITFLANYLQGYPSTVLVVSHDRAFLNEVATDIIHQHSERLDYYKGANFE SFYATKEERRKTAQREYENQMVQRAHLQAFIDKFRYNAAKSSEAQSRIKKLEKMPVLE APESVYTVHFKFPDVEKLSPPIVQMTNVSFGYTKDKPLLRHVDLDVQLDSRIGIVGPN GAGKTTVLKLLIGKLSPTSGLISQNPRLRIGFFAQHHVDALDLNTSAVGFMAKNYPGK QDEEYRRHLGAFGITGMTGLQKMELLSGGQKSRVAFACLSLTNPHILVLDEPSNHLDI EAMDALSTALQQFQGGVLMVSHDVTMLQTVCTSLWVCDNGTVEKFPGDVQAYKKRITA QADASGVVQAH LY89DRAFT_682352 MREIVHLQTGQCGNQIGAAFWQTISGEHGLDGSGVYNGTSDLQL ERMNVYFNEASGNKYVPRAVLVDLEPGTMDAVRAGPFGQLFRPDNFVFGQSGAGNNWA KGHYTEGAELVDQVLDVVRREAEGCDCLQGFQITHSLGGGTGAGMGTLLISKIREEFP DRMMATFSVVPSPKVSDTVVEPYNATLSVHQLVENSDETFCIDNEALYDICMRTLKLS NPSYGDLNHLVSAVMSGVTTCLRFPGQLNSDLRKLAVNMVPFPRLHFFMVGFAPLTSR GAHSFRAVTVPELTQQMYDPKNMMAASDFRNGRYLTCSAIFRGKVSMKEVEDQMRNVQ NKNSSYFVEWIPNNVQTALCSIPPRGLKMSSTFVGNSTSIQELFKRVGDQFTAMFRRK AFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDASISEGEEEYEEEAPLEPEE LY89DRAFT_682353 MAKDKVCLAYSGGLDTSCILAWLLEKGYDVVCFMADVGQEEDFD AAKAKAKKIGASACYVEDLRREFIEELCFPAIQCNAIYENVYLLGTSLARPVIARAQI KVAQKEGCIAVSHGCTGKGNDQVRFELGFYALQPSIKVIAPWRMPEFYERFAGRNDLL DYAAKAGIPVSSTKSKPWSMDENLAHCSYEAGILEDPDVTAPEDMWKLTVDPLKAPDT PEDFTLVFEKGLPVKLITSKGKTITDAVELFLEANAIARRHGVGRIDIVENRFIGLKS RGCYETPGLTCLRSAHIDLEGLVLDREVRALRDQFVTFNYAKILYNGLYFSPEREFLE ESIIASQKTVNGTVRCRVYKGLFSVLGRSSETEKLYDASESSMDEIGAFSPQETGGFI AVQAIRLKKHGEAKLARGEKI LY89DRAFT_682355 MGYLFRSVVHDVALHRLKEAAMRPQLTSFGMEREGVRERCGEGT YLSCFLGYSENQQDGYGTRGVSGVPVIVACEWTDRTDGDIGTGEGGWDPFGYAGPRVR CVSEGCWMEGLCCRAVWVPDRQYLDNDISLRCVMLVRRMHTPGSLSSGVQDGKEELIV SRIAFGFHCGMKGWGIKW LY89DRAFT_450759 MASVTKNSLFGGDLPWSPLDWTSSDDRVRGGSSYSELSCSPFSP TAVFKGNLDIDTLGGAGFASQRTTGEDRNWDLSGYDGMLLDIAKTDGKQYTLILKDEL LPKSPNGREQSTTSWEFDFKADKEGEKVFVSWNELKATYRGKEKKDAKPLDLKHVKRI SIMMRSFFGTQQGDFSLTIRSISAFYKKDTSADTGEPYHDDPNQTSVPWTGDGLDNTS DAQQQQQQQSWVGWFSRFCSS LY89DRAFT_682357 MSRASYSYSTATASRHITGHGTSSAFSSSANPDEDWTKISDLAE RRRIQNRIAQRNYRKKLKRRLEDLERRAGSSSASPPQMHAELHQERKENIKQYQRSPE IVHRQPSPRMMQNQYTPPMEDNLMFPTHAFERDGSRTPPLFAYHTYPAPEEIVYPPYP QSQPYHAVTTAEYRDYLAPVPVTLPSMMHFNEAIKREDDTMHPFNMYNQGLPAIDIHA SHGYDDSNPHVSNL LY89DRAFT_771627 MSQWSANLATLGNNRGSTCIHVAVAEAERSRCRRSCAGSRWKEG SSRSTRGGSVATVRDAFWALAWGSRTITGNVPSASMSGPAMRGSFLSQFLRISNAAII SRGCIVPIHVIRYQISTVVGTEAEIQCRPRLQTLPLKEPIWRYRSKAMVRAP LY89DRAFT_682358 MPGSLLVVIGNELHSLSRAQSACFSFPSGTGNCSPSLPKSQPYT PSLPSRTNVKHAEDLPFTRHYPPAFSPLIICRAKASKTQTVKPPKFHFMSKGRGYEIA AAAFLRRYDTIKMLIHDTTTVGSEFLQADTIMEKGAGTWMRWPLKHT LY89DRAFT_450913 MDGLLGQGAFLSWGLFVIRTWIKRRYQGRDCFPLGIFLSCQKKL VSEKTPFHSTRKRGNEG LY89DRAFT_682361 MNEIQKLKKPNKKSPRSHRLFRNRDHIEAAVRTAIAALSIVALS IVEIQIGSFATTQRISRSAA LY89DRAFT_730676 MCRITIRTFTECQHSINIYEHCASDPLRNHPGAIKGVCKTVAYK SVPNIFEHLRVTFPPGYKKIMNLIAKLETCPNWPSKIKNYETIEVDGTCLAEGGVCSG TRYRLIGYDKNGVVVDRPGP LY89DRAFT_779387 MSGKRSRATYEADLQAQQSPFVTFGTPLPPLDPDVRDDGSYVPV WKQEVRDERGLKRLHGAFTGGFSAGYYNTVGSKEGWTPSTFVSSRTNRKKDGPKTAQQ RPEDFMDEEDIADAEEGRRVETTQGFAGLGSTEDDAVRRGAFIDLFRVDGETVGVKLL RKMGWKEGQGIGPKVRRKARLDGVEDNAAEMHLFAPEDTSMISFVKKNDHKGLGFDGE TKLSSLQGTGSDDVKSEDEEEDGRLSLAPKPTKKKKVKVGGIGIGILNDTGSDDEDPY EIGPRISYNRVIGGDKKKKKPISGAVNPLLKSKPIFISKKTAMAKAAAGFRKCHDGRL PLDGFILSSIDEFSSVLQSATNYAPPKIPEGWKSSKQPRAGTQQAAFLSTSDAAKASK LDPKSRASILGEAALPGKSVFDFLSPAARNKIAAASGKDNLPAALGEVPEGYSMTEEE RQKEFLSQIPKVDKYTADAALSRGASGFMPYGEDEAKRNRYRAYLENQAGISPTLPEK PPRVSRIDWLKELKEFANCAQIFKPMSGMMATRFTSSSTAPKLASDAPDSSSLLSKPA PKPEDPAEQAAKLGMYGPMTRSTKDWYPTRLLCKRFNVKPPAHVQPGATEHDEEASIG PKNSAPDLVSKSALDDMMKESFDQQNRGRATPVEVEVEKPKEVAVVVVDKERNEALEG KRAGEAVFKAIFGDDSDDD LY89DRAFT_578430 MTTAVANGGGPAPVITAQETRHSGKTSKSRTTIPASTGNWVLGK TIGAGSMGKVKLARRIEGGEQVAVKIVPRGSTDDNHNQSRADRERADHSKEVRTAREA AIVTLLDHPYICGMRDVVRTTYHWYMLFEYVNGGQMLDYIISHGRLKEKQARKFSRQI ASALDYCHRNSIVHRDLKIENILISKTGDIKIIDFGLSNLFAPRNHLKTFCGSLYFAA PELLQAKAYTGPEVDVWSFGIVLYVLVCGKVPFDDQSMPALHAKIKKGIVDYPNWLSP GNTDICLHVIECKGLISRMLVTDPKQRASLQEIMNHPWMVKGFGGPPENYLPVREPLQ LPLDPTVVQAMTGFDFGPPEVIQAQLTEVLESQEYQRAVRLAMHERENQGPPRDPEKK RGFGFDFYKRRNSSNSRDTLTAPSAEGLALGSDPTNAFHPLISVYYLVREKQDRDRLA ANPGATSMPRSPGEAPLQIPQVPDIPAPKAAHTNSAAYEMPGEKATGGRSRPRARTHG EDEVTEGMKNVKVGSGGPTSPAIIEPPPTEQQHIRKESTAAGILRRFSTRRRKDPSER SHPPPVTVQTPQEHNTLRKSFSVRRTRERDNDGPPSSLLRSGSSQPQHSDLLSPPRSA DNNARSNKGLGRSTSVNSAEFRRKENRRGASEALPPRVTYKEPPPTSGSDHSTVNEKS SRDGEKVGEQQKTHLNPRSAAMRAKSLGHARRESIQARRAKREEAREANVPEETDGEL GDASGLSNERVDNADIAKPVFLKGLFSVSTTSTKPVSVIRNDIMRVLKQLGVEYTEIK GGFSCRHTPSIDLKKVVDLPPSSHGNQTPGHRRRISFGGFMSGNNTDREREDFREAER SPHTPRTPARPRGDTSYTNSDASDESVNGHHRGSSARAVGETSTHVQSDLGGSMILEF EIFIVKVPLLSLHGIQFKRLAGGTWQYKNMADQILRELRL LY89DRAFT_716011 MPIARFLGCFLCGKDKGVGVVEDGDVPVIRIEGKSAHHQLSDSS FDSELEFSSAKDEKDTPLSTDTEPRRTNKALVVAAKGTYALVDHEFPNLEHGREVVIR NMATGLNPIDFKSVDFNFCLPEFPWVTGREMAGVVEVVGEEVEGIRVGDRVWTSTYYR DRRAGCFQQFVTVPAHTVLPLPSNLSFDEAACLGVAALTAAMTLWRWLQVPMVPPAER TGREYLLVWGGSTVTGQFAIQIAARCGLDVIAVTSAKTRSLAESLGARVVVRDGKSND EIVDEIRGVAGEDITRAIDLVGPSTANYCLKAFSRDEGRKCLFAPLAMISSKAEIPAN VVVETVEMKQFVLDGESRRFAVELNRLVESGEILLPGLEVLEGGLGVVVVVEGLERLK RGDMVGRKMVVRMES LY89DRAFT_716012 MSSTRSKSFGLHSGDEGGTVVSSLNSDLKASPSSSKTASPSSTS SPPPPLQFITLTERPASQKNDYSHTVRSHAMQAFLREKKNANGDKAKQRLGPAKEHDP QTPKELSGKFKLASWSRKPRSKKVKTRWTREETAEPAAVKEEDVQIESQPSTPVDTYG NELLISLTNARTRELLYHCILPPSLCPLCLAPCTDNTAFISNTFAINHDNAWKPYSIT DSALFHATLCLVAQHEDLLRGTDESSENLFHKGEAMRLMNRRLLDNWHSLSDADITAV AIFVILESINGTFEAASAHQVGLLKMTKLRGGIGNFELHRVVLRVLAWSDIVFSTRFG FAPRFSSISEDFASSFHPPSPTNNRYSTVFNDPTTTSIFQTLRYISSTIDVPNLSSQD KKSISDALYHTEYKILTSPQLSHFQDFDSPAPTEIKTTPQKLTAAFHIASHLYLHLMM RELPNPALLHRRFLSQLSRLVNDISTQISVSSPISLSNLDPALHPETPSFSKEELDVM LWVVFVGVAASSDRESKITFLALMPSMFWSEREDVGQRLR LY89DRAFT_451145 MVSSPDVHLFSLDKRHLFVQYCTREFKSLNKYYLGIYCKLMSGR KRGSHGYISILFLILCVHQDVLNWTMLLKYVGSDFVDHEQLHPYHATQIRRHQIRPLH TSSFPINNRTNTSNSRAFLAIL LY89DRAFT_610972 MLQGAHVFSHQQQFNPHGEPAWMHQQSHHQHAQQAAAAAASAAQ QQHYNRIAANHNSNAPSIVSNHGQDALMENVSEDNRKTLVWISELLREETRESALLEL SKKREQVPELALILWHSFGVMTSLLQEIISVYTLLNPSQLTAAASNRVCNALALLQCV ASHNETRALFLHAHIPLFLYPFLNTTSKSRPFEYLRLTSLGVIGALVKNDSSDVINFL LTTEIIPLCLRIMETGSELSKTVAIFIVQKILLDDHGLNYICATYERFYAVGTVLSNM VTQLVEQQTARLLKHVVRCFLRLSDNARAREALRQCLPEPLRDATFSSVLRDDAATKR CLAQLLINLSDNVVDSQSQHQQNL LY89DRAFT_451212 MAQRAYRHRKETTITSLEKQVQDLRGTNEEMSNIFISLYDYAVG QGLLQREPEFGQQLQSTTERFLALAKSTAQEEGSHDEQPEETKQVESESARRTKATRA SSKKAQEQSPKTSDAPVHPYGGYISRLSPSDSPVEEIPLDSQLQTYEHRPRPTELQVI TRPTEDNASFPFDLMDLQQYRVDIPPMEDYSQNFFSQTQLPLPTTHSYAEFSFARRIQ RASVERAYKLITAENPPEVRFKEVFGLTLRYETKENVIARLKKFLRATDKETLHEWRN PFVHIGGSGTFYPNHDSEIETDLMPKFRTGYSMGPFNPTVGQAQELMLEDMKCTLPGF DGEFFDANDVEGYLRGRGLEIPPSADYVTAELDASILDEAISPRSDSVDTLPTIPSPK TPKSPLDRSLVNNGLGQRYDYNKPNINGFPFPITLWSMDASPKDTSNIDPIFNTLPSQ STSNRAAESVRSFTNKRLVTINVNVLVDGILSRAVCLGRGAGFRLSDVNAAIVAAIKA GF LY89DRAFT_666313 MANMKPIYTEPTKTTNLVDRIAQSSAGLKLKTEPPATSAPEDLA NAQAKEQHKNIDGTPENWRFTVPVLFRRTHSSSKILKQPQTDSGASLEQNVNLPVNQF RGSSLQRAHSKFSSFTRRISKRLRSLSPRSPLWKSPDEKVSTLDRPSEWPDMTELDYL RRKNLAEARRAEVYAEGVLELESAATNSGPPRAHHERVQSAPAIVQQHSNSSKPLSSG MRIRSFEALSFLPRSPEDYSEYDFPDVEYLIRLDQPEKLMYALNEIIDHEEKCNPMSG LCDHKYKSRSEEIRYVELDDEHEDGQWFPTIEEAWATCRPKAEEEEDSLK LY89DRAFT_779394 MPAPGQFLPRKSSAHRIACIALYRALLKQCPHIPLPDDVPLRGN TNPITHFIQKAFRKNVYHTSPKLVVTALETGYAACSLLHHAATSSPESPNPSLLQVHD LLRAQSNSYVASKLACPPKPPPGRPASKAYPGAPKAVDIRPLPKEKLTGRRHVPKLVA VHGIFPFLRFRKPQSAYLSRVLTQKVKRKLRRFERLAEMEGAKEMGEWEDVWEDTVSS VGHLKNESRRDSEWEDGEKGVEKGDYKPFKLDSQSWGEAPHYARLATWSALAKEGARA RRLGSKMLEIIDQEQKLWEEERRERRHLKNLERKRRKLESLAQEGKSKNSSF LY89DRAFT_705497 MGAKVPRNFRLLEELEKGEKGLGAEACSYGLAEGDDLLMSNWNG TILGPPHSVHENRIYSLKMHCGDQYPDKPPTIQFISEVNLPCVSPRNGVVDPTKLPCL ANWTRDMTMETVLIELRRFMAHPANKKLPQPPEGSTYS LY89DRAFT_451300 MTAQILATELGNLISESKRKHTELRNAAEKSLEELKSLRSTSEA QIAADLTQRPNFITPFLIACSSKNAKYTGIAIVCLQRLVVSRALPKSRLREVLEAFRE GTSAGLDVQLKILQALPSLLQNYADDLKGELLATSLNICTILQASKNGIVNNTAAATL QQLVVSVFDKVVVEDKIALEVPTIGEAPIEDGTIQLRAAALDAYRVFNDLCLLTENQK PKFLKSTGLPQTFGLELIESVLTNHAQIFLNHPEQANILRTRVMPFIISALSEKLNFA ATVRIARVLYTLIRNHLSILISEGEMALSLLTHMLDQDTALWKRSLCMEALRGIFADA SLIRRIYAMYDAQDGRKAILRDLVAAFVRLSTEKPSAIGLGSQSTIPVANSNGGSGSD QAMLEASGVPGIISSSVGSSEPAAGISTQWSTMRVPCIDQLDKTDPPSIPESYIYSLA LACVSGFSEGLAKFILPLTVPDRPRKKGRQSEMDSKDSDSTPSTPDPRPKMERRTSIK KNPMPVNPLNLENHALFNEIKICAGIVDDCWPAILATCSTFLNAALDQDFYHGLVRSF QKFTHVAGLLRLSTPRDAFLTTLGKAAVPPNLLTAPPPGSAPPATPTSERQTMFQNAK GLLSVDSLVGTFPSERGRQMSMDAGISNLNTRNLLCLRALLNLGIALGPTLDTAWGII LGTLQQADLVLFSSTKTRTPTTPQKGDNQSNDNNPLLANFGTEIKAVETAAARLLEST IDFPNEPFVQVIKALCSLFVREEPPNPSEGSASSGPPSPEARRPSSSHRRITSLNTAA TTHQEDLFALAKLGEVASINIRRLMTYEPEISGYALLSSELISAACSTFTPASVRLRA AEILVRLVIEAATATLSLSEEVRGDIQLRLLETLRRTIQPLESHERETSVAIHSTDID VHKIILEGLKSILEQCGETFVSGWDIAFSIIDSVFVKNDAIYEGSIKGSKTSTTRSAR LIRSAFNSLQLICSDFLSSLPNSCFIILVDTLYNFCTQDDDLNISLTTVTFFWVLSDF ISGRTNSFSLSPDLIQGSDDETLVQMASGDDLAVSDAALWMLLLLRLTAVTTDERLEL RNSAIQTLLRIFDAYGDQLSSEAWSMCLKSVMFRLLSSIEDQLEAINDPESAISDKDK SGWNETTVVVLNGITNLLADYLDVLSSHPTFGDSWQILLDHFRRLLEFQILDINTAVF KALRQILSRGNQETGSRTNFDRSALDLAWGLWSHSMPVVNSDPSNKRYDNQNYLLAYV SALQEIYRLICNDIDDQRVQRMITLLREAIQRATAATYSADIDYLTPLQTQVLESLKI IRTDIKGIPAALIGQVAEFVGLAFDSSGPSRGEAQRPTYVALSKASMSLLETLVVAHS SDLDVYSSGALTTSLTALAQPIVLKYTFPISTKTIAPWQQATISALAVLKAILPTVVK SSLKEEILRPIWTSIVTIGNGITNADCSDVPETTNVKDDQEFDIKSFLALRELITPAL GSSVIPDKTRRTYTESLFRMSSIHAPQPQELPQPGQELLASLYQPRKGRTVDPAPSPR AKMSYVCFDELVSLVALHDGSDARIKLAQAAAPYLILRAGLTLRAYISDQPLRGRMPQ PLSQRKELVYILKALVKLRCEPDAIPDAPGIESEGEKHLHRLYPLFAKAVRAAAMDQE VLEWLGKALDEVGMDFGV LY89DRAFT_640406 MSLEVASRMALNGPMLGKSITTAIPAVLSGRALTLTRAASVPVL QAQQQRRQLSVTPRPRAQGNNSGLLGVNNSNIPPSYFQRPRLPANTIIRFVPQQTAWI VERMGKFNRILEPGLAILVPFLDRIAYVKSLKEAAIEIPSQSAITADNVTLELDGVLY TRVFDAYKASYGVEDAEYAISQLAQTTMRSEIGQLTLDHVLKERAALNTNITTAINDA AKEWGVVCLRYEIRDIHAPEGVVAAMHRQVTAERSKRAEILDSEGQRQSAINVAEGRK QSVILASEATRSQQINMATGEAEAILLRAKATAAGIDAVAKVISQGKEAAQGAVSLSV AEKYVDAFAKLAKEGTAVVVPGNVGDIGSMIASAMAVYGKVGDAQAKTMAAKALEKHN QDGEHSESSLNDAETPVQEMKRSMLASFEDARQK LY89DRAFT_666318 MSEYWKSTPKYWCKHCKTYIRDTKLEKTNHEATPKHQGNLKRFL RDLHRGHEKDEKDKERAKAEVARLNGMATSSESASTSSSGFGRGPTPSIPKPQATAAD RKRQLAQLAELGVSVPDEFRPDMAMAGEWTVTSQRIIGDDDGEKKPEALALGVRKRQV EEEEEDAVEAKRTKWGKAHRTHPVEEDETDLDALLSNVTRKGKEPAAKVEMDAEVKQE VKSEMENGELPSNNNIGPSAEAQQPAGIKKEASPGDSVSAAIPPVVKQEGEEPVPTGI VFKKRQAKNIRRK LY89DRAFT_578330 MKEAIVDKGPKVTIHDVPIPKPNADQVLIKVVYSGSNPKDWKRP QNFGKPHNSGDDIAGIVEEVGENVVEFKKGDRVAAFHEMMTPHGSFAEYAIAWAYTTF HLPKKTSFEEGATIPLAAMTAAVGLYVRLGLPEPWNAPTTPLPLIVYGASGAVGAYAI KLAQASNIHPIIAIAGRGQPFVEKLISPEKGDTIVDYRNGDDAVVSGIKNTLKKAGVG EVHHAFDAVSEKGSFQNISKVLATKGGKITLVLPGADYSDIPKSIEHSLTMVGSVHLD SDFGFAWFRLFSKGLQEGWFTAHPYEVVPGGLNGVEKGLSNLKSGANSATKYVFKIED TK LY89DRAFT_578944 MKFIKPSWLGHKGEQKDFEVYSLHVSPDGSRLATAAGDGYVRIW STEAILRAGEPGYVKPKQLCHMSYHSGTIHTVRFSPNGRWLASGADDKIICIYHLDTN PPSHSASFGTNEPPPAENWKILRRLIGHDNDVQDLGWSYDSSILVSVGLDSKVVVWSG HTFEKLKTLSVHQSHVKGITFDPANKYFATASDDRTIKLWRFTSPPPNATAYDSINNF VLEHTIVAPFTSSPLTTYFRRCSWSPDGNHIAAANAVNGPVSSVAIINRGQWDSDINL IGHEGPTEVCTFSPRLFSKHEMSAETTGNDGYSTQALVTVIACAGQDKTLSIWNTSSS RPLVVCQDLAGKSISDLAWTPDGLTIFASSLDGGIIALEFERGELGFIAPWSENDKAL QKFGVGRRGVGVVEDVAGLRLEERSKAGELRGAEGRMGALMGDASSNPVPVPALNGNG VPTPMAGVSPAPQVPTIVNGSRPAQDSPAPAPAPAVDPNAAKLEAMKQRVTITKEGKK RVAPLLVSSSGTGLSSLPQSQLMAASSNNMQIDAPQSILDLSKPYDGLPRGGLAAMLL GNKRKAIVLDGDEEDEHVNKRPALSSGPVSIMVNGVNGVEPATAIPPQTGVVPTPEFL RPAVVNPVLSVSQVRLAVPKIRTHILRSLDRGTLPPSKPGANGTNGTAAIEESSKTGE DVIFEAQNPPTIANQVRDPSRISATKRGTTLWQDYLPRAVILVTGNKNFWAAACEDGS IYTWTPAGRRILNGMVLEAQPVIVECRGWWLLCITAVGMCYVWNIKSLSSPHPPVSLA PILEIAMHSLGMHVTSAPGVTSAHLNSNGHIVVTLSNGDGFLYSPNLYCWQRLSEAWW AVGSQYWNSNDSSISSLQSTGVGPDATRNGEVSSSNISAGIIPHLERHTTNEVLLKGR AYTLQRLVKTLLSKEGFESFESSVSIAHLENRVAAALQLGAKEEFRIYLFMYAKRLGA EGLKSKVEELLKSLMGGILQDNQDTSNEKGKGWMSEDDEICGWDRKDLLREVVLILGK FRELQRVTIQYARVLGMDEEENME LY89DRAFT_666321 MPPRGLRSFGNRALPYTPIRSASSTSNWSFRSAPSSSSTDSTTF WRTPPKKWKRSLSWIREIPTPNITLIGQHISSRQKILFILLGLFTFLLLLPITHRHVT PITVYDLTKYQPPSAALPDTDKKRPDPIKWLEENSNNRHSVLDSYLSRFGMSGHGKPR AALISLVRNSELQGMMQSMRQLEYRWNSKYQYPWIFFNDEPFTEEFIAATRNSTTAKC YYEIVPKEHWSLPDWIDESRFMNSLEYLGTIGVGKGWMVSYHHMCRWNSGFFYKHPFL RNYDWYWRIEPDVHYFCDIDYDVFRFMRDNNMKYGFNMNILDDARSFPSLWSRTRAFA ADHPHLIHPEADLGWLLDSQAGGEYNNCQFFSNFEIGSLDFWRGEANEAYFNWLDKAG GFYYERFGDAPVHTLSVAMFVPKSEIWYFRDIGYQHDINHHCPPHREAKCSCQPTRID ESFYKLVPLESPQRKPADTCIRQFLGGEWLKKKEGWTMAGEKAFGGDGYHGYELLGDE LY89DRAFT_640419 MSSTLQPLPIRLAQTLGLTSSLLLAGQTLTLSTFLIPRLLDSPT PLMLRQWNAMYQSGKKQGPPFALVSALSYWYLAYHSSSSSKTTAYAVAGALAIGIVPY TLLFIKGTNVKLLEKVGETKSLGKLEEVTEVGLGNQSAHALVDWWGMLNLGRGMLVVA SGVLGTWTALN LY89DRAFT_779402 MIPELFFLFLMLIGVLMSILVFGLITVMDAISGRIKDFLQQRNL VPTSVIAFWYPKSVIDLMKSLILLVWMSVLVGHQILCGCIPFPDIYFEDFLICVASSY LQPENIVKVFPPLVQVAWMGVRRVWGYWN LY89DRAFT_578724 MAPSTCCGKSGEGCVCATQAKCSCGEKSALHCTCGKAATENAIE GSRCSCRARPAGQCTCDRASTENSTPAGSLCSCGARPADACTCEKASDGGINPNEIDF TTAK LY89DRAFT_695272 MSSKQGLLTFTTLFILSGLLVTAYLATLVIYRVFFHPLAKYPGP FIAKVTDLYSVYHAWKADRHIDFQRCHQKYGNVFRYGPNRLSFNTQTALQTINSAKAN TRKADFYKSLITPTGPSILSAISDDVHARKKRVLSQCFSDRAMKSAEDYTLFHIRKFC EKLATSTEAVDMSKWTTYLTGDVLGELCFGSSFNMLTETKNRFLMDLINLTARFALVC GSTIPLQHTPIPKLFFSDLLAGRLEFRSYALAQATERTKIKDSERRDFYHYLMEAKNP DTNERFDEREIWSEAGNLIVAGTDTTSTTLASTFFYFTRNPDVLERAKKEVREVFKDC DIEDIRTGEKLSSCKYLRACLDESMRMSPPLPGILARVVLPGGIMINSHVIPAGVEVG VAPYALHHNEQYHKDSFVYNPERFLDKGIVEGWAPFSYGPRACIGKAMAYMEMMCTMA RVLKMFDLKGVGSLGERVDGMGGKAEEYRIEDFFVCHKFGPMVEFSKA LY89DRAFT_705508 MATLIPRAARSAFAEASAANILRLSRPSRSSIGLRGIATTPRKP ATPASTYVRKDVKPPLPAAKPAATTPASKSSSIDDQSPIKDMTQGLADEPLILDENEK QVDWTRSFHGLSAQPFSEKASEVLMAPIPFDDVEVKPDGIIYLPEIKYRRILNRAFGP GGWGLAPRGETIVTGKSITREYALVAHGRLVSVARGEQDYFSPEGIPTATEGCKSNAL MRCCKDLGVASELWDPRYIRTFMKEKAKQIWVEHVLTKKKKQIWIRNGDEPRYPFVLS KFGA LY89DRAFT_695255 MSKSESDSKVATAVEDDDEPDDWDKRIFSTGCSVENAKMTDCYF EKKDWRQCKDELETFRKCWKQHQNDERTDTKDA LY89DRAFT_451641 MASRKMNVLVYSGNGSTVESVRHCLYTLRRLLSPNYAVIPVTDT VILKEPWTASCALLVFPGGADMGYCRSLNGEGNRRIQQYVRRGGAYLGFCAGGYYGSS KCEFEVGNRVLEVIGPRELSFYPGTCRGGAFKGFVYHSEAGARAVEVKVEKEAFKTGI VPQTFRSYYNGGGVFVDAAKYKDKLIETLATYGEALDVESGDGGAAVVYCKVGEGSAI LTGPHPEFAAVNLDPNADGPEYPNRVKALAEDDESRVNFLKACLAKLGLTLSQEASSV PSLSRLHLSSASHFLIPELLASWEDIITIEDGEEYIKGENDTFHLEKQDSRWSLNNLV KALPLPGVLKGRDDETADQVDAGSEDRIVDYNAVTKRLIPHETEWPGAKETPCFNHHA FFANLRRYQEESRGEAEEYGKHLMYGEVVTSTNTILEKNTKLLSNVPAGFTFTATTQV AGRGRGSNVWVSPAGCLIFSVCMKHPMELGNTAPVVFIQYLAAIAIVEGIQSYDRGYQ NTPVKLKWPNDIYALDPTKPNKKEYVKIGGILVNSSYSHGNYDLVVGIGLNTTNAAPT TSLNALLPSHLPPFTLEKLLARILTKFEIIYKAFCRTGFDKKLEETYYKHWLHTDQIV TLEAEGGARARIKGITRNWGLLRAEELGWEDRETGKVWELQSDSNSFDFFKGLLKRKT LY89DRAFT_640433 MALDRLKSVAQHVTGTLPPPHPFDPLSNQEIESAVSVICEEKGK LFYNAVTLREPPKKEMLKWLESPSSLPRPSRIADIVAIAPGGKVFDGLVDLKAKKIIK WEQLDGVQPLITMEDLQIVEHVCRKDPKVIEQCVISGIPAEDMHKVYCDPWTIGYDHR FGSDVRLQQALMYYRPHVDDSQYSYPLDFCPIFDAGKQEIIHIDIPEVRRPVNKAKPN NYHAASIEAEGGYRTDIKPINITQPDGVSFKVEGRVIEWQNWSVHVGFNYKEGIVLNN ITYNDHGVVRPTFYRLSLAEMVVPYGNPEHPHQRKHAFDLGEYGGGYMTNSLSLGCDC KGAIHYMDAAFVNRAGESTTIKNAICIHEEDSGILFKHTDFRDDSVIVTRGRKLIVSH IFTAANYEYCVYWIFHQDGTVQLEIKLTGILNTYAMNPGEDTKGWGTEVYPGVNAHNH QHLFCLRIDPNIDGPDNTVFQVDATQGAGYVGSKENPYGNAFYAKRTKYSTVKEAISD YDGVTSRTWDMCNTNKLNPHSHKPVSYKLVSREVPKLLPKEGSLVWKRAGFARHAVHV TKYDDDQILPAGRHVPQTSGEPSRGIPEWIANGDASIDNTDVVLWHTFGITHFPAPED FPVMPAEPMTLLLRPRNFFARNPALDVPPSYCSTPSQIAAGKGVLDAADKMSKLVVTG GDAAVAEGACCKK LY89DRAFT_579510 MPSTVVAVLATAFGLQSSVQAAAIHHFSSCNATSNWPGWAGIKH AFIFGDSYTTTGFNASLTQPTRTNPLGNPTYPGYTAANGPNWVDFLTVKYNASTLLTY NLAYGGATINSALVAPYLPTVSSVAQQIENEWFPAYASKPSTAPWNAQDTLFAIFDGI NDVGNSWSKGLPATTTLNGAIFAVYRGLVDELYYAGARNFAFLNVPPVDRAPLALANS AADQATEKADIAAWNSALMEMAKSLKAKKPDVNVFTVDTNKYFTQVLDKPSSYPQTAG YKNTTAYCTAYENGTPQPDSFNLSCGIPVNQYFWLNSLHPTYPMQDVLAEAVAEQLGL GPNVC LY89DRAFT_705512 MKVDEQVGIAETKSSPPFYDEKDGVHSSSDAEVGHGDVEKVETT KRGLKARHAQMIALGGTIGTGLFVGSGGTLARGGPLFILLAYMLLSVLVLFVVTAITE VAAYLPVSGGTMSYYGHRNVSNSLGFAMGWLYFYSLGILVPYEITAAGLVIDYWDSPV NIAVWITIFIVVIVGLNILPVQFYGETEFWFASLKVFMMIGLLILSFILFWGGGPKQH GILGFHYWKDPGATNEWLRPGATGRFIAFVEVVVLSAFPFTFAPELLVVTGGEMKNPR RNLPKAAKRYFWRLVFFYIGSVLAIGVICPFNDARLTDGGVGAKSSAFVVGIADAGIS GLGSVINAIIITSAWSSGNSFLYMSSRSLYSLAVAGNAPKIFTKCTKKGVPYMAVIAA ALFSPLAYLNCASSGSVVFGWFVNLTNTSGFISWICCCIVYIRFRKAYQVQSLPLENI TYRSMLQPVGAWIAMVAFTILTLINGFDVFFPGRFNASSFLTAYVGIPIFLVIYFGHR FWHWSEPWVYKSEDVDLHSGIDVVLANEVPEEHATTWKKKLQGWFT LY89DRAFT_730700 MASKDPGAKTSSLRSTAVDPIATPTYPTGVLFTVLTTTTIRAPL SSVLELSLETSSWPTWNPFVPRANIISQPATSSSSSTKLEVGTKATFHSRMKRDGPPS SAYSDHEVVSIDRYEKEGAQGWSIVWKTIGIPGGDWILRTERVQEMIERKEEDGSTVV EYKTWGTFGGPMAYMLSFSGTKNDIVDRFEDWARGLKEHAEHKGHQ LY89DRAFT_451784 MDEAHKLKASQSISPKRKVRQKTFTGCWTCRSRKVKCDEGVPAC NTCIKRGDRCSGYEPIYIWVKGYQDYPPGRRRVLRSDLTWRGHRIHQPIEVDSLIAQC NVENHDEAEEIEKSAGPFTVFCAPNVIPLSLSEVPNASHGNFRLVKELCHHYFTYTAL NMMPFKDKRNPWRSFYPRMARQGSSRGQKSLYYAILAQAAGNLAHLGSRREEMLRLAT QFYSDGVKHLRTSLGDMDFSAVIASIVTLIMAEVYAGLTTSWKVHLNGAWNFLMTCSD EKPWAISEEAWITAQSLCLVKIRADTMQEIHLRSTKDDETMRSLLSLIAYRDDFGFTA GAGPRLMRCIHDTTSLESKLWFKEPLVEKHETTIKELHRRIQQCQPEDPETNVLAKLH HEIFKIGALIHFHRRILNFHPRALLPYVTLMLDAIEQYLTLNGGYIALWPVFIGAVEV FEESHKSRVRTWLSRADLMGAANRKDVRLVIEAVWRERSRLSCVDEKTEGETVVDWRE VIRDLGIEILLV LY89DRAFT_779411 MPSSENNSLYASAQKNLLVFGSSFLPDVIVKTSGLYLYTASGKK IMDWTSGQMSCLIGHGHPAVVKTITEHAANLDHLYSGMLSPPVIQLAERLANVLPDGL DKAMFLSTGGESNECAIKLAKMYTGKFEIVGLGASWHGSTSGARGAQYHAGRKGYGPI VRPGMHMLPSPDSYRSIFRNKDGSYDWETELDYGWSLIDKASAGSLAAVIMEPILSSG GMIVIPPGYMAAMKEHCRKRDMLLIVDEAQTGLGRCGDLFAIQYEGVVPDILTLSKTL GNGLPLSAVITSNEIAKVTEERNFMFYTTHANDPLPAAVGLTVLDTVIQEGLAQQAKT IGPQLHAGLHRLMERYGCIGDVRGRGLMAGVEIVSDRETKAAAIEFGKNLSARIMELG LSAIISARTTFSGCIRIAPPLTITNDELSEGLAIFEEALRTTQGSMPLY LY89DRAFT_666332 MNTKTQAGQDTEQLEALFRIYPEDLFTNAVDHEGNNGILLAAAE DAGLDTVKWLEQKGVSINQRNYYGRTALMEATLWGCLETVQFLIDRGASISAEDANRH RAANFAADSEWNEEERISRANNIVMVRPDANRKRRQILACLTRHETMGRSLLNRAEPS QIHQGYFGTVLPQITNSLRIASSNPRSLQSCGSVPAKNQSEYAMGISGLHTFEF LY89DRAFT_579036 MIDPSLSPIEGYPKLARHMGTYSECAIYRRFACLNSQNLLYFQA ELTHLEQKLRRLEAADLVSTGENASIYSKDWYWLNNSASEENSEQLETVRAIRGRLKE YNDVLIQQSIISRLADPNTLNLRSLQNWLERPDMGNLALIGKDRDTWGASDEPLSHNM DLLATKSGGDSDAFSTWVSQKLVYWLHNIFWHRLKRVDDAESGICSYEDKTLQKYTSN ITTIVASSLPILAIVVLNCVVAINARLGLMALFTVVFAACLSFFTNATRGEIFIATST FAAVEVVFISTNNGMSSAST LY89DRAFT_666334 MPNPFTIFIEKLSRAATKTWKQIKRVFKNKQPSDPFLRTVKIFS SHANGYVEFKMRLDTGCEIHNLITLQAVHKLHLFDNTTIHNESICTCLNGEQLMSMGT LILRWKGKRFRKIFTTIFHVINGDSLPWDVILGAEAIREYSILKFAGFGGARPILPKK SREKKAYLTTRKREHNEKAATNDAKVDADIKAKEEAARQTKSNTDGFSSGSPGVNRS LY89DRAFT_451973 MATKRKGEATKAPTEEEWDTHKHVLRELYFGSTLTKLMQCMEIQ HGFIASKNQYERKLKEWEFRKNLSSDEWKYIIHKKRKREDLGKESQIMMHGVAIPKKR IKKEEGRHTLSDLNRISTSHPNAPSPETPEGICVSTPPSAIREDFIRATHIKNLPYFI LRDLFTSQGGSNPFLIHQSKLPASIWSPERGCGQYPAS LY89DRAFT_563477 IDRASQVLTQGVPLGVPRSYRALADHGNKARGQQYLEPYEEDVV VKYLLQMSDLDQPIRIKFIPFIAFRVTYHRPITD LY89DRAFT_682388 MPPKRKRSSVATDTVSTSGFLNDTPILPPGAIQPPPPKRQASRR SKVDTNPDHNADIVDGKTALRASPDADEKGEALDINKIELTTPAKTNGVKRVAKKEES DSPLSDIEAPSPSSTPAKKPKKTPTKSSLAAKKGSDEIKAFKAEQAAKKAVEVKVKKE DDGDEWDKRVDPDGDDEAPVEDVDTMKKEAARPPPVNSDYLPLPWKGRLGYACLNTYL RFSNPPVFTSRTCRIASILEHRHPLKDPSQPEHATKNRPDKTQPASVLRGQRYVEELC LANVRDLPKMLRWNHKYGIKFMRLSSEMFPFASHAEYGYKLAPFASAALAEVGKVVAE LGHRVSTHPGQFTQLGSPRKEVIVNAIRDLEYHDEMLSLLKLPEQSDRDAVMILHMGG TFGDKAATLDRFRENYRDLSPSIKRRLVLENDDVSWSVHDLLPVCEELNIPLCLDFHH HNIIFDSTQLREGTLDIMSLYPRIKATWERKNITQKMHYSEGCPEAITGRQRRKHNPR PITLPPCPNDMDLMIEAKDKEQAVFELMRTLKLPGWDTFNNIIPYERDDDNKPLPKKV KKKKTKKQIAAEIDEFGKELSEEEEAAKETIPDEEVGMGGKENRVYWPVSMEEWLRPK RREVKKKGGEDEAEMFKNPTPANFEARKKITAKNKAPLDDEVKDKLEEANNLADVKAI IDEMKARRAAEEEGEDDGEKSAKKTKSTPAKEKKAPGSVKKATPAKKKNAKNVPTPSL SNVDEEEDDEDLSMVDLSDGEEDELDRKIMAAAPTSVSGRSSVRARGKKMSYAEPDVG SDEE LY89DRAFT_730707 MLQNTTLDARSGWVSSGDGRSTSDILWSCFSVFLVCTWSCLHLN VPSIEESEAGWYDWHGIPYWPELPLLEKWIRKILWMGAIVLAPEVGVAMAMRDFLSAR ESFAKAAVQNADETGEDERAKMTKSHAFLANMGADAGENMERPASNTNFDIPAPTLVE GILMNYDALAYIREICQDCHIPDEEDIKALSKRDPFTKLFAIVQSTWLVVQCIARASV GLPITQLELATIAFVFCGLVMYGLWWNKPYGVERRELVVGTTNVNPSCMHGSVVLLPN QIYHYPYNQVSHQDFRTTRTEQPPFFAYIDLSTNDLSLKMAAAALAYLRGSKPKSLGT EIGSQIAFYLAGTLFSALHILAWNWEFPNTDIKIAWRVFAVTATTAYLGGGLFLFVTL FQELGFLF LY89DRAFT_452029 MYQTSNVYFICSFAAIGGGLFGFDISSMSGVLGTMAYKRYFGNP VSYRQGGITCAMPAGSLVGALSSSYIADRFSRRTSIQIASVFWVIGSIIQCASVDIGM LCFGRVVAGLCVGIASSICPVYQSEIAPKEIRGRVVSLQQWAITWGILIQYFIQYGAS WVGGGPNDPNQPTSAFRIPWGVQIVPAVLLFFGMFFLPLSPRWLASKDRWEEAIQVMA RLHGNGDINHPKVLAEYQEIEEALRFEREEAISSYKQLVEPRMLKRVLLGMSIQMWSQ LCGMNVMMYYVVYIMEGANIASPLLTASIQYILNVTLTLPAIIYLDRFGRRPAMLIGS FFMMTFLFIVGALQASYGQANVGPLKNSTNSDISWIVNDNKPISKAIVAMTYLFVCTF ATTWGPTSWTYPAEIFPNKIRAKAVSLATASNWFWNCVLAFAVPPLLWNINWKMYMIF ATFNGAAFIHMFLTAPETKGKTLEEMDDVFDSGLPAWRSVPKGSRLDQLQKDIEAGNV KIAAPIGGVVPPPRESEKTAVAKESGLV LY89DRAFT_705516 MSALRFVRSVLKSFQAESGLEPRLFGENLRVTAAEPGRVDFELD IKKEHTNRLNIIHGGTIASMVDLGGSLAVASRGLYATGVSTDLNVTYLNSGGQVGDVL RAVVKCDKFGKTLAYTSIQFTNSKGEIAARGSHTKYVALAWKHPENIVEELSPHPKK LY89DRAFT_452072 MSVSTSASFEYLERLPGVTFRRLYQQPSTALAIFRRMLPHLAKT FVMALLYMTKPLPLTDLDSWVHPNSKRERDQALSLLSRLHIVTITAPSRDDPQTVSLT KNFGSSLRLALTGGGEHQSFGVPSHDVLANLDTSYLDDYARDQWEGILHYVVASVAEN RGLGSQGPTASVKKLLEAGKLVTTGRHSGGGITQAGFSFLLQEVNAQVWTMLLLWIEN AEHMDFDSVEVLSFLFMLGSLELGRAYSTSTLSESQRRMLTYLVDFGLVYVPPSASTQ FYPTRLATTLTSDATALRSVSAGFEAALTAASGSKGFIIIETNYRLYAYTNSPLQIAV LALFTKLGTRYPNMVSGRITRESVARAISHGITSDQIISYLGTHAHPQLVKTSSANGN PVLPPTVVDQIRLWQIENERMKATPGFLFRDFENLKEYEACVRYADEIGVLTWKSDAK RMFFVTRHEQLRDYIKSRKTK LY89DRAFT_730711 MSRDYGYGRGRGGERWDSERFAVERDRARFEERDSRFTRTEDFG RARERSVDEIYERRGPRGFEEDRYERRDYYEDDRDYNRFGRDRNLSVTIEKERERDVY EAEPPRRSNRPAFLRRQSSLDTFDRKPLTRFVEREEYGLPARYRPEVRPPPLTPIPLP RTRALPPPRRFAERENYDEIEIAEPDFYGDERFRGYPERVREREIIRKKKRSRSRESR ASHSVRGSVRSSSVSSSSSGGETTTTAVSVRSEFPKKGKTRMPARLVSKRAIIDLGYP FEEEGETIIIMKALGRENIDEVIKLSEDYKASETLSEPSTNLTTYSYNSEVEMHGGRS EAGTVIEERHEVFTIPPPPAAHHAPPAPPVQIVEDTKIIEHRAPSPHHAHHAGPIIMD ARPHEESTFVERREVYERSDPMPVGPLVLAAPDRRKDERAIRAEIKALEAEKEALRAE KRAEKEMRKADRIRREGRASEGDLVLYERDRFETANEEITLVRRERIEEPEGGVRIEK DKKGRMAISVPKYYR LY89DRAFT_452500 MQFQTPLRRLQFQPLAARLLTQLPSSLAIARNALAEFDIVWWFL SFGLPTISYTAYGNWGTCYNIPGLFGVETGSIKPESGSPGCWVYPKTNCVGNYTVIGP KGDDIWDGSSGSFICDPPASK LY89DRAFT_682396 MFFATFANLLSSVPTFLFPVFASYKALKTNDPALLQPWLMYWVV LAIALFVESWTGFILTWIPFYAWIRLGFLLYLILPQTQGAKVLYQEHVHPFLLTNELA IDDFISSAHDRAKSAGITYLKQAIELLKTHILGLPPKEPTPPPTPTPYSYTQSLIARF NIPSARPTFPTGSGSTANDFYSLLASAVSAATTNTNIRAAAPQDLSNSGTLIPPSVRG EERLSFISAQRERLSILLSALDKEASTLSTPSSRSVQNMFLDGSSGSEEHLEEERPSG LSSRKSEPDFEKIDAESGAEEVGGQERRAAKRSVSSGGGSWMPWSWGAKGEDTVMSGT EKVVEEEGADVGRSSGVDV LY89DRAFT_452505 MCIVLVTTAHPSYSLIVIDNRDEFIIRPTSRPHWWISHHQQILS SRDLQRAEQGTWTGITKTGNFAVLTNYRETNTHDADHPIQGTRSRGGMVTAWLTAPND ENTHAFVHRLLEEGEGVAGVGGFSLLCGKLRRRRDTKHELEPLAIISNRCDSSEDVPW IAEKRGEVYGLSNTSYSDPDVWPKVQMGKEKVLEVVEHAVDKGLEEEELIEKLFDVLD SDTLPEQDGQDFEAYIMELKKSIFIPAIGQAAEPPLPADSIAAADPHLAKDCEAELQE KERPEPSPAMTGIYGTQRQTIILVDWEGNVTFRERSLWDEKGHPILRGEGDMDFRFKI EGWNGEKNG LY89DRAFT_666343 MAPSDRKMNVINMTTANHEKWTDILEAVDTGKPVTFAMSEPYKV TGAQSNSYWSGRFMALDSKIRNEAFDQKIVDYNSEKLDRAIAKGDEEIDEGEVEALNQ RWHKSRLNKVVDGYTKTVEMRMTDEEFDRTVKVFKQLGKECGDKAAETSLSDWQELYA RTKDTRELLPVGGFMTDGERRAWETKTRMELRAQREWNQARHLAETAENEEATAAKAA QKKGPLARVSDFFKKSG LY89DRAFT_771671 MHYIRILKPPRLTTDRKSVLAKITITTDLGDSFLASDLSVLASV SSGPAIPFQWSGRSGMRGLEVCVPVSGKGKGKGKGKGGKERMYVRAKEGGVAVEEFKD VLKGEAGVVGVWSAEIDVSSPEPVERVVERRFGDVSIWEETGESIARHIWDAGLVLSA LISQKAERLPDVLKKPNLKILELGAGCGIVGITMATSLPNIEKIILTDLPEAQEILAR NIPSSQAKLSHQILDWAAPLPENVEREKWDLVVVADCTYNIDVVPDLVATLDRVREGS KGVEVLLAMKVRHESEMGFFGLMEKSGWAVRERWVVPLGMLGEGGEGQEIEIFVFRGE GG LY89DRAFT_569562 AHYPYSATPSPAGSPSGGAHGYYRPGTARPGPSPRHARHTSTGD AFGYSPRGAFSPRYNSSGYYATANVSRKQSSYAAPRHERRNSYSYRASYGDSDEDEYE YVDYLVDGVIYREYSRRPTKSSHKYRGHGTDKYYYSQAQGAYYDREESPYDDPLHRRR RQSSSTPQRPHTARPTAAPRKPNPIAVATEADAKKHRIPPGYSLKNWDPSEEPIMLLG SVFDANSLGKWIYDWTVYHHGPATPIADMAGELWLLLIQLAGKVKRAEECMDRIRTKE NREMVDDFIESGERLTDKLKKLLKACETPMLRAGKKSSRDSTSQLGKNAGTEFVDSIF GRDRELEATEKFMSSIRLWNLRFDANCEDILRRP LY89DRAFT_682401 MCRSESCSLLLISYLCWCWCWDENAMTVLHRARTGYATTKKRGP GGQLTAEIIAMPLDFTTIRPS LY89DRAFT_452679 MGGGPVGGGRRGCGSKKGQPRMKEEVRKGSGVLVARGRKQSLEI RPSQAKEYSSAVSRRDGDGRRKPVEATYETRRWRGEGGGRGTRTPGEKRPWLGLALAP GPGCEERFGGAVCFRVQAPCRSVFLACVRRRQQMMIPVSHQLCPSIFPVWAVQYCTVV SLPHPIHLTNHFSGTVEHRCANPP LY89DRAFT_771691 MPQQWTLPDTSNRPLAVLGAGVLGRRIAASWLAAGYHVNLRDPA ESQRNAAAVFIQTNLSDFEELTGGNRKPGTLNVFSDLGPAVQDAWFVLEAVPEKLEMK IDVMGQLDNLVKPDCIIGSNSSSFKSSKMLEKVKPENRARICNVHYQMPPKANTVEIM TDGQTHPDIIQFMYERLLNAGMLPAIARKESTGLILNRLWAAIKREVLMMLAEGVSDP KEIDALFVRMFGNNSSGPCGMMDAVGLDTVAFIEDNYIEERHLDSALTVDWLRKNFVD QGKLGAKSGKGGLYPPGGTTKSSATESGHHDNLAAPMLYFLDLGVGANFEPSKAFTGG KIMTASSDGSNMKTLIDGVTSPDGIDVSISAGRIFWTSMGIPSKPDGSVLSAKLDGSD IKTIIPKGAVNTAKQLVIDHDNSTIYFYQTKWCVGITVDSKHGKIYWSQKGASKAGQG RIFRAGINIPSGETPSKRSDVEFLFDHLPEPIDLEIDALSQTLYWTDRGEYPLGNTLS KAIVGPGEDKKVITLARHFHEAIGMKIDFVNHHIYVADLGGALYRFNEDGSDKRRIID LDNCFTGIALAYLH LY89DRAFT_730720 MAATIKTVGVVGTGVIGSSWVGLFLAKGLHVLVSDPGDGAEKEL SNHLENIWPLLERIGLSPGASLSNYTFVGSSLAGHFEKLDFVQENVPERLNIKSQVIA EIDQGTRENVVIASSSSGIPSSQFIKDCKKNPSRILIGHPFNPPHIMPLVEVVPHPGT NEDSVQIALEFSRDMGKKPIVERHETPGFVVNRLQAAINNEMFSLVQRGVVTAKEIDI CMMNSLGPSWSFIGPFMKDVLGGGPAGFEHLMKHVGLGMQGWLKDMTANSYEYTDENP KILDRSVQDMLGGVDLEKMESERNDLMIDLFKAKASASSLI LY89DRAFT_682404 MASRQSRSGRQGPACRACRLRRLACDKARPSCARCRETSRTCSY DPDRRQTRTEYTFVDETPQSISGHAEAGPSSRVRTSSSRGSTEPTVELSSSRLAEIES RLEALTAAVRSTRSDEVPISGLSGLSAHQSMPSIEPLNETQSVDAVVSSMGRLSFLGN GRARFVTGSFWANICDEATAIDEMLREQTRYTGAAKLDDKHLYTSLGDNESGNSDFVQ TAPASLHDMYPYGDILSAIDDWHSGPPSSRYQRAMPDIIAELPPKSLCDRLFESFVLF CHPVAPLVHVPTTRTDYELFWEASTGSKSARTALCTPLITAILFAGANACEESVITSI SGRRKGEVIADLHLLTAKTLRYANFPRTPTIESFIAYITIQNTCMREEQPLTCCSYAG TAVRVAQMLGCNRDPSSHPLLTPIVAEVRRRVVWQVFYLDTLVALAAGLPPLIDTESW SIRLVSELKDEFIGTAEGAAYEELVRTGERALDQAIENSFVPASGIFVAGKYHQAVVT RAVMTKLNGQQPLTDKVLVDIQNELEALNTNISSRRERLEHSHSQVPQDPSDIFAKWA GLLLSGLADRNWSYLYYPLSHVSDGSKWQETLDQVLQHSRSYVEKMVALTCLKEFGPL QWSWPGIHQPLHAVMVLVRHVNRFPHSPHCEANRQAVDEALALCGPDGGMTGVHEGRV NRRPLREGGEAAWDLIRRLRAQAWLKAGVDPDVLLTRDETAERMKMRLADQDITGQWA AEPQFDFQNQLGLLTEEELLGAIDPSIPLDADMDWAQWE LY89DRAFT_730722 MADQAADKAADKISDQITQEFKDQIPEVPSKDSSKEKILKYASD RLKAAEDAEAKAAAARERAEACEDPEEKKKILAEAEKEERKSKAEMKAVNRIQSGVWQ GAGMGGGMGAGLGMGIGAGVGTLLGGVVSIPTTGLGILAGAGTGAIHGPWFKLPGAEG MKENRGDEEGGEGKVEGGGEG LY89DRAFT_611047 MGDAPTPEREYILIALPFPLDEKMEGILSEIKKKHSQVKIDYRF ITFFQGWRMGDSSIPEEIWGKATILVTFQILPPNLDIIKNLKLIHLGSAGANHLAESP IWKDTDIPITNSSGVHGPQIAEWVIMQILTNSHKEKLMVEWQKQHKWGPHTEVGFVRD SVGQRLGVLGYGAIGRQTARICKAMGMDVIAYTASPRKTPESKKDSGYIVPGTGDEDG LIPSEWYSGLDKPSLHNFLKQDIDVLLISVPLTEQTKHMLGAEEFAILGKTNAFIVNI ARGAVLVQDDLIKALKKTPEEGGLRGAALDVTDPEPLPEDNELWDLKNVAVTPHVSGL GTMYANRTFEILERNLTHIEKGEKLINEVDKKKGY LY89DRAFT_452766 MVVKEYVTYNQVHKLCQEAAPRILEEFRPQLMIAIGGGGYVPAR ILRSFLKQPGSPNIPIQAIGLSLYEALPSNHPSGANTPGDIEQLGTKVTRTQWLDLTS LGEMENLVGKRILIVDEVDDTRTTLEYAVKELEKDVEIARQKLGGKGEKTVFSVFVLH NKDKSKKGSLPDDMLKDNRYLAARTVGDVWICYPWEATDIDEHDAMAEKQPSK LY89DRAFT_682407 MAQSPPDLKIPSSSTIVQVRIIDTTSHIEGISIAGFIEPHIKGF DTLSCPAFSFLIEHPSGRKVLFDLGVRKDHENYAKNIVNRIKDGGWKVIVKQGVKEQL EANGVDGKSIEAIIWSHWHWDHVGDPSTFEKDTALIVGPGFKKSFTPGYPQNQNSPIL ESDYEGRELREIAFDQNLKIGRFNAFDYFGDGSFYILDSPGHAIGHICGLARMSSSPN SFIFMGGDACHHGGEFRPTQYLPIPSSISPNPFDIKSSKPCPGSLFDDLYRDGDRTKP FYAIARLPDGKGVAHDVDEAEETISKVMEADAHDEIFVAMAHDESLLPVVDFFPKYAN DFKSKGWVQDTRWLFLKDFAKAVGH LY89DRAFT_779431 MAVTNAKNRYKDQYCVASTTSTTTTVTATSTTTTTTTTTTTSDS SASTSTSASTITVPTSGFISLDCPALDATTLGITLQSTSLFTVTCGQDFSGPNHDIDI LALVVYSLEDCAMACASYNLNWKSTICKAVRFNADLGQVGINYGTCWLKNGTGTIESS NSDTSAGMILQT LY89DRAFT_779432 MADSPAKRRKTSPTTSVAIDAPATPSRIPVPIASQSAAKTSARR PSFASPTKASLARHNPQLLNRPSSSGAGSVRPSSRGRNLQDVFAKALGETAPPVGQSV ISGADREGSKSMSTTEENEPEDLQTQQPRASTPKARSTKPVGGGLSTKPRRPSRSPVK RPNKTPTVFPEVDPTKGLPEDFNPFQKKGLRRSPVPGQAELLPQQEVQQSQQENINPF RKTGLRRSPVSSQPVENVEPPQVEAPAYRSTTKTAPTMPTPSTHRAQQAEIPIEAPAY VATTPTEPAPPLSSIADIVHEASLPQQDEPPSDGIVLPEELLGSLEPPRSPRGQGMTA LFPVSRPERPEPELPPTPTQRGIPDPIVTTPPTGIHDTPSKRARRSKALSAKLKSSPL KPRDPPPQVSVKEKQAEPQEEQKEQKKAQEEPVKRRKSARFLIPEDPHAAKKKARDDL LNELQQLRADVALANQENERLRRQAESRKKKAATADPDELIAMLTRATALERPVKPKP KPSSIFKSISTFLPFNPKTRAAPLPISEKPPPSHLPIALDDPLPYLQAFSGLNYTSTI TLLPSDLTSSDTASQELEQSLMQKHIITASHPSSLFTTRFAMTVDTSALSISSVDILR LDMNAEKELGTFIRGRARDEGPLGRDITVICWAMSRWVEVSIRRAQFWCAVEQELGTP DARIKSLQRKRKRKRLGSVIDNDEDSVEGVEDEHEKSKWTRKQLLPHFGRSSMELSNE DVEVRFEWKINFDWTGEVDSSIAAAARVPKIWQHADERSSLSKVPETFEKLKKEKGPL GAVRAMVGLLMPPL LY89DRAFT_682409 METRFLLRACQRSRISSLRHISLVRNASSSTKSSTQQANPIGDY YASILDSSTPTITSKPPTTATPSTAPPISTKSDDEDSGPSILFSSRLSSPLERRSEIK RKSVLVAGVWVPPRPTEPDNCCMSGCVNCVWDRYRDELEEWAAARQEADVALRKEKGL EKGRKRRKSGDGISGTGLMLGEGEKGASHTLVSMDDDGGGSETNWGTGLETDFTSEDL FKGVPVGIREFMKQEKRLKEKHAREGTTG LY89DRAFT_695279 MTRAQQTVSIGLLFASTQLYLALFLQLIPLPSIISEEIIPVLPL WALVSFGSYLLAKLGYGVLTFNDVPEAHAELMKEIDLAREDLRTKGVEVD LY89DRAFT_666356 MAIKHNQQIPHNHFRKDWQRRVRVHFDQPGRKLRRRNARLSKAA AVAPRPVDKLRPIVRCPTIKYNRRVRAGRGFTLTELKEAGIPRKLAPTIGISVDPRRQ NLSTESLAANVERLKAYQARLILFPRKLGQHKKGDASKEDVSAVKETVSRVKHGLPIV NAEAGFTEISKNDLPEAIEGGAYRKLREARSEKRYLGAREKRAKEKADEAAAAKK LY89DRAFT_682410 MNHNESLLFLATLLYNGGLVVALLHNLPQITSNYQSIKSLQAQG PETYCQIQSINHSKDIQDTQQSSTNSTLSKTTRDGSQHAKQQ LY89DRAFT_452863 MIIKLQVWTVSIIRSGRPTSLPILNVVLPAQNITAIFSPVKSST TSDSDTSYMIRHFRKSQACVSSRPAHRWNNLIRYLRKTFQKLTHGSLFYHTCIGSGPV IRRLPARYPSSLSPKLDLLPNPEHNPLAIYLDLLACRSGFSRMRFRACKSITF LY89DRAFT_682412 MAVLSRLLAGLLATVAVNATPFTLQNRGTIASDEIVGFAQTVPS GTVGDVYLAYQPYLYVVNGCVPFPAVDAEGDTNAGLATTGASNGDCSSSTGQIYVRGT TYDDYYALMYSWYMPKDEPSDGLGHRHDWEGVIIWLTSSTATTAANIVGVCPSAHGNW DCSTDGFTLSGTAALIDYESIWPLDHSCGLTTTVGGTQPLIAWESLPTVAQTALDDTD FGSAIVPFIDAHFTTNLAAATF LY89DRAFT_730732 MPDPYMDTGQPAGSGPDPQAIDPLDILIRSALTQGTKSDEPNSN SLNITLSIEEQSQKMNSIADIFQLEEDEVNEDQEMLDEGEEDAEPVIDLEDQRRRTER LRGAMSALAQLWWADSDQMDIAVEKLADGSREPKWRIPIGESGILNFVLELFGTQTLK NALKIHILRVIGNSCADTDENRARVVASNYFPSIILQLQDTSLLPFAVPVLYNICIDY EPAQTLASECFLTKELIDLISSPRFHDAKAFLGYICKIIDLMVTQPSELELAPAETPR ILLKVAADRETPVDMDDFISLTNTAISYLQHERFQKDLIEQGALDATLAVLVDSYTRF DSHPSIGVASGDQDDAKALSNMRSNFNQVLSDISALPEFQQAVPVVSPFTASLRRWLL SPQLQLQVCACIILGNLARSDEACIQFVHTSGVHIPLVTILKDATDSQLLHAAIGFLK NLAMPPKNKEIIGSTGIFKILPRLWALDTMQQIQFSAISLARQLTIGTFMNVRELAKR LNEDEDSPAHYRTNISLLIALFDRTDVEPIKMEISRLITSVCRVTNSTKARTPEQLVV IRHKFFTMHPDIGRPLGFMVSQTKWPVVRSEGWFVFALMARYPEGAQCISDLMHDVAV FAPLVEMLTGKRLVDYQPTSPRSETTSPASSSNQPSPFNFESKSPESVQPDARAEEMA RIDRENALVLINELLKNRGSDMALMRRTLFEDLLKGGSEIVSSYKESKPNWSTDVKPK EKRIRADLNMTQVAEQSSMELS LY89DRAFT_666359 MPGMKLIEAIKPCSRLRSIELKARDFSFPLFNQQHTTPRPRTRP RPRRQSQPRNQTITMFATTLAFRGKKEADEEEERRKREANEKRIRETVFADPSWELTR EELREAGETAKALEEDEDEDEEMGEGEEMGKGEEIGEGGRKKKTEKQMKREMMKARRE KRKTESKARKNSKK LY89DRAFT_453064 MTRLTHNRIFPLASFTDYYLVKEIRTNAAIPSHPIPPSLTIDIK AQCLNASATFPSSNVRLHPPSTHHLCLPSPFTQTTTTLPPSSIHIKIKFTTTQKHARK EHVHNLQRIHFIVIYLPIRLRFQAKLSKEPCRQGYLHTYKPSILPLFHFPLCIHAYHT EQSKANYHPSIQQAGREDAPFCQMKSWRQNFKASPHDADPVRQNHVFHTATR LY89DRAFT_682414 MASQSSVEEVATPIENPVTPQADDIDLPSKVDLNHPASLTTSML VAGSSSAMRPSSITPPPSSQAPPRVAPRSITPDASAAGAMSSPPPTVNGHKREVASAG PNVEELAQYTKQELIEMVEKAKAENHKLDAEASEARMSAAHYKLQYRLLTIESEEALK RMEVEHDITRREVEVLQGTGRESTNIEYTQKLKAYCKSLEDDLYAAHRRIEKAKRLIE SKEDQIVDAKEEIGRLHERIRQNREHINVLRSPGGPLHVGTPKAPATPHQYQRGTPRY TPSSNRQVRYPASQDNQERFNALLLAGSVLSQENNSAPSTPTIARRPDPRTPNKHNRG VQSLSSFPTTPGSGRPITANSTLLPAPEFSQNTERINVLAHNALQHMNQTEHRRRKSR DSTISASDHEEIVRATNASYREESDEEVQESQASQSATEMLRADPRESFEVAASRTHT PVPGDKAKVNLQSKLFAPISKGGAEKRKRDEEYGPVKKARSDGEAIGLGIGFESGRG LY89DRAFT_611078 MDQSTSSPKPSGIPRPSKVSRLPVPKAGSLRTAPSRENLKSSTR QSINNPPLRSSASRDQLSATPAKPRISSTSTRSREPIIPRDRDVSTGTVIYNPTPQTV RRKPSGDALFRKPSRPTLREVKPEQREEEEEADADENIGTVIEEEGQHDLPRRPRPSL SERTMETLQNIPSSPAVRNRRSSFFNSDSPMRPPSRSVSRPTSSAGSGSGSGSVSSFP IDFRASTNTFRPPQSSISAHTPMKRPSTAKPYKTPSTTRSTVPPADTQPKVVSNFSSS LRQRSPSPVKTVAPLPSIKSGSKTFSARSVKPRASLNGLFRKPSVPSLNQAGELDRIG FEKKAATFSNSSSEATSSASRSSKANSSLTTVSSEDAPTPRKSSLALRDQIAKAKAAK RAAAAKQVAAGGSTEEEVPVIPTGTFDFGLSDDPFNQQKLDDNFKGLLRKRIDAARTD GRLNIAGMGFKEIPEEVMIMYNLEAIGKGGSAWAESVDLTRFVAADNELELLSDDVFP DMDPRESTDDEEAKGNQFGGLETLDLHGNVLIALPRGLRRLELLTTLNISNNKLGNDC FEVVSQIPSLRDLKIAGNGLTGPLDPSVTNLKNLESLDLQRNALISLPEGLAELVRLR VLNITENSFTSLPFETLRHLPLTELYAAKNKLTGTLVYSEVDELSLLQILDVTSNLLT SLDNAGHLRLPALHQLNCSINRLTSLPDMSSWISLLTLAAEDNNIAAIPDGFTTLPKI RNVDFTGNNLRVLDDRIGGMASLDIFRISGNPLREKKFSGMTTEDLKRALKARMAPEE VETIDKETDGDGTFYSAPVSPISPRPSSSDWPVKPGGVLDRSGTQSHSLNPVAAADVA ANNAIKVLELHHNMFKEIPSSIAFFTTTLTTLSVAHNELTSDTFLKDDLELPALKELN LSSNTFNSLQPLIQHLKASQLERLDISFNRLTSLPTLKPHFPNLTTLLASNNTIRELS PESIKGLRTVDCASNEINSLNARIGLLGGAGGLERLDVSGNRFRVPKYTILEKGTEAT LAWLRDKIPAGEASPPTTDVD LY89DRAFT_716060 MASIAESSSSGPSSSSSSKPRISAKSTADPILRNALRYTISAKE YETLHKYIISRSKVLRRNAPTVAKVEKLVKKDGAGGGGGGDDYNAAAIRASLRVFLAS SAALKVWELVGERWLGRVRGKKVPFYKNANLRLSISSSSILLLHRLLFRFFTRLRAHL LTPEARPFRQRNKRTSKTLTSSLAPAVGASMAGFMLAVYPADQLRVTIAIYALSRAAE FAYNLAEEEGWIWNKVERPWWWGSWLLFPITSGQLLHAFVFDRDCFPKGYGDFILKYS QQYIAQKPEDYPASLPWPDQYEIVDNLAEMARLNYPPFTSPILFPNTQTLPASLSSIN PITAPAHPLLKSLTCATLHPSDPSCTRTYLSSYLTSFPPLARLFTIIFTILSLPSHAH FYSSPLTSLNNLASTILRYSLFVSGSISTSWAAICFFQAFLPRHVLSTQRFFLGGFLG GIWGFVVRKLARGEFLYAIRASLDSLWKVGRKRGWWKGVRGGDVWIFVVCLGVINSVY ERDGRGRAIQSRVVRKGVSFLRGDGEEERRVREEKRVEGEKGGVLIDGKE LY89DRAFT_779441 MAPQSSPAKGLQQNRPRPTVPRPIIPAIPLPYVQKRKQQEAARA KAREEAMAAAVVDAPTSPTNEVVDPTPQVTSEPDLKEPETVETPVEQAVATTPDTAGI PAAPAVEEIEVVEQNAAIEPEVETHEEVEAHPQETPKTAPSETQSNASRSTYHMPPAF VPASQTQFDGGGDPAKYPLQHTFNGQQNPMHHAHPSTGSIMFAGYPDSNASSPVPPGS GGFMPPPSFPVQQLAQGPSRHAPHQSNGGHSQPLSNGYSPMGPPPPPGYYQGQNGFMN HGLPADSYARRQLISFGPPEGYSPSGTPLPFDGGARHNNFDQHTQSFHGSQSSATNEQ ENGPAFYSQYPTAVISNGSNGHVDEVRLYQQPRPKPRTGSQPVAAPNGFANMPPLPMG DHLDGLIPYLQAQFADPSFADYTLELRYSDDRAPPVRVPGHNLMFARSPTLKLLMTAQ ARDSNSDGLTVRTLLVESDDRFLRSDGFWMAVQRLYGCPLLEYGHITTLNNHQASFPG PMPGAPQDRFDLALGYAAAGSLLQIPPVIARGVEVAAHVINWVTVEKALDFAIDGGLD AQWTGNTQVDQSHSTSTYGPAVNILIHNALNFIITAFPAGFEPDTSAPEPVRNRRLPF IQEARGTGQNPRLSLIKFGDHPSEESTRSNSASSIAFTLSKILLNLPFPLLKYVLESS RLGNVQGWANTNLRTKVMHKVIEEREKRRLKVLSSHVPNADRKANFKEWESVGWMEMV VPLDGNDSTPTIVKEWVNFTQPEN LY89DRAFT_682416 MYYLNPCMYVVNSLLTFEMFDIKVVCVEAEYAVFDTPGNQSCAH LSRYMSSEGSRTFLKT LY89DRAFT_640508 MQDIEHSLIGEVGAGLFIEHQKRLTIGVELVSKPKTLIFLDDPT SGLGGQAFQHSQVPAKAIRRRTGHSYDYPSTLSFIICPI LY89DRAFT_716062 MSTMAQPTQPSVISFVLPRRISSQHYEDMRPQITEMVSKGMKAD NIANVLGLNPKTTSDKVKNWGLRVRGSGRRHGKLIRRGLNDMLPYFPSQPTNGNKSAE PLSHYPAPDSMQEPASGFAPYEDGHTGMEVGFAPPASGAAPYGGDGNTKTGTSFPSAP SLPFGNGNPRWVRSEVIRSILRSNSSDQEKLAAMERCVGQEPYDQSKSFAPDVVFAQS DQTGPAPETIPTYFF LY89DRAFT_547074 MNRFRTKKNKKENVDGIARASTDSDGPALPSIKSSMTFRRGKKS HEEPAKPELDLASALPSSDDFRTSLLMSGLSARFSMLREQDDPKSKIGKASDDSVLFP KRQSRMNDFGFQAHGLSDIAEVSSINGSIRPPFAFSRTDSYNTTEGYGTDDDSQHSGS IMNRAKPGESNNLFGGRQKISMGNRTLYDNDVSQSAFQKLREKERQQKEEAERREQEE NEAASSRPSSPLFSGYNRNRETSSTTSSGGPSNTRTSTAATSFTSQRTPSLSGAHTPI SPNIPTSGNIGLERSVTKTRRLYETGLDNHLHEQQFSAMNRIDTLTRQRTLGGHTPPS GPSPTFAAHPADRWGDRVQIASKGSMPNLRTASPATGPGMGTFDFGVRQQTIAETKPF GMTSPPLSPPMSEHGEQGVLTVQPNDRGKATALGAFSKPAQPYDETKYSQRQLQMQQG RETPPLRKHSPPAAFIPKQQQMTGRNRAESNATFASERSRSNSSAQRHFLPPDRIPEA SKQVTVPEDEAIASGTFFSSGDDSSVTSPSEPEPIKSKLQPKPLDLSHLYHPDQQISL ERPPESQHPAHRQRPFEEESRGKVPALPSSTLQPVKPVTPQEPADSPTLGPTSGLSGM VRQHLRTDSNTSSIYGGVPSVNFGSRFPLDPTDPMPQNDYGTKNNPWDDNDWEHTYYG ESNADQDGAANFLNGNSFVPPPLSVRSPNLDNAGKSPIKPDWEKELEVHHTRDGSTET QKEQQDFKNELASRRRQITENLKSFHETESRSASPMPPVDLPKDPPMNAVLAKTNALG LLKKTSRGSLVVRQKEPGQSKAMKMLGIGNATMTSSPSPGKSTFGEAWKQEEEEMLRG VPTTPPTTKAFRQARRDAQRERERQVAMKHQQQRMAQDFQESEWPNPRVDNQPRYQGQ NNDRAPPNIRTRHRTPSRERKPPPVAYAHRNGTTSSRESKDSTGTSRSDSRPQSRSSR ERSGSDTSGGRSKSRNGRYKDDLARAMAEGTSSSAQGAYDELEPPPNRLLPRSPGTPN MMFQQSPIPSPMMGPDPRIRSNSRTGYFDPVQKTLTVQTGGDIMDIGKAPRPSPTAPF VVNPTPALIQPSPSGSGTSTPTSGVAQGISARKRSINKADISEPRFISSTSRVDTVNL PPGSSLKNGLEIHAPPIPPVNPRRRQTRGMFGLGRKDDYEEQYSIPAATQSSEEMSTF SADEDSKPKNKVGKLRKSSSEGGNLNARAREVARAAPSPAMPGSFPSGS LY89DRAFT_682420 MNSALLDLCIPNLSPLLTSRELKNSHHRQCSVPSVCLRQTSQVP PYRTPNTGSTLFCPGVQLLRRESRIYLLALLLYGNVYSPTIISFCIVSMHGNNGPPNF FNSLQSPVHRSRMSSPDPLSGRSAMNSTMPIRSLKDAEGLLEVGTLFAGAAPTSHAVR LRVSRLAASSRYRDTALAHE LY89DRAFT_682424 MLWKMPVLALSTMSFCLLCFGEEFLREEEGDLGSRDAVFELQAF NKRQQNIHIPSSRQVRLWRPSLAQITERRTLTAARTSSQGPGAPTFSELEDKRTCHPI LDAEQTAPSCHSAERHLCSRRAVRERRFSNRSAEFGRLLLAACSGTMNMSSDQLEILD LLLKKKKAAANVKMLRTDKTLLYVMVALGLLHTVERLNYYTV LY89DRAFT_611090 MRRIETLVLLSTFTTGILAAESSRPRGVSPEFAKFYKDPNVFTC ISNPSITLTPSQINDEYCDCPDGSDEPGTAACTYLSALSPPQPISGTPNTTLALPGYY CKNKGHIPSYVPHSYVNDGVCDYELCCDGSDEWAGVGGTKCEDRCKEIGREWKRLDDL RQKAARTAAKRRMELVGEAQALRAGVEIKIGRLETEIRELERKAADLKIRYEEVERRE RGRVVKSAGGKGSKVTVLAGLARARVEELREALVGVVGKRDALRKRVKELEGILATFK EEYNPNFNDEGVKRAVKSWEDYAANKKEEDVSAEDRDLEEISKPDSESEGINWAEWET GDEESDVDALYKFEEYLPAPVRTWVHQKLTDLRILLIENGILADNANSGSESKAVTDA RNAYQTVNDDVGIKQTSLGDLKNDLEKEYGPDDIFRALKGTCVSKDSGEYEYELCWMD QTKQKSKKGGGHTGMGNFVRFDKTTVDEEIGADGKGLGSGERMVLMYENGQHCWNGPN RQTTVVLACAEKDEIWKVVEQEKCMYRMDVGTPAACDKEKKSGGGEKKDEL LY89DRAFT_682427 MGNDGGSIPTRRELVKNAARALNNTELKAKLLEAQTHAWNTCPL SNRPLAAPIVSDSSGTLFNKDSIIEYLLPQDSDVADKEKDDVLQGKVKGLRDVVEVKF TITKEGKEEKRICPITSKELGPQTKAVYLVPCGHAFSEVAIKEVKGDVCVECNEPYTA ENIISILPVAKEDIDRLSKRAETLKASGLTHSLKKAPGAKKRKKGENAEEKTKAKPIV EGTKTATSNGIKNSATASLTAKVLNEQEERNKRRKLAQNENLKSLFSNTGYDAQKQKG GDFMTRGFSLPKTK LY89DRAFT_779447 MAQPALESALNRIVADLRSRSSDDTRKRAALELRELVVITHRDF SQERFLEFYGTVNTKINQLIHASDPADKLGAIHVLDALIDFDGIDQASKTTRFLQSFR QILKGKDLVPMQAAAVGLGKLCRPGGSLISELVESEVKTSLEWLQSDRVEERRYAAVL ELRELARNAPTLMYAFVGLVFDQIWVGLRDQRLLIRQTAAEAINACFQIIRERDQAMR QTWQTKIYDEAVQGVRQGSVEYIHGSLLVIKELLQQGGMFMHEHYQEVCDIVFRHKDH RDLQIRRTVVLLIPELANYSPTEFAQGYLHKFMVFLSGMLKKDKDRNDAFLAIGNIAN AVKSAIAPYLDGVLIYVREGLSIKSRRSGTVDPVFDCISRLAVAVGQTLSKYMEALLD PIFACELTPKLTQALVDMAFYIPPVKPTIQERLLDMLSKVLCGEPFKPLGAPTPNSIS AAPVVPKDSKDPLAYEHRQTEIKLALNTLGSFDFSGHVLNEFVRDVAIKYVEDEDPDI REAAALTCCQLYVRDPIVNQTSYHAIQVVSEVIEKLLTVGVADPDPAIRRTVLAALDE RFDRHLAKAENIRTLFFALNDEVFSIREVAITIIGRLTHVNPAYVIPSLRKVLIQMLT ELEFSDVARNKEESAKLLSLLVQNSQRLIKPYVDPMISVLLPKARDPNAAVSATILKA IGDLATVGGEDMLPYNDKLMPIIIEALQDQSSVAKREAALRTLGQLASNSGYVIKPYL DYPELLEILQNIIRTEPSRGPLRQETIKLMGILGALDPYKYQQVEERSPESQLRLEST QMTDISLMMTGLTPSNKEYFPTVVINALLQILKDPSLANHHALVVEAIMNIFRTLQLE CVPFLDKVVPAFIAVIRTAPAKALDSYFSQLSILVTIVRQHIRNFLPDIVTVLQEYWN HPNLQLNIMSLVEAISKSLEGEFKIYLAGILPLMLGVLEKDTSPRRHASERVLHAFLV FGQSAEEYMHLIIPVIVNVFEKPSHPSFIRKSAIDTIGRISRQVNLNDYASKIIHPLA RVLAGNDVSLRMSALDCLCALIFQLGKDYLHFAGTINKVLAVTQIQHQNYELLVSKLQ KGEVLPQDLNADDRFRHTMDDGALTEVQNKKLDANPVHLKAAWDATGKSTKEDWQEWM RRFSITVLTESPNQALRACAALASNYPPLARELFNSAFVSCWGDLFEPYQDDLIQNIE LAIRSPHVTPDLLGVLLNLAEFMEHDDKALPIDIRVLGREAGRCHAWAKALHYKELEF LQDQSSGAVEALIQINNQLQQYDAAIGILRKAQLYKDGIQLRETWFEKLERWEEALEF YQKREQEFPDQAETFDVIMGKMRCLHALGEWESLSALAQDKWHTSTLEIKRAVAPLAT AAAWGLEQWDQMDDYLNVMKTNTPDRSFFGAILALHRNQFREAAMYIQKVREGLDTEL SALVSESYNRAYTVVVRVQMLAELEELIVYKQSNNNPAKQETFRATWEKRLLGCQRNV DVWQRLLRLRALVITPSENMQMMIKFSNLCRKSGRLGLAETHLKMLIGTDDSLDKLLP YIGHANNPSTEMARPVMKNVPPPVQYAVLKYHWAAGKQDQALDALKSFTTELADRLEA LQAAAQGVNGAADMHSLNGGMANMALSPNGFVNGNMGQPPMNPTLTAKHLSDHTKLLA RCCLKQGEWQVAQKKGDWKHDHVHDILQSYRAATHFNPTWYKAWHAWALANFEIVQAL GHRPERDRDLVVPPNVLIDYVVPAVRGFFKSIALSQGSSLQDTLRLLTLWFAHGGSPE VNTAVTEGFASVSVDTWLEVIPQLIARINQPNTRVRQSIHNLLADVGRAHPQALVYPL TVAMKSAPNTRRSRSAMQIMDSMRQHSARLVEQADIVSHELIRVAVLWHELWHEGLEE ASRLYFGDRNIEGMFATLAPLHDMLDQGPETLREISFAQTFGRDLQEAREWCYTYRQS GDVGDINQAWDLYYQVFRRIARQLPQLNNLELAYVSPKLLHARDLDLAVPGTYSSGKP VIRILNFDTNFTVISSKQRPRKLSLQGSDGVAYSFLLKGHEDIRQDERVMQLFGLCNT LMINDTESYKRHLNIQRFPAIPLSQNSGLIGWVPNSDTLHVLIREYRESRKILLNIEH RIMLQMAPDYDNLTLMQKVEVFGYALDNTTGQDLYRVLWLKSKSSESWLERRTNYTRS LGVMSMVGYILGLGDRHPSNLMLDRITGKIFHVDFGDCFEVAMHREKYPERVPFRLTR MLTYAMEVSNIEGSFRITCENVMRILRDNKESLMAVLEAFIHDPLLNWRLTGDTSPAG PNFRSERRDSLMAAQARRPSIMDAEIPPSALMVQDPGALPGGPPSSRPRARTNSTTQG PNANDADMQETQNERAMQVLNRVKDKLTGHDFKPDEELTYIIQVDKLLIEATKLENLC QHYIGWCSFW LY89DRAFT_579308 MSANTVHVKNISSQTSEKEIRDFFSFCGKITSLEVSKSEPTQDA TVTFEKETAAKTALLLDNTQLGATQVQVSSATGSSEDDGSHYKSQSERESDEITQEEK PRSRIIAEYLAHGYVVGDQAIQRAIELDQKHGVSNRFVQTLTSLDSKYHATDKAKSVD NTYGVSSRANTFLTGLTSYYEKATDTPTGKKLVNFYTQASRQVQDIHNEARRLADLKK QDSGVSKVPGSEKTTCKCNSNCEECPCAPGQCACVGCAKSDVKEAAGTKKTTCNCGGD TGKCGCAPGNCACSSCPKSDTEKVAGTEKTTCNCGGDTAKCACAPGACACKSCPKADT EKVAGSDKTTCKCGGNTEKCGCAPGACACSSCPKAKTEKVAGTDKTTCNCGGDEKNCP CEAGKCACGGCSK LY89DRAFT_682430 MSAQNQGRQSPPPEEQSGAQQQDAPSSGTGVNPETKNKEESESQ LEGLSSNPKGPLDDHVAEVAKKTVNQ LY89DRAFT_578410 MAPPPMPTSPSLNILPSNQSAVNHVSPPLASPNMTSTTTVMGDN TGVGTGPGPLRHPRPLTAADLHLQLEKEQEAVVNRLTRELTMLRAAQNASVVSNTSST SAGFPDPADHNANHLLSGPSHPAPSQRRHHRSSSSTSTRSNTAIASLTGLTTAPSTTA DRTRGSLPRHDSNTQPASISLSRQNSTTGSRRSGASSPAPLSSAQSSYQPHPGDHFPS YYTSRPIPHRDPSSSSLAMMSGGSEMARQDSITSLQTTGRYEEAAFHRHELEAVKREN EALRRRVRDLERTLRQRRQSDASVGRGRSESVSTTASVRDRELGGAGRGREREVGEEE VVQVGESARSAGL LY89DRAFT_716070 MAGHLLGDASFKRDIQKQLNNLLQARPNQVRSKGDMTKERLRML RECKHLRSRERFIVKSKLQEASFPSEIDGYLASFEKDPELSCQLSDPLNASAFPLLHH KQLENDPTPTPTFQPVLNDALFLRGDIDSASVASVNTLDDEVHSFKSKLDSAEAESTS HASSEYQPINPENDADGKDDASIISSTKSTRSLISLTSLKRRLQFRYSTSDLGDIKSL LGRLTISRSSEVSYPHTITTLNIQPIPARIENPVVLPGIYPQYCWEHICHNELARCDD IRLPCNRGPIYQPLGLETHRSIHPNVLFRIRTCAVREEDLESVDTFGNSVLHIAACLG AAPTYLTSMIIMGANIHSLNNADQTFLHLISLSDISAIRDFPALLGALVKRRFNFQQQ DHNGQTALHSLTQYSTPWRVLSGMLQSFQFHGIDLPTSRDNRGYTVAEQLREQGFKLS WPYDEEHFLTTPDVASQPQPQKHLRVSSYPTDPQTINSKFLLSASPELLQEYEKHAEL LRVIVQAGKNPSFEDSEGRNGLHCLAEVRLDLPTSKEPNDGIDPALNSPWEQYMEQLL LAGVDPNRYDKHGSTPLMSFITHWPTKDEALTTALLNRLIAAGANIHLRNRLGETALH IAVKLGHRAATKVLLSHGANVHARTSTGHGILALGTRYSNRAVHDEVLYAQISLCVCL VANAGAVSAPTILHEWASSDFRVLPDQGPREEGRRSKVDRHGVPVIG LY89DRAFT_453457 MMPKWFRWPQTTLLSRSGFVLSVFEILEDIKESSLQNNITIKLA VVSVLGWIRNEWGDLIDEACLLADIELYEQPHVKAEIAAKTAPKGGSVLILDHGSYHL DIVYAAWDIQEKAYKPKQSVGMTDMGTSRMIKDLTFRVLFAYNANANVTEDDRRWPIA AGLDDIAQEVALARNEIKYGIGRAESQAIIGQDTSINITEPSGRLRIVNVTSQDIVHV QEQYMAAISKSIRTALIQNDLMAEYFRPSQSSRRRTM LY89DRAFT_771757 MLQVLLPVLVSAASAAILSSRQTLSGSTVCEQIAGSINGTVYYP TTLSDNFVNDTEHYMSSSSETPTCVVEVASPEDVSSVLSIVGQTRTPFAIKSGGHASN PGFSSTTGVFISLVRINQVTLSADKSTVEVGLGNVWTDVYTALDGSDVNVVGGRVIGP GVGGFSLGGGYSWLTDQYGLTCDTVQAFNLVLPNGTITQVDSAQSDLFFALKGGLNRF GIVTSIIFKTVPQTNDVYGGIKLYGTDAVPDLITATNTFQDQNTDPKAQVILTLNGGS VPGAILLLFYDGPEEPAAFAPYNNVSAAPLISTVKSQSYASFSTGTDSDLEAGYRGAF HTMMTTSLTTAFLTAVYNETTFYGDLALLNGGNLISYDVEPFLNYGQYATDSAFPHAN SPLPLNLYYSWTPETEDEYWRGVMQQSIDHLIEVAKAEGIFTEDAYAYPNYALSTYSG AQLYGPTNAARLREIQATYDPNGVMTLAGGFTF LY89DRAFT_453432 MRFSLEIIGFAMLQVASALAVPVSHNLSPRATVLPPTEDPFYTP PDGLADEEPGTILRTRSIGELSFQGVVPFTANASYQLMYRTTDSLGNASAAVTTIIVP ENANTSRVLSYQFAEDAAWLNCAPSYVVQLGSDPDNFGSNGLETLFIIAALDQGWIVN LPDYEGLQSAFTSGIQAGQATLDSVRAALASGNLTTISPEAEYQIWGYSGGSLASEWA AELQPTYAPELNFKGVALGGLVPNVTSILLTINKSLFAGLIPAGILGLGNAYPELEAY INEHLYPANASTFKEALTQCLAQDTITYAGQDLFSYFDEGEATLFTPIPQSVLAATGQ MGAHGTPQMPVYAYKAIADEISPVADTDELVAKLCAQGANIQYVRDRLGEHATEEITG SGDAFGFLVDRFNGVEVTPGCTTTDVLSDTLSGSGLVYLGTEVVDALLAILGEPVGDY ID LY89DRAFT_453534 MESSVEDFGPTVAEMVVSVATAVTAITVPSLQLNNSFVFEIRPA LFQHSDMCEHKPTCQHCRDTFSSRDHLYSHLPHSAYRKTPKRAYTAFTTTHCIYTTKH LVILR LY89DRAFT_666377 MYISSLVGFGLLSLASAHCLISTATGDLGGNGTGLGVAANGINN QGDVTVFKAATGFGATGAAGAVNPATDLAAQLKITGSTIPQVSANAGVVTMTLHQINA DGAGPMTCSVSTDASGKAFTAMTITTNVPGTNGKSTAANQDFPLVASLPAGTKCTGTV GALSAVCAVKCANPAGPFGSVVLVQQAAGKKVREVGGTLAARAKKGAVKCA LY89DRAFT_779457 MPEFTPDQVAFVTGAASGIGLAISKQLILDGITKIAALDISESG LSTAFESSSAKVLQLVVDCSSESEIEAAVEKTVAEFGRLDVCVNAAGIPSAGPRVKID ELEKGNTEKVLELNLMGVWLCERAQIRQFLKQEMRHVSTGLPLKTRGSIVNLGSLTSH VAIPALSPYIMAKHGVLGLTKADAHDYALEGIRVNCICPGWIRTQMTSSLRDNPEVSE AVVTRAPMARWGHPEEIAFTASFLLSDKASFVTGASISVDGGYGAC LY89DRAFT_682440 MLTPCQAHRIITQVVVTGTRVFSRACAEAWKQASASAQYAKAQA KNNPGAANTFASQGLTLEEACKILNVKPPQNGKANIEDVTERFKKLFDVNDPKKGGSF YLQSKVLRARERIEAEVRSATEKAERESELKEGWKPKVFKDR LY89DRAFT_611120 MAQFFPQQPQNQGYGAQNLQFYPSSYTPNPVSGHATPQQAYNYG GGPAGSPGYGVGGGYGASFGAPPGVSGRMGEQGGLRTGWLAAFGTEGYDGEPPLLEEL GVNFAHIQAKTLAVLNPLAHIDQHIMDDSDLAGPILFFLLFGTFLLFSGRLHFGYIYG LALLGSVALHTILSLMSPPADPSSAPSPSSASSSHLSSTLTFPRSASVLGYCLLPLVL TSLVGVVLPMDGMLGYVLTSLAIVWCTYSSSAMFCAVGRMRGMRGLVAYPLALFYVGF GIMGIFSSRGSQGLAKVTKVATGL LY89DRAFT_611125 MAAFDTPWMMSSTPSSSRKDEDGGPSINNDPNLGTVASFSPVTL SHATSANKQRSTILVHQKSPLLIATPPQITRALAYSHPFLLPLNKFAGLLTWTSGDPW ESFLLVAGFWGVVSYGDVVMRYAGPLVVVMGLILGMYSRRYSPLSSTGWTGEKLNPAQ KKGHKRMESEATSLKHQKTLDEIVETLKEFTSRCNLLLDPLLELTDFLSTQRTATSAT TRPALTTLLIRILLVTPVWILFTFRPIQVITTKRIALFAGTMFLTWHSRPHRISRTIL WRSATVRRVCHLVTGLHFAELPATQPANEEKPDLPPRPKSDQQEKATLAATAAAKRRP DAPGVRFTFILYENQRRWVGLGWTTSLFAYERAAWTDEHLNPAPTKEEFELPDVEEGN ARWRWVDGSQWLVEGAGESDEGGVKAKADATDGGQGWIYYDNKWQNGRRGVDGWGRYT RRRKWYRDAELVEVSPSTEVTPPPTPIPTTSVPVSPDANRTPVPSKHQASTSVSSLTE PLPPPNFNDTASVSSKDKDSHSLRSLTILEEKDNQSVKSDKDSMKSFKSTPRQRRPSV LKRRGTGASQNSRMSLYSNRGSDDDMSIASRPKVEDWGIGDDIRMGLE LY89DRAFT_579514 MNSTSYRQNEDFNFRYDAPPGLDYRAADQHRAPRSPPRGRAPDR NGYQANDNQRRPRGGHRGGRGGPRLAADRAFLKTNRDPTPELMPGMDEDAGAGVRFKP VDDLSDSDEAEMDLTNTDDEADGQESKKKQARTETKAADADSVPRWSNPDPYTALPPP DESQRKKKDVVKLIRKARVAADTENNAKTEATADDFISFDFDDGTDVVMGEQFGLGVE GAPTGPRANKDDASRQQGLQERPYEPRVDLKLPDKSSQQDIPFTANTNVNTGDIGSDL RAASGVSLDGNHVLGLAPNSKSSMQHALPARPPPVSLTSDPALGNRKRNIDDEIKGPP RIHGEDLGKKKPIADGSITPQWLPVPHESPTPWISIDHSDSAKMGIWLHKEIMDFYHY VKPRDFEQLIRVKLVENLRASVKKHFNHEADILAFGSFPAGLYLPTADMDIVCVSNRF MRGQEPDFHEKKILYRFRSFLQKYHLALDDKIELIIGAKVPLVKYVDRLTGLKVDISF ENDTGLIANKTFQDWKVEFPAMPIIVSLVKHILAMRGLNEPVNGGIGGFSVICLVVSL LQNMPQVQSRTMIPEHHLGEILMEFFDLYGNQFNIETTGISMKPPGYVSKTRLNIPYR EQTSNKFCIMDPNRSNNNISGGARNSPVIRGAFSDCFKRLQERMVQLQYSAEADRRCE SILGTIIGGNYSSFRLQREHLAHVYEKYHGPIDLTG LY89DRAFT_611131 MDQGPEIRNSNTSLNSNEGNLTRRKNKEEEGWRRIVRNFTPSWF SVTMGTGIVSILLHNLPYNGEWLCWISIVIFALNVILFMLCFLISLLRYTLYPQIFVA MIRHPGQSLFVGTFPMGLATIINMIVFVCVPAWGGPWPYIAWGLWWFDVVVSVICCFS IPFATMYIHTATLQTMLATWLLPIVPPIVAAASGGIVASVLPNPQHALWTIITSYILW GMGVPLAMVILVIYFQRLTMHHLPTQEVIVSVFLPLGPLGQGGFAIMELGKMAMQIFP KTNTLPLSPTVSPLMYSGDILYVVGWLVATMMWGFGFVWLFFAFASITRRPFPFNMGW WGFTFPLGVYTTSTLQMAEELPSGFFRVLGTILAIIVTILWLVVATITFWQAMTGEIF FAPCLKGLAEKEKQRVQDDPALTAA LY89DRAFT_640555 MDDDDDFGADADFLAALAASEVSTPTPRVQQPTPQKIQQPTPQR LDRAPPTTTSSGPKVVQPTPQAIASRSTGSSILVSPRQKGNPILTNLRSFAWEYSDIP ADYVLGLTTCALFLSLKYHRLHPEYIYNRIKALQGKYNLRVLLTMVDIGNHEDSLKEL SKTSLVNNVTIILCWSAAEAARYLELYKSYEHANHSAIRGTESKGYAEKMVDFVTVPR SINKTDAVSLVSAFGSIRAAVNARPEEIAVVGGWGEKKVKRWCAVVDEPFRVRKAAKR GLTRKETTQDGEEVEGVLDKALPLSRVPLRDMPALRNNQSTEPRESARGTETNKQFQM WDPDDEDEDALIAAAMEEEARIEKEIGQEKARKDDELSGGVAAALARLREKG LY89DRAFT_666386 MASATGLALEQTIQKQTVLIGKQAELIHKLAALIDHHNMGHLLD FINPADEEITSDSKGNLHKSCGPYSYGPGSDAVKWTGNVFDILPVSIANKSHKAFIFD EETIGFWNRLLDLRRKIKKYKEEHKVEFDLVAECHARINNIDELQLANKGTAATSTER NEHAETLKAAHSRAQLLFDSILKFTYTKRMLNLKLARGIRKAMIQSDLRIAEGTGWIE DLRKSKIAFYGNVDIFDARFQDEEDNVPARWEPLELGESPKFSPKDKPNGAPNGSVQD SNTEGSEKSTA LY89DRAFT_779465 MNPPDQPGSNNAPPTRPATWAERAGGILGPVAVTNPPPPAGQTP PPAGQAGADGALVNPPRGPLATPTGGDPRPNAPFQVYGAPLGFNHLTPGVIISFPQHA PHWQNPPRGPLTNYDSISHQGHWVESKIRKFIVIERRTHHCIVLPMFTHGGRGLSGKQ DRHRMEYIGVLDAADWDRPDLASENAYHTPNGSIWATRAPAFARAARGSFHWISPAAY VQHTAPQRFDYSWPCLLEGGIFTPADLALLLAKYYENCPRPHYELPQLIPILPLPLYY GQPQQTP LY89DRAFT_666388 MSKASYIHFTAPESYQFIIPCIIESRLWRSDVFTLIDLYNSRHP KNTTGNGSALVSTQSPIAGVNHSPPHTPPQGPVAVPVPAWGRGGGAANPPSTGPWARS VVAPTGAPLPRGRERGNQSPQSGLGPGVGRGAGRGTGQSRGVWSGNGATK LY89DRAFT_771771 MSTPYVESISRTSYETLILSQSDTNKKLGRLCTEQQTLVRALEK KLAIHGITNVSGRPDSPDHVKDSSEPELSTRHTTEEAEERYGEFHAEFTKTLTAFAHR AETVLVHADTYKENKQWNGELVKVQHHDWVPPVPIPDSENGVVEQFFEYNAIMLKRTP HFPVGSGNSHPIFAQSRLKATSESVIGSLLFSPQNNMCQTSYFTFWALCGHSTLEDNR CDYRDCTLPSPTRSIEQHQLCRSCATNPASSYQQPPNHQQNWARTARELAVYRQAEIF AEQQLIEALDQERREEELALARVSTYLMTLDQAQRIRFLYKSLTDGSRDEIDVSEIHS ERLRVLRRAMFNVPSRFMNDWKVQDLTAHTDALINDTNARAQKNLLEFEEFHLIQSTL TLGELGAMSGQMMNDRTLLSRDYATYLDLTLLIHERKEQDRLQEEINALQAEINAEER HRLQAISRLLELVSISTLPNGEFDQRCTICMETYGVLTDDGVVEQPTRLPCGHVCGTT CLTKWFMENDTCGFCRRNYWAELGSAPPPQMAQSEDELPDYVDEDEEMADFADGSEEG EIVERHNGEIVLSPAPFESFFSDTGQANYQEPVNSDDDNPFMAWGMSSEEDLSTDDSP FDVMEVDEMMRLLDYDEDQGEPKPIILTPSRAQEDCFGNLLDEIGFHRVPPPWARF LY89DRAFT_705552 MVNLSGPRLPKVELVLRWLADEAWEYLEEEWDPNIWLINTTTLK LEFFVDLYNARPRRYAILSHTWEDEEVSFQEFQSLESTSLKKGFRKIEMTCRLAFDRG LKYVWMDTCCIDKANNVELTEAINSMFLWYKESEVFFVYLSDLQPHGQMQAHQLEERL SQCRWFTRGWTLQELIAPQHIEILDSEWSDIGQNMSLVDQISSLTGIDKDVLIDSSAL NVAVARKMSWAASRSTTRVEDNAYCLIGIFDINMSMIYGEGRKAFYRLQEEIAKETND LSFFAWSTIYAHFFN LY89DRAFT_716084 MAQNPAPNPNRFCLQRPRQEDDVRNCHRHYRYLDGVRPGFVLEI ERTKEEWAIGEMVSFIPSVPRNQNETYGEYRNYNYTMKLEPDQTRIKVFRESKGTGPS STEVAYVYLDESQGFRGWFNVGDHNADHSAQHPHQPDPKIVLSTEPARCETCGFYSSW RWRDTDLRVGPYFGRDYCQNSCCRLRRVPADDSEDEE LY89DRAFT_578893 MSVPYNKLAERCIRLLDLYPGELNSPFRGRLRPTGLDIPEFYEA LSYTWGSPETAAFLHCESGSLPLTLNLVQALLRLRRTDTIRTLWIDQICINQDDEIER GQQVGLMGGIYRGASIVDIWLGEED LY89DRAFT_730770 MRLSAILLQALATVGVFVDKTAAQCSGQGEGNCQLSFVGIPRTD CITYDNMLTCGEAITWTDAYIFDYACNQIGNAPYPAQGLAIYSELPWTVVITFLTQGG PNNAFLDFRSIGFAYAAYSYHN LY89DRAFT_611135 MPVTKFSTPEKYRYQNGFGSYHESEAVSGALPIGANSPQKPPFG LYAEKLSGTAFTAPRHENQQTWLYKILPSASHSAFNEREASSYNTNPEGHKLHQIPNQ LRWDPFDMDETVDWVHSLKLVAGAGDPTMKSGLGIFIFAAGKSMEDNQAFYSADGDFL IVPQHGVLDIQTELGKILVRPNEICVIPRGVRYRVELPEGPVRGYILELYEGHFQLPE LGPIGSNCLANARDFQAPVACFEEDTIGSYTILSKFNGHLFAASQTHTPFDVVAWHGL YYPYKYDLGRFSVIGSISFDHPDPSIFTVLSAPSPRVGTAIADFVIFPPRWLVGEDTF RPPWYHRNTMSEFMGLIGGEYDAKTGGGFKPAGASLHNIMSAHGPDSGTHEKASNAEL KPMKVGEGSMAFMFESCLMVGVTEWGLKTCKKVQEDYNEESWTPLEAHFKIPEGVRRI SNLVDGDVARGAIDIGKSVNGKGATGEMEKGQLPHYT LY89DRAFT_640561 MANKEMPISLKKSVEGTKVEYVNLGKSGLRVSVPILGAMSFGHP DWASWVIDEEKAFPLLKAAWDAGLNTWDTANVYSNGASEEIIGKAIKKFEIPRQKLVI LTKCFGAVGEEPNVRHIFFPNEVRGSKDYVNQHGLSRQAIFNAVDASLKRLGTTYIDL LQIHRYDPNTPIEETMEALHDLVKSGKVRYIGASSMWATQFAQMQFVAEKNGWTKFVS MQNHFNLLYREEEREMNRFCNATGVGLIPWAPLAGGKLARPAESHGSTERSKTAPELT EADKQIVARVEELAKKKGWTMSQVALAWENKRVSSPIIGFSSPERMDEAISAKGKTLT EEEEKYLEEPYVAKAITGHS LY89DRAFT_453958 MSADAAPTPLSKVDSAVQGLSSSPPKEEKAAKRRQSSVAAAGVF NIKDLEEEGTKLEIAKETQKLNWRINKSPMSLEEPEKSALKKMLTTPPIKKIDLHFPL GLEVTARNLKGVTIKDALDAIYKQYRKKADDELETPVLAGFEWDPEESWTRLIVHCKK EGAPQPKKKAKKGEAAEEL LY89DRAFT_730774 MESLTLLNRAILEARDKKSFWDKYKWLIIGGLIAVPIQLVMLYF YLRYRKRKKLAQRRDDEQYIQMPGR LY89DRAFT_730775 MMGIQRLFHELGLHSLFASALDTKIIILQRFVRFFAFGGSTIVL ALYLHEHDIPASQIGLFMSLTFVGDIISFGLSLIADGIGRKHVLAIGALMMAFSGFAF ATSGNFWVLLLAGIVGIISPNGREIGPFTSIEESTLAHLTAPEIRSDIFAWYVVIGSA GSAAGKFTTGWAVQQLQAARGWTSMRSYQAVFFTYAMLGLLNFVLAWFLSPSVELQKA EPRRLQAIESDEEDALLPGTDQVDVEEPEKRRTLIPKISRESRGIIFKLCCLFAVDSL ASGLVPASWVTYFFYNKFSLPTGSLGTIFSIMAILSAISSVVAASLSKRIGLVNTMVF THLPSAIALALIPIPSSLTGAIIFLVIRSSLASMDIAPKAAFLSMVVQPGERTAVMGF VSVVRTFSQSCGPYITGLLAQSGSFWVTFILAGSLKAAYDMGLLIAFSHHKVQDRQTP EGPTDETEA LY89DRAFT_666398 MAVVLPQDVVPAAILGGAFFAAPANWGPGKCGYNGGFVTTAARR ELPCLKNGVNVYGRCAAPTHQPCGRGPAVVGAQGAYNGGPPVGYGAIGGPPNGGYVLF GGTNPAAPPDRTGNAPGIRRLRAARAGAPLWETLEAATILLFQQTMSARVEAVGAAAG SALAVDEASIIGAFNAANAVSNGMGAPLMVLPPLHGQFGPRQGGAAVVAPAPVPVPAS RIQDAVHGIEEKSYLRRMEGYLACAYAVVSQERV LY89DRAFT_716089 MSSIKLKYLLQFLLPKHQTMWTSQLLRKRVAGKKNDHCHFKNER LPTNLPHPIRAFDPSWHQLYNDRISDVIAACPGCGDNKIKISPCPERCLKEVDGQSVV VSIDGACRDNGRRGARGSIGVFFGPGASKNYYELLRPSLPQTNQVAELRASPDGEKHD ENVCKLLVITDSAYLVNGISEYIWKWKTNGFRNSKGQPVANREIWEELDGLMGEFEAY GIPVWFWHVKRELNQDADRLANAAFDEA LY89DRAFT_682451 MTMNILENQVTDLTYLTDSPISPVALNAAMNGQRQSSSAESPAE TGAGSGKKRKNDDSSTNANGTTHTRAKRNRYISIACNECKRRKIKCNGNTPCQRCGNL NLECQYAPNCCTNGFKESEEFRQMDQHLASLQEQVDSLYANLNALRAGGDNISYVPPS ERSMSVSQPPPISPMNRYRPPPKHPSFRGPTSSAFSLDVAKNTLHNMGYQGLSVDEGM NTQDATPIGSPPAIPPPPLTSAGGPSRDPIWALSKEEMIRLCRVYEEEMGLMYPVINI DQLIIHGSNLYEFIDAALRTGLADPSSPKGVHDEQSLVLKMVLAIANVVEGNGRSEIG YRLFESVREAADRTLHSEAIEVKSLPFLVLVAIYHFHCDEEALAWRIIGQVARMCIEL GLHRRDSLFKVVTDEEERSCVIKLFWSIYVLDRRWSFGTGMPFALQDSDIDPSLPEPE LSIPYLNAMIAYSRIGSKVWKSVCSFSPNPSPTLNIEDIGYLDYQILQWQKSIPTELQ LPTASAPPESSRAIHRLQILLYLRANQMRILIYRPVLHSASSIQENPNFATTVVELAK DTIRALTHLNQTSDIYRAQQVCFNYFLISALAVIFLASCHAPVHFSALCRDEFYMALD LVKGFSTKSFVSKRLWKTIKGLKEVGPKLGLSPDVHTATHPQLSAEDPHSNAALAMAG LAGHEISGMGIGASGGYVGAGAGEGSPMNGFQMSCEMTSLFEAALGSVGMNGNANGAG SGNGYVPIQDGDALAGVGSNVFGGDEELYRQLRDLF LY89DRAFT_682452 MSPLKSWLPIPPKSDFSLANIPFGIITSRNSQTQHRPATAIGDH VLDLLAFSRGNGFSKLPSLAPHLSVFEQPTLNDFAALGRPVHREVRKYIQDVFADNTP YPGILKDNEELRKNALLPKQDTKTHLPMQIGDYSDFFAGRNHAVNLGSMFRDPKNALQ PNYNHLPVGYHGRASSVVVTGTPITRPHGQILLDPSQPSSPTFAPCRFLDIELELACF LCKSNPLGQPVRIRDAEEYIFGYVLMNDWSARDIQRFEYVPLGPFTAKSFATTVSAWV VLPEALEPFRAEKLRNEKEGEVAKYLREGREDTVYDIGLEVELTTPDGGTTTISRVSG KNLLWSFPQMVAHHSVGGCNMRVGDLLGSGTISGTKEDGSEFGSMIEMNQQGKREIML SGMDVRKFLKDGDTITIRGTCGGEEGALVGFGECSGTIESAIQF LY89DRAFT_640579 MSFTTSGIAVIALIYFLIKYLNRTDTPKIKNIPEIPGWPLFGSL LEFGASHAKVAGRLAEKYGPVFQVRLGNRRIIFANTFDSVRHLWITNQSALISRPTLH TFHTVVSSSQGFTIGTSPWDESCKQRRKAAATALNRPAVQTYMPIIDLESNVSIKELL EDSGNGKKDIDPIAYFQRFALNTSLTLNYGHRIDGRIDDELLTEICDVERAISNFRST SNNWQDYIPLMRLLPKSSDDAVEWRERRDKYMTFLLNRLKKQIEEGSDKPCITGNILK DPEAKLNENEIKSICLTMVSAGLDTVPGNLIMGLAYLSSEHGQEIQARAYEEIMKVYP NGDAWERCLVEEKVPYVTAFVREVLRFFTVIPICLPRTSIKDIKYEEAVIPAGTTFYM NAWAADFDPTHFKDPQTFSIDRYLDNLEGTGGTPHFAYGAGSRMCAGSHLANRELYTA FIRIITAFHIDPPREKRDRPILDALECNEIPTSLTTAPRPFKCGFRARDLGKLEGWMA ESEERTRGV LY89DRAFT_771785 MALVPPSMATFPLAQAAAAPGEAMFTAPNAASVAAYNALVAGVA PPYVPGAIFPGPVYRALVAPAPNFLPPDFNVDARDVIDPLTLGPVPAVPRDYLRTYGR TLWHFYRILVAHVNDNWAERVGVPGLMPWNFYADPIQFDVRVANCWFDPALPTRGLAR LAALYPVAVFRDMRCVLFPFSTLVAGVRLELLIIVSPFAKTIDLLKTDPLVEPPPSAA SAAADAMGHIMRLVALHLGDEFDPRDWKMRDRGSSLNTLGTFTDHQMILAADAMALLF GYDISFLGLSPAPARFPPLPIPLPMLDAFRARFTHIIATGSLPLPAPIANDYAFRLVP RKKNQMRRALA LY89DRAFT_779478 MKAICVTESRNLELRDIPSPSAPPSGYINVRIAAASINHGDKTF LKRPPSALAALASGARLSDVWGASASGTVEELGPDIPSNYLGRKVAIYRGLKPNDAVL GLWCETVQMPYQTCLLLPDNVDAKDYSGSLVNVVTAYAFIEQVVAEGHRGIIVTAGSS ATGRALAVLARGRGIPFLAIVRSEEAKEALKREVEVEHVFNSSHPDFMRDLEQKAQEL GTTAVFDGVGGTLIGRILGALPPRSSIFFYGFLSGQEKVEFQSAVFMMKDITMKRFSN FNTVTVQEKLGEMLKDLESCIEDFVFKTSIGKAFEPEDIQAAMEYNGGSKKAMIVFSR LY89DRAFT_730785 MAEILGLVSSGLSVASIAIQISDSVLKLKEFWSSVKDAPEDIKY LVDEIDTLGLILTDIGNNERRRDSSLVGFASARKCLALCQKGSRILEEVANDLEDKIG NKRRVGAFRAALKIGMVEKLRDRLKNAQFMLMLSNQTYYQ LY89DRAFT_730786 MREGGRSNDAGIHSASQAAIRQGYWAQSSESYLELVRLLVEADD EYDPIELLLESNDARHTNVYRSIEAWPIFFTPALLLWLLQQSSVRIQDLSVEQFVSFA IRICSQRGQRYSATLARILLERRNVISDLCYARTIAGETMIHSLAGQLGFFIRVSSLE GYDVALADHWDTNRRLSKVDIERSSLPLQDLFTLARELLSAGSEFSSLAWVHAPPRQF TPLLFVFYGFFEDFELFCPRFPMHPLNGATIAIQVWLRLLQSLGVSLNDYGRREKSIH ACSNVGKKWKFTGKLSIRHHPHVVYKLTGFDYGPQLSDWRFYITEDMGHIDRYFRDFW DMIDHPERAMPGAWIEEFNEEND LY89DRAFT_579152 MDTKQTTPIVFGLNLNGCPEPLISPTGSSPDILIIGGGVTGLVT AWVLLDRGYKVTIVAKEWGTYTSKQRLTSQIAGALWEFPPAACGQHTDIISLSHSRHW CMIAYKVWEAMAADPVLSAASGVRMRTSAFYFTSKIEDTPSQLVKMLEIQNSGVKGFR RNIDIIHTQDLNPTVGVVDAYEHLAPVIDTDTAMKWLMGLVQGKGAKLVTETIHGSLL SQEQNLRARLSADVIVNATGLAGAEIAGGNTCYPIRGALLRVINDGKDFEKVSNALVL TADDAGNAVNEMVFIVPRNENILLLGGIAQPNECNLDLTLDSAVIQRIRQRCETFLPR LKNARLDLEYPLAQGLRPFRKCNVRLERELGEHDIEEGLPSKRSRIVHSYGHGGAGWS LSFGCAEDAVGLIEDILREGM LY89DRAFT_771792 MHWKSRIFTASDHDSLVVVEIGCNIGDIVRETMNVGLAVPLGGR PSIGSGLWLQGGIGHLGRLHGLTCDSIVGAVMVSVESGKVFCVGHVPNQYLVTFKAYT APTYSVRNWIIPLVDRLEAQLTLSSFDKLVASTLPPNLSADAYLYRDNDKLHLGVTMF ECSTSLLSVEALAPINTLLGPPDSFKIVDGVGLFDTEMYMSVLHGSRSGGKPSSFKRC LFLKHIGPTNIAEILLKAVETWPSPLCYLHLLQGGGAISDVPAHTTAFGCQDWDFACV VTVYDIARDLLPWSRGAYGADLGLEPRDAVLAVEAFGGNGRRLAALKHSLDPYNVLAY TCPLPKVVKQKLIILVTGDSFAGKDYCAEVWVAVFTAQNLTARAISISEATKREYALE RGADLNRLLRDRAYKEQHRPELTRFFHDQLRQRPRLPEERDEAPLTIFSHLVPDHKIL DVRVESSKIVVRRGYQDIDGNSSDSKSPSNSTALEYCPSLIFQNDTTGMEAATKFVQD YLFPYVHEDLQSLAHMLLGKAGISADKISIIIVAEFRAQRGRELLRQHGFGKVNIESL LVFGGA LY89DRAFT_682454 MDPQDQEEHERKRDKIGHFLHDEWHKTAEKSHDSLLKGALFLEH SLGLGHKPNVIPWSEPRIDCPQRTVLIGWHPVAGMGGKWLAERSGLGKMVTKEIGKYP DPTQHWAVLVGDYVHELWMDEHLDVIYINERVDSGEEWRTFEVGKTRFSDEALRQAGE MVIHNMRLARPEYNIISNNCQNFAEKMLDAIQIGAHQEFATAFAVYQRATGKGTIKDL FVDHHPEEQKTDIGVVQGEGGEQLHRVDTVQNAQVVMDEQTTKLDNHRRFFE LY89DRAFT_640584 MPPKKVTKAAKPAAPKTKAPAKVAAAAPKKSASVASRAKAKVPE AKPVAGSKRKAVDEEVEAPKRASKRAKPAEKIEAPEPKKAAATKVTKPAAKKPAAKKP AAKEPAPKKITPIPVPTKAAPKKASPKKAAPAAKPAAKAPAKKATPPAEAAPPKTNGV KRKADASVDVPPAAKNAKAGNTTTLNGETKEKTAKARAAAEAKAKKDAEKAKKAAETK AKKAAARPKKVVAPKPPRPVRVLPTINTPPTTKLDIYVFGEGSAGELGLGIGKDPSGK SVVDVKRPRLNHNLLADKVGVVQIAVGGMHCVALTADNKILTWGVNDQGALGRPTDAG PMKDMAASDEDSDDEGDAADDLNASEATPAEVDTANFAEGTKFASVYASDNASFAITT TGLVYGWGTFRGNEGVLGFRFDEPKGENQANSPILISELKDIVEMACGTNHVLALTNK GKVFTWGAGEQNQLARRVMARSLKNGLVPREFGLGRKKIVKIGAGDYHSFVIDDKGIK YGWGLNTFGQTGVGKKSDTDDIVMQPTPVDVLKGYEIKQIFGGAHHTIACTKDNKVII WGRCDGHQMGVETEDIPKNIAFMDADGKPRFVEKPLELEMQGACVATAGDTCVVIDTD GVAWSWGFSEAYQTGQGQGDDVEEATEIDNTAVRGKKLVFAGLGGQFGLVAGEPSVEN GAENGA LY89DRAFT_611166 MFSSALKSFSSNINSNYSISSTPSSTSGPWKIYDAKKKSTGKAV SVFVFDRKSLDAHAGSLGRSSASSLKKATEEVVERLKKEASSLARLRHPNILELVEPV EDTRNGGLQFATEVVTASLAGLLQEKDDQERAGGVGGRSSRYVTEDSEGGRKRREVEI DELEIQKGLEQISKALEFLHDNAGLVHGNLTPEAVFVNSKSDWKISGLSFSSPPDGST KPSSVNPINLSESLNIDQRLPRSVQMNLDYCSPDFVLDSNLNIAADMFSLGLLIVALY NSPHTSPLEANSSISTYKRLFTSSSTIPSATNSFLSSRPLPKDLVTSVLPRLITRRPA QRMSAKEFQQSAYFDNILISTIRFLDALPAKTPGEKAQFMRGLSRVLPSFPKSVLDKK VLPALLEEMKDRELLSLILQNVFKIIELLPSGRRPFTEKIMPRLREIFIPPSNSKTPP ERDPAKEAGLMVLLEHLKAITHNCSGKEFKDDILPIIHLAIESPTHSIVDAALRSLPL ILPVLDFSTIKNELFPVIASVFTKTSSLGIKVRGLEAFVILCGGSNDPAASNDGLSGI VNEAAAKKSSSTALDKYTMQEKIVPLIKGIKTKEPAVALAALNVLRQVGVVADTDFVA MDLLPVLWSMSLGPLLDLKQFQAFMELIKNLSTRVESEHTKKLQELSGSNGIKAKGND DFMSFDTGNAFGTTNGSADGPEIDFERLVKGTNGAPATTNSLDSGWDTVPVSPRPNID HQKKPSFAWSTPSPTAASGPSGSMAAPLRPQPGPTSRTITPDLSRFDALSPSSTQFSQ PLQPQSAFSAPLQPQTRSSYNAAPLQPQPMSGFQTQPSTINWAAAAAPTSNPWASTGS MQTSSPSLSSMGNLGNSMSKMSMNQRPTMSSTSSFALPPPPGQQRPGMSANSSFSLPP PPGGNAFQSSFSPPPQQSSMGFAPPAQQNMGFAAPLQPAKKSGLDAYESLL LY89DRAFT_705564 MLRQLRPQSALFKQSPSRLLATCMRAYSIEATSASTIKLQDIDP SKLSITKTKTPKELVPPNELVFGRTFTDHMLSLEWTAADGWLPARITPYQNLSLDPAS CVFHYAFECFEGMKAYKDKDGRVRLFRPTKNMERMNKSSARIALPTFDGAAMIQLIAK FAAMEERFIPDTRGYSLYLRPTMIGTQRTLGVGPPGSALLYVIASPVGPYYPTGFKAV SLEATDYAVRAWPGGVGDKKLGANYAPCILPQLEAAKRGFHQNLWLFGDEEYVTEVGT MNMFVAIKNKETGQNELITAPLDGTILEGVTRDSVLSLAREKLAPEGWIISERKYTMP ELFEASNDGRLLEAFGAGTAAVVSPVRNISWKGNLVECGLRPDQEAGEIAQKMKDWIE SRQYGDEEHEWSYVVPK LY89DRAFT_682457 MGSSQSHESRTRSDSYSNLKLLPTAQPSSSYRLRTRIRDGSEIA RGLRNIVLRIGSLRFRPLLARDRRVESCRTKFLTRRRKEATRTHHDNLNSTSLIKHSS INPLHSPHDTTLRQTETMPPIPRPALLSRAAKSVSVPTTRTATRAFSSTQNVKAADHA HEDHYDPPSGWLFGVKPGEKAEKEGWETIWVWGFFGSLGLGAVAYAFKPDTSIQTWAL EEARRRLEVEGILKEPEDKS LY89DRAFT_730795 MVSQTAMVVTTTNSWTITNLGPITAAWTPPPSCYETTTWNSNLN TLYIYDLLPSDDWNCMPPIAGKTSNYPTPNFPSIGFGNLLYYWPATCPNGWSTANTNA QSTVGNVTACCPTRFGYNGGLCEHVRPDGTGTGAVITNIMDASDRGHSPTTVFATTLS VVPSWLPIWAYPIYIMEQPGYSASTQRNSSVSLLNSHTKHLSGGAKAGIAVSILVVVF SISAGVFFYFRRRGLQRRQRQSQQELTGITNGYDRGQVISTTIGHTAGEQTDDPTSSE KEPDLPEYKSSFSRDRIRPEIEHLETAHDGVECRPSTIHVKTSSAVVAAINPSPSTRL PPTSDYRLGR LY89DRAFT_666416 MLHLNAAPRVTPRAQRSGPLILGCIWDMRFKALGREPQIPLNET FVNPVFVPRVMASRIKGIIDRIVRTHADGYRREQSILLVRAGEEDGFSAPISFDSLTD TALPLARTEDMGRSMLFESLCKLAFVSWLHSYFVKKLLSQTRS LY89DRAFT_666417 MFSCCGQRQKKNATAKDAQLSPNTKEPATNFNRDEINPNTDESS NGKQKSISTGERDAHEGGAADNTVPETFEPLSEEFDLNSSIFMPDPSKRKPSAFELAS ASYAEATDFDANKEQLPSMTEHEEEEEEEEVGEHHIPDVRGKVGDENVHAT LY89DRAFT_771813 MQLHLVTFAVGLLFQAVVSVAAPPSAQELAAFLRGKRSFEERDG VKRTIFEHRATSSKMDFVENSGVCETTPGVNQYSGYFSVGGTKNMWFWFFESRNDPKN APLAVWINGGPGCSSMIGLFEENGPCQFYNGSSTPSLNPYSWNEYANMLYIDQPVGVG FSYDSAASAPTVVSAPFVWTFMQAFYAQFPQYENRDFGLFTESYGGHFGPGKFSQLFH FSNSAIKAGSVTGQNIDLVALGINNGCYERLILEKSQIDYLYSNSYYPLINASTHASL LHVLETNATAPLEKCANGGTDAECVFANVNCILNVDNPMGEAADPNSTLDWYDIRQHG NNTVPPSTYINYLSDPAIMKAIGAQINYTECPNPAHDLFSSTGDPEARSQLPELSAVV QSGVQTVMFAGDADVVCNWFGGLVVADSLDWHGKKEFGSKKVENYTVDGAVGGTFKNV DNLSWLRVFNAGHEVPYFRKWPWIEEMRVVLTVHVEPALALQVFKQTMQKKALRHT LY89DRAFT_779487 MLFSTLLVAATLVSVALAVPAPAPASPTATDTTDVYAAQATVLT LSPVSNVKGKAFDRYVSIWLENTDYADAAADPTMEALAKMGIKLTGSYAVTHPSEPNY MAAFAGDYWGVNGDSFLAVPSNVSTVADLLDDKGISWGLYQEGMPYSGYQGPAIVYKS IANTPDKAAKVKNFTMFYEDLKDDKLPQWMFITPNMTNDGHDTNVTFAAKWCKEFLTP LLSDPHFMANTLVHITFDENEDYTIQNQIFTVLLGDAVPADLVGTVDDNYYNHYSELS TVEANWDLKTLGRWDVGANWNNDDYPAFDKMFFNSSYPGIFSSTKWAPQPVPDIHSRR NGRTTLDSIQDTWKGTDDLNYYHGELEIPDGAYPPVYPEAFSAY LY89DRAFT_666420 MPTLNSLLTIAILFFLLPLNTLALPTDSLATAIDILSARSDNDL LSRQTDDGTGQIACIPTDNLHACQLRSTQFPNKDAVITVFDPWCNKVGVAVTTVQTVM DQYVAVPILDWADVVEVTWWIPLWSWSPSGVYQGMKFGQNGQTDLNQNIGCDEGVVDE FLAGGVVDYGFGGTLVSDRLIRCIISWRSQALLRENAGWRPQGQFMHGI LY89DRAFT_682460 MSFTCPICPQKFATWAALTVHISDNGEHVPCPQLDCIAVFHDEV NMEKHFNKMHKQPSSVSHSTTLQPPPKGRPSWIPLEAFAPQDVPIQSMPPGLGQTSAD LRWSVIPAAQYLEAITKLRQRVHSHELLEKRGYFRSSQDNRFQSAPLAAPSILKYYAV ALDCEMVGAHDGEKEQSVLARLSVVDYLTGFTIIDSLVQPDMRVTDWRTRYSGVTPAA MHTAVRAGKAIRGHSGAREALWRFIDSETVIVGQSLRNDFEALKIIHSRIVDSGIVTA EAINVPSQTQVGLKVSCAELLGISIQQDRRRGHDSVEDSLAAREVVLFCLNQTEKLET WAKVKREEHAKAAARREVLAAKQRAERREALDKKIAWSRDPWPEEPTREEAAVRTVRL PLAESLLRMIMRVSKQNEMISPLQATWGTTISRTRQEWSEAPSENGYDRLFPIVKLPL SKYADALVHDKRDEKLDQGWSFSNSQAEEQLDVHAETSQTILDQPLDCSEDAFLMEPL IPFSPRRDGC LY89DRAFT_682461 MGWFWADSDVSVVNARGAPHAIPKDNTLSPPPGCPMHQSSAAVA KPPTPPAESACPYTPADLSDSKAPSKYSKYNPLNYMFSDLTQDRAENQTVALPTEREP STIPKGNGEGNWEYPSPQQMYNALLRKGYTDTDATAVESMVSVHNFLNEGAWAEIVEW ERRFGRGWGRAWEICKRGEQASMASASLGANEDEVPQPKLVRFMGRPKEMTPKAAMYQ VMGWIYPSAFATEPPFDRHDWFVQREYKGQKKEVRYVIDYYSGPDDTDGKPVFYLDVR PAVTPTAAVERLMRWGGDVWYRGSGAQLREKQDA LY89DRAFT_716106 MDNPFISVRKYIKWGDEPRGENTNTLVLTTRSKHYIDIRIHLPL PLPNDPSDATAINRLEWAFAGTCHSTPAKLSRTGELLKPAHTVWKHWVDSNNDGKEEV KDEGDMYPQSDGSVLEYGEMVNPARGRRMKYEECWEDVEARLVGVEEEFVSWILICDD EEGGRKGMLVRVGDVIQGLVRDGAGSRIVRWEWRAGENEEEEEWERTVGIGRLEVPGV LFEEGKRVEKGDELMGSDGVDWVCVEAFEWS LY89DRAFT_666424 MANTSSSPHSSNESTSTLPATDALPEKPEYRFNFGKYPGRTLES VPPGYVVYLIKKNGAAARPDLKAALDKRPDLVKPKSNESSTSRAPLDATGRPRLRAES HDEVSPPPKDQKLSSSPGTMYPVRENSKTLPVARTDAENGFRPPLPQPPINKTDVQAG VSKQNSIYRIHFGRHDGKTLSEVPNDFILRLEKLINLPNFTQATPELRAALREFRKGK IKNEPAPSAVQLRPPESIKPESKLVVYKSSIPQDSRGPQRNHTNIPPKAFQKAPWTPP NLNYAPRRKFWDSFEEQFIWISASDATSFFGLTKEHFDQLPVMGGGKAKRFWLYHVWD LFRYNTSQTKADAALKAFRDKNDDKTHEIWGRLGLGPG LY89DRAFT_771821 MSKCPKCGRSMESRYPTYKPPAESHRPSSGSSHPSGSSRPSGSH AQRPGSSANTLLSSGKSLFKSQKDVSMSKLQTKRSRMPDDSRQAKEQDVWANTQLAQN GASACPVGLLWSRDRDGYRCIGGGHFVSDQQVADGKGGWYYGGADKHGIMVQWYGPFY EKDPFSSRMSEEKLRMVRKVHFGSENPTAEDKIWTKSLPRPSDSELSRKMASLGITDV DSYRKHVLKKLHGFNKLLGSNGVVRLNGFPGSGALDRFFDPHH LY89DRAFT_779491 MASTSTDERNITAQSEALTEFHKFPKLPPELRLLIWKFACPPSN ILVHPRDSWDDQGELHLPIGYEPTREFGLSQRLVRDFVFACHDAYKAYMESKPYHIRG QYNHARVIRFGPDDPIFIQHLETFFKSPEWDKLENSEWAGEITDLVVHPIGHGDFDWL KLLAVFKSLKKVRIMVGFWDLSIPALDEANSQRDLEDLEKLRQNNIENVNIPGIVTAS SYIIQCSRSENEAWI LY89DRAFT_578519 MYILFSFLSLLLAAHLTTAIPYSKYILAPSNRTLHPASIHRING TITNASSLLSNSSTTGHATFHPNSSITFDYLQNIAGVVSVTVLSSTSPDAFIGLTYTE SSLWINKQASDATAPAGLDEVLWLPVGKGPGTYTVERWHERGAFRYLTLVNNGSASVV VESVVTNFTAAPLQDLRDYKGYFHCDDELLNRIWYAGAYTNQLCNIDPYHGDAQVHFG DITFNETINLPQTNTWYNNITITQGGSCTVDGAKRDRLVWAGDMAVSMPSIFVSTNDL GAVKNSLDSLLALQNASTGMFPYAGYPFNEFGIVSFTYHLYTLIAVSNYYHFSGDLEY LQNNWGRFTRGLAWSLSYIDDTGLMNVTAPADWLRVGMGGHNIEANAILYSTLTRGIT LATLLNDTTSISTWTPLATKLKTAANALLWSPTTTLYHDNETTTLSPQDGNTWAILSN LTLSSQQNSQISTALSTRWGPYGAPAPEAGSPVTISPFISSFELEAHFLSSNPLAALE LMRRSWGFMLTDPRMTNSTFIEGFSADGTLKYAPYSNDASVSHAHGWGTGPTSFLSFY VAGIRILGAGGGEWRVEPLVGDLGVVEAGFWTGKGWFESRVQARNGMVVGMEVCAPAG TRGSVRLLGVVGLLRSEKGEEVTLVDGLAEGLGGGNWTLVLA LY89DRAFT_578995 MVPLGIFVIVLCVLFEVYKSDFERWVSPLTDWLRKREKWSWTIP VAILFVLSFPPLFGHEIVQLIVGLTYPLGVALGIACAGSILGEAGCFVVFKYCFSGYV EKKIRQKIKWAATARVAQQAGFKGVLVIRYSIVPPHLANPLFACTGMKFWLYMSTVIL SLPKSMVFVALGAPSSEHSKGAKVGKVIAIGIVVAITSKAFSNYSLNYDLLIIPSLC LY89DRAFT_455217 MSMKNHPHLIKLLATYKYDGKYHLMFPFANANLRKYWDDRPNPS FDKETVLWSVQQMTGIANGLLRIHNFNVTRPLSINGPGNARVQKDAKLSVQKGEEWFG RHGDIKPENVLWFAQNHETDNPRGILQIADFGLGRFHGRDSRSHVNPDTVFSSPTYEP PECKLRLPVSRAYDMWSLGCLYLEFITWLLKGSDEIDGFSNSRGREATATGIDDDNFF TIINDAEGPRATVREQVLTWTNELHSDEKCSLLMHDLLDLTMKGLLVVKSGARFEASW LFLQLNECLTRAQTDEEYMLQPVPRTSTTSRPEQGRANTAPGLRVHAQTNPKRGSVVT FVDTKASVQEKLPRDLVFRTSGTPAHMKTWPTHGPQTVIREVPQFAR LY89DRAFT_640613 MAKRYALDDLSSLDKKIDARAHDLFKEKKGHAGLQVTRVFRRKS SKGVGEKNCFVKNLDVCDSSQVEGMRENNQDDMQLYTIRQDRSWTTLNISRDLFQCFT AAHGIMAPFWKHVFTFGRKSEENEFQFPQFCRRRRTDSGVKVHEYAYMLRRVELNGRA HTEDDNPWSIRQTAVYHRLSAPKTQSLKSTFLLIAPSENVEDQFGRCLSQSNAEDGMA LSPWNVHRILVADSLRGWMDYMAYLEKGLKEQSDHIVLASVGDDKVNLSPLTDFVINF DNRQQLKIIEDQVLDLQVILPGMLDAVEQLLREFSSFQTEEDGELNAIVGEFEEYVRE VKMLVERSKALNDMARSTARLLSDLLSYEEAVSLKKLSIETQIESKSMCHLAEKSTKD AAAVKILTVITLIYLPTTIVANFFSTQFVQTTDGHMNVAPNVWLLAAIAVPLTLLTIL LWWTWVHFTEVKPMFNPLQPGTVTLQRQHSFRSMVSSKKSRQKQGDLEMGMSFQVPPM LPVRGSPTSTWNSQASTVKIG LY89DRAFT_730809 MSSTSHPTFSSEPQVIDGQFLDQRKLMRLLKDVYGTSEEGKNNF RVELRLNRYRIYPLARSTSNLTEDQIEDCRAIRRR LY89DRAFT_705572 MGIIPIGDRTHLKNSMSPGWKKTDPSDEFSDFGDGHTETTSLGS SVLNYTYENGRRYHAYRAGQYVLPNDETEQERLDMVHHLFSLTLKGDLCAAKLHNPQN ILDLGTGTGIWAIDMGDAFPSAKVIGTDLSPIQPNWTPPNVMFEVDDASSDWTFPENH FDFIHARTLGGGIRDWPALLRRCYRHIKPGGKIEISEGRANFYCDDGTMAPDSHTSKW LTEFHKIGASIGLKLDFIEDIPMLLEEAGFEDASLMNRVVPVGSWPKNKALKRIGAVF RLQFLESGLEAYSSALFTRSGWSELELQVLLAHVRNELLSNQMHLYTYTTFVTATKPS TSV LY89DRAFT_682469 MALLTFTTLAGGAVTTFIVYYVGLIIYRLYFHPLAKFPGPRIAA ASLWYEFYYDVVLRGKFIWRIQEMHKQYGPIIRINPDELHIDDPDYYDEIYGSTTKKR DKYGPWVALAGTPGASFSTVGHDHHKLRRGALNPFFSTKAVAELEPVVKAKVEILASR FEQAQQTGEVIKVDAAFMALTMDVICQYAFANDDNMLSKDDFNLAWKEMIIGAFEGGA LLRQFPGMIAMMNAVPDSLMGIMMPSMSLMLDWKAGVRRRVTPILNRTESISDIENAS HRTIFHELRDSGLPPEEKTMARLCDEGQILTGAGSETTAKALTTATFYLLEHKQSLEK LKHELKDVAPDADTSAKLSQLPYLSAMIAEALRLSHGVTTRLPRIATDEIMRYKDWEI PFGTPVSSTAYFILMNSKIFPNPKDFKPERWIDATGRFDYALEKKYLVNFGRGSRQCL GMNLAYAEMYLTLATLVRRFDMELFETAVEDVTMVHDFFVAVPKLDSKGVRIKVTKAS LY89DRAFT_730812 MLQSGAAITTYRVEQSFTCQSVGNGDFSVMPHGYEGSYSVAKHN LSGSPPLAIFVVNKTRSTYTITPGFASGSGTPKYIVNKSAFGYQVQMAHDAPSYSGEA VNCAEALIASDVGILLGEIDQDEEWNGVSSSRGNLFTSSGTRNHFTAPGGGLPPHIQA RITTRREKTLGRTLPQKPDKKEIYNVTMMMQMISRRNTQTDSITGQEITKYLVQQNFE CRPTDENNFALEVYDRGSQQRTSIFVVRKENRNGYTVSKRNASSAYHCSTFSVRKRPT SCLVTPGFGSCSESPIKYLVSQSLSGYEVAAIRNESSPSLSSQEDEYHVEALNAFDVG VFLCELN LY89DRAFT_730813 MSQTLLHQSIRTDRHSGREITTSTVQQSFTYERTSIGEFIYTTS PTAGENRVSKFAVSTARGYMLKVIKFVTNMGTKVRAFRASES LY89DRAFT_730814 MFVTADQGLRRATAFEVRKNGSTFTVTRNAITDAELGAKFVISH NIFGYNLAKVLGGDDESGTYGVEDYLEAFDAFDVGIFLHCLESGDDSDPLPAMYIDLD FASSSPNILRDFDRLAPLSGHLDFTLPPDILQDRMAIIRARQVAQQPQITSEMTAERV AEHDD LY89DRAFT_666436 MSQRIISQDTRIDRYSKREITTYTVYQTFIYEPVGLEESSYKTG NVAGGIRAYKFAVSRGREHWFRVTKSAASGRGGTTTFEVSRNMSTFTVTRDNSSDFEL SVKYAITHSALGYRLLRISGGSDTYYDINEPEDILEAFDAFDIAIFLHHLQTGDSGFD FSLPSASPIPEGMEGNLTTQRIVAARSMDVPDI LY89DRAFT_779498 MILSMASWIATAGYITATAALSAPLCQSVAPNQTVLNVQTANVS VPEYPFGVIYARPDVAFVSVVGNVTVLNTTTFTPTVIRHIPMPTPFYQKIDSVNGFAI SHDKKTVYVAVGTGAVAIDVEKAISGEDAIAGTMGGNTGATAIETTVSHDDEYVFVSI EDGNNVTELLGTIESFHVKRASNGSISSTYVGYIALGHEVVGSALSHDGSKLFVTSES AATGGSGTLSVLDVATLKTNPSQALLVTVDAGCGPVRVRPSPDGKHVWVNARESNMLL AFDTGKLYRNSSDSLVASVQVGTSPVSFVFVNHGRHIVTADSNRFGYSNATSGLTVVD VNAALNGKQGFPRIPTGIFPREVSLNPNGKTLLVTDYGSNVVEAVNVTQLSSL LY89DRAFT_705574 MTITNGSKVGKPFPPGVHVPSLTWFKDTPEQEIDWEVQKKHLSF LIESGLHGIVIAGTNGEAVTLSSLEKAQLVKTTRELAVQLGRPDLTVILGCGGGSTQQ VIAETRLAKESGADFALVLVPSYFHFAINEDAIIAFFDELASASPIPVLIYNFPGVSS GLDCNSEILEKLGHHPNIVGVKLTCGGIAKVARVRSRFAPETFCALAGQSDWLLPAIS CGGTGTITGLANIYPRACIELYNLAGGGSKEAEQAQIDLAAVEWGFAKGGINGTKWVV AKYLGYPEASCHTRRPYPRYSDAKKQAWILETMRPLEAKEKALKKIA LY89DRAFT_716116 MASVTHSSPGDPSSGSGSNVNRRPPRSKQGCLTCRRRKVRCNEQ KPRCSHCERLNLQCSWRPVTSSSINQMPRQPSENGNHRQTDINSGTINESLSMTAGAV DLSQMRQPVDGSFNDMFDYASFMWDHELENGTMQPNRWRDLGFTDMDVLRQRDEVEET NSMNSSFLPNGQNMNFRDQISSLDPAELSASRRTGFESSDSNGNAFEERMLKDYFVRT VVPPIIAQVETQFRWASMRQLLISMSASSAMVHYAILAFSELLLGRKSYTQAPKYQKW YDSARLELSRQRTEGIFSGASPSSTIFEHMLAALFFLSYIDLLEGRIVDAHANLKEAY EAFQVADKSQLRMVSKRLISWMRLLDARAVTAGGEGLFLLDNDDIVAQPSPASTDMTE SGGNSNDIGEANVEDVLFDTLYQPGFFFFQRIQSFMGRISKIDPWHRSRGTVEDETEV MSIAAKISRDLGTLWESRPPLMDYALNGNLTSAHISRSLVFTITRTFRTYFANYNASK IHLHRVAYKHLPLSADTEQAISNIRDTARLMVEVGAASGPEQEMLPVNMLWPLLMWGT EENDPEARDWIIVQINNMEQVATNAKITAQVLQEVQKRQDVSKQRVDVRTVMHASSDA SSYISSHPSVAKAERAFLELQR LY89DRAFT_579558 MSADPEPLPLPVIAVIGSLNADLTTYTSRIPSGGETLHANSFKV GSGGKGGNQTCACAKLSRTSKDIQNGSAIIKIFGAVGNDAHGSMILHDLQASGVDTSG VSMKDGVETGVAVILVEEESGENRILLSAGANYSLQPSQFSLLPLPLPSLIILQLEIP VPTTLEILRTARTQNMEVLLNPAPAIPLPEEAYVGLAHLVVNETEAVILSGCSPGDIE DEKKLPDVARIFHGRGVKNVIITLGGRGVFFSSGEGNNGLLKARKVEVVDTTAAGDTF VGAYALEVVKGEFDIKSAVERANMAAAKTIGKRGAQSSIPWADELDSS LY89DRAFT_611208 MGVAAFAAIGTFLFGFDSGIVTTTIAHQSWKDYFNHPTTAQTGA VVATYIAGECVGGIFQIFAGDRLGRLRLMQLMCIIVTIGTVLQTASVNFGMFLAGRIF AGMAVGGLIGTVPVYLSEISAPHHRGLIGGISGCGISFGTMMSNWVGFACSYAPYGAT QWRLPLGLQIPFGIILFIGLLTFMPDSPRQLVRDGQIEKARREFIKIRRDLNADEVHQ EFAFMQTQIEYEMQREIKSYREIWRLFKHRVLVAVGVQTMTSLTGTNVISYYQTILYK SLGISSTTILCLAAVYGTVGFLCNCLTSAFLTDQWGRRKMLISGLAGIVIVEIYAAIM QMKFQNTENSVGKAFAVLGIYLFAVVYYGMINSTTWTYGAEILPIALRSRVMGLGALG HFVVNVAITEAGPTAFAKIKQNYYYVFVSCSFFFLVMAYFFFPETKQRSLEEIAAAFG DKVVLPDENGVAVEEAIFRDKGDSEEVEVVRERV LY89DRAFT_579286 MIQFISTLSLVEYNQPPPKAVPAQPGTPDPFREFFLQLQAHATP GLSSWGKSPAKGKIPGLRKDDTAKKQECVICVEEKPLQHFPKITDSCQHPDQICLVCV QNWLAREADNTDWDKIHCVACKEIVPYNHMKRLMTKAAFEKYDQYSRNATLSKMPNFR WCISSSCSSGQIHEIDTDGYIFYCGACYTKTCVIHNVPWHEGETCTEYDYRQDPKVKA AEERASKIEIKGSSKPCPGPGCKVNITRNGGCEHMKCELIFELWDARVNENADSRQVR DVIMSSVGSVWRISIRFGRMGIRSMRRVASCTRRICQIISIIHYW LY89DRAFT_716120 MICKECERLNVEDLFQQAHNSPAHIILHSSYELLETAAKNGCDT CRTFYNHFNGNFKDLKKKLSELENDGSALPIVVFLQMAFSQQNGKRPIVKLHVQIGDQ PDRPGVEQMKISFRVSLSWAASMVDIPLVGIYVLKVILGLEKETRQAAFELRYIEIDH DLGSDQNFGIAQGWIRNCCNDHDPAICPALRDVNLPTRLIYVGPPDNHDQLRLIQTSK TEKGRYLALSHCWGPPGTKKLLTTTETLESRLASIDQSEMPANFSDAVIITRRLGYRY LWIDSLCIIQDSKTDWETESQNMGNIYTNAAITLAAAAATSGEGGMLTKGYQPVSNDK LNPKNWFLTDSIGRSHVAFAQDNSQSGIAQAKSVLSSCRIKLNSDDEAQSIILDPLTE FSDLEENWFRCTVLGPLALRGWCLQEKLLSRQILYYGNRQIYWQCASARKAADGEDVP ASAARSEANIGNEVSDWPDILSLRELHQQAVDSGSLEKREAVEIKIYKTWHNVLFLFI NRRLTYDSDRLPGLAGMATLIHELTDDRYVAGFWLKYLPVSLLWTQTKSVLREDAPRF IADRYELEKPEWEKKDSKHTGPSWSWCSANINDVLDFWADHNEEYRTRFWRQQDVEIV DVNVDLVGENPFGQVKSGELVLKGYTYPRWDVRCLDQGPLGGLRVYSSLILGFCPHSP WNRDRGNDSGCEDRAVLWDYWPRQAMSPPVRTWKHILRWLVELFTLLLWQGLSGFSRE RGRTDCGACNEYLCMHILSLVEKRAGKDGMYEADLYSLVLEPVPGDKEKYRRIGMARK GTYVTEDEFLASKTLDTNERRLPKIFSEWKCQEVVVI LY89DRAFT_705579 MGYSASVAVTALCLGTAFAGSIKDIKHVVLFMQENRAFDHYFGT MPGVRGFADPNVQVNPDGKTTFQQLVTPALTNASDILQPWYINYLGGDWLEATQCIGA GSNSWQAMHAAYNDGLTNQWAATNTPYSIGYFKRSDIPTHFDIAEGWTVGDMYQEGIL AATDPNRIIWMSGTVNNPGTPNNPNGDGGMILDNSATPGCEKPHLNCYPFTWKTIPEY WQDAGVSWQVYQPVDNFEDNMLAYFQQYQAASNSSPLTTKGNSYLGLDKFYADAAAGT LPQVSYIVGPPELSEHYPYLPSDGAWLQKKVVDAVTSSPLYKETVLMISYDEVGGYGD HVTPFHAPKDTPGEWINDPYGEAGDTPVGPGFRLPFYIISPWTRGGHVFTEHADHNSQ IMFLEQWLEALGYDNVQSKELPPWRRQHMSNLVNAFDFNNPKYSIPHIHSTIAPLTDP SESVPSDGIIGALSGDYIGAAKCQADYPTAQPPVPSLVDNGFKQVRGSLTEGRYLTFE INGYALTNTGKIAPINQRWVLHQVGDLYSGNTFQITSAVDGRYISYLQGLTSEEALAE TFAITDFGNGQGYTMKPGGTLALNIEGNGAVATTLEQAAFQIFSVTYHS LY89DRAFT_611217 MFFPTRRAVCYSVTVVVLVIGIYTWLNIGPYHDVLRIPQYNLEQ AAAGLDHERANLAIVLRPEEHVEREPKTVEYRWNITTGVRAPDGVTKQVYLINGEFPG PLVEAKSGDELVIEVYNGLEVEGTAIHWHGLKMQNMNNMDGVVGLTQSPILPGESFTY KFTILEEQAGTFWYHAHSELQRADGLYGPLIVHERLNTANAESRNFEYDEDLALMVGD WYHRSTSQVQDYYTDWTNFGNEPAPDSMLLNGKGKFECSMAVRARPLDCKEVAMPKLQ MNGRRTRLRIINTGALTGFTMSMSGYTMTVITLDGGNEVASAPAASSVGVLYPGERMD VIIEKVETENEKEAIMTIKLDKENLKFKNFALTPIQHFPISATGLSDQMGGYQASLPL YDLVDARSPELKSATVPTAMSSQTILLYTKVEILAEYSNIPKGFINRTSWNEHPPDEP PMINWNRQGWDERFVPQIKSSDDEWVEIVLNNMDEKGHPFHLHGYSFHVLATHKGKPG RYQAYNPFDGSEPAGGPLNTVNPIKKDTVYVPGMGYVVLRFRPDNPGLWLFHCHVIWH HAVGMAMAFQVGGSENGFAGWWDGGEDATAWR LY89DRAFT_705581 MPSDIHDGSQGAKEIYNSASGASDENQKLEFIYTNLSDLSVGLD TSTRRKTAGVRFVASGLPRDALSLADLAAKCRQDCLQLLEIVSRIRTRASSGPKMWRS FRVALTEVLKANEIERLEERIGSYQRLMVLHLCSASKGTIDGIDAQIKAIRFESCVTQ NQRSQQINQISQTLESIHSYISRILSDRNKKHLSGDEIEQLTTRVSELSLASEEYATQ NKLLSSLNYEQRPARHESITDAHKKTLIWMFSSCSSESGEKNNFAEWLREGKSTFMKF VADNRVTDNLLSQWAHPKDIIKACHYFWSPGTDIQRSQDGLLRSLLYDILCRIPGLIK VVCPERWLQICSSRQSALPWLLPELQETIRMIAEQSELPFKLCLFVDGLDEFGGDHSL LCELLTQLSRCPSIKLVVSSRPWNTFETYFGRNSTRKLYLHDLTRDDIRNYAESQLLE HPNWNVLKTESGHCQAVWLVDEVTSRSRGVFLWVFLATQLLRDGLTNYDTLLDLKDRL ERIPDDLSVFFRHMLESVESFYHDKMAGTLQIALAAKEPLPILVYSFHDLGYEDKFYA MKEKVQPWTKQELDAFQQPFSRRLNARCKGLLEIQGRNVEFLHRTVRDYFRTAEMQDF LASKRVDDVDPQCSILQAYVAWIKHKTFFGSSQPTRLLRIGVVDYIEHKLDEDVTFLD TLDVPPLAIALGLDRKLESGIHRGWCIHRVNVLRCLLRRRHNSNQEFSWAKDGIRETP WSTFTANLLRDGLEIETQELFEALEKGVISLLLEFGASPNLTLVTSEPKWYLSPFVTV CEVPLWVGFFLFIYSTSDLVEHAQSYLQALDMLLAKADLDTVNHGLSPFQNDLGEKKL STQAKTDMTARCAVFHIIGRSLQRMVNVSACQTYNYPHLQLLAKAIGAFLIHAAHPSL PIVQVQGNIQHIFPPSLEKYLLDIIRTHYPAKNNSSKSCKRGASTNDSAESTEREVIR WKRSKTQSQSSIQS LY89DRAFT_455463 MSTSTVNLVLVKLVPTQPCKPDVFLSTLKNLTITAYDLDVEDSL VGTEIGTAGGLTDLAGLLFNPLDGQQHTKLDNSILQEFSQDTSTPIPIPPQPYSVATA IIIVSPPLGRSKLNLRVQYQLNGALVGTTLDYGVQVIDMSLVPDQKNYFTMPASTYFS LPLQPPSTSTVQLPSDGSAPDFGTLLKAINAALDQDTSPDTSYSQHLETRSTPLTASQ SQQLASVITWDRSLYPLPVPSGSLDTIYTLPLSGDSDTDAENSRNQFSSDLSNYYTTY GADATRLANFVYAVSAAITCEQLSVSANTAGLTFPVEADTSSTEADKSSTKVEADTSS TNAVFSEASLVFTYTDDLPAGEPRFTVPAAFFYALGAILPISIPASQRFSSAILSTEP QLGTIFENNIQAGILASSIAPVTVSGNPVNQYQAARRLAALGFTSNTTVDLKYLMTKD EKGNLEQPDLIGDWLSFAETSDLINTDFWAKAIKNHPGEYLNFLLQAITQGETALVDA IKTILHVKTVTKLVGITPDQWQNFFALPASNGNPAISKLLPDFTLPGTTEQRVNAFVQ RLGKFFTVTGTAVLPPQVSTGGVATFGIPAGDALQLFINQYLIQIGKTVDFSVMLDDK DLEKVVLAVFPNDPRNREGLKQAVEIITNLFQVTSISQNKSPVLADFQFSLMEALYAR GFTHPRVITKLTGEQFQRALMGTIAYPKTTSNQIYSLAQAISTTQSKTGPSQVGSFIP VNQANSLVSVIPRNNLAPFGLVAYLHDILAIPLGTTTLAQVIGLRRGLVANLKVSVEN LETILPAIDLVNEALEAVGANVSNPGGVVYDTDVQLLVDRIGLKVEQPNILTAIPQYS SPEPFTNPNVYNALKNCFTSSYLPYSQAIDIATSNLSVLGTERFDVLRHFRKDITEFA IDASLGPSDFQSHLWRYPVRFELALSYVNITTEEYWSLFASDVPDNELLGSVLGFDWD FSSEFSVSVRNFLQFTGINKNDLLQLSKTRFVPLELASKDPLGSTSTVHLNVPNSELS LTLRKLFLFIRLWRCLSRSVISSVSWNTLALICEALHFFNKAVNPDFPRQLAALLMLQ NHFKLSLVTRHPDMPWANRSTRTGGSLLSLWALPAMQSVERDHAIDFFLHRIQDYSKS CHACKDRPTGFQKALKDNLRALSLLAGFTDEDPWYAKPTCTIRFAEILAKIYASNLSV GETIFLFVPDCHLIGDDPFPLPDAGKSAIETLKYPDDDEHGIWQLREQLLSVSLGEED IVGWTWQEMKTVIFELGGLQAKDSFDALGIHFFPAALENCGHQVNFRDRRFEVELAVA DTTPSLWTIDQCSPFHYDAEDSGKLWTELPLPDEAVITLLKSSRQLNAKEIQAVQDLN FAPRALLAPFALIFENFPAAVKTLLHEHQEERRFAFFQEQFEIFHRRCKKIADHLAKH VSKATGKGSPDNKRVAWDVLRHIAADENVRIKTAGLSDPEYTWDPSLSGGAFASLLGL VGTGLPGQYEIHHKDEPSKIWREFSGDLTFFGDENNETSVPIPTVLPSLDLSIETEET NIEIVNGFAIRDQDGKSLGGAEPFRVVWTGALLIASAGEYFFHAGHPRPGDETPSFEE AKHDCWLLTLSSGQKSWTVLNYGWDASTTCEAEPEGLFLQHGVYHIEIHYKHQLPTSA GEKHRRTGFQVKYKGPDTDDVLSVVPACKLFQESKNATLGHDLTVTGSAATYLNSHYT SSLRDIRRTYQRVFKGILFCSRSTLSAKPIHKDNVGGSELQYLLQNPKSFVGTSYYRS TTPETGFKTCRAVFDLNLLPVTDPYKSPDISDDFRAHPSPELQAALFDWWERIFDYSQ LRDWVRKTRNRDCWMLFFEASQQAPKPEKLLRYLSVNSSFAPQVLTYFDPSNPDSQVS VSTADLTDERWATRVWRSSNLIGDLKHRFVSEQLESTRPWLWASDDPNTQIGDASGNQ NLTNFVLRSLLSKPGSTIADVKKINDRLRLRAHAALLAYLCGKDRVALPFGQATYVRE PRDLNALLLMDVEVGANKKTTRIDDAIISVQTFVQRLRLGLEPEFDISSEFIQTWDAK YSTYKTWETAKRRELYEENWIQWDELQEARKSEGFRSFEQQLRRGVLSVPAPTKSLYW NGPQLAAEGDLEDLQSVEFVSLENTPLSTDTQISATTSGMSLLGQPEHDGQPSSLSSL PGDDSAVGDVEQLPLWIQAAVRLGAKFIRVTASSPPPAITESRSHKHSHAIHDSLGPS IDEYYFWLTPLQYFDEKNAEQKLGLGATPPDPACDWDPDRVNADGVKLKDLLKWQSQP VLYLSWCKVHLGQFGTPQQSIRGAAYNGSGIPALDLVGRQADSLLLNANGVAAFQYNI ADDSVSNLIDDVSSGSSAVPIIPVIDTSQFPKPLSAYPYFVYFEPGAPLVPPSNFSTA LSVAQTLSSQGSFEAALKWFDLAYDQPGSPLKRDNSWMSNPATSTNSGDTRTKAILLE YLDTLLQWGIQLSRKDEIESSRQALVIFNLIDDILGDRPIEVFSDDTGSGSMTIQNFS PAPLPLNPRLVALYDSVADNLTKLRNQSGVDISSHNSDLIRLSPSSPHTPHRRLPYRF TSVMSKATELAQMASSMGASLQSALEKGDAEYLSSIQAGQERQLVTRALEGKKNDFRA ADWDVQSLERSLQHSLCDLNSTQTNIRNGNNSNENDYVTFTDVALGLRIAAQALMAAG QGVSVAPDVYAGGAGALGSPLQFEKITGGSSFASFFSFASEIVNSQADAMGTTAGVRL TQSTWDRRMDDWMHAVDMTTIELQSLECQKLAADRRRQNALFDVNNTQRQIDHSVETQ NFMRDKTTNFDTYLFLQREATIAYRQLYNLALDAAQVAQESYHYEIRADYRNFMKDCS WDGLHSGLMAGDRLSLALQEMNRAYMEHNIREHELTKQLSLAMHFPESFLQLKLTGKC EIAIPEWIFDLDYPGHYMRRIKNVNLTIPCVVGPYTGMHCRLELLNSAIRVSNILAES ASEMGGYECQSLTSDDRFAFLYGAREGIATSTGMSDSGLFQLSLQDERYLPFEFAGAV SKWKIELPPENNQFDMSTLTDVIIQLNYTSRDGGEALKRAASLNAQTRLPGDGWRLFD VRHDMSNAWAMLERSFIHEESREKHHKRMRPDLRLHLHRNMFPFLTGRRGIRVVRFEF FVQLATTPSAGSHFRTRYYAPGIKGSHEDEDEDFYHDSSEDIWFDFVAHAKWPGLYHG SLYTEIEIPLKLRSGQSDGSLLRFPEKLGEIHEFYILCQYEAVDKAP LY89DRAFT_666449 MLSAKNTNSQQQDGGNQAKGSSGAENLPSISLPQGGGSIGGMGE RFQVNGASGTGSLSIPISISPSRDSSGPTFSLNYDSGSGNGAFGLGWSTSVPSITRKT SQGLPKYLDEEESDVFLLNGAEDLVPLLRTRENGDLIYDKMTGDPIVYLEERDGFAIQ RYALRVESSFTRIERWTKVIAPEVGQIHWRTIGPNNTTSIFGQNENSRVESFHVKDGE PKRRIFSWLLAETYDSRGNATTYEYKAEDSIGVSEIELSERGRTEQGRTTNRYLKYIR YGNRIPNRDSNWNAFSAFQLSKLDWLFIVAFDYGEHDRERPSLEEEAPWYCRKDPFST YRSGFEIRTYRLCQRIIMFHCFSEHLGKRHYPVASTILSYDENLTASYLTRVQRFGHL LDQDDCHSKAFPPLDFEYSRFPTNAELSKLMVKELDPPSLANIPAGIDSSVYRWTDLD GEGIPGVLISQNNSWFYKRNMGTCKRNPEAEESEKSPILGALETIYSVPFVAPSDEAA NFTDVQGDGILDLVWMGTPTWGFFSRNTDSDVGWNGFQNFRSVPKISPNQTFKSIDLT GNGLPDILMFEESDFLWFPSLGEFGYGHSSRTTPDLVENLPQLLFADAKHSMYLADMS GDGLTDIVRIRNGEVCFWPNIGYGQFSSRVEMGNVSWFADDDAFNPKNIRLIDIDGSG TTDILYVGSHGVDMYLNQSGNSLSARKRLTIFPSIDANSSIDAVDLFGNGTGCLVWST SLPGNANASMKYVDFTNGSKPHLLVRKINNCGLEISIDYAPSTEFYLKDKEMGRKWLT RLPFPVHCVQKVETVDHVSRVRFMQRYAYHHGYYDGYEREFRGFAMVDEWDTEEIDFA SSTTSADNLDPAWRLPPTHTKSWYHTGSIPAKSKPPLNSEYFQLENSFLGRKNNHTGP ILGDSILPEVEDYNSIRECYRALNGTLLRQEVYADDKSSKAHIPYMISEFSSEVRLRQ PLDLHGHALAVVNPRESLIINLERSLDDPRLRHRMTLQIDQWGNVLKSVDISYGRISS PLVGHDAAVQERTHLTLIENDMTNHVDESDDYLLPSVFETQSFEILGWQNNSKWFQFS QLRTENFADFEEVPFENWKWDAVGKRKRLLNRSRIQYRSNDLRRVLPLGCMESLALPG QTFSLRLTPGLLSLYNRETPDGSNGELIPDLCDTLATKGRFVDLDSDGNWWTQSATTY FSAEDTTPEEELSVAKTNFWLPLRTMDPFGNSHTVEYDQYNLLPIETVDALGNKVRCS HDYRVLFPHSITDPNGNISKHVFDELGCITATAVMGKPGEDVGDSLDDFKLASANDLH QFFTKPEDQIARKLLGNATTRTLYNILQYWLEPDPAQKLPIVNATISRVTHASHSIPE AVKMQISFAYHDGFGRVLQVKAQSDSAKTMDEVWLTSGWSILNNKGGPVKQYEPYLDS THNFIFGNEVGVSSTTVYDPLGRSIAVLYPDHTFSKTNFDNWMTKTYDANDTVLMNPK KDSDIGHFLRLLPDSECLPTWYEKRIDGSMGRPEQLAAIQSASHADTPSVSHLDSLSM DFLAIDDNGAEGLYETRSECDGQGLVRKVVDPEGRIVECSDYDMSATIIHKSNMESAE RWVLFDTSGATFLSWDSQMHRVENVYDALRRPVKSILQAPEHDRLVVDCIVYGESVSN AEDHNLRGRPIKICDQAGVVFQDEYDFKGNLVCSKRQVANDYKNYLDWDSDVALEPAV FVSTTSFDALNRPVKIVMPDNSKLWHHYNKTGLLQSVTAQVKGEGEIVTFVRNIEYNA KGQEVCIEFGNNTKTTRSYDPLTFQLTKLVTHRSSRSFPGDCTESSKPSWPGSNLQNL HYTHDATGNITYLKDDSQQTIFYQNKRVEPSTEYTYDALSRLVKASSREHQSSSDFGG ISKYVQHPQDGRAMNRYVETYRYDKTGNIQTMRHEGSGENQSWTRRYEYAERSLLQPE KCNNRLSYTSTGSVTQEYKYEGLAGLQGNATAVPGLPVMKWDYQNQLRMTARQKVSRE DGTPETTWYVYDSSGQRVRKVAERHAGPGEEPTKLKERLYVGTEIFRRFNGCEEVTLE RETLHIGSNALVETRTIGEEAHTPRQLVRYQIPTTLSSVSLELDDQARILTYEEYTPY GSTSYSAAQSSLEAPKRYRYTSKERDEENGLYYYGARYYSPDVARWINCDPAGLEDGL DVYVYVGCNPINFNDPDGLSGTPEDIKKKLKEPKPKGKVVRSANMIINHFTRLPPGSF LGAEGRPISSSSSSSSSSSSSSFTSSSSNTSVTAPLASSSAVQPFHSSASSPATTPYS YSAQSSSGLTSSSSSSNWGNWGSASSSSSSSSSSSSSSRGYDLNARITQASNMNATRL AMATANPPGKSPDQSHRVPLAFKVLTDEIDRNLEDRSLVIGINSYHNLRDMSSDRHSW LTYQGYDVIWEAFIRNDGDLAYHLNEGDALSARNRIMAFLNHLEGRLQITDSISLIKY QYEDERVAAYEKIYAYRLDNSKPKPAENDEAIRIKGDRWALGVEKAAQLREGIRIKHE LSIIHDVEMH LY89DRAFT_546696 MDRQDSGYFDSRQSPSSSRRTSIASSTRRPHSPSKSKSRRTTNG STHSASRPSTKRNSRSSAQIRTSTSGARPNIQQRHTTPYAQVPHTQYQFFQFPTLSDP VPDPDIVTAPQPPPATVQYWTSDSTRRLEYAAIDAASKGVRGFFIKLVPDCILPANSR RTRFHCDDAASDAGSVRRYRLTLPAE LY89DRAFT_682480 MSIILGFESRSLGEGLCDLTHCLCFNLSSYINSSLPASENGTRK QILLALFVMMNDKFRQLLFVSVAVSQLSTVATADYTSNAEATFTTLQKWYDNSTGIYD TTGWWNSANALTTLGDLAALDSKVKSQVTSVLANSIVAAQNYNLQQTKVITPDFNQET FMGSNVPANVEVEAAINPKGFLNGYYDDEGWWALNWIQAYDITGNQDYLTTAVDIFND MMNGTEPKCGGGIWWDKKNTYVNAIANELYLSVAAHLANRMGSQKQFYTNIATKQWQW FQSTGMINSQNTINDGLNFTTCKNNGGTVWSYNQGVVLGALVELDKASPNSSYLTSAK NIATAAIKALGDSNGVLHDPCEPNCGADGSQFKGIFMRNLQILQGAAPDATYLSFIAS NANSIWTKDRDSTNELSVDWSGPFVTPANASTQSSALDSLVAAVAYQSQIGNGIASRS LY89DRAFT_779513 MDGIAGPSVDQLNAPYAERWGLLKEVMVRLFLEEGKKYKEIVKI MEIEYKFYATENQYKRQFGAWNVKKALPTKKKIKISKEMETRAQQGKASMLLYNDKME NQKIRRYMKKQGRRDISIWTSGAVDIENLTGHALQTGNRVFMNWNLPSAVLRFLNSKA KDHISPNPSVGTPMSGVLVATPSSNSGPSPRNPSSPSDALSPDQERSAMTATVKESLK VTRAHLFVQKHHKDLFRGMNLQEQCVVTDYFNQFWQHSFVTAKNWGHGPRTWDASTLK FNQFSQRNIDSLPGTPAMNTDTLRHSHHQSPKQHHSLGDHVPSPSDLCRWSIHVLEME YDPIHSPEPAEEQVVPDPDNKDTWRSWQNPGSIQDFAETLEKSLEGNKFSTIEVKELP ISIGQIIRAAKRSPEQLLEEAFGFSIMARNIDLVSQMGEHLHDNRDLSFHDLYPLHLA ASYLDGTKTCCLIFHELVHSMVTGEASVRKLYTNHLNHTVLDNLMLTILKAHTFCPPV MVDDAFKKEHRFAGEEVDICGRWDADSDCIRHLQASGSPTIPRSWKHMFCHTSAQTIT HCVGTLFYPHWKPDINTPSGLFLKRCPNETCGMKLQLKPLHTLVVTAVYLAQFGTDGE NLFGIVASLLSLLRFGANPLLKADISPIALLGSDTGLECTHSELDPFELAQRVPQELI SQWPQGRVVGWKIFCHVLRLSQNDWDPPAVPGPAADTLRDDLRDIYAFVEDEDDEVIM RSFDDREVNSETQNTDVTDDLDDGEEFPLYCPEHEIDSYFGKNKTLITLWAAVQTELL TYRRLAAGDPWVSANFDMLSVLKSLETGAELSIKLVSKQMMKPACFCGTFAEQNHSVC LQEEACAHYFSNLEDWSRSSFIEIPE LY89DRAFT_611235 MASRAANKRLTREYKTISENPPPYIVAHPSESNILEWHYILTGP PDTPYHNGQYWGTLIFPPNYPFAPPAIRMHTPSGRFQPSTRLCLSISDFHPKSFNPAW EVSTILIGLLSFMTSEEMTTGSVGATEAERKWAAARSRWWNSTGGGSFSDGKTKQKGN IKAGDGGAKFRAEWPELDKENWKYMKDNRIDTVTGNTIQDPSTSGPNCGPTIGGLRRP SGSQAVVGAVVEGGRAVGEEGRSWIRRNKLLVIGGVIFTYVLIARLLGDGTGSSN LY89DRAFT_682485 MAEGVDTGDDLFESLRKQVDPKKLEEKAKAQAEREAAQSAKAAQ RLGELIGSNSSLPVTISSIRLIGASHTRRSFLDRIFDPILTANRDKAYTLQEALQEVG SATDKLRRFEIYHPSLSTFVDRPDPTDPNSSPTDIDVYIQARERGRISLKTGTDLGNV EGSAYGNLTWRNILGGAESLNLNASAGTRTRSAYQATFDTPILSDPDKRATVDVLASS TLKPWSSHEEVLKGAGAKYSWATKSGTRHQVGYTGMWRQVTGLAANASPTVRTDAGDS VKSSIIHTWFADRRDHPFLPTRGYYMKTVSEIAGWGPLQGDVAFWKSELETSAAIPIP ILGIKGDSGVSLTGGIRGGMLYPLAIGFGSEIKPSRINDRFQLGGPTDVRGFKMSGLG PRDGPDAVGGDIYAAASANLLMPFPRVGKDTPLRLQLFANAGRLLALQDGKKSTSQSF YSTAAQIGDGLPSIAAGFGVVYAHPVARFELNFSLPLALRKGEEGRKGLQFGVGINFL LY89DRAFT_682489 MMDAEDLSLKDIKCAKAQSQHQDGSQHQSEDAEVSPHIQQTSTK TPGLHQDELQHSEEAICLNKSRFLIENPPVSQIFDISDSEASNSLDATSADSLRQRQD GLQKQPERVEVPLQDEYGSRQQSEESLCSTACNLSTVEESVGMILKGIEPTPDLADYD RGQTTRLLCLIGLVFAWLVCIACIVLGTLCLIKQTNSPWTDITGIVIKQPARRLYITG LSTTSGEALSFMTNVFVALATDSLGYIHATSLRWALFREGRLQFNTNLRLFTSTRKYA PNRWPANLISIISLILCYAASSQLFLRDAQEKPGIGPQNVVAVNGVAILTLALGIFGK ASIATWIMASGSKHIPTWSSNALNNALVLTHHGLTREEERCMLSVDKTPLPPHPTKPL ARGLNARNAVPSLRYIISLLWIWSLLMVIFFIILVVVSWFESVGYQPWSFSLACSAEP DPEFPEKAQGTYLIVNSVSEPWIGSLIIGILFLCAVQGLQTLGINAAELVINLSRDES TWKEVDRAKSSRRSYNNAFISAATSWQNMLLFAFKPVLHWMLGQTVVLRIYELVVTDS DVHGVSESENTYRYIFFMLYPRLFIYVIISMALAACMIFIAFRRPRGPQPTAYGHYQT LTNLIDDWTVNEKGIFWWGDKGVGPDGIRHAGTSPNREALGNIQKDALYA LY89DRAFT_579583 TSAFVLPSHSSPYSVYTGSWPGPNACGMAISTLPDGTLSNVSQS WKYGSKSAIHGMTFGRNLYSADLSGDVLWTHKVNSNGTVEAVASYPMPEAGMHPRHIA AHPNGNYVYVVMEAGNEVVAYSVNSTTGIIETDVGTYSLIPNRNALKDYWSAEVMISP STKLLWATARAMQDSNNTGFISCFNLDLGGNITDQIFRLPTTTTGGIANAVSPASWSD EFMALTDVPRGYVQIWQLVKGTDVAPGMVQGRDGWTSANMVAQVDIKDGGCCANAIWY D LY89DRAFT_455773 MSTSKEIEETVLDRPAESTTQPSEFTILRLTRTEEVLSRKAQLC EILQDCVNEGSSIGFLAPLSLDEASTYWTQVSQLIMTGNLHLFILTKSSAPSTIIATV QLVIVPKVTHLHRAEVIKLLVSPQARRQGIARRLMDYVEDFTRAEGKEFLTLDTATLS PAKEMYKRLGWEEWGTCKDYASWPDGSRCDATFFRRELRGGKTGDK LY89DRAFT_455760 MSVTDWNTGDKSAMLSIGTHKLFLSASGPDRKSGEPVILLMQGL GSTINEWVAVRRLVLPLARWVNYDRSGLGRSEAPPQQPDSISAASVASELDTLLKNAG IEPPFIVVCHSWGGMTAREFLHLRQKDVVGIVFVDANTEKSYDAGNWPLPFVIAVTDG VDWLESTGLEADHVLSDKEWAQVKEAENDPQLQKTVVAEQRGFRSDSAPLASKHQIER QVLGSHPVSVITCNSARDMQRMYESGVAAGKGTEAEREQFRNMLVDHNQRQTDWQQDL LRLSCNSRFIRVDNSGHNVQLVQPAVVAREIRWVWDSVQVLPQ LY89DRAFT_771897 MKSSTLLALLATAITPIVAISGQATTTRYYDGLEGACGCGTSSG AFSWQLGVASGVYTAAASQAIYSSSGATWCGAGCGTCYNLTSTGSSPCQDCGTGGDAG QSIIVMVTNLCPNNGNAQWCPAVGGTNEYGYSYHFDINAESEVFGDNVVVNFEEVACP SAAVSDYSQCVCAA LY89DRAFT_779519 MISQVDYDAICNPTAPATTQSEIRRVLDKIDCNGANFLNLDYLF IPYYEDTMNHFWLMGIAPKQKFCFLIDSCPFDHWDDPIQGMRDIIINQTLSLPGASLK TAWPLYGQWSQRTATDDGSPDAPQQSDSYNCGVFTVTNTFCLAFGYDILCYSQEDLPK LKRRRMTAELRNGGFGANNKFHYPLLDLPGNTYSLLDTSRKADQYYKDRNLSVPRSSG RTSSPPRPDLSYRPPPFLAPNFDPMDTADPDDDPQQMGFEPPVWDPTVKTRTQLKRIK KLDVPKNLDKYQETEILEALGSNQRCSALDPRSDPDTRSESSDDGTNDGCDSDTDDQS GPVTPRPIPTSNELFVAARKLLDGADCAAKTAYMKRLLEMDDRPWPPQFN LY89DRAFT_705587 MDIKQIMTQQHLAIIANLQELTAAVGAYSTKFESSVSVDDPVAM GELAQSHVDMLGSVKQMQSAVYGPINMVTLHFEEFFRSAAFRSLLEMGVVDALPVDGS GMSATDLAEKLKVDEELLVRLLRIVVPTFFTESSIEVYAHTPNSLVYLFPPLRGGFIM MYDEYGPSSVKLSEFLKKNGYQNPNSLTNNPYTYAHDTKGLNMFEFLAKDPIRFKNFN DAMQAKNMQSSWPYNLFPFKEELSKVETTDETVLLIDIGGGNGQAVTAIRELCHSIKG RMILQDQAQVIEEITDPLPNVEKMPHDFFATQPVQGALIYYIRRCLHDWPENECVAIL KNIAAAMKPRTSRLLISEIVMPQGEIDNETSWYDICMLMFSGMERSEKQWKALLHKSG LTLLQIHGAIGGSNHRVLEAVLKQSTCII LY89DRAFT_730841 MFSSSIIAALTVLSTLSMAAPTPACHDCLGPSGPQSLVNPVVNI EVVTSLGLDPNKTSYLVPTSINVPTPCYASDGVSRCTTSELILSTVANTTDTTYIECR VYEDFKATIGGTVFNQSMPVQISTNTDFVGSWLCYIV LY89DRAFT_779523 MILSPLVQWLLVAGASAIPHAENKRAATSDVTIYAYGSTIAGRP ILADSSGNAYVSNAEVQPANLSSITWSISPKGTADWTVTLNSTNSTTTTSGVFYIIPS DDSYEPVGFVINGTTPSGTVTSGFLTYGSVVQYKADSVLTSEFWAEEIEGTDQYLIKW NADGSHKDGAIPVTLQTTGPS LY89DRAFT_779524 MRFRNVLVSLALASTTLAAPKALPQSVHSVSKRCTNSATYRACW GDYDLSTNYYDVVPDTGVIREYWFSIENITCAPDGVSRVCLAVNGTIPGPTIIAGWGD TIVIHATNNMENNGSSINFHGIRQNYTNLMDGVPSVTQCPIAPGTTYTYTWRATQYGS SWYHSHFYVQAWDGIFGGIHINGPATANYDVDAGTLTLGDWSHLTADVEMVSAIQNGP PTLSNGLINGTNTWNESDGTVIGSRFEMDVVAGQSYRLRIINVAADSQIKFSIDNHTL EVISTDFVPIVPYSTDVVSISIGQRYDVVFTANATADNYWLRAVIQTACSDNEYPDNV LGIIRYDADSTDDPTSSGTDAAVTNGLTCEDEDASLLVPYLAIVASDEPDYSDDFAVQ LVEASVGGLWEMGNSSFFNYWDYPTVLQAYEGNDTWTDGQQVYAFPDADRWVYFIIQT TNAQPHPLHLHGHDFWVIGQGTGTYDSATANLTLVNTPRRDVALLPGDGYLVIAFYTD NPGAWLMHCHIAWHTTEGLAIQLLEREADFVPLIDDTILNSTCASWDAYTPESVDDSV QASPVYDNGI LY89DRAFT_640681 MSAACGASVAALVQADNTSHVEGMPEAAAAEAGFNSTACNVFLC KGLQFADNTAQVQNFTAGQVVNMRASIPILHEGPMNVSVVNTKTNTAIGGPLISFVSY ADESLAALPANNTNFNVTIPTTLGSTCTVAGTCVLQWFWFGTAADQTYESCVDMVVAA LY89DRAFT_579498 EIDSDDDRLCRVDKNCNQVRTLIRNFLNAGEMKVTEFQRAIGCS ANAYTRFMGQNGPDKGSGSDVYYNAFKFFKKRELQGIKLPKKKAKPAEEAAKNDVSGI HLEGETDQSVPVYDSCDEIRRKIRAYLPTPGVTQAGFLREIAKTYPEGKKIQSKVLND FLGKRGPNAGNTSSVFYGSYVFFEKMRIRDKKPKSKHRETMEKEYGSEGMDTKHRLDG GIWCLQGERPYEDKYGKVHIDGRF LY89DRAFT_578381 MRLKVWLSFPFVFTGVAASELCLDRYDYIVVGGGPGGLTVANRL SEVSGISVAVIEAGREVFNNPNVTSVDGFTTALNTAIDWQYISTNQSYASGQTLAYHA GKALGGTSTINGMTYVRAEKSQIDSWGAIGNAGWSWDDLFSYYKKSESLTLPTAAQLS SGVTFVPNDHGESGPLKVGYPYGLLNGSFHTVVENTWQALDLPHNPDVNGGSVRGYTI WQSTLDMVANVREDAARAYYYPVELRPNLHVFLNTTVNRIVWGNSDGAAVASGVEITF SNGSISILKSRREVILSAGSLRSPAILELSGIGNPSILDKYGIGVKVDLPGVGENLQD QPNNNFISSTNSTFNGSIVYVAYGSMPDFFDDWDANINISSYAESVSAAINNTIAAAS LEYLFGIQYKLLQNGIPNAETIMETTLNLGLGPNGLLVSPFWLLMPFSRGNVHISSRD PKVYPTINPNFFLVDFDLKVQMALAKWTRKFLATEPMKSLITAEVSPGFTALPENATD AEWESWVKTAFSSNSHPLGTAAMMPRKLGGVVDGKLKVYGTENVRVVDASVMPFQVSG HLTSTIYAIAEKASDMIKEAM LY89DRAFT_455914 MPSAILPSSPLFTIQQLSPELRKDTLIGAEVLLNNSDGSIDPST FTPDNISILRQALYENSVLVFRKQQGIDPLALEQLAAIWDEDMINVHSAGKDQVRDPR SILSRNNGARLPAAPNVQVIGNGEFHNYEGLEYMNLRHVDSAEFHAEPLTEDELADGQ TRFYRWHQDAPLYENLPGKVTLIHAVVVPKLPNQKIKFQDGQEMKLQAGSTAFISGAK AFQLLTPEEQEFALNTTVQYAPRAYEWIRNAKATSDGLGIVKVGAEAKEEDLPPWTWD KVQAFPVRLHLCLRYLLIASQMAWKNPGKPSQPHLQILGCCVHSLRTTDTKTGAVTGI DDLAETRRICYEMQRKVVKPQHVYAHHWEEGDLVIFHNYGVWHSITGQLGTTQRLMWQ VTMRSGKEPEPARINP LY89DRAFT_682495 MKVINPGKGVLEGVTLLDSVTQKPKCHRFSRVPYATPPVGHYRW RKPQPLPESFSYGSDAAPGQYRKPSSVCPQPRVFGRQSPRHDEDCLQSNIWVPLGKPP KDGWPVLFYIHGGFLQFGSNNYDDPSALIAETDVKCIIVSPGYRLGLFGFLASRELWE DGSPAANFGFWDQRLALEWTYENIRYFGGNPENITVGGLSAGSYATFHQLAYDIGPNA REQIIRRVFQLSNGCGVQPKVLSEVQQQFDDLLYVLGVSSSDSPAEKVKKLRSLPADL LVTAVDSMKQKFFRPILDGDFMSEDLFPSIFSGRFGERLNQLGIQTVIGDLTQEFHGY KNVYPPTSYHSLIDRLSWDYPRHVAEAVSTPYKSSVVSLSKEYWKDIFGRLYADMQIH STMRGYVNSISMSLPISTIHRYRIDWRTKSVDKKFPREFGATHTTDMSIWFFGNGDTL EDSEKVFIREWLKPIAAFIDGRKVAWGTKSVDQVRYITADGKIEVLEDEVWKAKLPIW EVTREVTKATPLSKPRL LY89DRAFT_455947 MGEVDSVELFKTKILGDKSSESDLKELAQALEGIPLAITHAAAY IRSRPRVTVSTYLRLFRESEVNQASLLTNNEMKDLRRDHSIRHAVITTWQISFDQIQR TKTEAADLLALMSMFDRQGIPERLLLNNMDQLQFEDTIALLISFSLVREQVGGSTFEM HRLVQLSTRKWIERNRQLERWRSEAIKVITRLFPSGQYQTWSDCQILLPHAREIISFK VTNLQDLLGLASVNTKLGWFYILKGNLIMAEPILQEAIVVREKELGVNHPDTLTSVSI LASVLQSQGRYEEAESMNRRA LY89DRAFT_682496 MTEIHRVGLTLLSTADGARWDQRDLNIIFVHGLRGHPRTTWSHL RSTFTSGRNEDTEARIDEHRNIKSFFRLKKSKKGKNNQGQTSTSIPADIFWPEEYLVH DLPQARIWTYGYNADVIGGLFQANNKNSASQHGRDLAVQLDREIDNEDPIVFVVHSLG GIIVKDALHRSETICRRTRLIIFLGTPHRGSTYAGWGEIASNLASLGLQDSNKRLVQT LEVNGEVLDNIHEEFKTILGKYAIKVHSFQEAKGISGMKGRDSKVVDNFSSKLDLARE QETVETIDANHMEMARCSSRDDARYRQICGVLKQFIRTELSNRVTNLANITDSSLFAK LFIAPFSQDDYFIGREEILGELDLGGQQAAAIKHRRNALVGLGGIGKSQIAIEHAYRV RKHNPQTAVFWIHASTKIRFEQAYQEIADRLELPGRDDPKVNVLRLVYNWLSSEANGH WLIILDNVDDGSVFFGENDARHFFLRRQTDQFLSHHVTRPRPLTSLTRLGSLF LY89DRAFT_640693 MASPEISLSWTGVFTVAPQNKTLPGDKILLPQSALEQLLSASTI IVSGANRPNAPAFDPFNPYSLAAARAEQSEWRDAQQQLPHPLTFRLVNTKNGNVVHAG IREFSADEGEVVLSSFLLEALGITEPTKSKAASREGSPNAPIDLTNDEPEEEPTRITI HAKQLPKGTYVRLRPLEAGYNPEDWKSLLERHLRENFTTLTKGEILTVRGSKSEEFRF LIDKFAPEGDGVCVVDTDLEVDIEALNEEQARETLKQIMAKAQKAPGAAEGSSVGGDL SIWKPNTGQVLDGDYVDYQLVSWDKTQGINIELFAEDRDNLELFMSPYSSRQRARPRD DEHVFGDFDNVSTKSITLQPSNVELENAEALYISVHAREDGTTPRPHKFSLAFKSVDK HLNGSAANPVSLDDDTEMHNPDEEQCKNCHQWAPKRTMMLHENFCLRNNILCPHCENV FQKKSQEWENHWHCPFDSTYGNTPGSKAAHDSIVHSSRQCPNCPYEATNLRDLASHRT SVTTDCHLCSKIIRLRDMATHLKHHELEKVNKSKPAICRNINCGRTLHGVGKSGEIGA GSRMGQGPGNDLGLCSICFGPLYVSMHDPEGKAMRRRVERRYISQVITGCGKKWCQNE YCKTAKARTGNGVSATTTLEAAPKVRHLMDSLPNKASPMYFCVDEGSQKRRGLAELIA AEKIYELEWCVAACEAETGHLDQARTWLQNWAPKRK LY89DRAFT_456078 MESSPSIQPRDIDLPISLLQLLSNSLVLYQTTPYLPVASLLALG ATSKSFKDLIHNTPNVFRHLDLTRVKSAQFDIAAIDHGGEVWRNVQLDENVTEDDFYG GPLRGILNTLHRRNIIQDVQTLVLDGLSVTSDLVSDIILQERYNVRLLSIREVRNLNE RKLQQALVFAVRPSRPANTPKLQGLYIFGAKDIAPVTRVSRHVNRYPPGVAPIDTVPS YGGVVYSQGAQIGAQWNQKSGDTLAEEMESGFDKWYQASGKVIAKPPSLEWASTMLVC QGLINFDAILCSGPRHSTTAVEIGPGSKVPWYRSSCSHLSSMVATHALGSCVGCGKAP EGFSKFGTSPLARFPLLAPPPLHSSASKAAKTPFSAYDDRLLVRCMDCLKGRFCENCH KWWCEDCYEVPDNSYIQVSPQHWEAIGNPPGGHLEKNVKVHMGLCVEDCLVAEMMSGA GSNGM LY89DRAFT_579199 MQLITSLAAASILASNVLAHPGHDIRAEMAERAAFMQTSKRDLS HCAAKMKARGLDQSSVARRAAIAKDARKKRSIATDTPSLKVRDADTVLNTTHLSSVAY SNATDESILFAGNNSCILSPEVTQGPYYVSGEYVRENIVEDQEGVELILDTQVIDMAT CDPVTDAVIEIWHCNSTGVYSGIVASGNGDSSDAANINATFLRGLQPTDDDGVAQFTT LFPGHYTSRSNHIHVLAHFNGTTYANGTYGGGYVSHVGQLFFDQDLITLVEATSPYTT NTQNLTTNADDSIFAQEAASSDPVVEYSLLGDDVSSGIFGWIAFGIDLTSEQTLTPAA SLYATGGVENESSGGSGGSPPRR LY89DRAFT_456117 MSKISEGIQAILALEAYVQVTKSPFLHAFIHDIKRFVLYNQLVI EQAPLQLYCAALLFAPENSITRRQFRHCIPPWIQFKPSIGRRQLRDRMRYWMKLKPRV QAPWNAGLQTLEGHTGSVTSIAFSPDGKQVVSSSRDRTRLWDAATGKRLQTLEGHTGS ITSVAFSPNGKLLPILRVFNHWVAENDTNILWLPPNYRETVSATWNRSLVIGHSSGRI SFFCFKKGANLII LY89DRAFT_730853 MRLLQSNSDGSLTPTEFFEDDIPEYAILSHRWGPEEVTFRDLID GTSKAKAGYNKIQFCGEQARRDGLEYFWVDTCCIDKSSSAELSEAINSMFRWYQKAAR CYVYLSDVSIRKGKASDTSAECTWESAFRASKWFTRGWTLQELLAPRSVEFFSREGNR LGDKATLEQQINEITGIPITALREQHLSQFGIDERFLWAKSRQTTRGEDKTYSLLGIF DVHMPLIYGEGEAKAFQRLRETIDKPSYTLVSSSSSKKDLLRQLPNADKAPYNAYDRQ DEPACLPNTRVDLLQEIYDWIDGKDGQDERCIFWLSGLAGTGKSTISRTVARRCSEQK RLGASFFFSKGDGDVSHAGKFFTSLAQQLAKSIPSLQRQICDAIVKQSDVANLSLLDQ WRQFVLGPLSRLEERQQSYVLVIDALDECKDDNDVRTILKFLAEARSLETVRLRVFLT SRPEIPIRHGIRDIPQAEHQDFVLHDIQPSIIDHDISLFLEDNLGRIRQEWTLESNWP GEEALRQLVLYACGLFIWASTTCRFIREGKRFARQRLDVILQGSSSAVTVPEKHLNDI YLFVLKHSISSDYSDEEKKEACDMLKHILGSTIVLLSPLSTSALKRLLQVSKEDVDST FNDLHSILDIPNDPVRQLRLHHPSFRDFLLSKDRCGDFWVDEKEAHQRLATNCIQLMS QALKKDICDIHAPGTRTSQVESSHLQECTPPEVQYACLYWVQHLQRSGSQASDGEEVH QFLQAHLLH LY89DRAFT_579574 MSTDDSSSSRGGREDNEDTSTPNSTPPPTFADIDRWNYHTTLKQ FGASLQAAANAVFPNDKKSRYSQVSVLMLSWADEDPRLPVSREINELERVFRDVYHFT TERWEIPEKNSHFKLAERVIAFTRPEEDSASKLKILYYAGHARLMDTRALAWTSWHNK QKQKCPSVKWGAIQSFLEESPSDALVLLDCCASGTVNTSEGSGITEVISACAWNQTAN GVGPYSLTSALVIELKRLSQRRSFSVGELYRNIFMRTQNRLPEDIPERGIERERHPAP IHLLLSQSKDMPRSIQLSVGPLVEEGRQEQSNSDHTGKEAPCRLPFSGQNPFPGTEKN ATVEDAAPPTRLDITGSTSKTDNEVPRLAFAVRLSENFRPGEDIKDLFIEWLRNIPTI AKEVNIEAGFDSFSTLLIVSLPLSIFAYLPHDPSIISLGPIISGNRILHDEAKEDVRL NSKFPSKTSSDSNEAVWSSSLGIHTMAKKSPRTARNRSIFTPIEEPQYMQTWKGENPD LY89DRAFT_578666 MSPAHRRTKSSGPAPPAKTLVLDNGAYTIKAGFSGPGIKTPTPS IIPNCLARDRDKKVYIGSSLSACKDFSEIVFRRPVEKGYLVNWEAQKEIWEHEFFDAK APLHCDPKETGLILAEAPNTLPALQTFCDQVVFEEFGFARYLRCLGPQLNAYNDIQSI FKPPARSPDLTSIPPAEILMLVDSSYSHTTVTPLLLGRPIQPAIRRLDVGGKLLTNYL TRLLSLRQYDMRNDTYLVNEIKEATCYVSKDFKADMEQTWKGPKGDRRATYETGGGIA KDYVLPDYHALTKGFVRDHDPDAATKLKELTKGKPIGASEDVLTLRNERFTVPELLFN PSDIGLRQSGIAQQILDSLSTVPMGLWPGFLANIIVVGGNANIEGFIIRLQMEMRALA PAECFVRVAKAIDPIVSTWQGGAYLARDEDQLASLSVTKEQYEEYGSAWVARKFGGR LY89DRAFT_579481 MASSKADQDLEVLKSTVSTTLELITKLKASAIPAKDAKDSTVNA VDLAHDAASLTKAHTTKLSLLIINKPFTASAIAKILRELMAGPLPGLASAVELCSAGK YTKAMSSELEYWAGRVFQGMTSLAEAIPLDGSILSEDAKKGTGTEKGNGSLALTGTVW EACDAVAELKKLGVVGLVIKKVEEYKSLLQDALEELQEWGEEGSDNEDTGFDDDDDPD EAQAAVDSMFLQRHIPSEDPDKIRPRLDSSLKRLRLLILMYQAVVKRRFKALPSLPLA DLPPESKTPTTEEDSGIVNCVDEALDVMKKIPGITDDLASAFYELDGPEIDKKMDLCF FTGFAAAELLVKDWQGRKDEFTTWATKFQLAMKKGW LY89DRAFT_730857 MATSTSSIIPFSLTTTFTAPTQCAQSVGGLTILQDDAFRIWLNH PLPVPGTTISSCYPEQFVSSFLLQTGGVSQAAFSPLVCPAGYTTQGPYTSNYIACCPS GWDGFAPASDAPSDRPAFGGTCFTNIFNVPIRVTSYDSESIKASSIFTATGSLDQAFA FPYEGFALGVAVVASTTATGSQATSSSISAESSQVGSDTSSRHNTGVVAGAVIGSILA ALFLTGAVALMINYRQKRMSGQNNSGQFPEYASHIRLATSSPTPMVYLSQPPQVLTEY YKSNDEGKVNRYDGERAYHELEAKKPVVYEMGGEARLPITKTKELPDLPPNQNT LY89DRAFT_578388 INTANMSIVGGTSQLSSSESAFNEWSGWGANYYNNRWAQQNTAV SSSSIQSLTSHCTISYPIGLSATPVISGDAVYYPTWNGSFVALDYLRCQILWEINVTA IIASYSPITALQMDLMHPVSRTSPQVDGDIIFFGTLANALLVAVNRLTGEALGMVQVN PHPVAVVTMSPTLYNGKIFIGSSSYEANATPLPGYVCCTFVGNFAAFTFDPLTSKFQV LWNITMIPEAQAAMGWAGAGVWGSQPAIDAARGQVFIGTGNTYSIPEVIIACQNITQN ISAVLKGYISDSCLPLSVWQESVMAIDIDLGVINWIHQLSPLDAFVAACGVPGIFPKN PVVCPHIEGPDADFGMAPAFVPGSRSTPQGKDTLVIGQKNGNLYAMSAETGYLFWTTT TSPNGDEGGLSWGIAVDDSLVYFTAINSQEKTFQLYPSNQMHNRSAYGATSLSDGTIL WTVAMTGNVVNVLELDSNFHGGVAVQGDYVFCGTGYSFLSSGLPNGSLNVMRVQG LY89DRAFT_570707 MLFQLCFVIIYRLYFHPLAKYPGPLLHRLCGLPTLYHAGKGDLH YHLYTLHDQYGKHLRYSPNKLSINDVKALGDIYGFNKNTSKPEEFYAAFRVNKQAINT FNTSSKEAHRRKRRIMAKAFSESSLQSYESFISRRVLHFMQKLRSGTGREDAKPFNMA YEFNCLMLDIMGGLCFGEAFGFVDGKGDKVMTQAHKRTFRIYMTGHEPLLKRLYLDRL LFPQLLAASNALGDYSRRHTFNRIEKYNAGIVDAEKGYEDIMAHLLDAGDEETGTKYS SNELHGEGILMMMAGSDTSSSSLTATLFYLSRHPRALKKLHEELRSQFQQTSEIEPRA AENCKYLRACIDEAMRLSPPAPTNIPRVVGEGGIEIVGEHFHPGVYVGVPNFTLFRNK DYFISPHAYVPERWIPDPETGVTEDDVKKAQAAFQPFSLGPRHCIGRHLAMKEVSLIL ANLLYVFDIEPVCG LY89DRAFT_456217 MSIENSSPVPSPSEISSPPSPPALPSNLNHQSPTQSPPDGGIEA WLQVFGAFMVFWNIWGLPMSFGAFQSFYVLQYLPDYSSSAISWIGTLQGALLIMLGVV SGPVYDLGHYHFLVYTGSFLTIFGMMMLSLSTQYYQVFLSQGVCTGVGCGLLYVPTMS LVGGSFKHKRAIAMSVMTSGTALGGIIYTIIFSRLISQIGFPWTVRIIAFVSFSTFAM ALPALFIRPAKVSGRTRSLFDKTVFTDVPFLTFASAQFFIFLGYLVPLFYIPTYAQIA LKENKSVALYILIGCQAASLFGRLFASVPAHHFGVMFTWVTCCSVSSILCFVWIATNT LAGFIVFSVLYGFFGGALIALPPSIFPVLCPDPGTLGSRMGLSWTTSALSFLIGTPVA GAIINLQTANFLGVQAWSGATLMVGTVLLLALWIMLSRSEGRIFI LY89DRAFT_682505 MASTAILNMSEVAHYEPAMFATFSPTAKHDIHTDLQYVKVSKAG EALGPSTWGKTDFEEDREELVPVVVQDIRGLENQYTLDTHGFQLVKHKSSMSKQDFAE GNKIENMYYAETAEVVKRVTNASYVRVIHHVLRNSSSPDPDCLSLTRPLYKVHVDSTP ARVESTIRLFEPGNADSLLKKRYALINVWRPLKRCFKDPLAYCDGSTVPENEFVVREL VMPNGEGRKANTAVAKNESHKWHYLYAMEDSEIVLVKCWDQKEGVVKRCPHSAVRDPA MEAMEDRESVEVRCLVFWD LY89DRAFT_666480 MDLTTTESQDGEEDWRNISDPKRRKRVQNRLSQRKRRQRCRQSY DGEASKGSQQASGLGEAFEDFGLGDMSVDLAFSPSSQNDYGDSFRNSEPGLVEDFLVP DSFSVDDFQRSFDALDSLETEQPLSKTTVVPPSHVHSTCCCGKPHFGSDSILASSRRP SQSHLPSLLLAETQDIRSVPCNHTVPPAEPQAYPLASRLPSECCPRRAQNIDNRSVSM SGVSQKMQRTPKSARAQLMDRMRSGQSSVRSASYGGDSQGPMFDDGGCDHVECQQYGP SSPRREARTQFSRRESSHPSQSRLTTSTNQAILAEHGIDLVDILRVSSRNRRQSQSDG TLPLNNSVSGQRQRVTKVLSQLAKPDRIEEGEEGEEGEARCDKIVILYLRGDSEEGDN LY89DRAFT_640727 MRRNIFLLIFFLSISFVHCTRTIVNKTYSLTTNVQHVLSLLGLG AASDPYDLQYLKRSIVSELSERLSPQANIITDEEAEFSIVNARYTDYKRPGYIVGVQV AEENDVVETVNYARMRGIPFQARTGGHSLTSSLRSIQQAMVIDMRGLNSISYDKAKEQ MTVGGGVVTGAFANATFSQGMEVTVGSCPCTGVLGISLGAGIGRLQGKYGYLNDNLVS IRLLLANGTIITASEFQNKDIFWAVRGAGHNFGIGLEATFQVHPQQNKGMHYIIDFEF QLDKVESLFDLINEVASPMPKELAIFVIERLVANNYWQPTININIVYSGPSEEARTYV DRFQALNPVHRDEKVSAWDALPWATYNGLNNILCTPQGWARFPIKNFYAANVKTYHIP TMRKFFDGWQEMNEKYDGQAMFSVMFETFPQQGVRAKSSDATAYPWRDGSDHFLMMEV GYRDHTYADLFDEWLSNQQDMWIESSGYGRLQQYVNYGHGSKDPPESLYGYEPWRLAK LKALKAELDPEGRFNGYQPFVSDEK LY89DRAFT_716156 MGSTTPTQLHSEPPVSECAEPIAIVGMGCRWPGDSESPSELWSF LQEKRHAYSKFPSDRLTVESFYHPDSSRPGSFYTEGGCFIKSRVQDFDNAFFGIHPRE VASLDPAQRKLLEVVYEAFESAGVSMERLAGSKTGCFVGNFNYDHQLMQYRDPEYSPP YAVTGGGITVLSNRINYVFDLKGPSMTLDTACSSSMYALHMACSAIASGDCVGAVVGG SNLILTPECQLFSSVLGAVSPTSVCHTFDASADGYARADGIGALYIKKLSEAVKDRDP IRAVIRGTAVNANGRTGGITHPSPDGQEAVIRRAYERAGGLDPSLTGYFECHGTGTAV GDPLEVNAIGRIFANGRSSLQPLHIGSIKSNLGHSEPSSGIAGIMKAVLAIENGMIPP TIGIKKLNPNIDFKDGKLRVVTESTPWPNLPLRRASVNSFGYGGANAHAILESVDSVL PGYRKATQADSKTDLLKDHLNNGYRNGNSNGYSIGHNSTRTWYLLPFSAHDEKTLHGN ISNLAQAPFTWDLGDVAYTLSARRSVLSHRAFSVAEKSSVQECIEGAHTTAVKKLGLS VPTVAFIFTGQGAQWPQMGLALMEEFPTFLDTIRALDKDLDELNDGRQWLIEDVLRQS AKISKIHNAQISQPVVTAVQLALVDILTSWGILPAAVVGHSSGEIAASYAAHLSSRRD CIVAAYLRGKAVSHNAAKGSMLAVGLGSRDAERYIKSFQSHIVQACHNSPNSVTLSGD SDSIHEVKKDLDADGVFARILSTGGNAYHSHHMKALGQEYEQSMHSFLGSVPHDHVMS PLRKEHGATNVHDVAFFSSVYGALNPGGSLGPAYWRSNLESPVLFDEAVTAMLGSIDI DIVAEIGPHSALQGPLRQIFQAAGLDKIPDYIPAMKRNSDGAIDLLTLAGNMFTKGVN VDLANVNATPSATGDGSLVYGKVVTDLPHYKWQYSDESIILENRYTREWRLRQHPRHD LLGSRIPGGSRDEPTWRNILRSKTLPWLSDHRLGNDMVLPSAAYLSMALEAAMQCIEI DGRVPETIDSFEFRDVTLQNAFIIPEDDAGIEMLFTLRPAALNSTLRYESRYDFRLTS VARESGKDVFVTHCTGSVEVSFDPREHKTSHLLSEFKASSSLHKDVSVSRWYEQFTRV GLCYGPTFRGLSKIHAVGSTNMAAATINPHPTSNLMKNESRYIIHPAALDAALQLLIL ASHKNTPHTFKKAYMPVGFETIFVWPRNSILANGMTNMIAKGNLKGVRGLSADLTICG SDDLPFLNARNVFFIASDQTPHVIDNRNGPYTRMIWKPEFSQLDDSKIASLHPPVTLD DNAIIPSLNSLALHQLIHFKATNASIFENGSQVPHLQRLLDWTEQKLLLAVKNSFSPA KQIFNYSDAEREAEINRRAALLNPVSSESRLMCHLYANLPAIYNGEKTGIQVALQDNL LIENYEYGQVYKEGNRRLARVIDLLAHEKPGLRILEIGAGTGSATKEILPALSGHTLW RRYEEYRFTDTTPSFLADAEAKLSKYLGLTYGVFDMEKPADAQGYQAEWDLVIASNVV HATSNIRNTLMNVRSALKPGGRMILLELTQSQLSAGLVLGTFSDFWKGDLDPEFPRRD GPFLGKDMWRSVLPQAGFSGLDFHLDDYAGDNISATVICATAIQVKERVDSGVDYSQL GMTLIHRDQPTKFVLGMADYLTSLGFTIEIISISSMKSIPHTRILFLLEVESPFFTDA TPAEFAGFQKYVQSAQSALWISAGNLLDGREPAFAMISGVVRGLRTEMSQLRLGTLDL ENKPSTADVSIFKDIAEAESRLANGSVTEDSEFRMKNGLLYISRLVADDALNTQSRDI TEQTLSTQEKSLRELRSTPIRVDIDKPGVLSTLYFREDEEFSHPLPDDCVEIETRFAG VNNKDIAVVTGRHHSNTFSDECAGRITKVGSSVTQFEPGDEVYCQSFAKFGNFVRDKA SFCQKIHPQDSFADSVTMPIAFCTAIYGLMELGRLQKGESVLIQSATGAVGLAAVQIA RMCEAEIFVTVGTPEKKSALLSMDYGIAEDHIFGSRDLASASAVLEATNGRGVDVILC SARGQLMHEYWTCIAPCGRFIEIGRTEVLDSGKLNLDVFRRNALFASFDLEVLSKDSP ATIARLMSKIHEFRSLGHIRPLPFYTFHVAEIDKALATFSKGNHIGKIILSYEHEPEM GIKFRKSPFHASFDPEATYLLVGCLGGLGRSFSDWAVSRGARKLTYLSRSGANTAEAQ RFLESLRLRGVTTNIIQGDVSRLEDVEAAVAACISPIKGVVQGALTLHDGLFESMSLD QFNATLNSRVHGTVNLHRALLNSPLDFFQMWSSWTVMFGTATQSNYLASNAFMDAFAR YRQKLGLPATSLSLSQVLGVGIVSYMPEYQQAMIRNGFYGNNEDEFLQYCQAGVCSPP SPSQDIFTYDPESQGHLLVGIEPAGLDTVDKTYPLQDMVWASDPRFSLLIHATRMLSV ATSETKALDESENGTVAEQVRLRISRLLYVPVEDVDTGTPINAYGIDSMVAAELRNWL FTRFGKDVSLLNLLGATMTIDKLAETLGSEGEK LY89DRAFT_456492 MVSSHLQLRWFPLCLVALSILWRSIEAQQVIAPPPAVHGTLTFN ITNDHTIRVTINNPPINLFNNNLLDDMLSFLTSLVPSNRATPPPKVVIFSSSNPDFFM MHRDVQGIIPPLVPWKLQAINNYISITRYLQNITTTVFIAECNGRAFGAGNEVMVQMD MRFAGPNASVGFFEDGLGVFPGGGGQQFLLELVGKARTMEYVLGALQIDGPTGAEIGW FNRYYESADAMTRSIDDLAARISTFPNGTVNATKSGLSWRNPTLEVLEADIAEFIRLD AMPDRAPLEEMFLNVTKNETATPFEMNTPLNMPELYV LY89DRAFT_579097 MQNSESKSQKISHWRMILDQAVLSPRVLQHQYRGAGTEDDPYII EWVPGDTRNPFNFSMSKKVFITIVMALTSLSVSFSSSAYLSPAVLIAQDLRTTGEVVI LGLFTFNLGQAIGPIIWGPLSELYGRQAVFVASFAGVTIFNGGCTASQSIAALVVLRF FTGVCASSPLTNAGGIISDMFPPTQRGLAMSAFVVSPYLGPAIGPIVSGFVAEKGGWR WVEGVMTIFTGSMFVLGSSSIPETYAPFLLRRRAARMSKVTGHVYQDVIQHDKASVSA KQLFANALSRPWILFFTEPIVLLLSVYMALIYGTLYSFFGAFIIVYEEVRGWSLGLGG LAFVGVAVGMITGVMCAIWVNLQYRRISAAGQATPETRMVAGMIGSVLVPLSLFWFAW TNFPSVSAIASIAAGWPFGTGMVLVYLAITNYLVDAYTIYAASALAASAVLRCIFGAV FPLFTPAQYHNLGIHWAATIPAFLALACMPFPWLLYRYGKLIRGRCKYSAEAERLRKL VAEKVVLQSEPKAPPKANGLPLLQENQYGDK LY89DRAFT_682512 MCARLVSVALLAGFLPASLCYQTNDSLPTTLLHQFPNGTWVENL AVRSNGQLVTTVASSPDIYQVDPTKSCAPFLIHHFANVTGTVGITETAPDIFQVAVGN FSLATFLGTSGSFSIFEVNLTSFPTCPDPQSTYEVPIVRVADVPGAKFLDGLTTLPQV KNRILSTDLNLGVVWQINVENGNSSISVDDALMKPGAVNGVIGADGIKIRTGVLFFTN PGQNIIGKVPINAFGHAVGNASVVVPIGGDDFTIDQRGQLFSVGGSGNLSEVFVQKHR DANLTNLPGPTACQFGRGVRDQGCLYVTTSGGDGEYGKVPVPVGGAVYSVDVFQNGSC EKF LY89DRAFT_640741 MSASSHEAIPVAPAPWTLKGTLYIFMVYLTSKDAATLSSDPNFI YSPLEATSSFSKGDLKGGLGMLQLIRYTETPVGPYDELVLVPGYFQYEVETKDSNGKV KLEQRKNLRCTKVFVSQEKTCWNGRRNWNVPKHLARFKFVGLPDGGTKITVHPMVADA SGEERVQSPAPLIQTTFLPISYVPSFPCSTDWAKYIGMDLGLVQPPLPEGKGQELVGT RQWCKIAAVESSKKTSLGWFDLRQSCHDTGEQQPLLGEDRGDGSERQQSDNFWPRLGR WRIGMKMEDAVIEFPEGEHWNEPK LY89DRAFT_456574 MAPSATEVTSQSSQERFHRSGDPSLTLPEDVPKGPPYFADKYEE RKYLKHRLALAFRIFAQFGFCEGVAGHITLQDPVDPQSFWVNPFGLHFSLITPEDLIL VSHDGHVIQGGQNRFLNYAAFAIHSEIHSARPDVVCAAHSHSTYGRAFCAIGRTLDPI TQDSCVFYNDHALYPTFAGVVLDSNEGKHIAAALGNKKAVLLGNHGVLTAARSIEETV AYFVLLEKCCEVQLVADASAAGTGKPLIHIGHEEAVSTWQAVGTKENGYFQGLPLFQT VEHELGKRTFLGRGI LY89DRAFT_730870 MKHLKNDKTGKTVAITKTKFKKTRPSQRTEASISNHLRNNPNKS KLSPFDLAKLIEKLVFALKTVGDSEDVKSYLDGAFEDAESCQQVRTNCQDLKSLLQQI GNRGDSSNNDLPATLARYDQFMVLRSDSSSMYLCRPIEVFEFNKTSYNRRKTLGLVRT QGTTFPLMCALSGLEVPVKEHPMILDNGKWLVLVKRVAKFFGHEFKGTQYDSWDTRPQ GDVQASHVEPFLMLWYALYLVRRVLGKEMPDEKLLGHLYLLKARGENFEAEILLSKWS CRPCQKFLKRFQDFTGITFSFKDFDNYAPVLPLKNEQNEAYYARYGSYDDEEELELAV QLAMENIYEIKKARSRPVVELPRVQDLFENTSQKGHHQTATNTSTGTTSLQISRIRHF MCDASQRQVKTIMLQANVKVLTNENKCTTQLVDGTSKTVSGRDTKPAEKLQPAPGGET FSLEARTRAKLLKRRQRRRRSESPLLKEKDRWAGYGSTHTRHGRKGRFLTF LY89DRAFT_716162 MALGRRVSTARRSRAIHWAGEISRPWIQRSPKGEKWAIRRKLIK PSFDVIHIANLDNRSLGRHVERLMDLIPRDTSTVDLMPLFRRLNLKTGIGSEDNHFRI IDEMAKATQDRLTLRFQMHNLFTPAHDGAAITLSNAFFHLSRNPGAWAKLRAEILPTK RSSITYDLLKTYGYLKNVIHETHRVTPLSTLISRQCIKEVVLPSGGGKNGKAPLYVQQ GDVVEMNFRCTLRDKEFWGKDADEFRPERWDNLMPTWEYTPFGGGPRICPGFRLVSAK TAYKMVTILREFERLESRDDRPWTEDTRATFQNLNGCKVALFPAQTV LY89DRAFT_611326 MTLPYFLLQRHGLRFQTLEGSSVERTTTSNSDLVAQSTKIHGSK KCEPQPPSDGKLCSYCTELMRKASKDRDDGKDGFRHYALLTDLRNSSADCSMCFALLQ TFDPEDVAAAILPILEHEVWRLACRDKTFNFFRYSARVRNFTLGGLYRSKKDFREKEN GDEYCSGRTLVFCIGDGPVSRRPFWTSGSIPDAFFERITTPVLSEWIKDCNEGFEHAA CHSRSENVQTPLPTRVLDVGPFLSGIEAKVILSSGRTGRYIALSHCWGTHQPLTTTSA SLPERMVGISQEQLPPTFWHAIQVTRKLGIKYLWIDSLCIIQGDREDWGSEAVKMGDV YHNAYITIAAAAATNSLGGLFWPCKQASSFYADEGVPIRWKCQDRTHSTNIWPIYDSP LGRRAWTLQEALLSTRVIHFGEDQLYWECASKRCSEDGAVNVLHEKTYLSLRPSKDTP ITFAMLGGAKIERVHANWMSMAMTYSRRQITQLGDKIPALVGITAFSKSLTGDFHLLG LWRSTLHADLLWQIPKGDAKAMPSDIGLPSWSWLSVNGAIDYPEYFSRSNPEMELIRS HIEWTGVENVSRISIAELVISGRLNNATLLRGTGKRPTKGTRKGAFTLQMRNETHNSM CIGKARVDCDDGIAELSVWCLLVQTTPENGKRPRYFEVLLLDPVDIDGGKYRRVGTGA FRDSKELWNRQNDESSGVSQLLIAPFDGVKRIKFVLI LY89DRAFT_571142 MSLTIIVGMSTIFGISEAIKQTQSKGRRDEHRSRKCHLLVHCSK SSQYSSILEGRRIVLSGDKLYIDTGTDLDVPFGHPFSGYFHPYPEAKYSGLISSICDD PPIMNWIYVDRDTLEVKFGTRPYAEHNHNGPFDCTRQDRRLTFGGWEGWLAVKEGEFW ALYFDRDNDRLASSVKAGTPVLEIELWRREIR LY89DRAFT_640748 MHCAVIKDYCFEGNVNNGFVVQKNPIRDWYHASWMHWSDNGREP VNGLTFERPVPPFELSKTQGRYLQSWACGIIMLLVRATTFGKIWANPNDPKWQDVKFP LGTCVFKVLMTDATDSEIPCMKGSPAMQAVIAKQPLSPDGMPNGMERNAEASEVRLLQ VDFAVRDDRAPIGWVFGTFMYDGSQKQKTGWDRMIPVGITWGNDPELTQEMAKAGIKP QEAWINLEVNSVRSSLGGTRPSWGWNGRLNGPVDNYISACASCHAVSEKNTHPSMVPP AGANDKQKMKWFRNIPAGAPFTPGDVSGDYWLQLMMGYSNYAIWNNAQKGMWYRTKLA IPFSKTRKEAGSLEAKRAPLRTGIRNNK LY89DRAFT_640751 MQATFEEQNNLVNPKVIRVSTNRRNLFYIVQRATRLGTLLEEGA RRARDAWENSRLLDRARDKIILYVRIKEDAATLAELLCCSQYIADVGTAEQKEELLRT WLASSDQPYIVATSALSAGF LY89DRAFT_562848 ERAVTTVMSWTKQVVVIIATSEGKSLLFILPCILPNARVTILVL PLVSLRGDLLRRVRELGIDHLVWAPSEQQDAPLVFVIVEA LY89DRAFT_580755 KTTSNICATWRTSIGITLFPHPAVSPDMNPIEKCWRRIKQALHR RLRQPTTEVQMVVVVLEEWDKFPQEWINGLIEQQDFWVHDLIKRCGWSTAN LY89DRAFT_772081 MADAAIFPIAEVVDEQRIDDSIAIWYKYSAQLESGLDFNRNVED ALSPPSPRSGHSEDELYDYEDLIFAYSDELSEQDLVLSRHSSRIKATESSDSSSNGSH TMTMARDDKSFWAVTASLLGPDFDFDARSIKDQVRVSVTPELRRRTRANALLLSMSKS RPDLSRAIPLQSSPTLTRSRQDDPITASIVSMSLHETLTSLGQAWFLFTSSWSLQTYI DTLKHSHKFGVCFLDQDGSRCCCIMRARGTKRIYSLPASYYWGSEDLAASHEFPHPGC KATFWWCLPYSSTTNPDPERISAQTELDRRYETAKLLVQTFRSKAISVRTARQDLEAA YRQLIVDLPAREKLAGRFGFEAMNTVLQLGELAEASGMFSEARSWNCDLVSRRARRLG RNSEHTCRARYKLSSLLLKVGDYDAARDVCRENLQSLHDEPVTSSLLVRQNVQACMIA RKRRRLDQAMEVLPHLWTLERWHENDLQSRDEALGVLAQVCLFRHQFTEAEGWIRKRI TLFNSQHKEKFHFGESKQKGGVYFRLG LY89DRAFT_716166 MKSSYEKVKKEDFSEVSKPSLVYFELATCLNRQDRLEESVDAAK SALEFYKESENMKRYQESVCDVYNLLGKLYFDLQEWNKAIFYLEKAAHGYASKLGSRD EKTVKARELLKVLEKSVRIRQGLAERLVKDREKAKPKKIY LY89DRAFT_772092 MADDILVPLANDLVNLINIFSKAATVLPSSKQTFELSQLHDIRK LIHHSLEDLNHKQSLSSDAENQHSVGSVQSEEAPKQLSEAAKVCLENIAAEGHILRDV LIDPVQGMNALIALYIVNSFDEPLLQLLTPHQCAQLLEAISLADVVSELDKQLQVLKA TAEEHEQEHDPHLELVKDIKTNLLNALERIRKIAASQSEIQTRSQELLPGKRLDCDDL LALDTCIQNMYTGLQTQDDATQHLVEEQERAVIALKLFLEDKVTRIKERRVLRSSGNP ADRSRGLTGWPPATIPTAQLSTILLSRIVAHLIASSSLNHSDAAQSPTLGIDPPIVEA QLQPAAPSEVPSLIDRCDYHGEAKVMDTPNPESVESSHQERSSIQDPIPEKTHPVVPH VKFNVPKHLDKRRDSAISLLSSTGKPEQMEIHEDETLPESDESVCGEDGNLEQENEQY DDEDKMDAAVEKIGDGTIEQLSDEQIAGSERLEQDGTPIEDEIQTSPLVDDASMPNLD TNEDLYSADDHVTPTLRTRSDCNLATDETSSTNVHEVCLHQDSSQQSPREPTTQFLST HWPQRAWLQTILSMLTPEPTTQSTTPLSPSFPSEALASPPPNPSTSDWYSGYDAWWSF TMRDSSSRDDHADSESTTTSTSEQSWGAGSRSTEADSIDS LY89DRAFT_580521 MLLLAFLSLSLASAVWAQTPPGFSPNVTARLDVIFPSATVTPGL QLQKAAVASMPTIGTETSLSGTYLYLMVGKPPTTTGGPRGTVLHAMIIGFKSSGSMKN TTYVLTSTDTGPSSYFGPSPPAETPPHPHHYIEMLFEQPANFAVPSSMKSQVSSRLNF NTTEFISLAGLKDPVAANYFLITG LY89DRAFT_456835 MSQSKPQRRLPTGKLYAIADIHIAFPANREAWSKLTRHPGDGLV LCGDIGETIEHLQLAFSTATRCFDTVWWCPGNHELYTLPTGSSVRGEHKYEQCVDVAR QYGVFTPEDDFIVWEGQGGPAIIAPIFTLYDYSFRPDEVTLENAVPWAREKDTEATDE FLLHPDPYPTRQDWCKILVQKFEAKLEAAKAQHPLLPFIIANHWPLRKDLVHLKYIPR FILWCGTTLTDNWHERFNAKVVISGHLHIRRTDWKGGCRFEEVSLGYPRHWKDCADMD MGVNEILREILPGPETPEGGELPTQWRRYG LY89DRAFT_779557 MPDPLSQVRERHHQDLVESKGTQGPRNAATAENNSITRKPTNSE QKVSSKQNPAGLPRNKSAPQHAHRQPETKSRASQPMPPSIPPRRSKANEEGHIGKQKQ ANPKQASPKQASPKQASPKQASPDVEQRPKAQSSQHDGDPAQFRRLNTQSRGEIAHKK QLSKERLDEISRPKHITPKKVDVQKGSRPQTERKAARPATDQKAMAKQRAVELPFNDQ WTKSKIEREEEIRGPPGMYVQRIEQNVPSFDAFSGLTSFLGWDNKRQHAMEMEINNLE KRLWHSQKKNEELKYNMSELSQTNDALQDDLRKVQQKSFNQMTKSAWTPLEDRAIQEV LEEIHQDIEAWAEDNCVESFDEIKGCLDETHQAALLEICKNVADVTQDGLGAQFQWWE ERDVDPILLLTALSTFQMYSCVFNNEFLAMEVIVEDSMGTMVDVYRKLAELDSKQAHV WRSQLMRIIVGTPRSSGRFDGISSEVDVSDFIKRYCAGLIEEFEERPEAAFLKTKLSV ESRESLLGCWTRAAEVFAQLQTQVAKVQWSANEHLRSTVNPRYVESHRSQAFPKGRND GKAISLVISPMVVFFGNEDGEKYEVWRAVCKATVLVIEEEIENEETEEESEGQASEEE TEEETSEDKLPEEQVEEEL LY89DRAFT_682524 MDPPAYSSVPKHSEKVWDTRLKIIVGLDYGTTNSGISYVTSDQN SADKIEVIRQWPNGPGTIGKVPSVIAYKSENVSEKLDEDQWGFNAAGYTSCQWTKLLL GKDPRTSIIQSNDFCTLPRNKTAKDVVRDYLQGLYGYLIERLQKHDDTTYNITPVQFW ITVPAMWSDAAKMATIEAAQAAGFGSRAMDSIHIITEPEAAALSVLMPRVGFGTVTGF EKGPQNVLICDCGGGTVDIVTYQVALKDGRLEFKELLVGVGALCGSTFIDRNFDSWMK QKFGTAYTKLSEEERGLSSHFFRQFETTKKNFTGPAHTKRLDVWPINMNAPKSPHYDK RNFTVKLQSADMLEMFDPPINEIIKLVESQVTAASKKGDQIHQLFLVGGFGESPYLNL RMKEWCLEHDIKPSCPPSCQEAIVKGAALRGLELLTPTVRLARRHYGYSVSRAFREGV DDENDSWYSEWDGSKRCGSRVQWFLAKGDPIDNFKLKSFDMARSYYEDSGDLESTFTI YSCDQDDKPEYTTHWSMQKIGKVKFTFTDAEMMTGKTKIMKGRKMYRIHFDIEVDLFS DRGDLQFNTLVNGQKKDKAIIQFEQRFDAKGGN LY89DRAFT_456904 MSIQPFQIAVPEVRLSRLQQKLALSDFPNEVLDADPWSRGTPSS EIKRLSRYWADGFNWRQVEAKLNSFPQYLALIPVEGFGIYDIHFVHELSVNPNAVPLL FLHGWPGSFIEVTKILPQLLEGGERFPAFHVVAPSLIGFGFSSSSKPGFNVDQHAEAY HHLMQMLGYTQYVIQGGDFGSLIARFIAMKYGPTHCKAHHINSAAPAEPTSASHPELY AKIKDIPLTPGELAGLGRTAEFSKEGNGYLRQLSTKPETIAFSLTDSPIGLLAWIYEK LHDWVDGYVWTDDEILTWVSIYYFSTAGPAGPNSFYFEIEHRNPGAFQGAQAYVNVPL GISRFPKDLILLPKNWNQTMGPIVFEKEHTSGGHFAAWEKPEAIVEDLRVMFGKGSQA YHCVDSKSGYS LY89DRAFT_730888 MKRARPGARGVSKVKTGCRTCRIRRKKCDEIKPACSQCVNTQRT CDFLSLSPKVEQRSCVALNHLEVLHFDYFKNVCAPEFSLYFEDPLWEGIVLQAALKEP CIQYAILAIGSLSRSQLVPESWHDAVQYSTGQYNLAIWVLRKNLDMSGSCELAVLATI LFIAIEMLQRHGNQVVTLLHFSSELLRNKSDDDLKYLRTALSQISTQLSAFLDLDGSA SLVRANTAFTLRYNEIS LY89DRAFT_730889 MANKTIFGPNVFVFDPTMGAGDIQEQATRIFKEMEANEFGQQRY ALLFTPGSYPVLFDVGFYTSVAGLGRSPDDVVITGGVNVPAYWMPNRNATCNFWRSFE NLAIEASDATNKITTIAVSQAAPLRRMHIKSAGGLWLFQVDPDTGAGGWASGGYMADS IIDGQVLPGSQQQWISRNSKWGSWANGVWNMVFVGCNSAPSQANYPKEPYTTIDHTPV VREKPYLYVQGKDEYFVFVPALQKESQGPSWANAPSPGTSMPISDFYIAQPATSTSAS INEALSIGKHVLFPPGIYHLKEALHVLKSNTIILGLGFPTIIPTSGQPAICISDVDGV TIAGLIIDAGPLNSPSLLEVGPAGSSATHKSNPTVLYDLTVRTAGPTAGQNEVGIMIN SHDVVGDQLWLWRADHGAGAGWDTNRTKNGLVVNGNDATIYGLFNEHHQEYQTLWNGN GGRLYFYQSEIPYDPPAQEKWKSDEGHLNGYASYKVADNVTSHEAWGLGVYCYFRDSP AKVENAIEVPNTAGVKIHHMTTVWLNGVAGSEITHVINGEGDPVHANSPEAAMRQTRN EYPSA LY89DRAFT_457059 MKILLLLSSTFTFLHTISSSSSNTTFICKTDHDCRLNGLCSLTT STCICDPGWTSPTCGALDLLPATLGTGYNKTSFNASSWGSKIIHDPSNSLLFHLFLAG FTHQCGLDYWSPYSRIVHATSLEGPAGPYEFHSEVVGTFAHNPTVIWSPADEKWLLYY IGCPQSVNATCTSPSFSCGPGNFINGESGISVLSSEDLYSWTPHGQVMSGMNDGAWDA DITNPSPHPLGRKGEMLLVYRGCPFNCSGNELISLSTAPSFLGPYTKLSSPIFPESNE DPFVWQDKRGNWHMLLHSLEPDGGFGSGPMVGRHAFAEKLEGEWTFDAETLAFSAVVE FQGGEVREFYRRERPQLFFSEDAEMKPLFLMTGVQEVGSEMSYSLIQPIEGAREWEQA LGI LY89DRAFT_456958 MRRWRSSLSSSFRAGISVFVSTAAQACKLFNHTVSLGSDNGRNI LYLGTSTNLLSLQCDIGCKCETRKKKVEVWEKEVKCESEIWFVLFHRAPAARKRIEFN PSISLQYNALIILESALKFNFNSEWAVPLFFMRNAPFRLILFTTICEIG LY89DRAFT_457118 MRNAPHSCGHWPLEPSNRPVAPQIQHSHHSASLPPAPATVSAQT DSEEPLASFSTQRWLVNSRVRLRRTLLNSANDACRPSFPRLETDWKDSRLNLTSAVIV HSMAIECDWEEPETWELEIIRPLLRTIPSHHHHSSPLSCIFHGISPRRLSIFNTIHNL HSPLGHLHVIVIIGTPRLSYFMSNVFCFPLHSLSPFYLHH LY89DRAFT_580390 MNTSIEDFDRWQAHIGLKTFGEGLNAAAKAIFPNESRSRYTKVY VLMLSWADEDPDLPVSIEILKLYKVFKDIYHFDVEVWRIPDEACHIEVNQKILDFVKL GGDSDDHLKIVYYAGHARLTKNRRLAWTSGQRSRNGKTPTVQWSGIQNALEHSQSDVL ILLDCCHAGTANNNEGNGVTELIAACAYNSLANGVGSFSFTRELEIELRELAKLPSFS IGNLYHNIFCRIQARMSDDERDHPAPVYLPLTQEDPRYPRSIRLS LY89DRAFT_580051 MPKDPAVVSLGPITSFNQVLNHVSNFIPRDLPTPKPDQWSFDRF NTPEPSPKRVDKQTSKPTTSGIYKKSEVRFRKETETIERPSLDRGYSSQFSIESSVDD GPPLPNGLKHAHTVLGGVPLTFEKSHQIPTFGPHEHEASGPQSRAHAKIKSYKDDPET RAFPRISQPVELLRNEYDCVVIGSGYGGGVAASRMARAGQSVCLLERGKERWPGEYPS GFIDAFKQLHVSGEFAPGFLKGAMVEGGDPTGMYHLICGKGQNAFVGNGLGGTSLLNA NVFLEADDKTMKMDCWPKELRKTDSLKEYYERAASVLEPEEYPEDWPDLPKLTMLERQ AEALGMGDKFRRVKQTTRFKGGPNSTGVEMYPSALTGMDCTGVNDGSKSSTLVNYLSD AWNWGAEMFCECEVRYIKKHPDPEEEGYLVFFAWHGANRGAFQENIYEDLMWVHAKKC VFLGAGSIGTTEILLRSKKLGLSMSDKVGIGMSGNGDILAFGYNCDTEVNGIGRQYPS PSRPVGPTITGIIDGRQGIDNALDGFVIEEGAIPKALAPLFQTMLEMMPGNQLPKGQT LTEKVKHAVAQQGSRFLGPYFRKGSIERTQTYLIMSHDSNQATLTLKDDKPILEFLGV GRSDHVEYLNDILRQATQAVGGTYVSSPFYAALGQQEITVHPIGGACMSNSDSGLDGV TNHFGEVFDGNGRDTHEGLIVTDGAIIPTALGVNPFATITALAERSVEYAAKYRIRQP IDFETKNEVLDLFAEPHQYASDKKILSRTDTQRITDASDWVKTTRHNKASGFGFSEVM SGYIHVGDGIEGDKLEDYETAAKTAKGLCEEARFFLSVKAWDTETIVHRDDHKAMLTG TFTCAGLHGSPFMVQRGTFHLFSVYQQAPGTRNLSYDFDMTSTDNRQFHFHGFKVVDS SVALAPWRFWTAASTLYVTISEADGDRQVLGRGMMHIRPTDFLSEVFTLKASGRNLLA KMQSTLSFMGFFAKQSASLFLAPFTWQQYPSVTYSGYINETSPDHTIKIIASDGVQTL LHVFEPRNENIETKNLFMVPGASVDQQIFALPTIEVNAVNYFTRAGYRVFVTVHRICQ LMVAENNWTTYDARLDIRASLVWIRSQWGHEPVYTISHCMGAVAFSSGLLDGTIPSKW IKGISSSQVLMNPIWSPLNMAKVLAGPIPIDKLYRIIGGNWFSCSSTRDDSYFQQLIN QLLRFYPDSREEICNNVSCHRCSLVFGRLWNHRNLNEATHRQINRFFGGVNMTLLHLL MQMGYRGYVTTNGPLFSELTTETNIKRLKGIPVMLFSGSDNKVLTPESTDKTYSVLRD TFGCKGYSRHVIQGYGHLDCWMGRKSYVDVFPVVRKEVDRVCRGEAYRYQEFDWKRDW ETWRDLKRSGRDLPMRHPRHRHGKGDSEGSDE LY89DRAFT_580015 MASIPLPSLWTIIILIFSLLLIPTIMGIFGGNKFNVKGKTVLLT GASEGMGKSVAIQLAQKGANIIIVARNVGKLEAALAEIKAAASSPSSQRFQYISADVS EPDGASRVIAEAIAWNNGSAPSIVWCIAGSANPGLFIDTPRSVMRHQMDVNFWSCVDM AQAILSEWLGKENGQKGEEKHLVFTSSVVAFYPVVGYAPYAPSKAAIKSLSDSLVQEC LLYGEDVKVHTVFPGTISSPGLEIENQTKPEITHILEESDPVQSPEVVARKAIQGLER GEYLVTVSWLGDLMRGCAWGGSRRGNWVIDTGMTWVASLAWYFVGMDLDGKVRKYGKD HGHPSTYGKK LY89DRAFT_580239 MSSRRWTPTDDYYEILGLSQNGTENEIKVAYRRLSLIVHPDRNN WSFIPKAREIAEILNNANETLMDKTKRREYD LY89DRAFT_456999 MDSKIDEFDTKDLTALPAAFSLKKVLNYSPLTDPEYIRVLRISP DHSDEIVVSLEEIALSGNIPYECLSYTWDGPTFGDTGEEWTSDRKHIVCNGSIIFIRQ NLYDCLVELRKVGIVGPIWIDALCINQNDINERNKQVGMMGRIYKNATRVIVWLGRED EHTELAVSSLKRIRLTAAECLRDESIVRREYARCNFTKRERIAILHFIKNRRWCNRLW TVQETVLARDLVFICGSNIASADMVCCGSLFADYNREDFFDIWITNSPSMQNSTGLRS FLEVLKVRDLNQQSEGSPPPFANVVHAFRDLQTSDPRDKIYAVLGISDCHHDELSSTM TANYDVLVQDLYIDAARYALHTYSHIEALSKVGEPSKQKINDLPSWVPDLSVSLTTLG LEEEKDRFATDGSQNSLPILEIMDKVLVLNGHEWDRVVARSGTIGDLGDVSGIAQLLK TFKSDHDMYFGSMDTREVAVRRLLITDRLIDVAHASDSYNMEAAFERLFFALLAFALK ASEETTLLRLFLEDPKAAFKRFGVPDSYDWLSEILVRGKENWEFQKDNWMKNLETIPS NFAKALDIIDDPYALSWARYYSNRRYFRK LY89DRAFT_716177 MADSDSHLGPGPATGSDLGRPSLSRGPSHGASSDGGRSGDPLLS RNVAGDDPTPALGESVAFSPQRDQVIVGENPAQARNQVLDSLIPMVVTEKVAKKHKKL NDLTWWPTILQWRSVVLLVLLYTATIIAIVVLMWKSIQDPNHNFRLSSENVHMISRYF PSIVGTITVLLFRQTVREALRMVPFVSMADQKGEENEGSRPWKGVGGAWFPWQSVTTT PWNILSIFSLVCQFVTSFIVSLKVALFASTEHIDPTTNLAYWTLTVRLYPAIILISGY VLMILYTLYIFFHFYGKSTGLKWDPVSIADYASLFAHCNALQYFAPLELRHSLRPRHV MVPHRRFRLGYWVRNSNENDLVYGIGVKLYPQPPAQPHTDTHKSLQERENLGRPWHYV LTFRKVKAKKPLSVDKCRKPAACNHGTWPHCEHYPYNYNPGCDRLVIALGAFLAIGSL VLSIYALAIRLPYNGFSLANDLKLPTGFHYGFGSSNHTLPPIDPSDPNSTVLVWALMF RSVPTYVAGIFTSTIITWIDLNMRFMQPFRNMFGEREGSPKTAWEHVRDFFGWRRRKA SPNPDDDKRIPAKAEESILLAYLTISPLQVPLTAWNKGHFKVCIYSTLSTLSPLFPIF VGGLLIITPSPDYKRVEFSFSLSAYIGIMVSLALYALLLPAAMPGAYRLLPRQLYSLA DLMALCHESKFMASPHLDITDSERTPSKQHMEARLLLTDDRFLFGKYRGRDGHGHIGF DVAQEREPDFGHLKDIGDSVTHIPPEGFVYRARTAIMEEGGLLNKTRKTITKNWGPNK NSPLLQRYHNVGSGPEQHEMRGGLVQPPAGGEAERRSAENQPPARGEASGSQLPQSGG DGGRTRATRVVPLTP LY89DRAFT_682536 MATSTFHNATTGLFNATNTTVDLNNTCTNSTTWDSFCIEPGFIA AITLIVVPLWLGSLVFAIRCSQVRWITKFRG LY89DRAFT_580632 MPDSAQINRSLSTIRTELEFLQASNVLSPPQFQSIMAQLPQQNG QPSNYIDLRYNPNPQQQFNPGAMAQQAQDPAHPANPQNPKHHEWVKNMASKFGNAAMF GAGATFGGDMVNDVLKQF LY89DRAFT_682538 MASKENFGLNSTITLNNGVQMPRIHLGVYMTSGRETSSAVTHAL TANYRAIDSAEWYANEHEVGSSILTFLSSPQNTSKLERKDIWFTTKLKTNTSYDATRK AIKRSIKECGLGYIDLYLLHSPYGGRQRRLECWRAVEDAVDEGEVRAVGVSNYGVKHL QELLDSKPRIPPAVNQIEVHPFNTRNDITSFCAQHDIVVEAYAPLARAMRFKHPKVVE LSKKYGCTPAQLMLRWSLQKGYVPLPKSTRKERIVGNADIGGFEIEEADMKGLDGCDE YLVTDWDPTDAD LY89DRAFT_457222 MSNLPSEPEFEQAYKELVSTLEHSTLFEQYPEYKTALKVVSIPE RVIQFRVVWESDKGELNVNRGYRVQFNSALGPYKGGLRFHPTVNLSILKFLGFEQIFK NALTGLNIGGGKGGSDFDPKGKSDNEIRKFCVSFMRELSKHIGADTDVPAGDIGVGGR EIGYLFGAYKAERNRWEGILTGKGGNWGGSLIRPEATGFGLVYYVNHMIAFTGQGSFK GKTVAISGSGNVAQYAALKAIELGATVVSLSDSKGALIAEEGHSVTPEDIEKIATLKL KRQALTEFSHQGKYKYIDGARPWTHVKVDIALPCATQNEVSKEEAEALVKSGARFIAE GSNMGCTQDAIDIFEAHRREKKDAAIWYAPGKAANAGGVAVSGLEMAQNSARLKWTSE EVDEKLKGIMEAAFKNGLETAKKFVPTKDGEFPSLVAGSNIAGFVKVAAAMHDHGDWW LY89DRAFT_666510 MANAMFDVLPANQSARGIPIEPSSLAFKIGSLTKSTNSSLYSAR YYPTTIQHNAAFLEFVSHQTALEARVSLEDWASYLNQTQWERTKLDPQDIFAVLDALV CCVKSGLENSLRIQRSYRDENQILFLVQQMRIKILRCLGKADLGREMADEEELVMLMQ RT LY89DRAFT_457247 MSYAYIGAPTVFNGTLPNGGDSTTTNLNQWYQSGDQAYIIICSC MVLVMIPGIGFLYSGLARRKSALSMIWGCMGSFSITTIQWYFWGYSLAFSSTGTSGFI GNLAHIGLRNTLGEPSPGSPLIPELLYAFYQMQFCATTGAIVTGAIAERGRLIPMMVF IFCWATLVYCPIAYWVWNVNGWAFKFGVLDYAGGGPVEICSGVSALAYSMMLGKRQQK MMLNFRPHNVSLVTLGTIILWFGWLGFNGGSAFGANLRAVMACWNSCLTAMMASITWV LLDYRLARKWSMVGWCSGCISGLVAATPASGFIPPWASIILGVVVGIVSNFSTKIKFW IRIDDSMDNLAEHGIAGMVGLIFNGLFAADYIIGLDGVSTGLINGGWINHNWKQLYIQ VAYVVACTAYSFVVSAAIAGVINLIPGLNLRASEEAEMLGMDDDQLGEFAYDYVEVRR DYLAWTPETQEAQTIVGIDARENRAPGDPLRMRQISSRTVGHMDGEITPVNRVQHVGD EVQQEKLGVENAKMR LY89DRAFT_772112 MSAEQDHYAVLGLGHNASIAEIKTAFRKAALATHPDKGQREMTE AFVKVKAAYDVLRDDKTRAEFDQKLKKRINERSERGGPYSEDNFSSNEGVYSTQGRYG HPMAESSKPNFHPRAQNPDGDDTRAKADNHNHRYNNAESNSQMNYQAQYPRTEGRAPR PATKQKTRVDYGTRDLDEVIDLVRTVLASAREANQLDVIDVLQDVLITLELYTIRNLI AFRNSVRNLSKGQHVDASLRDLRIYVKMHIEWNVPK LY89DRAFT_579834 MDPNYYQILGVNNDATPAEIKSAYRKAALINHPDKNGDASGADF VKASPPIFQLAPC LY89DRAFT_730902 MVQLTNLALLAATLLSPLAFADVLRDPKTACTTFVQVVTSTETR YPKDVDTSVILYEYPVVTTVYATNTSTVLYTTDKTRMRTRTDTQTVSQCTVY LY89DRAFT_779573 MTMTSQHPPEIASSSSSPSSPSPSFRIDPPLLNSSNPWATTESD LLALYNCPYTGAVTTRTSLWGSGFKQHASTHQYTFFSSALGHATAEVNVVDHPEGRGG VVEGETTSLNTLGYSPIAFQLYVHTIIRMSRSGLLDLPHNRKHKRKPFIVSVTGTATE IAQCATHLLTVLNDPESIYPYPSAPSSTPDAKASVSDVPKPLEHLELMMEINLSCPNI PDKPPPAYSLAALAEYIAAISVAKGSMPREYERPLHVGIKTPPYTYAGQFQTLIDALE SSASLEGGCPISFVTATNTLGSCLVVDRRNEAALGSVGGTGIGGMAGDALHPLALGNV RTIRSMLDASPHFDVRAISIIGIGGVRDAAGFARMRSVGAAAVGVGTALGREGISIFE KITTSE LY89DRAFT_457338 MPSIRQILGAVAVSMVAVTTALPALPKISPQMRRAYDAGVLARK NLLESRQNPATGLPDGLTDVDILEFALTAENLETAFYQQGFAKFQDSDFAALGLTATD ITNLKSIGGTEQTHVTTLTSAIAGAGTAPVQPCTYNFGFTTAAAMVATAGVLENIGVS AYLAAAPLVKTPAILTVAAEIVTVEARHQTFIRTASKAAAIPSAFDTPLGIRAVFTLA AGFISSCPSGSNLAITPFPALTMTAGAPAAASTNGTIAAGTAVQVTTAATGATNCAFV NGGLPGGAAFTQFSNGACTVPQNLAGITYLHLTSSAPADNTLTDAITVAGPMVMQIS LY89DRAFT_682548 MEHQPNQPPQGGVPGPTGRRLHIAHRRSPSELTPLMHMFTSPGS KFPEHQVEQLAIQQQIELLQAQQQQIQATHQQYVNMGMIPPSQHLAPGGGYNPLQQQV QNLSPQTAFQFPNQMPQQQQQQMNAPMGAPTQPLSHRRNQSALPNMGMGPPPAPSSGA SASGFGDFGGHNRDNAGGRGGRGGGPPGSGHQRRHSLALPEAKKAAELAQQKRTTSGF QFPIPGAAGATPTERTESPSGEENNSQPQAQTQGQSTGNHAGTGASLRGRGSGHGRSQ SMAVGANGRGGSAIRGGGSFQFPPMQATADAGAAGTAGGQNDFQRRGSSTGHNRQSSR NFEGNWRNQPPQGQAPPQDQQGAMGNFQGQQQQGGFQPGHRNRGSMNQSINNIGSFQY GGQPQLVQLPQGQMALVQQPMYPGQTLNPLQMNQLQALQAAQMNGHVGLGGSQHAPQL SAQQQQQQRKTLFTPYLPQATLPALLGDGQLVSGILRVNKKNRSDAYVSTQDGLLDAD IFICGSKDRNRALEGDLVAVELLDVDEVWGQKREKEEKKKRKDITDTRTGGSSNGNAD RSHRDNSTNGDQESGTGEGGIRRRGSLRQRPTQKKNDDVEVEGQSLLLVEEEEVNDEQ KPLYAGHIVAVIERVAGQMFSGTLGLLRPSSQATKEKQEAERQARDGNSGRHQEQRQQ DKPKIVWFKPTDKRVPLIAIPTEQAPRDFVEKHQDYADRIFVACIKRWPITSLHPFGT LVEQLGKMGELKVETDALLRDNNFASDEFSDAVTRSVGFDEWTFEKEEEATIAARRDY RNEKAFTIDPNGANELDDAIHVKTEVDGKIEIGIHIADVAHFIKANSLVDREAKKRGT AVYLMNRSCAMLPPKLASEICSLVPGKDRFTVSVVFKVNAHTGMVDDEDTWIGKSIIK SSGKLTYKEVDAVLSGHLDTKLDGADVKEIQILHAVAQKWRERRLGTESETIAPLRLF YQLDDENVPVEQNIFDSTPSHELIEELMHKANTYVAQKISQGLPEKALLRRQGPPNPR RLQTFADRMGKIGYEIDTTSSGTLQNSLFKVEDPEIRKGMETLLVKAMHRAKYYIAGK TPQQLWPHYALNTPLYTHFTNPSRRYADLVVHRQLEFVLSEGKIEYNEDIETLVKTTE SCNTKKDSSQNAQEQSVHIESCRIMDRKREEVGGELISEGIVLCVYDSAFDVLIPEYG FEKRVHCDQLPLKKAEFRKNERILELYWEKGVPSSAYVPEDERPKAGASQRTTNAAAA AKQAAVAERAKKEHEEAQRKQMETGTMSTDDVDALFDDEDDASDIADSLAGVSLAERP TQSVPPSPTKNSLTASGNLHRTRSDSKVPTGEPVEAKLSAKEKYLKFFTLREENGEYI QDVKEMTRVPVILKTDLTKSPPCLTIRSLNPYAL LY89DRAFT_779576 MSENSPTGDKTPPLPTSANAIIMAPIMVPYELRNAEAVHDQMLR DSKNYSDNLRKGAEQFLTNYLLEEQLKAIKLETEAAEEKAKLQEDIILEQKRIQDAEA RVLNAQRELRESERKRREAEVKAREAEVKAREAENAVKRMPAVPPRVATPPPATSTTT SANTTQTPVAKSPAEAATSQPAQPPHNPFAQVKPPTTQSSVESAATPPASAPNPSAPA ASSTPAPPQSALQHVPIPSQPSAGTANQPATSFSDMVDGPETQKYISVHLQLKMLRKQ VKPKGKANTSPLKDVAMKQGADIRVALGQLSIGNKNANVFNKLRDIMKDSLTYQVNIG QGAQVPMIDPSPYVLRVPAPVTDGTAKNNGDQLPVLFIYLLSIFSKALIAQAAQEAAL KSESAEPIAMAAHQIFSHPDLLWRGMSMIDILIAKLRKSCGALFGFRGAETKDTGRIT LGWKKEDGMWVSESIHYDRMRGIGAFYAGLTLRRYKNRVNPYPPPHYWRAMAAILTCP QQERSNTSYYVLGALIKGYEGKFQEFYGDFARLALEAALIHYPMGAREKNVAVMTLLT LGDKAKTDGFIPQA LY89DRAFT_772116 MSSFFNYALLTILATLIAFFSRSSSSSSATSSSPPAMVSYMTPL APVKAIRRRQPKRVVSKVAGPCMTAEVPFFVSNASDAFSKLSLDDQVPNVNDLTDSFA KLSNKEWIPYSDKPLRKPSPEVDDLASSFAAFSTNDRPLEKSAPIVNELVSSFGSLST FDKPCEKSTPGMDSVIDSFGKLWDKERRLRSERTLPKPSPEVDDLAACFGNLSCTELR LRSCFKAPGRRHNVAKSVSFVTYPDREDVDVCELRFFELEEGETLSHRVSRSSRHLPH VHGEKFIGVTSTGTTQLVKATGFFRSPTKSSNLLLPWIWIRCVLSTIVKNISRNGSTI TTLGVLLGGSCRAFVWSGSRVPPPPPPPIPKKLNINSSYTEPVLKVRRSKVEIPKKHS IDTSTPRTQRQGQNEEALKSRRRMTSTARTQSQGPKKHDRILKKHHADTSYAEPRLKQ EEAEHRKLIRRAKIEIPKKYDHDTEQQGEARRARSGGTREVISHRSPPSISFRQRAKV DGVVLRETSTPPSGGPGR LY89DRAFT_580548 MKTIFSSLFLAAGANAIITRWAPCCFHLSASGAVTGTVGQLDDG QNRVNGPLSPAEYCIADGAITDANGRGCILTPPTSQWQCDTGATPTSGFSVACDGTVA YNGGTTFYECQTGDNGEANIYLTPGGTNCGEITLKADGCGSCPPPPPPPPTTCPTNLN GNYEFPHLIIPIDSSNPNSAAGTSYFGEVSSTISSIFNFDIPASDSGKTCSLIFLFPL QSQLTTSSFTFSGNGAIDFSLLSGVATVSTSYANAPSVETDYGSITVAPGNSYNVATF ACPAGEAVSFELKAVGDTCLNYFQDYNPSPIGVYITTC LY89DRAFT_580326 YAVLTHCWGPSMPEAGVTKTDTLSLHLNRINVAELPMSLRHALI IVKELGIPYIWIDSLCILQDSTEDWEVESAQMGHIYSHAWCTIAASSATDFQGGIKYI QGHRVQVSIRPPLQTWAKFYRNNPLNKRGWTFQERELSPRILHFSADQMWWEFQLRCL DNMLEPSSIHNEDSSIIHNLVINARYNTWHKVIQDYSTRTFTRITDRFPALSGLASEM QAVHGDEYVAGMWKGDLLRSLLWRRDSRPDSSQTMLTRPLQYQAPSWSWAAIGDAVTY DLVTFNRPQINKLLPTTAKIKNIHLVPAGSDPKGRLNAGTIKIRGRLRYYEPSTLTTT MIFHFDFDDQLDDTPIYLLSLFTITSFIGSVMGWALAVIMIEEPVPVFRRVGVVSNVY SEWFDDADDVLIPII LY89DRAFT_705623 MPIFRGHRLSVAQIALIAAPSFILFGYNQAGVGGLLSLPDWTKT FPEIDTTNTKGAVKAHNATIQGVVVATFVLGALVGALSCMKIGNFLGRRKSIFLGAVL SLIGEVLCTSSFGLAQFIVGRTIIGFGIGILSATVPVWQAECSSSANRGKHVVLDGLF MTLGYTLESWIDLGASEIKSGKNISASWRMPLGIPIAFSLILMATIFTMPESPRWLIM VGRVEEGRKVLSDLKDLPQTDPLVSAEVDGIQYSLEESTGRKASLADMFTMGPDKLFY RFCLCILLQFFQQMSGSNLISVYAPVIFQQNLKLDGQTSRILSGGTLTWKFLSSFVAF FTIDRFGRRALFIFSGFGMGSCMLALAIATSYPSSNKSASIASVFFIFLYNFFVPIGF LGANFLYCAEVAPVKLRVSMAAISTANHWLWNFVVIMATPVAIASIGNYYFVLFCAIA FCIPLSVYFFYPETMGQSLEQIDVVFRDNKTPWAVVRASKILAAGDVEKIVGKRDEKL VEDVVVGEEKEGEVV LY89DRAFT_666522 MTTVSQWQTFLTRSLATRLSPDTFESYLQILESKHPLSPNRICD IFLSPRIENTYALDPRISKYIQILLSSRLVHIPAVLKALLKYSSFSKHDENSNGTTGS DPNTQQSNRGGKKDDGPAKHWSNSYGAEETLFYRMAKNISSGVAPHDIDEAVELVKAS IQWMEVIITASHSAQEMMGLDQTHTHEKNAQSMALGTLVIAIVENTQVVNALSKGRVP KATRKDLSKMLANFVPLLLQNSAQAAARLEVFRTETLVAIEPVDKKEMAADKAFEDIL DESIGLAQTVDTMVVEELPIVNSRAGLYIYLNSLLFARPLIDDNALLAYLHNRYQGDI QTTVIDLILAAFDVLANATFRNERPQSTFILRSFLINKVPVMLTTLCTQLFPSPTSEY CITRALGQVDTTAFPTLSNMFDETSSANNMFSDSVRQDFCFACCLHGLIAESSIEELL GDIPMQSLPAGGRYAKDDLVQQCLSDPERAEVLIAELENMDGNVGAVGQAITEVIGRL CNNKETMSLKTLCSQLARKPSSLDVMLLFEKPITILQPICDLLDNWRYDEDQGEYQPV YEEFGSILLLVLSFTHRYGLSTVDLGIRSSDSFIAKLLSQGHLSRAMEDMTEQEQGHL DGWIKGLFDSGGLGDELMSSCPPHDFYLLVPTLFYHIVLACSTKHLSDEGLKGGLEYL VDTFLLPSLIPGITWLSSHLWESRGDANAVLQILSALITNPASISVNTEASQMLGAIL NIVAKNLEHSLRWVQRSEPSRQDVEPLSKALRGHLGWERRGATDHTELEAWTATPGGG LTVAIKHTIANLVQWALAPSANTHPAAYTQRQILAALKIHGAKRLLHTILDEVKTQSA AGNASLVYDVVCAIICSPDAASWDAGMQVDVLGTNTIQPLDRRLTLREALKYEAESAP KLHKSDPFAADTIVRLYRKVEAQLVMPQQAMLEHDALGGLDSDLNQALDSAALDGAMA SAGMGMDGLNDDQGLGMNDPHGDLMHGLMGGGDASDLLDGFGNGDLGDGMGF LY89DRAFT_457463 MPHAVSRSSSPAFLADSRMAFNQHASSSSQAYQTPPFAHYGSPS RMDAATGQPQFEILEWYPQFLSCHRYFLDHAQHSGPVQALAAFVNIQLPFQKHPNPII SSTASSPRSGGGADIPQLRQPNPFSQIHSAPHAQGVSLIPYIRRLVATGHDTPGVLHG FFGDDWVIGVGSLHEIERRNYLFAAKSTSWLKVKQAYDMGPEETIPFLSPLKDASEKE IQMAEAEWSRWLAMQDWMLGPRTPEIRSPRVKREAQE LY89DRAFT_457559 MYCWQSVNHIVIVIGIGIHCCVRKSIIPRQLRVGKMGMSEPNPC FCTTSNAPPEVDPGNGFQYCYRPVILFWINHKSGKYLWKKSLHLQFDSRQRKFHRPRK RLENLLGLRRSALAKPYGFSASRKCSLLVTYT LY89DRAFT_457542 MHSLNHLLNCVRARLSTKETSIKPKDPETPAENILHNRKTSNND SIKSDFAKMEEERIALARAQTTLDFYGHLKALDKVHLDMKPDPTLVDEFGRMFTYALL REAKDPKKVFQIERFVELHRRATEFWFKYGEKRRENCKQPSNLLPTTLSPLGVEGSRV CQHTLTCQQGTLSSSRLKTNV LY89DRAFT_730914 MNEWWRSVIFAAVAQKDDDIFTLSLSQMRSVLGSFVLLVIIIEL YDWLIARRDGTNHTKIYPEKMAREEFDETSSVSEYLLPYTDEEE LY89DRAFT_640812 MKRSQRVIGNAHQALHRSPRHGVSPSLRRSYVTETQRRRATPVA DRGLSYPLINHHYDAIVVGAGGAGLRAAVGLAESGLDTACISKLFPTRSHTVAAQGGI NAALGNMTEDDWRWHHYDTVKGSDWLGDQNAIHYMTREANAAIYELENYGMPFSRTEE GRIYQRALGGQSLKYGTGGQAYRTACAADRTGHALLHTLYGQSLKHNTNFFIEYFALD LLMVDGACVGVLCLSMEDGTLHRIFAKNTVLATGGYGRAYFSCTSAHTSTGDGNAMVA RAGLPNQDMEFVQFHPSGIYGAGVLITEGARGEGGYLLNANGERFMERYAPTAKDLAS RDVVSRSMNMEIKEGRGCGPEKDHVYLQLSHLPPDIIKERLPGIAETANIFSGIDITK EPIPVLPTVHYCMGGIPTNYQGQVLSIADDGSEKTVDGLYAAGESACVSVHGANRLGA NSLLDIVVFGRASALHIAENNEKSSPHVEAPEDIGKESLADLERIRMASGDIPSAKLR MDMQKAMQTDVAVFRTEGSLATGDSAVQQVEKDFAGRLSVSDRSLIWNSDLIETLEMR NLLTCASQTAKSALLRKESRGSHAREDFPDRDDPGFLKHTLSWQKEGEDVRIGYRDVV MRTLDEKECASVPPKKRSY LY89DRAFT_457629 MMKYTLSRENVLSELGKDENLRRVKQSVFHGASELPPAAGRYLL QKVPVVQWLPKYSPRWLINDLIAGLTVGVILVPQALAYAKIAGIPLQDGLLASWLPSA LYFIMGTSKDINTGPTSIIGLLTAQIIKQVSVDGYTPTAIAVAIAFSVGIYCLVMGLL KLGFFLEFVSLPVLTGFISAAAITIILGQVPAIFGETVGTGVANQIHDIFAKLPTTKP ITFAVGISGILLLCIMQYAGQRWGKQSKVVWIMSISRNAITILLFTIISFFVNKDIKT PIFDLTGKIPSGLIPPKSPDLALVGKVFQSSLAVFIAAALEHIAIAKSFGRKNHYTID QSQELTFLGVINVLNSFFGGMAVGGAASRTAVNSESGVKSPLSGLFTSGAVLLSVYFL TGALFWIPKATLSAVIIVAVWQIVVPVSVFISYWKVSFADFVASQIAFWVTLFVSAEE GIETATAFMVAYTLLSTIFSKAQTVQKDTFAQHYPSSSNGDRVDELPAGTALVKISHP IIFLNASRAKSNILDAVQTYHSGAPSGFDSQSKNPDRLWNELGAQHTALLRRKAEIPV NEAEYLPRVTVLVLDLQGVMYLDMSGIQALKDMQTELKAYAGGDIEIRFAGLRENLVG KLQRGGWQLVEEHEASDSWSRERSVVLYGTVRDAILARERVPCGEKEEMRLEHENMV LY89DRAFT_457630 MSALSFTSYLSHQIAHTDDFTESAALQTVQRVTLGMLHMVDSKK PSSTAIQLAKDRAATPAAPTPTLSPPTISSLINTEKLILPTRLASFLTFTSRFSHSYA SNFYAACTDLAILETISLTPRGEREDEIQDWIEEQIPLAQLGYFKAKKRNMLEKVMLE TVIGGVQIGLVMGMGTLRNALEELDAEWDEVGGEAKRSSASMKSGDKKRLEEWITEGV DFIADLEVLKEVIGERYAGPVGDGYKKLATDMCTEGIGSQSEARGESGSPESFVSVGD ESKVYGPAPAIPERSSKRMAQYLGR LY89DRAFT_666528 MSSLAIALQGFFTNTTLWNHNTIERSHYEAALDAARILSRAAYP PSGSTSRAILLKECTGTVDLTNTEQADVLRIIQHLQSNVYDATDEAVNGLGGRSLPKD LQDEVDAVVKRLQNKKQAIEYRVLVGFMRDDETSTPSIYTQALNCEKQDSEAQLAVPN SEPEPLELGVASHKHIRSISLSSISGIATLQCSLMALQASACFYRSRNMLRSLTITSL AYKLLPDTFNLNMAHASIVM LY89DRAFT_772123 MLLPNCYYSQLFVDRMYADANSAIASTRGFDLAEIMLHSLLQDD PGQLSVEPLRASSDVGCGREALESPPFLDPSQTISHNSLFSTPSQVACNYKKTPLTPI DLLSSLASRLTSEALLLNFDHFTLHKRYSLFLDAVEAEFRDEIIEYEKINGRDEGKCE TLFRYMFFEIHLSAPSGHEHLTLVARRMATGIKPFIEKEGDVEIGKLRKLQKTPGTKE EREKCQELLSSAREARLMWGRPLHTSALDLNFFANLSFPFANIPNLNLNLKHWDTQVW PSVCFPDVVVRNLLNVFIASSIPKTIT LY89DRAFT_682562 MAKRPHNTEDSDAAPAAVVDENSDPPSKKARKETNASAQRTLFV RSLPAIATSEALTELFSQNYPLKHATVVLDTDTKQSKGYGFVTLADAEDAQKALEEFN GQVFLGRRMKIEIAQPRSRELTKSQGLDGKRKSQISVEAAAIKKAREEKMAEDRKPPK LIIRNLPWSVKTPEQLAELFKKFGKVKHSTLPKIKDDTQAGFGFVVLRGRKNAEKALA SMNGTLVDGRIVAVDWAVDKNVWESQNKSSEDQDEATDDEDNGANLDKPAEPENEDDD VANFFKNFGDNLESEDDEDGEKLDSDQEMDDWEDESNSNSDAEGEEMEDDKPKKVLIT DNSTTLFVRNLPFTTLDADLKEHFNQFGPVRYARVVMDRATDRPKGTGFVCFFNVEDA DACYRGAPRHQLTGANAIAPKVKHSILENENADSTGSYTIDGRVIQIAKAVDRDSASK LTENGTNFRTERDKDKRKLYLLSEGTVASGTPLYEMLAPSEVKMREDSAMQRKKLIQT NPTLHLSLTRLSIRNLPRNINSTDLKALAREAVVAFAKDVKDGKRAQLSKEEEVRGGE DMKQAEKQRKAKGKGIVRQAKIVFEGREGAKIPEESGAGRSRGYGFVEYSSHRWALMG LRWLNGHALENASGKKQRLIVEFAIENAQVVQRRKEKEEKARLRSKEVVEMRERGEAP PKEKKELSKSIVMKKTRVGMKGKKGRPLKAEKSFDKVEIKSPVTKRKRDGDEDQGPRK KSAKGEGAKPEESSGKDEKLRMKAQIIQKKRMARKNRKG LY89DRAFT_567825 STQPRTPQSPSQAHPAHELPSKPGTSPRPTNSLPTPAHSINGSK SYSEAFAPELIADVALLNESHNKRKRDIEDNGDQEHKKAHVEISRLSIDDLHLDVGKK YLLCKNQHHTRLPALSDDLFSMYGLNDLAKSVARTGPDGQSKGVKLRKTYKNHIKDHG VSGAFDSKKREFDAPESLFMMMITPGEEWDAQHAQGKDIEKGLGLDDNVLSKAFTMSR GSIPKAMWDSSVLGELAATPAPEPAKAVQNAARMAQPQAGGVLRTAKSEISRPKRATK KRTYQDSSFEGYGEGYVDDDVQDVGYSTGDGDDRGASRKRPKKV LY89DRAFT_730923 MLETKLDIETMEETTDDVATSLLTDETEDTKLDGMIEVTTEETT EELGRELVSDSIDDTDEIGAVELKDDIDEKMLETKVLDGTVWLETRLELTMDVDSRLE LDTRLELGTRVELTNELDSTDELETSELDTMLELETIELETMLELDSTLELTKELDGI VLVANELETNEVNTMLELDTRLELTRELDSTLELETMLETKKL LY89DRAFT_730925 MVDDCKTDDDTTLVDRSEDDSRVELESTTLEDTEDDSSTVVEMM LTVGAVDETRRDDESMTLVIGTELDSRVELERTTLEETEDDSRTDADTTLVDGSTVDS RVELESTTLEETDDDSKTDVDGRMLTLGTVDESRTDEDKALVDGSRVDSRVELESRTL VDGGVDDSRMDVDTMVAVGIVDDIRTELDTMLDTKDVDS LY89DRAFT_730926 MLLETSEEITDDDDSITLLETRAVVEATVVLLTMLSVMMLLDEI PDDRLSETEADIELTRLLSTTEVETTLDDGSTVTDGTDDDETAELNTEDVSLTRLVDG RMDEITLLDTTDDDRTTDDVSDRTGTVLEIRDVESVSDETDDTLETIVDDSITDEAKV LLSRETVEETETNEETED LY89DRAFT_779589 MTEVAIEDDSTNEILSVTVTDEAIDEGTILVETAKEVLSANVDD GITDDDTKLVLTTTEDDGTSDVSEMIEETEVSETIEDTEDTMLLAMIDEDSIIEVSEI VDTRDDTTLLLGTTDVDKSDEVSTTLVEAMEDIWLSTLVLGRTDV LY89DRAFT_779590 MLSVIEADGIKLELTMLLDTRVVVDARVLSIDDSTDETTEDCTD ERLTVTVGTRLVRADESMEDSIDEADKSIEAVEDANEGMLSCEDKTDVEDTSDSEDTK ELDSSMDEVSSADVLRLEISDTWDDTDDTTEEIRLLTVATVEDSTVELMSDETDSATE DDTNEEEIREVEAGTDTLDSVTKEEMLEDSTIDDDTIEVVGNTLEDSNGVDETKEAVV WTLVDSTEDTSTDVDSIIVLLRTEDDIRDETDDRETSDETLDDSTRDVWLVETTVDVA RSDDDGRTLDDSIVEETIVSLGDTVWLETKVEVAGTDEDSTIVLLRTDVDSRTEVLGA TVDEITDELSTRDETKLTTLVGIRTDDIGETLETTLLLSTIVDETITEELGTIVDETT DEDSKVWDSDTETIVEDTRTLETELLSIAVDEIADDELVLETKELISLSIDDTKEELI TVLDASTDTETVSETAEDETIMDDEIISVLESDEVSITDELTTELETRIEEDTGVLST MLTEAVSEELISEDTIEDESMTVELARLLETIVEDTGTELDSRV LY89DRAFT_611405 MAKKRKASGRAAPAAEDDDYESKSGRIGPITTYEDVADSEDEFH INRDKVMLEDGPDAKRRRKWADEDALLEPSDEEVLGYSSESEDEVNTRQFGKSNAVKG SDVEQVEEEDEDAGGWGSSKKDYYNNDQIETGADALEEEAEAQRLQQKKLQKMSEADF GFDESEWLDAGDDDGDGDVVTEVLKDVEITPEMGTDERLRILQTRYPELEFLANDFIS LQPVLEELQEQADLELSKSSGQALLLVTKSRALAAYVASLTMYFAILTSPAKGNTEQA RPLDPAELRDHPVMESLLQCRQLWSKVKSLKGPSIHHIEAEIPSEEELAFLQTNGTLE LTISKKSKKERVRQAEVKKAASLRAKQMADAEEELADLSNLIPKSRKSSKKAKQIVEA DDESDFGEEETMDARAAAEKSQRKKSLRFYTSQIAQKANKRADAGRDAGGDTDLPHRE RLRDRQARLNAEAEARGKKMDAYGRGGAALGGESDSGEDEVAEQIREEGDEYYDMVAR TSKQKKAEKAEKMDAIKRAKAENAMVRVVEGEVDEDGKRAIGYVIEKNKGLAPKRKKD VRNPRVKKRKKFEEKKKKLASTRAVYKGGEERGGYGGEKTGIKPGLVKSIKL LY89DRAFT_640824 MPKHFTTRSDTLQDRLAKIPLKRLPKTFNEAIKITRKLGLRYIW IDSLCIIQDSLDDWRQESALMGKVYSHCFCMLAAVSSSNCHGGLFQTPTLYSVLRERD ENDGKIVLLKPTARSWRDSLLESPLSRRGWTLQERELSPRILHFVDGVMHFECRQGFG EGKRSFDGYYSDEARAKTARTIRCMDPILARGGKVQWLESTAAIPEERYNRWLRMVEG YSQRKLSVLSDKFPAVSGLAAEYSYLLKDDYIAGLWRKDLIRGLCWRKGTKTPRSLVI DYGPSWSWAKIVGPVDYGLLQVIDNDGKEVNVAPIDCSSGNQNHQILPFIYDACTEPE GTDPNGTLTFALIRMRVKMIKLVFQQRTTWRVGNEIVEVVWDDKPNEFRSYYLLSLGK VNLGLVVTQTESQKDTYERVGIARITEKDPGTWFSNSVTQDISLI LY89DRAFT_457710 MDSPADGLQALSLGTGSSKELVRVEDYGILLKGTTEQKLSPLDM NMPRLYGSRWILCFPLAAGADRADVHEKLKEGLAHTIASIPWIAGQIGPAEGSNPESN RIQVVEGFGGVELKFKDLTSILPSYTKLKKDNFSFSKFTTSLVSPLQVMQAIQPVMAA QANFIEGGLLLTVGVHHSACDATGLDTILETWALNTTAVSSTRSFSTYDPVSNNRMPL CQGLPANIADFPEYIMMPSDGQQMGFPAFEMPPMVSKIFYFSSEHLANLKTAAKAYST NDALCAFFWHHMTAARNPTTDAGDNVKTSNICFAVNIRSRTSPPLPLTYMGNASLAAI TPRLLVTSLKDPESGLKLAAAAIRSAVNKTNTPNRIPMTIGLLSSRPNAQDFKFACHG FLGPDLTATSWADIGVRNREWGVLGKPEGFSMPYEAADGAIAVLPRLADGGLEVMAAL ESEAMERMVKSEGFAKFAVDWA LY89DRAFT_580739 MCQCDEERPRCSRCVRLGKVCPGYRQGVDLIFRNENRNTVSKSR LQKSENSFALSTRGLHPHNQHGSNCGTLTQTLLLRSLFPSQDDQTLCYFYEFMMHTMH ESDHSRYLHLQLPTLFSRSRQDSALCLATQAISYAVRYLQSLSALRRAIQDPVEAKSD ENLYAILLLCGYETITFDSETSSAWGSHVDGACALLRFRGQEKLSTPLQCNMFLFIRR NAIHGHTQLSRPIDPIFDELADAALPFENPEDRLLSVTIKIPQLQSSVNNIFSKSRWN IPDSDIAEIIRACESLDCELADWANNVSPACSYIAATNLNPTTYSVVPSLFFIPDEIH RYSDFYAARIWNLYRVSRLIIHSILLRAESELCTRSQIDRETLKDIHSQKVVRTLVNG ICASVPYLMGYDLAQLKRPTTSINSVADKMWPQDSMVKVDKSKHTGRFSLVWPLYLCS SVPSVPESQRRWMRAQLHWLAENGESYAKLLSKAQSQTLFGRPEDFRFDCV LY89DRAFT_457799 MMDGLNMLCNLCGDLFRGRCEWRTKLLLLRVFLHQGKDISEVLT VEALAFRGHQCLLCCRLLREIKKRRHMKDLDATSKLTVRRRLMDDEVRISAEKGVKFC IGELCCQFTKLSSIEHMVSCPAKMEQNTGSQTSIGLARTWLDCCLSTHRSAFGSKRD LY89DRAFT_666539 MNEAFNLKLDICQHKDPLIAGLCWNDILQRYSQCVLTRPNDKLV AISGIAKSFSELGRSEDYFAGLWRRLILSLLLWSRTNRLRSCITKADEYRAPSWSWAS VDGSIRLGKPMYNDPMTSTLIDVKAENVTPDRFGQVISGSITIAGSLKLFSFKSKEIV DSADRCIMRCEDMYLQDKFYKFGVRGFGHALLDQDFSTLKDVSRLHFLPLILDKWRTE GLLLSPTGKAKGHFQRSGVLQISHSKLGIWHPCESDCLEYESFNGSLYTVTII LY89DRAFT_682571 MGFYKYTAFALLALRAVSVFAADAVEEELESPPTTPNLAVSVSA SFPSSEVFGVKLINGHATKAVLDFTNNEAEPVTIAVIGGALSTLQPLPEGTHPSAGIV RNITATRYDVSIPAGEKQSLPFTFTTDLHPQDLRLNLIAVIGSVAGEVYQIQAYNETV TVVEPATSIFDPQIIFLYLFLLAAFAGTLYFVYKTWIEALFPQTKRGGKGGERAKRSS AGSKKAVPVDEQVSVIGADGPAVTTGAEAQKAYDESWIPEHHLNRPSAKRVKSGASSK TKARAE LY89DRAFT_457842 MAPTKYADRTIPKISLHDFSNRIDEITQQLVHAAETDGFFGLIN HGISLEEIETMFSTSESFFSLPDSTKSTVPFTHLNAGWEKNAQIRPSTGQPDQKESYQ MQFGANMSGLWLPESTLPGFKSASLEFMNKAQSVSEKLMICFARGLGFDDEYFIRAHD VSRPESQTVLRLLHYFEVDKSVPVPEGYYRAGAHVDWDFITLLFQRSGQSGLEICPGR EVSTGFGIGDVWTKVEPAPGEIVCNIGDLLMSWSDDRFKSTFHRVKTPTDAEKDYFGP RYSMAFFNQPCTDAVIQGPGKKYEAVTGKQFTQAAMNRNFAALKAKKEALEGVKMEAS VVAS LY89DRAFT_611426 MSEQKSTLYTNCTIITINSSREVILNGYILVVDSRITSIGKATS LPDLPGNGTTISLQNRIVIPGLINTHAHLAQSLLRGLAEDLPLHSWLCDAIWPLEASY DEEDGYVAAKLTIAEMLKSGTTCFLEAMLTHRSGFENVVRAVGESGIRGCLGKLVKFE ESNKDLNITDPRDKDISSMSISSMLSAHEKHHGSFNDRLHVWAAAGTPRGSPLSSHLG IGKACRKHDIGLTMHCAEAPKDLTIYKNSYGLTPMEFCQQAKLTGPKTVLAHMVHLDL SVDLPILKETRTTVAHNPNSNCKLASGIAKVPEMLDAGVNVSLGTDGAPCGNTYDMFR EMHLAGIIHKGAKHDAGLVGAETVLEMATINGARALGLEKEIGSLEVGKKADFVVVNP SGLHTAPYLEEHILDGGVDPMTTVVYSCTGADVEMVVVDGEVLVQDGKLVTMDGREVI AAARKSIKGIRERSGVKAVNRKGWKYV LY89DRAFT_457858 MTNSAFNTPPQTPPNFDATPEELISNTRAIIDKTRSLQDAIIAS VPLKKATLANVLLPLAHDENASLCKRKISKFYSSTSTSAELREASNASEALFTAFDSE TLMRKDLFELVHAVFERRVNLDNESQFYLEYKHREFARNGMGIIESEKQKRFAQIKVE LHEKLIASRKSINSSSGIWLGESELDGVPDNIRKGLKKGEGEKAGKLWLPFKKPHYDA ALKYAKNPTTRKKIYVGNDNRCPDNVPRVKEIVLLRDETARLLGYENHAEYQLEVKMA GSTGFVNDFLSGFREKLTMIARKELEALKALKAQNLEETALQSGVGTDTFFTWDYNFY SNISKAQNHSFDEKKFSEYFTLEKSLAGMMTTFSRLFGLQFVEIKPDENSTFGNEHVM TWHDSVSVFTLWEGSEDSDACNQGEFVGYLYLDLFPRDHKFNHAGHYLLQPGYFSEDG EYHYPSSALVMNLPTPQPGKPTLLSHDSTRSLFHELGHAIHNLVSRTQFAIFHGTATT RDFVEIPSIMLENWWWTPSVIKELGCHYSYISEEMLKAWEDSEGRENERPAEKLDDQS IQNLVATRRSNEALATLKQCHFAIFDMAIHSPSSREEVEGMNLSQMWNQTMSDITLMS GLEVEGEGWEWGHGCARIGAYIRGYDAGYYAYPLGKAYAQDLFKSMFEVDPMSKEVGM RYRRVVLEKGGSRNGMELLEDFLGRKPNAAARYEELGLAGGQLNPSRC LY89DRAFT_666544 MSASLPPPRRIVTSNLPIPVHLSAGKTPEPAVEVLVEDLAQIEE LGGIICRGTVFTHENIPTSNDGRDVMPREITNGGLVLPNGANIRFNDVAPGQVVPMHR TQSTDYDIILNGSIHLLTPSVASDGTLTSIQETVCNAGDVVFQRGTMHAWENRSSEWV RWVAILLGAERNQVEVEGKIEEKVILKDFFGKYIGDEA LY89DRAFT_779601 MGNLLSISDSGMLTIDALPPKPQNPPPPHPDPVVKLLTPTISGG LESFQAFPKLPIELQAKVWRHALEPCSVTHRVLRIIYNTTTNAYTYAFNIPPLMTICQ VSRRVAQLSYPSLIPKLQHPVYFNPAVDFLYCTSTHDWSSIRSQDEVFATLSILNSSV ILEHIRFLAVDYSYWSHQSSINSRYVPPDTNAICQLPELGLFASLEELFLVVPSLEEH LICQSKGFTLVTDPYKRAQLLEARSKASTAYDAALPPSIMPGYRVYAGPEVDFLRRWE LERCFGLANLPYFGNSGVGWKSDTYKEKDSLGNPRRVLKCTEIRPYSK LY89DRAFT_549186 SPKRGLVSVSTNNPGDDQLFVQPNNGLTWYYNYGLSPTSAYSNL TQDDIEFVPMQWGVSNTTGSSFLSNVTSLINKGRNITHVLSFNEPDVNFTASGSQVSP DLAAASWILNFDPLRKMGIKVGAPVVFASESGFTWLDNFYSACQKRKSNCTADFMNIH IFGNISYVESYLDEYKQKYPGMPLWITEFALSYSPILATETFFNQSITLFDNDTSVER YSYFGAFRASASNVGWNASMIDGVGNLTDIGSWYLGG LY89DRAFT_779603 METPASIEKLGHEQDVQYASSSTGEVMQSQKNTWGYWKHYFTSR EGWIGDYDYLYLITPNIWPLNRRYKDYEAPFYGLNDEIPILLTLLLGLQHALTMIGSI VSPPLAIAGGAFYFDQEITQYLVSAAFITTGIATALQVTRVHIAKTPFFIGTGLLSVV GPTFDILPIAFNYTAMRYKNGTCPTAADGTQLPCPDAWGAILGTMLCTVWIQIAMSMV PPKKLNKIFPKIVTGSLLLLVGVYLISNGMQNWGGSSNCNGGTGFYALCPNTAAPKPL PWGDPKLIGLGFSVFVTVIVVEQFGSPLMKSASIIFGLAVGCAISGATGYWSRDNIDA APAVTFLWVHTFKLSVDGALVLPLLIMFICEAVSCMPDILATAEISNVDIEGTQFNSR IQGGILCDGLGSLISAFGTGLPMVSQAGNNGVISLTGCASRRAGWCASGFLILMGIFG KFGAVFGSMPPSVLGGMQVFLYSTIAVAGVRVLGLIEWTRRNRFILTASLGIGFIDIV QPSWFDQVLDYSGANVHLQGFEQGVNLIVETPFIVAAVIGVFLNLVLPKDSSKMDRIV RDAGGRPVLEGRKEEE LY89DRAFT_457921 MKDSCNGFNMRVSMNSEYRFKIRSVTPDVRSREFFYASRLEPVV QGNETFQYFHRISGSTPQTKHIAVDVDIQNKAWRLLRLNGTDNQNEWLGYGSRHTNVT RLPKFFTLSAHIVQKGTMSLHKHQKHMHIPELNMSASNMKDFLHSVNQEPFLRIYDTR GLNDDQVTSLLDKKWSSKSDPRVIMRTAAFGHGRKTLGYSRRSLYMCVREHEGYSSDR FAEHAAPRHVVGIDDRNLVPLAIVWALRADKGDRFEI LY89DRAFT_779605 MSSTEPPAPAFRSVELATAADLPEIAETMQAALIVDPFWTGMKG TISLEEEYKFIYDNLVPRIVKGTEYGACEFWKVVDENGKILGWAGFGKPIVLTEEQKK AANSTYIFPPGRNEGLCDLFQNRILPAAAKNGFDPTRDFQRQNTMVRPEYQRQGIGRM LTKKLNEIADAGGAATHVRARPGASALFVQMGYEILERIDFDLGDYGAEGGKTALFVM RRPPGAKEEKGKKLDWS LY89DRAFT_779606 MASGLHFPNSHLEGWFTKTIPLIALLITTTVSTALLYLNLTRWN FQHVAWTSVPSFMAGIIQVVVEILAAVQIFALLTLVNFATRIALCRSNSTLDVLKYRA AICLGRIDLSLPWLSMTLVILVYGLMKVPAFLWANSLALSPSVRTGSNNLYVPILGAD NFIHNFNGDELKHCWRSPASFPNQGLFLMAGPYSSCPGRDFVDGLLEAASTVSLANAD YLPRIDVDGAFYSGRSYGVGAAVGHSDTQQSQNWGDSVLASYTYQDLGFNTEVLCNYN SSLTSADWSLWQLQAENKNEGIPAIFEAKGQLPDGSNINSTSFYTTWGWSVDETVAWQ TTNSTYNPNYISTAAGFNYKFMNATQCTINFIPTMFDIMVTYPSQSSPNMVITVTPNS DEPLENAVDPTWHLQTLVLEQITALSMVSTTLYGSVLGEAFLANAQACSSNATNTAQF TDNSLLPCMQQSWESIIDNLLLLLTAAQIVEYRENNANGPWDPAQFREVFDRENGIAS QIGTRMYILLTCALNAICLIIWIIEAFRWSFWKDLPKFNILDLKSAVLGGAMGGGSIV ATIREVESPKAPWTGASDDEKVGEIQVQVAVIDADENLKRVSLKASLGTGKVGTIGRR PWAPFSYDKVSGEESVQSF LY89DRAFT_772164 MMSVGPRTDFTQLLDDKSLMLMNQDLLSIEYINHLVAFKTTNIT TKGGKVLPIELWNIILELTLAGHAVQELSTERILWCRRVELDSVRPCRYLRSRNQVLS FERFMVKPNEEDPDRSPSPFALPTQGEESNIDVLITSADEGLSIKSECLFSDLTVPDV ICYMEDGRCNLCAGDRFICPGCTRGQAQRFDAFMGCGVDLACPLCMGLDFCLEHKEFL RRYYNEDQAPDEEQEAVDAWIQQRLDEFGYKGVRG LY89DRAFT_457971 MLLCRIAGALSPSVSGPALQHSVTGNNPNTIDSSHTRGGEKSLI NPLTKISCRWLHLGSESHHHHFMPLVTILEQSKQCCKDVFVPTEVHDYQKNAQANGNG SQITCLSALRYCSCHFSSRYRCRPEFLAMNMHVRLSHLFVP LY89DRAFT_568253 TTTNTTTIDWVPIESQGNIATPPAPKSVYAGNASKLVSELQLPG AQLGPPGTVPIPQVSTSYLDNTASKGLPELRSSKSKSKRQYSGNHWYSTSDQNVANIG GSAIFSLFKAFVNNNGDFSLLQTAVTKSGTNGGQTLEAGWINYPNQVSEPHLFTYYTT DGYSSEGDDIGGWNQDYAGWVQYDSEIFPGTVFTPNSVDGGDQYEMEIQYLLYEGNWW LWVLDRWIGYYPATLFSNNISGVTLATGSDVIFYYGEIYQSEGPLTTTDMGSGEFAET GSGHSAYIHNMVYTDTSGNSQDYTAGFGDSDSTRYDHQNVVSSGTSWGSYVYLGGPGA GGVVGG LY89DRAFT_730947 MSIWWFIISRLVLLYIIAVIFTFVKSYKDDYDLASSDYQPMICF FWNSFENCDPEISSNLDNYVPKIKEDPAPTTDAHELHRDAPEHDADIHKPDTDAHKLD AGAPVLNIDFVSLEQEVEKTGPVSHIKLYNSRIVDLIELKKIHTNLASKFHSQHLEIR DIMIRIKLLPKASKTLKTASTAYYESFGHTEKLMMLLNKNIDGLASSTILWNVFSVGS ILYQAQRYDLPLSDAEAEEFSKKTKASLGFAVENLLKDTTKLISRAKELKASSDVQHI TSQHASLKTVKWWKGKLAPHRKHFNSLEAEAESLASYFTSISTIDGFASEVEEQLVVL EKQLKSVQGLLNEDSSILEGQGIEQFSQELVAVLEQGQDVLKT LY89DRAFT_580038 KSNLQIIKDCDNFPYDVPGERERYAALISSLWTFHLPNDPRPHG YILDSVVQKMPWTKDFHLDPTMKEVHLLQPAGESWQAECSLAIDALLDLARLINVFPK LGAERDEQFSIIGAQFPIGVERSASSLLGIIGRGVHMTVYTKTPSGIKFWIARRNLTK HAYAGKLDNAVAGGIALGEDPLECLIREAEEETGMSEELVRGHVKAAGNISWLYISDT QAGGELGLMNAGISYVYDLEVGGEIVLKPVDGDVHEFLLMDVEDVQEAMRNGEFKCVC ANVMMDFFIRHRFITEENEEDYGELVRKLHRELPFPTGSA LY89DRAFT_640863 MCGVDSFNAAQHCPLNLCCSYFGYCGTSSLYCVNPGAAQTANPC QQGYGSCQIVPPPSCDAGAGSASRGRKVGYYQSSNLGRQCNRMGPNDLDITGYTHMLF AFASIDPNSFQVVPLEASHPALYAQFTARKSASLQTWISVGGYSFSDPGPTQTTWPNL VSSSGNRASFINSLIQFMTQYGFNGVDLDWEFPGVASRGGQPSDTANFVSLVQEMRST FGSNYGISVALPPDFASLQNFNPSAMAPSIDFFNFMSYDLHGPWEAAILGADVRSQSS INDISSDLLPLWFDNVPPSKVNLGIAYYGRGYTLQDPSCTDVSCPYTGASNPGTCTDS AGVLSLREIEVLIQQQGLVPKLLSDEMIKQISWGNQWMGYDDADTVTLKSAWADQQCL GGMMYWSMDLVGAGT LY89DRAFT_580430 MSDPKNPNIKERNTPQWGLYQRELFWKDNTGEVPPFSTEPGDLE DLAKRALTVGGWYYASSNAGQSYTHLANRQAFYRHRIIPRMLVDTNLRDTKTEIFGHK VSAPIGFAPIGINKIYNPLGELPVAKVAKELNLPYCLSTAGSNPIEDVAKANGDGPRF FQLYMPHDDELTISLLERAYDSGFSACILTVDTWQLAWRHHDEAESNYAFYHGVGADL GLSDPVFQKRLKEAGIDPKTQPNEAGAMWIDNVWHGRAWSWEKMPWLMETWKKISGGK PFCLKGIQSVVDAKKAVDLGVDGIVVSNHAGRQVDGAIASLDALEKIVAAVGDKTYIM FDSGVRGAADVFKALALGAKFVFVGRLWVFGLSIKGELGVRHVMKSLLADFDILMNVS GFQSVDQITRDSIESLPNSSSMIAEKSRL LY89DRAFT_580202 MRLDSAIVFASLLPSVTAHAGHKKRSISSSPQALNPNLTNTIPN APGGPTLYYNGSGPVPPYNETSPIPAALPALTTQEIEDNIFNELEGIAAGNGLTSSCS KCIAGTEVMHIAAITQPVSTIVNLLIRSCETFTEVYDSIYAATCYEEYSGIGGTGPYL AQLFAKMSMATNDMQGYCYYNWEVCDQPATIAINETAYFKPKLANRTTAPTPSGTTID VLHLSDWHLDPRYDIGSEANCSDYLCCRPYSVNDDLGTTSANASVPASRFGYLYCDSP PDLALSAFSTMDQFVNRSSINFTIFTGDIVSHDNDDQLSRAYVEYEETVTYQTFKAQM GNSPIYPTLGNHDSLPEAWNTPNSITNQSNIFSWNYELLSSMWEADGWISKTTAQYAA THYGAYATTTSFGLNIISINTDFWYTDNIFNYYNMTNPDNSGILTFLADELQKSEDMN QRVWIIGHVLPGYDGSNALPNPTALFYSIVRRFSPSTIAGIFFGHTHEDQLMIYYDYL FNSTISNNSSSIIRNTTLVDYSQPLNVAYIGPSITPLTGNNAGWVLLQIDAVTFSVVN SQTYFANMSESNSWSTPVWQFEYDTRSIYDPNNTWLSASPLNGEFWHGVTENMLENNT IVEVYNLLETKSSVVTENCSTVACAEQKVCYIRSGSAALGYACPQDSGPF LY89DRAFT_611457 MFLAVLYWLINDILYRIAAKKAGCSIPIKYFHWDPFLALDLFYQ RLSDMRAGDSVATDRNLLKKYGKTVQTNAWGIKQYVISDPVNIQTILATQVDKFGNEP MNRKMCGDFLGDGVMTVDGHLWKKSRQMINPVFARAQVSELSSFEKQLSRMMEKIPKD GGTIDMQPLCKQLFLDSSTEFVFGKSANSLSPETDSPIARRLPGIFDEALVSMFTRYM MGKFSFMAGSKKKFLAQCKEVHDIIDGFIDEEIELQKFGTNIDSAGPYSYVLLKEMVR TTDDRRAIRNELMNVFFPAHDSSAIFLSNIIFLLARYPNKWDKLRAEVLGIGKQKLTF ELLKSMKYMQAVMNETLRLHSPAGGSWKTCLAPCILPHGGGASGKEPILLQAGDQVRM SFAPLHVDPDIWGKDAEEFKPERWENLKQSWNYIPFMGGRRICPAQQNVLTDVACIMV RLMQRFKGLENRNECYEYVDRIAFTRESRNDVKVGF LY89DRAFT_666558 MASPNLVRNYKTLSPDSPSILQPLESFHQFPKLPNELRDIIWNY ALTPQLVKLREVFVDIPRAAETVHKAVTFIKTSKNHALLCTCQASHAVAPKKYGQYKM TTLEPRRPSKEEIQAAQELASTIYFAPLVDTMYLPRFHDIFNLVSAVDITEKNFEGPP QSLSQIRSLALGGRNPSPLKHNNSTTPFDCSEANFDDDDSVRGVMLAKELFDLQNLEE VIIVTPPANGLASPRNDDSLDFTGGAIIDPKVYNKCIKWMQVELQKELNKKFKHEQHC EICNHHNSSRNLPIRSAWWKDPKVTPMSREEFRARFGQDP LY89DRAFT_640877 MTAFNHSSKFYVRSNLYSALRQFRKANEDINLWVDAVCINQDKN DPKALIEKTAQVARMHEVYSQADSVWVWLGEGTPQTKETFQFLKSILNLNTFEDLIKT KENPDKWYLLVQLMRNQWFSRRWVIQELALAREAVVYWGTSKMMWPDFADAIALAMTK YQEIKDILKLARKKCLIRQDVDIPEPRALGANTLVSASSNLFRRTDDGHIQQHLVDLE FLVSSLFLPFEASEPRDTIYAVLSLSRDTTAQTDMIHRLSWPKALHPPNRTIGSLFSA LLSIFHYFLVPTHQDQAPALEEHCMDDRLVPNYGKSLTDVWADFMEYCIERSQSLDIL CRPWAPPPRDLTWREALELEKAAFEIKSAQQNTNETSALKGTDAPSVPIELSRTVSKK FDGTLHVKGFKIDTVERATGRVLDGVIPVEGLKFGGWRKHPSTGEYPDRVPDILWRTL VADRDLDGMTAPTWYRRACLECLQHTNADGDLNTKDFKDLDDTPDTMKTFLNRMQAIT WCRRFFLTKGKENQAHKSKPWYGLGPRDLAEGDLICILFGCSVPVVLRKVATTECYNF IGECYVHGMMDGESLPPRQRLPLSHPYANVGGFTLV LY89DRAFT_458131 MENIRHDPNYIRIEFPLGAQKSKCNPTPQQRAVYNFHEARRSNE ALDIYASTWFERTENFLEHARPTAQSTVLKDWDMIRDLDFGEFYLAHPIAGYSLWQFI HACDELDATVSGSSKIESLLGLKPFQFLENSERQEIWRKSLDHVKKEVEGGSRRGEYL LQKLHLIFNDLEKLDSAFQPLHETFLGNLEGKYLADRMMKTICTAYDWRRQMIANLSL IDDPADVAHSSYELSETEDLTSSRAQKLEIRGTSRHRPKSQMKKKRANRRKTQSRVVN SPMTSSRASTTVISGFSVWPLLVLVIALALYMYMYIL LY89DRAFT_640879 MSSSNKLKIIIVGSGLAVLAVARILRDHHQATVYERGDEAVATG GQGIILASNGVKILRTIGYDSTRAGAVPILGIRTYDKEGNVLEDADMDLEARFGEECL AQKRSDFRDELLRLATAPSAELDIEGDPAEMVFSTQVVDLEPEEGVVTLSDGSTAAAD VVIVADGVHSRLRNRILGSNGYTAKKVGLTCYRVAISVEDAKRALDGVPLPHWWEPST CKNRSSLLMSNDCSFRVVTCYPIRYHTYFNLSIIKLQGVEVLMLLGPTYHHKKAQEVQ MNKMRKRNQEDQRRKDVESYCCIYGARHRLHSQHLHLQYVPALSREAS LY89DRAFT_716224 MKSQHIPKPLISTLIILTRLLKTAVFFAPLLLLLLILSILQILR QGTYLFFTASVSYYDYTRPQISVKGSQSKWRMNWGLALFGVWVMVMMAVVLGFLDWMQ MILSNGVQLLLCGIRGERTGREEMEDNPSSTADFQSCHIHDYLKSLCTYLSSKNIMSS SAWRVFNTIQTLTLLYFLVPDLLRSTIQICKQRQYFRILSGEIYYKNRDARQADMPAL EIVCEQTFDYMFDRIPELYFFGKAVRSPYFITLLTYFMVSLIWEGDVFQGLVTDGLRK LRRIVVVLCVLEIWFSLLVVGQVWVRFVFVMSEMGEVSGLWVSRSGEGEV LY89DRAFT_682583 MLENLRRRHQFESEETLKEPSPPADGSRGLFNSNHASNQRSKTD PKSFWNDVVKPAFPEAITDIGIIKSAITIQSNTKQDIHDQNRYPEVGHVAEVRRGLNL APPEQRFLSDRKVKVRNAFANYLGLNPADVHPDDVPTVGFGGSGGGFRAMIGVLGYSE EMKRTGLWDALTYVAGVSGACWAFAAYYTFGEASMSRTIDNYKHRLSPHHPLSADAIR KLLTTHDGPYATLAPVAIKQHSGLHAVPMDYYSVFLTGYVFIHADHETGKDVAGHRHT WYKWSQAIEHLQGGKEPLHILTAIRHERPWKDWVDKDHAFEGDFAEDEKDEKDAWFQW FEMTPFEIGCDELQAWVPTWGFGRPFSEGRSTMQLPEQSLSLLLGLATGAPAGPLESY LATIKRALPQNFIGNLIEELASKVSKLWGKKGTEEFTNHHPLHASNEHNFLFHFSKLQ PGQAYPPGLENSPQIHLVDGGMDNNCPTYVLLHPSREVDVILNMDASSDVQKDTFQER VDQIGYRRGLKFTRRTDLKPKEDTKDPDRFQGHYAQIYDGVLCERPATVKDSYGNTVT NPPAPVCHHESTMVYMPLLPNERAVPDFDPSTAKFSGSYNLVWTPEQIDMLVKVSMQN FHDGEQTIKQVLMEAYQRKKAIREGTWTGQVGQAPVYQHPQQGYSTSSFQQYQTQPPA QRPSGPPPGIGSQHQQQSYSPYSQPYNPSRPQETQLPMGLPQQLNVSQPPPRQYSTQP SVQNQGNQGNYQSYHPEVPPQSSQTHLPHYQQQGQSQQEQVQERIDPGQGGAQSGLSQ APRNQYNPADPKWQGR LY89DRAFT_779617 MASNTEYNSSLPNDAQRSSAASSAHEQSVSDLITFAVEDSLNTS LDFDESCASASAQMMEGIMSWLSIQSKSRTSVPAQAASVPSSPQLPGSDHRFISKPNP QGGPSTSHYNRKEGFYWENLPTELRQQIFDEVERATPSHPYFKRTKKLPALVVALRGV SNAQAHILEHFHKINNGILHLKCPSALKSMDKVELASIRHLSLEIHLRNRTSVVDGSI TRPYESPDEYAKHFLNLPNIRGIKARLSYWDSRETIHCKHFICHFPLWLKAFKNLRWV EVDIPRTCDEDGWTLDHLSSSDLELGLRARIQRWNFRRTESLRCPEIWRWEVEGEDGG MDWSQDIWLRWRKVYPRGSTHPDTLFISLRDCLVM LY89DRAFT_666565 MSDPQFPASSGDEEKGTKFSNGGSSVPEWDAGGQATHREPWQRR FVDSFKRDPNATVTRAAETGKGAGFDHRAAAEATANSGLARKLKGRHMQMIAIGGSIG TGLFVTSGAALAGGGPASLIIAYGIIGILMFCTVQALGELAVVFPVAGSFSAYSTRFL DPAWGFAMGWNYAIQWLVVLPLEIVAASITLQFWPGAADTNAAAFVTIFLVVIIAINF FGVRGYGEAEFVFAIIKVVAVIAFIILGIVLNCGGGPEGGYLGGRYWYPNRVAPDYAG YTQDNQPPGSISSGAFHNGFKGLCSVFVTAAFSFAGTELVGLAAAEADNPRKTLPTAI KQVFWRICLFYMVSLTIVSVLVPYGDARLLGANSEDAKASPFVIAINNAGISGLPSVM NVVILIAVLSVGNSSIYGSSRTLAALAEQHQAPRFLAYIDRQGRPLFAIIVASVLGLL CYVVGGGQHTAATALNWLYSLSGLSSIFTWGSICLAHIRFRRAWKLQGHSLDELAFRS QVGVIGSWVGFILNLLVLLAQFWTAAWPVGYGDDSSDYIAQNFFLAYLAAPVVIAFYV PYKIWYRTPFMRSKDMDLVTGRREIDTPALIEAERQEKLALPRWKRVYKIFC LY89DRAFT_730961 MSYYSHPQYPPSESYASSFGAGPSNYVHGPKEAYCQPCWREIDQ CNAWNALRYATKTEEDDEQSMGPSERESYTSFEDRGRRGRSASSVRSSSAAPSSAHAP SASSYQSRPTKTASSIQSSKAASSSTPCCGVAGPPVFYNPSYNQARRPKTASSMQSST AGPSSAGHSTTHYCTTCLPPPNDPWSTEASKGSSDSLWQYYPIPGFPNLNSFYPALPQ TSIPYPLLERYAYNDAYGQDVPNLNPHWYPPTLSGPNLLMPFCQSVNGLDLSKAPERE CEICLPAFLAYRENLLMQMGVDIKTLTFAEYARKYFPRLERPRLEGEGGECEWDMSAL EFMKSLVDDEEGEDDDEDEETDEEEEEEEDEEDTLDASSYHETEAETKTARTQSPISF VDHESQIGALFRKKCYHATLANREPPYYSDYRAKYYYDLGVANEKSRHKTMNLSNDDD KSNTETARTQSLRSLHDADAKPRTVTPSQYKKCLRAQDFKNMSFPDFIAKYYEQEDSD LGSTSRSYKTPRSLER LY89DRAFT_611468 MGRPTKKMPSEEAYEPLSHEVASDGDISLQASRSLGSGEEGASP VASMSPFLKQQVNADSESVEPKNPETETEIYNTYFSRKKEFGKLLLAGFVRILLTIFL IGCIYATLATYGGRSVIGPVGKRVFNALVTGLSMILGISIASSFKAIAIDVRWWILSR KRRPIHEVDAILSSHALSEVSKLAIRSAKDKKPGVVMSAALWVLFNIAAQAAVAMLGL TYSLNAQVDPTRPILGDTSFSNFSSSFGVAVDEDTTASSQLAAHTFGEMALQFPYLEA SGPDQLPVDYLSPCDLDSVYYEGQDYFQTAFQEYSATDSTTGCFYSNRSLITTSSCNA YSVIGISNGTSQTFQYERDSQTLNQTWHSIGPNSTTYLTYPDKQDCGPRCATVYIYEN DGTSANYFECTVNASTIYNATVQEQQLSDDHARLAAGAIALQGYQSDSNNASQYQQFP SQSTYGNFTSGNSTFKARQLRQYAIGVLVVADTIFPQIGSDSLHVLGLQPQPGLKLSI DHVAYMWAIFGSIAGSHLVLWIIGSYVASRVAVIENSYLKIALALRPVTDDLEEQGLL MGGHKHEHGALDRDVVYGPREQAGSGARALEISRVASCVRESRQWEGYYDS LY89DRAFT_568995 QQPKLHFFASPAHVVMADERIKLAPRLPLDGMDSLPHALHPDIH YELLSKRGLAIAEGSFATPCSRLLDLKVDWESQDGESSAAPTETVQSWMNEVVEAISE RSIPFVLKLQQTIFGKGTYIVKSEEARSTLLSELKGLMDFNAGRTTKSNHHLMPATFV LTDFVDCPEGGSPSFAITFFVRRDGSHTFINCCEQTLSVHYTWDGSFISFAEQDRLSK HFSQTITEVSGYLHGKGYYGCVGIDVLEDRHGKQWVVDLNVRPPGSLILGLVKGFLYK DRGFNEASLLSAMRISTPKEVFINKFSTEFAEGRVIMTAWYCDLDHNVNWTSLIVGGE TKEAVKGVIARL LY89DRAFT_705646 MESDTEPAQINVAERNGTTQNLSDAVTLPTQRLSARDGFVLLIG IQIGSGIFTSPAQVDQNVASPAAALLAWTIAGLIAWAGATSFAELGVTLPSAGGMQEY LRYVYGDMTAFTMSWIWIMVEKPVSMAILSILLAESVSRGYGSAASVLELKLVSCMAA LTIALLTCYNTKPASQIGQAFVVMKFFTVGLIMLSAIVVFTIHFVNPASSFGSSDWYE KSWISPRPANIDWPALGWWTTIGYFSAAINGAFWSYAGWENANFIAGEMKDPPRNLPR AVHLAMISVMFCFLTCNVSYYILLPWSDISLTDAIAVAAAKTLFGGPGQFLIAMLVAL SCAGSLNGNSLVVARLTVAAASKGYVPKSSTSVKRFLRSYSILLNVCITLFCIVTGSF HILVTFIGIAQYSFYFLTVLGLLILRVREPSLPRLYKTSVLIPVIFCIASGLVVIRTV IFLPSQGLIFAGLVALGLLISRVRRNRY LY89DRAFT_611474 MALLPKDKGLLPYFLLLDGALSWGSTIGSYIAPLSPAGMKGMYN GPNESQVTPLSIHTFGSWLILSGFIRFYAAYNLSNPGVYACAFCTFVAISWHYLTEWL VFETMGMSAGLVISIIMDCGAVVWMYWQWNAYVKHERTELSGRKDKM LY89DRAFT_611477 MADIIRTQYQHFVPQFLLRNFSHVHKPRKGDREKKKHPKGKGEK RIYPGDRVVNTLDLSEDPPVLCEARVNRIFGQMDMYRDTSKDSPEQQHVEEMFSKLEG QASIVFRKITKAFEQKEPGLWLTREEKDLVRKFLFLLQYRGSGYHQRFYRDRTEDYDA NDRELLWEYMAEKGFKQPMDVWLHNLRTIMELRMDPEKKWIHDLPKCMYTDDAMGFII HAEGMYMAICTPSNAGDEFILTDNSYNVFEGPNCFVTDKSTGKVEGSAHAPLHHFAPI SAKLMIILRSFVLPVPEEDANPRVKEERDELHFMALGAVYNQEVKSVLSDLPIAKARN NYSKIVGGRLQLLEGEDGKHRKDHKFYFKYFPIHANHVQTINGIFLYNAYLCSRIVFG TKDNFSRTLEEYLTANCNIITGDDVERRLEFLKKLAAVSKVLGSDKEPLWKEEPNPEM EDYEGFRLGILEMRRQHSKMIKGDMKLDNDLEAVQMYRSLGGTEGTFFMDMDQARRMW ILRVKIDVWSQGLDESLRQRNRLLLTESYCRLPSSRVWFYVKHIRAAVSGQLKELETN VDLGDGLQGPEDTIAKAAHIIKPQRLAQLIYQAATNDIALKESPEINLWAKIDKLDMD SFKQLKLLRRLNASELQHIRHCGIDEIEQVAREEETNVFKEGIRSREEAGNSILEEGE RIELSTRMRVRSKFVKALRGKIELTLLKALKEVFFKIIFPTPV LY89DRAFT_458346 MGKHFVAENCTAIGPAPCTVENTIYGYYPNLGLNAFFVAAFAAL VIPQIIIGTWKKQYFYSYAIACGCIGEAIGYGGRVMMHSNPYKDIAFTIQISCLIFSP AFIAAGIYLVLKHIVRAFGEERSRIPARYYTWIFITCDWISLMLQAIGGGMAGGAKDN VSKRNMGTNLMIIGIVWQVGTLIVFACLVTDYAIRTSRSWGIVSADAKNLFARRSFKG FLVAVAVAFITVFLRCVYRIAEMVGGWANPIMRDETGFVIMEGFMILIAASVLTLFHP GFCFSQPGLAQKSPMLGSISSSDVAQNEAEKGVSARQPRFLRVSRQTSE LY89DRAFT_730968 MADSTCPKPDCIDSPLVSAASIMGIMTFIYAVLAGIFVAYIQAM TTASELGDLTLSFESTQRQLEACRRKMGYFNEVLPKGATREELYHLYGILRRGEEIVD DPNTKKMLNKVSQYNHFNKSKRLRSMVAGKAMKDAMDGRLKAAQRVVSEVQLGLIQLK QDIINADQIARSNALFNMMLDASKRPSSDEIARSAALSSMPQDLNMRPNGADSSAPSS SDLEYPFGPRFQTKPEDQQNTNENEKSAILLRSQMMTSDGGRSDPADREAAEDPLGKL MRARTMSVSGLGNEK LY89DRAFT_611482 MTVDLEFHLKVTLVLVAAVLTLTSAFASIKRFLACRRFVRTHGC QPVSKSLNKDPFLGLDAIPWTIRAVLQHRILERTCERFRVYGNTFTVKELQRRAILTV EPENIKTILSVKFHDYGIKNRLKPFQPLLGKGIFDTDGDHWASSRALIRPSFTRDQVA DLTTLEALIQDLFKLLPRDGKTIVDLQDLFFRYTIDSATEFLFGQSVGTLKKNHSDVE FAHAFHYAQRAVIIRGMLGPLKMFYPDRKADECNRICRDFAQQFVEEAFRAVAIQKQG QNNPKAELKQKKHIFSNELASRTTDKIRVQDELMNVLLAGRDTTASLLSNLFFSLTKN PAIWDKLRREVASLDGRAPTYDELRSLRYARCCMNESLRLHPVVPRNEREAVRDTVLP LGGGKDGLSPIFVPKGTLVGYNLYAMHRRTDFYGPDAEEFRPERWEDGNLQPHWEYLP FNGGPRICIGQQYALTEVGYVLVRMAQEFGVLESCDAGPWEESLTLTLCSRNGTKVCL TPA LY89DRAFT_716235 MDVRKLFGQKLFGPKGKSEDDEAEINKAKTSPRREKYGLFDLYS PPAEEIEVDVVAVHGLNGDWEMTWTETTTGNMWLRDFVPIQCPKFRVMSFGYDSTFAL SHSEATIDDAAHDLINRLDDERQSSPAKKRPLIFVAHSLGGVVVKRALMIANERSAHW GHLRDQAKYAIPIPGANHINICKFDSSDAQKYKPVKGALAKISELVGMPEASSTQEFL PRQNDLHRIELEYKCLEALRGSRNYEGNKNRNTKRVHNTCRWVLRNQKFTDWRDGKSV GLLWVSAGPGFGKSVLTRSLVDERLLGTSEVAICYFFFKEDDENAKRSTDALSVLLYQ LLVKYPTLIRHTLSYGKDPKQLLAQSIPTLWNVLEEIVKDPTSPQLVFVIDALDESVD NGKPIIERLVEFYSPNQPSPKSAISKLKFLITSRPYISIQRSFGNLAQNNDSIHLSGD RHIALLREEIKLVMESELHLIEESLRLPKQVITLLKGRLSENENRNYLWLHLVLELIK KSVGATTVTGARKLIAQLPKTAEDAYEAILRKSSNKDEAAKLLHIIVAAVRPLTVAEM RIAIAIDELTQSHKDLDLESISNFETTIRNQCGLFITISDQKIYLVHNTAKDFLLAAK TAPLSTMNSNSGRWKNSIDPSKSHEILSWACIRYLCFSDFKKPENNSQRPDHQVQSSE VAEDEELSSLEEHYADADDDDDDEACNSLDSMTVYDPRHWDDLLLKKRFQKHQFLEYA SPHWLSHFQMANPCDVMLQQSLRLCEVRSDLCTNWFEVLPVREGRDLTFFKQSFNHSK VYRGMDLVFCTFMGNTRVTEYLLMLLPHLTADPELKIGKDDVDRIILAALYFAVEEGR SDMIRILLSASETNMSNMILFYETAGEYHEIIDLAGGNELTALRLAVKSGQHHLVPLL LEMGADPNVNGSSTILSLACIKPTEALVKTIKLLLRYGADINKLDFSGISPLYKAIIL CLPESIIRLIEDAGGVVTQPWSSGASETNSYWGWEVARLNN LY89DRAFT_640901 MLFSTAFSMVALLAAEAAAHGAVTSYVIGSTTYPGYQGFSPASS PPTIQRQWPDYNPIMSVSDAKMLCNTGTSAALNATIEAGSTITAKWAQWTHQQGPVMV WMYKCAGTFKACDGKGKGWFKIDQTGMTAPPLTGTSWGTAIVYSKLAYTSTIPKALAP GNYLIRHELLALHQANTPQFYPECAQLTVTGSGTQTPSGSFLTSIPGYATSSDPGVMI DIYSSTATTYTPPGPAVWTGGASKRFEA LY89DRAFT_640903 MAATNYEAAISLIDEAHAQDPKITIVDGHDVPYELHYAQKMTHY LEQRAPDASPILKVAIRAQHFRRWEIPRDSYPMTKVGYLNWRTFLKKRQADLASAICI GCNFTTEEAEEVAKLIRKEDLKKNEETQILEDVACLVFLDDQFDAFEKEHDEKKIIDI LRKTWGKMSEKGHELALKIPMSESSKELIGKALAG LY89DRAFT_705652 MTSALGVHLVGSVCGATSAEASFRKCCQAFPSRLRRIPDGEPAN RQYFIRWQVDVFDHTPAVKRKYDASYNTVPQPEVTASEVKEIVNGMPPLKPGYADAAL DSYLVFKKLREECIIPKGVRFQVSLPTTMATMCLIREGFQLAVEPCYEKELLEEMKRI QEEIPNEDLAIQIDIANEIPTLEESYWPHFKPYFEPVWDGILERIVTQSEAVHMGNKH FLEPQDLGLLAKLSSAILKNVKRAVEWIHMPVPKDRGDLEYFKPLEDVDFGSTELYLG LVHANDEEGSRKRMASASKVVEKYGIATECGMGRTPLEKFDSISRICTSLSSTVI LY89DRAFT_779631 MSYYYSSYHPYKAPLEPPRRRWGLRRTSNSLKPSHWQARPSISA ALFYHTPRTHTSRSYYHAPPESTRHHHHRSRLTDLPNELKLNIIEVLDPVSSACLGVS SRKMYPLHRSVHKKVGLYDQNVDSRVPLAFKLKNWVPKDYALDWQSEKFVKRERLAAL EKERKRERESYWDERRRLWVWDVDYEDRDRGERRHRRRRH LY89DRAFT_458520 MPPKRKAPLATSDNNIPTKKVAKGKKSVTAQSSSVAGPAKKYKF SNPSTLVGQEPVDVITFLWGDDTSEEETEDEYEVEDAAEPGSLQYILDGIENQRSAFI QELLNPLRSISHEIYAPKKAQASEKYDPLVKEAREKFVEAGTMTAEEAEAIVGVDDGD KKQKRIAKQALQRVVNKAEKERLAAVELVLKGIEGNKAMLPRVAREFAAEASRPWLEK MEEARKYWMETTYPARRLTTEEANAITPDLKAEEEREAKEHKKAEEARERRAKIIVPR IVTDKGFPVTKAGIKRFREMNLEVDKRNPDLHDMYIYNDFLGYGVKEILENALIEFNK IIFKQDISPTEKWALVESVTLFLTRGDSDMIMAIDDPDSVEEAFDMTGVMFITALEML HEHNLIGTKAPLPDNSGVMTLLFLEFMRNTAGDFELQWVHEIVRSADLYGVNLNSIPQ IAIDELVLDEYRDTCDLEKRGKGFAWKTEFPKFKRTHPGGHEYDITKMSEFEKAQYSF GNGDDSD LY89DRAFT_458555 MSDYEKYPELYAQDRQIDPQTRQRTVPMKVLNLSMIRTGTTSIQ RALEILGIQPVAHGFTIFTQSHDLLMWQRGLRTKYWPTPNTAPFDRQEFDNLLGQYEG LSDWPCIAFSQELIAAYPEAKVILVEREIESWYKSFTTTATNNVFWDVFYYIAMLDYY HLGQFQIMTRLLVKGWFKAENQVEFEANAKRVYREHYQNVKVWTPKEKLLVFGLQEGW EPLCRFLDVPVPDVPFPRINDSEELQRRINVSLKISMMRVLKKAALVVGAVGSASVAW WMRKS LY89DRAFT_580071 MSECHGEVLTDLDQSMWDMFYSTAMKYPQREAVTNMGTKVRAFR LLDRISPSSHEEVVFQWSYEELLSAVETISAWLQESRCCEGEYLVTFVWNSAEWVIFF WAAARLKMPFIPLDPRTLEQTADEYILRLRPSVIVVQDEAAAATLESVSSHFKGSKAR ISCTTSPSKSWTSLSTLSNNRSRASPFKNAPSPGEDLALIVFTSGTTATPKGCCHTAA NLWSESYDFDPDKDPNMVEKWLVHTPVSHVFAVNNALRSFRYGGTVVFASKTFDIHAS LKALELYQCTRMSAVPTLVQGLLSLPAFPGKERLALHYMSLGGTLIKAEDIQMCKRLG SDAVMQVYGMSEGAPTLSWLRDDPLLASGHYPGVGKVLPGSRIRICAPVSREVVKRNI PGELRMGGTSVISGYLDGADPEAFYTDEYGSWLKTGDQAVLDDNGVVQLLGRYKDLII RGGENISPAKIEECLGQIPGVLAQVVGVPDNIAGEVPVAVVQSLMSRTKAEIMHLVQP LGQSYSLAAIVTLEELGIEKYPLTSAGKVRKNVLKELLVKYFEPEPEPEPESRTNKSK TIRQLIEIWATLVVNSPSKDDSVYDFADSISLLRYCDKVWRALGKKLYLQDFVKHETI EKQAQLLQSRGESTAEAESPLVLSATELNRTKDGPPGIADMAHTNGDPQRFIETRMAT SKAIEKLELSWDNDVEDVIPIKDLFYGVVDGPRPQSYRHRIAFRVDGKSPEIIRGALE KGFASRPLFRALLVKLSDNTPVHVVIRPGSSLFKLLITEKQCDEKATQEIILDDSGAS FSRLQMVQAVIAHSKDSTTLILSYNHSVFDAMSMVPWMRDLDLLIGDPNITLLSATPF KLFADMAYSHRESMPATLDVQYFVKRLSGISKQTKAFWPPQRAPGWMIGRDVDSEHGE ARIKSRKAEPIRYPRVWIKSKVPHLAALKAKNIQPYIVVKTAIALFNVLKTDQEYAIL TTIDAGRSWPFMPAWIPLPPAMSIDGPTIEWTANMLRILPDETVEHLLERIQKDQEEL SLHAHAPMFRVMDELGVEGPFVQDAMMRQTFNWDISLQYLKSGNSYGDVDLTSMKLID RVDWPDCGFFWEAGMLSADELCVLATWDDAQLSLEEAEGHVASLNKIIQWITQPDHLF RPVGELLEGRWD LY89DRAFT_772252 MLTQIQLAFFIAALFVVYTSFLQARLYLKRRQFAKSHGCLEPRC KVPLKDPVLALDFVWKTLQNAKSDRYLEGTYERFQQYGSTFTAKHLHYPTIHTTEPEN IKHILATAFDDFKFSSFRVNAMIPLFGKGIFTSDGARWSHSRAVLRPSFARHNMTDHL PFMESHVDQLIKAIPKDGSVVDLQKLFFAFTMDTATEFLFGHSVHTLYNMQLGNDAVA DDSDANFVDSYTYACLDIVHNIRLGALSKLRYSPKAKQAQKRAFAYIDRFVDEALALR NSSKSSRADETSKYIFLNELAKETGDRIELRDQILNVLLAGRDTTASLLSNTFWELAR HPDIYAKLRDEVAFLNGREPTYEELKDMKYLKFCLNESLRLRPVVPANTREAIRDTIL PRGGGEDGCSPMFVKKGTHVYYSVWSMHRREEFFGKKTEEYIPERWEGLKLGWEFLPF NGGPRICLGQQFALTEASYLTVRLLQKFSRIEARDSRPWTELYTLVAYSKHGTLVSLT PA LY89DRAFT_730979 MSKSLCSTCQLIDFRKYLYESKFFSTAPLALLGSWEQICKRTQC PFCRLVHDGFSSHAQIPPSKSMIMLSNRKSWKCCISYNEYHGIRWKDYSNEFDLHAQA ERTHAESRYQFVVYWERGPREFVEVFLRPLRDGPFYGRIVDQERANLSLCRKWLDLCH DYHNGASGVCLGKKTSRRFLREGLRLIDVDDMRIVRGSTDKAYYVALSYVWGQDVMKT KMPLSLKSSVRIDNDGVETIDLPDLLPRTIQDAIEVTRSVGYRYLWVDSLCIIQDDAV DRDGQLNMMDEIYSNANLTIAAASGLHADWGLPGISRPRHYTQRSETVINGVELALAF PSFRDLNSSMTLNWNTRGWTLQEKLLSKRILLFTDFQMYFRCANSICAEDIAMEAGIL SDSIKKRQNPFKWGVQRERPSTLDKVGDFITLDALKLTDKSWNLTFLPNYVALIEEFS QRTFTYKQDTLKAILGVLRTWGPSNDNFPGGLPRDWLSDVLLWQPRDGSEYHSGHASR IGIPTWSWAAWSLSEGCIWPEYTKGVACRDQPITIHTEDNGSIYSYNIEPQYKEPWRV AKRSSELLSVTAQQHLKGSGTLLSFQSPVCLCKVGEVIKKRKDVSADALQMFYILDGI NQRVGIVWTSERVAQMPRGHEFIALSYRTSGTTLKNAVDKKYIPKRKITQAAKVDPVS GTSYLQPDKEVDRSAGDWKVINVMLVEWKDDVAFRVAIGQIISTAWGTLGEQLVYLG LY89DRAFT_666582 MTLEAPITYDCKATRNFIVNYYYSLVASFLDESRVDIRSLVRIC LFQCRDKQEALSGSGEEGHDYSLTEYEGSQVDHHDDIFAVERSSRDCELYNVIFQAFT RTTCQDLEVARGLQVVFRAYGGRIEVCYDTKAEERLIKLCGLDAYMDARDGGGRSFPQ VVGIKEDGSPLILKKMEEDPSSKRSLEIASRWLKNCVEGHRSCRPPPEMHRPPKWLMR VDSETRNLFLIETSPEDQHLEWLALSYCWGGDHSRKLTKYTMVEFKNGIAWDDFDPTI RDAGGSTVTLVVASSNSVKNGFLQRRDLNYIHVPYSSSLMEDERQKLFLSPEWDDKER EYDGPWSQRGWTMQECLLPNRLLHYTTSQMIWKCCEEQIFERGVTESVEDKISEATGE DDDISFESSFLWQLPPFIRFKKLRAHLTTSLDYPLWSHSDTFRLWYDLIEDYTQRRFT NIDDRLVAITGLANIYCETIRRPTYVAGVWKEDLLRGLLWHVEGATLVPATILDAFPS WSWASVGYEVVKNDLKTKNSLCALSKIEEATSDRITITGPLRRLPRLYNDEWKSADAS VSKLERHISEIIERESGGNVASKYCSPPGGHFSVLKMLDKNPLHLLVLEAMEKTPEAE GINKYHRAGVLKLDCTDLSCIASPEFIAQIEEIEATSFTKKLGLDKKTRTTPFTSNAL YMEIMEQKWAEETVVIV LY89DRAFT_458608 MAESVNVDTFQLDAITPIPLNRPHEDHIESPIHLPAAEVEQGQG DVTVARSKVRITMTLIACYLSLFVAALDDTIVATAVPTIASELHSPSGYAWIGGAYLI AAAAGTPIWAKLSDIWGRKIVFLAAVAWFLCGSVLCAAAVDMTMLIVGRAVQGAAGGG IFMMVDIVISDIFSMRRRSLFLGLCEAIWVIAGAIGPVLGGVLTDYASWRWCWWINLP CCGLSLIFLALFLDVHNPKTPLVDGIKAIDWAGTVSILAVTIMVLLGLDFGGNTFAWT SPKVICLIVFGVFCSILFIYSERRLAKYPLIPLKLFTQPSNVAILLVVFFHAVSFVGP EYYLPLYFQSVKEASALKSGTLLVPLFVTISLTNISSGLFIHRTGRYRELIWLGPVIL TLGTGLYIFLNSTTSTGHITAFEVVVGLGSGLLTMAPMVALQATCAQEDVGTATSSFA FIRSVGLAISVVLGGVVFQDSMDTQASNLRAAGISANITGLLSGKNAAANAMITHTLT DPVQNRAVKDAFAVSLRNLWIMYTCFSFCGIIATLFVAKAVLSKEHSETITGLKEKKE QITRAT LY89DRAFT_666584 MQFKTTLLSLLPFLSTSLAESWSIENFTRDCTNPNICTYFFTIN TGSSTQHCTTVDFYTPAGNHSWYDTPCEEDSNYHISWGWDYPGDFTVLTVVDEVRQLE AFFGYNAPNAVNPVASYPNVGPNAVQPTGAKAVAVVA LY89DRAFT_579832 MRSVWIHGSYMKALEQDVLRSALEVQDELLGPTVDFAPKRPSNQ LEAYDPFTDLSIDDRDTLHAINGLTNLSWFYHSPLQYWSSSLEKIASDEDIISTVNAK SNQSTSVNVTLRHSIVFSGKRFEDHRLVAADALVITLIHMLDSPVGRQWERKAEQLAL RHGGKWQTFPAEGRSLISTLYEFRFQPLSLNDDVWLASVYSLMAVYVIFNITRFAALK SRAGLLLAVATQMAVSIMSSFTVCAVWKIDLSHMPRAAYPLVIMGIGLGNIFNLINAV NLTPPSAPKDVRIGEALGQTGHIALTSLALNASLLYMTYKLCENFPPIVALCAFAGIA ILFDFFYLLTFFTPVLSVDIRRTELSDALSRASTRNGTSFTPVSQPRKTWFGPFFDGN ASTRIAGPIVMVGSILMAQLHYESWSQTILRLFMIWTSYAQTARPAAVSHLSVDINQA RTPTAWLQMQDHETAHEVIQVVKPHATSYIARVYDPLIFVLEGSNRTRNDQGIRRFLP AAYDFARNQFTAFVLSVTIIVAAVCLLMNHLLSNEPSENDSEKREDDPLLSVKTLSGG HSLDIMLLATSRDGVLATVGLDRWIRIWDVRKGVMSYIVRDFESSIDPFPVLAVAIDR DSNWLAILSGKDLVFLWNIPERRWGRSMHVEMKGRTPLTFCFGHDKDEFVDPVVVVRH NGLMTELHVDDEYSRQIQICRSPLVCVRPHFEKPHTGSPDPPPRLITSSRKGCVHIAS QLEEGWVSNELKVPEPEDDKEVVSIVPLPVLSCFLAVRKHSVDLIDIGTLNVTHTFTT SPMKPDSLRCFHSTRRRPQCGSVGLASLAIAYTCAETGNVILQSYLPQREGDIICFRD PFTPGSKTCCLWRETVENKYVVENPGQWEALQVGYLVGIRKCESIIKEMSHPIANTGV RRRGARPNRPSLERRNSEDEAWEVWSISSAGARATTPLFGSKDRDHLLVSSLGPLQKM GKSSLAVALGNIVKIITVGHENFDSADSSNDDSAFLGTASSVGRRKKLLGNRKKTL LY89DRAFT_716247 MAKLLDHYKSEIHSLYIADGRSLEDVQRILEGRHNCHASIRTYR TKLAKWNMLKKKASPRRQVAKKTKLDSSLVVQTLNTAQQTRTVPGVPSVAELEVPTTW ATPLSSGLRHSFNSSDPNAIENSNLHDSLATGNTGIAQESDLTSRSRLFDAILNGDEE EVTEMLFSGTSIRMRDTNNNTPLHAAILKGNVAIVNSILNYRADIDATGFKRRAPLHL AIASKTLAQLLLKRSPILSPQDDEGNTPLHFLLNTKDWWDDQDATTLISSLLSSGADV NIINRFGESPLHRVVSEALPRSLRYLNLLSQFLDHNPDVASPMRNGMTLIHVFLTQSK ILALRYTWRGQFSEEYDCLRKFLTAGADSDTMVDSKPLIIQCLSIHYFSEHAKFEGFL RQLIQSANIDVKDDAGNYPLHLILKRLPRGVYEMTAALISRSASLVQTNNEGATPLEI WLQKTRRMRSELVKVTLLLVEAGADIMMPTSTGKSLFDMLYWLKNDDQIALTKAFLKT GATPRENAPSAASPSEWVQIWRAAWRQSSWLSAQARMIELEQCHSRPKTIHFMDCAYF TIIEKQLEFHKSKLKDWQEGAVEKESVISNYEEYCAILRVCRERVIEIDVSWYTKLLD LMDFK LY89DRAFT_459337 MLLTTGCRPVLPCSALCYGSLVPSAHKFSDPPPPSSLQLIMHPA HSAPSTSGFYDANVPTELNDSSFLPDQLTHPSTMNTTASAHHPQDDFTTLHLPSTIEA STPGLSAIQAANNAQADHTLLLLHEEPQQRRKRNRSSRKHNGTQLIYSQRRSSVYLLS STGHAHPYPMHIKDADLEDTPAHGQDQVVQLHLIQVQVGDCSSVEHDLIGIGAQFSQ LY89DRAFT_580305 MPFRYNPDKDIPDLSGKVFLVTGGNSGTAGVGKEALIQLSKHNP KRIYFTGRNTSSANTLIASLQPTFNNLTFIPMDQTSLTSVSEGAKLFLEKSEQQLDVL ICNAGVMAIPLGLSKDGYEIQFAVNHLAHALLINLCLPALRKSGEGRIVLLTSLAFKS APSNGGIVFKDLKSTQANLGISLISFSSKFLTYGQSKLANVLYASQLAKRYPDITTIA VHPGLVMGTSLTGHMGLLDKLVIRGATLKLKNISVREGAFNTLWAATKGKGEGMRSGE VYEPVGKRVEGTKFSMDERLQEELWGWTERELGGYS LY89DRAFT_779643 MGSNEPVSKPFPSKESITPFWRTELHELDDHRTTEELPESCDIL IIGAGYAGITAAYHLLCNEETAPSAKPSVVLLEARQACSGATGRNGGHLRPSVYSRLT KWIKKYGLEAAEELAKFEFDHIRAVADVVKKEKIDCDFKLSRSFDIHTEPEVAAAAKK DYLELKKAGIAKTTIDDIVFTDGDDAEQVSGVKGCVACVETSAGQVWPYKLMMGLLSR AVSQGLNLQTHTPCTSITPSPITAGKWIATTPRGTITASKTIIATNGYTSALLPEYST KIYPAKGICCRIVCPPSFTPPKLSSTYVLRLGNGSGDYLIQREDGSIIVGGARPNYIS NTSSWLSNTDDSTLIPNAASYFDTYMQDNFAGWEESGAYVERIWTGIMGYNADENPSV GEVPGRDGMFVAAGFEGHGMPVIFLTMKGVAEMVGRGKRFEETGIPRMYKTTRERLES DYNVLVRGEGK LY89DRAFT_682606 MSLAQHLTSIAGVERVEPSVDAVPTKSVHRVLSYDAFPPTQVED QADHLAAYKVSTVRRAIQVVITVLACWLASGIVFGFAAIKPVLISENVYQELCTDDEL QQDVEVCLEQDIRLNFFFTLASITTNVSALPVGAILDRYGPRVCGIFGSIFLATGSII MSYAFAIPEFDGYLIGNFFLALGGTFIFVPSFHMANAFPKYAGTIVALITGSFDASAA VFLFYRMTYEATEHAFTPDKFFFSYTIIPILILLSQITVLPSNIYDTVPQLEKKIERA HDASRDVHSSDDEITSEDEVDRVRIQRSIRRKNKIRKLDNLLGDQEVRQERADREEER LTKSAVWGILHGLPAHEQMVTPWFILMTLLTVLQMLRMNYFIATIRSQYEFMLDSESL AASINRFFDVALPVGGVVCTPFIGFFLDNLSVPMTLAVIVSLTTAIGVLNSLPILWAA YMTVCLFVVLRPLYYSAMSDYAVKVFGLRTFGRVYGAIICLSGLVNFSQYGIDALTLE VFQGDPTPVNVVLAGAGFAVGTVLVVFVWQKGKQVGDKHGGFGDPERQPLIPEEEDEM LY89DRAFT_682607 MSNPDRDAEEERTRETVSRFLDPAYTSHLEGAEPDEPPQSSNTT QSSDREGREHESSLKLQGGDIHRDLYKLGARASPSPIQRAQTFSHPPPSGPTLGRDSA AEQRQPGGFRRQFVQQQRSQSFTEIPRSFVSFLELYGSVTYFLAQDDDSDNAIEDEED AEERRILSAEGQDGERRPLLGRRKTSRAANKPGDATLTKTFFLLLKSFVGTGVLFLPK AFKNGGLLFSSITLVTVSLVSCLAFHLLLQCRTRYGGGYGEIAEAIGGKRMRSITLSS IALSQLGFVCAGIIFAAENIYSFLEAVTKGTSPISITALIGFQLLVLIPLAFIRNISK LGGAALLADVFILLGLGYIYFFDISTIAKEGINDTVHLFNPRDFTLTIGSAIFTFEGI GLILPIQQSMKQPEKFERLLFIVMILITIIFASVGILSYSTFGDKTTVEIISNLPQDS KIVNTVQFLYSLAVLAGDPVQLFPAMRIVEDSLFGHRSGKRDPRTKWKKNVFRACIVC ACGVVSALGAADLDKFVALIGSFACVPLVYIYPAYLHLKGVAENKYVKLGDIALMTVG LVAMVYTTAVTITRWSGS LY89DRAFT_779647 MVASGDSIPSVDLFEKEPGNKVNLAQEFGSGNGLIIGVPAAFSP TCSDSHIPGYIEHAKTKGAGKVFVVAVNDAFVMNAWGKQLDKEGASGIRFLADPSGAF TRALDMEFESAGLLGNNRSKRYAIVVEGGKIKSVHAEPDAVGLSVSAADKVLG LY89DRAFT_459461 MASTTTSTLTASAETSDNTTLLKLSSAEGPVYRHIKNIPLRDAR PDEIPIIDLSTISSPSLSSRQSIATQIHQAATHNGFFYVKNHGIASSTIENAYSSALE FFRQSEDIKQKVDATNSKSMNGWKAPKTQRINASESIDVRETFSFRYNPVYDPEVPDE IPENVKNTVTIDDFCWDQTYNLPHFKDHSLDYWRETVKLARTLTRIFALALSLPEEYF DAKIKYPDAGVAINYYPPMPASSEQAGENSIGSHTDFQLFTILWQDNNGGLQVLNRDG EWINAAPIEGTFVVNIGDYLQRITNDRYISTVHRARNLSGKERISMPLFFGFNLDEMC GLLEICVDEEHPARYEAISCRDWVQKRVKAMHTV LY89DRAFT_695417 MFAVDQKLLFKIDFFILTFGCLAYFTKWLDQANLSNAYVSGMKE DLKMYGTQYNLAVTCFQVGTILGGIPSNLLLTWVPPRILLPGCELLWAILTIFTYRVE SYTQLYPIRFFVGFLEGSSFVGIQYVLGSWYKRNELGKRTAIFSCAAYVGTMISGYLQ SAVLAGLNGKHGIAAWRWVFIVDGCITVLVGIYGFIFFPDTPEATTAFYFTEEDKKRA KERLVEDGREPRGEFSWNMFSRVVKTWQLYVLTILWMFWNTTVGKVANTVMQLWLKSD KQHTWSLYQVNNIPTAINGWNIVMILLANIYVDATGRRMVVVMLNLILLLFGTICLIA WDIPLGLKIVAYMFAGTDGPLSPIYMAWANILCSNDRQLRALTIAIMNSCGAALTTVI QQFLYPVTDAPRYLKGFPASLAFIVGMCGWVFVVRYFELRAPKKEIEDGEVVESESTE EVAEKKVDVSVALKS LY89DRAFT_640940 MADILTSPPPPENKVYFHSGSTPTYRLVTHTSAPITAIPTLDIS QISSPDPSIRLSLAKELVSACSSCGFFYLTGHSLSPQLQTKTFDVMKRFFDLSIEEKM DVHVQKNPAIRGYEPRGETKLDPRTSGDIKESFTLGDCPLEPEQSYHLKTGHPPPPSL TRPQNIWPSSVPWFRAGIYEYYNAILPIAMQLVRLLALGMGVDEEGFVREFFEFPITG MRPLHYPPVEGEGDGEGNVGLGAHSDFSYLTLVLQTPSLSALEVLTPTGQWISVPALP NTLVCNVGQYLERQSNGRFLAAVHRVRNKSGEERYSLPFFLTMDHDADVEILVKDGEE RKFEDFNVGDLYIRRVLAARGKHPTSIKYRDVLESEWKYDLLLG LY89DRAFT_580426 MTSQNATLGAQAGNETQVCVIPGGVPITIQNATSWSQVGCLEGF YCANNSAQHLPQYCPPMPSCQDLRLSGTPCPPQGLFEPVLCDPGYYCPNGGTQKIQCP SGSYCPHGVASPIKCTVGSRCPAGSQRDMNFLPLGILLLVDIILITATVMEKLRSRYK KSNFHQKRESRRSAFMAKGAGRFRNRDYQEIDERGHPGFQEDVENDFQMEPRIMGPRR AKTGFEQIGELEADFVLHEELNKDDGGQKTDLHLFVQSLSKCLGATKFGLSFEFQDLG FKPPKSNKKILDQVSGTIHAGSLWGVMGASGAGKSTFVNVLMGKQAHTGGVTKVNGVA GKIAKYKKIIGYVPQDDIVLPELTVRENILHSARIRLPSNWSDSEIQHHVDILISCLQ LSHVKDSLVGSTAAPVISGGQRKRVSIGMELAAAPMAVFLDEPTSGLDATAAASIMST LKALSRLGMTIVTIIHQPRQEIFESLDSLVLLGQGRMIYCGPETGIQPHFQGLGFDFP DHTNPADVMGDIIAGEGRHYKPKGDASVQYLIDYWASKQQDGSANENFAKTATISMAE NNALSATVKARGAPWFKQIYFCFQRSLVQQYRMKSSFYFELGVGAMAGFLIGLAELNQ KGQNFRGIFNSPYDLLSVSIDYSSVPQMALLVGLAIGLTASAPGVKIFGEEKLVYWRE AAAGHNRFAYYIGKVVSTIPRMVLANFHFTTMFMLLSTPRIPYLSAFIANLLYFYCIY GLASIISMVTRREDGPLLAVMMSLIVGVLNGMSPTLKKVRSWHIVWIWRASPGTWLAE AYFTENISPLRYLYQIDMAKQTAGYLLNMFGDDLLMMLAIGTAYRIVAFLGLRFMWRN KQR LY89DRAFT_716256 MVNLISKVAIVGVVCLAVLYQLLLKRIIFDLLGVRRHVMSIKDF NHVQCQRVDDLGLEACEDMWLHEKTGFLYLACTSAQSRVEWNPAIDHLNASGRGMNDR IAVLDTRGTGPVSSRLQWLSAENFVGINGDGTLNLLGLDILADKNTDTLRILLVNVRP PLDPITGEPLDASVVGANSTIEQFITKAGTSTMKHVRTYANPVIKTPNNIAWVSDHAF VFTNDHTDKVGWRRQLERVLGGGSVGYCDRNRCNIAWDSGFNFPNGLAKGRDGLIYVP NTLDSFIDVFSLGEDHMLTPVNQIKVDMPLDNLSVDKNGDIFASGFPKVLGFVRSGKN PFGSHPPTTVLRIRRGGKGYEGIRRKGHLENQEADYYVEKILEDNGSVLPCASTVVHD AETGKFFLGGPASPYIAICETR LY89DRAFT_640949 MARVISVQEISKHNIPEDLWIVVDDTVYDLTEFAPEHPGGAGII HRYAGRDATASYNEIHAPSLIKNTLHPSKILGTLDPSSITEEWAKPPPTVSKSFSPDA KPPLDTFINTHDFVSAARQTLTPKTWAFYSSAATDLNTKARNTSAYADIGLRPRVLIN VKDVDTSTSMLGQKMNVPIFCAPAAMAKMVHLDGEKELARGCRAKGIPQCVSTNASFP INEIFESVSEKGLYGAEEGEMPFFFQLYVDKQREKSEKLLQQVEKLGVKAVFVTVDAP VPGKREADERVKADESLSTPMSGAKAKNDAKGGALGRIMGSYIDASLSWSDISWLRKS TKLPIVLKGVQTNMDVKKAADLGIEGILLSNHGGRSLDTAPPPILLLLELQKNCPEVF DKMEIFVDGGVMRGTDIFKALCLGAKSVGIGRGFLYALNYGQEGVEKFIEILKDELET TMRMIGITDLSQVHPGMLNTGAVDHLIPSGEEHPYAKWRPRSKI LY89DRAFT_640953 MIPSSFVLFCLGLAAQASAACTREMLQDAAAAYIKAQGAGLPTS PMPAVANNISYAENDKIMDIKTGVLNQAITIDFNRSLYDTTQCATFTELVSASNKPPY VIHTRMLFTADQITTIQSVVTTDGDWAFNATGQLYWNKQETWSTIPESKRDTRAVIQA AGDAYLDSWGDGTVKVPYGTPCARLEGGSYTAGKGSTANTCKMPQFPQPFKITNRRYV VDEEVGGVDIFNDFPFIDKVKPNGTSSTNVVRVEGGMIRYIHEVTKCSTKNCGR LY89DRAFT_731000 MEREEGTNGTGSGQVVLGSMTIIVGHDQHTYIMRLPAGLKVKIF EQMNRDHVNAVCLGLTCKRFYQVYKKYREVTFTHRPKPICAIDSWTRSHPPLELHELI RNFFPREARYVYVEGVGGKFVFDAWARQAVQRRLERHMISGSSQQT LY89DRAFT_640955 MAKVYRDDSLDEAEPLTGEDGAIGRVWKSEKVYLESRLRELRPR WIWFAHAVLLSISIGSLALSLYIRLSTQSNSIPVTYLPAQSAIKYEIKQFDLPPVPEG PFVGKGPEVDASWDYITNSIGDTMVSREEMIKMNLDPNGALEITDPATGKRGFRVAIE VFHQLHCLDLLRQSHYKSHYAPLGGDTAAPVHDLTGHLDHCIDALRQFVMCQGDVNIF AFRFPFNDGDPWPDYSTPRVCRNYESIRKWAVDHTVAQGEDEPEH LY89DRAFT_682613 MVLAIFDFLDPTPSTCLGMTCKAFYPIHRERHGAVHLTTKGLYD PIKKCLWQLLRSFFPGLVFCFEIKKFVTLERCEEIPHVSSFGLERLQESIFRMVEDLP SRSS LY89DRAFT_731003 MSSLSRNNSNPTANETSGVTTQDLQLQPNQIEEIPKKTPPSLSA LPSELHLNIAKYLDLASSTCLALANRKFYQIYRPEPTIVNLREESGNGIRLSVLLEGF HEGMLYSGFFNKFVSRERWRQFDRLRYSAEMKSERRRNGRVGWESWH LY89DRAFT_779656 MASTSKSKSSGGTTLNEISKIETQIAVEAQEVQLKANEVSESVS TAGPATLVTLPPEILLLIFDYLNPAASTCLGLTCKKLYPVHRAIHGIVRLSAPSEPNG FYLWYLLKDFFPGLIFCEDWEMFTTDQTLEDLWMRPHTIPLSRWPHWMLQYRGRTLSV AGF LY89DRAFT_459576 MASPASTCTLAAESIDGDAPTDIDEEAEHDDFNQADDDTARFLN SIEESTTDDELVLADIYTHPGDQETLSPLMLAAVNPDPRILKATLQEGSLFYTAKSPA DIERRQRLRIPLSPRPTSEFWPICFRSSQSEIGITTPLQEAIRAGLPENVDILLDAEA NPNGPPTWVMENYSAFFLRFRPIVPSFPDLCGDVASRKALLERMDLPQLSTLTWEEVE DRFWDGMAPFWCEQDFVPADFYPHGEAIPAIVEAARCGSIEILDELLDAGADTSFWMT PQFFVPAYPTPSSLSVSSPIHAALQARDLDMLDHLLALGFEPNTMPLSNPTRCVTPLM AVITQHEEFDYVVWETLARRPNINFELRTPVYGVHLLHFAVATLNLNMLEHIIDYTPL KNAGVTALGHTLLHIACMPENAMEVQRHSQVVHYSIHETRDLHARNDPHAHRPPKGRH HEYKKTDPQAQMDVVRFLWERGIVDVQKTDVHGNTALHYLCGFRDPNQALLNWWLEQP GVRTVFATKRNMYNSTPQDLLRESELAQEAELSGWKPWWERMRKEERVAQKQAIWRGL LGDEAKREL LY89DRAFT_640958 MASSDILSQTLSSITEIKLAEISNQRSTFEGIKAELIRTSKVEQ DQREKVDILLQGLYDVDKLTRLSPNTMSYLTNVAKFLEQARHDPSVSIYLQKDWETKL INMLDVQSLKYEYASLYGRMVNEWLSSSDEKSEVSSDNGSSSFEKVGRKEMHDQRATW EEYVFKPLETDTTAIKTYLEKLFTSNKIIKSAYDTLLEETKDFEDSMDTDVHFDDKVL RWIINGLLRSDLLTDEKKSLLKDFLNNKVVLTELADVLNMRISSLDKWCWDPVGTPVE QRRQLSGRYRFYHDEDLLQTILLRYIGVKWSVHFKSALITFQTTMDVWKASATPVLAG DRKRRQFFLGSNLAEPTVTVESSRARHFNDEIFLEQLTSEAEEQRGGYDDDAENVRDT RKSGQQITQSLLHTLATEIIMKTRLGEEMTVVRTDFKWFGPSLPHSTMFAVLSFFGVS KNWISFFCRALEAPMKFVQDGPEAPVQIRKRGTPISGPLSDMLGETVLFCLDFAFNQQ TDGARMYRLHDDIWFWGEEKVCVKGWELMKNFAKLSGLEFNDEKTGSVKITRKPGGKP KVASSLPKGDVSWEFLKLDAGTGRFLIDREKVGKHIEELRLQLDACKSVFDFIQAWNV YGARFFTNNFGKPANCYGLEHVDMLLDTFSHIQSKLFQQGSVTSTLQEMISQRFGVED IPEGYLYFPMSMGGLDLKSPFIDLYLVRETITKTPDSHMDQFFIDEEEEYRRAKATFE KGTVPGQDRLPYSVREQLANEPFMTFEEFSRYREQTSASLYRAYSNLTKQPRLDPVVR TSDVLSHVENRAWSRLSEYQQWLVQLYGSDMIHRFGSLNVVEKGLLPTGMVSMFRESR FKWQG LY89DRAFT_459580 MHERFFEQIGRPPNDSNHVLSDPHAERLGRRPRSADLSVIHSLS ANLEVGPLGRKKLEPQSWISCWFPFVAIANEAEVLRLTSASPGLGCQDLFIYSCSAFV DISSSLAQKRIQTVVFAGKANLIAELANDSTQVSVPTTFFKALQLVELFSLMGIDSKF MICSIPHACILSDKST LY89DRAFT_640963 MPFPTSSELIFSEQANHNFSRTLGDLKRSALSIQNRLRSIEHDA AFAQRVADAYNRPLIANERCGSWYIDPKIKGGSAYFKSTDGHTGVWKFSSRRLNMHLL ETIGQHDGCIIIDSTRRGKRMPDALSKTIPIWCSVLNRYLFPAEPSYHELYTPPQVVS KSEHAQISALLPSFVLALQSLKLPLENLRNHISKPLRPIWVTPESDLTPSSAIFGDFH PFICCTVSRRVVGGEVSGGGYIQGAGDDTENWVHGLTPPVFWANKEHLLSTSESDLPE LIEELVSQSLCMSATTAPGLRLVLPTSALFVGTISDSLGMDTAPNACSITLQPKVTDQ STWQTSPTRMEAGLGSSKLGSRSLRLALPVLMTFVADSMKRSKTASPKRIVIACESGK DLAIGAALAILCLFFDGQGSLVEGQEGTASIDKAFIRSRLGWISTSMPDTNPSRATLQ SVNSFLMGRPR LY89DRAFT_459632 MSANFKAWSSGRSFKHSHLVFSRIMIYDPIISLNNLPVSIRLTC DILSLAFVLSISSLLSTHWPCNTVTLRPAHNDMNDFTARVYTPSRRENAPRTDGILQQ SFLSADNKRARSPPTRTSTSNNRPSPNSQMRSSLSRIRGSSSTKRQHKQEHPATSDDK LRNNIRSFNHYFLELARLESLTAAGSTQLAFNRHYVFLFEECAKSKRAFISTLAPS LY89DRAFT_731008 MPKITTLLFDCDNTLVLSESLAFEACAELANEILSSRDIPDRYT GPQLLSEFVGQNFRGMMVSLKAKYKYEMSDAELETYVTREEDQVIKKLKEKLQPCAGV DKELQKLDQEKKYELAVVSSSALRRVRASIEKVGQDKYFPYDWVFSAATSLEKPTSKP DPAIYLHAMKTLNKTAEECVAVEDSKSGATAAFRAKIKTIGYTGSYEPEEQEKMKKVL VDAGCAVMMNDWSEFEGCLEKIEKGEV LY89DRAFT_459638 MCSFLPKSDALLFCSPFVVIVLQSTSDVKYPAYSLRTPKNRILF LQQINYPAGNNGSKTLGPSPAVAFGERRRSM LY89DRAFT_731009 MGLALEFDAHNLQKIKLGVHIAQAVLIFVTWAMEIAVFHDAESI DGRAGWYFGLCFLCIPAIIYLTMTPRFPRTRKFAHPYGLATVDCLFAVLWISAFAAQA SYNSSGKCNGACGISKAIVGFGVFIWYFPPYFFLPVGQQADGSINRLLWCATAFMSFY GVAYYRREGYLPGASRAPFNAQAIDPDKEAFSTAPHDDEYAPVHNTDDHEAAGSSYAG ASTVGSAYNPDSAYSGYVAPHIADEPSGYQGYSGSGSINSAGGRLNFPEARYDNV LY89DRAFT_682620 MAIDNPRLDEETPLLRDAPKPTAADEDAIEQDTAEETVLPDEIS TRRLVVILASIYVGVFLGALDSTVVATLAAPISTSFRSLTLLSWLASAYLNANAACQP LSGRLTDIFGRKAGLVVSNILFALGNLMCGLANSEWMMIAGRVVAGMGGGGLMAISTF VASDLVPLRKRGLIQGVGNVCYGTGAGLGGIFGGWVNDVWGWRVAFLAQVPLVVISGF LVWWTVNIPAKKSEKSKLSRVDFLGSFTLLVTLVLLLLGLNSGGNIVPWNHPLVTVSL PLSAASLCVFIYVETYIASEPVIPVRLLLNRTVAAACLCNWFLTMVTFMVLFYVPIFY QAKGLSTTASGVRLIPQSIGSSVGSLGCGLIMNRTGKYKILSICVMVSFCLGTGLLAT LGFDRPDWPVYIYQTLVGIGYGGMLTVTLLAVISAVSHDHQAVITSATYAFRSTGSTI GVTIASTVYQNILVSSLNEKFGGRKGADEEIRRIRDSFDELKHLPEGWKQGVMDSFAG ALRGVFLTGMGIAVIGAVCGAFMRQHTLHKNLARRGSNAR LY89DRAFT_579725 MAAVNGTNGTNGHTSSRRPLPCGIYAPTMTFFDPETEELDIPII KKHAIRLAEAGLVGLVTMGSNGEAVHLSLDEKTAVTKATRDALDSAGFTKVPIIVGAT EGSVRGTIELCKHSERAGGEYVLLLPPSYFRGLMDEDAVYNYFIEVADGSPLPIILYN YPGAVAGIDMDSDLLIKLAKHPNIIGTKFTCGNTGKLTRVALATNAKTPFSEGSGYMA FGGMCDFTTQTLISGGSGIIAGGANVMPKVCVKIWDLYTEGKRDEAFAMQKILSKGDW VLTKAAIAGTKSAIQSYYGYGGYPRRPLKRLDEVKTQGIADGVAEVMKLEKSL LY89DRAFT_640977 MAEVASHPKAADGSKPLNVLMVGTGEYTTGFVGGGASGSDKKVG VVGLTMFDLRRRGKVGKLSMVGVSGKKYPAIREHLNKNICQFYNNLDTSFASYPADDK VDPESYKTAIDALSPGDAITIFTPDTTHYPIALYAIERKIHVLITKPAVKTLEHHQSL LAAAKKHGVFIYIEHHKRYDPAYADARFKAQSLGDFNYFYSYMSQPKSQLETFKAWAG VDSDISYYLNSHHIDICESMVQQRGYTPVKVSASASKGVAVGLGCDPCTEDTISLLVH WVLKSDPSKRATAVYTASWTAPQKAGVHSNQYFHYMGGKGEIRIDQAKRGYDVADDNV GQLMWYNPFYMRYAPDEEGNFAGQGGYGYVSFEKFVDGCVKVNKEGPGALEELDKRGL PTLRNTVATTAILEAGRRALDEGREVGIEEHDGVWKLI LY89DRAFT_705677 MASATSFYDFKPLDKKGNAVPLTDYKGKVVLIVNTASKCGFTPQ YEGLEKLYKKLKETHGDDFTILGFPCNQFGGQEPGTDDDIQSFCLVNYGVSFPIMGKT DVNGDKANPLFEWLKEEKPGLLGMKRVKWNFEKWLVGRDGKVKQRWASTTKPEALEKP ILEELAKGKAEL LY89DRAFT_546407 SFEDPIRRELLTQELDTAEDYEPISYAWGDPTMSHEIMCNDCSL FITKNLFQALRRFRGTQPRFLWADGICINQSDEAEKSSQVSFMSRIYEKGYQTLIWLG EDDEHDGWAMSASATSNLISWQELDGLHCLSHLFRRPWFSRIWVINEVGLSK LY89DRAFT_459867 MSHVRSSLLQISQVQANQSPLLPTSKRACPFTNLNLHPAHQIKN ITMKKQNFSSALSYQALLTSYNTKLLLTALMKSSRSLGLRWRLLVGGIGSCCIGVGSL VRRVGSGSVCVGSLVGGVGRCGIGVSSLVRRISCSSVCVGSLVGGISSSCISISSFVG GISCGGIGISSLVCSISSCCVSICSLLLVCGIGCSSVRISSLVCSISGGRICICWATF SNACGIRVNIMTKRFYEILHLNRNEGGFDLIRTSRNGSCQSKSQESRQEDQGLTHIGL SFWLA LY89DRAFT_772311 APANEVLRWDVHHFNLGDGLSSPYSGYPTPELDEAWDKLLGNMN IRLSLEDLEAVGRVEDAVELPDGSGYAGTLNIYHEIHCVKWLHVYMYQEYYYPNLDDA QREENREHSEHCLNQLRSTAMCHGDVGMVTYSWGNDSRKPKAAATAHQCIDFERLAEW TQERTIDMFEPGYLVHPTYGAVYSEDDTKGLMD LY89DRAFT_640989 MLPLSGTMAYFSKFTQNKSLQKDQYSAVRTSEDSSSKDGFLEKG QILYREEPTLWQRYRTMIFVQLAILGGWTLVMYFVAREIRGLAIHGPDLIHSIAIDAV YWEEKKFTLGDRIQESSIYSGRPTPALDKAWHDLLNSENIRVEPEVMKRLGREDIGVR IPGDDGFIGTLNVYHEIHCLKRLHQYMYQEYYWPDLDDAERELNRLHNEHCIDFLRQS AMCHGDVGLITFEWSPTNLIPIANGTTHQCVNWQKLDEWTNERTLDMMQPGWLVHPTL GPAYPAGEGDRIGAATGHVHDP LY89DRAFT_716277 MWLFYELVIPIFLAFCKSVSINATPLKSSSPYVVKDNHPILIGW QKVNRAPRDAQCHLQIGLKHGEFEALERNLYEVSDPDHHRYGHHLSAVEVEDLMKPTT ETEDLVTAWLSDHGIGNVTYSPSRYWITIQLPIHSIETLLDTEYFVYQHDDDGLTAIR SSAWSLPHHLHDHIEAIHPTNAFINTKTKTHRLRSRSEVQPLGLGENGAPSYNELVAI DHTQFGHMEIPDIKDLPKNPSPSQACNRVATSPVCLRTLYGALDYVPQLPAKNRIGVV NFLDHISSVSDIGTFLQRYRPDAVSAGYEIQTENVPRTSDSFTHDQAAASVSLEANLD TEVILGMASPVPITAYNVGGSAPAFQNSQSAEENTNEPYLEWLQYMLAQPELPQVISI SYADEEQTVPRWYAKRVCQGFAQLGARGVSVLVASGDEGVGADGMCFNNDGSNKPMFL PKFPASCPYVTTVGGTRGWDPELVAFDARATFVTGGGFSNYFSRPSFQKGVVDDYVNG LGSLYDGLYNRNGRGYPDISLRAYHYIVVSNGSSTIFDGTSASTPGAAAIFSLVNDAL ISEGRPALGWLNPWIYSKASKAFKDVVAGSNTGCNTAGFPAKIGWDAATGFGTPWFPT LKALAEERRFRNTKPWYMSFW LY89DRAFT_695434 MKSFRPKVSLLCTLLGTLWVSIIAAEPVQYCKSGSPYAQNEEID FCVGALLHQNSSSNAHDLYLTIKIPKPQNSALGWTAIGLGAMMEGSLMFIVYGDPLGH EGPIVSIRTATGHHQPTLLGRENLPEGMDLRVIRSSWLSFDSNEKQDLDNPSYEAWVS LVCYSCYLWHGTKISAEARSQPWMWAWNAEQKFDVFSYDAHLSMHKHHAGAGGWGNFY VDMSSSISTAKWPPSLPPITPDITNFGASAMQMSFSGSITDIFSGPGSYAHGFILASA FLIFFPASVVALRSRLSKSFKYHWVIQGVASLLLISGVILGLLKSRRLDTVHQWAGIT LASCVGFQALFGWWHHRRFVKLQRRAWVSFLHIWLGRSMMVIGWANVVSGLSLRGYKS TSGSMLTVIIVVCLEAVGFAVWTFWRQRKWDELVREPSWADIDDERFALALSDDEDEV DEMDEASLMY LY89DRAFT_459988 MATLTSKANIPTSGTSTGSQENRKRQNKNERGSVNPQTPGRSEV STQYSWDTPRHHDELTAFEPCEMGSVMDFSLPTPAEDDSNSNGTSPELEQLISQTWQL PLENMNTGFTSNIDSISHPIYQHEDTVTFPYQSLDQTIQLEMPSTQFVQMTSEVGVLQ PIRKYLGTSPSTLRTSDFERVHHNSMENHEPRGDKLQGAERQRNEYSSTRAGPRLSPE GHQISAEPLKAKTSSREVEALETRFERVIKYVEEAGFESIDDMTTQYYTTVFKEDSVP YWAQSRSRSRTLPGFLTSVHESTKTWTIRDVQSYQQHVTKIAESIYLNELATANQNIR MNEGTRHQTPEQHPTPSIRQDDRKLDNLWTAVIDLELSPGLKRKKTMIRENVSTPCNT KTIQVGSDRI LY89DRAFT_705681 MASEPRPRNIVIVGGGIIGCTCSYFLTRHPSFDPSIHKITIIEA TAIASGASGKAGGLLALWAYPANIVKLSYQLHAELAQKHDGAKRWGYRAVHCGSLRAK GRIFGDADGKTVGNNGEEWKKLPKTDNKKAKSIAAGIPKDLDWFDAEAVKGYSEMGTP STTAQVHPYQFTTSMADLAKEAGAKIILGSVTAVDSFGDGVKGVTYEDKDTKHIHTLP ATDVILAAGPWTSHVYPEAPIEAMRAHSVVIKADVTPYAVFSEIDLPKDFGRTGGGDV KKKRHGLSVSPEMYARPDGTVYACGEGDTLIPLPKTSDLVVCDEDRCQDIIDYCVSIS TPMREGDVLARQACYLPSVAGGGGPLIGETGTKGLFMATGHTCWGIQNSCATGKLISE FVFDGKAKSAKIDSLDPRRFL LY89DRAFT_716279 MQVRGTARPAPAQPTLTSSSPLITNLTQFLSSNLIPESTILDMS SIVHKIKEKYVLTRRGAYVTRRPDAATRTTALPPPPKKSKVADKESVVNERKQVETKY ESDDEDSLLDEKRLEADQEASSSKKGKKVVRRDFGNWDEKEAVVSRRYRTRRQVVKTE MYVEEEKVEVSRVQTKGWWTRTMTACMPSEA LY89DRAFT_779673 MASDSDSNVGFTAGWVENTSSQWPAWADALLKRYMAETRILEEN TSSKEYPRKLQDIGVQLLSNVNPYGWEPRHGELFWQKIQRMVAQYHIANQHNTIRTPV YRDPVVGDTIHLAPDSQNRSKLPPAKAWGTPPVPSPKVPTTQQSVLNKDDLAAKIWKE ADTETQLRIFRAQLWSTKVPTALMHEIARFCAEMCRKGDTQTLSITSSKESPKVTAGS ETKGSRVASNSVSSIHKISNRPILKQSTDTQLSIQGQKSGAECESREQSRSGEETQFQ DDSSSRAVAPVPDLKSLIEERLRQGRLKRAAAGATAQDSKRTKSEI LY89DRAFT_666625 MNPTANNPSPPAGFTFFRNARGVFDCPVDGCGKSYKQPESLKYH ISRSHVFPQDPQHSIVQTTTQSTPQYAPGGSPSPTLQTIQLSPNIATGGPSNMAMGRR GSQIHKVSATTSRTPSPLSQSQPLSIPHDDSSSEQQNWSQSITWPAPTVAFLKTLIIK HGLLGMAQTIAELAKLKAIFRDFYQKVDFPTYKPPHEDERLWLLFKSKVNDIQVEMFK NGEIYMAKSDLKFSKLSQSTLPANTPKTTTPPTTPTIPSDQHLESLRLWHSLDGNAQA RLFRDQLRTSKNAQSLIYEWEEYCAEDRRKERTSENVLEQPNHEARIPSSFANHPIDS QVITPLETPPPPQPSKPSDLKSLIESRLRESDLKRQRAKEAEKALEEATASKKVRLEL HDSISLHSSTSKT LY89DRAFT_695438 MSEARSPRIERSSTTQPRLVHFVGSVSLATNTDVFKRLATSFPS QLLRIPDGETGARGNFVYWQKDSFKPFLVKLWPSGPPEAPPEPWSFEPINTRYDEVAL ESYKELCRLREEGIIRKGVRFQVCLPTPVNILTSIILPNYQVEIEPVLEKALMTAARR IQDNIPKEDLAIQWDMAMDIGQIEFSKFESRPDWKSLKPDFISDAWFSPVKEGITDRA ARVMKSIDHDVPMGVHLCYGDSGHKHFIEPNDTALMVELVNKISAGVGRDFDWVHMPV PKDRVDDAYFAPLKDLKIEVAKKFVKDFAVGTECGMGRTKRDELDNVLEVMATVTTP LY89DRAFT_611580 MSSNMNIIVTLCPKSGKADRVVELLQGVAAYVKENEPQTLRYEI SRQANKKTGDEEVIMVESYKDKAALNTHGSSETFKKFNKTLEDEGLIGAPAQFKFVRA AGGFSRL LY89DRAFT_731026 MSESIAERRKMPILFTSLDGEVFELAPEDLPRSSSLHPDNVAAT MNDNPVQPTTTQHIGIPIRTLDGPSERMTMPSRIPDSPRSPRTPRSRCGTPLIEVIAP DQLPVRPAQTSSRKEGPHKHHTRSDSNTIKHNSSGVKVPMQYNTYGELIPQSSVNEHP ISDQLTVTQLLRVIRKAYHHDLRTSLSKLLNPNPNGGDSVLVEDNIWSHRLSSWDLRL RTPKSASPSSVTSQNAPVYGQRRVLEIGCSDGDWCFAFKKQQPDWVVHGVDDTDHWSC FDRGVPLRDFMRKIESTGGMSSDYFSGATSNIPFPEFTERNLNCLLRTEEPVPCNFYE FIRGRGIFDRVESYKMFLEDVRCLLKPDGVVEFIEVDPRPRISRVKSNLEDMDVTAPS GKKGKKRSCAATDWTSNIEDRFKDPLDKELATDVPGWSRLVEERMKANLRPRDGVAAA NLKSWLEGAGFWDVKEFVLPIPVGGTTKSGTLLKEYILYQLELENCIPKLRDELPEVE VADLEHGKYHLNVHIITGRKPMDLRPGDLMANGERREMTSSTYDAMAKFDAIKSSQWK RFDLEGNLAGIMQNLTTLTGAPEALQVTRGTAEDGLMPLIGRHPDLANPFNCPPFVTL KEMEQHISESGGEHDARSQSGRTGLPRLG LY89DRAFT_731027 MSSTKPSSSYKAQQATIASMTLWDKLDIIPVILTVVTKTLLAAL TFPFVSKTSRPKTYYRHVLLTAMRTFTARTNVRQQHYLSPPTDVAYTTFCKKHGLTPR SEVLEDGTRAHWIGEPGAEGLIVNFHGGGYTLPPTPGMFLFIHNLIQHLSAQGKSVSC LFLSYDLSPTAPYPRQLQQASALLSHILTTLHYSPHSILLTGDSAGANLALSLLSHLT HPHPDPAIPPVQMDGSLKGVVLTSPWISFEMNAESFERNGGRDVVSIQAGTTWSTAFL RCPWPHSSAIDAYNQPGGSLVSASWFKDYPSVVDEVLIVCGSDEVLVDGITDFQRKLK EGMGEERVRFVVGVDEYHDAPSLDLGLGYKESEEGVQAREIKRWIGSKF LY89DRAFT_579915 MAPNPHAESATTRSISEEASGSSSESGHSFQSTGELSDSDSLDS AASRKRRKVSPIEDDVPQLHSISVPSRMKAKIRNNAINKETNNGAVLAPTDPNTSFAS LTVKPWLVKSLEAMAIKRPTGIQKGCIPEILKGKDCIGGSRTGSGKTVAFAVPILQKW AEDPFGIFALVLTPTRELALQIYEQFKAISSPQQLKAVLITGGTDMRPQATALAQRPH VVIATPGRLADHIRTSGEDTICALRRVKMVVLDEADRLLAGGSVGSMLPDVEECLSIL PPPAKRQTLLFTATVTPEVRALKEMPRTPGKPPVFVCEVDTQTLAIPVSLKQMHLQIP VTHREHYLHMFLLTDANLPKSIIIFCNRTATADYLTHLLRLLDHRVTALHSKLPQRQR IDNLGRFRASAARILVATDVAARGLDIPEVGLVINYDIPRDPDDYIHRVGRTARAGRK GEALTFVGQRDVQLVQAIEARVGRQMEAWEEEGVNLETRVIREALKLVGEKKREAMLE IEEGREVGGKRKRGMQKLRA LY89DRAFT_580278 MSSPAPLSSSPNVPLLRPPMPGSRGNSGARTPRLGLAIPPSPSV KPLNSSAQPGGLSLQMPGQMNTRPSLPRLQLATPMGSSQTPYEQTLQNGRPSIQTTAG QSASGGSESSAAHSRSGSFGPLDGRASGPTSAGSQYSALSFASQYGLRQPQGTPDPSS AVGSLYSERSEGGVGMERDGSMNGLEGFDKLSLERGRTLDVEDLDDDGWRVASMEKRI EELSSLGEGAGGAVTKCVLKGGKTIFALKIITTNPDPDVKKQIVRELGFNKDCASEHI CRYYGAFVEPSTATISIAMEFCEGGSLDSIYREVKKLGGRTGEKVLGKIAEGVLNGLT YLHSKKIIHRDIKPSNILLCRDGQVKLCDFGVSGEFGTKGDANTFIGTSYYMAPERIT GQSYTITSDVWSTGVTLLEVAQHRFPFPADGTEMQPRAGLIDLLTYIVRQPIPKLKDE PEAGIKWSDNFKYFIECCLEKEPSRRASPWRMLEHPWMIEMRAKRVNMAHFLATVWGW EEK LY89DRAFT_716286 MTSILRQSSNLARISKSSLRYATTRASNYRPLLSQQSRFYSKGK SDEKKVSASEQKKNDNKVTPPEKNGKPATGTENLYNDSGPLSQKGSNEGPSTLPAAAD SNMSKMTAEEEKMVEDLLSTLKKGLPKGQVEIIERAFENIKKEGIPKELRELVEEVRG KSMTLATAAKLTRLTSQMARKQAERELEQAERELEQESKSTEFKSNENGGASGPPPPP LGGEKKAGSDGNKQDFKFGEIKFDMSSFLLSAFVSYLLYRMVIPGESKRDITFQEFQT TFLNKGLVEKLTVSASGKVRVDLHREATQSMFPDSPAVNPNFHYYFSIGSVEAFERKL DQAQNELGIPSSERIPVNYAGADNDGWSILMNFGPTVLFIGAIYFMTMRAGGRGGSGA SGVFGMGKSRAKQFNHETDVKVKFADVAGMDEAKAEIMEFVAFLKTPEQFQRLGAKIP RGAILSGPPGTGKTLLAKATAGESQVPFFSVSGSEFVEMFVGVGASRVRDLFSMARKN TPCIIFIDEIDAIGKARGKAGSFGGGNDEREATLNQILTEMDGFNTAEQVVVLAGTNR PDVLDKALMRPGRFDRHISIDRPTMDGRKQIFMVHLKKIVTNEDLTYLTGRLSALTPG FSGADIANCVNEAALIAARTSAKSVEMIHFEQAIERVIGGLEKKSLVLSPEEKKTVAY HEAGHAICGWYFKYADPLLKVSIIPRGQGALGYAQYLPVGDTYLMNVNQLMDRMAMTL GGRVSEELHFETVTSGASDDFNKVTRLATAMVTQWGMSKKLGPLHFEDSTEKLHKPFA ELTAQTIDSEVRRIVDEAYKQCKDLLTEKKAQVGIIAEELLKKEVLDRNDMVRLLGPR PFDENKDFMKYFGGAGKSAPPPFPTESTDSPPEQPSAAFKRVD LY89DRAFT_772325 MVAYINTVLPSSTTIDIPSQAQLRRMSTILRPSEIVELQLFHPP PIKYPSSDEIYTKIQQAKGELRDRIWRMAMKNRFRTSPPATSTNPKFHKFLRLQIEIR EQIWTDVRDGAGLRLYKWPPTQSNRVRKPPSILSVCSHSRRIGKEALVYCDGTWVNVD TTLFYVKLDYIFSVDWKLRVDYIQELWVVFAVRFWRAWNELQKENAYFYGTKPGHRLK RFAVSWIDWQWEIHLSHPTGRPFFPKTFEEVLKSYFPGLKELILILEERIVDQCGALY KDERSLTEDDLLDPTLAERVSFPTDMENSIPKKLKLNPKAFGIFKKLLQVS LY89DRAFT_779681 MTSQQLKGTILATGAAGGIGTGWLHEHLQSEHARSHLTIYVTHP SFPGQLREILDKEGDGCRFEIVEIDLSILENVKIFTRVLSGRVESNDIPRIRLLILIA GVMFLDPTTKDGIGFTTEGFEKTWALNYAANVCLILGLLPVMSEKGRIVWLASSSHDP SFRTSRPLYTPGTVSLFKAGDGEVERFAKATDKIEEGDEVHGAVRRYAASKLSMTMFM YALQRRLSSSSSFNQIKILANSPGTVGGTSITSSMPWYVRMVMQYLLVPLQALFVLIW GNGNLRTAKVAGGDLQWCCWSTELKGGDYVDGREVITSSPESLNIKKQKCLWVGTRKL LGLKEEMTALGDGIQGGF LY89DRAFT_460429 MADPSSKPDLGKVVVIGGCGFLGSHIVDALRSTCKAEIFVVSRS ATKYPDHHPDVKYIDVEINKTNAAGVPDIESVFAENKIDVVFHTATPSALTGKEQEFE EVNIKGTNNVILAAQHTGVKAFVYTSSQAVTLPNFQEGASNADESWPLILGKDQADLY ARSKAAAEKAVIEANHPGGLRTCALRPGGMVGIRDNLVTPTIGNLYFNGDPNTQIGDD TALSDYGSVLDTARTHVSAAVALLRAHSSPTPIPESERVDGEAFYITGEHVRFWTFAR SFYKHFGNKADKKPTVLSKGFALILARVLMFFFGLVGKESPLKVNDVYYACATMTASN EKAKKRLGYEVREPIDVAVEKASKWYLENRRPKST LY89DRAFT_611600 MASSQQRVIHQDYIARIRYSNALPPPPNPPKLLDIPNTGLASGQ YTTPGFASRLARDQPLNIEADAELGMPLDLVGMPGIFDGDESSIQAPLQAPSVHPHDR ALLRPLSTLGKPTLSDSGVSFLRRTEYISSYTSKSRFESTTSRSLVDVTGNRKKRVPE NHDKESPEYIKNQVERSFALAAQNLKSGQFTKHPTKKNLKLVDSFPLLPDLTAFPDAG GYVSIKFLSNPLPPSSTYDIRLENSILKPMEPDEDLEKLKTEARDLHERDPERYPAPD ESMEYEFYLTETPSEALRFKRKFDTLDPDPDDEDLYPQKNGSGTGCFRFKRIRAYESA SIHGNTADKYDDEVCIAVHDGKDGVRQKAAYYYPMVQKIQIRPQRTKNINIKRNRFQG TQEDALRSQTDFVDMRVEAPDEKMRAERDAFAVFPYGKEEEEED LY89DRAFT_460277 MMDPRHDNNGYQMPPHQQMPGVAPPVYNPPPQIFGGGYGADGLG NLGIADLSQTFLPDPTLMDESQEAKRRRIARACDMCRKKKIKCDGKLPECTHCANYHT QCVFTQVEKKRNPPKGAKYIEGLENRLGRMESLLRLSGLLTEDDNGRTDLGTLEKRLA ETKRESLRLSQQPGSNPTSPTQTSPNEENSSTPRSHLASPEPQSKSTPKNAEKRKSGC PEEEKEEEVEALSDMMCSLVTNNCGETRYIGSSSGFSIFSPKGIQWVNEKTGDSSFQE MISSASVDENKWMYWKPEVFGDLFQRPIFKRLPPKNECLSLMKDFFENFNCMFPLFHQ PTFMYLVERQYTDDPYEGSGWWASLNVALAIAHRLRVMSNLVPKEEDDKAWGYLKNAM GVMTELTLRNTDLLSVQALLGMAAFLQGTPNPQPTFFLVAAAIRLSHSIGLHKRGSGF NLNPIEIEQRKRVFWIAYMLDKDICLRSGRPPAQDDDDMNVELPTADPDDNIGNIPLA DGKGKVNLFRLMVEFSTIESKVYKQLYSTKAAKQSDGELLNTIGELDHLLEEWKDSIP VDFRPEHEIKASHTPLILHVVMLHFAYYNCLTTIHRMSIHHGYWTSRLSNYAIQGLNA KPLNPRVFSSAALCVSAARASIHLIKYVPQGDFACVWLILYFPVSALVTLFGNILQNP QDPRARSDVRLMNLVVTFLSTLGTEGENGGVKRMLGVCSEFERIAKVVLDKAEKESSS RRKRKNNYDDQTAKTKAPMATANSQIPPQTPMPNNAQTPAMAGVFSPGMAQINQANGY SPMPPQTNGSPNWQPDLNGSEYMSPNGMTPFAEIQAYTNGADMQSPPQNIFQQPFVPQ DLWQMPMTLEWDWADMTGGQYPSFENGIVGDMNLGGGDNGHLQNQGHGGI LY89DRAFT_779685 MSDDDHAWPSLLLAKHERAHREHVHQHQHHLFHRAQTGDTATAT AVQSVVTEVTATVSVVQQIDVDSNGSTFAIQTVLADSTQGATGAAATSNTNYLTTTTS ASPGPVSSSSSSASSTNAGGSPQISSASQSLNSSTSTSSASALSTSSSFSSLIASTNS TTLISSSSSSLTAIPNFSNSSISSSSTLSSSSFTYLTSSSSSSSEELTSSTSSTSSLY SPSSSSSVAGTSAGGGIGGGGAGSTSSAPTSTATSGSGNGTSSSNTPSTPVVVGGVVG SIAGAAILIFLLLAFIRWKKRHQSMLSLGSGNEGSGAVTSRDGPPPVAPSGGMTERRS LAFVPMALANLTGFNKRGSRQTDRTVSSTAESERGFYRVSGRKLPSVLQTGGDGYGDG IGEPNTLSGSSFYRDSQGFYGGPGSPSTAGPSGTSRRPESGVPVMRPSPARTPVTQQG PFSATPDPLTPPRRPDVLGRSHPSHDGSHTSSRFTEEIV LY89DRAFT_641021 MATPAQLDEKRRRDEPESSTQGGIGRMATEAQLAEKVRRDKGGP DPFASFATPAQLSEKARGRPPPLPPRTNSVAGPPPYTSDDARRSELISEQWRSHDPRS SSTHSLVPSETGRDGRRTLLLVYVHGFMGNETSFQSFPAHVHNLLTIAVSETHVVHTK IYPRYKSRRAIEFARDDFSTWLEPHENHYTDVVLLGHSMGGLLAAEVALQRPLTPATG KPFRHRILGTISFDTPFLGMHPGVIASGIGSLFRPADPPKPQPAHSGSMSPISSQASA SSPSYAPSLADTESNLSLVQSITSPLASPPSNDPFFNPPFPNDVRLPERKGWNSLLHF VSKHSDGLTTATKQYFMSHLEFGGCLADYPGLQDRYKRLRALEDVDDVAQNNNPGYRP PVRRIRFANYYTASTGRPKPPKVPPGHMIDKDGHLQPIETEMQDMSVRSSGSHSPTPT PSITVDEHSEGHITPQPLEDGPDASPIDAQMKNLGESSGVQDDFDEPSPMRHIDSIPI PDDDPYHPLSMTETEGAEDEPRIEVLSSESTLPPIPDMPIEPEQVDLSLYTDKDERKI AEKENKRVMRTYQQAIKDRESAIKDRKKLVEKREKKVRQEQEKRIKEKEKQKSKEQKE EEKRKATINPESPRERQASVASSTKDDKPKRDKKFCMLPPTYGGQRDKCWVRVYMEGV DEVGAHCGLFFPGPQYESLVGDVGERVRKWVEEDAVRRAAIDSERV LY89DRAFT_779687 MANQATGPNTYTLPTSLPHDTPSNPSRKWKQRLSFLPSSNSRAN EDMRRQTTNSSERKRKWWKIRFFRGMINDVKRRAPYYRSDWTDAWDYRVVPATVYMYF ANILPALAFSLDMFTKTHMSFGVNEVLLSSVLGAVVFSLFAAQPLVIVGVTGPITVFN YTVYDIMVPTGTNYFAFMALVGIWSLAMHWFLAITNACNALKYVTRFSCDIFGFYVAF IYLQKGIQVLTRQGSDEAFYLSITVALLVLAVGYLCGIIGTSPLFQHYVRVFIKDYGT PLTVIFFTGFVHIGKMREVDLSTLPTSKAFFPTSDRGWFVHFWDISVGDVFIAIPFAV LLTILFYFDHNVSSLIAQGTEFPLRKPAGFHWDIFLLGLTTGVAGLLGIPFPNGLIPQ APFHTDSLCVTKVVSDPDEESPTKGHTKTITSHVVEQRLSNLAQGLLTLGTMTGPLLI VLHLIPQGVLAGLFFVMGVQALESNGITLKLLFLLKDSSLTPASEPLKRITRRKAIWI FVGIQLLGFGATFAITQTIAAVGFPIIILGLVPVRTVLLPRWFAEGELRVLDQPTASP FTMVSVGGNYGEDVDVDVRDGGDGMVPNSGEETAVQSGSEGVLVGGSGDRDGEESEEA RAERGEGMKRRDSWRLEGRSGRWAGEGSGGGESYEMMKPGMMRRSVSRTSKT LY89DRAFT_666639 MLPSCRSTLRHRLLSHPLRSLTYHATLPLQFSPQTRHNSSSSPS HPHVIFSGIQPTGIPHLGNYLGALQNWVSLQNSAAPDTKLLYSIVDLHAITVPQDPGA LRRWKREALATLLAVGLREERCILFFQSEVQAHAELMWILSCTASMGYLSRMTQWKSK LSLSDHTSPLDESSKSKLKLGLFSYPVLQAADILVHRATHVPVGEDQSQHLEFARECV TNFNHTYNGNFLVAPQTLLCTSPSPSPFLFCPVNKTNLPSAPTKRIMSLTDPLSKMSK SAPNPLSRILITDSPSTIKKKLTSALTDNSNSVSYSPSLRPGVTNLLHLLSAFDPSGK SAEEIGGELERSGMGLGAFKALVTDAVVEGLKGVRGEFERVVREEGFLEGVARRGAER ARESAEGTMGVVRGAVGL LY89DRAFT_579684 SLNPTSPPEITHESDVSDLAENDIRLPRSVQAVYLRPLKRRPKY GVPTCDLQLRSYSIRNLEFFCDFAIRAAYYLGLPASGPVTLPRITERWTVPRGSFIHK KSQENFERRTVRRLIQIKDGEAETQELWLAFLRKYSYYGIGMKANVWEFSKLNVGKEM DAQMEKMKDVLEPTLNNLAFNKKSKLAKKPVSTINKAVFRQASGASGPMQDKRPKGTW PVGRESFIPSQRELDE LY89DRAFT_695451 MVTTTITLDLIEQGVAYIESILPNGFAPKVGIIGGSGMCGLQGA FHGGTREISYETMREAIGDFPVTTVLGHPGKFLFGHIGVTKTPAVLMCGRTHYYESHE LQIATLPIRILGLLGVETLIITNAAGGLSSELKVGDIVLVNDHINFPGLAGSNPLRGP NIGALGPRFLPLADPYDFALGRVAHRAWKDLKRDDWKLKLLHEGVYGFVAGPSYETRA ECRMLASNGVTMVGMSTVPEVIIAAHCGIRVLAMSLISNVSVMEPGPRGDDPDFVARS NEELGRFMNKDKANHDEVLEAAHGYVDKIKNLIVKIIEIEMTR LY89DRAFT_461078 MADDNLSDEQLQQLLKDAEQRLRSKSKKQNKPSEISALSHSIPR IASDTKIDSYIKSTQHGPQIDVSHLVSEHERKLANSFRVVEDPITVKKRGDEEKKATA GADWYNLPRTNLTPELKRDLQLLRMRDVLDPKRHYKKESGKPQVPEFSQVGTIIEGPT EYFSARLTNKDRKRTLVEEVLAGEVTTGRFKAKYNEIQAAKTSGKKAHYKKLMAMRKG GRVSKT LY89DRAFT_460711 MEQNPPSSHGQGRTQPAYDTSHGGHYGASAALAAQGFAPVAEFY TGAWAKVNQGLHGTYKDILTTYWQHVISHLESETHDYKLHQLPLARIKKVMKADPEVK MISAEAPILFAKGCDIFITELTMRAWIHAEENKRRTLQRSDIASALAKSDMFDFLIDI VPREEAASHAKRATGGAQASAGVPPGGAQLPPQSIPQAGHPGGHNMPPPDPSYLGQHM AQETDYRQGPMYTTQVQAGPAAGYGAPPQDMYGQMEGIYGGYPPMQAQQVCPEPPSVS AQN LY89DRAFT_460743 MSRKVPQYDDYRVAVQQIILSSSDSDYLDQLIPALKDATNSNRT PGLMQSLSQYANEREADIERIGLTKHDEFLQSVNQLQKVREGTVALTSEILQLNQSIQ ASTEKLAAQKEALVDTKAIRQNIAEAAEALKESLKILHAVNQAHDLIKKKKYYAALKA LDDLQNEHLIPTIQNKYATQHRLADIIQKSIPSSQKAISEAVMSDLNTWLYRIRETSQ FLGEVAFYHTELRRTRQKERMESDEYLRNFKLNSAIELVFDESEEFDVLDNEELQVDF TPLFECLHIHEALGQSDKFRSDYAATRRKQKELLLPTAISLVDDDENSLSSLLEGIAG FAIIEKATMRRAHNLRSPVDVDELWDSMCQTAISLITKALDTIDNAEVLLKIKGVIAL FIQTMDGWGYSVTTLDNFLLTLFDKYAELLKKRFSEDFQEIVSTDDYMPMPISNLEEY DKVINVSWFTPDKPREELTFPCVLPFSQMYPLCCIDIRNFLNQFYFFSDDHFQHPNVI DETLKKSLDQLLSEKVCSSLVERLSSQYLGQIVQILINLEHFETACRELEQLLIAARS SSSAGGPIVLDATEDFRSNKKTAEKRIFELVNSKIDDLVETAEYDWMAPTLETEPSNY MQTLTRYLSNIMNSTLLGLPREIKELIYFDALSHAANMILALPLSPEVKKINPNGVAA LAKDVTYLSDFVDTLENAPILKENLDELQQTVLLMQTENPDDFYDISIRNKKFGRVDA MNGPKILEKITHSVMQSPSRTDRLGNFSSRFGMR LY89DRAFT_461305 MEPCRPRRRRTAILIAVVSRYADEARAKKNRGRTSSALVLRRVV FNCAIFQEQSSLLEYGVAVALAGLKLLQKFWLTLILAAARSASSYVLGTEIEYAYIYS ETFLMVLPMVACDESVVERRSGLSSMWRAEDARLA LY89DRAFT_579705 MQPSGFAYELDDYYDHHHAPSYPYGRSRGGYGSRGNYASRRLGG SRRDEHKSENIVTIERIYNGSDVRTTIMVRNIPNKMNQMELKAFLDQTSRGKYDFMYL RIDFSNGANVGYAFVNYLDPMDIIEFIRARANRRWEDFHSDKVAEVSYATIQGRDCLI QKFRNSSVMLEPEECRPKLFYTEGHPLAGQEEPFPRSDNQSKLQRSCQNAEHVGLFAP AAGQHHRFEQRNRLSQFDRGTTMAAQEYEENFDGPFGNSYHGSYHRGGHRGGFSRGGG FARGGRGGRGGYLGWNGNDAHRK LY89DRAFT_611630 MWLCSEPSGETWRLRLSFSGVLTKLANGLAIPVPVFALFYIDTI LQLSFLLLPTLGGNMKMTGPLHWEIGRNFHGCCAAFLR LY89DRAFT_611633 MLPPSRRFAAVALVLLVSFVEIQNGVEGSSISTASTPTTTAVQS TGTCEARTINYITDSLPQQCLRKEWNGFNGTGAGSSSAIVATEGLEGAMNTSTITVAS ADSIASPIPSSTAPETAEASATDLESGELGEASFLSFEEWKKQTLEKAGQANANIGTK KSGDVKKRDSESFQNNLDSLGDEGEIDLDFSSFRTGGKGDEKSQTEDAGETGAGQESQ KVEGNGRKKDHHRSNDAGKTCKERFSYASFDAGATILKTHPGAKNSKAVLIENKDSYM LSECSAENKFIIIELSEDIWIDTVVLANYEFFSSQIRTFRVSVSDRYPVKIDKWKDVG TYEARNSREIQAFLIANPQIWARYIRIEFLSHYGNEYYCPLSLVRVHGTRMLESWKET ESINDEDEGDTNLTTTTSRSHTSSSTSSSATETTVSNGNTAMSSPSSNVESTASSSTT KESSTPVSVPSNIITSSEVASSNSATQASKSQNVTTTPKNKTTSTSSATSSLPTIQES FFKAVSRRLNFLETNSTLSLKYIEEQSRILREAFTKVEKKQLQKTTTFLDTLNSTVLD ELRHFRQQYDEIWQSTVISLESQREESRREILAISSRLNILADEVVFQKRMSIVQSVL VLLCLGLVIFTHVSGGSPLEY LY89DRAFT_682645 MATELPTNSTNGQGQGQSNGSSNTKDFTVKTGLARMLKGGVIMD VVNAEQARIAEEAGACAVMALERVPADIRAQGGVARMSDPKMIKEIMATVTIPVMAKA RIGHFVECQILEAIGVDYIDESEVLTPADHAHHVEKHPFSVPFVCGCRNLGEALRRIS EGAAMIRTKGEAGTGDVIEAVRHMRTVNAEIARASGMSDPELRILAKEIQAPFELLKE TAKLGRLPVVNFAAGGVATPADAALMMQLGCDGVFVGSGIFKSGDASKRAKAIVQAVT HYNDPKVLAAVSEDLGEAMVGLNVSAMDAKDKMQGRGW LY89DRAFT_641054 MASLLLRPLLRPQALGLGLGLSLTTYHAVYRQQAIRLDSSPSSS GSILSGDSYRKNAQVPVIRNGGLNERAVRQISSGSIVGLCAGLVVSTFSRPLAIVLGL LIVGVQYASSQLGINIIPYNRLQRYVTSIDLRSAVQDNVAFKLSFGTTFALAAFMKF LY89DRAFT_461386 MEKIDTTDRLNRLRELMKQHKVDVYIVPSEDSHSSEYIAPCDAR REYITGFTGSAGCAVITHEKAALATDGRYFNQATKQLDANWLLLKQGLQDVPTWQEWS ADQSEGGKVVGVDPTVISAPEARKLSEKIKKKGGQDLVPLEENLVDTIWGQSRPAKPS EIVKLLPVQFAGKDVKTKLEELRKELDKKKSSGIIVSMLDEIAWLFNLRGNDIPYNPV FFSYASVTPTTATLYIDASKLDSDCNTYLTENGVSIRPYEKIFEDSQVLAESLDPAEG EEAPEAKIKKFLVSTKTSWALKRALGGDTKVEDVRSPIGDAKAVKNDTEMEGMRACHI RDGSALIEYFAWLEHQLVVKKATIDEVTAADKLEELRSKHKHFVGLSFDTISSTGANA AVIHYMPERGNCATIDPNAVYLCDSGAQYYDGTTDTTRTLHFGQPTELEKKAYTLVLK GHIALDVAIFPKGTTGFALDTLARQFLWEEGLDYRHGTGHGVGSYLNVHEGPIGIGTR IQYSEVPLAPGNVISNEPGYYEDGNFGIRIENIIMVKEAETKHKFGDKPYLGFEHVTM VPYCRKLIDESLLTRREKHWLNEYHADVHAKTKDFFYATSVAMKWLEHETQPF LY89DRAFT_461439 MENIGGDLPTSLEEVESLILQLYQPGAPAKIAKIQETLQKLQRA PQGWQLANGLMEHQNEQVRFFAALTFIVKLNTDAKSLTEGNAQALLQTLISWLIRCMT SSDRALVIRKLCSTLIAYFVQFSTSWSDCVKHIMYCMCANEALPYDQLSAAPETSILV QSIPNDRAVAVFWFATTLVDEVGKMDSNNMKQHRFHQLVKPNVEDIAPLISRYISDNT ADIKVRQEALKCFQSCVSYSHRAFVDDEIVLEPLRKLSKPALEECLGNDELYEITVEI FSDVLANYSKFLHDEDFNLLRQILNSSWAQERYQQLVMGDFEFDSLQFGMFMLAFGDA TVQDLTRNSGSVAQSQYLSALCGLLSAEGYAVHEDKIYMPTLEFWNTFVETMVDEIYS VDGEHPLWFKSAQDHVMQVISNCWRKSQFPPGNIYNSWDSVDRTGFKDARRDFSDLLQ QFYLTTGISLLQFFISSIQASTSTKDWAQIEASTYCLSWFSDCITDDEQQDQYLDQIF TPALVAIFMDPNKEVPTRAMKGFLDLVSVYADYFGRRHSQLPSVLNIVFEATSATALA KTASKSITKLCSDCREVLKPELGAFLQHYTNIASNYALDSAVKEAVMEGIASIIQALD SEDFKLAPLDTLFNYVETDIERCLSMIASSSAGAVYVVTGADGSRKELTALDFGILAL KCLVSISKGVQVPDDKPVDLESKSPVSPFWTTGEGSRVQQRIYSMMSRIYDVLGMSGD IIDELCRVWRHGFREMEPGPFVMAPEVSSQFLMRATLQTPRLVRVIDTSCSLIAAKKW GPGLEQVLETLLVWLAQLLQALGEPSNDPEIAQAGIEFLQRLTSKFPKILLNHQPVVS LEFLFMFALGALGGTDPLPKAAAADFWATLINITDQPREIQSQLDNAIQAIGPHLAQK LIYNIGGHAARSELDKLCDPLRKLVVRQANSKAWFEAALLDQNFPSDNIEVKDKETFL QKIMYLRGARGTNQVVRDFWLLCRGSNFAYAS LY89DRAFT_731051 MAWSPWSSTSSVPRVTEYDLDNSDEACKITPAVPQTLGRVGKQE LDFSPMDEIVTFHVGSGDDEKKFIVHKSLACQVSPVWEKAFNRAFIEGQTLSCTLLDV KPNPFTLLVKWIYGRDLDTEATKVMKILSGLSGSAKDDENEKLQKTVDDQDISLIQLW ILADRLLIPHLQGDVIKILKSRRAASQWNETESRRKRVSLYRPSYQWFPTVFENTKLD SPLRDYTVHQAVFYLDAKTFQEHPECFPKALLLRYAEFTTERTRRFQDMHVDIRPSRR PIRLIH LY89DRAFT_666651 MKAYWFNNEAGDQRLPHDSGRAVTPDYLAKLGVLYYNFNKEQDV DKLATERSYKNRDVITVSPEKLGDIYEEKVKSFFHEHLHEDEEIRYIRDGSGFFDVRS EGDEWVRIFLEKDDLIILPAGIYHRFTTDDKNYIVAMRLFKEEPKWTPLNRGPEVDIN PFRKDYLQSRTAMAS LY89DRAFT_461538 MSSTSSSSSHTFFHTRQYSKSGIGGIGNFHNTSSILSSPSTPYI SPRTSGTFSTSIGGAGNVRNLSERPTVSLSTKLEREEAQRRAAAKYWHHGIGGAGNKT GADNERSPAASLGSAVENNADRMRNGFVGIFGKRKRNMFLLPDSSIESLCLKD LY89DRAFT_461539 MVLHPIRTRSFNYHRFGCKKEGKKYKKTSRHFATAVNRTRTSTL EGWNPNHWTTEAYHWMTKKCLFEVIEYKSRSLKSGYFVFCLLAEW LY89DRAFT_705704 MANIDSHVARVLDEGNSDDEDALIASLEDSPALDAFREQRIQQL HSEFTRAKSQKNQGFGNYTEIKEEKALMDLTTEVKYAVVHFAKDDFARCGVMDGHLES LAQKHFDTRFLKMNVENAPFLVTKLKVQVLPCVLAFVDGKSVDRIVGFEGLGYTPDTF TTKDLEARLLSSGVIQRAKATEDAGGVKWGVKKARQEEEDDDDWD LY89DRAFT_579619 MDDKKNEDYTIKMPDVEMRNFNGRSSPSQRDPFLARTNSGPPKS GPKALANITNSPPISILAYCLASISMTVTNKYCVSGANWNLNFFYLAIQSLVCIIAIV ICKQLGLITNLAPFDTKKAKQWFPISLLLVGMIYTSTKALQFLSVPVYTIFKNLTIIV IAYGEVLWFGGSVSATALLSFGLMVLSSVVAAWADIQNALYGEAAAAGSDAAAALSSL NAGYAWMGMNVFCSAAYVLSLRKVIKKMNFKDWDTMFYNNLLTIPVLFLCSLVFEDWS SPNIQRNFPVESRNSLIVGFIYSGLGTIFISYCSAWCIRVTSSTTYSMVGALNKLPIA VSGLIFFAAPITFGSVSAIIIGFISGLVFTWAKVRQGQMNKMSLPTQQPVMSASSQSN RDASSS LY89DRAFT_580656 SSSLSFTQGLLLGQLSVVILIGAFIKFFIFGDPPSPDVTAALRA TERRSRTLAHKRSLLSLRTSTPKHPSLSKKRSSVLRAPPQLTTNTILSKTYYNVDSHQ PESLDWFNVLIAQTIAQFRSDAQHDDAILTSLTKALNGTTRPDFLDEIKVTELSLGED FPIFSNCKITPVDEDGSSAAGRGEGPGGVPREHGRLQARMDVDLSDFITLALETKLLL NYPKPLVAVLPVALAVSVVRFSGTLSISFIPGSPLNATMLAFSFLDDYRLDLSVRSLV GSRSRLQDVPKIAQLVEARLHTWFDERAVEPRFQQIELPSLWPRKKNTRGGEDAPSEP ASISRAKGKEMERDLREEARKEVEAESRAARAARAEVDRIEQEGLRWRRRAMGEEYTM PGSMPGSLPGMNMA LY89DRAFT_641076 MRKGTIVFLVVNFCIIGLLVNAFSTLIGLLFEDGAADAITRAEI PAPGSDLIENRTQMIPKIIHQTYINASIPAQWKPGQQSCIDLHDDYEYKLWTDDKSRE FIEAEYPWFLTTFDSYPFPIQRADAIRYFVLHFYGGIYIDLDDGCNRRLDPLLSYPAW VRRTVPTGISNDAMGSIPQHPFFNTVIDSLAGYNRNWFMPYITVMYSTGPLFLSVIWK EYLRVDRPPMEHVRILMPDEYKGFAWSFFNIVKGSSWHGKDAQTIFWMGKHWLLLTVS GFAIAGVVGACLWSLWNMWVMKGKRSSPGRKRMGLWRRISGGKERYELLDRMA LY89DRAFT_682654 MSDVTKQGWVTFKVGIDYLKQQDFAVDKKIVCKHSPVLRAAFSS IFEEAQTLVYRLDDVEPDLFGLLVKWLHCKKSDHPGRRMWMDDPDIEMLIKLWVLADR FLIPRLQNDIMVLLEYLLLFRIHVLKGAWIRYIYDNTHHRRVSFGSSPSFKSRITISS NLVLFRRTTTSTYRKIMSFGKMLRHTELSI LY89DRAFT_666658 MAILETAWVESDSMLGYIAYVYEHTTTGSPLRNFFVDHFAYGLR CIDMEKLDAYPKEMLGEVVSLFCLATSFIVGEKCEDDASYRPMQDDLGNFQYGFFKDW RDYQVPVYDDSRVFQSPYSDLDPRTNSLSKLLFVGKARFQNPSPKARRNGFRMLCRDR MVELKEESMY LY89DRAFT_461644 MFLQQQLEIIMRSFRIDPVTPTIPKTLSNPATAQSTETTRTADE PNREWKRSKPDFSNPTDTVTFYIGREAEPYIVHSDSACYYSLVLRAAFNSNFIEGEGT RRLITWMTLMLNHSESLFNGSIRRILISQLKVPIYSIG LY89DRAFT_731060 MATPQTSECKGSSEDLSETPASKGRWKSKGPNFSDPAAMVTFIV ASQELRNRSLFIKRKSRAAHSVSWSKWFYGEKFEVFYEADIIHDRKNPSSKEETDDDE TAKLLAAQDLDLVQLWVVADKLLVPRLQNVVIRSLQDIWGSISIGPSSDWIPYVYEHT GPDSPLRHLVVDTCAYDLHPKRFLNHPESFSHEMLLDLATVFAAAVSEVDSEKGKSKE GGDNSDKIPNEERSSKRPKYACNRAWRSYLVPEDD LY89DRAFT_682656 MDFQDAALNLSSDQNGDSLSASAMSPESGSTSNARSKLQLPVSC DPPGPGNPVKQLVWIVFGSTGHMGRSLVRSCLNQGDLVCAVGRTFENTLPSMQGWHEN CLGTLCDVRARESVAEVIEIAISHFGHVDIIVNCSGYGVIGACEDQDEHEVRNQFETN FMGTLNIIQLSLPYFREQGAGRYLIFSSTSGALGVPGLGPYCATKYAVEGLIEAMLYE IDSFNIKATLVEPGLVRRDEPDWESNPLPTWGHFLIKPASEPYSQATSPALHAKRMVQ WLGDKQPTSAVKCAELVWQLGHCSYPPLRLLLGSYAIESIRDRLRSIIEELEDWKHLH FPVAPDAQLEDSKEEDGEEGRMDVGS LY89DRAFT_705709 MDQMMGGGDGGIGGQAGFPLETWWWEMPLCTRWWTTATVLTSAL VQCQIVTPFQLFYSFRAVFGKSQYWRLLTTFLYFGPLSLDLVFHVFFLTRYSRLLEES SGRSPAHFSWLLLYATTCLICLSPLVSMPFLGHPLSSTLVYIWSRRNPDTQLSFLGLL VFTAPWLPWVLMLFSLVVHGMIPKDEIMGVVIGHVWYFFSDVWPPLHSGQKPLDPPMW WRRLFEGRPREETVDEVNNEIAVAAAPEVR LY89DRAFT_461650 MSQAQKRMKALGESSSTPKRGRPSGKTTPSMGAKKSGKRLSAVE PGDPVPGRRKRRYKPGTRALQEIRKLQRSTDLLLLKLPFSRLVREIALTLRPAGAGMR WQSQAIQALQEASEAFLVHLFEDTNLCALHAKRVTIMQKDIQLARRIRGAWGGLG LY89DRAFT_641088 MTITIKVPCSSANIGPGFDVIGLALSIWLEIRVEITQSEKSEAA LNCVITYEGEGADEVPLSADNNLITRTALYVLRCHGQRSFPVETRVHIKNPIPLGRGL GSSGAAVVAGVVLGNEVGKLNLTKARMLDYCLMIERHPDNVAAALYGGFVGTYLNDLS PEDTERKEIPLSEVLPEPAGGVDTGKAPPEPPIGIGHYMKFPWAKEIKAIAIIPDFEV ATAKARSVLPTSYTRADVVFNLQRIALLPSALGRSPPDADQIYLAMQDKVHQPYRKGL IPGLPEILQSVTPKSHPGLCGICLSGAGPTILALATENFDGIANVILEMFAKKDIKCR WELLEPAEEGTVVTHS LY89DRAFT_611674 MESIRILLVGNGGREHTLAWKLSQSPLVESIIAVPGNGGTATCP KTTNDTTGLKQDDYPGLVALAQKHKINLVVPGPEVPLVDGIEGYFKAVGIRCYGPSKL AARMEGSKTFSKDFMKKYNIPTAAYENFSSYDDAKKYLYTINHNVVIKASGLAAGKGV IIPSTKEDAHAALKDIMLDREFGSAGDEVVIEEFLEGDELSILSFSDGYTIRSLPPAQ DHKRIFDGDQGPNTGGMGCYAPTNIASKELINEVEKTILQPTIDGMRHDQMRFVGTLF TGLMITKNGPKVLEYNVRFGDPETQTLLPLMSEDSDLAKIMIACTDSYLDSPSVTFKV DAKSSATVVVAAGGYPGKYTKGIPMSVTTPPTDTNIFHAGTSIVEGQLQTSGGRVIAS QATAENLENAVKKAYTGVECIKFTDMFFRKDIAHRAFKPPAATREALTYAAAGVSIED GNNFVEEIKREVASTRRPGALAIIGGFGGELDLAEAGYSNGGILVGAIDGVGTKLMIA QTMTKHDTVGIDLVAMNVNDLLANGAEPLMFLDYYGCSKLNTANAVAFVKGVAAGCRL SHGALVGGETAEMPGMYQQEDYDAAGCSVGIMLKENRLPKKDSMVEEDIILGLASDGV HSNGFSLVRRIIERARISYHDPAPWNKETSTGLSLLTPTRIYVAAVLPLIKKRLILGL AHITGGGLTENIPRALPEHLAAEIDVSTWQVPPVFKYLKENGNVSGVEMAKTFNNGVG MVAVVSKDNAQQVVSELEAAGEKVYTIGKLVPRSAEGCILKNLQTWD LY89DRAFT_731066 MSRRPQTCVDCAKRKIRCNKVIPCDVCEKRGTAHTCRRADVTDT INKRTRKSTSPGFSSSPAPRGSTVEPTINERLVSLVTALSGRVQRLEAKIDGTQATQA TQAWGPSDSEQLIALMDQHVAKRARLHLAETIQTPSALHENVRAEEDDSGSGQNASDA EVEDAATVLEFLAWGRLKDSNLTSGIREPPNIHESSAIRTDADVIQGVQAWGTSPSSI PGGSVAMENLHISQIQELLPTKMQVFLLFEYHTDWLLFMHCVFHVPTLRKELDQFYDD DQGVINMTSSGLQWTALLFAIMCGSMACVKPHFVRNWGFHEEEQSALARRWYQASIEC LNAARYQQYHNMYSVQTIASSTISAHVLGFSNSQSVLLASAEASSTERTTSDIVYKEL GRRLWQQLAAQDWFSVPFSETYIINPLQFSTRPPKHCDEETMQSLPTSQPSMTTYGNF LFRVASLMPALQDRSSQANTLEAKYEQVLIFDKMMRELVMTQLPACLNSQTQIDPLWP SWVGVARRCLTITSAHKIIMIHRRFLGMSFRDHRYSFTRRTCLAAAKTIMNEMKQDVQ DESPILWTTQAFSVAAGIILCLDNFNRHQSAREYEEHRRLVNDAIAILSQSVSISSIA ARGTRLLSDLLAEQEKHTQSSNNASSHAERIEQQSTVDKAAKSSKKSLNVAAFVKKFC ESDAPTSSGSPIATSHMPLWLQQDSSSQSYPQSRSRTMNGDMFPSFSDIGPYTTSQSR PPQQSFDVLESTTRRQDPASAPFFQHLSDSFDFRSLNWFDDLMGLAPSNSI LY89DRAFT_641093 MSVDARVYYHTTANGSHIFIEECGNGPLMVLMHGLGGTTNAFQP LVNHFASRFTMLRFDLPGSGFSTFKTPPSIPRFVEDLKSILKSRGTDEEPILIGHSLG SILAMHYATQYPVKGLILIGAGRSAVHIPAAVAHMTGLAVKAREGIPGIRDSTVANNV APSSSDLVRTVVRQMISSQDPLGYAATCEALCAKSHVDPDYSKIKCPTMLIAGDQDKI SPLSRSEDLQKLIGGEDNKVALKVVHSGHQHVLENTEGVVEAIESLAGSLSR LY89DRAFT_461846 MPSVVKDIKVTPMDHGLKSNVDFVATVHGVDLNNLTDSDFQVIH EALHKHKLLVFKEQPAMLHPQKQYDLTRRFDPDETTGGFAHGVDPYLTSHNGVDIYGL PNRPAIPVQPQVHILGRGAVPDDHYQFPPGFKVKGINHHYFHLPPHIPAEEREAGKSR FYQWHFDGSLYNIPPPRVGCLLAVRTPKGPDVRVQWDDGTGTEMTIAPGATAMVAGSR ALALLTPEQRDIAYNSSIEYAPHCFEWMSTAHSTRLGHTLETEGLEKPLDKLKPWSKD KICTYPMVWKNPVTGEDSLQIHGQGAFKLFLKSSPDGEETIIDDLGEVRAFMHKMMRP VLDPSNIYAHRHEEQDVILWYNRALWHSITEFPESYGPRIMHQCNIAASDHPVAAREV ST LY89DRAFT_611685 MGSLEAAVNRAIIYSDPPTSATQIVELPIEKPGPGQVLVRLFYS GVCHTDLGFCSNSFGLPNPAPKGQVGGHEGVGEVVEQGPGVTTPAIGAKVGVKYAADA CLNCDNCLVGGETSCPSVKVSGYYTPGTFQQYVVSSATYLTPIPDGIDLAGAGPLMCG GITVYTALKRAGTRFGDWVLVSGAGGGLGHLAIQYAKAIGAQVIALDHGSKKSFCEEL GADAFVDFTKFETDEELKEEVWKISKSGVKTVLCCVSGNRAYEQSMDFLGFRGTLVCL GVPPAGGLGASLASRVNAMIMSELTIFAIKSGNRQDAKECLDIAARGLVKTHYKLRGM DELTAIFHEMEEGTISGRVVIDLR LY89DRAFT_461994 MPILMPDRRSRDLLASIAFQRYSVVGVFSLLVPVVGRVVRKSFL SGAKEVLSF LY89DRAFT_580200 MEFINSILECLGASSHEATLRVTSNAHTRLEQPPYKNDPAEEEL AARILNTLFTSEKSGDALAFQLQSHFSTSSWTESLARRILDGIVAALASGQVLVGAMQ ETYDKVQVVAEDFVKEHPILTEVILTVIAIGILALLVPWAVEALGFGELGPSADSFAA WWQSTFPDAEAGSLFSYLQRLGMKRGKA LY89DRAFT_580640 MVKTSVLNDALNAINNAEKSGKRQVLIRPSSKVIVKFLSVMQKH GYIGEFEEVDDHRSGKIVIQLNGRLNKTGVISPRYNVRLTDLEKWVVKLLPSRQFGYI VLTTSAGIMDHEEARRKHVAGKIIGFFY LY89DRAFT_461892 MSVLDALKGVLKLALMHDGLARGLREASKALDRRQAHMCVLNEA CEEEAYKKLVVALCSEHKIPLIKVPDGKQLGEWAGLCVLDREGNARKVVNCSCVVVKD WGEESQERSILLNYFQTEQ LY89DRAFT_611695 MPPKGKAGDKGGAAKGGKGKGKGEEKEDKGGKVKGAQQINVRHI LCEKHSKKEEALEKLKGGAKFDDVAREFSEDKARAGGALGWKKRGDLDLAFEEKAFEL EASTTAAPKYAEVKTGFGYHILMVEGRK LY89DRAFT_695475 MQALVAAEDPARTRPEKSAPTDSFHKLVGDLSRTLGPSSGLDSE DVDVQELQRFMQEYDSKESEWDKYSFSDLSRGYTRNLVDEGNGKSNLLVLVWTPGKGS PVHDHADAHCLMKVLKGSLKETRYNFPKNNNTPPEVIKETLYSSNQVTYMADELGLHK ISNPDPENVATPPNAAIHGCSIFNEATGKRSHVTQSNFYSIQGKKVESFQTMK LY89DRAFT_779721 MHASAPDLSPINEVTDTSLQESIQTSNRLSQVMDFEIQAGTEPL SLPNDMPTTPLDTKPSSNNTLITSSSTESTITPGMSEYEKSVVAKQSKEKAESEAWIK RFIEAQPNKRLLSCNDYEFQSKVADFRVRQALTMTVERDQAARAAAEVASGKKQKNQR GKGFRGWLRAAFA LY89DRAFT_731076 MPRDPAAIVAESTNLQTSRELEVGITHYVDEEAAGFAGTLKQRY ADFGVFEIDPNGKVVTLCDDEAPDCIADEEDNAENDPVITTKRPEPKKVTATSDSNGA AVVANDASVAFTMTPEANKFLTLHFGAEGVDNLIALYKAIIAKPDLRPNAHPRVTSLP VERDDRFEVHENLRRIFHSRFVSEHENGKIVVMAAHPNARRSQPQNQPRNNGQIKGKA GWAAKGGEFLHMTLYKENKDVNEVISFIARMINTKPKDFGWAGTKDRRAVTCQRVSVR RQTAERMRKINATLRNSQISNFKYEKQGLELGELEGNLFVIALRDCEFDVPAGLNEAA KLKFIKEFLAVRVKSLQENGFINYFGLQRFGTYGVGTDVVGLFILQGNFEAAVNAILT FKKDTMIVDSVFAVRDKVNRDDVARATSIYHFQKSGSAKYARENMPKKFSAELAVMNH LSNNPKDFLGALTKINRNTKTMYVHAYQSLVWNAVASERWARYGRKVVKGDLVLVDTQ AEKLARLDEVDENGEVVVHPAAHDTAVTHDDIYQRARALTAEEADSGKYTIFDVVLPT PGFDVNYPDNDIGDFYKEFMSSTRGGGLDPANMRRSQKDFSLSGSYRLLMGEVSKDMT VKTQIYHEANTQLVETDLEKLRKSRPQPKEENGTAVEPAHPAEKAITKTTQTPSPTNV RGRSPPSYHKTREEELAIARAKISSNSGAMMGWANLANTIGEKDKAAAEASQAERAKL RAEGLEGPSFKDTWREMQGESSTLTGNEVIEVRGEVKDTRATVAAPVTGDISQKTPAA VTVVDPPSPSTPTASQPDPSTPEKTVTAASSTPEQNGKRGVEEISKSAPSSPRSYDGL PEHTRIAVILTFSLGPSQYATMALRELMKSSGVRTFQPDYFTGRR LY89DRAFT_682666 MLTQFRRGEEIDGPELNMTQYSVVETKEEQEMHYLMPRRGPFRL LRGYKLKSRYAPVAGYRYDGLYEQHQFGLKTDSIKNCYRYTVILKRLDYQPPLATLLN TPKPHQLDQWAVYLQWIAREMKKEGSQIKYATWRLAEEQQMREKDEWLVEQNAASTAA ARGFRKYVTDPRKAGYKQS LY89DRAFT_611702 MDSVEPEQTPFAAVSAHTSRLQRQYQAWLDKSTPYVPYRWLGTI FLLMIFFTRIFVAQGWYIVAYSLGIYLLNLFLAFLQPKFDPSNEAIDNDMEDGSAGGL PTKQDEEFRPFIRRLPEFKFWYSATRAIAIGFVCTWFGIFDVPVFWPVLVVYWLILFA LTMRRQIQHMIKYRYVPFSFGKAKYTKNSS LY89DRAFT_705721 MITFRTMRPIASQFRASSSALPTIARSYSAWSVKSYEYIQTTEP RPGVGQITLNRPKALNALSTPLIDELNHALQAFDSSPSISAILLTGSQKAFAAGADIK EMSTLTFSEAYTNSFIESWSLLTTTIKKPIIAAVSGHALGGGCELALMCDILYCTENA NFGQPEIKLGTIPGAGGSQRLTKAIGKARAMELILTGKNFTGKEAGEWGVAARTFASW EELIEGALKTAETIAGYSRVAVMAGKEVVNKSQDLGVRDGVEYERRVFHSLFGSEDQK IGMKAFAEKKKAEWVHK LY89DRAFT_705722 MHLHRQQHECTSAGRVTAQLLLSFLALTPTVVASAKDAPAVWME QEDGRTEEVDRPLVVRDSSMHMHRLKDRRPSVVDPRVAAAREYTQVWDSPEDEWTLDN ISGPDITDVGTVLTFAQMAANAYVDTPDTGDWKEPGGGFNRTDDNGFGWESDGLRGYL YADETNSTIVIGVKGTTLAIWDGDGTTTNDKENDNLFFSCCCGQQGSTFYRQVCDCAS GTYTCNLTCLRKSLTKENRYYAAGRYLYQNVTAMYPNSQVWLTGHSLGGAVSALIGLT YGSPAITFQAVPDALPANRLGLPVPPGVDPDRPGQREFTGGFHFGQNADPIFMGTCNG ATATCSYAGYALESACHSGRECVYDTVNANGTRVSIITHKIIWVIENVIKKWTVAPEC KFTPECYDCPLWKEVTGNSSKTATSPPSTSSKTKTRTRTATCQTPGWWGCLDETTTTG STSTSSVTTTSTSTCKTPGWFGCNDEKTTATSKSSSTIPTATSTSTSSTSTSTCKTPG WFGCNDPTSKSSPSSSASSSSTATCETPGRIWGCNDQTTTTTATQSHAITSPPAFPTP TSGVPDDVEPSHCVKRSWFGWCKEWSVPFVWKEDI LY89DRAFT_682670 MASPDKKKSDAQRPRPLHLSKSFSRVETPPSPDPITRGSRASTI QNGVTPESIMADKTNVVVHNGKSKRQPDAFEKTSEEDEDNETADEGHGKLPDDFDELP IELVSLSDSFIDSLSAKVHPTPPSIEKLSALFQDFYTVAATHINTHISALSSRQHRES SPVPSVTSRLRAKAASIGNKDRPKIPPERRDSEQMLTVEEIAERKRARKVLERKRVAL EEAVERRVTEGIYDRIWRHRSTQDEAQDEKLRSKTAALSVVGIGLTDLGIDLGQNQDA DANEGKEKEIRAWLEDAREELIAMNDKKYPLGKLQHLKAAHRNIVETLSHFHPSSSAD EIMPMLIYTLITSRPEGINVISNLYFIQRFRNEAKREGEAAYCLTNLEAAITFLETVD LASLREDEVPSGPPKPVTPRAEKFDSMEVGISPPSGASAAEVTSENASPTISKAESSP SSTLRPQLQVHNRRLSDMFQSPAVLNAASDAVMNTADQSFKSIGNSLGDSYKFLLGKL KERQDETTGHKPEMVVPKTLDDARKLVSTPPPEDAESESGASSLHTPDNSENRKRADS GAKVDDKVLSMISGRKIVRDRSTDSIRSSGSGGKKVAFAEEGEKAPSPLHNAAPAPSP SGTPALVDSMRNLGNSLNPMARISGMGMMRGFGRTTPTSPVPPPPAPSKGIPDGGVAE LTSAFPELAPSLPPKEIPKIAPPIKRFMELQNPGDMKLNEVLELLRDYRRLAGALKDM GAV LY89DRAFT_779728 MESQNDIVLNDVSNFLGNNQDGDDQTLGEDLTGLNEFSLPQADG GKDAWLFLAAGFMAEFMVWGLPFSFGVFQEYYTTHEPFSSQSSGIAVIGTTATGVMYM IGLIIFPAYKQWPHLASISKWAGIPLMAAGLIGASFAQSVNHLIVTQGVLYALGGCII YYPILMYIDEWFVQRKGLAYGIMWAGTGFGGLTIPFILNALLSKYGFRTTLRIWALAL LVLALPLVHFLRPRLPISPSSQSPRYGLSFLKSSSFWLLQLGLVIESLGYFIPSIYLP LFARSLGLSPSIGTLLIALLNAAGVVATILFGMLVDRFHVTTVILLSSLGTTISVFLL WGLSTALPLLVVFSIVYGFFAGGFVSTNAGVIKLVKQGDGSADVGILLGIISAARGVG AIASGPLSDAVLRGRPWAGEAGGAYGSGYGGLIVFTGVSAAVGGVGFLGRRLGWV LY89DRAFT_666682 MSQSTTSADNNPSRVENVSPEPDRSTRSGTPLSPLSEELFGDIL DTEPGVNYILGPDSWDELEEDECSPLVALTRLKASRRTAQKIATVPSSDPPALQSTNS SPSSRFYSDPRSGRVRTAAPATKINSDKSSSAKTGLSHGGGKISSNKVLQGAKLFLSF PLFCSRDQVMQNRGGYTGVAVDLIKDFERHVNNVRAPIHLAEPIFTCLSQARHIFEVT MDTITRLEVGDGTGKKKILKELVLARYFMPSAEHEDKYVEIHAKDVGPTNPALAYVGA TAKSIPRLTYTCACGKKSEELAHVSPRKITFLKNYRKGFTFTGQQAREVTGEWLVGGE SREWGMPLRDAKVIWTLEAQSDGL LY89DRAFT_666683 MASPIAALPGFPFFYAIPIDGQIREIPSDSQPQIWPEELIDFPE DYHYTLLQLNPPPYHSKPLPPRPKQSLPLALRMPELDETANLKNPSYLPIIVPDDDVI TPQTVRARTEPVISKSKTQRRKIRYPSLPIGRWAQPASGNDGHSKDINEYAKTIPQDS VIIHKRKLRFPSIANRPSWSSFRASSPSPLSASASASTSTFLGFTKATEMPPELEAIE TVSPLSPFMELDSTPISELSSENSSPQSSPSLPEEKSVSVFQVQFSSPQAPSELDTHV PSTPMLPNRSPYRSWYHDDDAGELSVLSVLSMPPLTEDNDDPPPPHSADCSPPPHEEA ASAPGSPSSFLDLDTDDSNNTSTRSSRSQIPKQPETKELGTDVKDPKDFEGDVKENVP DLASLPARPQSFLLMTEEEVSQAEIIEDIFIMLHDLQPNYLHPAHRPQPNVVRMSMMR RFATQIAHVEQVREKNEKEEKEKDEKDSNQEKK LY89DRAFT_552731 NKSSLTKHRDTYPFIDPFRFAKQLKGKVVLITQAHRGIGRASAV AFAQAGASVCCLGPSAEALEPLLREIKEKFNTPTLALTADLMQPSAATQVVDLVAKYR GPVDILVNITPASYLRPLAQESDIMKEWWPHHEASVRVPISLIQAVLPSMVERQSGII ISTILAVAHLQLPYLSQQGVSKAALLKFHHHLELEMRSKGIMSFAVDPGPIPSYVHDP ALP LY89DRAFT_705725 MSNALSMRLSPLASSSSVSPLKRKAMSVTQTYYLAHTARGKLSS EAARSDHNLRLLVGHANLLDSLMLELAEAEQEQESWFNQSVKKATKTTEEPKHIQWAD AVVEDPEEDWQAEDADSSDSESEYDSDDDMETETVSRVQSHSVISSAVEVEEEYEDDG EEDFEGLQLHLTQSHSANPPELDHDSDSSEDESMPPSPPSTSLPTFSEKQQIATTSYY SKPTASIPASEQQAFFDEGFYLPQRANPGLISAISVY LY89DRAFT_695483 MSSYTPLQDKTENDSQQGEDYDHLLPQEESLLRKSPRRIPTWIL VVLLPVVSLLFMGFGAWIGSHWLVDFNARCLEHVQHWSPIQRDVDNSYHTVLFNGSFM KQNIFRQEAGPEVDAAWESMGINYRSITIPLSDAEKTGLSPSQVQINPRYGGGYPANV EGLHHLHCLNLVRQSLYYNIDYYRAQGTGAFVNEEPILRHHVSHCLDIVRQQLMCQPD TGMLGQVWWDKEAPKAFVDFNTRHQCKDFEGIRRWAEERQIGEVVPGDFLMPPRRRED VLDSIP LY89DRAFT_682678 MRFSQFAILAVASAVTVAAAPAPADYGNYGAYGAYGQYQNYPQS TTTTSTSSTASATPTGYGSYGSYSAYKEKREALPEAAPEPEPAAAGYGNYGSYGKYGS YGSYPQSSKSSATPSPTPTGYGSYASYGSYKREGEAEVVA LY89DRAFT_462796 MCSKMIDIHNDKDRDILYPAHFAKLVGTDWMESKSFRCCFTDSA SPKNNLILLIKHTHQTKVAFHFPHLRTHRSSTTVSQQIAALRLSKPQPRSQRSPVITS ESPLSHQGFSKTDRQILSWLVPNLPSPHAASLLGLVGLDIATAASTERSYERTSSSSL AF LY89DRAFT_462759 MKIEPFRINVPQTEIDALRTRLSSTRWAPELDNQDWSYGVNGTY LRELVDYWQNKFDWRAQEAQINSFPQFRTEIDGVPIHFIHVRGKGPNPVPIILNHGWP WSFWDFKDVIMALADPAAHGGNPEDAFDVVVPSLPGFTFSSPVPRNGVGYVETADIWV KLMRALGYERFVTHGGDAGGFVSSRLGHAYPESIMGVHLSFPILPGVPHEEAGDPADL TPEEQALIKGQDRGPGAFIHVMINAFDPQTLAWAMHDSPVGLAAWILLRRRAWSDCHR DVETKFDKDTLLTHLSLYWFTNSFVGTELFCRASVFPQPMALVNDIKPEISVPTAVAV MPKDLVYKPRSVVAAHSDLRQWTVFPSGGHFAAAEEPELMVKDIRSFVRPLRQG LY89DRAFT_682681 MLPSDKCDDDACVAPGFRTRSTGAASATTIAFSTIPFVITFLVV SIIALQKLFPVVASLQSSKYEEHYLPSDAPPSLRQKHAEHNAKSGRRRVVGITFSATI ALAAVLVELILCELSNTLNPAARTFALKVVVPTLLFSLVILIPFLELQSIVSGSGWSF KRHNGRIPKMTWALQACGFTTWLLGFWWLGKGVPGTYIHTMASQPGKDLNEACLERVG IIGISLMALLSGFAAVSAPWQTFGAKQKPVTEADINRKQAGLDATNDMLAAKRSRLRA LQRKVQDTPTEGFMTKVIGTIRGSADTQELKALELEIAGLESMSVSLASSLSLLQTRF ASSQRASSPLGKIFLTPFAYAFALYCVYRIITVSLTILRQVLFPSPDTAFTSSTTDPI NRILSLLAKHVDPTLDQLAWSRQISFLLSGIILLASFNSVLQTFHMLTKLSPSLLYQA QANLALIIAQISATYVISSALLLRSNLPTEMKSVVSEALGSPLEPGFVERWFDGWFLI ASLGTAAGIFIWKRIAGPGDWDDWDFDGDIELGQKRS LY89DRAFT_666691 MATVTLDKRSCDGKANQPFSANRAQFHAGGLDFATPLDIPTVTI PKSDHDNLLSSARQYANLRRNLYRGGIAEETLAILIKDDSPANENGNKPTTMDESVEN RSFFAQQSTAMNSKPETQDYTFGRNANYTPRSDFRPRERNSNGFHTHSQTNDDFAFMP DGPDGFYDDGSGHNDSQQRQQRQQYDKFAKRTVLLANLPESTTHLDLVEAIKGGMLLD LYLRSHDRTASVSFLEETAAQEFFSHVKRHDLYIKGKRVEIRWNDRQFILPGHVANKV SIGATRNLVIYNCSPKHTEDKIREDLEHIHNLVVIKVSFAGQNVYISTNSVHNAMFAR TCMMSRSIYKGSKIEWDNDECAAPLERPLQSRKENIPQKKKAAPLMNRFHLLNMDDDD NDSLDEDDDENDISGVTLPSALKASPTSIAA LY89DRAFT_462857 MQFSSLLGALALASCGVVAQNVTYCPSGNVCYAVNVPATTASSG SGDIYFQITGPSTLSWIGLGQGTSMTGANIFMIYANSAGDNVTLSPRLGSGNRQPESD TTTDVTLLGGSGISNGVMTANVRCSNCNTWTGGSMSLTDTNSNWIWAYKTGSVISSDD VSANLAQHSNYGETTFNLQNAGGGSSSNPFLATTAMSTSSGNNSGSSSSGSSSVGGDS MPADYANVRMAHAILAPIAFVLFFPIGAMAIRLLSFPGLVWVHAGWMTFTYIIVLSSM GMGVWMAVVSKQLDQYHSIIGLVVVGCLLLQPISGFTHHLLYKREGRPNAATYPHIFW GRAVITLGIINGGLGLQLSGNTVKGEIAYGVVAAVMWLLWMIVIVLAFIRSRNKPEGE TGEAVFEKPNDSTERMRISQSVHQQDSPLRLSFSGDNIGLAPKPTYG LY89DRAFT_462933 MSAFQNGGAPSRAMDEDSDIEEEALAADYREQVQYEGMEELEQV SSMSMAQQTDDIQSRLAAAAQPLDFSAPLEVKFASYDNYCSLFHFILNSDGPVDLEPP SYYWAWDVIDEFIYQFNSFCSYRNRVARQGNNEEEITILREAPNTWGCYSVLNVLYSL IQRSQINEQLAAMKRNEEPMAVAGDYGSKSLYRMLGYFSIIGLLRVHCLLGDFSLALK TLDDIELNKKAMFARVMAAHFTTYYYVGFSYMMMRRYADAIRMFSHILIYVSRTKNFQ KNAQYDSISKKNDQMYALIAICVAFHPTRLDDTIHTALREKYGDQLLKLQRGGPESLP IFEELFRSSCPKFISPTPPDFDNPELNVDPLEHHLAIFMDEVKTNMWSPTVKSYLRLY TTMDLKKLAGFLEVEPEKLRGWLLVNKQRSRQIRWTDNGLLDGEIVNSNDLDYAMQGV RIAPSSDITC LY89DRAFT_462934 MKCFTKAAQAWLCLSAVPPPPSLVHQKWISGFHMTKHRAIQRIK VGEEVVWVFAFIFDLSMYLRTLYSTEAIVSICPHHGVRRPLFPIRHTMQRPQNPRLQG KWSVVI LY89DRAFT_462912 MSSDSSTSPTSPGRPVASETSSPPISPLTLPGTNDSSTSFTSAR LDPIIEHVKADHVQRNPSLRYRVSSSSSISFKSNGAHKPTGSTKAKPPSRVRERSPPA ESRFQNHVSFDNFAGGEPTEKNTISFTLNVKHKGYQYKRRSRTFMVGIDENDYSDIAL TWMLDELVDDGDEVICIRVVDKDSKNVSDRNVERRQYQKDAKNLMARIQERSDVNKAI SIVLEYAVGKTQITFGKMIQLYEPAMLIVGTRGRSLGGIQGLINQRNSFSKWCLQYSP IPVVVVRPTEKRLKKKEKREQDPTRQDYARILKESGIEEHETEIGSRSNSIFEATNEP DVEAHEVAAALGLPAHFDPTLKPHNPDSERNSRKLSKVDSERNQATNSSLSPDSRPSS PSAVKDSPKIQIESSTLSGEESSEGEEGEEDEEEEEGDFDVVPGHTLLGDEDEIFEIE RKKKLHAMEVGEAAALARSSRKISVGSADSSGSIPGGAPLDDDADGDADDDMR LY89DRAFT_682685 MTPAVASGEQYFKIGDFVTFGWNYTSLEATPTAVNILASCSANS QVYTISMNQTLANNTGAVTWDTGNYQATAVQNPLLTETYTLIIYDAASSISATAQAGY LAVYDSYTFGMYTPQPYTPLADFQCATCNGALGDMEKKALGMMFGMCCLTVLSFTWFV SGTGIIW LY89DRAFT_611740 MSTKQKSRWADDEEDAVLEAQRKKEKEEKKRAKAEKQRRADEAA ALRAAELQGEAAAVADSSNNNTELSSRPAKRRRISPEIEGVKVELPPAKLLRFPSPEW KKCRSVEDYEKLNDIEEGAYGWVSRAKDSRTGNVVALKRLKMDNANDGIPVTGLREIQ TLMDCEHPNIVALQEVVVGEDTSKIENIFLVLDFLEHDLKTLLEDMSEPFLTSEIKTL LHQLTSGVSYLHQNWILHRDLKTSNLLLNNRGVLKIADFGMARYFGDPPPKMTQLVVT LWYRAPELLLGAERYGTPVDMWSIGCIFGELLTKEPLLQGKNEVDELSKIFELCGIPT EETWPGFKRLPNARSLRLPQNPVSQGSVLRAKFPFLTKAGSQLLIDLLALNPGKRPSA QEVLEHAFFREEPRMKSAEMFPTFPSKAGQEKRRRRGTPNAPMRGNVPEIKATDFSGI FAGREDEEKGGGFSLKLI LY89DRAFT_641156 MRSIVCKQARTALRSAPRWNYRAPCTAVRWSSSEERQWSTPLAK QLSEAITATGPIPLASFMRMCLTSDLGGYYMSSQEGRDQFGQKGDFVTSPEISQIFGE LIGIWFVAEWMAQGRKTKGVELVEIGPGRGTLMDDMLRTIRNFKPMASSIEAVYLVEA SPSLREAQKQLLCGDSPMTETSIGHQSISKHANLPIIWTENIKFVPSVQDKSPFIVAH EFFDALPIHAFQSIAPSDSSQESIQTPTGQHELSPSARQPKGPQWREMVVSPTPLSST HTTLNTPKSQQSSNPPPDFQLTLSKASTPHSLYLPEISPRYRALKSTPDSLIEISPES HAYAQEFAKRIGGSKEEPKQTPSGAAIILDYGPAGTIPTNSLRGIREHKRVSPLSWPG LVDLSTDVDFTALAEAALGASPGVEVHGAVEQGAFLQAMGIKERAEMLMEGLKGEEEK TRIEGAWKRLVDRGGSGMGKVYKAMAIVPESGGRRRPVGFGGDVDA LY89DRAFT_463246 MSEKQVHDISAVDHVDDTLEKPEAVQTKIVMGDEGFNQAMLKEP PSPFNVIALQLYMISVIGFCCSTSNGFDSSLFGSLLANKSFLNFFAVGSVGLKAGIVS SMNQIGAVVSLPFVGPAIDTFGRKAGMFIGGSVIILGVIIQGTCIHTHSVGQFMAGRF FMGMGINLISAAGPVYVVEVSHPAYRGIVTALYNVFWPVGALVASCACRGTITWGNNA SWILPIWLQMMFPGVVWVFAYFLPESPRWNYTNGKADKAKAFLTKYHGNGNPESEWVK LQMWEYEAYLELEGADKRWWDYRALFRDRASVYRLMSNCLVSLFGQWAGNGVVSYFLA GVLDTAGVKDPVIQTDLFTAMNAVQVIFAVLGSTLVDKVGRRPLLIWVNVGCAVCWIG VSTASGIQASRGDKASSAATVAMIYIFQVVYSVGWTPLQALYPVEVLSFEMRAKGMAF SGLFVNIGTLVNQFGFPVALANIKWKTYLVFMVWCAIQAIIIYFLIPETKNRTLEELD EIFKAKNPMKASIAKKKVNLDADANIIGVEDLDGSDVKA LY89DRAFT_682688 MTDNFVAPGQQRNLRACMVCSIVMTQNRFLKEGCPNCEEFLHLE GSMDAIVDCTSQVFEGLISLADPSRSWVAKWQRLDGYVKGVYATKVSGQLPDEVVAVM EDEARIKYIPRDGSATEAD LY89DRAFT_682689 MPKAEAGSTKYLNNKLKSKGLQRLRWYCQVCEKQCRDENGFKMH SQSESHVRQMLLVGANASKFINDFSGQFQRDFLQLLRTSHGEKQVHLNHFYQEYISNK EHVHMNSTKWPSLTEFAKFLGREGICRVEENDKGLHVAWIDDSPEALRRQDAIRKKER QDKGDEEREQMLIREQIRKAQLEAEAKGEGEPEDEERELKRAEGEKIKLSFGAKPTAS KPEESKQSTGSPPLAATDSLESKEGGNSSEKEGDLSKAPAPGKVSLKMGISSKPKNVF AAAKKNALGGKKSLAIEQPKKMSEAERIMREEIERNSKRGASGFGGPSQKRQKF LY89DRAFT_716349 MLRTLRRSERNIEKGPLSLCILSIRFSFKRSQYIKVKVTTSKMH FTFFSLLSTCFLLLLQLTATRASSPTSINNKTPTPTYPFTLAAFYSPYPPGSNATGSY GVSGICVRAFGGSFWVNSQNQKPATSCGDLRGKACPAGNETVLFVDAFGQAWLDSAEP QQVYLDTRTGVLSYVTSVSSPANTMSSGALVLNFLHLGQGTTVAVPIPGEPGYYNTGP GSFNWGGSDNSYWFLCPRPGPGYQVMKYVGGNENWNLCLSGIQLVALDWAGSGPAAGE YL LY89DRAFT_641170 MSPNGQTNGVVVRGGRTEGGAEKVQLLKRRNDSEGGWIVQKFGG TSVGKFAKNIAEDIVRASLKNNKIAVVCSARSTGKKVEGTTSRLLEIFGVLEEVNAIK DTESVHYESLVNEYERLVYVIREDHISAAKSSIQDNTIQANLIKEIENECNELIDYRA AAERWHLEINGRSKDRIVSFGEKLSCRFVAALLEDRGVESEFVDLSDVIHFKALKGLD MEFYRLLAAELREKILACEKRVPVVTGYFGAVPGGLMDGEIGRGYTDLCAALIAVGMK AEELQVWKEVDGIFTADPTKVPTARLLPTITPSEAAELTFYGSEVIHHLTMDQVIHAT PPIQIRIKNVKNPVGSGTIVLPDAKSNTTHLSHSRSSSSFSSLTRKTPKRPTAVTIKD KISVINVHSNKRSISHGFFAKVFSILDRKQLSVDLISTSEVHVSMAIHSANLSDETLA KVKEELEECGEVSILSKMAILSLVGAEMKNMIGIAGRMFSTLGEHNVNIEMISQGASE INISCVIDAYEATRAMNILHTNLFTFLE LY89DRAFT_666703 MDQASIDTRPPKPRSRSNTGASNKSRKRPLSRASTTSVHSGATQ APLEQQLVDPAAEFHWYDQNAHAHQRRFESLNHQMTPEETIIHSASQLQNPRDYDIDP ALGGVPHHPMSYGPESQYKMENGRQSMPTEVYGANYGEVDSQVMERSDEQDDVDSVAG ASAPTKKASKSSAANELEMRQLFQANKHRSLPEIATELHGNERGPQSERQRQVFAMLW YVCSNGKGSVPRGRVYANYVSRCATERVTVLNPASFGKLVRVLFPGLKTRRLGVRGES KYHYVNFSLKDDQPELNTAQKQQPVPSFNQTDSFATTFNNSMMSQAQYPVDRAAFPSP EIPQMPEPKAQRLEGFVRPHSLYHQPELHSIPQLESTTSKMAQRLRFAPLSEPASEYE SLSLPNIEPHVPTGTDRDSATALSALYRSHCTSLVDALRFCKEKTFFHLFTSFHGTLT MPVQKLFANPSIAPWIEECDFLMYQKMMRVVAPLTLQVAPKPVLDTLKNISERLVSHI QSSFHGHPNHVIQAKIAPATLFAGLLDRELRVNLTAHAAANMLSNPANRDQMYEDWIT QVRTRKIAECVPTRGMDDVVNLLLTELRDLLDPVGVPWELEGLTPYGEMALRTGRQQQ ASIHTDSSTENVLDRWVNFLLSLPGKFPYATHAEIVWCVQSVGTAVMRDITIAQGKSF GAWWVTKCWIDEMIAFMAEQGGFMEYKTSQSVRTEHGQQNAPSRATSHHESRYSSGSD EFLQENGHLDGSTVVPRPMDLTSQAAINAAAGHDDSGISMPTPEDDFSTMEKYQFRQS SSHPAAMTNDTNHGLSLASLV LY89DRAFT_580751 MSSIQVQAQNHISAIDKELSKYETLNNLERQTGFPKVYGFLGLI AFYFFFIFFNIGGQLLTNLAGFVIPGYYSLEALFSVGKSDDTQWLTYWVVFAFFTVFE SAVNAVYWFPFYFTFKFILVLWLALPQTGGAQIVFRSFIQPVFARYFSGPGASAANLR SKVDEKTL LY89DRAFT_611764 MPLPKLSPLQSRLAASLIASAMLVILYFAISWPHFAYAAGVDSI RPEDHNHERLLERPYLDLDHEELDLRGVSYEAEFIGFDRGIIGRATTDPTALINNVAV TTNIPQGQVMSYMFTNASLWANKSPATSGLPSPIQLQGRSFIPYGDDLDTSEEDEDED EEDEDEQDPELRLRPRQSTTASQRTLYITVTTCTQPSSNTTTELPPQLQLYVSQSQNN TNPGPSQDSGSQTMMELVNGYALYEMNATGDVFMGIYAKNDSTLSGTYNAQIAASIDA PYHYYWNSSDPNLFTVDSDSNAALLFTDPLISNSSNTTLYEEWMDIIPPFQIFASDTD STSIMGLQNSYCGLQTNAQIVASRAGQTSTQIVTGMTDIGSGTLPKQQFYVTGLSAGK SYNVALAMNGNSTASGDGVVGGGGQVFHMTSFSTLQSDNCAVIYGLSFCDQVAYSVPA NPNNFPNMSSLAAYYDNATLYNYGFFEKARAQTPCDTTASAQYSLARNCSDCDAAYKQ WLCSVGIPRCTDFSSTLSWLQPRAQGQPFPNGTFLDPATLAYVNNSAALNGSRNASID QNVVPGPYKEVLPCEDLCYNLVQSCPAALGFECPQPGNIGFNESYGLKPIGGADINGR ITNLTCNFPGVVYYLSTGSQALPSHILAIASFLALGSLLI LY89DRAFT_731108 MSSTRYSQQPLQIYQDPVSSFDRAPMPSAQNPKPKKLSPLRPIK NAASRKNIVFNPPQSGPIKQSPFKSAHQTSSSPSRAPFGTKLNAISMPPPEGQSHNTD SMEKKQPLMSKFKPQKALFTDFTNSSSTDKENYPQSTYYQTPMPQYNEGLPRSNFSLE GLYPQKPPTKRLMDAAPIHESRPFKKTKNEEAAEAEENLPKELPAPDSFPPLHDDGSK PPHSYAQLIGMSILRAPNRRLTLAQIYKWISDTYSFYSATDAGWQNSIRHNLSLNKAF IKQERPKDDPGKGNYWAIVSGMEHQFIKDKTTRKPANSNENVPVLSHIMPLNHPEPSH FKPLVPAPPELPPPPPSSQPTYAVPEQQLPAIPEISSDATIPASDAFNSDPEPEEEIP MPSSPLIRSSPPTALMNSSPPVSRHGPKRHDTPPPVPRFPTSSTSRSRKRKYSAMDDS GYFSSLDSSAMRPHRLLTSDGPRIKRGRAEEEIARLRGSSYDSPTKSRAIFAPPSSSP LRIGLKVESSQMLPPLTPIVKLKPPVRPPPSASPNTNLRLHRDKMREMVGSPLRGMTC LETENVAPWSPAFQLDENSYMFHDFGHDFDIFADSTAGLLSVPGNGSPEKRSAKRPRL SKSNSTSILGDISNSTFNKSVTSTPLLKLTPKINTPKTTSPLKGFGLESPSKLLGLDS PTKFSGGALFAFDVFDYTEDFFNPDVLAENVGEGWDIMQGFQRIGSGNTGSQSKKSTP RPAQRPPMHNRSFTSRF LY89DRAFT_682698 MGWVERTNMAVAKSVVGRRFRLEYSGHRQERKGTRFLTEVRAGL ATFFAMAYIISVNAQITSASGGTCICESTGAFSNDTHCFNSSNPAYEPYTQCKQEIQR DLVTATAAISALTSFAMGLCANMPIALAPGMGLNAYFTYQVVGSNGSGTVPYRLALTA VFIEGLIFVGLSILGLRQWLARTIPTSLKIAAGAGIGLYLALIGLTYSAGIGAITGSS PDPLEIAGCPIGYIENGQCTSHKMRSPTMWVGIFCGGIFTAFLMMYRVKGAIIAGILL VSILSWPRGTSITNFPPGNAGDAAFDFFKQVIAFHPIQHTLGAQDWNITGENASQFAS ALITFLYVDILDCTGTMYSMARFCGAIDEDTQDFEGSAVAYIVDAMGITIGSLFGSPP VTAFIESGAGISEGGATGITACVTGLCFFISIFFAPIFASIPSWATGCTLILVGAMMA RACTDINWRYIGDSVPAFLTLAVMPFTYSIAYGLITGLITYIILNTGAWILLKVSGGR IVPYDYENKDYWTYKVRGGLLPGWVKRAARGKRDFWRDWDFDAEELTSRDSYAMAKKN VDRGSGLSTVSQAGIGQAVAPGMMDERGVVTGDTSWMQPQNYNHRYGSRSDVAIDPLD EDADRSSIGSAPRR LY89DRAFT_779755 MRGPPTPPLSPLLDGNPPAAQAPFSTNENIPALSSDQTSPTPPR SPTGAMDPLIEETLKDRARAELNVTLPNEGLASGSNLPPIHSQSKFDWLRRIWLLFIL D LY89DRAFT_682700 MSSSLPLQIAETIQTASIKRNPSPSHDLNPSTAASEKEPVKLSQ PSHAPASEASLDKYAYDDEDGIDASEEEDIPYSVLKPIPRRQSFGPLPDLRFEQSYLA SIAGADTTWKVLFITVRDQVVFPLVQGMVWSLALHGWRYWNKNAQLSGSSVGAKVRRW WYTTNNWKVPEGLSKAAGNQKLANEVGDYYKTQNTSD LY89DRAFT_580475 MASDSDSELSDVPSDVENDLQLTKKDGILKFFSKQSAAPKQAES PPRAKREPSPPHEYVLADNQDIAFIVMYRSRFTEVFPKSLINFGPQELERDVVDPVPG EGVEHFLCALLGLLLNRKQDVKAGHYGRALEDAIQLHKSQWPKEWEAKNPLSGGVTFT SMSPTERLTLLRALILWSLSSSDAVKALIASSYKQTRREDDLNQPLSVQPWGSDSDKR RYYLIEGLDDTHFRVYRESNYMGIKRTWWSVASDIDELKGLAEKLNKEDGGQKAKLLS TRITAAIPRFEATEDKRKRREYRQIRKHQFKRNDLGTSLYEGRTRGKRVRYNYSDEDP EGIYSDATATTTRRSTRNTGTHTPVESGPTITQSGRQVKSRQGGAYGETMLSSAHPPA GSYAGTSEEPENELEAGSRPRRAAANHGTNGYPKPKGGRHIEGYNDVDEMTSDDEGDA SEQDYGDDEEEDEQVSLASDGDDQDDRSEEDEEMEDAEPKKLIVKLMVKTPTPEKKTI IKLRVSPEKDRDPNAKGLFLNTNTKENVQPASSGESSEPASKMTGMAPNSPQPVKSPL AFRGSPEKPTTFMPAIDVGYRGS LY89DRAFT_695504 MGREKRGSIFTSHTRLRQGVAQFRTLDFAESKGYIRGVVKEIIH DPGRGAPLAKVVFRDPYRFKLHTELFIANEGMYTGQFIYAGKNAALTVGNVLPLASVP EGTVVSNVEEKPGDRGALGRTSGNYVTVIGHNPEDGKTRVKLPSGAKKVIKSSARGMI GIVAGGGRTDKPMLKASRAKHKFAVKRNSWPKTRGVAMNPVDHPHGGGNHQHIGKAST ISRYAAQGQKAGLIAARRTGLLRGTQKTKD LY89DRAFT_682702 MLPPNSVVYLGGVGDDKYAAILHDAVKTAGLRVEYRVDKTQPTG RCGVVITDHNRSMCTDLGAANHYDLDHLKSPEVWKLVEGATHYFVGGYHLTVCPPAIM ALAEEAAKNDKAFVFSLSAPFIPQFFKDPLDATAPYWDYVIGNETEALSYAENHGLET KDIKEIAKALASLPKKNTKRERVAIITQGTEPTIVSVGGEDVQEFKVHAIDSKLINDT TGAGDAFAAGFTAGLVAGESLAQCIDQGQWLAKLSIQELGPS LY89DRAFT_731115 MVSPVEERWKYAQKVETNLQKLLKNKEEYPSYNDIEHLIREFRI ACEAVIFLDFKHAVSVKVEQRLWDAHVLINSRYRTMLEGLRKPNKKQHSVEKRNVEKH YVDFIKTSQFFYKGYIQRLASHFSAMDGLRRIAHRLSLETLTADERVRVTPKLKELIE TSCHSSLLRLGDLSRYRNQVRVKDRSWEAAMGYYTLANDLCPDKGSAHNQMAVIALAD GNHLNAVYHLFRAIAVKEAHPLARGNLGIEFKKVISAWKHNRPHGKTDSLSTLISWFV LLQARFHEGQEFSLREELEQEVLSRLALLLREQSFPDVLEKIVIVSIAAQAFAGQKVS EQSQESSLDLKSYYFCLEFNVRMILVLLQVLSPELEQDLNGEELPKEATEPKATKPHG NITAVARRILPALRQYNTWLAGEAVILAHVFNPDVNLHAKEMWNMYAMVLTKLVNFFP AVGELPTVHYLLEEDEITVGFEPLRNPAVPPECDLFTDMDGVPKVRSTDPGVERYHPN IEMQARIRDILLTAATMESKDEFPLRLKALNGHHVFTYNADTTTLTSPRTMTSPQHPE GPASTFGSARTNGSSSKQKSSNNDYDADQEESTSAADSMNNAQRWVDDLTEPTSHASA YNETSYGMHSGTAQDVFASINPHGYSTRLQSTPKMLPSLTGLYNSAFAPQAHELQATS PNRPTSARQLSPLSLSTKENRLSAAATLEQMTGYSTFKTGSGYWGRNSSRPVSGSFQQ PVNEILQESLNQPYMSYSSAFADSSSMYGNTPQTYNRLNGGSLTGNSFPTGNGNSTIY GGASDFDTDLMLRSSLANGGQSGWTQNFQTPPGGQGG LY89DRAFT_580446 MAKRTREDFEPSSPESGTTEELGAPVRLVVHPTLLDTPAPAAKL LHLGGEAVQPTNKMKCSLPPHRGTITFASYEEYDVHYAKTHVNRCLECRKNFPTEHFL NLHIEENHDSLISVRRERGERTYSCFVEDCDRKCSTPQKRRMHLIDKHMFPKDYDFFV VNDGIDRRSSMLRTGKHRRRSSAAHHMTEIEDRTRRRNSTRDSTNATKEVEETKDDVD TEESPETSTIMTPPSSKGDADMDVLSGAMSSLTFVPPSVRFGRGNKGKAFSRS LY89DRAFT_682705 MAMEQRRPPEYIIEVYADPSSLKDVMRGILHTIFFHRFFPSIRP KTRDVLDLCLPFVEEPELETMIDQRTAALVRQLDTSSDMGMRSTGVRGLMAVQFFEKK RRKAWFTKGEEEICWEQWTLNITLATPRTESERAKVRKAMEAMLLKAAMRIVTTVNTH KDHIPPITTIDSNPFPYQIIINPKDNGWGARMGIF LY89DRAFT_641207 MIASTRRFLKRNRTPIAIGFGVVGAGYVATQYVLGKISSAREGM SRDRIARENLRRRFEQNQEDCTFTVLALLPTATDNIMAELETERITFELQQQKAAKLA RNGEAHLSEIGSAPPSVTDDDGRSMASLQSESGIHASQMGLPSASAAGEGLQDGGQQV SKAKKTKLQLWNELKISSITRSFTLIYTLALLTLLTRIQLNLLGRRSYLSSVVSLATG GMEQSTISLENNDDDNPDQAYGNDFETNRKYLTFSWWLLHRGWKEVMLKVEAAVKEVF GSLSIRDDISMQRFSELTLEVRKKVEGATEEERKEGKWLQYLLPPREQEAFVLKESGM EAEVTPTSLVSISSSSITPLRRLLDETSDLIDSPPFSHVLTLLLDAGYSTLVDQKIAQ QAYKVPPTSDIPDLNAPRVTEIVDVKPVKLPIVLAILTRQAHSIGNGVPNEYLQAMEQ VRDLEAFAAVVYSSNWESEISPMADEGSTVLVPKDETAEVVPAEAGQDSVIDVGTTTT FESAWGKAVETAEKGKVA LY89DRAFT_682707 MVVYSFYIFDRHTECIYSRLWARQPDRPISSGPPPSRPISTSST SSAPITLAPTNELNPPRRSTRLSAQDDAKLIFGTIFSLRNMVRKLGGPEDSFISYRTG QYKLHYYETPTSIKFVMLTDTQTLNMRNVLHQIYVNLYVEFVVKNPLSPVEHPGGEGV ANELFELALDQFVKGVL LY89DRAFT_731120 MSDQKLKPEAIAGGCEPVHAGDITQKEADLHILPSHGISLDVPG DTNSGRPPIIQHLSTPAYQSPLRHHRRTPSQHREVKETLNARSEYTNNEDDGKAEHHI NQYIIKDEIGRGSFGAVHLAVDQYGTEYAVKEFSKSRLRKRAQSNILRRPHGYRRPGH LAAGMGFNSPLHRHSASDIHDNEEAGNPLYLIKEEIAIMKKLNHPNLVSLIEVLDDPE EDSLYMVLEMCKKGVVMKVGLNEQSDPYSIEQCRHWFRDLILGIEYLHAQGVVHRDIK PDNLLLTEDDVLKIVDFGVSEMFEKASDMMTAKSAGSPAFLPPELCVTKHGHISGKAA DIWSMGVSLYCLRFGHIPFERTGVLELYECIKSDEPKIEADDEPEFCDLMRKLLEKDP AKRIKMSEVRKHPWVTKKGTDPLLSEEENIADLVEPPSEVEVNHAITAKMRNLIVLVR EAISTSESLIDRFSTNTSKMKAVQKFKSKLDTKRPAALSGVLGQGIRTLTTATMDGHS DHGLHRSKSADLEDRRPIETALAAEGVHHDLDPPDVNQLRPMPNRLDSTTTIIQSEHS SPTSTLKKQSTDDVSSSEPPVPGILRQESGERGHAHDPLDEQPLFLGIGAGGDDTLSP PSPDIVAESPTAAEFNIYDTAYQKEVERIRSAQGHQATVYLTRRVDKKKEYKADQNMI NAPNAEEVKESLPHQGFKGLLDKAREKSENGHEEAQDAIKERLSMMGMGSGSRFSDIA NRAMENTKVLGRQLHNRSEETLDGFFGKGAEKK LY89DRAFT_464132 MNSSAIIPVCRNVFRPNFPKPSRYLNHRHQSSLSQLEETIYKEL VECQRKNQRWDQLHAKHTSDLTQALVHVLPVRCRPPKPPIKFRERNPGALIPQGYHQV YFNSLSSPARLLPDGTDDQHCPGHGFVQRLWAGGSLTWNPVRKWMLESENYSVLQEDV ESVQVKGKEGEEKVFVTISRKAALHGAFGDRRNYAPDSPINLKTFQEGVRFDGGALGR LALEEKKILVFMRLKTKDQIKQSLETPARVIKPIHKPDYSLDVNLSAHLLFQFSAMTF NAHRIHLDRQYCREKEGHRNLLVHGPLTQILMLSVLRSQLDQEKKEMVLRFDYKNLAP LYADELMRICTRRHPDNPQKFDVWIEGKEGGYAVKATAEIGPELDHDPDPLLVAPKVL SRATRWKTILKRESGAPMVLSRSTRWKPILNRDSEPEKAPKFKDGKEHFSELLKVFQG KKSEETNVEPVPHSRPRRVRARRAVARERKNSRIAALFKDDDTTK LY89DRAFT_579899 MDSHPQFSNADVHEQTPIVSFLGPVSSYTHQVLTLAQYERPPWS IGLTDFDLAQAALSCFESDKYDYRPEITITDVFEAVQSGDAELGVVPFENSTNGAVIF TLELLADRYKNFPDITVCGEAYLDVRHCLLGKKCQRQSTDSPDVSGACTPTLSSPSPT KPRASPLHSLKHVKRLYSHPQAFGQCEIFLQAYLKGVERIDVSSTSRAAEMVKEDTTG TSAAIASNLAADIHDIDILAKGIEDREDNTTRFFILRKGVDPNAGVAPKSKSLISFTV DHKSPGALASVLHCFQIYGLNLTSINSRPTKVVPFQYIFFVEFEGSKLHDERGMVKRT LDALEDYVQSWRWLGSWDDKYAK LY89DRAFT_666719 MSDAQANEAEKNIEIWKVKKLIKRLEAARGNGTSMISLIIPPKD QVSRAAKMLAEEYGTASNIKSRVNRQSVLSAITSTQQRLKLYNKVPPNGLVVYCGEIL TSEGKERKVNIDFEPFKPINTSLYLCDNKFHTEALAELLESDQKFGFIIMDGNGALFG TLSGNTRDVVHKFSVDLPKKHGRGGQSALRFARLREEKRHNYVRKVAELAVQNFITAD KVNVAGIILAGSADFKNDLNQSDMFDNRLQTKVIKVVDVSYGGENGFNQAIELSAETL SNVKFIQEKKLIGKYFEEISLDSGRVCYGVEDTLKALELGAVEILIVFENLEITRWVL KDSNGTQTVLHTSKAQESSNREQFMDKETGQEQEVVSQDSFLEWIAEKYKDFGATLEF VSDRSSEGNQFVKGFGGIGGILRYKVNFEQLAEVDDDDDYYDD LY89DRAFT_682711 MLANRRTFAMPGVGIPDGINTDSEENVYAGCGDGVNVWDAEGRL LGVQILLLWMGG LY89DRAFT_772492 MKGYAKLGWLMGNRPETAILRCFSSLSVQKLLYLQAELTSLEQD LRRFAAEDDVSSYGNREDYSTNWRALKASVAENAEDGKSGQQWETMLAISEKLEEYQI ALLRHREMNAVPEPLQQDLEFLMNWMSRPDMGGVHLDGDDRLIWTEKEFQSDLLTLKP RMKEELFYHWISSSFINRFHTLLGYYFKATRPEEHLAGTVIYDDAHLRRLTRSIATVF ACMLPVLSIVVLYVVQAMSKRLGIVAAFTIIFSVSLVTMTSAEMGDIFAATAAYALVQ VVFIGTTGGNCSSVN LY89DRAFT_731124 MIEPHSRKRKPQVQEVLRDTPQGSGGFDESLKIPTYGPVQDISV LQDPGSGITTERTSFAYQGEAFKQPIRGPENGNLANGTDGLGKAPPKLSGAIEVLKDE HASNEFESSKLIEPTEQISSQDLEYRSDRTENNNHTLVEEKKLFFDLLSKVDKALREH QEPDKSDAIKFLQLFLSRDFSSWFREVECDPKQAKAVGFFLDCIANIINVSANIGKSE IAQPVESTSSKDFKLGDARVENSDLQRVEAKELLSDQLPNIGNTLTNVDKAEAAKAAT ITSEDVHPGEVGAKSNNPEQLEAKRLSFSQVLNTENVEQGVARDELVGSECSSAPVSE TNSATSEIQSSEQAVPVSALGSESALSDDTLRQDLSTENKDLQEEDPKLQLSDEIGEA MTSSIDAERNLRKLCEGTSKLMRASSEPLVLGGSFSHEQEAPSSVNIPAIGVSKEENM DLGESLVLNILDDLDPVPRAKSIPIFTEEDELHILVEYRNNGREAHGVPLKDTGSFTS WVSLEFLGRLGHADSILPPSTGDRYATLNGTDMLCKGTVTLNWRELDQNKHCEDVFRV AELARHDIVFGYDILKKYNYVTWNFGRDVKPACAIFPAKKESPEQRQMREEAQRQQAE RVQKEEEQKRRDELEKQNERRRKLQSGSSSGAAARSSGN LY89DRAFT_580057 MADPPSTEAEILKQPNDQEPLCDKTSSSSSSSAPPPEERKEEQP KLPKLSAADFRTYNSMAEHMEYFHNHFRQTWTLLHTACTTNTRPRNLSLRQFLQTGLQ FISHLETHHSIEEAHIFPVLARKMPEFKNKAELLRQHQEIHKGMESMGEYLERVRDGE CELELRVLKERMDGWGEVLWRHLDEEVKTLGAENMRRYWTVEEMRRMPM LY89DRAFT_666723 MSLKQEIETWVAALGHYDNNEFDEALKEFDGISDTSKILFNCGV IHATLGEHEKAVECYQRAVRLDQYLAVAYFQQGVSNFLVGDFEEALANFNDTLLYLRG NNMIDYAQLGLMFKLYSCEVLFNRGLCYIYLQQKDAGMQDFSFAAKEKVVEDHNVIDE AIREEAEGYTVFSIPVGVVYRPNQAKVKNLKTKDYLGKARLVAASDRANAFTGFAGSE IKNAGKLDVKDDRPPENLSFAATNLVKPGLSSKRQQSEPPIGRNVFPPTPPPEADKAQ APSQMSRGASVRNGPKPMPAKLNIEKARPNERYEVRDEIREEARSPPQRRGTARTASE PRGPPSRQYSQRPPPQRRRSEDEEEDAYPDELYDMYSGSSNSRSSRANGRKPPQPRYI EEEEEYASDYDDGSFDENDFEMIRGPPAGQRSRAPSTAGGRGQSRRPDIRKIRVKVHA EDVRYIMIGAAVEFPDLVDKIREKFALRKRFKIKVRDEDMPNGDMITMGDQDDLDMVI MAVKASAKRERLDMGKMEVWIQEV LY89DRAFT_464483 MRPVTSTAPVPRASNPPPIRRPLPSITLMDHLYLPSIWPVWSWR RKLGSLREGCTRLDETRLHLSQFRISPWISTSYTITDPQSSSTYPPLPHASSWSAMLN PEVGHFRHEEISLKCLPWRLEGFASYRTRCSHDARSIIKTGARPFSSQL LY89DRAFT_641233 MVITTPFQTLFAVPMTCESCVKDISGSLYKLSGIQKVEANLKDQ LVTIEGTAPPSAIVATIQATGRDAILRGTGTSNSAAVCILETHSTSVSDKVRGLARMV QVSSDLTLIDLTLKGLSPGTYWASIRETGDISNGAISTRGIWSDPKENSIKPRGVLGT VQVGKDGIGSVFLDKPVQIWEMIGRGMVVSKQVQEGKGKFERNDEDTLVGVIARSAGV WDNDKTVCSCSGKTLWEERKDEVQKGML LY89DRAFT_779773 MSQTNGLTKLAYSRTWHHISCTVPHPSLSPLRPSPSSPSSHPPP SLGRLASRIAILLMGKHKPIFDPSTDCGDYVVVTDCAQLYTTGKKRWQKLYYRHNTRP GSLKSVSMDELMGKLGGAEVLRKAVSGMLPKNRLRDDRLARLKAFEGEAHPYKDNIVK FRGVSNVVQWPREEAAVKEEVRL LY89DRAFT_464533 MPPGDLWYGVWYKEIQKILSIGGIVVQSGGNTAAQQSGISSIPA LWRDRKAAPIMVVGATDNFGVRTYFSNWGTPANFPGTGIDVYAPGLAITVDVANGGSA VKKGTSFATSFVAGLIAYFLNVDGHRAAIEESYANRQKADYSDYFNWQRVVTDYVTTW AYPRSTNPDPTVRMVYNGAIPKGSNAGFVCPAALNYPLVKRGGTNMAPNSCGPPIDPQ SSATNTAISSKSVAPTTLLTTTRKSSSSNPATTSHPPPTSTPRPALSKGSSLTPLPPK SSTSQASQISNKIFPTHPSSENSLLPTNPPPITSAHQPSLTLTHHASSSGTSSKIYPI TSKSPVPSTTKHQIAPPPPICGRDIQGRMVCH LY89DRAFT_682720 MTKFSTNCTLPPDIINYVTQPNTRGTVDILLTCLTTIVLCTWSI QHPNVPAYIQNRGWRDYVKRTLTQFKLMIFSIFAPEFLLLTAFGDLSCAIALTKQFGS VAEKDGVEWSLVHSFFINMGGFVILFSEETPKAPLPQVKPQIPAGSSGSYKLESQISK ATTSESILSATETNNEDDLENALPKSTAVAVATPKESKASKESKAPPKKPKQPPNKRV AHILSVADRRCKPKTDKNSLLVEEIIADLKQQFYSGDDINIIPADLADILYNLIRLRG THWALDGPQLLLARELGIVKKIPGITSDEIDDIAKGDLLAKILAIVHVTWQIVQLIMR KAESLPSSQFEVVTVAFSVCAFCTYLLYWNKPQNIAAPRFVKADRCPTKEEVLELARA GPAYVAGVFPGDDYSMPGHALHSDLKGARQKFEGGILGWAGGILGGMLFGLLHCAAWD YHFPTPVEGLLWRIAAVVTAAWPLIYGARALVVSPVENDGQSRKNGLRRKVLGYMGPV TRVLGFGIAFVYVLARLYLLVGTFRSLFFLLPATFKNS LY89DRAFT_682721 MADKVEKVKKRKRHIDDSSKPSKRVAIDEDKQISVSLLETGKWA PVLASTPGLAMPSEINLRAYTKMRRNAPSRVGKSGDIATKELILHSSDHSKLDYTARE EEVGGVDSLLKHYIGVYDPETGKMEVVEARKMIVRGSVRAHQATKEDDLSMNMRERRN ELGQTFGTKKARKAIASVTENAISPDKSLRMLANGQAPKLDGASAAIIASMAKATKGM STRDDLSKAADDAKPRPKANLDATDVADVYTVDSLIGEDTMMLIPVRLWQEAIRKNKE IVISSSYVANRIGRTKYDNIEKLKILRYMLLLIDVYNNCTIMRGQRKLPNPRTLREVI GDMPEAVLEGVKRNFTEGGLMSKYKSDLLITHLCAMACLVDNFEVDMWDLKEDLKLEM KPMAQYFNEIGARNGALGVAEKQRLGLDKAAAAQRKVAKLKLPLDFPKVGFGRRR LY89DRAFT_579670 VSKRHFRIYSVIYEKESLHEFQPLIYCEDLESTNGTYVDDVCIG KIGGQRVGHLLTNGEIIEIKHSTDNQLSWRFRFQQPDNTTVSQELADNQDLHHFDHRY SVSNRILGKGQYGAVYMATEVETSRQLACKIVDIQQALDGLEEHSSLAVGKAWHDRVE RAGEGLSKVMREIKILSKLSHPNIIDLKKTFCSNTHYYIFTELAPAGDLFSYIASHDD GMLDDLQSRVISLQIVLALQYMHEQGVVHRDIKPENVLITNLDFGARVVLTDFGFANY AHRVSGRLMSRVGTSGYIAPEIEAVEAANAGYTATADLWSLGISTMMMLTGCNVIPRE ELTQESQQEIADRVLGLHTENQKERWPGLSHRASDFLRNLLTRDPEKRMTADQARKHP WFTEPAEEAKALKEGYQKIIRFWRKRDEYENVLEDLPGRNITSRSDTSTPGPRFRRKI PDASSSPYFGLERHLQQKVVSKRKNILEEVNNSGGRFLTSDAHHKGPGVSMRTRRDKQ VTFESVDGRDIFGTSSSAVRDELEDVDLDEVSLIPTTPIPRVEKGYGFNLSDAVIPES PEAQSMTRPKRVRIASENEEERSMYEAAAKTIPKYSSAKVLKDEVTKRRLEKEMAGLR ARHS LY89DRAFT_580672 MKISSLLSVSSALAVANAQQSAYGQCGGTSWTGATTCVSGYYCL TQNEYYAQCIPASTTVSLSSSTKASSSTLSTVTTSKVSSVSTTNSAATSSSSAITSFA KTNGDVFTINGKTEYWMGTNSYWIGFLTNNADVDLVMSHLASTGLKVLRVWGFNDVTS TPSSGTVWFQSFVTGSSPVINTGTDGLQRLDYVVQSAEAHGISLIINFVNNWTDYGGM AAYCTYYGISPVTDWYTNAAAQAQYQTYIAAVVARYKTSTAVFAWELANEPRCSGCDT SVITTWIKTTSAYIKSLDSNHMVTIGDEGFGLTTGSDGSYPYTTGPGLNFTTNLAIST IDFGTYHLYPSSWGEVDSWGNGWITAHATAAAAIGKPVIMEEYGSLTHSDEASWQATV LSTQTAGDMYWQYGDDLSTGETSDDGYAIYYGSTEYATLVTAHAAAMLAKAV LY89DRAFT_695518 MTSSAQQRLEAIQKTIAPTSTSQAENPPFPYPVPNSTVSFWRTE LHPLDSHRSTPELPESCDVVVIGAGYTGVATVHHLLEGREERDAGRGIVVLEAREACS GATGRNGGHLKPDVYFNIPKYQKMYGPTMATTIAAFESSQIHAVKALVDKEKIDCDFT LTRAVDACLDQAHADKALAEFEALVESGEPSTRDVCCKVGKEAEALSGVKGAKVAFSF TAGHVWPYKMVMHLLQRAVDRGVNLQTNTPVLSISDTASKEGYYTLTTQRGPLLAKKI LFATNAYTSSLLPSYTEKIVPVRGICTRITCPPGTTPPYLPNSTSVRYGPGWYDYQIP RLDGSIVVGGARHKFMHDLKEWYNVTDDSRLIEPAVSHFENGLMQNTYSGWENSGAKL DRAWTGIMGYTSDLMPHIGAVPGKANQYICAGFNGHGMPLILLATKGVAKMIREDCSF EETGIPGAFKTTEERLKDKQNAILDSKPQ LY89DRAFT_779781 MADEKKGGDIAVQGSSVGDIEPGQVEVAEQENYGWNRGLSPRAV IMLSLGGGIGTGLWVGTGTALSAAGPAGCAIAYTLVALAIYIEFMSIGEMTCYKPVHG GYIRQTMEYVDQAAAFAMGMNFWFSWVMIIPAEVIACISVLKYWEAPRAFPMAAYISI FLVIAAIPNLFPVRFYGHVEIFMSILKVLAIVSCMCFMFIMASGGLAATHGPLVFHYW KSPAAFNNGLKGICKALLQAAFSCTSAGWVAMTAGEMRDPRRTVKRSIKPLFYRSFTF FVVNIWLIGMCIPYDDPDLSNSGTLASPFILAVRDGGSPIFAHILNGLVFVTVLSCGV TSYYVCSRAMTHMSDLGIIHSFFGRKDEAGRPWVALIVSGILGGGLTYLNLNSTAKQV YSWFSSLVGVASFCNWILIYLSHIRFRRGLKAQGIDYKTLPFYTMIAPYAQYFGIVLV ICFLAAQLYFAIFPFSGKPSAENFFSTYITVPLFIIDYFAYKWYFGTKIVPLKEMDFT PAEYFDKIDRDEAEAELANPSPKLTILDRIWDLRKSIIG LY89DRAFT_779782 MRTFLLLSSFLPLILTSSITPKKSVIDPDKPIYLGDVFWPPSMT FIAWLPSEQHDPTEWCYRATDTSNHKLFSLGGIDALQMHDYFNQNAYITREGKRYADC WITPESGRMGACEGVLDFDCEGGQKFTGPGTRRWSCWVVEGERNYTLQRVGRGVGEEM TSEVVMTPTAAILGSVHSSSIMGAAFTPGVTGRR LY89DRAFT_666733 MYSFHALQAAQRIVATSQASLAEAHASLLQVAVAQATIPAGADE FTRRQAAINVSAARLAVDTAQLAVDRAQSGVQVAVEAIGVAIQDAEPAPAPAEDEVIA GVEQVVPRRPSDYSYHDFQDLRPLIPRIWKAAGGIGTFDESDGNNVLHELFRSGWVIR RRDPGDSEGTFEDPPLGEPLEAYLKKNHW LY89DRAFT_682728 MPLLLRKATASDASALAQIYLSAFSVDAISLLVFPRNKSSFDFW HDSILEELDDPHAHLLCVYDSSSPDQKIVALAKWNGPDALIQTDLPEWPEGADQKIAN HFFGNLFGRHETIMRGRKHWYLELLATLPEYQGKGAAGQLLRWGIEKSDEDGTETYLE ASPDGLPIYQHFGFEEEDRLVVELDGKGEGPLSEKEFIEVFMVRPAKVKKA LY89DRAFT_464797 MSTYQLPHGGGFTNPNFPNPHGSGDARIIIYGYTPSLTLCILAI ILFTLAFLTHLLQTLRYRLWSFIPLTTACLLETIGYIFRVLSSQLDPYRITFFVVQYF LIVTAPVLISASIYVCLTRIISWADHHGLRVGNGKYWVLRRKVILWWFISVDVVTTIV QVTGAGLIGGKTSKQEDPTTANNILLAGLAIQSFAFLIFLLLLVVIIVTILKDGEMRE TINRKRSPFLGVLLVASVLVFLRTVFRLVETAEGIFGYLSTHEAFFGALEFAPVIVAV WLLAAWHPGRWPTRDVRRGVKA LY89DRAFT_579809 MNQQGPKSASEQTTNRACDHCRNHKVRCLPNPSGPSKICQRCAR TDRECVYTAPQKRKQRKRTDTRVAELEREVQAMRSLFDRKTNSESPGTAQTSNRSEAR NTGNGFHQVRTNNSAPSSNGPPSETPASWMEEYSEAYPREWSPPPFNLDSDVVDRGIV SMDDANRLFQSYNEDLVPHYPGVIFPAELTADELRKTKPTLFLSVIAAAAAKTDSHLY SILNSEVLSAYAHRTVIRGEKNMELVQAMIVTSIWYFPPGKFAQLKFYEYIHMATTMA LDIGLGKNPKSSRLRRGIGLESEGMTTEPLDEKEMEKRKTFLVCYLISTGVSMSMRRP NMLRWNSWIGDCLETLERNSQASKWDHLLAAWVRILKITEEVGATFAFDDCSNMADLS EPRVQLTMTGLQKVAEAWKRNLPCEVFDNDALRLQYYHTQLYIHEIALHDDHPPEDFQ PPFSITKVLSIHTDPRASNSYIDAISISIASAQAVVDILLNMDVEALRALPVYNYVRM SYALITLIKLYVSSKSPQSKIGAVLDPASLKVGIYLKSTIDKLIKAVGPRECRAPFTF LGMLMRLHGWYKSQEKEQYFSIPVVPKQEDECWLPPVPRIQWDPDAKKPLHGKDIPFG PKHPGWQPYSIPSEELENLDFGERPDVGDENQMSFDPEVLNNFQYESLGDVDQFLMIG SGHGYIPGDDNWYLTEDALPSASVNNPYPNPFGWVQNGSIHNSS LY89DRAFT_682730 MRLSRVLAVLQACQFVQHVRAVAATDTLMDGDSAQSGYLPNHNM DPAVVAGGSFGQIWAYTTPTGASEQFYAKPLVFTPSSTGRQVVLSFSEQNRIYSLDAA NGTLVATRDLGLEGEVPFQVSDLGQCNDISGTIGVTGTPVIDPTTDTIYFWAKSYLGT ATGYQNGAYRFHAIDAVTLKERPGFPTNIQGTPADNDNTRWFTGGTVLQRPSLNLVNG VVFAGFGGHCDQYNFTGWLVGMSASSGKLLTSYVTSGGAGAPKQDGTFNGGGGGSGIW MAGAGIASDQANRLFFVTGNAYKTEVNQNQPASGRLHLDTLSETVVNMAVNASTGVVT QQDYFEPYTYLAMDAGDRDLGSGGVILPDPGTFSGGGVSRLAITVGKNGVCYVMNADN LGGYKLGSGGTDAIVQTISMPGGGSVFNNAGAYPLEGGWLYITPVGYPTLVYSLGHTS SGLPAFTLVSQTPDTSAARVGTGPATITTLNGQAGTGILWVIDPDAGLRAYNAVPVNG VMTKINLPSSPSVSKYQRPAFGNGRYYISTSNGKILAYGSPVNTPLTCTSPVDFGSVP IGNTKTLNVTCTANIGITSFNGIVLGKSVFLASNSSLPKGALAKGASFNLPVVFDLTN HQLNAGSTSSPQVSPGVQTTSISLLTTNAVAGFATQQPITLTGMSISSAPFLAINPLM VDFDGVVVGSAAAVTGSDSTFIISNIGLSNMTILGLAYTTSSIASANASFYNLTETTN ANGTTVTTFDANGYFTSEDMPQVGTVIPGGGSITVDANFNSNVTGTYYSLLEVYSDGG NAYTIFTGSAATVPVALLEYSNGEGGWTTIPHCTTPSAGCTYEIDFSPSPGLTSQTIQ LRMSNNGGSALTVTKSKPLEGAVLGATNPDTDFYEGSSVVPGSYELASVVFAPGASVL NAGSLFYSGAWTLNTDDLTFGVHTLNFTGTVVSTQTGPMLSAGNARYKYLGCFQDYIN NVRLEPKEYVSAANNTNGLCQTEALAAGMIFAGTEYMQECWVGNIIPSASLQVEDNLC GYACAGDPTQVCGGLGGLISIYYDSTRYFPSNGTIVGASGLAPSIPKTLAGGWQYAGC YSDSATARVLVGKTSAGSTISLDTCATFCSAYSFFGVEYADECYCSNTLATSSVLHAD TDCSMNCAANQSEFCGAGSRLSLYYKNGTTIAAPSSTTSTNIALTGTATGSSTTNSPV ASSIPNSSFMTLGCYTDNTVTSRALVGAATASASMTLEFCAAYCANYKYFGVEYGDEC YCGNALATTTSPATDGRCSMSCASNSAEICGGSYGMNIYLVSTSNSTSSLTSTQSSAS VSVSTSLGLTSTSLSSSVATSSRATTSSSVSASPTPSIPATIGQFTYLHCHSDNVTTR TLQGKYIATADMTLENCASNCTGYAYFGVEYARECYCSNVLTYGSYIATDGRCSMKCA GNSLEYCGGSNGLTLYQNITVLGSSSSLSSSMPSSTSSLSSSVLSSVSTLSSSSSSSV LSSVTSSGLSSSTSLVSTSNSISNQQSSTSTPLSSTSMSLSSSVSSSTPVPSSISSSL LSSSSSTLLLSTSSSVLSSVTTTSSSSSLSSLTSSTQVSSTQTSTSLLSSSSSLLSSS SQLSSVSTTSTSVLLSTSSVSTSSSSSFMTVTTSSMLSSSTSQVQSSSSISSSNVPTV TPNPWISMGCANDSTASRALSGSSMTNTTGMTIEMCQAYCTSKNFPMAGVEYASQCYC GTTLGPNSATGFTGCSMACAGNKSEICGGSSRLSVYNNTAFVPPVIPKTVGSYQYTGC YTELSTGRAMGSLGLTNTTGMTVEMCIGVCGAKGTPLAALEYGSQCFCGSSISLSSSV VDDSQCTSMLCPGNSKEWCSAGSRLQMYSTGS LY89DRAFT_682731 MKTSAVLSLALLGLATASPVALPQDIDFDSYDSIPVAVDKSAPV NPVVAPAAVSYDPSAAITSAVSAATAAVDADLNKREIHLVEKRGSCNASPAGNAPAVS PDTDIAFLTNPDFATAANGATPPPGYFLAVGYQNLAASASSPSYLTYISDPLTSYDPA QCATACNAMTGCVSFNIFFERDPSIYVDSVNCPTASSVTRIKCSFFGAPITVADATNA GQYTGNFHVVIAGSNTYTTAPQPLPGFTGPVSVNNASIISPTAANTYMGVQSFVMTQP FDPSVCAAACTEKSAYNTRHAVAGVAPRLCMFFDAYILYKNGANGVFTCTYYTQAYDK SYATNFGQYNSAGDHFTIGHSYLYSVSS LY89DRAFT_641267 MRKFSSPSVPDHSRTTSAFSDNSSVGPGQRNPFATPPASVFGAT SAFQHGSDSSAGAQYFRSRRIRKDDVGHEPPKFKKDPKEKWLCIIPMLGLLTGVAITG VLIYLKIGRIVSHQYCPVLDDDFSTGTLNPSIWTMEQEVGGFGRCDRNGQFDQTTADA ENVFIKDGQLYLKPTLQDESLITANTVINLTATGLCTSDVWSSCVASTNITNGTIIPP AKSARLNTKIGATIKYGRVEVKAKIPKGDWLWPAIWMLPVTDTYGAWPASGEIDIMES RGNNHTYSLGGNDLVSSTLHWGPDAANDAYIHTTNFKNSLHSEYGDQFHTYGLEWSEK YLFTFVDTRLLQVFYNTFSKPFWNQGGFPYTDANGTRFVDPWSQTGRPQTPFDQEFYL ILNVAVGGQNGWFKDGEDGKPWIDNSATARKDFWNARDKWYPTWSENGQMIVDRVQMW QQC LY89DRAFT_731147 MGFLSYFKPEKKVVPRSELNNEKVPVALNPVTALDAAQNFAGAL PGRLRGTDSEVTSIRSTRAAQEDKLVDEIKHQVVLNHLFQHQCSSLWIRDINQQIEGA LVRKRRNEYLFKPPGLATSKFSQAMMSLNVQAAMTVSSGVIEPFLEWNADAVDVPLLN GLRIQILPTFDDLYNAKRHQYAAFIASEALLIVWDDEPTHLLQRAKNIEAELLKFVWK TANAAATEQENSDSDYEFDEETGLMAEKERPIMLYNCFLVACSLCLLTLLIGLGYVKI ADEVYELHKWTSLTFLIMTPVNGFLTLFFSSVVVSAVAQIIGPIQHLTKNSKYFSAVP APRLRSRELPHITIQCPVYKEGLENVIQPTVRSIKKAISTYELQGGSANIFINDDGLQ LISAEDRQARIEFYADNQIGWTARPGHNSNGFLRKGKFKKASNMNYGLAISNAVEEAL QEIDRPADWTTFDESVAYERCLKDVLAKEGRAWADGNIRIGDYILIIDSDTQVPEDCL LDAASEMEQSPRVAIIQFSSGVMQVAHNFFEDGITFFTNLIYTAIRFGVASGDVAPFV GHNAILRWSAIQEVAFKDEEGVEKFWSEAHVSEDFDMALRLQVYGYVVRMAAWAGDGF KEGVSLTVYDELTRWEKYAYGCNELVFHPIKYWLTRGPITPLFKRFLWSGMPIGSKIN IISYIGTYYAIGAAWIMTLSNYIAVGLFNGFLDKWYVESWQIWISIIMVFTVAGNVAL AVQRHRTSEKNFVLSLIENFKWCLMFVLFFGGMSLHISQALLCHMFSIDMSWGATSKE VEFSNFFIEIPKVARTFKYSIAMSLGTIAVMVIMAEAEFIPWSWNIDQFVAIFPLAML CACHLLLPVALNPGLMTFSW LY89DRAFT_464954 MESNTKSEIGAPGERKRRHHQRSRNGCVSCKSSHMRCDEGKPIC RNCLKYGRSCGYTRGRGLASDQPLVKASNEAPESLFSPHLNQRPNSLLDTPLALNLTT AYPGKTPMLVSRSPLMSAIGVGMLDPFNGFPIPLSANSNLLLDHCEFKHFFAQSQYVE LDFKDASAAVCRIFPNNWTTSGQEAIVAESCL LY89DRAFT_464947 MFAWAVSDAALLHATLILTAKHWISLGGSRRFIESTLYQHKSEA IRLVNERLADPLAAVTDGTVSAVGILVILECLEGSNEAALVHLGGLEKMVHMRGDLHT PHMNGLAQRIILLADIHTANANNVKPRFQRIQESPEDKESNENGTPPPSGAMVQPDPV IGSFYRELGLDAPLIKMFTDLHWLSSMMSNSARRRGAVNANVLNRGITEAEKYIDTML RGGRLDNHQTTKGIVAGKAFVVLSGYIYLYLLLRRIEVSSRIYDWMVDLLKEDLKNIQ HVMRKAYPPELLFWILFLGASASIGRRELEWFKKELLVGKESLGLSAWSSAKAILMKF SWVEGWNEEASERFWNELN LY89DRAFT_695528 MNLGELLQCCQYARRLYRHPDEVGCSTACIDMAVEVDWDGEFDP QNPKNWPSVKKWRMTLIVSCFALLSLLTSTMISLSTREIARSIDADDLLKVDLISSIF LLGIGFGPLVLGPISEINGRVRVLILGNLFFILWNTVSGFSHTVGQLTAFRLLSGFGG SAPLAIGGGLMSDLWQPEERGRALSIYTAGPLLGPAIGPIIGGYVTQHLSWRWIFWIV SIASSCFSILAISLLKETYAPKILSRKAKRLRLETGNTNLHTKYDDTDQTFYRLLKGN LVRPIKMISTQVIIQVLSLYMAVLYGIMYLVLFTFPILWTNTYRESVSTGSLNYISIG VGFTLGTHVGGRFNDWIYGKLKTRNHNVGTPEFRVALMVPGSVLLPIGLIWPNIGSAI FCMGTIMCFQGIQTYTIDAYPRYASSAISTLNVLRSLTGFAFPLFAPAMYDKLGNGVG NCILASVLIALAASVPALLWKYGSFLRKKSGYAAGDGN LY89DRAFT_579769 MASDHVLAEIQFLKWTDLYLSEKPFQLFLDYDIPVDLQDQRTTN LNFEDKRVFVENMRGREKLFELDKHGFLVRDFAVPVPLQGQIIDEDTVMSTYVPAAEK LLRTEVIGVDRLFLLDRRVSARSAAERMDDITSIDLLDRSQRLKPASSAHVDHTAVAS INHVLREFPDEGEHLLRGRVRIINVWLPLSHTVKDWPLALCDGSTISLEHDLVDTDIV RRSYQGGTMYMLHRAVHKWYFLEDQKTTEAFIFKQFDLMEVEARVCPHVSFKQSDASE DILPRESLEMRFIVFTNPS LY89DRAFT_772535 MTFQLQDIDPEKDFKELIECEWVSYEEPQQTFFRLFCPIIGQGP NSRVESLKESTDCQLKWHFRSYELLAKGCRWQLREDRWSGEDHSEAYWFPAGGQRDFV AQALHQFDAPRAEMAQRPQIYLNIIYTHPEYRRKGIADMVMDWGIKKADEMGVEMWLD ATIYSLPFYEKHGFKS LY89DRAFT_580511 SGWPGGVASGGYLTGTLIQGLIALTVPGYTPKGYQGTLLFWAVI FFAVFINTVISSTLPKFEGLILILHLIGFFGILITLIILGPHGSTSFVFRTWLNEGGW PTQGLSFFVGLIGNVFAFVGADGAFHMSEEIHNPSLIVPRSIMLSVVLNGIMGFAMLI ALLFCMGDTQDALSTNTGYPFMEIFLQATNSVVGSAVMASIVTVLALCATVGILASTS RMFWSFARDRGLPAWRILQRVDARTTVPLWAVATTTTVSCLLALITIGSSTAFNDIVS LSVAGLYSSYLICAVLLLYRRLTGSFQNALTDLAETPVFLNTKGAQLVWGPWHVPGVL GVINNTFACIYLTVILFFSFWPPSTPIVPSTMNYNVLVSGGLVAFSLIYYMLWAKDEW DGPIIEVQVR LY89DRAFT_580559 MYHRRDIPERDIYTVLGHSLSSPTRTHPLQSPTASPTTSTSTAI PTKSLKNCNVEGIPADYLVSNILDMRYAYDALSCQLKCMYMSRCESYSWQMPVSEDSN NCVFYSTLIDGVRKVTASNISGIFFSDKYPSDKSNFCYGSTEL LY89DRAFT_666747 MTSLRPAEVTLLVSITKQINSTLDFSEASDKLGITASAAAQRWG RLKAKIVGKGEITLKNGDEHVLITSLAKQFGSTDVNFDMVGKEIGCTKSAATQRWGRL RLKIVGGKDGMKGGPRLRPAGTTKVTKYAPKVKVTPAKKALLEKAVMEEEDVGAKSEA SNVVEEENEEDLLAAQLHENRATNANSGYESVAEMEEYVDATTEQDTPMVFNPDAPEE EFVDDDDWIKRAVFADEKYELGIR LY89DRAFT_772557 MKYERSKYCHPSSLSLHKVSKSPTLYNTWKTLCHSQTHKMPPKK APKAAKDGPSDGMDSFALNHDESALLWAMMGEMNLLDRTKGITWDVVRGAVHSTTPEA AKRRWYTLCAKMEKKGHPLPESAGKAKPQPKEKKAAGKKGSKVEEADKEESEEEEEAS GPALKANKRKGKGPEKPPKAKRPKKEELSSRTVESEDEASEEAQEVSREVIDD LY89DRAFT_666749 MPPKTKAPATGVEKYNLQLPMHLQLLYSIILEVGLPTGTEAWDR IASRIPVGEDGKDVPGTAIKKRWHRLETNLDKGELFGEDHKDILAESKTKVVKEVKKV AVKEKKVAKGKGKGKEKAQKVVEEIEDEEQDGDEVPEASSSKVAVEDEEMKEPPAKKA KVEKSKKEPKKLKVVKSQELKKVVENEVEEQQIEETEMDEIEIEEDVHPEVMEMEAEA GHEV LY89DRAFT_682737 MGRELQKKKNRSSISKVKLKPKSKKVNPLGNAIIAANWNQKETL TQNYRRLGLTSRLNSETGGTEKLKPGTESKSSTSSKLAITNAIPKTFTPTEARVERDP ETGKIIRVIHAKSRPNPLNDPLNSESEDEEMGEEFEGFESEKEEENEIVKQLEEQASM GAPPAERKQSDREKEWIERLVAKYGDDFGKMARDRRLNPMQQTPADIKRRVGKWKAKG GVVSVEN LY89DRAFT_465385 MPTKKSETCENIIATDSNLELFAISTWVYLSSHCTCLLVSPQHS SVNSCRISLSKTFENPHVFLSLDIATAVLGAAIVIVSRSHFAKSPTLS LY89DRAFT_465325 MTLPVACPPHSSCSSDPPTVPQRKRRRRAPASGASDDCFACTKR SVKCDRRRPYCSQCLEVGKECSGYKTQLTWGVGVASRGKLRGLSLPVARSAPAPKSPP ANRPRAASTISRIHESNDENVKIKMETLGSIPANPFTNYDFINMAPNGVAPPMQMPEW NMAVPHEYPLQDYHQDAMNHQRQLPQLHRLHTLSIGRNEGLGLSSSIDSMSNYAESDY ASPISQSFPEEVPYLHSPLPMYNSYSSRNSNADSPVAGLVGDSRGPTSCPDNYYAQSE ISSSISSHQNQYDVSEGRQQQHSPSANELFYDDDMLGVSRGPNDMELYATSARSSHFG WTSINEDDAASQAASDAADNYHMAGNESMSSSLTAEMSPRVSFFLDYYEKIICPSVVV IDSPSNPYREHILSLASSSKSLQHAICALAACNLRMKRKQSLGQDHWRQPLELEFQDR INGGTFRPVPSRRTSNYPMSPEAQPQDSSLQEEYQHRSLAVHLLNQQLSYPTKARHDC VLATLFILCHYRMCESGVAQFRTQFAGVKKILGMRDSGVESGNWGWMETLFTYFDGIA ASINDREAQLRGGYLEMIANPSNPNHALENLAGCDSILFKTIGKLGRLNMLCQHRPVL DDMTSPIAPVRRPAPPRPRPGLAGQALVDFYNLHAHNFDGNGFASTLDDEASFPHLAS PTSHDDLRTTFWTEWKAARLALQEWEFDPSRLVATLPTAPSPTQLRDFGYISEAFRYA ALLYTERLACPNLPSSHLNFQNLVSQVLFYVTSLDQGSGCEKFLLWPLFISGSECVNE LQQSIVRTKCREIMGRSGYLNNLAGLEVLEKLWKEKEEGGVEGRGGGAFGVPFRWTRF MEGSEGEWIMV LY89DRAFT_465594 MLDEQPLFIFLYIQDYWKEGRKERRMVFRKEGMDRSMNEYTPLY DLFISTQSLSLSSLPVSDMLTLPLPFLPVPLLHARHSPTPNPSTVYRSSHTDHMTADP PSTCKPTFPSRHKLPLLASWPSLFSSHSLNGTKLWLGLAGCVTADMPRFSSDTCSSSG NLILIRQLERCRAKSHASWCLVCGGNVGRLEELLGEGVVGALCNWEFCASWVFGVMGV DLWPRGFEVEC LY89DRAFT_682743 MIDVLSRKLQVFEIQTSRKLGPEVLSFRGAYPHQMRQNTLILIQ AWAFLVSFEIGLLSSLDLCHL LY89DRAFT_682744 MSDLSIELTAPNGRKYAQPTGLFINNEWVKSSNGAKLTSINPTD ETEITSVYAATADDVDTAVAAARKAFKDPSWRDMSPTDRGNMMMVLSDLCAKNADLLA TIETWDNGKTYSDSLGDVGEVVAVMKYYGGYADKMHGQVIDTGPAKFAYTIREPVGVC GQIIPWNYPLAMAAWKLGPALACGNTIVIKAAEQTPLSILVLANLIKEAGFPPGVVNI LNGYGREAGAAIATHLGIDKVAFTGSTGTGKEIMKMAAVNMKNITLETGGKSPLLVFE DAEMDQAVKWAHIGIMSNQGQICTATSRILVQESVYDQFVEAFKKQVQEVSVVGDPFA ETTFQGPQVTKTQYERVLGYAKAGKEEGAILLCGGEAFTNTPSGKGFFISPTVFTGVK PDMKIFREEVFGPFVVIASFKTEEEALEIANDTTYGLGSAVFTQDITRAHRVAKRIEA GMVWINSSNDSDFRIPFGGVKQSGIGRELGEAGLAGYTQIKAVHVNMGSRL LY89DRAFT_579776 FVLKLHSYQQSWEDSGERQCPGPRDHPGLGDQDSMSQRPPASRP ASRSPPDGQRIILPPVQLRDDLQQGGRPAERPQQEFEGRGGGPPPQLQPSPQYSRMQQ PPPSGEDWRTPVLTRDLGVHSILNPPEPEGSGASSRRLSGGTTESPLSIGPPSHFGAS PSTATTHSFSSQNVPSSTPPTAETYAGAYSRVPRRILTPRSPSRAVSAGRRGSTQATI DAQRSPFLPARGRSYMAEPGPAASSDVPPMPTPPNLQQQQQYGFPPSSSTPTIAPRRP SGQTMQAPGRTPLSQSASPSISASSHVPSSSQTSPASFVVHKGGGPGAQTTASYYPGS SFATSMQQGSGMQFPGPSGPPEGASARFRQRRKEKEREASTNIEKLQQQTRELERKVK DLEQERDFYRTERDRFRDVVFRNPEMRHLATQAPASPQTMRSGSFQGPMMQMGGPPPP HPPPPGFGSESGPERAPRRRRTDTQGDFTSSTYGMPPNTTLPPVQPAGYPPGQPQGPT TLPPLRTDNPNVPRTGPPNAAPTTSAGPPPAFDPYSRGPYERGWPGPGERR LY89DRAFT_465992 MLSKSRALIIPEPLRERRSIRIDGITHCTARGTKFRRRGKQRRG DFERKSEQNDHTCSSTQNAQHTTTTPSPQAPPFPLTRSLSSTRDGRHGNLFLSSSWSL NLTLHSTHC LY89DRAFT_695536 MAPDYQTWTVTDPWLPISCSLGEGPYYEAATNTLRFVDIIKKRL HTVSLTTGPSSLKTLQLDMPVGVTADIEGVDPAKKILVGGKNGIFVLDRESGTYELLK RFYESEEKDDRLRSNDGAVDPQGRFWVGTMNDFHVGEPQAEGALFRFNRDLTRHPIRP SLTIPNSIGWSPSQTTAYFVHSTEKTIFAFDYSSSTGALTNERVWYKHQGTGDPDGFK VDEQGNLWQAIYGEGKVLKIVDVEGKGVVRGEVRFPTSAITCPCFVGRELVVTTADDG EGGAAGAVFRVDVGVGGLGDFEFRLERGV LY89DRAFT_716396 MSANTTIYSGFWVNLSKGPVLGSTLTLKKDNAVILIAALAIFIQ LIGVQSFGIIRLLAHQIRATREPRDGLFHQQQATLRNDGSDISATWVFSRIAYAWRSR SPKSFRKSVTLILIGLLHLIAFGAASVLASHITTTDEEVLITRNPNCGPWKVVNEIGA ANQPENIIQSAYVSTTLQSTDKYVQSCLSEPQSLPECTMFKRERLPWTSTTNNSCPFD DLCLGPALYLDTGLIDSRDDLGINSHDSDRVQLRNNLTCVPITTDGYSKQGTSSYSYQ DDFSSGNITFNYTALLYGPPDDNDFLYGVEDLTLQNATYLYTNFMDLAVPSHGSEGLV PYYLILRILLLVLHRPQRRPLAPCPQTNQPSTTSADNLIIYYPDKEVSVLACLEQQQI CNPSSKNNSCTQFRSIYYSFPDSELEEVLTNDHQMQIANTILNSNVGFDYPMMSTGLL ASRFADNGFSLPLASNQWILEVENWFTITLATLQRLMIEFATGPSSSQYSAFIDVEQA EKDPDVRWMCENQIINRGDYTNFRTLSICLIFVLGLLVFVVNQNREAIAGRLGWRRRG WRQRAWWAEGTLRLQRRAFEGVGIEWESEDCDLIPVTESGRMFSALRICEEKVLSPSE KKDTCVITSQGSPEPEDTEPEISKANDTIVQVKSISKSSNEGASGGSNGRPRSI LY89DRAFT_641289 MFGYNMDTTKLDLPVSWGHITCGGTVANLESTCLKFYPFSIFKA MKPGGLLNFVSENFRIKTCKGEEKLFLQLDSWELSNLRPHDILDIPDRLGREYDISPT FMATVLSKYSIQETGKDVLTREFDLKDPQYMLSTTRHYSWPKGAAIAGIGASNVIGIP VDPSARIDINKLRDRLHQNLATKQSVYAVVAIIGSTEEGSVDDLTGILEVRDEFQKLG MSFLVHGDAAWGGYFATMLPTDIHMSPGRAKRGSRDSSFVPNSALRTETQEDLFALRF ADSITVDPHKAGYVPYPAGGLCYRDERMRYLVTWTSPYLSRGASTSMGIYGVEGSKPG AAAMSTWLSNTCIGMGVEGYGALLGEVTFTCSRFSAEWAAMTSPDMDFKVVPLNMLPS EMEPGSTPQKVEAEKQRIRDTILSKTNAEIVAADAGKPESEKSLTLLRALGSDLNINA FTLNFRLESGVWNTDVEEANYLMSRVIQRLSVYSPDDDISALEFVLTSTDFSKELYGD CMANFKTRLGLRVDDIDLMVLRNVVMSPWPTAQNFVGTLAGIFKRIVEEEIKKRNSTS PTRHHLLLQGKQTLYMIHIPTFMVANHRQQLIVEVEIDVESKKKYLSFKEQNASEQIY LLTHPIQLPKTLSPGTKFSAEIKTDKAIIVPHTTVTISQVVKSRPLNSAFRDSNYPKT FTSFYLFGNKEEVNIDHMLLLAPNSQFTAEDVKLDLNRPLTDQELVNGPLLYVQDFRE EPSQPFPSNADLQASKTFWFKPGRKMAVKVYRDTFPATASGPGLTKGYENPENELASG YMTLGDHVFVDTEHMNLDPFKKPERVVQWQEEFNKIGESMRSIPHHK LY89DRAFT_466208 MTPSKIVFKGSGHGDKAHQLVSSYFIGPHAENLHDLKQNIDSIL NQLRDARLNYHPDDPVFITESVRNSPTFRAAKERVEKAVTTAANLLGKHSLPFWSARY QAHMCMDLSMPALLGYFMTMIYNRYNLRRQVTPPKSISVFGPE LY89DRAFT_779802 MNLPSILLAALLSSSLTTALNLRKRYDEAPFKPTKCAVKAKVTQ TAACPISGLPDSTGGITLTIAPEPTSWSKPSPSSLFQVVLQNNTEVRASVDDGIDVYD IDIDSSAATFASLIGAGKYVVCYFSAGTMETWRSDFGCFNHNLGAQDLGCDVGGPWAN EFWVNTSSTEVRKIMQARIQRAADLGCSAIDPDNMDGYGNQNGIGATEEDSISYVKFL SREARSRGMGISLKNALEILPNVTSDVDFGVNEQCNEYDECDSYTPFWALPTPLPVFN IEYPPHNKDNWTEKYAEKSCDESLDWGYPYMFTDLKNYPTVTCAVSRCTEDGPSPAVP NGGLVIPSGCVDGMQYN LY89DRAFT_682751 MTSSPTISSTSAISPSDSSTNPSQTSIPKQGGNRDWIAGAVLGP IVLILILATALYWFCRGKKRKRTTPVLMDNPDVLQEKPQLHGDSLIISPYELQSNRSP DTILELPARERVAVELPGDNLRTTTS LY89DRAFT_731168 MENPNLDPRFFEESRQSIAFGPAAVADRSEPDHVAAPHQYINLN GQIYKLDPTLSAADFPNATPVEIKHTHAKVVRRWSLKEYNFIFNLVRAKINEVKRKLK EADFKDITNALNAQFSGQFVIEAGELLAPVFRKNASKDAKTEKLSPRAGERFTIANRV VATVHDYITHTRQSEYQALCEELLGPA LY89DRAFT_731169 MSSDGSAVGLSDSAQKVPHACSSCRRQKKGCDKAFPSCSACLRL RRACVYDAGPSPPLPENFESLARRLSNLEHEMNEHRALCETKVDNRGQGFNSEVDDVN TDGYAFPGSSAFPSVFFLDVNVFRRHRLKAPTPQVTVQDNIFREIGDDVEIRATVGEF FFSVSPWMAIVAKKQFYQEISALPIEMAPDVTLLLLCMKLIIQKPDPKKSPRTHLFAV TKNFYLTVESSGFASMRLLQAAILISLYETGHAIYPQAYISIGHVARLGQAIGLHDTD RPQLALEPGNWEQMEERRRVWWAVYILDRHVNIGNPSRSLALAETGRKEYLPVDDKAW ERGDKVASEPLFVSSSTNIAAAPYARLCQASHLLSLVLTYVNDNVSSASMRLEEAQQL ARAVLSLCTFMQTEVSNEATRICVPMAICYSALIVLYEHASNNGQTGYSLPEEEGLRD LGVSGLHGLIPLITEYAIHIQTILPYNIDQASPFICNCLYRFAVWISGMADASAKSNN TRAIKLARDTLEKLSQRWMVAEYLKILDDFELGYPAADAMALDVAQNAYNPSYMDSSS FVMGSD LY89DRAFT_666765 MSDPKPLDVIVVGAGLGGCACAMAMHYAGFNVTVLEKVHKFLRL GDSLGLGENALRLLQRWGLHDQLIDIGNKSELMQIRRWGDGKILAQQPLMDMAGYIGH RGDYHEAFLARVKELGIEIKMGCNVVSYDENEPSLTLSSGEVYKADVIIAADGIKSLA RELVLGFEDKPKSSGYACFRAFFKGAYLKSDPLCREFVEKECVNIWIGNDVHLVQNTL RDGDEFNWIITHKDTEDIKESWFQPGDMNEVRKLVEDVDPRIGNAVRSTKECLDWKIC YRDPIPSWVSKSHKIVLLGDSCHPHLPTSAQGASQATESGAVLALCLKLGGKDNIPLA TRVYEKLRFSRVRQSQLNGEDLRDRWHNALKDLDVDGEIDPESVKMRVRLFMSNRWLY PFDAEADTLHRWQEVSAQVSEELANGKIIPLFTGAASKVVA LY89DRAFT_466350 MSSSSRIPTLTTTHSGVPARLKNEADAAKERLYSLKTLPDSVPR KSNVKLPPNTSQETLDAAITALTKFLGKDGVEINNKPLVDGWYMEHPNTHDAYHVIDQ EEMVCSAITYPSSTSEVQAIVKWANEYTIPIWPISMGRNIGYGGAAPRVPGSVVVDLG RNMNKVLKIDGGNATCMVEPGVSYFKLYEEIQKTGLPLWIDTPDLGGGSVLGNAIDRG VGYTPYGDHFANRCGMEVVLPDGSLLRTGMGALPGKNGEDNPTWESFQHAYGPSIDGI FSQSNFGIVTKMGFWLMPASGHQSYMITFPREDDFPQIVEIIRPLALKRVLGNIPQLR HAIQELAVTGQPRTTWYNGPDRFPREVVREGVKKLPVGDVSWVFYGTQYGDEASIFSQ LEIIKSAFLSIPGSKFYLPSELPSDHYIHSRVSVCSGVPVLKELDWLNWKPNAAHLFF SPIVPTSSSHAKIIHTLIDRLHEKWGFDTFPTICVAGREMHYIANIVYNRANEDEKRR ARGLMREMISEAAKEGFGEYRTHLLFADQVAKTYGWNGGALRRFNETIKDALDPKGIL APGRNGIWPKSYRGKRWELVGEEAKKSVKARL LY89DRAFT_779805 MSREQTKAALYIQQLDEARCNGDWDAVPELIRKIKKHAPQRTCL AITAESEHAVVQASHTRPLTARPSTARPATANTSTSAQSSLRRYIDLLLEAIGNERTY VEDEFQARVCLGWIHWQMGELSLAVNKLPHSIEQDFSQLDGTDKESAEWTRVCALKAS YLKGSSQEKLGAVAEALDTYESALPVLSSMSNPKQGKELRCWTELYLTGFCILSSQAV KSKMSSILETETLSAFRCWARFWDAQSAAPTGGRAVDAGVPRRQVWKEYYITLSDLLQ QDLPFPTTSLTTAYVETSTRLQQRAELKRVEARYETLLLSEVQFPKANEASDEVEAFA DIVVQNWRVLCGSGWDERDLGEGGAETVSRGVLDILYRAATKTFHSTAVLRHLFTVHL AVAEFDLAFKAFDTYLEIVKKGKARVDKTGEAEHSLDDDETVLKTASECIKALCRYGS RQGTEKAKELGHFFEDWLDKHHPNIAHNGNGRLIENGNASATSTAIVIAPRVFAVAWR CIGIGHAQWSRLTYDASSRSEIQLQAIKCFRKALSPEYESTKDVETLFALGMVLAERR ELPAAIEVVKAGLLPNSSSNHTNELGPHTRRFARERSLIPLWHLMALLLSARQEFTTA ARSCEGAFEQFRDPKFLFGEADLPGTYRSAHLNEKRSPQHQGIVDNMDDFEKQGVLEV KMTQLALIEVLEGPDIAVNASDELLSLYTRLFGDPQKESATSISASNTLTGPPKSSAG TIRSIRGSIFSRTGRSSVRKPPLSGNTITEEKSASDLRPHTTQTMASMRAPTIHVTNE NGSATSRKEHSQHHHEKLQKRNDSVSKKKGGSLRKRSGSTSRKRSSSEGNSARPTVVD DEKFFTPPLDSANHDEWFKDNQTDSREGSAGNAPNQLNTVSRPLPPKSQQMNYKEKSL KPAYSNPSIAQDNRLPHISPYSSSTNPVTRFSKEQERRRRTTILVKVWLLISGFYRRA SLYEDCRGAIAEAEKLVRGVENEISKDTTGNISSDQAGWAGGKSVGEVWADVLAERGY LAVAEASPYDALTHFESSLTYFADHPAAIIGLSNILLDVYSEDLLPPTTIPKLELPTL LSTPSQSTLNTSAPPTTPLTNQPPPLKHSSTIPLVSHGPLGLPSAKSSGNKNKQDLTS TPNSLSSGLQPSYKESSTALLDRLAARDRAYGLLTGLTKLGTGWNNSEAWFALSRAYE EGGQPDKAREVLWWCVELEEGRGVRDWSVVSSGGYVF LY89DRAFT_716405 MSLPPELRVLCFLLSSTAVSDLPRLTPTLLSYVLRCQVPLSMPA VATGKAEASSSAVLVHKLKTQLSTLLNGKSTEGRFTAVVLIKAVVEIGGWEILNASES WVRGLLSILGKPDAVSTKELAIVTLSKLYTMTHQYQTLIREITTPTLPAFVTACLALV SPKSTTKSAMLPSSLEETIFEAFSMLVPRHTSIFRPFATQIHTVVRRYIASTISDGLF VPSSVKESARRLYVVLHQTAAKNMGGEDWANALRELIKETHVTADQVFRAVIEDWESS AGYGGPSVDVNKELRGGGDTSEELPPWNGIFAGIERLTGLLEMLAMYLTEETSAPVAL PLGSIVDVLNRMLSIAIPTSSSLSTRASARLHPAIDRDERDVLCASLPRVYIAAFQLV NKVAKRLGGSFLSVAQAVFDQLAWVFPFGKHDQQFRTVAYEITVDVLMHIGPSLTRSQ VGKCYDILRSCCKDLEVLSPSLVNNATSLSQKSHASRTHNADTFLQISTVAQTSPAQS TDLTLSARKLLSTSLSHLPQQYVDISIRILIERTAILSHNKDAMLASVLNPFVGKNGK PMASILPHLTREFGYDATVEILLRPRMPLLPSTPTRPPVNDAVDEEPNDEDEDMLPPV DAPSTHQDLDGKATTRVVAPLGDSNPPNGPSALTTASLPRDAPSAFAFAEPTTPALNS IITKSSSSFVRTSQEAIADVDMVGAGTAGSPNLGILGAEGEESSSDESVHLTMQLDTD SEDDDI LY89DRAFT_772575 MNIAHTKIYNNTPNSTLNTTQLSTQHLNIPIFTNTNKTTITLAI KMEAFNNSNTGASVTPKSTFDIDSFRTHMHKSHSSSTCGGNCHDTIETCTYKATDVLL ASPKALTDEGDFDIEFFKFRHADRCGFREAEEYFAKATAAAALEQQYGGLYQIAQFNN NAKNNTTNNYPTGSSPIINQPFPSFNESEPAQQFETAQQIENSTIYNQGVVPIWYALL PPQQIGNLLNNSNLLGNPKTSLANESSLQGSCISTPIPSWIFQQFSVNSSTVRVCEFA LSLCKYTRTHHHIPDISCFCLVITPLSIFYSVAAADLLSRQRKDNKASRAQEVDDFFW ANTSIYEIPGKTWVPWAPEHHFAHQNRTITSHFDFVEGLKRVAKEDLKSRDLDTKFPR LQRIQDMMNLLRGNPVTTGLTASQLASWKFKVNKNYEVVEEHTFDKDGLLRIPEFLYN KSELKVASNKKEASRKKLLAFYDKHKDCLTGCMRAPITTLEDSYLLLVAAHVAPDGKH RGRDATMEYLRGGLSENITKETLWMFITACPTCEATTNKRKRDDDDPATSSSKRTKAH PVAQPPVEQFDQTFQQPLGQVTDTVPVPGPEQSYHSFEQQLREVTDTLPYVPEPEQVY QTFEQLLGEATDTPFNLPDLEPFDETLQQPLGQVTDTFSDVPQQEEQIETFDFLTTNI DPPLYSSEEERQQRAYDIFSD LY89DRAFT_682755 MRTNIVSLAFGLTLATLSYALSSDDATLHPFLSKRVVSPDNTCG DVYGGANNGYTCDASVNAGGCCSQYGYCGISMHYCSTGCQSDFGNCSVASTQPTDDTL TCGPSNGNLQCDSELRRRQEGAIDKVATSSTPSPSKTSSKASAASQTSTSSCEMENGS WCGRTNTFSNQQSCQQAYVNCYGQTVKCMGGGSQNLGVCTTWTQTCFNLNAYCQNCSD GEDCHNAGFVS LY89DRAFT_466426 MDFTESELSISLQEALTYYLAFLSHTQKLRESKLPRQGKGVETT DDISSQRDHQVRVAASETCLQYTGRIARRVRRWQSDPEEPSNDDNANVEVDVEALRPF LDEVTEDSFVDPFSGKWIEPTNASSAGPSPSNDEAPSKRARDLNGSKEQQRPLLQRQQ PYRKIAHDYLERKSKSRRSRPSLGLHPNAPISLLPLSPATENRSSSERSSESSIGSIL TPATSVSENDKESKPPTSPMITVQHTPQRWNELDARDMEYARRLEADEKRRREQVERS YAEAKRLSERIAREEQSRLEKQRRYTEDLERKEREYTERMRRDRAAAEKSQAQWVIDM TAREAAAEANKRSEDRRRKDRDEVRKRREEDEKRLSEIVKKVRFGRERSVNEGVKKRV VSISDEKRRRDVPSTTTDHRRKPDPEKKEKEPRPKKVDCVSCMEAGIKKEMAVLACDH AYCGDCIKGAFKSAYKSHAPFKCCGKTISTANLSAHLSSSFIQKYDLLLLELSTKKPT YCASQSCSKFIPPSSIHGPIATCPTCKLRTCVACKMKEHPGICKEDKDGKKVEELAKK KGWKQCPKCSQILERTEGCLHMTCRCRAEWCYACLRDWDVCKSTCGRR LY89DRAFT_641308 MAPRTRVKEAAKPQVEDARPTVADVDGEDSFAQLAKRHWLKTTK RAAKVKVKLDVLKNEIWDVLEKDGFPFRSLLVLENLQILESYLWPGFSEDSSNHQILL IVLIANVKTREHLPVWDIFTDNPTEFSTLFRRVLSMTLDTTLSPTIRTYLLCFIITSF QSLDSGIVRKECAPLVSISIWHNISTEKKRERKLDQSVQLRKAWRASAKRYDAADEET KPRLRFERSWLFTLVLDFLNQLYNEKIKSENTRYCERFIEFLSDLQSQLPTRRYVNTL LQDLNILPAIRLSPAFNDEDNSLLRDLYALLKHYTYFSIDDHNGIQHTKTEAYEKHCA TLASLQRTALKHFKDKLTILALSNYGSIDRRSELEGHLEPLTDEDIIQLCDLLDFRTS YPSSTHVVADRRFLIEVLLAGHEKRKTFQETARDLSILPTELTLFEPTLLRNDSYNGS EPLAIPKLNLQYLTVGDFLWRSFILHRCESFYEIRNHVEDVIKRLRPSMNRSGQTNFE GYSRMALPISKPSILEVVPPLVGHDKPSAVRAEISLDVSRLADNVRQEWETLRPDDVI FLLAVQAPDNSKMIMNGGETPSDAQKIGLKYLRAAEVTQILDEKGRSLRDQDRQNGYS RPRLRRLQVKIDATMYKEDMDRVANGKPDIYEGMNLIVRRRGRENNFKPVLESIQSLT LSDVPLASWLHEVFLGYGDPAGATYTHLANQIKKIDYRDTFLDWQHLIESLPGKTLEP SDDAEGSFGPPYVLQSAPKIDEPAPAKPSKKRRRDAEPAPPAISPQAVQISTYKPPNT GPYPMDAPKLNHVRFTPTQVDAVISGTQPGLTVIVGPPGTGKTDVATQIINNIYHNFP EQRTLLIAHSNQALNQLFQKIVALDIDERHLLRLGHGEEELDTEANFSKHGRVESFLE NRDGYLREVDRLAANFGAPGAHGASAETAGYFNSVYIEPAWTRFEEVSKAPDATAEAV VAAFPFHYYFSNAPQALFPADADKVTVLDIANGCYHHVRKIFTELADVRPFEILRRDR DKANYLLTNEARIIAMTSTHAAMRRREIASLGFHYDNVIMEEAAQITEIENFIPLALQ NPKNGQMPLQRVVLCGDHFQNSPVIQNLAFRQYANLEQSLFSRLVRLGVPTINLDQQG RARPSIAAVYSWRYQNLGNLPVVSTAQEFVTANAGFKYDFQFIEVPDYKGKGESEPTP HFIQNLGEAEYAVAIYQYMRLLGYPAEKISILATYAGQRALIKDVLAHRCAKNPLFGL PKIVTTVDKYQGEQNDYVILSLTRTSRVGYLRDIRRLTVALSRARLGLYILGRRVVFE SCFELKQAFDILLQRPDKLQLATGELWPSNRILSEEEDKKVPGETQMEGLEHLGQYVY EMTNAKVQQLRAERGLEEAELIAPEESGVIDGNEEEEGYVDPEYMGEDDEEEIEGEIK EGFEAEE LY89DRAFT_611898 MATMAGHGVEAVDEFGSLMEEMLDKAQEVKQTDTVDPPLNKSDL ADSFEEILSIFSPTTSADFKKHVIETAIRPIFYNLLATTSIDSPSFVRLWNLFDIISI LSDDEHTESGLLFWLVEELLDSQTIAGCRKIFDFLESRRERITAKHFQSKNLVILRSC NELLRRLSRAEDTAFCGRVFIFLFQSFPLGDRSSVNLRGEYHTENVTAFEQLPPKEAA TMEVDSDTSLKPPAPVAKIANGASKKSSEDFTTGAKGVTFQKVEKPMASDELYPIFWA LQQNFSQPKRLFDSKHPENFADFKSGLEATMAVFKSVQAENSGRPSKVIDESKRGTKR KRGLGDDDLANAFNPKYLTSPDLFELEISDLSFRRHILVQVLIIMEFLLSLSARAKAK LARASLPDNANKSVMYADWTLSEDDTKWAIETKSSVADYLKQGYEGPFYHRMIETVLS RDKNWARWKVENCPSIARPAIAPDDYASAKASARRTTNKRVKLNSLGSLDLKFLRESD NRSNIDRLKDSSRYAVPSVKTYEAGILDDDFDIDRAQGEEAKQAPIEAKASKSWRALR IATGSKLVAFDKIESSEKIDDIFKDDPKPEEPVINNEEESEAMDTNIAPTDRRPIIIS GPSGVGKGTMVNMLMDKHPKAFGKKASHTIRAPREGEVHGQHYYFITKEEFDIMRDGD QFLEYNNFNGNDYGTSRKVVEAIIAQGKVPVLEMDYHGIQQLKDQGYASRFIFLAPPD IPTLEERLKRRGLDDSEKIKQRLEIALKEIEQSQVEGFQDKIIINDDIGATYKALEDY IFGHEGSDQVATVEEVTSIEDNGENGHSAPAVTEIVTEDAAE LY89DRAFT_579916 MGSILRVPSKVSAEEAALPGNGIAAKEEAPTGPASKIFTPLAIG NGTLTLSHRIVLAPLTRNRGLPLKPTATPSDPNRVWYPDSLVAEYYAQRTTPGGLLIT EGLPPNLIGNGMPGVPGLFCPEQVAGWKAVVEAVHAKGGYIYAQLWNAGRASIPQHTG MPTISASATPYEDDECYPYPPPGESKQVRYRDFPPTELSVEGIKEQIGDYVLAAKRAV EECGFDGVEVHGGNGYLPEQFLSSNINKRTDDYGGSPEKRCRFALELMEALAEAIGEN KLAIRLSPFGLYNQARGMQRLETWGHLCRELKRKHRLSYVHFIEPRYEQVHSLEEKNK FLESWGIPDVSLALFREIFGDTPFFTAGGWNDKNVWGVLEDGTYDAFAIGRLYLSTPD LLERLKEGKKMNQYDRSRFYGPFEDRTIGYTDYPTWEGVEAWGGKLVD LY89DRAFT_641319 MRKANAALPELSSPCPFAPFGTVIVNHTATSPEFPHGQLICYSV NQNIQEGNPTLHGEISGINNCTKILTDPSGPYKLTPKAALSAFHQLTLYTNAEPCPMC ASAIRWSGFKECVFGTSIGHLIEKGWSQITLSSSDVFSEAGALPGATRLLGGVLRNET DAWFEWQFDEGVRCPRGCERKGGKGLCEPVEEEGRGRSEL LY89DRAFT_716412 MSTPYGLSAEALKVKPFEWDDHYDFPRDLVGYGEESFNPQWPNG AKIAVSFVINYEEGAEHTVLNGDMHSETHLWEAPGGTPKVQERAVNIESEYDYGSRSG VWRLFRLFNNYNYKYTLYAVGKAIEDNPSVGISSVKNGHDVASHAYRWIDYADMPPEK EKAYIKKEIETIAKICGEPPKGWYYGRLSSRSHALVWDVYKEMGIPLLWEADSYADDL PYWVDVPAEKDVEKPEGMLMLPYSYDCNDYKFNVPTGFGSPTDFHDHVKSAFDTLYEE GCEGSPKMMTIALHCRCIGKPGRFGALKKIVKYINGHEGVWVATRTQIAEHFRKKFPY QRGHLAPGVKRAEAKNTINAWPAHKDVPV LY89DRAFT_466638 MSSLPLNNTAVPNRSTIGKVNESLSSDIPYEDGPLDATRDARRV VRLIQCPNCSYPLVQPVALPCGNAICRKCMPTETHTRQNISYPQHRLQGFKCPVPGCG GDHVLGDCGTDYVLKAITETVKKEQDSWAMAGIPSLKGNEIRSRTLPGGRLSATYTLA EMGELAYESEITYIPMSPTNARSIDLDSTLLEHMKEAIREELDCFICRNVYLEPHTTT CGHTFCRNCIQSVLENSLSCPTCRTTQVLAPTIRSKNPPINLPLARIASGLFPEAMAL RADISSLDEELPKSDLSTPLFVCTLSFPAMPTFLHVFEPRYRLMIERALQTDGRFGML LHNPQREPQGHLGPVHFYQYGTLLEIESIQRLPDGRSLLETKGVSKFRVLKYGIKDGY TVGNIVRIDDISIAEEEALEIQETTSAPPQRPFSAQAVFNAPPHHLVTSQGSQADKAA TVASDRAELHVTPTQSLFDICYAFVKKMEGESAPWLHSRVYAVYGPPPEDPAIFPWWF ANVLPLSETEKYRLLHTSSVRERLKICALWARVIEKQRCSQSSGCTVV LY89DRAFT_682764 MQYDRMLGLRSLFVLVLPCDSRSLNHAMPILMHSVLRLGRKEMP LWASIGCRCRLDYNTGCVGTVTSLLLSAIIHGNSRREAALPGLNHWLASLDVKGQKAF SAIIHGWYCRGGEGKGGIPNPMASPFASRRDEEEEEEEESRGGVMNRRNNRAQYTCES VRYTTKNELKDPSELVSP LY89DRAFT_466855 MTSVPQQTQTPNQPSSISSASQSTLSYASTAKKAVSSPPIATGS SSTPSPVVAVGGAAPVQQQHGKSNSISPVNGKPSVSPAVPAVSAPAIAHSSSAINGSS EHSRKSSVTISANGMNGGPVGGAKNNIQFGSIHDSPKVSHSTPESAQPAASAPIPISN PRVTDPSHSPTPIPAPSASGGRPPSGLGQGGNGVAFGSFGGDGERHIRHPSGSQGNLA PGNQQSGHLRQPSQPTQGEMNNQSSPAQGRGGFQQAGRGRGGPYNQNYQQQPMSGFPP SNPQYRAQNQSRGNAMAPPFQGQPRPMQQFPNSPQPARSPALAPAMPGTPNSNPAMPM QNQYGAYPPHTQYMQTPNSYYGQPAFDGRMQMQGAPFMYPQMANMGYMTSGPPQSPQP SFQMPNNYQQTYVPGQYAPQAQPMSRNSSQMSEQRPASSMGGQPQTPSMTPSISHTNT PQPKGAATPSSNAFSRPARKSAAITIRRPDGEALDVESIKAPASPAPSASARTRTPPI AMSSTPTPPPKSSTPQHIRTDSSSISTKSSEERKAEMLEKVKKEAEKAAAEKDAAEKE VAKAAEAKLKEEEKAREEAKAKEEAEAKAKEEAEAKAAAEKAKQEEEEEFERQIREME EAEAAREKKEAEMLAKRAAEKAAAEEANKEKRKAEAEENDRKLREAEREMERLEDERE KKRLEAEAKADEKTAKPEPKEEEKNAPNTPSTLASKLSNLTLGTDSGASTPASDDSMG PPPKINASEKRGKPAALNLAPLNTKPVEPPQPSAALQSLKSARFLTVLNSSIYPPNIS SPNPALNTAVTTKGKSFKYDKEFLLQFQKVFTEKPSMEFESQIKALIGDGDGGSARTG STRTPGGMGPRQNSTRNNPPGAFAMGSFGAGAPGKTLPPGTTSEMRFQMANGTMARPP INPMASFNRPGGAGFPGSNPMQRTPSSSNMNNVPHSPRQQSRRGGGSKREYQGTNSKA EAQAAKTMPLTAGMELKPIKVSETGWKPRSIGASQSATGAAGPTPGAQGGTGHMEPDM VQRKVKAALNKMTPEKFDKIADQILAIAAQSKDEADGRTLRQVIQLTFEKATDEAHWA SMYAKFCKRMLETMSPDIKDESILDKNGNIVSGGNLFRKYLLNRCQEEFERGWKMDLP DKPEGERGEEKTEEAVMLSDEYYIAAAAKRRGLGLVQFIGELYKLSMLTERIMHECVK KLVDYTGIPDEAEIESLTKLLRTIGANLDSTEKGKPMMDVYFQRIQTMIDTPELPSRL RFMLMDIIDLRRKHWVSKETNKGPKTLEEVRAEAEAQAAQKAAENARGSQRGGGGGGR MQMGRGDSRNFSNQYGNQPPPDYQKNTVGMDDLRRLTNKNTNRASSQQMSFGPTSMFS SRSNSGRKMGPGGSLSRGGEDSGASSRTGTPPQQKEKESATSANAFSLLAGLGSGDHG DPTSPPSTSVSPALSKATPANADKPDDKNENGS LY89DRAFT_682766 MASQAESSTAIKAESSPSPEAPLHNVKLHREETDTIAEAAAAIA DETFEEEVKDEVTTPIDEAAALAGLTEDVRDQDDLERDITNQANQVMIEQEDERDQKR IEKVQSSIDKLEAERQRQRRKLDTLNNNAMLRKRCMDEIARIAANVAANKQDIEDIRG RIDARHQYDGIDGEPDAAGGNKRMPNESQRDFLIRTGKITPFSRMQGRGPAELNDDLI GALAEAEEEAEVAEEQIAESSEPRSHQNLRLPGFADAVGTHEDVAAGEFGLRPRKKRR LGDGSATSAPQTRGSPASEEDFTPGMSEADDDDLAGDDEDEDDQIMAKSTTRKSSKGK GKRNVDETEDKVDLAGIDDGNESMYQARLQKWVDSRSAARRRGSQQDEGSEDEDAADS NDEKEWFKPCPGAPDHNFDNGLKLPGDIFPALFDYQKTGVQWLGELYAQQVGGIIGDE MGLGKTIQIISFLAGLHYSKKLTKPIIVVAPATVLRQWVNEFHRWWPPLRVSILHSSG SGMLNISNEERMEEVEDYYGRGEKLSKSSKAAKKIVDKVVRHGHVLVTTYAGLQTYAD VLIPVDWDYAVLDEGHKIRNPNTAITIHCKELRTPNRVILSGTPMQNNLVELWSLFDF VFPMRLGTLVNFRQSFEVPIKIGGYANATNLQVLTATKCAETLKEAISPYLLQRLKVD VASDLPKKSEQVLFCKLTRPQREAYELFLASDDMTSIMNRTRQSLYGIDILRKICNHP DLLDPRLRGKPGYKWGNPNKSGKMQVVKALLEMWKRFGHKTLLFSQGVQMLNIIEEFV KSLSGFNYLRMDGGTNIKDRQTLVDRFNKDPDLHVFLLTTKVGGLGVNLTGANRVIIF DPDWNPSTDVQARERAWRLGQKKEVTIYRLMTAGTIEEKIYHRQIFKQFLTNKILKDP KQRQIFQMKDLYDLFTLGSTEEGTTETGEMFKGTEVQFNTAPKPAAIEDNVAVQAAGS ASAVQNDIVSQDIVQNAGSPASPQGDADAEVRNLTGVASLEAFQGSEDEKPPSDEARL MEGIFAKSGVHSALEHDQIINGKKKYAPDRKMIESEAKKIAAEAAAALRKAGDAARSI APGTVTWTGEFGSSGRPTNIRRGAGPSSASVLAGLADRQGITNSASGSSSRSGTPAAT ERPAAGKDWIKLIREYIKRQGGSCPSQALVNHFGRMCTTAAHSAQFKHMLVEIAVLEK GRNSRMRGKWVLKDEFK LY89DRAFT_579655 MDQQWQQSYRYNGNPPPAQAREREYNGSAQAQGQTPQVAGYTYE QYQGGLAHSHSASPNGDVAMQDAGDPYNTQQKYPLRPHHSQRASNDLPSAAAQRYSPM ETLSPTGQGQYGSRQSPTRPGNFSSPTSYYANRQQAQQLPPITPYASSNEGYPASATQ QLNAVFGNDPKSPRRPIVQSQGPPGRGPVPEFTKIRSAADLQPKVNLQPAFRRANPEG GFISPLQALTSHLPSTYRICNPSFKYESSRNPRRVLTKPSKGVKNDGFDNEDSDYILY VNDILGSEETGHKNRYLILDVLGQGTFGQVVKCQNLKTQEVVAVKVVKNRTAYFNQSM MEVSVLDLLNTKLDKNDDHHILRLKDTFIHRQHLCLVFELLSVNLYELIKQNQFRGLS TTLVRVFAQQLLNGLSLLNKARLIHCDLKPENILLKNLESPIIKIIDFGSACDERQTV YTYIQSRFYRSPEVLLGLPYSSAIDMWSLGCIVVELFLGLPLFPGSSEYNQVSRIVEM LGNPPNWMLEMGKQSGEFFEKRHDVDDFGRRTYHLKSMEQYSREHGTKEQPSKKYFQA TTLPEIIRSYAMPRKNMKQNEIDREMNNRVAFIDFVRGLLNINPLERWSPQQAKLHPF ITQQKFTGPFVPPMNLKSSAINRSPAPGTQQQQQAEALSKQRAQAAQAQAQAQAHSAQ MHAAAQNQYAAGVPNAQYLPPAPNPPPMYNTNNNVYSPSTSHQVAPPPYPSQQAGPYN QMGMMNQAPTQMPPAQYGAAPPQQQPLYQQAAIRSGRQRASTMEVQQGGIPATIQRVA SHLDPSQPIRLQPSPAYYPPPPDGAPDGNPGSARRRGSRAAQGGQQGQRSNRDFIRNL EDRTLEEGFMGQSQWH LY89DRAFT_779817 MCSRMACNLKNNDEEEKFPPLALGDFSKRQRENCFREEEVATRL KRQKEGNFDVCEGEYEVVMGLDEGGNGGSIIFRDAVARLKEQYRYVDNDEERRRSEYL GALLDKKARRRRDTCCIKCQEMTGTLEGLRSLVEDGYRHYSYYQLRQSADMGCKLCEL IWDLTEHDDWERDWVFEDDEASELTMVTDEKIIVRAVNNEAFNNDGSSRSTSPEACDW RNGALPLGGTTGDPLHELQLSTLKVMIPFDGGVSPNCPLLHLVTSEDNPAAKYVPGRR QGKELTSAAVNVIHDWLEECHDKHKFCPKHLVRELPRRVVDVGRQGEVSLPRLHISKP GEKGEYAALSYCWGEDPQTMTTAATLSTYIQCLPSNLSNSISDAITVCRKLGVRYLWV DALCIIQDDETDKAGQLSSMGTIYKQALFTIAAAGVAQASDSFLKGKGSNFDPTFAHL PFFVDDSICGEVQIIEHGFRDVVPEDSQPLFSRGWTLQEMLLSPRLLIFDTNQLLLKC GELRFKPVQTTYLSYEDYSCPDMPSAVLGEPNDDTSLGTWGSKSMTRREEMQASTWAA LVKEYSRRDLSFMSDRLPALAGVVAELSNSWGDRYVVGFWESSIIQHLAWSTNKYGGF DRKTTFKGVNYDKPTGSPSWSWSTVPFGVHLGDVKLPNARMVDCVVEPTFLNAPFGQV KHALLTLEALVFTLQRPQNKPPTCPGGDQCSCDRRYSRVCVPGKGNRLPSGEIMLDFD WSKPGLEDLRLVFLGWTDFVGHEATFLVVEKLPRGRYRRVGRAILKDDSQQRMRNFLV SRPRELVSIE LY89DRAFT_682770 MAPTKPALDLNAMISADRQRRKNESLAQEIFGKNRRASAPGAGK IKAKSGPVPSLASRIGVAGITKRSASISARPARAVAKPPAGNVDAEWTHDLYASNNGT PNVPRGPRAQRQNRNDRLHFALNGSASSPALNSQFNIVGNAKPTTGISIKGLALGPYM VVAKNLAPGTTAADIESAMIPIGGVVLSCRIIAERPKVIAELAFETKEGADNVVDTLN NQNADGNILHVYHKVGPLPATVKKQLSANPAPISPAPRVATPLGPRADRLDADRSDDN RSYGGSDRYTPRDRSRERERRRDYDRDDVIDGSYGFADSRMDTDNDNRNDRGRGQGLY SDSMINNRGRGRGNSWDRGRNNNDRRSYR LY89DRAFT_682771 MTRILHAQNLESPPPNSVELEMKGLELVWLPLQPNSLFEAVAHD FLEDMKPESSAMRGTLSASKAFEKFRPSPLDCSLADDTSINSPNGDAECELSEPEAGG EFSRTELEIVDSTIDNFDTSKSLSCGPKSDDEYAIEGDNYDAFESTREIGWTASDEEY VVEVDSDVAREFLGSQYSESLSSTPEEEKGALLDPHLPRILLDGEDILVEDSNESQLK ATKTWPQLGREFKARHPELFPPSRQPMFQVELENYDSDADLECSFKSESRICSSQYPT PQCTTKLLPSSDLGVVKKSKCLQAEPFQVSKADIHANIVGDSSNDMPLVEHIEYAYGN QHGILDSSEVSQGMSQTPINIAGIEDGSKTSVPSLHVLFDLENGRRHNKEDPPVLEQN PEWSSWVEAEVAQRRMFQTDTLGREQIRLAPPEMRSKYGSLKKRGKFEQCYAQADELN EASSATCSQTRSSSNTSFPGGFPFTKYSESMLRKSSQMSSNSGPDKDVQVEKIVEGSS ESTELKDTTVIEPEKQTENLATLEHDICSQVEPHEATPGEPGAANKSDLLEAVMMASN QSLDLVTPEDLNIRLVGKAARQSQLGKGSKVSSLIDLFKAHGLMSESAMPALHRQTTS PGIPMPPGRTTTPRSIQSPSKKNVGVGTEIASHSTNSLKRVPTYVSGLSDADTELDPG FGEELARCRKR LY89DRAFT_779820 MLTCYGCMRHCLQAIIGNAAVISNSSNRRLAAGSGIYGPFVQSF TTVTAGSRRPKSSSNDDFQPPQIKTAQKSKPEPRIKPELLPWQIKAQKRGNKGKRQSE RLLRKESPGRDITDREMSLHLKYLRDPIKLAEFVRDTLRHDNYDLAQKVVNEASSRTS CTVSWNHIIEWQLSRGRMNAAIKCYNEMKKRAQVPDAQTYTIIFNGCSQHKDSDNALA KVLTMYHSMLTEKAPIRPNTIHLNAVLKMCARARNMGAMFSIMDQMPKKGLSSPNNLT YTTVFNALRMYIVAGPRDKMTPMQRRQFQQENILHARYLWADVVKAWRQGDIWIDEEL VCAMGRILLSGNEQDNDDVLSLVEQTMNIPRQVPRKGTIERYRHDPATQGQQPTEIVA LRLKDPSNPDVEPAQKLTLESKDTSTLDVKPAEDFPPEPISPVVFSNGVSDVYAAPRQ NTLSLVIQSLTELHLKDVAAKYWELFSNDYNVAPDAENYHSYLRILRLSRSSTEVVNL MMKMPRQYMQAKTFLIAMSTCSRDKNNRHAFANAGKILDLMQTTLQEPEILALQHYLE VAMTSPPHTGKQSSSNNNEPSKYEQGRQIGRALQRLEPSILTLRSSLAFRDPSLPDLD QTARKTFVDNIIVIMRRMIAAYDILINNAMVPMKDHRHLKDRRGILAAFVTRHKAGPR NGARVGGKPMDATSTVNPEGLAPELHR LY89DRAFT_466982 MSKAASARAKFAELRALRESGKKRLDSYQVEQEADLYEEVDEDG YKKVVRERLNQDDFVIDDNGEGYADDGREEWDRQPGYDTGSEEELPAKGKAGKAAKRK REEDKAKKEKTDKGISNYFTKGPVTAQPKAKPVKTQADDDFLSGLLDEVDTNVPRHVP QASRSRKIQDKRRARALSPVNEIPRHAPKKAKLVDSREPATPPEDQDYGDDNFMNDIE DADMQMEDPQPSSPVVKAVERKAHVSVKAEDEDGDDMMEVAQADGIVTASVNRSGTRP PPKIKKTEAYPSPASSSPTRAPTQHVDASAWNDVTQRLNVMNSSQSSESMSFGKLDYH DAIEEDGSLRMFWTDYTEINGSLCLFGKVQNKKTGGYASCFVKVDNILRKLFFLPRQY RQKHGRDTSEEIDMKDVYEEVDELMGKLRVGMHKIKPCSRKYAFELPDVPKEGEYLKL LYPYSKPQLQPEHQTGSTFSHVFGTNTPLFEQFVLWKNIMGPCWLNIEDAEFGVLNNS SHCKLEVQVSKPNSITPMSESDGLEAPPLTLMSIALRTVLNVKENKQEILAISARVYQ NISLSDTTPPEQLPCRSFTIIRPTLNSFPIGFESDVKRKAKGDVKLAKQEAEVLSFFL AQLNLIDPDVLIGHQLEGVDFSILLNRLQAKKTPQWSRIGRMRRTQWPSSMGKMGGNF FAERSLISGRLLCDLANDAGKSTMTKCTSWSLTEMCSLYLPGTIRREIDNETALKTWA TTKDGLMDYVTHCEADTFFIAALALKVQMLPLTKVLTNLAGNSWARTLTGTRAERNEY ILLHEFHRNKYICPDKAVYKGKAQAEEDNLDEGSGDVKKKDKYKGGLVFEPEKGLYDK FVLVMDFNSLYPSIIQEFNICFTTVDRTQLSDDEDQVPEVPTDQDLGILPKLIATLVS RRRQVKSLMKDKNATTEQLATWDIKQLALKLTANSMYGCLGYTKSRFYARPLAVLTTY KGREILRSTKDLAESNSLQVVYGDTDSVMINANVDNVEDALKVGNEFKKAVNDRYRLL EIDIDNVFRRILLQAKKKYAAINLVQVDGKFIEKMEIKGLDMRRREYCNLSKEISAKL LDEILSGDDPEVVITRIHEYLGEISTKMREGGVPVHKYTIYTKLGKAPKDYPNADSMP QVQVALRELSKGKTVRKDDVIAYIVTGDGKTTSEPPAKRSFTPQDVAKADSGLAPDVE WYLYKQIFPPVERLCANITGTDTVRLADCLGLDVRKYSINNNTSNGGNEAEIHPLESQ IDDEVRFKDAYRLTLRCRACKATFGFEGLAGSLESCSASGITCRCGHVLSNLSVVAQL EHQIRQQTSKYYEGWLVCDDQACGNRTRQMSVYGHRCLGPKGLAQGCLGKMHYEYTEK MMYNQLLYFATLFDVEKAKGAAKGSDRERVMALAEHNRVRFGTLKSVVDKYLDKCGRQ WVAMDSLFGKLGFTA LY89DRAFT_666787 MAYQSGFPASFLAKRVPDNNSFDLPPISKERLAQLKLPGSSLSN YSIASEDSHKQGLLASHRRKQEEMEKQTKRVSWAKRLEGSARSIVPQDQDGDLDVVQE AGSDVDSITPIERAFESNIQRPSLRLAPPPPPQQVPGADEASFARCKSALGWAFHHKL RVFWLSVLLLVVVGSIVVPFVGGKK LY89DRAFT_579840 MVNITEKIKEIEEEMRRTQKNKATEYHLGLLKGKLARYRAQLLE PGPGSGGGPGAGFDVSKSGDARIALVGFPSVGKSTFLSKITKTKSEVAAYSFTTLTAI PGVLEYGGAEIQILDLPGIIEGAAEGKGRGRQVISAAKTSDLILMILDATKKAEQRAL LEAELEAVGIRLNREPPNIYLKPKTAGGMKITFQNPPKNIDQKMVYNILRDYKILNCE VLVRDENVTVDDFIDVIMKDHRKYIKCLYVYNKIDSVSLDFLDKLAREPNTVVMSCEL DLGIQDVVERCWQELRLIRIYTKRKGVDPDFGEALIVRNKSTIEDVCDQIHRTLKDTF KYALVWGASARHVPQRVGLGHVVADEDVVSIVSNWRA LY89DRAFT_641346 MSSNVLSDRDVNAAVEAKPDVKSMEYHRQVLQSKLEENQGKQTY ISPSDTIMSPCTAKLSAYRSKQVGKAKPKSLFAKTSSKNLASGSLFGGQIPKPETEDQ KMED LY89DRAFT_779825 MSADIPILAANRVSDRAKKTLDIVKQFVEERCIPADPVFAAQVD AGGDRWDHHPSVIDDLKEEAKKLGLWNMFLAKGHYKEGAGFTNLEYGLMAEYLGKSRC ASEAVNCAAPDTGNMEVLAKYGNEAQKKEWLQPLLDGKIRSAFLMTEPDVASSDATNI QLSMKREGNEYVLNGQKWWSSGAGDPRCKIYIVMGKSDPGNKDVYKQQSVILVPANTP GIKINRMLSVYGYDDAPHGHGHLSFNNVRVPASNMVLGPGRGFEIIQGRLGPGRIHHA MRTIGAAEKALEWMLLRINDPKKTPFGKQLKEHGVIIEWVAKSRLEIDAARLVVLNAA IAIDDRGAKGALKEIAEAKVLVPSMALTVIDRAVQSFGGAGVCQDTPLANMWAQIRTL RLADGPDEVHLQQMGKNENKKGKAVSAKILRQAEITKDLFAKYGVSLSHIGPKSKL LY89DRAFT_682777 MVLAKSKNAVGLGNSLMNDRFGKGKGSDRKKVTTAGIHRINHAT GETYVTNERKEASWVKMRSVTEQAALDEFLSTAELAGTDFTAEKMNNVKIIHTDQKNP YLLSAAEERAAVGKQKAHKGKLTVPRRPKWDSSTTPEQLDRMERDSLLEWRRGLAELQ ENNDLLMTPFERNLEVWRQLWRVIERSDLVVQIVDARNPLLFRSDDLEKYVKDVDPRK ENLLLVNKADMLTLEQRQAWADYFEAAGISYKFFSASLAKEMNEARDLEEDDNEEEDE DEEDEEPAASGSKLAQKAGKLDIEDHDLEQDDETDEGEEEEEEDESTRILTVDELEAL FLLHAPENLDADSENPRKTQIGLVGYPNVGKSSTINALIGAKKVSVSSTPGKTKHFQT IHLSDKVLLCDCPGLVFPNFATTKAELVCNGILPIDQLREFTGPAGLVAKRIPQPFLE ALYGMKINIRPLEEGGTGIPTAEEMLVAYAKARGFTRTGQGQPDESRAARYILKDYVN GKLLFCQPPPLDVDPMEFNRELYDEGHLPEKRRQALALMDSSTDDHASVADSDVIPLE GAKSRKLDGAFFSQGQGNSAHLRMPFNHKYSEQGTASGKQLSGRKQKTMLAMEKDVDP SDVALLTSKKHFKGGSKHKGKRRANYFED LY89DRAFT_682778 MARKGWIDKKTATHFTLVHRPQNDPLIHDESASSMVLNPTPLPN SNKVKKLDDLASELGLDAEQIRENEGEAANYGIYYDDTEYDYMQHMRDLGTGDGEAYF VEASAPKNKGKGKGKQSLEDALKNTSLEDRDTALLDEDILPSKNLRRVTYQAQQDVPD ALAGFQPDMDPRLREVLEALEDEAYVDEEDDVFGELAKDGEEIDEYEFEQNAFEEDEG WESDETEKPAKEYKDAPVPTADLVNDEREDHGDGAWMEDFSKFKREQKSKVSPAALSQ SDLQSSLITTTTNGGRKKKRKGALTNPSSYSMTSSSMFRTEGLTMLDARFEKIEEQYN EDVDDIASVSAASSTASSVQGPMRGDFDNIMDDFLGNYSMSGKKHVKKGKYQSGMEQL DEVRKGLGPARIRTQKA LY89DRAFT_556307 GLIDDPEVPKKLEDAIVFKGTCEDMCPELETAERIVERRYDQLE KEYLGNGTFSAGPVPELMVKKLARSAAGQEAPLPNEVRTVKALRNTLDYLIDNVLANV ELPSAHGFIWDRTRAIRRDLVFHSYFTDEEMLDRVYILENITRFHVVALHLMSEPGVN AGDFVEQQEHEQLGKTLTSLIHAYEDCQVRRIECENEEEFRAYNLLFNRRDEDALTKA QTLDWKFWGLSEVYQIAVELVEAYQSVWDSHGPLKNPAATSGYTEFDVTLSAFSKFFT IVQDSSVSYIMACFAEICFNDVRKSIIKCILKSYRRQKDQTKDWTLSKLNTYLRFDDE AEIVPWGEKHGLHFESGEEE LY89DRAFT_551529 NDQARIEADQFRYRSLATRYVHNWREAARKLGLKRRGRETRKFK KELMASKRAEKIAEKENLVEEFRASTTAKRRQSREEHESVERLLEDTGVLGGVHDPEQ KLRAIVQEEIRAVMNESSSKKRRRYEQSTTPTNGHKHNRTDDPLRRSLLSDPTYLNGQ SRIHLMSNYDDRDEHRRQKSGVQSDYWRLKARGIVTLPNGVPLASSAAKDVVHQKRSF DGITKSKAQHSKVQAWTRGVPAKAPVEEDDDEVLMDGVESDAEDKKLKQKSLWSEEEE ALFARRKRLCEKMDEGAEWYREYMKLFLKSKSRSSS LY89DRAFT_731197 MALVVSKKDQEVDYTIRPEAITPAVDTSTWPLLLKNYNNLLVRT GHFTPIPNGCTPLKRDLKSYISSGVINLDKPSNPSSHEVVAWVKRIVEKTGHSGTLDP KVTGCLIVCIDRATRLVKSQQGAGKEYVCVIRLHDKLPGGEAQFARALETLTGALFQR PPLISAVKRQLRIRTIHESKLYEFDNDRHLGVFWVSCEAGTYIRTLCVHLGLLLGVGA HMQELRRVRSGAMDEQENMVTLHDVLDAQWMQDNTRDESYLRKVIAPLETLLTTYKRI VVKDSAVNAVCYGAKLMIPGLLRYEAGIEVHEEVVLMTTKGEAIALGIAQMSTVELST CDHGVVAKVKRCIMERDLYPRRWGLGPVAAEKKKMKADGKLDKYGRANEATPAKWTSE YTDFNAPADGSVAAPVAETTTKADIAAAPALPPVNADTTVADVSMISNGVEDSGKKRK RHEGETAEEKAERKRKKKEKKEKRASKGKKTEESDSD LY89DRAFT_779830 MANNPDQAFIEARQAAIEKAINTHAVDSLLSHYIDEGLDYSDYG AGAINMDKAGLTTYFNNMFSGCGNLKVSTVAVSGSKNFTTWEWNLSFNYNTAVEQASG DVDFTPDKADGREVRMVGVTIAWWNEEGMVWKNHDYAKAVESFEGR LY89DRAFT_695559 MDSDAFTGDFANAADQYTFDSISGASSALQQHWSTYYTESDIQA LAATGLNALRIPIGYWAYNNTGTPYLTGADAYLDQAITWARAAGMYVWVDCHGSPGSQ NGFDNSGHAGSVDWQTDANLAASIAVLETMAKKYGAMEYADVVVGLEMTNEPISYGNN QFSVTQSWAQKAYSSVKAVIENPSLVVVMHDAFQGATAWTNVASTLIGDGKKTFGIDT HLYQLYTDADNALTQAEHITEACNWATDLALANAVMPTYVGEFSAATNVCVNPDGTTT AGTTCAVTGCQCQSADFDDLNAAMVKQMRMFVEAQLDVFEGSARKF LY89DRAFT_705796 MERQRTANYLTSVLLGADSLGTELVSREQLLRRRLRNHAIKAVV WPMTIIYNCLNTDILKGEERKETAWKLIREISAVMRGNLLDLTPDLLAQYVGNYIVDP PTELNPLISDVVQGIDNDIDMITGWTMKYGEQHNPPYLCPTNRKVLQQVKDIAEQVKE HWARLEEGHKEALDRETGKEYMDETTRRSSRHQSRRKYKARDMDGLIKSWIPPEQSAE TATWSAPKDHKPATTSAATPERADEKSITEKSTETRTRQRTPFPEPKLAGTTRMKQNS SNHVDTKSTNHGAKEGKENDEEAKREASQSATQAAWKRLEEVKKEFETLDALRRSRAK ADHQHWKDGDVVRNVGC LY89DRAFT_467387 MSKVVFLIPILLPPHFTSHAHELEDNLAMRGLGSAVLPSCFNQN SVDRGPIYAEKRPPITFLVHNNRRMNELEDSNASIGLVENENCQFAKGFDVEVLAIPE NTMATRLPTTLHLRYMSGILKTTQGFDVETEPDLDPYDWDPQQAEFLHHNPVIFQGDT SVLSFGADDNRKLVVRSDLIPQDVNTYNVKYVRNEDAMKSQEYIRNYILPSAPRYVCS TLNGIKHRLRPDSIILFL LY89DRAFT_641363 MSAMDMFWAAPPISRTLAASAFVLSICVYTGMIPFYWVLFHYSK FMVLPPELWRIFTSFLITGKDLGIIFDTYFLYTYGSKLETASPRFTGPGDFFMYIVFV CATVLGLNIFVTGGMIFTSALVLAFAYTSTQDDRGQKATFFIVTIPAQWIPYAMLLMT FIMAGPEAAKVQATGLVAAHLHDFLTRLWPTFGGGRNLVPTPAFVKRMWQSTEASVAD RAYGTAFTPAQRTSGTSTGAAGGVLPESWRNRGSGHRLGGD LY89DRAFT_705797 MAGSKKVLMLGAGFVTRPTLDILSDAGIEVSVACRTLESAKKLS ADVINAFPISLDVTDEKALDAEIAKNDLVISLIPYTFHATVIKSAIRNKKNVVTTSYV SPAMLELDADAKKAGITVMNEIGLDPGIDHLYAIKTIEEVHKAGGKITSFLSYCGGLP APEDSDNPLGYKFSWSSRGVLLALRNAAKYYKDGKIVEVEGKDLMGEAKPYFIYPGYA FVAYPNRDSTPYKERYNIPEAQTIIRGTLRYQGFPEFIRVLVDMGFLSDDAQDYLKEP ISWKEATQKILTATSAKEEDLKWAIASKAKFQSTEEKERIIAGLRWVGIFSDEKIIPR GNPLDTLCATLENKMQFEEGERDLVMLQHKFEIENKDGSKETRTSTLVEYGDPKGYSA MAKLVGVPCGVAVKQVLDGTISEKGILAPMSSKINDPIMKELKEKYGIFLVEKTVS LY89DRAFT_705798 MRIACLQFAPQVGDVDNNLNRADSVLSRANPKNLDLLVLPELAF SGYNFRSLQHISPYLEPTTSGITSLWARTTALKHNCNVIVGYPEKVDVSLRWPASPEY YNSAITVNADGETIANYRKSFLYYTDETWALEGPDGFYEGSIPGLGNVAMGICKWPTK SFERMDLNPREPKEPDMETLSYWLSRLEPLIRNEGEEEIICVFANRCGVEEEAVYSGT SAVLGIKSGEVKVYGILGRGEKELLVVDTSKRAQMQLISEPRKPATREPLRASLNLAP GPTFESASPSPNDSTRSIASGLSVDTGRTSTDPAPIEAPTDALAFSPSSPVDHPFKQA FFPPVQQPLPRPGSRQTHRQPTPIPQSQEIYSIMGNNRYGTPAPHSRPSSPKSRNASR SRGPTLVDSPPPFQESPLLGNLPVLEDFLSHERRLSRARFNDNRIAQSTSVDALHHKP CAEVVPEPDDEAEGELDAKVNRPSRSGSENSSQYESSFTRNELGPRSRHVSPRPKSTQ W LY89DRAFT_467425 MAFHHFWSRTPLWVYINMRLSEKHAVYDAVCRISWRVLLRASYL RVTLLHYYIDLQRKIVADTWSMKSLGSTCIYILALAFLLANAQSPLLGNLDVRHILSP RLGTSSICRIKLSILRTHHHMILKQGLSNLFGRLSLSSFN LY89DRAFT_772659 MGTSRRVRCYIPITNKIFISVDEAGTCARLPLNNNPVTSVTTTD IECNAGTKPVATLCPVTAGQNITVEMHAQPNDRSCTTQAIGGNHYGPVLVYMGKVADA ASGMPTSWFKIAEDGYSPTTKKWGTDLLNDNCGKRSVQVPATLAPGNYLVRAEAIALH AASSTGGAQFYMTCFQVNVASTGTVNPPGVAFPGAYKATDPGILINIYQASNIANYQI PGPAVWTG LY89DRAFT_467514 MLSLSIPVILTLSTFAHGLLVNPTSPQCADVCGNSLTSTSGSEM TCNDLAYYSSLYGATFKQCIACELNSTYYDPTSKVSDLNYAIYNLRYALSWCLFGLDN NTALTDTPCLTLFSCGPLQGAFEYDNLNQSASPYSYCPSLNSVSVPKCSSCLQEMGNE NYLANYVTILKAACLQQPAPGGSLSIAGTPFSSTMVNITTPSATPISSYNPSSGGLSL GAKIGIAVGGLLFLLIMSGCCIVWTGKRRRRRVLAEKARASGYEWQAGRHGTTPLETS QHSNNGGHAQFFDSPQSQKPLFTTNAWGQIVDESPVSGHPDSAISRGGGGGFEKAYFS PYSSTYTSPVSAVERRGEQGWPRDQKKAVVEPEDERGERIEMGAVMSGAGEYPLDRKH AVEDFGITPVQGGGGGAGWAPILSHPGYGRGRGGLGLGEEDARRGDAM LY89DRAFT_731207 MAGSLLGDMDGQAQGAYRDYDAGAVSIYADTLKSIQTATETALS RPLEVRAITCPAHFFEGEPIFNLRLAASSPRLRYFPDDDDDDDDDAYDQIVALYNAAR LAHHLDNCKMFGGQEGCDIFSEDNFALHLKNPASEYFQQVASAIQGFMDEMIVKMTEY YGIKDSKLKVRGVILAGEASREGMEGFKEIIEASLPEYKGRFMFDVDPSLIGAKGAAH RARQWALKMAFVKPRGPFHDDL LY89DRAFT_716439 MTSYSSSFFVFCLLVCICTSSVWSLQVTPNSPCATFCLDDPTAD VSDPYSSNTNGSDIACNDADYDNTAVGKKFKSCLNCLQNSTASSGSENDQGWFFYNLR YAFDTCIYAATNASDPISTPCSTDTVCAPLQSALEEGIQDASTMGDEYSYCAADNNAF VGPNLGACQTCLRENSNVFYLSNFLVALDAGCQQDPLPSNTTVGLNTTLFSTTQVSII SPISTSITPTTKHHKRLSTGAIIGIAIAGLIILLLLSAISFITIRKRRNATRLHLLRS PLDSRFGAENITAPNKGAYSSPQSSPSLQQKDNSIQMQHAPSVRNFSFSRPLHRAGEW TGAAPAPAPSSIHSSSPPGYETPVSGVPAHHAYIPPRDPDSAASYDPNSPLYISPPQP PAPPPATSPSASTASTNPSPRYIHRSSPSIRTLTSPARSPPFQFSPKPSPNLPLNSHP GGGSEEEAKRARERLYREGLGGRTLVHGREEVEVPVQETSRSPESDSGSEELWPGSY LY89DRAFT_467576 MSLNGSCMHRSSCRLKAQSRVYSLSRSSSRKPATTLFPCSKRNP ASSHPIHHTFPSKPPLTTQDKQVNILPSIHTYIHTYAPKRPPPPHWSTSLHAQNPALP SPQPSSRPHIYMITSPHHESHPPSTIYSPYLGRFNLDKYYTSIHKPKPNSRTFPAHQS MHPVPLSARELPSRWNLSKRT LY89DRAFT_682789 MAPSLFNRTMLPSFLSASLLFGAALSSPSERLQSRETNLDPAIN INFPDPAALLDTDGTWYAFATNGNGKNVQSASAPSISGPWTVLSNDLLPTVGAWSNGA NVWAPDVRIIGSTYVLYYTATDAASTNQHCVGTATSDTILGPYTPQSDTIACNITAGG AIDPSGFTDTDGTHYVVYKVDGNSVGHGGSCGNTVAPIVPTPLMLQQLAADGITPVGS PIELLDRGDADGPLIEAPRLILVNGVYVLFFSSNCYSTTLYDISYATASSVKGPYTKS SAPLAVTGNPFNLTAPGGSTATADGKNMVFHANCEAGRCMYETTITVSGTTVSIP LY89DRAFT_682790 MQMFRISLHLNLLFRCLSILQATTIISGTGKPRREGSNKSRSPN PILRQRNLKPPQTFEKHPSIMHKFANLPKRSTFGLVRRQTSGSPFISNSVGAEDHAYI SD LY89DRAFT_705800 MPASAAFQSGSDFLASFAYIRPSAFTALLLLASIWIYVSIRKAV IYRHDVELGKRSGCKPPAAKLLYRWPLALDVLKSGFQAGREKKLLAFFTKHFDQLSPT AEVKILGGIGFVTQDPENIEAILSTHFEDFHLGPRSMAMRAMIGEGIFTQDGAAWKHS REVLRRQFARMQYQNQKDFREHLDHLIERLNTCSGIIDLQPMFYRLTLDTTIAMILGQ PVESFKHEIGDLFSKAFDQPSLVTGTRARLGNLYFLYRPRGFFEACETIKRYTYQFVT EALQREAESPIESEKSSFIADLHRDNQNLQLVRDQTLNVLIAGRDTTAATLSYEFHLL LRNPNALKALRNEIDTVVGQEKDITRAHIRQMPYLHNVLNETLRLYPPVPINTRFCRK TTALPVGGGPDGRSPLLVREGMLVAYLVYHMHRRKDLYSPDPLAFRPERWEGTELANI GWGYLPFNGGPRLCLGKDFGLILASCGIVRVIQEFPNIKLAPGQVLEEPGTERQHLTL TLSNAEGCKVILD LY89DRAFT_705802 MDPFSIIAGTAGLADVCLRLAIFLKHAHDGFRVVDQELEELSEE ITSLRALNDLAERTYKEESVVGIDPDQQDVLSTNWRATQNTLASCQGIVERIEAILRE ILHSGNGKHVKLDQVRKWLKQRSKERGLNTLREKLQAHQIALQVSLSAVSIIHSRTSQ QESHKSYSDLSASIQSLGADLVSKIDSLKRVITPSERTLGSSIESVKEAVAFAYLNKH FFIPQPVRSIFTGRKDELEQLKNCLTTTPSEGPLCTQKRFVVFGLSGSGKTQFCCKFA SENKQSFWGVFWFDASSQGHAEQSFSNLSTIGKVASNPAAVKSWFSSLGPEKPWLLLF DNADHERFPVEEYFPDSNFGTILITTRNPLLKTHGTVGPRYFGFHGLDEEKSIELLLN ASEEPKPWKPSSVNSASIIAKAMGYLPLALIHAGATIHSHLCTLENYLEVFEETWEFI RQTKDPNASTPISQENAAIYSTYEVILSGILAKKTLASEDALDLLRIFSFLDRQQIKL SIFFRAASNPEGKAYSKLIPKITRGQALRSIITRLVNLLSQLGHRPVLPRFLSEAHGP KVSYQSRLREGLHELSQLSLISTSSDRGECYSMHAAVHLWARQRPAMTLVEQAVWCQM TATILSRAILLPPLDDTEEEEAFRGDLLSHVRHVQDVELKIQTMFTKNRQSRLWTWPV LYSPITPERLEQLVKFSLVYAQGQRSQLQEAERLQSYAAKVVMQYLGMEHIKTINIMR LLSRTYWQLGQIDEAVGGLKQALDACINVLGRENPETLQIMDSYGSSLWLQGRIQEAR KVHAAAVEGLSNTLGSDDVQTLKAMGGLGRAVGKDFDFTEAVSIQSKAFTGLKAKLGP SHSATLEAMDNLAMAYFDRAAFRRGQPGDLKHALELESEVYTVRSEKLGKEHYHTLWA GLNLARIHAIRGETDEALRIFLPGHAAVLRDLGENHFIYLFGELHHGRILMCARRYEE AERILSNVVRSHQENRRGHPDRLLAMFSLIKCRNVLGKDDETATLLTELIEGTKAMIC LGWYGSFSYPKWRSGDIANRRIMTAINEIALEVAEARRREEETKNSQLNRKRRHTLNL ELDT LY89DRAFT_467631 MSGRISDRYASFFKCDVMDYYCRERNFLYSFFFSFSIMACISAN FQICFADMFRGITCFEMCTGNIHVSLSLRDLLKQRHGISLRSHEFGLREK LY89DRAFT_467634 MPLSKNFETSQQTGYQKLVDEESVEYDKTEYSSQLSSRHGLLSY RVGFWVLSVLYILTAALWFTVLPSDSSPNIATYGIIDIPFDDVVFMPDPAFETAADSM SEDDPWSRLEPAGRGFIEVPVEVALPDGGTAIEKQYYCISMFHQLHCIASLKAAFGSL MNISHDSDGHLVHLDHCFDYLRQAVMCAGDMTLEPAVEYPEIGRKGVAGWGVEHKCRN FQAMKDFAEEHTYINSTGIL LY89DRAFT_682793 MPPSGLAIIIGAGPNTGTGIARILSHPKHGNLAVALLARRPENL SSVRSNLEKTSPGSTIETFSTDTSPSQLKSVFQSIRSHNSFKNLKLKVAVYSVKHSSK KPFMNETYEEFTESLDQYVGGAFTFSQETLKLFFEHHGEKPLFETGEKKGTLIFTGTL GALRCSAEYAAYGAGRASVRQLAQTLAREMSPKGVHVVHTIANGAIEDKDGEDQKIGK KMSADAVGKTYLWLSQQEPELWTHELDMRPAAEKF LY89DRAFT_467650 MSMVYEYVVGVSAFSCFCVHQGAFMLPRLSWRETQARLQMTMGG FLYFVCANSAMD LY89DRAFT_682794 MSEMKYGNIPTNFKAQPENRQYENIELVKQPTQYRNRTNMTTNP PLHAPPRPASSIYSEQLVMKPWIRNNGPNGTLSYAIDQTIENVQGYSGPPLNRAHSIT TLVPPIVPDTYAHHDQESIYEPADERPEWEFPSDASSHHGNTEQDEFERSMNRAKAMD FLEGGEGNVSHRRAATDQEYIPQRLQPGEQGRSTAPPEESRLGSIQGLRKIRN LY89DRAFT_731216 MAPPTPPQTPPTSRSRPQLDITTKPPSPPPSPGPLSPEDAEDPE PPFLRSCLQCHLSHLKCSYTIYFHTLLVNRSKDPIVSCTRCIRNGEDFCIQRLHKGYD KERTEWDTYDFVADKIVEVKVAGSKRTKKVREEIEMQIVGKNVQRLWEEAEGKKKSPL PRPELWGLKLKYLRDRGGESWRKDAIEVERLKGWAERDRLRRRHSV LY89DRAFT_682795 MASTPKSTPVSPERKQNKSTTLDTSHPIEVRKQIRSHGLLPPNV ESHALQAQRCLKQLASKTTSIEKYIYLSNLRNANSHLFYRLLLDNFSTLTPILYTPVV GEACLKWSEIYQRPEGLYVSWHDRGNIANVLRNWPKPKVEITVVTDGSRILGLGDLGV NGMGIPIGKLNLYTGCAGIRPENTLPLCLDLGTNNEAYLKDPLYLGARMKKVTDAEEK EFLDELMVALNTVWPGIVVQFEDFKNPFPALERYQHKYSCFNDDIQGTGAVILAGIIN ALKATGKPVKDQRAVFIGAGSAGVGVAKQIVEFFVKEGLTEDEARRCFWFVDTKGLIT NDRGDKLAPHKIYFSRDDNNGQQFRDLEQVVDYVKPTMLLGLSTIRGAFNKTVLEKMA QLNDQPIIFPLSNPSSNAECSFEEAVKYTDGKAIFASGSPWLPFLYKNKILQPSQGNN VYVFPGIGLGAILSKAVHISQEMIYASAVALSNATNATEINDGKLYPDMDRIRDVSVI VCREVIKEAQAQGLDRETSIRGMSDADLDAWIRSRMYDPYKETGVDEGVQGEKIRGSP VKKSLL LY89DRAFT_641384 MSSTFEIQPIGRFVGQSAAIKRPKEIACFSYDDQHQFRLDDSSI KWYYPPTLGADLSRGFDTFQKMDDTADDHLDSLLKTIINYEQKEGKRVETDFITWRGM MTKIMGAIFSDRDGFEMNATLFQDTIFIEEHHAYKLQSHARQSAQASQPGRPSQDMMS FWGYKFETLCLLPKTWAETPRDYIENRENEVVSNHAQYCSIVRTSIGNSVLILGGEVD AIWDSKPTDGSPIHWVELKTTADIRNDRDMVNLERKLMKFWIQSFLLGVPKIIVGFRT PDGFLKRVEEMNTASIPGTVKRSGKATWDGNMCINFAAALLDFIKATVTGDGVWRIRR KERSPTIEIFKSEETGHGDILSDEFINWRIKLALNSKQTESLNAGASSTAMDVDRA LY89DRAFT_467734 MPERRQATSSTSSTSRPTTGVRRNLFHQHLSRRPTTSSTSTSTE TLRLDIEPESDSSEIVIRDKNGEFEIGDLPMTSFDDEHEEGVDTQEEESEHTRSDMDG WEADTGIEERQRLADAVKHHQRDRNRAPSEPAELLDAVKASLRAKMALLAEDNWMYEA EDDHSMR LY89DRAFT_716448 MSAKIEKIIVRLQGKITEGQFYEAQQQTRVVAARYVKSESWDAA IDILFNVSQSLLKAGQGGSGGDLGLFLIETYKQAELKPDATSKGKLLTLLRLFDSEEP TRKKFIGEMIAWSAKCGEYPAGEPELHHVAGSLYAEEQEPYEAERHLTLGTKDSPEVF TKLEYEWYTQDDSHTAAQYAARAVFPYLLVGNVRDATKSLRLFTSRLTEANKGLSVQD VSSNSSDMRIYPSLPLLNFLGLLLLAVQKGSADLFKQLKSKYAPYIKEVGIWDEALTS VGEMYFGIQRPRQGNPLFDMMGSMFGGGLAPPKQQQPKRVGTSAPAAEGLD LY89DRAFT_467807 MIAVNHPSDNCTLGLMVKSALPMRWLRVRFPEGAFNIFATILFV IPLVVEILAFFFSLCEAKIYPSHHDR LY89DRAFT_641392 MTAHEIETVKADNLELIPIDSGPLNVPKLAYGLERVLFNPGVYQ LQDPRTRVFNFDPYLQTIMPVDEFDFNALKQYVTSSKDDTLLSKAAAEKKKYTGSTSS MTASLAHFHFLLSQWRLINVGNLSKMFPVQYHTFTAFQRCPTSIFLRWKDGTYAIDAD KQFDGASVLSMLGKSMEKLLTLPMEDFEKYRKGKSDQISEEERNEPEAFHYTTMGDFL MRSQLDAYDSRLPGTGMFDLKTRAVISVRMDTDAYEEGRGYQIRNRHGEWESFEREYY DMIRSAFLKYSLQVRMGRMDGIFVAFHNTERIFGFQYISLEEMDYALHGSDDRRLGDA EFKLSVDLLNRVLDRATTKFPEKSLRIHFETRDAVTPFMYIFAEPVEEDRIEEIQATN RDKAEEFERRVLGLEAEERNEQEKIAQWNALRARVERTMKQDEEAPEQQLEGAEDDAD AMTEEERHQIQEPLLAMTLTIRNLVDGEYVAQPDAENYPPGAKWDVEYALAEVQDRDR ADLLYKACKLRRKRTYTNTVDLTANVLQDAFLERIKTLSREGRVWRDKQKEIEEKLPL RTLDETLQKSSEIRNEESNDDNDAHDEEGEGEVKS LY89DRAFT_467811 MSYQGGPAPGNGYWAGQDPPNAYKHGRPQVSNNASAQYEQPLSG NGQSWTQNGQTSYGVNPMYTSNGYQMPTSQAQVQQPQYISPAQLQQQAPARAQHYQHP SQLSNSRPTPNSLSKYTPIPPTPSTDSPLDTAMLLVSLAEEYFVAAHELAPAAALSMT STNVDVYQKLIATGLGCLDTALKRVRLAPRQEANIRLRYAAVLLEETENFMEGETTLS KGITLCERNHYHDLKYAMQFLLAQFMAKKNPKAAVKALDGYISDAHAYQHFSWVYAFR FLRATHSLASGNLTDNHAAVQNLRAIAAVAEQQDDNAIFMAASLMEALAYLKTPGSDA VEQVQCQIAASQAYQADPSCCIPQLAVLTHIIDVASSIRQGNTTVMMSKLKDMQSVMD DAMKDPSWSTTSGVISVPIKRTPKSSSIISQDTRMILGIGEDGGDNLMMTFLNKKDAY SISCLLTGMVLLHKNSSDQKGFKYLAAGLEYIDERTPVKVASSLLPDLIARRRWRGLM QCYFQIYMAFCSAGLTDWAKVKDSIDKIHKTALDFEIDLAGPLECLTFYLTGAYHQGI GDFDAALRIFSNERFSISTSKSTTSSYAEQVERDIALLAALNTLWILQDKPRQDPTYN LVLMDRLEPMCVHHPNKDIETAFNLIAATVTTNPPTELYKVKAYLRAALTRAQTTGNT QFLCITLNVMCSRFFSGVVGDQAEKSAKAASVQAMKSGNVLWMSVADGMLAQCYEVQG KKVEAQMTSEQALMSAKKAFPGV LY89DRAFT_779852 MEWLGRAKINFTHSTTPLSLTRKDGAPTDLLSICEEATPPCKLN PLLFNGHVQTMWTAVKNDGPPLYYKRKIFENEDPAFAGSFAVDFISRPSPEKDTSLPI RTTYFIDDEFSSISSDDTRPMLVVLHGLSGGSYEIYLKHVIAPLVGAEGEKQWEACVI NSRGCAMHKITSSILFNARATWDIRQMILWLRKTFPNRPLFGIGFSLGANILTNYIGE EGAACQLKAAVVCSNPWNLDVSSAALQRTWLGREVYLKTMGGNLKKLIELHHDQVAKN PKLDFAKIRAVKYLYEFDRHVQCPTWGYPTEGAYYRDASSTDSLFAIRIPLFVINAKD DPIAVDEGLPYEEIKQTPYAVMCTTSLGGHLSWFEMNGHRWHARPASNFLNKMAFEVD VTAPVDIFQNGVTKHSQSGAEYDSMRRKWQM LY89DRAFT_611987 MAPSRTSTVKSKHATNKSGIRNSKQSGKPQGDGVSKSKKPKSKG PPPKPQKSAGNLATLKKKRRVYTEKELDIPALNMITPVGVEKPKGKKKGKVFVDDRES MMTILAMVNADKDGQIESKMMKARQMEEIREARKAEAEKRQEFRKAKLDETKEGMRKK RKRPAGEEEKKENTRSEAAAGTKPLKVKKRKSVSFA LY89DRAFT_779854 MVAKTDQRVRSAAIARDTNETKIVLAINLDGGEFPTDTDAKLVN GVNGGDGHASQASKSQTIAINSGIGFLDHMLHALAKHAGWSLALNCKGDLHIDDHHTA EDVCLALGTAFKDALGVPAGLARFGSAYAPLDEALSRAVVDLSNRPFSVIDLGLKREK IGDLSCEMIPHCMQSFAQAARVTMHVDCLRGDNDHHRAESAFKALAVAIRQATSRVAG REGEIPSTKGTLST LY89DRAFT_548847 MHTSGGLRSEEASDAAWEGGRGALYGAVKWGAFAALLGGVGYGI SPIYRGLTIQFKVFLQMSGMILGGCLEADHRIREYEAKMRMQRRILRDRATWESYEKE FEESSNSK LY89DRAFT_611996 MAVTKGEEVGESSTAAAKKALKPNPNGVANYELPWVEKYRPVFL DDIVGNTETIERLKIIAREGNMPHVIISGMPGIGKTTSVLCLARQLLGDAYKEAVLEL NASDERGIDVVRNRIKGFAQKKVTLPRGRQKLVILDEADSMTSGAQQALRRTMEIYSS TTRFAFACNQSNKIIEPLQSRCAILRYSRLTDAQIVKRLLQIIEAEKVEYSDDGLAAL VFSAEGDMRQAINNLQSTWAGFGFVSGDNVFKVVDSPHPIKVQAMIKACYEGKVEAAL ETLKELWDLGYSSHDIISTMFRVTKTIPTLSEHSKLEFIKEIGFTHMKILEGVQTLLQ LSGCVARLAKLNMDPKLFIVKK LY89DRAFT_705815 MAAIYFRDLLSQASITQVVLLGSALFVLSYNIFYVIYNLYFHPL ADVPGPKLWAISRIPYNIHTLRGTAAVNFLELHKKYGQTVRYCPNGIVYSNYGAWDEI YGPYKHGQNTNAINAINGEHRRLKMRFMRALSAKAVSAQEPLILNYAQKLIAGMNREL LSPSHEGMINVAEWLSMATFDVIGDLAFSKSFGCLETGTLHPWIKVVFGAFKALPCLR VVREIPGVPYIGLKALYLLPRTIKQKWLDHFHYGADLIDKRIASGEDRPDMAHYLTSN EGLPLTLNEIKENAVQLLTAGSEPTATFSAGVFYFLTKNPPVYERLKTEIRSAFTYQD DITLAKLAQLDYLNRVVREGLRVFPPAADIFPRIIPEGGEVIMGKYLPEGTHVSIGAY AISRDERNFPDPDIFDPERWLPEHIATDARMKASQPFGQGHRSCIGKILAHAEVRLLV SSLILNYDFELLTVDQNWISGCKVYIGWEKIPLRFQTTASSQNQLRTSVEKHVVAFGD FKRETSIER LY89DRAFT_779859 MGIHSENKSQTYNSVSSSKKEDNEREIIKIPDLFELFMSRTPTV NPFYEHVKDQALEWATDICHYNTQEAERMRRGDFAYFAAVSVPDADTNKLRTVNDWLN WVFVFDDQLDDGHFSRNGKTVEAFEYIDSMIAVLDGETRPESDLSTQALRDVLKSIWE RVNGDPDCADDAKLRWKQNMTSYLAALRASMTYEPSDNLEKTVGGYIDYRFHSIGVLP LFSFIEYAYNLTIPDEVFKNRSMQEMQRVGVEIQMLANDCVSYRREKANDCPHNIIYL LRYHGFSEQEAYNHVQILLRNRYKEWYLAQAQLPTWGERVDREVQWYIKGMQDVALAN ANWSFRTERYFGGDREHVRETREVPSKVEWLPGILVEGKAEV LY89DRAFT_612003 MHHSCYTYTTTVPFTGIINCPLQTTCGPEPMCIKLNKATLEVPC ANSRCPKTPTATVTAWGVCPTCRKGCGTDIITETVKTGCHPTTFC LY89DRAFT_731230 MSKITVAGVRTNVQELLQYSLETKKRNFLETVELQIGLKNYDPQ RDKRFSGTVRLPVVPRPNMSICILGDQHDIDRAKHGGVDAMSSDDLKKLNKNKKLIKK LARKYDAFVASDTLIKQIPRLLGPGLSKAGKFPTPVSHNEDLSSKINEVKSTIKFQLK KVLCMGVAVGNVDMTEDQLISNIMLAINYLVSLLKKGWQNVGSLTIKASMSPPKRLY LY89DRAFT_580416 MARNTSNRLQELSPTRPSREQLASLASPTTLGPLEKENHQHGGR SPAIEESNHARIKRLGRQRPEVFGSLWAEVGFVFSVSMSQVLSEYFVSGFTVLLPTLM EDLNIPAASSTWPASAFSLTVASFLLIFGRTADMFGGYPVYVAGLVWLTIWSLIAGFS TNEIMLNFRRALQGLGPAAFLPSSVMILGSIYRPGPRKNLVFSIYGACAPLGFFTGIF FAGLTAEYTTWGWYFYIGTILSFITAVTAYLTVPSDILEKRNNPIKIKMDWLGAILIV CGLILFTFAVIDSSHAPQQWKTPYIYVLFIVGSLLLVAGGYVEARIAEQPLLPASLFK VPSMSALVLALFFTYGSLGVFLLYATFYMENVMGASPLQVVAWYVPMALGGCLISTFG GFVLHLLPGTVLIIVSGIAWIIAPLLFAIAPQGAMYWAYIFPAMICATVGIDITFNVA NVFITTSLPQRQQGLAGAVIMLLLHLGIAVCLGFADIVNTYTVARLGLRQSYHAVFWF EVACAATALMILVFFVKIKKAGSDLTVDEREEMEAASKREKELKDVSRPNTGPEAQDG QYSREGPDDGNVDKQ LY89DRAFT_716459 MDTDEMQAEVSPNKQTPKLRRSCETCRSSKGRCLPSDNDPNRCQ KCLKDGKNCIFLEAKPRPKRAKNSRIRVAEMEEKLEGLLSLLAAQAGTTGTSAVQPDT SSAPARPDTSSASAGSLTPASTRDQTDLTLFNETNPTVFPSNFNFPVSSPQPQISQSL SSTDQSIYSVINSRHDKIQDVISKGIVNFVQAEQALRLFQANCSSFPFIIVPEGMSVD ALRRHRPFLLLSILTFASSGDEKVQAKLEREMRENLSRRLIVNGERSLDLLEGLLVYI AWYHFYFDPENQQLYQLCQMATSLAADININKPPRSLGNALQKFSIAKPSSFLSGMAA EDAESKRVYLGCYYISSSICHGLRKPNNMKYSSYMEECAQALSQSGQAETDRLLPYFI QLQRLSQEVSETFDYAANFELPPLDAGRISVLSKAFEQQLNQIESSISSEAWNNDFEV QLTMQLHVTRIHINEVGFHAAALPQDQMLYPQIRSKSWYYSSARSESLMRCLQACKDF LDHFLSLPSEGIINSTLPDMLGLVYTVLVLGTFAVRIDTPTLDAVQLREMANYDYYIS ALINKTEHVMAFSGPAGANDYMHHIHVLFLQTKVWYSQVSSDPSVFSTIPEIARPGFS FMDILSTIVDRCVDFSAATISNSTTSDEQWTELLSEWSGALEQSTIPMEGTMEEF LY89DRAFT_468004 MSSTEKSPEGLSHDNTSTEEALEQDKHESAVEEPIEDESQYITG FRLALMLVALCMAVLLVGLDNAILATAIPTITTKFNSLDDVGWYGSAFLICVCALQPL SGKLFQYFSLKWTYLAFVFVFELGSLLCATAVSSKMLIVGRAVAGMGAAGLFSGGLII LGHSITPRERPLYTGLIASMFGISSIFGPILGGVFTQRLSWRWCFYINLPLGAVTLFV ILLVFRPAERPETKLPFREKMSHLDLPGLMLFIPAVVMLLLAVQWGGNKYAWKSSTII GLFVGFGLTIILFAAWQWRQQDEASIPPRIIGQRSILSAMAIVFLGMGSVQLISYYLP MYFQVIKGASPVHSGIRFLPTVLANFTSSILTGGLVTKFGHFNPWLFFGTGLTAIGSG IFSTFKVDTGNSMINGIQVLAGFGASCVIQMPLIGLMTLLPAHDLTTATSIVVFFQFL GGAIFLAIAENIFVSRLVSSLHEYTPSLDAQAVVNVGAEGLRKLLSDDGQLGQLAGAL LAYDEAITRTFLLGAAGASVAFVASAGMEWKSFKK LY89DRAFT_779864 MIQNKLSWQHLEGVAHRFDFLDLRVSNWHLLLLGSSFLLLIYGI LLAIYRLVFSPLAGFPGPRIAAISGWYEVYYDVVQSGQYFYKISDLHDKYGPIVRINP WELTIRDGDFHSTLYVGGSVRHSQIFPPSRAGVGIDGSHTSSEDHDLHRIRRKPLDPF FSRKAVQSYESMIIDELQLLDGRLQGMRGTGKTVNMEHVYAAVAGDLVGSITLVDSLS LIMDPEFSPDWHTTVCRFFRQIAVFTHFTFLLGWIKLIPISILLRLYSGAAGFKAFRQ LAIDKIEDAKRTLKLSKKEGAEGRMPETLIQHLLKSDMPESDKATERLASEFVAILAG GTMSIARGLSTIIYFLLADAELEARLRGSLKEVMGGYPERIPKLAELEKIPYLYACVR EGLRISHGTMRRLPRVFPDVDLQFKQWTIPKGIPVGMSAFMMHTDANIYPEPFKFKPE RWLEDCDPFMNRNYVPFSKGSRNCPGMNLAYAEMYLALAIMFRPNGPRMALYKTDETD VIPVHDYFLAMPRGDSKGMRVTIEEA LY89DRAFT_579637 MVADDKPASTFKYAQRPFYTTSNGCPVADPEAFQRVGSNGPLLL QDFHLIDLLAHFDRERIPERVVHAKGAGAYGEFVVTHDVSDLTSIDMLSSVGKKTPCV ARFSTVGGEKGSPDTARDPRGFSIKFYTDEGNWDWVYNNTPIFFLRDPTKFPLFIHTQ KRNPQTNLKDATMFWDYLSTHQEAVHQVMHLFSDRGTPYSYRHMNGYSGHTHKWTKPD GTFNYVQVHLKTDQGSKTFTNEEAGKMAAENPDHNTQDLFDSISKGDYPSWTVYVQVL SPEQAEKFRWNIFDLTKIWPQKEVPLRPFGKFTLNKNVENYFAEIEQVAFSPSHLVPG VEPSADPVLQSRLFSYPDTHRHRLGVNYQQIPVNAPLRAFNPYQRDGSMAVNGNYGAN PNYPSSYRGLTYKPVKVTNDHEKWAGAAVSFLSEVTPEDYVQAKGLWDVLGKQTGQQD NFVNNVAGHLSAAKEDTRKRTYEMFGRVDKELGSRIATETEKLAPAPTSQAAGSAQAR I LY89DRAFT_641432 MGASVSWLSGMLWAKKEIRILILGLDNAGKTTLLYRLKIGEVVT TIPTIGFNVESVTYKNLNFNVWDLGGQTSIRPYWRCYYANTAAVIFVIDSTDIDRLGT ASEELAAMLNEDELKDAALLVFANKQDQPGAKGAGEISEALKLAELRDRNWSIVACSA VDGRGVDEGMDWLVQTVQQDS LY89DRAFT_695588 MPSHKSFRTKQKLARAQKQNRPIPQWIRLRTGNTIRYNAKRRHW RKTRIGI LY89DRAFT_468228 MSETKIQKLTLVANDGNKIEVDRQVAEKSVLIKNMLDDLGDEQM STEVPIPNVNDTVLKKVIEWCEHHKNDPAAPQDDDSDSRKKTTDIDEWDQKFMQVDQE MLFEIILASNYLDIKPLLDVGCKTVANMIKGKSPEEIRKTFNITNDFTPEEEEQIRRE NEWAEDR LY89DRAFT_468398 MLTEDFTGQIFSYPVRLTWEFTSENPIRSVFPLWPVYGLPMLLL RWLWIGNGNDGEIPPIAVFWTLRVLMFALSFVLEDWALHELVQSPRHRRVAVMLVASS YVTWTYQTHTFSNSIETLAVAWSLVLIHRIVENKQRSSVFASAILAFVVVFGVFNRIT FPAFLLIPGLRLIPHFFKKPSALIAAIISALLTTFVAIYLDTQFYTEHSVTWAYLFAH PTITPLNNLTYNLSTSNLATHGLHPWYQHVLFNLPQLIGPTALLLFLRPHASLRLYSA ISGVFVLSIFQHQEARFLLPTVPLILSSVQLPKSQTHLRVWTGAWIIFNVIFGALMGV YHQGGIVPTQVFLSKQPDATQAIWWKTYSPPVWLLNGKNEVLRTHDIMGMKGSLMIRE VGALATCHKPSENATAFLDEQEGTYLIAPLSATFLDNYTSNLETGLHFQEVWRYRQHL NLDDLDFGDDGFWPTIKRVIGRRGLGAWRVTKDCAAAS LY89DRAFT_772767 MSHPKPPNITLYFLQSSRSIRTAWLLEELSLPYTSIFFPRINNK APPDFASRSGNSLGKAPTLVDGDITLCESGAIAEYLVEKYDEEGRMGGGGEGERERER VRMWVHAAEGTFMVHCLAVTYARWFATRGMRDSGALAELEGGLGVNVGKDLDWLEREL EGRRICVQFIFARDLTAGRKVGEWKNVERWIRECEATESYKRAVKKTGHEM LY89DRAFT_612032 MSKAVHVPFSEPPWLMGLPSAYYNDSHRKWQKTCRSLVDQLLMP QGGEWERAGDVPEDLFSKFASANFLIPNLSAPLPITWLKKLGIHSLPGGLAVEDFDYL HTLIYVDEVARTGSLGPVGAVTVGYAFGLPPILKFGSQDLQERFVPDVITGKKRICIA ITEPGAGSDVSNIETTARRSPDGRFWVVNGSKKWITNGLWSHYASMAVRTGGPGPGGL SMLVVPLLDTPGVSMRRIKVGGQTAAGTTFIELDEVKVPIENLIGEEGQGMRYVMQNF NHERLTISISVNRLARVAISSAFEYCLKREAFGKKLMDQPVVRHRLAKCGAELESHWA WTEQFTYCMTKMSEEEANKELGGLTALAKAQAGKVLEVCAATAVLLFGGNGYTKSGQG EIAERIYREVPGARIPGGSEDVMLDLAIRQLVKNFQRKTKELETEMERPKGSSKL LY89DRAFT_731242 MANKLANELWIAIIRLVPRSDLPEVSRVSKRLHALTESILYSEI DTTKHKDGPDAALALLYRTIVSRPDLALHVKHVTLSVMTKVGATQSVRESGTLDTSSL APNYEELLQGYLAESMLSQDVLEDWHATILSERNWDAVAAFILLMVSENVESLTMDNY GAMSRHYFINILLSHAQHAHALTESRRPFSQLQEVALNRGASIVNFMRFRSIRPYLTL GSVAKFTGHDIADANTMFDAGSDSWTTESIALINCTVNAPTLKNFLCGFQALKSFEWV NGKFIPNAEFYNAIQGVPKFKSHLEKLIISNPHQGNIWNASVPAFDLTDFAALKTFVV PSLTALGPLTRENAASNNDNTDMGDVEDMLDMDDAIEPPGFFYSASRINDFTAALPPA LESLKITACSSSMYDCALRIVSTKCKTLSNLKQLTLVFTDERTGTARNSRKKRYAPSK GRLAEYKMIAKKNGVELKFLESVEQA LY89DRAFT_731243 MGELTNVEASGKDSSPLQKHPDNIAESPKDKALVQIEQIAAIRN AFECVVADESIQDYALRSIAGVVVGIIKTWQERALGRDPVDYALSFGLHGLSITLRQV LTLVPEKYQDKIVLSDHLQIRKGGSWVDESVMNVLVELSATNTAAGAHFARGLFTDAI NSWDVLTREEGVFPTRLAYLNDEMKKGATDWNKDGSYTVPSDKDRIVFFWNYYEHHWT VIGIQVKGKSWKYKLHDSLESTSRYTKDSVKTLGIDLCGLICEASDMDKPEKIKVAHQ DSAQQANAYDCGVFAVVNALALLRRATPPIKIDPEETRLQFLVQILTALDAGLGGAPK RV LY89DRAFT_666835 MQFGKSVGTACSTFAITNIDDMFVLVTFFAEAASSKTVTPLKIT IGQYLGLTIIITISMIGFGVALVLPSEPIGFLGLLPILLGVWKFFDLLFPVEEEDPGK SKIAGMKAILKVAVITLMNGGDNIGTYIPLFSQAKGAEIAVYVVVYYILMGVWCLVAW LIMGQKRVVRLVKRYMSMVIPFLYLGLGVYITVKSSCYPWSIQRIDDQFLGNPGRTIM AIVTTFLLLTCIGSMLWYKLRKRVPQPTPDSNIPLEGNHSSTPDVNNPVQRNASSTPD GNMFLQRDPSPNSEGAVTASQTPA LY89DRAFT_468518 MPPSLPSELWLEIITLLPRADLPNLARVSKTLYDLTVEPLYQNV DLTNQWNSHKATESFFWTAIKRPGLLRLVKQVTFQILTDPWLDNGATLIYHPDALKEY RWIQDLMITFTSSPSQAKEWCSALFEDENYLPVFDFLVCMMSWSLESLTVYDYGRISK YKDHYLPGALLRTTQQPQSDMDHPYPFSRLQEVKLYRETFKSTFQSIDSIIPFLELKS LKRFVGQKFIFNDSSPLNNELTTFYVESLELWDCKLPKVPFLQSFKALKTFKYTSQFE WMPCFADIMRVDLRIRESLQEIVFDDRIEECIEGHQCPLDLTSFKVLTSLELSSYSSV GSPRWRLFEYDADSSPIFTSQQIQGFITRLPRSLQYLKITDCIYEIIP LY89DRAFT_666837 MIPSNVPRLPNELWINIVKQVPRADLPNLSRTSKQLHEVTEELL YSEFETARRTPRYGTTPSFKKVFTRFLRTILERPSLAKLVKHVTIRFPMDLRKGLPTG SDMSFITSDQKSWLRHEMRAIDAGTWETAFYGHNPLDWFDAAVAFLIHLFSRSVESVT LLNQRLSRYHHTNAVLRRAAILQKQMVEGAALSHLRSVRIWSCKSLSEPSVNIIVPFL RLDSVKELVCHKTQGSLASPALSAPSQPWSSLSDIQSIEFRNNQNSIVELAALFRSFK ALKRLGWVDRAEDISELLSIISVNTRLQANLEELIFDQREEFCWFEPLPVYNLSRLTA LKILAIDYMSWTPQDLCGPTTRTIEVFVASLPSSLERLKISRCRGGYALYGVEHIVSY KKTTLPNLKQLTFGFDDADWCSATSQMLVDSASRCSRELGITFSAVYEKIGRVGSRGE P LY89DRAFT_695593 MAEKVEFESADLRDEEVFHPEPRPGARIRFGSRDEESGRNRSRD RNGLTRTTTNASIQSTASSRQRRYSVDPSTALPITFRTVSYAIEETKEKQRVEAVKVK KDAATEFGDLEWHTWSIKEVENCLETSINQGLSSEQVVRKQKEFGKNAPSKPPSDLFS RLIGYMFGGFGSVLLIGGILVTITYKPLGEPAPALALAIVLYAVFVIQTLFNGWQDWS SSRTMASISGMLPDNCFTAANLVPGDILYIKSGNKLPADVRFVEVSSDAKFDRSILTG ESQPVAGSVDYTDKNYLETQNIGMQGTHCISGSAIGITVNTGDKTVFGKIAKLTNTPK TSMTTLQKEILRFIIIICSLMLFFNIVVIICWSAWLRHDHPNWISAAGLIVDIVTVAV AFIPEGLPIALTASLTITANIMKSNEVLCKSLKTVETLGAVSVICSDKTGTLTKNQMF ATECSIADKKMTPEEARDRMIRSEKEASNNAISQLRSCAGLCNAGEFDAATNHLPLPL RKIAGDATDQAVLRLSESFGPVHELQVLWKKTFELAFNSKNKFMIRTLALADPAGLDV ALAPSEANAWTDNHLLLMIKGAPDIIVERCTTYVGEDGEVHPLDNTMKTVIEDIKNQW SSQGKRIILLARKILPSHQSIHNPEHNTFEAEVTQQASSDLTLIGLVGIVDPPRDEIP EVVRILRRAGIRIFMVTGDFKLTAQAIAIECGIISNPPAMVHDISMLSRNAVDQPAIS RDVDDSDIEKFGGASTSITLSGPELITLNDNQWDQLCGYDEIVFARTTPEQKLRIVKE FQKRENIVGMTGDGVNDAPSLKAADIGIALGSESDIAIEAADMVLLNSFGAIVEAVKY GRVVFDNLKKTIIYLLPAGTFSDFWPIFTNVIFGLPQILSSFLMIMICCLTDCAAATV LAYEKPEADKLLFHAYFFLGIQESLCSFAMAYWYAQRKGVHFSALWLGYGVYPPSYDP GHVAKVLSEASSIYFVNLVIMQWFNLLATRTRRLSIFQQPPAFNKATQNLWIFPAILF ALVVIFIFLYIPGLNTAINSSPIPVEYFFFPIAFGLWLLLADETRKWWIRKYPKGLLA KIAW LY89DRAFT_695594 MGVVQKPSGNMKGGVSGQLKTPFVITIVVLFIVWSLLSLDMPSL RSTVDTNTSLESSPQPTNGAGLETSISNPTESPTEGSAADVIIAPTEPAAEESKPVSE RPLILYAYADSEEGTSLVNLKFFIEHGLHDAADFIFILNGETTAGSLIPQEDNIRVVQ RPNDCYDLGAYAEVLTTNDLYKGYKRFIMMNASLRGPFMPYWSNACWSDMYLSKITDE VKLVGMTANCWPTFHIQSMIWATDLTGLETLLFPPQSALDYISTHPILLPPPKNKEAA AKTPSPETSRVHQAPGINACFHTWDSAVAAEISSTSLIRAEGYKVDAMMAAYHGMRSY EEGVSCAENRDVLFDGEYFGTNVHPFETGFLKSNRDVDPLGLERQSQWMRGRGYRSYD YCRAP LY89DRAFT_779878 MGSKKVKPLSSKASLPFKVGNKLKRQDLGVKQKKARDALRRDER FKRKREEDRDPELRRERLARNVPMTIDKKRVWDDVEGDGLGVSVDVEQLKRRRVEEAE AAEQEPLVEDQEEEDDDADSMLDSGSDEEEEDEEKASKQRPRAASNAPSTTSTNLDLT PSSLALKFPTLFSDTPPPVPKVLLTTSLNSTLHNEAHLLCTLFPNSTYVPRSSHRYGH KFSLREISKFAANRNYTSVVLLKEDSKKPTGLTIVHLPDGPSCHFSIANWVEGKKLPG HGNPTNHYPELILNNFRTPLGLLTARLFLTLFPPQPELQGRQVVTLHNQRDYIFVRRH RYVFREKRETEKSVIGPDGKAVQGVEGIRAGLQELGPRFTLKLRRVDKGIGRAGSEGE DAVQWQWKAHMEKQRTRFNL LY89DRAFT_682819 MSTTTLKGQPLDRPVMDSMLRRRMFYTPSFEIYGGVAGLYDYGP PGCALLSNIIDTWRKHFVLEEDMLEVDCPALTPAEVLKTSGHVDKFADWMCKDPKNGD IIRADHFVEEILEARLKGDKEARGVKVEEKEEDPKKKKKKVKGTEAIKLDDAVVKEYE EILAKIDNYGGPELGELITKYDLKNPATGVLPTPPVAFNLMFQTAIGPSSNLPGYLRP ETAQGQFVNFAKLLDFNQQQMPFASASIGKSYRNEISPRSGLLRVREFLMAEIEHYVD PQGGKQHHRFDEVKDVELVLLNRHTQLAGQTHVQKVAIGKAVADGTVDNETLGYFLAR IHLFLEKIGVDQTKIRFRQHMANEMAHYAADCWDAELLTSYGWIECVGCADRSAYDLT VHAKKTGASLMVRERLAQPITVEEWQVELDKKKFGPHFKKDGKTIEAAVEALTQEQRE ALAQDLKADGKITVDVEGVGNGKVEISKDLITIERRTRTENTREYTPNVIEPSFGIGR IIYSLIEHNYWTRGSDGGDEARCVLSFPPTVAPTKVLLVPLSGHESFKPLVKYLSLKL RKLGVSSRVDDSSASIGKRYSRNDELGTPLGITIDFQSVQDKTYTLRDRDSTKQVRAD EATILAAIKSLVEGEKVWADVEKELPAFEGQEAEVPVR LY89DRAFT_580742 MSQLSINSPITGTATSTYTGSTVSLPIRSNTEGQGGIAIIKEGL ASVKEGKTFLSGWKQKYLILRKESLDFLKAQDGKISYQIVLRDVVAVCRVEAAGTIFE IKRKLDNASSTNPGEDDNLTRTMQIRVKGDEDLYEWIDYIYARCPGMGGVSNPTNFTH AVHVGYDPNTGNFVGLPPEWSKLLNSSAITKEDYERNPQAVFEVLEFYTDITKRANEP ETYPSLTPTPSVSSNQNKQLGYGLSGTNVAPPRPNQGAQRNNSYGAPQSSGPAPRRPT PPESQQQRMQMQAMASNYVDPQVREEQRQKQLEAQRMQQMAKEREEEKRRELEEYNAS LPQKVPMAQQEIGGGGFGGPTSDRYNPSRAAPPAPRAQQLQGPAVRTQRQAPSAPTPS NGSRPGLSQQQSSSSVPRQNGASSARSDQNGQRAQDPRYPNGQQPATRGPANGQQNAP ASRLPAPVQQVKPLNVAAKQPTSNGIQQNDAVKAAEAALTAKPAASERKADVRMSTMS ENEVMAKLKEAVSKDDPNLSYTKQKKIGQGASGSVYVAKVKETAVSPVAREVLRAQGG KAQVAIKQMDLAHQPRKELIVNEIMVMKDSKHKNIVNFLDAFLRNNNTELWVVMEFME GGALTDVIDNNPNITEEQISTICLETCQGLEHLHSQNIIHRDIKSDNVLLDARGNVKI TDFGFCAKLTESKNKRATMVGTPYWMAPEVVKQKEYGSKVDIWSLGIMAIEMIESEPP YLNEEPLKALYLIATNGTPRLKSPEKLSKELKAFLSVCLCVDIRSRATAAELLQHDFL KHGCPPASLAELLAFRKHAK LY89DRAFT_612048 MAPLVPSAKLTLSYPLYACDFDPLDSARLVVGGGGGAGRTGVGN RITVIDVANANELKESAEVELSKEEDNVTSLAVGAEGRVFAGVNGRPGDVGRGVNAHF RVLGVEGKKGKEEEGGVKVSIKEQSRSSLFQGREKDVYQRITRLSKPFPNKPQLGAVA TGLAKNSEIVLFDTSKTAPPVSRGAVTSAKEAEDVDFIQTGDDEYIFAYCDEHDVYIK KISSEDDEEAPECIYITPGTRGKDKPTTPKFRAMRFLSKEFLIMLTNIHSNGGVVLQI FRIPPSGKGQCRLAQSVRLPDRITKATGMAVCNLTPPTTPSEPQGYTQFVIAVAGHDI SLNLFKVDFQAAAGISIVSSIKPFRSFRNVHPLQITSLAFSNFTPPAHPITAHTPPQY LKLASVGVANTVVVHTFPLFPVPLSMKRGQSTTPRYVIALPSTALAFAMGLLMSVLGI ALAAVFIQSFLEIRGVVPEYLEAKNYVPMKWQHKLHRPFDYNQLEPKVLPSSTETLLP YSTVTGAGGATPTPKGTQCKAKQEAKVTKTPQQKGQDDMERMIAEDKLVRNLRDAGKW KQIPDEVQEKHNERTWDQLGTEEKKKWKQALKEAGNWAEDLPEEIFKGVMFGEMGGAV GRAVAGA LY89DRAFT_580372 MTPKPPLLIILGATGTGKSQLAINLALRFNGEIINGDAMQMYHG LPIVTNKVTPSEALGIPHHLLSEIPLDAEPWRVGRFRKEASKVIAEIRSRGKIPVVVG GTHYYTQSLLFSDGLVAGDEAGEEEMGVEEIRERWPILEAETGEMLEWLREVDPGMAE RWHPRDRRKIRRSLEIFLMTGRKASDIYAEQVARKTETDLSSTLLFWVHADSNVLKKR LDGRVDKMLENGMLDEVKSLDTFLHNQERPIDRTRGIWVSIGWKEFEPYLTALKSGTA TEEELRTAFDLSIEQTKAATRQYAKRQTRWIRLKLLPALTEEDALKQLYVLDGTDISQ WDENVSEKAAEITEKWLKGEEMPAPEEVCAAAKEILGEVGEVRSEWPRRECGMCGVTA VTEVQWVTHLKSRKHRGASKRVAKKERGSDFNISKSEVEDTP LY89DRAFT_772794 MVHFGGKPSTGCESCRARKIKCDQKPGGCVNCEKKGYGCSGYRD EIDLRFRHESQHVAQKSRDREARRKASRSPLPGSSASSSSANTSRPPEFSQSKSGNSS ASMLDTRRALGDDLISSQQDSFLPDPALSYVLSPNLDDQALAFFFSNHVIGEWRASYR ANLNSYGMDDALFAAVKAVGLAGISGHAHTPDMSVEARKRYLAAIQSVNNAIVFPESA KRDSTLVSIMLLSQIEALECSAPRSLTAWENHVKGAAAVLKLRGAEKLTTPLEVRLFV QAVSGLIVCCLKNRIHLPDHLFELRDVAGQCMQTNAPGWRMFHMHMLVTQFAADIKHK ILTDPQSIISRALELDEMVSLIFLDSGPEWTFETIYDDSHPEIILLGHYYVYKTFMSA EVWNGMRSTRVLLHDQIRSTLLKGFATQPPLFSDEIYAVQFQASTDILYEMQNGLLAS IPQQFLVMPTSSNAPFRFPWSNFQPDIYNPSQNSSPVLERIPLMRNVGGYLLPWLLYR TGNMSITKKETLDAILRLLRLAGSEMGIQMAFVLAEHLEAKFAAATDH LY89DRAFT_695598 MTAAAKASTNSSSSTVPSYAPTRVPCPNDVEWIRPAVGLAPKEA EYVYGRKKKVLYALEEYLERLELVDFDTRQYIQLLRASNFSKVPTLGYAISGGGWASS LTGTGGMRALDARFEPAVQTRTGGILQMLTYMSGLSGGSWPIMSFATSNWPTVDEITA QWHTTINRMVATNTTQYAASATDVFEQMAEKLKAGFNVSIADYLGREFAYEFIPGPVG GIATTFSGVVNQSSFVDYEMPFPILQYNEIDTFDPTYFGLQFPTFNATIYDITPFEFG AWSGSVGAFTPTEYLGTRLSGGVPVNKSACIRGFDNAGFLIGTSADAFDGWYIQDLSN GTDAQFAKRSSLVDLKSPRRSKIYKRAGFGDITATPAAFEQALGLNLTSMAYGVFPNS FANLTTTSPLVNHSADLRIVDGSESGQAIPLWGQIQPARGLEFIIAWDDNSDAAPYSW NNGTNLYDTYLAANASNIPFPIIPPASTFVNYNYTHKPVFFGCDPLLTTTGDANGPIV LYYANSPYSAYTNYSYGQANQSASQMYDIYLNSFNLVTQANGTLDPEWPACIGCAAID RSVARVGMQRTAQCDCCFKKYCWDGSYNNTNPGVVDLSLYLDPSLGFLEWNKTHDF LY89DRAFT_641469 MAANKTLDPTTCTTASEAFKYPLPQVRQFHRTLTSELDEKNARL RTLVGGSYRQLLGTAETILQMRGDIGYVEDKLGRVGKGCGRGVVGGMAAGMAKLQESG RGGKRVSDLEWCARMKVLDMCAVTVGRLMKKGGSGTSDSKEGKGKNLVLAAKVLVLSR LLVKSVDTATPQRNDQEKEAVDEIKKKLKILRRRLEKAVERTLEKIGDNRDDLIQALC AYSLATNYGAKDVLRHFLDVQGQAMTLRFEDEGEKKDEAAGVVRALELYTKTLLDVQA LVPRRLSEALAHLKSKPLVKDPSVRELEALRLDVCEKWFGDEILFFMPYIRHDDLEGS NAVLMLRKWAKEASEVMMQGFAKTLNQMPEFKSVVDLRTKILEIWIKEGGKAKGFDPS ILLDGFRKVVNERMVELLQSRVSKLRLVSTEIEATLGSWQAGIADKQESLWDETMLEM EIANGAALFKQGVVARTYGRNDSVSRVFRGYQTWRHLVDEIIVVIDQLKKQKWDDDLE DIEDDLSLESRSTLLSTDDPQMLQEHLDSSLETAYKDLHEKLGSLLSTYQDSEQSGQI SGYLLRIIRDIRSEIPKNPTLRQFGLSLIPTLHEHLASTSSSDSLEAFLRTIKRKKVA GRALWEGEPELPVQPSPGTFKLLHSLASAMSKSGRDLWSPAAIVTLKRHLSSELSDRW SPALKEQEEAKEIKVNGTSTNGDDKEATSGENSTEDLTIAQVAVDPQVQNDLAIQSLF DVQLLQNAFEVTDTKESSENGLEALEKSLEPQTDLDAASSKRLQLAAKEYWKRTGLLF GLLG LY89DRAFT_682824 MHHLGKKSATGDGKEDGGTDKGDASNLTQKQRRRAQVRKAQIEH RQRKENYVKHLEQDVINLREMIAQAETESVLVKFENEGIKSVLKKANVNIPTSPPTAQ SPPPPQQDDFDDFMDFTSFLAEPHQSDFGSSSNSPHDMLINTTFDEWIDSTILQISPT HSHSSSGTKTQVPVSVPETDIANMPNSYNSTDIYNFPTPFTNPQVSNTLPPTIAGVNA NQAALANLNLDLKPLPQLPGPGQASSSQVTSKPSRVDVSTIAINFILALEHPCRTHFH PAPPVFNPTAPPGGHELMASTLLYATAPSTIYSPDSLSPTESATWTAPSLELKQLFEM SKSLPKGDWEITPVQAWFLLVERYGVRSLVREEGVLDRLKRGLGRLVDCFYFGAVMDR GRFWEFVEGELGPARGKEGKGKERA LY89DRAFT_641473 MSVPRLTFLYPHLYRSLRVCESTTPKARLRSPTQHGTRSKKRNF STAEQRNVPQFVQRHGKAVEPFVPEGQSAEDMKIFTPSPTTDEKIETNPSSSAPLSDE TQAAAFIPGDDGKEVIYENVPPKEEQPPAAPKQKETAGGPIETILHMPTPESVEEENA AKPPHLQTPPYVHHFDTYTLVQQVEAGGFTTEQSVTSMKAVRGLLAQNLDVAKAGLVS KSDVENETYLFRAACSELRTEIQNSRKKQEETMRRERTLLQHEVDILNQKLTQELLTL KDDLKGMFDDRKMSVRSEQRAMESKIQELNYKITVMLNSDSKSEVEGLRWVLTRRSVM GILFMAFMVLTSLRYASYKSHEDEMKKKKEEEHLKASPVAIEDLPAQGAAEILAAN LY89DRAFT_580496 MSSSDGQSQHVYSRDYALALDVQDPLHGIRKEYVIPSKAQLRVK SLPEAGTKHSESTSSDDLAIYLCGNSLGVQPRITSIRMQQYFTTWATQGVYGHFKPLA ESPLPTWLDADARASDCIAPIVGALPSEVAVMETLTANLHLLMSAFYKPDINGRHKII IENQAFPSDHFAAESQILHHDLSPSTSLVTIEPPGLPQSALSTAYILSVIEKHASTTA VLLLPGIQFYTGQYFDIPTITKAAQAAGIFVIWDLAHAVGNVPLKLHDWNVDAAVWCS YKYLNAGPGAVGGLFVHEKNSQVSSEAGNLTFANRLSGWWGSSKASRFTMDNRFVPIP GASGFQLSNPSILDITSLNASLEMFAKAGGMQPIREKSVKITAYLETLIRNMAAYSGK QFEIITPSDQDQRGAQLSLRLQSGLLDTVMKALEERGVVVDERRPDVIRVAPAPLYNS FEDCFLFVEAFAEALHVAETR LY89DRAFT_666851 MAKTLTLITTNLVGSPKQFPEYFEPFPVFDLEADIDIMASFDSS PPSSASPINKTLEHVVRTPNRYPSPQPAHFSVPLTNGKATNGNGGNGHRILRSATVGY IAPEFKGKQEQMSQVKQTILEKNWIPETLVDEQIAWFYNELGIDDVYFQLETVDAIVS HITSLYAAKIAAFARADKTQEIRLDMEAADHAIYIDTSEPGLTNMGGPQYERRLESKY LDASTNKIFRVETFRSPGNLAGTGPSKTTMRCYFVYQCQFVDPNPSPNETRLEIISDQ LFLAKATRNTKQIYRDIIELAVSRTGPVIEVFDIEDSKEVRLVVAFRRRTAAGMFSAL SDLYHYYGVTSSRKYVEQFSNGITVICLYLKPATNLDAKKFPPLSASINQITKEISLL YCIPQNKFQSLFASGRLSLQETVYGHCLCVFVQHFLNRLGSDYASLVSALDPNNSAHA EVLSKIKRRLRTETFTADYIFEIIATYPELIRSLYSSFASVHLTVAPGFHEEFIPPTP SAEVLSDAKLKELISKTVNNEHEEMVMTAFRVFNNSLLKTNFYTPTKVALSFRLNPSF LPEIEYPQPLYGMFLVITSESRGFHLRFRDIARGGIRIVKSRNREAYSINARSMFDEN YGLANTQQRKNKDIPEGGSKGVVLLDAAHQDKGAVAFEKYIDSIMDLLLPAETPGIKN PIVDLYGKEEILFMGPDENTADLVDWATEHARKRGAPWWKSFFTGKSPKLGGIPHDAY GMTTLSVREFVKGIYRKKELDPSKVRKMQTGGPDGDLGSNEILLSNEKYTSIVDGSGV LVDPSGIDHEELIRLAKKRVMISQFDTSKLSKAGFRVLVDDNNVTLPSGEVVANGTLF RNTYHLRETGLTDVFVPCGGRPESIDLSSVSKLIKKGKSTIPYIVEGANLFITQDAKL RLEEAGCILFKDASANKGGVTSSSLEVLASLSFDDQGFIENMCVGSDGVTPEFYQAYV KEVQNTIKENARLEFEAIWREHKRTKIARSTLSDTLSVAITKLDEELQKTELWKDDKL RRSVLADALPNLLIEKIGLDLIIERVPDSYLRSIFGSYLASRFVYEFGSAPSQFAFFD FMSKRMSQVH LY89DRAFT_468805 MHSSAIFTSLVAIASIAAASPTRRDTYYAVSVNVITSPGLDPNK VSEPAPIAINQLTAINCDSTGTGCASSELILDPSIAINVDINTVECRAYKDAAGVEPG SLPFNVSTPAELSTNLGSISSILCYIVETD LY89DRAFT_641479 MKVLLLVCSVLAMTRTANARYIMYLTGQHNIVPEFSLVEDITHV AIAFMQSSVFNQQSPKSWPFFTTVEDVRSRFAPGTAIMVAIGGWGDTAGFSEAAKSGE SRKLFAKNVKDMVDATGADGVDIDWEYPGGSGEDYKSIPNPEKAWEIEAYPSLLAEIR QALGPDKLISAAVPGIPRDMLAFTSTTIPLISTSVDFLNIMTYDLMNRRDNVTRHHTG LALSLASVDAYLEAGLDPQKANLGFAFYVKWFKTDPSADCGSHLPNCKTTLMEDPETG ADLGQAGAFSWHDEVPNELKESFAKALKGGVYDEEGGGHYFWDEKENLFWSWDTPKAI SLKFPAIVEERGLGGVFAWGLGEDGLKWGHLKATTAGYRAWKAKHNLPSSAESDSDLN HIEKDEL LY89DRAFT_779890 MPTNNTKKKIELSFTNWVLGGNLISVVEAKSKSSKNGMTTDRAT ARKMYLVNEDGTQEIADESLIPSKGKRVGKKARIEVTTKTETKEECDIPEAKAPETYE KWCEKCKTPCCEGAVAGAVPAGAKTALCECGKYHWICQYEAYDADTEKEKGDEEKKSG GGKKKKDEEKGKDVEVVVDAVVEEKSDDKKEDGVKDKDNSDEKTATSDEWTAEQDKTI LEMKADNKSWKEIVAAVGSSKQAVVNRFKELKKAETEQPSKPAAEETTDKNDNKVIDD AFGADLFAGDENDNGGGSHEKDDAEIIAGLETLLDGFNDNEDKATKKGNKKNKGKKQQ ANAADPNTSSFDNNQGTNSPAQDPLGISFGPQLYGKLVPDATWSKDDCDLLEHLLERY ESEKWLHMQANFYNWTGRMVAAEFIERKFKTDASDSSSK LY89DRAFT_731265 MADLSRISEPFPPVGAARRKLGDPASLSAYSYASRKRGQAGQQH KFSSYGNNSRFERGTSHNIQSYGEISTFERGTLQIFLNLSLSDIAMAALSPVDLKFLG QFARNIEADNELLGKQLYKLNGCFRMQAGVVVKARKERHFETDPLSDVPTNRERIVWY AREALTLLEQYVLPMVANYTELKVLAYKLKASCYHLYVLFHNQPPIALKSAQVSTPPG LKSPRTKLDKGKGIDRGSPILSDRPSSIQPTHPLEGGPVGGYNFRLVDKSNFLIPGVD YRTKALECFQEATAFAERLLWGSHPLRLSLKVEFCAFIYDCLHDRERSRQLAAVTIAE VYNAQEGMDDEMFEDATELVAILAKMAKRGLASGRGGESAGGSSGTRTASPLRFGGAS QHPIASPGMDNPI LY89DRAFT_666855 MVVFRKVSRMQLAKARRAGSLIYWLGDAIRRSNRLLEAAAAAVG AGFLCIRRVEKRQFPDPCAGSRPATLHRLFPDQTRDLFADRASASAVPSPSSTLADHH RMRGEAAYLKTKCDEMKSVVRRWISQMLLKQSGAAPLPGAKSLVVSSTALPRILQDRC IYTPEYAATAFIHLDQSFVHYPLTHDGGGFHSQLIQLELCLSAGGSSSDIKSFVVWLS AAPAPALFLKDLAAIVVVLRACFVPRKALRRVLSSSNARSIVGSASPQQPSKTSLCAL CFCCTAPAYSENSIDRFPAAI LY89DRAFT_666856 MGGFVSYPRLYEILAYAVTEKGKPGAPLQKLSSVSLNTDGGQLL SIKELLPFLKLDTVTTVSAHRLLDEMDKECVFIYDSQSKINDLSLIKNSMNSHSLSCL LYCCPYLRRFTYDSGNDDMGREFVDPAIASGLLASKESLEEIVLSNDLACEASRWYPV SPLASFPKLRIIDADFVNFIRLNHKMAEYSEDDSEGDSEDDYDGEVFTFDHIEEEISW FADLMPESLEHLVVRDCSDAIFDGVADLLDRGHATSLKTIELKFDEYATMDSHDRYGE YWEGRAKEEGIVLTRVRGNAMPVLEMASLGLD LY89DRAFT_705840 MATVRDLVPPRKKVTTYGKAARRRIPEYSFTSRKSQTPEIAKSP DSQVSASTTTTATPTKLKSVPRRSVSRTPSSASPPLDVFDVPLSDGETVVAVQRPIAT PSKLKSIQSRSASSTPPSVPTKSADVYDVPTSDDEAPKRRTTPRPPKVVPKKSAAVKT AKEKAPPAVSKAISRPAVDVYDMPSDDDEARELKPKPIAHKAFSKLAFVHNKQEKGTH KTGADMVEEVGSRKRLKLSPAPDPPSPRRLPLPPNAIPRRPKPLVKPEASDRPTKPLR GRNTSPKAGQFTKVATAPKFEAPLKWPTHPATPRRPSKSRSKSNTPESSVPSPGLGDV DMMDADPEHRHISPKGLEMWQALLDPAEDEEMTIEGSIPIVEVTRDEPTKKKAPGLNL LSRPAGVVKASQKSPKKLPRRRLIDCLVEQAVQESEESSAEEDNGSSMDSAPSSSPAA AQSTSRGQSLVPEASKLPPITSDSQGSQPTGPKFTYSKQRSMLAEADLMAELAMDMPL PPIQSSQGRRGRMMPSIPLLKPLADFHDDEDEDDSTAAVKSVHEIRKQGANNRFLDET QDFLDRIGSPGETPVSMRRSGLFDLASKMKEKSFAEKFRANGMEQKLFLHLGQEADIV AGFIMVSLLTTVLLDGNMSHIVAQLRRQGITRLLIRLLECQSPIAVVAKDRKSNMSKV AQSLLIEHANDVMLMPSWDELKPQSLSPRTVALKCLEVMVRQTRDAGNDGEIFSKELT TTLFEILKTASDERSWDLPKNKQAIDFFLAVSALEAHSLAARTVQNETIWISDYLPIV ADTLEVALSQPVEEFGMLQLLLFRLVLNVTNNNSKASDVFAKPTLISSMGQVIVAKFN RISRFLLEEELFVAVENLILLEGVMINFAEWSSAARESMQSLEGRSNDPLNAMVQIFA DNQDKISEADSMQDTSKNVAFGYLSVLLGNFALLPAISERIQNRQPRKTLRPIVASIE EFIGHNKKVDMIVADEDGYNPQAGLTERLENLVNKLAELKVASK LY89DRAFT_779894 MASQEPQSPKQCVGPDYRPEKQKPTATVSTEVPYETVHVLPQTP QLIALLTMIRDKNTDRADFIFYSNRIIRLLVEEGLNHLPVIEHTVTTPVGRTYAGVMF QGKICGVSIMRAGEAMEQGLRDCCRSVRIGKILIQRDEETSKPKLFYDKLPEDIAERW VLLLDPMFATGGSAAMAVEVLISRGVPEERILFLNLIASPEGVGNFAKKFPKVRVVTS FIDQGLDEKNYIIPGLGDFGDRFYTM LY89DRAFT_682830 MGKRKKAAKKPTGPKKNEPLPTVFPCLFCNHEKSVTVKVDKKAG VGHLTCKVCSQQFQCAVNYLSMPVDVYSDWVDACDAVAKEDGEERAADLAPSRAPAAG RPKAGRAATEEEDDDIIDDGSDGMGGYGGEGVVADDEY LY89DRAFT_579784 MLVVCTDVRVQRTYPGQSGINPLPMDWGNKDPQKRGPVVVSRGQ TTIRRRNAIGAHGGSYSIYYALAVASKEIKVDHRPDFTNTEPAANLGPFPQWADKKKI VSMDPLGHLAPWLFSETIEKENADCQPAITRAHMKLPELEQSVREGRLVPDGKVCLNE SGELAVTKFAVEPVWYLPGVAERFGIDEGSLRRSLFEHTGGSYPELITRGDIKLFLPP IGGLTVYCFGDPAKMSDEKVRLALRVHDECNGSDVFGSDICTCRPYLIFGIEEAVKEA QKGGSGVVIYFRKEGRALGEVTKYLVYNARKRGLDRASEYFKRTENIAGVKDMRFQAL MPDILHWLGIKKIDRMLSMSNMKHDAIVSQGIPIHERVELPESWMPEDSRVEIDAKIT AGYFTTGHRMTEEELAAVKGRSWEE LY89DRAFT_682835 MNTRGGRAMGACVPAQDRVQRVLWLREERRSRSSLLNVSYLIKT IHVPTVSNVETANYFSFPSFEDFQEYHEDQERRESRQENGVP LY89DRAFT_682836 MAVGKNKRLSKGKKGLKKKTLDPFTRKDWYQVKAPSSFQVRDVG KTLVNRTTGLKNANDALKGRIFEVSLADLQKDEDHAFRKIKLRVDEVQGKNCLTNFHG LDFTSDKLRSLVRKWQSLIEANITVKTTDDYLLRLFAIAFTKRRPNQIKKTTYAASSQ IRAIRKKMTEIIQREASSCTLTQLTAKLIPEVIGREIEKATQGIYPLQNVHIRKVKLL KAPKFDLGALLNLHGESNTDDSGMKVEREFKEKVLDEV LY89DRAFT_682837 MASDESISTLKILMIGPSGAGKSALLIRYCDDQFDSESSTATIG VDFKMKKLSVHGKAYRLNLLDTAGQERFRTLSNSYYRGAHGVVLVYDISNRDSFLAME RWFEEVESNAQPGAVTYLVGAKMDKTAQRTVKVEEGMKMAEERGCVGFCEVSSKTREN VRKPFVEIVDAVVQKPELLSSGTRRMGTVPVDGGEGFGSGCSC LY89DRAFT_469141 MPPRLPLSAVLRANSTAWSRSYATNHRIPLAFDLHEPANPASKA PPAIIFMHGLFGSKKNNRSMSKALARDLGRPVYAVDLRNHGDSPHNPYHDYFSMADDV AGFIDEHKLQDTTLIGHSMGAKTAMTLALKEPDLIADVVSVDNAPLDAALLSNFGKYI QGMKKIEEAGVTRQAEADKILQDYEESLPIRQFLLGNLHRPADEKTQKFKVPLRILAS TLDNLGDFPYKNPGEVRFEKRALFVRGTQSKYVPDEALPIIGQFFPRFELADIDAGHW VISEQPEAFRRVVVDFLKPKE LY89DRAFT_579972 MVITPIKHGADKKCKLGATVTGLDLNNVSDEDLATLKEATHRYQ LLMIKDHHNLDVKKHWELVTRLDPTAHEVHGHGTVAQFAKTGGMLAKRTVHGIPEAPN VRLIGKGYQGDDHYGIKNFTAAGASNDYHRYPPSKEAFEAGNTQFQRWHIDAPLYDRE PPHFTALRAIKCPDQADIQVNWDDGSGLSMKTKPGQTAFVSGIQLYELLTDEEKMLAE NSWVEYAPFPYMWIENCKGRPNGLGLETEGLEHKMDEMPEWEESKIKRYPMVWVNPLG QKALQVHGICVRKMFLKATPTSEVKVVDDLVEIRKLLHSWQERIIRPEYVLSAPVEEG DVQMWDNWSVFHTAIDYPDQYGPRTMHQANLAASDSPVGPVPIPVS LY89DRAFT_612099 MTTLRHGHLDEDAIPGTVHLVDLEGTIRAKHSAAHKDVVLVPAP SADPDDPLNWSPRRKLLSTVSLSVYTLMVGIASAAIYSVLEPISEDTGLTLGDLNAGT GYMFLFFGWGCLFWQALALQYGKRPVYLFSMLATLGIMIWVPHATTNGAWIGSKILQG FVGAPIESLCEISITDIWFTHERGTYMGLYAFMLAGSNFLAPVLAGFINDGQGWQWVM YWCAIFLAIGFVFCFFFMEETNYDREPLELVNTPTDTPGTSTPKDGTLSPSLDPEKTG VMQEPENIDSAVSVDEYKKKTYLQKLSLLDKKRDFHLFRMMIRPLLFFSLPSVVYAGF SYGSNLVWFNVLNGTASLILSAAPYNFPASMVGLSYVSPLIGVAAGSFYSGVIGDRVV LWLARRNKGILESEYRLWLFAPSLILIPGSLILWGVGAAHHVHWFGLIFAMGVISASN CIGVQLSVSYCIDSYKDLSGEAMVTVIIIRNTMSFAVNYGITPWVTNMGYQNAFVVAA FAGLAQVLTFLAVVKWGKSWRNKTKKTYYKFVKQSEGLGVTH LY89DRAFT_580275 MHLKLLFVGAFASIGLAHSSREGPSTPKLFGARKFLSTLEARNA LPEALAEHEDHVEKRWPEDSFQALETRQIGGTDGQCGPGVGTCASGYCCSPAGWCGVG IDYCAGPDCQLAYGPGCDGNQSPSGASTASVARPVLGSMPYGGAGIYDCLTAGDIAFT FDDGPYNYTSDLLDKLLVYNAKATFFVTGNNLGKGAIDTHWSSIIQRMIAEGHQVASH TWSHQNLTSLDATTFQNQMIYNEMAFRNILGYFPTYMRPPYSECDSTCESRLQKLGYH ITYFDLDTEGYLNDSPTLIQNSKNIWDAAIAQINAATGNYLEIEHDIHYQTVYNLTNY ILASMYANGFQSVSVGTCLGDPSANWYRNAGGAAPSSTSSVAQSVSTDGSCSSTITCL GSTFGNCCSQYGYCGSDSTYCGAGCQPGSGSCGVSSIKSSSSTKTSSSATSTATLPVS TDGTCGTKFTCLGSTFGNCCSQYGYCGSTAAYCSTGCNPAGGTCTKVTTTTSQWLFNS LTTSSVVSSPTKIITSPDGTCGRGTSHTCVGSKFGICCSAGGKCGNLVFGLVQPYCGV GCQVGYGICV LY89DRAFT_716499 MESEKRGMDLEKELTCSICTEVLYQPLTLLDCLHTFCGSCLKEW FSWQLTAARNNPNSLPQGTTPYTCPSCRAPVRDTKHNATVTTLVDMIVAASPEKGKTE EEKEELKSKYTPGENVLPKVEEREKSLRERRVEDADRRLVNEVRDLSLREVGVETPEA RRERRRREASRSEGTRAPRSRDASRDSRNTDERERERRRRRETESDRRLAGSSNGTLQ PESESTEDRRRRRSAERRARHEQENRTAARQIEHQSSLRSLISASDVDSREMEEEILR QIREEGLLDGIDLENIDVSEQDQISERIAEAFRRRQSERARQEPARRSNTSATSAVSA ASAMSTAGAVGGQPRRTHSSAPDSRENSGDDSGRPSSRRQRAHSRTPSSVSQDQPSRP PQSISALQAAHLEVQSGDEGHRRRRTSSNSRSSTTPVPVAESQARPAARSQTDLSDRP QSATFPSTRPSVSATTRSTTDPVVTQVADVPAPQSRSRASSNSSPRLRSAARMEDVST SANRTERKTRAPPENIFVPAAGPSAVSSPVTDQSLMPAPLSPRVPQTSSLSDRAFALS SGTRPTSSGSVASRNRSHLYPEPSITCNRCSKPHIEYEMHYNCSLCHNGNWNICLACY RSGLGCLHWYGFGQSVWAKWEKLSQGGEAVERPHMLTGSRYLAPRIAPGGADGRRTLT TEDPQKRLQSGTFCASCLAWTNECYWRCDLCNEGDWGFCNICVNQGKSCTHALLPLTY KPAETNTPPLSPTHDQKTPPSASILTGPGVMDIGPFKPLTFSTKCDICHYPIQPSQSR YHCFYCVSKVPNTLPGDYDICTTCYPKLVASRRISAENGHNGWRRCLQGHRMIIVGFE DNRGGQRRVIVQDLVGGRGLSEQACSFRDHSGAELQKWTWGDGVHIRGDDTHAKLVTT DVMKTAPSTAPDMALDSTFPPDGGVGMLAVALWPWWPAPDADDELMFPKGAEVKECKN VNDDWWHGTYMGKRGLFPAPYVKTLDANM LY89DRAFT_469595 MGYSWWVLFIMGAIHHGRHPSWAPSIMSSIRKFVSIIGVIHDGR HCQGHYLRLTDRQGAHEMYYSFTSRLKQRSTAHHALEYVGILFTHFTCIHSANLKNSA TPLYSLGTRMSC LY89DRAFT_612107 MLLGDHEDSVLPSLKTILTCARRRMRSRQFLVLVALLTFAAFSV FYLRAPPSLPSDTNGFKPPISIPKIPKQLSAGGSTAEAPKSHPPTLSGSHPIWQLVKD AEQDFEKILEHQSKTLEEAVAEYRKRYGLPPPPNFDKWWDFAKAKGVQLVDEYDSIYH TLTPFWGLKPSTIRARAREALGFDGNNLIGALIRNGAVKKINGGREWQEEATKGMMKD FIKYLPDMDLCFNIHDEPRVVVPSDDLSRLVAIAKDEKMPAANAVEKPRNSWSPKPAD LTDGSRFEDVKTTRFNVFAHQPTWTHSRMSCPPDTPSRNLEDGPKEDNFDSYAVGELG FVYNQTAFSDICQSPSFSESYGFFDRPNAYNLVHDLFPIFSQSKISSYNDIVYPSPWY WYGKVPYNETADMLWSEKQDKIYWRGSTTGGFSRDGGWRRQHRQHLVQKINAGDQAKI LVNRGGETSEDWQVKEVPRSDFKEIFDIYFSYVGQCDPGDCDAQKEFFDIKETAKQED AWNYKYLLDIDGNAFSGRFYAFLKSRSLVYKLAIFREWHEEWLKPWVHFIPLSLRGDE WVEAVRWFAGETSGKKEAERIALQGRDWADKVLRNEDLEVWFFRLLLEYGRLVDDDRE TIGYTGA LY89DRAFT_469649 MSGLRFLDLIKPFTPILPEVQQPETKVPFNQKLMWTGLTLLIFL VMSQMPLYGIVSSDTSDPLYWLRMMLASNRGTLMELGISPIISSGMIFQLLAGTHLID VNLDLKSDRELYQTAQKLFAIILSMGQATVYVFTGLYGQPSDLGAGVVFLLILQLVVA GVIVILLDELLQKGYGLGSGISLFIATNICESIVWKAFSPTTINTGRGPEFEGAVIAL FHLLLTWPNKQRALQEAFYRQNLPNVMNLLATLVVFAAVIYLQGFRVEIPVKSSRQRG ARGSYPIRLFYTSNMPIMLQSALSSNVFLISQMLYSRFADNLLVQLFGVWEPREGSAQ LFAVSGLAYYMSPPLNFTEALLDPIHTAVYIIYMLVACAVFSKTWIEVSGSSPRDVAK QLKDQGLVMAGHREQSMYKELKRIIPTAAAFGGACIGALSVASDLLGALGSGTGILLA VTIIYGYFEIAAKEGDMV LY89DRAFT_731283 MVEATSEKPKVNGRHADGTDADRKSHPRILNPDHDHKDDVVVQQ VTEVLGPEDVLDTEDVVGEKTTKDENILSDKSASANEGATLENDVLENRSDSASERTI LQEKNDSSTSTPSSKEDEVLNGKPEAADAHHHDVGHKEATIHPHDVRLGGPSFHSDNV GSGELSIGDHSVRHTQEHGNDVDRDDLGSSLIIVDWFCNMADPLFRFPISRFEPMSMT RGLGRPCIKTPAISSVLEDETCTEHLESIMTEDSLPPTTAGQTTQILLQASDNQSAIE DTYEILAGLRPEAEESFMQQSVYSLWDFVNSRPHPLENYRPSHSLTALGAMDTFQSLL SLEGSQTFSPKDVFTYCLCRKLGLLRPATLEPASPLGVLVPCGMYWNLPYNDATRLQL KSQVFESIWTRLFAIEVVRCSLRSFYKSDFFHGTMIPTPYVRTLNNYLAVNYNYLLRC ADFDPHPFFQTVSNFLKSDPAKAKPSESGKLSGEIELLLRFMVRMKSDHRLTFNIPSL QPILNGDLIIATANVLLFIAVEGASPYGACHFPYRLKRKSRFLSIVTLKAGIIEFDKD GNQTLRDKVAHRGKNLKWRAKIKEHRRNFQKYNFPHRVKDEKLKVAVDDPNHWALTTP WEHRPRVWNRVIPPRAQPYYTHEHIH LY89DRAFT_641526 MLGNCPGPIWRVDDITNCVQRDFLKVLFPLIVVSASFLLLLSQA ISRNVRLKRSPKHKSISNGSDIHDASTYNGVAYQGLSDEIDGSLTAGEEDDEGLIIGG GRLTLTKTTTKGSVAAIDAPRAQYTILVVELLAVIGVDAINVAALVLRAYGPNGTFAT VAGIITWTYILLLTTSRLTLSKTSWRIPRVWNHTASLYALMWLFSIVVFRSAIIHPRS RTAQALIISEFALTSLLFGIAMTTRKGNKAVVLEWENDIEPSKEPLASLFSLATFGWV DAIVWQGYKKAFEISEVWNLVPKDKAATILADYRQLRKTTALTWHLLRYFKGMLVLQC AYAVFSGFFTFAPTLLLKAILEYIEDPETSPRNVIWLYVILLSFTDVLRSLADGQALW IGRKICIRLRAIIIGEIYAKALRRKAAAGGDTILGDKKDKADAAKPSKWKKMLGLGGK KKDDSKKVDQNDTNAEGAADSTSKGGDEQVNTGTIINLMSVDSFKVSEVTAYLHFLLA AAPTQLVVAVYLLYSILGYSSIPGLVVMAILLPVNIGFARAFGSAQKKIMAATDKRIH TTNEILQNIRIIKFFAWEHRFSGIVNETRAGELKALRGKYIIWACAVAVWNTVPVVIT FFSFLVYTLVEKKPLYPSVAFTAISLFTILRVPLDQLGDMIAHVQESKVSVDRVEEFL NEDETEKYEQLRHDNLDEEGNQMIGFKDATFSWGGKEAPADEVSSTFRLMGMDIKFEI GYLNIIAGPTGSGKTSLLMALLGEMTLVNGKVFLPGGYSREDVRPDPETGLTESVAYC AQQAWLVNANIKENILFAAPLDEQRYKDVIVACALERDLEILDNGDQTLVGEKGITLS GGQKQRISLARALYSNSRHVLLDDCLSAVDSHTAKWIFDNCIRGPLMHGRTCILVTHN LALCVPQSRYIVLLDNGKIEIQGSSDMVIASGKLGDDVNKSRPGSAEVSRMPSRVPSS VGDESGDTLVGEEPGETPAQRRMSMAERAERRLSKVKSVDKDKVKKTDAMEETKAEGG VKWKVIILYLKSMGPWWFWVLAVLVFGVQQFGSLAANIWIREWANQYQTEATTSHYSF SSTSFIGNTISTIQVTSSRLSQIQPYFDPNKTSLLAKFAPNVDVGYYLTVYALIGMAC MLVALFRDLWLFFGSLTASWRIHQRLMESVTRAKFNFFDVTPLGQLMNRFSKDLEAVD QEVAPIAIGVMSCALSIVVTVALIAAITPGFLIAATFISAMYFFVGKFYLRASRDLKR LESVQRSPLFQQFGETLNGITTIRAYGEERRFIRDNMLRINTHSRPFIYLWAANRWLA FRIDVIGDLVAFFAGAFVVLSIGKIDAGAAGLSLSYAISFTENVLWLVRLYAMNEQNM NSVERIKEYLDVEQEAPAVIEDTRPAANWPSQGSVEFINYTTRYRPDLEPVLRDVSFK ISPLEKVGIVGRTGAGKSSLALALFRGLEAEGGKILVDDVDIGLIGLQDLRESITIVP QDPTLFTGTIRSNLDPFHLFTDEDIFTALRRVQLIGAATSTTNPSTPLNASRPVTPAI VVPDTPTGTSTPTITNKNIFLNLNSTVTESGNNLSQGQRQLLCLARAMLKQPKVLMMD EATASIDYNTDSKIQETIRELKSTIITIAHRLQTIVDYDKVLVLDKGQVVEYGHPHEL LKKDGKDAVFKGMCEMSGDLDALTKAAKKAWDAGRLVDDE LY89DRAFT_469696 MRVRRRRRRPSQEIGYCTAAVGVCVCGSEGGEIISILISRPLNE WLGGRESEGGLRCSAVQCSRVAWACRVRDWMARGCRMPDERRTGFLSACWMEEGRGEA ALRGDGRCGLGGSGANARPRWREVVKRGWKRGVGLFERGVEDWDLVGFGFGACLDKGV ALCL LY89DRAFT_682848 MAITERLILPVTGGVDAWKEPLKFMLQTLKKQDGYIRTRWGPHS EDESKLELLIGWESTDAQKTFQASPAFESSMSQLKTVLSGKPTVYFMQLVPYAPKAVI DASIVQMVTVSPANISVEEFRSQIEQYKTIPGCTGVASGEALSEVDGKGKPFVGAIGW ETLEASQKGGHAVNIKAGDLEEHHVNFRYPIKGFGGL LY89DRAFT_580730 MSKPIFVCVPGASHSPLIWEPLKVALSFHGYTAVPLALPSVGGN PPTYDFGEDVQAIKNLVIEISDSGSDVILVLHAYAGLPGGEALQGLSKSERQQKGLRG GVIRLVFIMSYMAIEGFQGGSRGDTSAFMPYMRINLQVRRAFLLCAGISTINPQEAVS VLYNDMPKAEAEYWASQLQPQSIGVFWSKTTYAAWRYIPSTYVLCGKDQTITLPYAEM ILKAAQDSKPNAIDTVEKCEEAGHSVMLSQPEWTANMLRRAAGERIR LY89DRAFT_682850 MPAPHFKGSVHWYIDTCLSLLTAALTITRYSAKLHHDSTPQSNS CKLKLLDSFSNSVRSRTSANPPSIALPAPT LY89DRAFT_682851 MSFRNLVVGAVIASLFTSRVRAVDNGLAITPQMGWDNWNALGCD VSEDLLLQTAELIVDYGLQDLGYHYVVLDDCWSSGRNASNNNSLIADASKFPNGMAAV ADRLHALGLGFGMYSDAGKYTCGGYAGSLGYETVDADTFASWGVDYLKYDNCYNMGQA GNQQISEARYATMANALNATGRPILYSLCNWGEDYPWNWGSTIANSWRISGDVYDNWD TYDSRCPCTGADAWNCGLPGFHCSITNIMNKASFIVSKAQPGAWNDLDMLEVGNGAMT DAEYVAHFSMWAIAKSPLIMGNDIRTIAPADLAILSNAAVIAVNQDPSGSSAARRWIY GINGSDPFTGPSIQLWSGSLKSTTGGAQSDMVVLLINGADESMPMNASLADIFVDNGP GGTAPQVKMSWEVRDLWANRMSDEEAAAIIAASSGTGNVTTGYNATEVGAGRYNATAM SYAEGLAANSSLLLGNVTTTLAPSGTVTATVEPHGVAMFRLRALPTGMMRKRDEL LY89DRAFT_469857 MAQLHHKYLDKAYKEFCIWEDIAWRSFRPQNVWLQTSASQRHTP PTNLTSRFKYLHLITKNPTKSKYLDIPSLQVIRLQPTMPEKCLTAARSYACKHTSTST STSRSLPKTLSPSSASSFTHLFFAPLTAFVVKVILAGASGFIGTELLSHLLSSTSITS IIILSRRPLADIAARDPRIRVFVLKSFLEFEEEVRGELEGARAVFW LY89DRAFT_682855 MVDEVEVQYPLALANVLISLRKAGGESKEGGGAQLRFLFVSGTF SERDQGRRLWFLEQGRMARVSCSLLCKGVSKLMRRELMVVQGLAENRLFNLAEQNPDI FEAVAVKAAYVVPKKSKVPDVVVGLSRNAIRVDELDFVT LY89DRAFT_682856 MKQKVAFCVLPSSRCSNVRRGCIQSRCDHIKTISPLKSRRFTDT NLNLGPQHPTPPTSSSLNLFLIRKNLKLLWLFPRTKLHTPRPHNSILRSPRLWTLRHK PNCHSLAHILLSPSSPLLSPPKTQEVQNKPCINVKFPLPPPTSVKFALTVSSAHAINP L LY89DRAFT_612124 MTLFPGVALVTGAASGIGRATAVSFALEGCYQVAICDRNLEGLV ETEKYMREVSATCDILVHQVDMLLDDQIEGMVQAAVSKWGRVDYAVNAAGVIGNNDRS TDTTSQQFDLINGINYRGCWLSSRAELAQMLKQEPLPTHDGRPGSKGSIVNIASQLGV VSRPNAPAYCASKAAVISMTKSDAIDYSKDNIRINCVCPGVIATPMTQGDPEFRAALA PAIAIAPMDRMGTAQEIADACLFLCSSKASFVQGHAMVVDGGYVIS LY89DRAFT_641538 MGKFVPRQRKHKVLARQKRQHGSHEPVVDSNAVEVLPAAKAEIQ EEKRHMKEELQAQGTKVSGKKAKRLEKYIESKLKKDENRELIARLANSRTDTSHYQSS KKLGQGHETKRERLARALRDRQAGIDIDGDNEELLFERRTIRDAEEEPVSEDDGEQEE SVGLFENPVATPIHTLQTTNIPGAGLKRPLEVDEEGKPVLKKRKRRGGIKSKVSLQPE VSEEPEWEGFSSEGSEDEEESSVQGFESTSDASVDEEDDEGSASGSEESDDVDTSDGS SSEDPDSDDNDESDKPKRSSAFKAWASQQINEALGYSPASNTAMATETPKVAGFKPRA PEQDPLPIELQPTTNTGRKAFSVTVDRAQDIQDARLKLPVVAEEQKIMEAVHNNNLVV VYGATGSGKTTQVPQFLYEAGYGSPGSPTPGMIGVTQPRRVAAVSMAKRVGDELGNHG KRVAYQIRFEGTVGDQTAIKFMTDGVLLREVAQDIALQKYSAIIIDEAHERSVNTDIL VGMLSRVVKLREEMAAEDPSIKPLKLIIMSATLRISDFTENKTLFSNPPPVLQAEGRQ FPVTTHFARRTQHDYVEEAFRKISKGHRKLPPGGFLVFLTGQNEITQLSKRLKEAFRI GQSAAGPQVRISGKDAPMEAEDIDFGDAGHNNDHDDFADEDEDDIDINLDDEEFDVGE EPETGPSKMHILPLYSLLPTREQLRVFEPPPDGSRLVILATNVAETSLTIPGIRYVFD CGRSKERKYDRMTGVQSFEVGWISKASASQRAGRAGRTGPGHCYRFYSSAVYERDFEE FAEPELLRMPIEGVVLQLKAMNLQHIINFPFPTPPDRQSLASSEKLLTYLSAISPSGQ ITQTGATMSIFPLSPRFARILLVGHLHDCLPYTIALVAGLSAADVFIAENQILPAQAP REDDATFSVADRIEEDARAKIRRQFNKVQKDFCFLDDKSDAIKLLQVVGEFAHEPTET WCQSHYVRYKVLKEISQLRRQITELLRTNIPAFAGLKFQEKLPPPTAKQTKALKQMVT AGFIDHVAIRADLSPNPPEVRRKPTRAIDVPYLTLFPSHLKKDDEEKAVYIHPSSPLA HISLQECPEYIVYSYLQRPAPSPTTPEKIPKTRMHALTDITGGQLAALAKGTPLLHYG KPIKEVMPKMKHEGDANIRECWVIPYLRAEGIGGVGWPLPARKVTQRKIPGKGWIVE LY89DRAFT_779917 MATPALSAGLHDLNIDGGVEPSPFPAPTKLASGLVNGTQTEVSS IYFSDKILVTISQGGRLSQWVQVPLSSASPTSFDTALPADNSDMLPLGHLTPKTLLGA GGEQREIIGHLYASQIASAIATRNPEETRTCLIGLGLMKLDMEREAFFDLLELVHRVI LY89DRAFT_469954 MSTTTTITTPPTTSKLELRTAYGPVYRDVLNTPPRDCTAAEIPI IDLSRLYSEKLEDRKALATDIRAAAINTGFFYIKNHGIEKQTIADAKKQLLAFFKQPM EDKMKISQQFSKYYNGYKGPRQTFISPGESVDVKESMGWRYDPRYDPDTKDLNAIPEE VKPWIRGEEFVWEGTSQLPGFKEEVLRYWSSCLTLARKLVKIFALSLNIPEDYFDSRT TYPGADGVFNYYPVTTEEEKENNSVGLGSHTDLQLFTLLWQDMIGGLQVLNKDGQWIK AVPVEGTIVVNIGDFMMRLCNDIYKSTVHRVYNRAQVERVSMPFFFGLNFNCVEGVIP SCASEKNPPKYEAMSCGDWCQLRFKLENDEMKKRLASEAQAPSALIVAA LY89DRAFT_469963 MSNMATNLIRRFREGIPAPHLQAKGAENVKGEDMWLDNDDVRPL KLADRTWNLWTYLTFWFSATATVSNWYAASSAQALGLSMWESVGTAFGGQVLIAIVIV FNGRAGAKYHIGYPILNRASFGIFGAWWPTFNRAVMAIVWNGVNAVQGAQCVYVMLHA LTPHIANIPNVMGKGSALDSGGMIGFAVFWVLTCCFLVIPVPKMRGLVYAKLLVFVVS AIAMLSWTLHKAGGIGPVASQPGTATGSKRTWLLIRFFLLGAANCATFASNAADFQRY ATKPNDVILGNIIGFPLSNLIVSIIGNLVGSSSQIVFGTLIWSPLTYLDMLQTSSYTA GNRAGCFFIAACFTYSAIFSSIFENSLPAGNDIAALFPKYLTIRKGFFICAVVSFAIN PWYLLGSASIFISFLASYQIFLSAITGVLLCHYYIISRGYLEIHDLYTSCPNGAYHYF HGWNWRAYLAYIVGIIPNFYGFLNNMGVHAPLGVTKAYYFAYPIGLAVSFFTYWAACW ISPPALWFPLSEWREPKDYVRPEEQVTFEILDWHFGTERENVSGGVAEKGLSEKGVNV ADKTDEVLG LY89DRAFT_469941 MDLASTSPQSSLATSSPADPCPIDINAKPAELVSTKRKYDAFGE TFKHDKVENEKKVIGRPKNAWSSSRSRKLVRLYLMTSLNVDEIVEVLRTEDFMPCKRD VQKQLQSLLQTRPSKIRSRGVMTKVRHQVLRDCRALNAGGPLQVFPEPGSTANCDPNV HQAEGQMPTKPETSFISSDFHPPDLTTSSTMTNIREEFPFLIPLVSANGLLLRSDLLL RQPFRASILSTDNLRKRLAPKTESFIGDVISVLQNYTISESTYIPRTVDSQRSWTASF SSSSLDIYSRNIRLHTQAPFISQEKVLLEHGLLLPGRLRDFEPVHPNRTSIWGRAKES IETSNFAPRDSVSTFIGVSGNQSIKSSHDDSFGHNALHIAAASGQRSKYLLNLIDRGI DFRARNSAGETFLHLVKNIASGDFVYLDALLLRLQLEGFDFSCRDDHGQTPLHNLTRQ WLDKEAFCGIAKTLLSYEIDLPRSRDNLGRTILGQMIDVGLEIRALQMLQEQDRSRDS AENNKIQAQENETGHSHYDAHKGSVSNYGTPTLFEPQGDIQLYEIHADLLRTILRANT SPHYEDDQGRNGLHCLAAVCLTLPIPYPLPSSKSNEQTRREAYLSDLLAAGVDPDNCD NAGNTPLMAFITHNQDHDATTVKILSRLHDAGVNLNRRDRAGQTPLHLSVSLGRTAVT SFLLAQGANVHARDSKGRSIIALGMAKSRKALSDAKLYAQIMMCISFVVDAAGVSAPT ILQEWALK LY89DRAFT_705860 MLFRNCATSIALLASNAAATIYYAGVAESSGEFGVWSATATPGT GLPGTFGVDYAFINKSAIDVFVDQNKVNLFRVAFLLERMCPLSYGLGSKFNETHFSFF KDAIDYVTVNKSAYAILDPHNYMRYNDPSSQPMTGSIIGDTSDVKAATTAQFAAFWGE LAGRFKDNEKVIFGLMNEPHDMPTSLVLANDQAAINAIRKVNAKQLIIAPGNSWTGGH SWTSGSDASSLIMANISDPLNNTAFDIHEYFDSDYSGGHVNCTNLASTQLAPLTAWLA QHNFRAMITEFGASNSTGCTSYVSDIISYMENNPQYIGWTAWAAGPFWGTSSPCCTDQ AHWGSLEPGSTAADGSPSLYETVWMKTIQKIVPKTLVWKGMSALKPM LY89DRAFT_612141 MHKPKFTRQSTLPPDVSMSSTPRPSSTNGSSEPPPQRVESSNPE LDVKKLQALPSEQQDLYLLTFVSTLTKHVESLDADGCTAQQLYLKKELMQILNLSALP PTRVIRNNLGRCFAHILGKGDRKLLFETVNDLINIISSGKAKSEGDLRTKHAAVFCLG DVYEAAGDSAIGLHPLACSALLKLLKPAGNSAGLRAAIFKCFGKISGMIQGSMDDSTA RDIWKQARNHASGDKSALVQISSCRCLKELVKNTPYFDNSSDFDSLKSTIFKTIDSPS PLVRQAAGECLAEALVKNHSEDPVQDNDIPKIKKPKRTNTKRMSMAPGADDDDVPPRP ESPGPKKSQILVFTLPEMLKQLSSFYVKMSTSNRARAGIAVCYTRIFKSLSERNVETM YMKIVDHLTIDVLSNSTITSNRYRLLTTRRFVQIILEDVIGQSILGESGQLDAAKRLI NDVLKNYPQVIKERAEPSKHALIAVLSALASLIRIVGSAATVFADSCRDALLQVLQHP SYTVQIYTSYCLRTFMLACPQQLLPCLTICMNSVNRELNLLTTGRNSPRRCVGFANGL SAMISTAPLQPLYGSVDVNSRVLSLATGLLKSSSKSELRISSTQIQVAWILIGGLMSL GPNFVKIHLSQLLLLWKNALPKPLAKDNTSQRSYLESSFLTHVRECALGSILAFLQFN SRLLTVDVSKRIAAMLQNTSAFLKSLPSKKTMEDITQRLTPSLQLQDLDLMVQRRVLQ CYTKLVNLSPAGGSEALIQSNILTLAISFFADPDNYTPSSLSTSIANSAGTFETVWDV SDNCGFGVTGLVRGFKVKPLPGQHENDSHDDWMKETDPDNAIANVLLSPICSSLEHDS LFLYLGDPGDSDPMPDPPATEVVNVAIKLFAIAFPLTPPKIQESILEQVATFSSAGSL QRDPGRKAAMNVNVATALFSTLKVAVKETQSPAGDLTNAAVEKLMQEMIRAFVIHPDQ YIRNIGYEAIARLCNSSGNAFTNTEIKYLIDTIVGNREPSARAGCAMALGSIHAQVGS MAAGFHLKTILGILMSLCNDPHPTVHFWALEALAGVADSAGLNFSGYVTSTLGMIGQL YVGDTHNEDISSVATSNLELELSTTAVLARCVDSLVNVLGPDLQDMSKPRELILTLVG QFQREDDPLVLGGSLRCLEHLSLYAPGHMHFADYVVLLQKDLNSDYPDLRDIAIDGLH NLMKRNSEDVVQAAESGFEDQLWLALDSDPSHDGIRNVIFNWLRQSCLSETARWLQRC QTVLAMTRPKAADTSAASAKVSAMPDLQDEEVAGFATASGAAKDDGAAAAGSGQEPLR WQVRTFAMSCLGEIFAIISKATPPGADGSSPAEMALQKKVADVIRMAFSASTSNVVEL RVWGLKIIDFVLKMFGKTPDPDFAEAPLLEQYQAQISSALTPAFAADSSPELASEAVN VCAQFIATGIVTDVDKMGRILKTLVTALENFSTEAEVQSIGDLKGLSSNAQVMVKMAI FSAWAELQVASTEQRYLVDVLKPYIGTLTPLWLASLREFARLRFEPDISMSLGPPSLS GSLDSIYSALNRETLLRFYQDSWLKLVDAIASLIEQDSEFVFDALDGKETDGPQTNGH SKGNDINYRDEPVAFFFVLFGIAFEALVARPGSDALASKEQTLGILQALKKILHPSVS GHAIYREAIFSETMDLLDRLVLTEGLDVQGVIVQIARGLCISHPSATKGQQPEDGDLS EDIEQLFELTRIIVLVLAGLLPNLTEAKQPARHQLTDEAVSLVRVSLNALVDAAEVFP PIIKTDLHACIIHIFATILGTATCQAVVVPQSLPILKRFLTSISGSKKSTSKPDSDIV QIQGCLKRFLSIYLNAQKRETEASLPCVKNTLLATTVLLTSGKNLMSASEPLVGRFLD EVIDCLSDRMTAKVAAGCIRTLLLQSPKTSADQSIARYLLPRLVTFATNTKAEDPENA RALVMHALTTFVATLPSAQKTAAMSLLVPSLLARANSEGEAVYKETSARLLDLAGNDQ VAFRGVVGSMTDKQKGFMESVIVAGRQSQGTKVQEDDGQGQEPTIALRMDFGA LY89DRAFT_695627 MAAFSRGLRSLGLRSESICPRCLQFSTSATAQSGHNRWSKIKHD KGNADAKKNAERSLFARDIALASKLYGGDPNTNPRLATILQTAKKAGFPKASMEAAIA RGQGKSATGAALENVTLEVITPSTVAMIIEMETDNRNRTLSDVRNLVKIHGGNVTPTN YLFQKKGRIAFEKHEGNIGVDEILDEAIEAGAEDVETDDDGSIVLWTEPSKTMAAAEA LQKSHGLKVESADIIWDANEDTRVSIDSEDVVKALTEFAEALQDYPNVQGVYTNVVKG VNISEGIWEGLLENLDA LY89DRAFT_580778 MGGPNLEVFKFGMYIMFPIAIMYYYGTNLDNRFSVPDFWPKPEQ TNRIPFEKDEIKSELERLRAKRLFLRDKRLEQERASGNSGQGNGESS LY89DRAFT_682864 MADAVTLEFKGRIAVITIDDQKKLNALSQDGYYQLAAYMKEVAQ HDEVFITVLTGKGRYFSAGADVSISRSQPDGTDLNRHWLKSFVSNNLFVTNTFQTHPK ILVTALNGPAIGLSAALVAFSDFIYCVPSTFLLTPFSSLGLVAEGGASRTFVQRLGIS KANEALIMSKRITADELLQVGFVNKIFDCGKDEDEKFRKLVFEEIDNRLGEHLIGDSL TKIKALIRKPERDIMDAQGVAEVFGGLERFSAGIPQEEFRKIASGEKRHKL LY89DRAFT_549985 MSSNVGLSTPRGSGTSGYVQRNLAHLKPRDYGKPYSTDLDSLKH RQRQPDKEILEHDRKREVEVKVFELRDKLEDEGVDEEEIETQTEALRRKLLKESERGT GPNKKGLKMHQVHELAEAKIKQDDRFRSALGISKDYEEGSHWKKQEERLKAAAEK LY89DRAFT_705865 MPAISSTNEPVPEPEPLTRLPFKPVTKSHILHCSYDYWHPKYRS STLKSRIIPLTPAFLSYIREDGIVLPPEVSSFPPPSTYNDNSTSYGWDEDPDVDPSEN FPEIHQQIKDTIAELGGLVAPKLNWSAPKDATWISMKKNSMECATPNDIYLLLKSSDF ITHDLEHAFDDCAEDETLMKDDIKYALILRKWFKVNPSCEFRCFVRERRVIGICQRDL NHFEFLFPMKEQMRDTIVSYFEKTLQRTFPEKDFVFDVYLPEPYDKVRLMDVNPWAPR TDPLLFSWLELLTMPLPKPLLGVPDSGNNPPLPPLSSDEDTQDEQDEDGVEEVVWKPE IRLVRKNDPEAYSFASPQYSAHKLPREVVDASLSGESGMREFADHWKQMMDGKIDLQM PGEESEDEEVDAR LY89DRAFT_641571 MAFLSHIKWLYREFGLNSLYDAGRDAWLIILARCCRMFAFGTNS LILALFFSSLKFSDSAIGLFMTLTLLGDVLLSLLLTLVADRVGRRRVLFCGSVLMVLS GAAFAYFENYWILLIAAVLGVISATGGDFGPFRAIEESILSTLTKPNTRAEVISWYVT TATLGQSVGTEVAGRIVHALQGKEGWTLTDAYHGVFWMYSGMGMVNMIVMLCLSDKCE AKKVIQDVKEVEEAEILLDGEEERETDEGTATPIEPTSPKPMKQSFFAQISKDTRSSM YKLWFLLIVDSLADGMVPLSLTNYYMDQKFHLPKSTLGDITSASYFLGFFGTIFAGPL SRRLGLVNTMVFTHLPSSAAVLVFSLPKTVWLSVVLLLVRMGLNNMDQAPRTSLIAAI VRPEERTAIMGITSMLRTLASTTGPTVTGILAGQQRFWIAFVVAGSLRIAYDLGLWAI FINLKLFQNEEKDGDGEGEVLMRQIVRDGGRERENDEEELRDLVSESGSESGRSSGSL RREMKEDELNDSGK LY89DRAFT_731303 MSAVATVDSAYAFTAASYAIILTRTLLRRLKHERLLPDDYLILS AMIFYAFNTAVYPITAFYGTNLTTKDPKSLSPNELEHGMTTSGYSQAKFPILIYHTVI IGSKWLLIGRPIYMSYLWTLKACILIFYARLTARTTDLLSVKLAAGLLAATWVMNLFT YFFECRPIWLYWQVLPGPPQCAKAVGSVIIFGTTDILTDLVIMIIPLPLIFRAKKPLK TRIQISSIFFLWIFVIVISALTMAIFLEHLDERRQMIWTQLECFFATVAANAPIIHGL WRHGCLHIRQGRFGPEICAPVPEYNLNVRAATAEAEICSQEVPRSSRGSLGLRVSGGI RRSIRKINERIRSSFDDAHIEQSITILQETRTGRIEHRSSEAGPADMFMGPAKTLGHV STEISCGNPPIKPKHEFARLKMLHGHESR LY89DRAFT_731304 MVLSTLTLWLNSWITEIPDTTRTWSFIVGTISFCVGFIWALARL LGKFHYWDIDTPEDNPYYYNFQMSHYDKAQQAKVPDFEAKFKGQWAIIFIDGGLLVLG ITLLMRDTSRVLPYLYNEWKEWSQKKKKKNTTGSRV LY89DRAFT_772870 MAENKENAPAGSAKSEPMVRLDIYVKCHPSLTVEEFHKRWSENH GSLVKGWLAEKGIYRYTQFHSPPEMTGQALGIHDDAGILDFDGHAEVVVPNIDVLKKL VEDNFFAEFASPDEEALVDMASVKRSIGYEEIHVEKGKVVEI LY89DRAFT_682866 MAETASIAWTDEELRAKVGQLFVVGFHGHVPSDDIKTLIKVHKV GTVILFLRNVASASQLLELTNSLQATAKDAGHQQGLLIAIDQENGLVTRIKSPVAAQL PGSMALGATGDAKNAYKVATATAETLKSFGINMNYAPIADVNSEPKNPVIGVRSPSDD PETVARFVSAQVKGLSEGGIVPCVKHFPGHGDTAVDSHYGLPVISKTKAELDACELVP FRRVFVEGVDSVMTAHIAMPGIGDASLDDDHPSKKVPASLNPDAIKILREELKYDGMV VSDCLEMDGVRATFGTEEGAVMALKAGSDCVMVCHTMSAQVGAIERVIQAVKDGELSQ DTIAASVKRVETLKTKYIADTAPIPNSSLEHVEARNTRQAKMAAEIYAKSTTLVRSEN GLLPISSNCNKKTVFISPGKTPPGGGAVEIGEEKTREPYTPDSYIDVLHAHDPTIAHI RFRDGIEMPPEEEKLVLEADTLIFATRNATQSPYQKKLGLSLGEKFGKKLIVVATCDP YDFLVENDLIKNYITIYEPTIPAFNSAADVIFGVMKPMGKLPVGSSGPEHDIKTFDGS DEEAKKISEMWHVVFPKWPISLPRLTKILLRSHGRHYIHEGGFCLSFFMDGPHGKIAS LGVLPEYRGKGIGTALLKKAQRELTSMAEASGQGPLKSLAIGSDSPRLWCEVPVDFSQ AAKDFLMHRGFRHPTKPTAQDLYRDITKDVAPPEILAKVAKLPLKFSPWSAELYEETM TKQRANFKGLSWIGAYEQLAAWNQYHQVMVAFDAETNSQVGWTLMCDYSIISNNFAFL PLMPSKEKTGLIACVGVDESARGKGVGLVLMVKAMENMKERGIEGVCVDWVVIKGFYE RLGFQRYWEYQGFEW LY89DRAFT_580495 MDNTIGVSTSNDIISKQIPVGSTETDDDVQTKQMKENLQKYEQL FGADEDAFEQPTTTRKELWSYYLYYNGDNGVGPNSYAPALFQYVLTKAGWDPDIRPIQ KGNCGNAGCVIAWGSGTRSVASVVLIANGICFAVMTVMFVGLGSAADYGSFGRWLLLV LTAICWVFQYAMMSIRNAEQWPTAMVFFIISYIAYGATLVFYAAIFPRLARYMPHVRK ARDEDLKEGKITQAEYDNIESLERNHVSSISTAHSNIGYLLTLVINLSVLLPLQGNPF GNNLALCLTNSCNTIDWVVLGIWWFIFQQPRPGPKMPKGSNYATIGWKQVWLASKEIR HLPQTFIYLVAFFFLADGLNTTGSLIGVIQNNIVSFSFLEITYLGLSQAATSTASTFG FWYIQRYFNIKTKHMFMVTNFFSVFLAFYGMLGLWTTKVGYHHVRDFWIYNIIFGLFQ APYYAYAQTMMSEVTPRGYENMFFGLFGITNRASSIIGPNVVQAIINNTNNNWMGFPF LFALCTAASIVIWFVDVEKGRENCRKYVEERKLLRVAKESGLTTDQVVEGVATGELVA ESGDSINSVSHVGVEGSAKGHFD LY89DRAFT_612170 MDNSSASHSGPDPQSDSVQAFFKNRSALISQENEQRSDHEFRQS LSPIARQADAIVAKIRLHEHETIWSSKTSTSSSHDPALESEELYPGMIFNSAKNHMES TKLWKIVQRMPKGAILHCHLGAMVDLEWVFNQAIDTPGMFISAPSALVDEKVSLTWVD EKVRDKGTLLIDYSKKLNVGGKSIWSHDYEPRSRIPLKEASDAFPGGKKGFVAWLIDR CTMTQTDAVRNHLGVDAIWRKMQAAFVLLTPIIFYEPITRAFLKKFWTTCNEDGVRWV EIRGMTRSFRLEGQEELTENRLALAGIMNEEIEKFKASPEGKGFWGCRLIWDCLRSFP TENIVIDMKICIQAKKLYPKLISGYDLVGPEDFGRTLHSLTPELVWFREQCIKEGLNI PFFFHAGECIGHGDSTDQNLCDAILFGTRRIGHAFSLYKHPLLIDIVKQKQIMIESCP ISNEVLRYTSSIKTHPLPALLARGVKAALSNDDPALMGHGTSGLSHDFWQALQGWENL GLAGLGSLTQNSVRWSAFEDQTNEEWNGDIATALTGSSLRAKYVQKWNAEWEQFCEWI VAEFSEAI LY89DRAFT_731309 MIVMEGLMLFPPDRGTIIGRSLWKQRYVVLGTGSRASNDQQPQT DSSGRGAAARKGGLKNTSSRPSLVDVSQPEPQGNKLYITIYKAKGDWEYLQQHAVSAF KTCEVRHIQHKKQSPSLPTLLLELKPDSIADKQRKRRSSRTGGLSSSKDTHSNILLFR SVPDEQHNIYDWQMNIKPLLTPDDIEEGPLSPMSPAFNFSNPFANRDARTPSAQRPEL QQRGSHQNTYPHAPTPRERPYAMISPSPSLRSRRSDLSSQASSQPPMGFTSSHPQSYT TLPTDLPSPASTSGYDNQFIEGWTSAQGRSSALSSHTRGSNSIASAVQPPLVASTPPG PRETILDRAFQMRCIPGSDRVPDKDEEKISSIARFEALMREVDERKQAKALAKSPTHE RQNWGLEEESEESSEGEPEEDEDELGMSDEDVAIPTPAQRALDYISGRRTPLPTTRPL SPAPRSPPIPFLNSQAMSAFHGHSSGGGLRPRTGTTSSRNGEGRPNSFALPSRSQSTT TIPTLQHQDFAPAVSSNQNLKATDQKEKRRSSTSVKRLSFTEFAKRLSSTSSLLLVQT NASSSSGRGDGSGRVSSEFGADEDRTGMGMRGGAGTAAEMMGGSNRDKRCGWRGSVGV FGGEGGFL LY89DRAFT_470346 MYGFMLVACTSLRFPFLLSRLLFSVFPSRELSQVASMIPHLIHS PPYLSFFLQYNTLCPSTAFSLSSLLLQKMSNHLNLYEAPAPPICYPSYVYRLHHRTSF LIHTHLRIYPRPQRVSALH LY89DRAFT_682873 MSAKKRGAEVARGGVQLKTPKGTVDSFGKEVILREQVTSKISDI FRRHGGNPLDTPVFELKEILTGKYGEDAKLIYDLQDQGGEACALRFDLTVPLARWVAM NNVTQVKRYHIGNVYRRDQPAIARGRLRAFQQADFDIVGQYDPMIADSEILRIIVEVF KSLEININIKMNHRRILDGLFVVAGVPEEKVRAISSAVDKLDKLPWAEVKKEMVGEKG LPEEVADRIGEYVQHSGNIDKMLQYLRADATLCANESVKEGLADMELLATYLQAYGIT DRVIFDFALARGLDYYTGLIYEIIFQPTAAEAATIQVGSIGAGGRYDNLVGMYGRRTA PCVGISFGVDRILSILKAQRTSESQLQTEPVEVYVMAFGSKDFGGLLPERMAVTAQLW DGGIRAEFMSKVKPKLPNQFKAAVDVPIAVILGEDELKEGKVRVKALGLPDGHPEKEG VLIQKSELVAEVQKRLAR LY89DRAFT_772887 MPVTLNEPLSQLQRHAEDLEYAELINRAVDLKDSVKRLLYVASF ACSKHVSASSLYTRSFNPLLGETYEFVDPKKKYRYFAEQIQHHPPMLAICAESPRWTY HGEAATRNVLRMTAIDVNHIGTWFLTLRLLDGTEELYTWRKPGMVVKMPLVSGEPVIE EYGAVNVRNWSTGEVCTIDGFKVDAGSEFRGKVFNELGRLCAELSGCWNETIVGNVFA DTERKEEFQKFQIWESNRRRANRVDCLTPFLVGLNDIPRKLRNYIPPTDSRLRPDQRA LEDGQCEFADVEKKRLEDLQRKRQRRRKEDKLDYAPRWFKREKCIVTGEDHWSCDREY WRERERSNEERWKSVENIFTA LY89DRAFT_716527 MRFKTSVRNIQTFSKLTASLSSLGKVAWVRLDDDNVRFTIIPET GTQVWAVLSIDSIFEDYTIQSAAQNNTINLELPLPPLHRALKSAINATSASIRLTKKD GVPVLSLTIITNTISSGNSANGFLNGANPDPFGDNAFREENLDANMAGDRETIVTQNI PIRVLTADSVEGIHEPRVREPDAHIILPSLIQLKAISDRFTKLAMATTTGGTKSGSAA SVPKLELAANMHGSLKLSISTDALNISSVWTGLSNPELDPRQVEDGEDGIGDHPSTKL KAAGPDAWSTVRIDGRDWGKVLSVGRLGGRVIACFADDHALILYVYLSNYDDGSDDSV LTYYISSFSS LY89DRAFT_682875 MHPGMQIAPQDQSFGNGYEMQSFPLPNMSTTAINPLQQTGGNDR GMHSRLQGPSQGQTFGSGYDMHSFPLHEMSAASVKEPLHELEGSALAGYIPTSLVVHK ANTASRTRSAGGNRIQAQANTDYTQMFDEENAEYKKRGEVPLQSDILSGGTILKAQRG IGNSMVVNQSSSYRQDNKIATKEAAKTETAKEPAKMPEKPSRPMTYKEDYERRCRAAS EARRLKEIERMQAEAMALEISNLEAVRVEQATNQAARFQIPPAQGQFSAPVLFPGFGQ EPRQPQGFLSVPRPMFPRQDYMPTRTDRLLGTLAPINTSGPAVDSSVSLPVSPVHRAR SGLDEVVSKLPRHVVTRVASQNTLQANSTSPSLFYQREELAARLQNAANNYTQRSRKG DGGASQAQIRS LY89DRAFT_666903 MTDLNPFGTARSAEDVFVTAQPADVEESRQPSRNVQKANVTVND YETTKPAIISYSMPPDPEPKSARFAGTMTELEVGAVISRRRYDQGIHGSLIEDPILGD LVFQNDVRSIVFHVAACPALLADTMRYVSFHAHNSIYNKVGTWSLPKGWTQKQQRLHG QDLHLEGVRAGILKTVAETLSTIVELLDSRRGINVKYFLIAVPHQDQLNFLVDNCARW VALKELSIFPISRGLCSSRETASKIVRKYIVRDWWDGRDWIECFVSGRQTSELHGIH LY89DRAFT_731314 MDTSVPSPLEIASPPAGLASPPAPPPSPSSSLMQFLEEADFRDS NRCTICNEDLAEARVDETIETPSVLPCGHVFGNLCISRWLHDSTHQNCPICRRRMTYR SCGHTVKPLPVAESPQLVKEEHMPEKCLLCREGSEMLKERIRWVKDRMDAEVRALEGL RVLRMTRGFAAFGGLFCGRTGPTEDFEGRVGESKKVWEDECRKLEEDATNGRCEW LY89DRAFT_470393 MLGRAAENDVYIPHPSPKDEIVDDENMGLWSLLKTLIIPALISL ILYLIISYAIVPLWKRYRGRYSTYLPLDSISTRTTSLRHRIQTAVFSRLIPSTWRRDF GGERYTVSAQDGAGSDFDDEDGEELYDIDDGHREALSLDARRGRDLDGRRLSRDLEEG FKDDSDDEDDLPNTRHGRNLSR LY89DRAFT_470404 MSSADKARQSSGGKSSFFSRSSKKDKRNVSDEGKLGADLDRASN YTGSRTSRHTRGSSVVSIDRPNSPGADQTGLNMMAGVITSIPYDSVAADSRSPIPVDY LPRNDQMPVRREPLPHHLNKGGSDFHQYPSFDATSVSNGSSHPSGPRPPPQVSNTTMA STGNRTTQLQQWGPGRASGASTMTGHNSRYDSYSTNQSSTYGRSSYDQSSVDSSTERS SVFSSGSSSRTAMPYSNSNSSFASTLSPSHAPRESHRLTKFPHHQASGFDSTSSFGPD KQPDDDVVVEREFLALMQKRGWHHLPEQARRQMMAYPSAKKWTLVHQDRLTEHSGEQK RRTVAKNNGQYGQYGKEMEMLANAEEEGTPEWFVKKVMDNSISAKQLQSLAVSLRTQP IGWVRTFVECQGQVALTNVLGKINRRQAQGPAPADGSTSDKDLDREYDIVKCLKALMN NKFGADDALHHQQVIVALATSLISPRLTTRKLVSEVLTFLCHWADGQGHLKVIQAMDY VKNQHGENGRFDAWMRVVEVTVDGRGKMGSLVGASEEVRSGGIGMENLLMEYAVATLF LINMIVDAPERDLQLRVHIRAQFTACGIKRILTKMEGFQYDVIDKQIERFRTNEAIDY EDLLDRENSSMKDSIEGDVKDLNDPAQIVDAIMSKVQGSRTQDYFVSALQHLLLIRDI DGEERLRMFQLVDSMLSYVAMDRRLPDMDLKQSLNFTVQSLLDKLHTDSEARQALDEA LEQRQIADSAMAERDEMKAQIELGADGLVAKLQKTIQEQSQYIEAQKRQADALKAEVE NLQMVRAKEAQRYELETRELYLMLRDAQDIAASNAAKGANGALAQSDPSQMKGILDRE KLMDRLEMQIERQKTQYKLEGRVWGDAAGPSDRLRALREEMDGDRPAGGATPPRDYTN SMLGSVTRQTRIPRKPVNSQEIIPEDEDIDDEDGAVYEKPRLVELRRPKQAPGYLDEI SSKVKRYDASDDEEGDGVTTGPSHPSLESNSPRTPNDDVVSSSKPDKTEGFNGPPPPP PPPPPPPGFNGPPPPPPPPPPPMPGMSGPPPPPPPPMPGSMMPPPPPPPPMPGKMSGN FLASQPTYAAVPTLGLPVARSKKKLKALHWEKVDTPQTTHWAAHAPTPQDKEEKYAEL TRKGVLDEVEKLFMAKEIKQLGKGSSKKEEKKQVISSDLMRTFQISLAKFSSYSVEKI VQMIIHCDKEVLDNSVVMEFLQKEDMCNVPENTAKLMAPYSKDWTGPDANKENREQDP SELTREDQIYLQTAYELHHYWKSRMRALALTRTFEPEYDEVSEKLKQVVAVSESLRDS VSLMNVLGLILDIGNYMNDSNKQATGFKLSSLARLGMVKDDKNESTFADLVERIVRTQ YSEWERFTDDIAGVVTCQKLNVEQLQQDARRYIDNIKNVQMSLDSGNLSDPKKFHPQD RVSQVVQRCMKDARRKAEQMQLYLEDMVRTYDDIMVFYGEDPSDDNARREFFAKLAIF VTEWKKSREKNILLEETRKKNEASMKRKHAQLKISGTGDGSAPNSPVSSGAMDTLLEK LRAAAPQTRDQRDRRRRARLQNRHQVRVASGQKIPDPDEIPEAEAALLSPESIGTDGL VSPDLPKELNEDDIAVRAALLLQGIKGTDGVEDPEAAERRESQRRSRRRDNADEERRA RRRRREKASTSEGGAEAGEEEPLTPQIAEMPVEGEGKEKVPTPTTVVSPPSPEGSEKK PIEIGDD LY89DRAFT_731317 MAILKQGENEVEVRIKRDSDGACFEEYVKLGERDKLNGQECKRY IVGQPGETYSIEITLKQGYDFGTAKKVKALLYMPGSNRKVGAVGAMAYKKPLQEDMIM VIKSSSVIAGDRLFKNAKFAFKQLTIGELSCFVSELGYTDDFLIADGVEDGSGQPMID IGDLGSFRVEVLRITGWVRPDKRRTLNQRETESAQIDFLASKTRSEATMVGGIALQKQ GIAYNVGFTGGKWVRQTHTRVFKHEKTELLNFNFLARSQGVFEPLGIVPYPPALHCYA WDLLNEKERQSALKSLQDLAKARAHEEVEFRTGRLVPRSSGGYSEDDPKEWRPWTKMY DWEKKRAFDSLQKANKDYERAKVQNQIMAAPAEQLLALEDDKREEVKPMFDPADNNLD ATVTNEPSLTSNSETSQEEPFKAPVAEPPPISRTEASSSSQASIEETSSAVPAAESLA LDPLKVKLEIKEEPIEDASRPTKRRRVEITIDDDDDDEDLGQLRSEQKRIVEEIEEAE RLADLKRKRNALEAKIKAAEARKRKK LY89DRAFT_731318 MVVLQEDGNEYEIRVKRIPQNTYFDERQKFGDPEEVDATTADRY IVAEPGQMYAVEVTVKAGLKWGTYSHVRVSLRLAGGQEFVADEALTTESDISEIDPLS LAGFKILIRRRLVTTTPKDPATVYKLENRGTRVFRAQKVDQESFKKHGITFATGLGSS RVDTETCYLSKYLMEASTCAMAYFRYSTAEFFEQVKVVTWPPPLHYYTWEKLRDGQRQ VALGQLQEISRDDYLIAHGTNSDQHARREWRSWLLMEPIQRQHAYNTLQKEYKDFQRG QVQTQVSLVPSHRFIIIDDDVIPNVGVASPRASHSTRAASAALYDAKKPIVIKDELEK DKDIIKSENSGDSIPRKRRRSTSVATTETFTSDAAGRNVRHSNLKKEPAIKEEPIDVD ALDSQDLELLLSSAVTYDLTKEDQSLAKNVKIEAEAEERIDIPSYSDGAAIESQPETS QL LY89DRAFT_470451 MAESKQLVLGYDSIHPFAKVDITDRASVQELLRTLLDPLKTHFS PLKARIRTPGGSAVRFDQTAAEIEGTCRPLWGLASLLAGGGEYDGTAWWIEALKQGTN PESPEYWGDPRDNDQRMVEMCPLGFTLAVAPVFWDSLSEKERPNVERWLGNSINEKNM PNTNWLWFRVFANLGLKKNGGKYSQEKIEQDIVHLNSFYRGEGWSNDGPVGVWQQDYY SGSFAIQFLQLLYAKIAGGDDPAKATEFKKRAQDYALDFVHYFDEEGRAIPFGRSVGY RFAMASFWGACAYADIELPAPLTWGIVKGLLLRNLRWWQTQGQIWSPTGTLTIGYAYP NMYMAENYNSPMSPYWACLAFICLAVPADHAFWTSKEEPYPTDMLQKVRALKHPGHII SYLGGHRLLLSSGQACSYPMKGTHAKYGSFAYSSEYAYSVPSGCFTLEQFALASQLGL SDDGGEFWKTRRLCEEAVIETHEDLPVLKSIWKPYPDVKIKTYLIPPVEATPNWHFRV HNIEAGREVMTADGAFAIHNERKPDGRYLDLYDSKKCEGTLPKIIGNYDLNTPEGWSP GAVGAFAMSKGAVGIVALEADTPRTAMHVNADPNTNLQEARTIIPTLQHTIKKGETVW YVTAIYAKPPRKDVLPETYLDGWDSKPVIPQWLKDEMV LY89DRAFT_580331 MAKTTFLQKIVKNEAMKSDPPEIYDIGSIGGIIVMEAFLKKYNI TAATTATLTSNIVSTLQAGCFFGSLIAYYVADRWGRKPSLLIAAGVTILGIIIQSSSD GHISALYVGRLVSGFGVGAASMLTPLYVSENAPRAIRGGLTGLYQLFIAAGTMLSFWI NYGTHNNFKPVKTNNATWQVPLALQCLPALCLFLGICCCNESPRWLARQDNWEKATKV LSKVTNLPPDHPYVQMELNEMRDQLENERRLVGGASFMDLQREMWTIKGNRNRALISI GLMVCQQQMTGTNAINYYAPTIFLDLGIPSSNTGLFATGIYGVVKMTTCAAFLLFAAD SLGRRRSLLWTSIAQGLAMFVIGFYVRFDPPVKGAAIPPVGYFALTCIFLFAAFFQFG WGPCCWIIVSEIPTARLRAMNVAIAAATQWLFNFVVAQAVPHMLATVGKGGYGTYFIF GSFCFIMFFFVWFFIPETKGMSLERMDDM LY89DRAFT_641602 MSQLVNLCIALIAALLLGQTSAQPSLNYPPSISDGAVLGLDYLP CGGNVPDYSSDNVTDFHVEGDAVALYSNYTQTIWQLGAFLDTGNGVNYSNPWTIVLPP INQSGVGDYCNPSVPLPGSFAGLKGVIMIIQLSMVTDGSVNQCAAVNFVPGRQTDLPS TCTNDTGVSATFAATWAQMSSAAAAVPGYAILGPPSSSAAMSSTHNIPAVIWLFWIGY ILCLVACFAAFIDVGRFIRRLDDELPGSSVDIEKGFELRVEGFELRVDVFCGTMT LY89DRAFT_470567 MARLSRGCLRCRQRGVRCDEGRPSCRRCINRSEVCEGYRDEASL IFRYETDKVIEHARASQAQAHSPASSKASSQASRKRSNSFSSPAKNLDPSRLAPGENS GLKLKNPHPWLLKELPSERAPPVEEQAVDSFMEKYVIYPCNQTSSPGFLEHLPSMFKE VNVTGRYALRWAVRAASYADLSKDQNNDILVMKALQCYGMALSALGESLAEPGKVPDD YDLMTVVILDIFETLYTPNEAAKGSHAQGMAQILRLRGSDLVYNSRGWSLFRLAHHRI QQQQLAFDMRPNSDTTSWLNQLNENEPYVRLEKNADSINDTCKRARTLLTLINAGGLL ASTIVEMIQELHILDQSAVSWRQTSEWSFKNLAVSERSDLEPAANGITDTIQLHSDIW MAYEWDYHRAARITFLEQLLKCSKAALDTPDLDVVEEKTLTDTITECTSTIQWLADEI LSTVPQSFGDVDHMGRLYDTKNGPPRCRGIGGYLLLWPIKTVKAQLSATTLEQKERGG RVFERIRDYTGMKSTLGDMSII LY89DRAFT_682881 MSSTTTVAMPSSDSNPRLITTTHTADGTSIFGADREVSLFRPFG PSGSSFAVFDTRGAVPVDNLEATGEFLETLPRCPPGGATFCITNIAGNFTVPMHRTLS IDYAVVLSGEIVLKLDNGDEKTVRAGEFIVQAGANHQWINRTNEACRTMFVSLSSEKI KLADGTVLEETMPKRP LY89DRAFT_779943 MAILGKSTSQIEVRLEKLPINGEHPTYFDEYAPIGDREALTDTI TRYIVPEDQAYAIKIIFKEGYVSGKFDGGFGVIVHEKATGTDIFRQQLVGDSNSEDPS KDVIYPVASIPSAVVDGVVKQNVQLAFHQLSPDEELSGETDLLGCDATKVGGITVTIR RNSKRVIEPKPCDQWSEEMWNYNSQLATGSDSLWKAAKIDQKLFTKKGLTHGTILTGG ETGGTLHLPSRNNAKSEHVEDRCYHFICRTAAFLEDSQIVKTPIPLISRPWRYFKEHE RFNVFRDLQNYDKEQTLKQKIAEAGPHADAKAIQRDLLETGEIVNRWRGWSQTYKRER ESLFKELQRRRNFFEKGEYPPDENQVGNSSKTAISLGELAPEDNSPANGGTPRASTTT IKAEPEDNRSLHFKSQAKPSVIVLDDSDEDPQGVSKKLNFKGTVIKSETTIKSEPEES RNQVQTASRKRSRVEDVRQSKKIKLEPGLSSSSTIKVDTELMRLKEEDELKEEELNAA QRVFELIQERNKRKGKIAALEAGSSATSTPRSHRRP LY89DRAFT_470617 MSLQSKSQNEIAAWLKKWHEGADSLTSDYHHMFFTKDAKLTYAN NETLVGRDKIIEFFSQVFPLLQSMHHSTRSFTIPADKNQIFLRDTISYVVKGDPEAKE ITIPALGAFFLVDDAGDRIGKVDLKESDKEKTEEGAVIERLEVYLDASEVFARIVGVR DGTL LY89DRAFT_731324 MPTREQITYFGAGPALLPSTVLETAAEALVNYNNTGLGLAEHSH RSELATNILNETKTDLAKYLDVPDDYEILFMQGGGSGEFSATVYNLVNVWVARRKQKI LKELNIPEGKEIPEDVLLKELQKAVDEELKVDYLVTGSWSLKASQEAARLIGPEHVNI VADARKVNDGKFGKIPEESTWKLSKNPAMVYYCDNETVDGVEISGFPEVLKPTGGEDD PIVVADMSSNILSRRIPVKNFSLIFFGAQKNLGSTGITVVIIKKSLLPPAVATLAPTL LRKLGLPIGPIVFSYETIAKNNSLYNTLSIFDVYIAGQVLKKLLQTFPDKVDGQQKLA DQKAKLIYAALDKYPESYKIVPDKNARSRMNICFRVTHLASGIDESERTFLKGATDQG LTGLKGHRSVGGIRASNYNSISLEGAEKLAKYIEEYAQGPK LY89DRAFT_612200 MAERKMSEAGSAKVQIPAASFPTHNSPRVWFLTSSLSPLAVRLI RLLLAHGDYVAAGLPPSEMEDEDRNAEYRELINECRSGRKDREGWKDRIRGIRCDGRV MGQCGAAVAEAVHTFGRIDILLCCTSEAVVGTVEELSTSTATQNLVRDQFEIIFFSQV NFIKATLPLLREKHNGHIIILTNIGGHIGTPGMPMYCASTWALEGYCDSLAYEIAPFN IKLTIVQPNKEITVLTNKITFAPQLPQYESSINPAPGLRDILTNVVNMHPDTRIDGAK NGKEKIVSRYPRLPSDARDKLVLETVHALAAIGGHENPPARHIVGYEGVTSVKEKLKT VSEELEDFVEVSCAVDIFKSEVGRAALSGLKVDTNAPGSALAGPST LY89DRAFT_779947 MDEPINVLQILEIAAGIGSSHGILINIPPGHVQDGTRYSTYKSE DSADSNRAKLNSIYLNLPKWIEPEEEDCEQTDVEQNHGKDMQNDSEDDRASKHVSDNQ LHHRRPSGWISYKTLLQRVQAEAPVVEALMAMHRTDIVLLYTDNDADGIHWFWTIICA GGVPCLCTKLPEDPVQRTKQIVNLKETLKEPLILTSKNLEAQFKDLDVDGLKLWTFGQ IDKSRRRILPHRPYPGMGPGNFHGFEGLSLYFPGHLKRPQSLAVLMLTSGSTGNPKAV CLTHDQIISSLKGKSELHATTSKDVFLNWTALDHVAHLLEIHLHAVYVGANQVTIPTA AVLSNPVAFLERISDYSISYTFAPNFFLGLLVQKFPDFANDLPDVHDFDLSSLRCLIS GGEANLVETCVKLTQQLYPFEVPMSCIRPGFGMTETCAGSIYNPFDCPHYDVQQRNQF ASLGFGIKGISMRFSESDELEICGPVVFKGYYNNESASRSAFTEDGWFKTGDIGHFDE NGRLNLTGRDKDLIIVNGVNHQPQGIENAIDDTNVPSVTPTFTTVFGIRQPGSNTESI VVVYLPEGQSNDASTRVAASASISKAVVTYCGVRPYKIVPLDEGQLKKTSLGKISRSK LQKSFENGDLDKYIQQDAEINSGFMSSMYQPAGTLTEEAVLRVFVERFGAVGHSIGVN HDIFDLGMSSLDILGIKVDLQKSLDVLDIPVTTFFSYPVIVDLAAALDKMPKVSGRQI TTEISPDSQDIRKSLFGDEESGKVAYDPVVVLNPNGTKTPIFFIHPGVGEVMIFLNIS RYIKDRPVYALRARGFNGEPFHTSFNEIVTTYLAAIRKVQPNGPYSFVGYSFGSIVAF EMTKYLQYIGQEVKFLATIDQPPLFKERAKSYDWFECVLTLAFFLGFMEEKKAYAVLP IMRRHQQHEVLDYIFGQAPAERVQELGMDREKLADWARLALQLKKCISEWDPKGKVKH MDVFYTGPLIGLVEAENMKEWLEEHLMVWSNYVEKGCAKYHEVGGTHRTLISPPHLVG FWKVFKKVMDERGL LY89DRAFT_666919 MAYVKRNLLANAIESATRDAANEDLPTVPYSGSAWMPAQGMSLR RLLERNLRELRKDADMKRKACGLPVIDKSQMLYTSQWQDRSSVSSGSSDSGSSSPGPS SPGYSSPGSSIERKLKFSFSSSDSDEFEESGTAPDIEFDDVSEDNDDWRSTSGDSDDL PFRNKMRAYSK LY89DRAFT_716543 MPRVLRSSKTALLNSNGGSNTKSRKATRNRSATGVKGKRSTSTF VPAPASASANRILSKNCGECNRRRKKCDREKPCGWCKKRGILCRYDQTVTEGRESDPV LLKDLNKAKGKGKAKGKGKAKSKGKA LY89DRAFT_682886 MLDQLSPVAPARVRVVLLPIGQIKRARFLSFVDRLQPENVVRLG DISPDGRPNRNMFSPLAFPTGMIVYDLTTFYPPPSHVALSPFELYREPLVIIALADGA ELDHVSYRGNTRRSLNGNGPPKPEHNLRELYQDLEDLRDRYPKALVHQILLFDYVPRD SLGSLPEGLVAIPPPADCKRTTMKTVMCDISSMLLAEMTTLAKSLQALNSIDSPSQAQ INRQYNGAWHVAQADMLSRRNSQYSAQGDSRSGSPAGSVDRSHVRMSMPAVLRRTDSN ASTPSARPSTPNGTPGLATTFDEIVGPNPGLPIRLATTDSLRDAQQQDKVSVQGFGSG SVSERSRNKGKGRIGIVIGSLYMKAGRWSDALKELIEAATIAKNALDHLWHAKALDNI LVSMLMLAWTGIDFQIPQICYVSAEKTSSTPNSQDPRSPASNRLVSLQNLTVLLPELV DRILNLYARAANNTGESLPPYPFSESVIRFSKLLSAVHLAGGTIDDEVLQLMVLGIPF KKAPNLATARLNIRPTRTEIVIVLSRAFPTHFSTESLSVVDRTIILSGIASVLGSLGF HRKKAMVMRDLVSVLIPGLVQARIKGAADMGVHPAAGLAALNSVNGNANGAGALDLGE GDIETGVDLFLGSLGKIYGVVSSAGPTALQDSNDAAIARILHDASIRSFGGQVLKMDV LRSCINISEALPDFHGVLRFTADLLRTAGSGVAPGPRSEDASPMMSREEQVRLATNIS RTLGAARNLGVKDLQAEYWDEFLLRGIELEPLQSTRTPIPHTKSELPGASAKTESQEV NPFIYNPFLRNPDTSAVDNLLVAGEGAVFRATLQNPYEFDVEIESIKLESEGAQFEST VQKTVIGPYRTQILTIAGTPLAAGELRITGCIIRVRGCRERRFPIFEEPWSPQRDVKV KTIGVAALFRQKDRPVSVSSGPVSTTPAIIPPKAKSLGLNVIERQPLVVVKSTNLSQS ALMVLEGELQVFSITLQNLSRETPVDLVLFSFKDSTQAPLQTAMSNREASPAELYECE LIFARKQALKWKKKHDEKVFIAPGTTETFDIEILGRPGLTSAVVQIDYAHLGVPAAEV QDNFHTRQVSLPLTVTVNASVEVVRVDVLPLTGNVPRSLWNMMATTNPKNASFTPEDY CLVVLDVRNAWPSQLHLHLDVANAGIIDEEILPGNTSKIMFPLHRIFLKDPIASIPAL DPSRQRQFVVSTGRVSADSERASREAFWYREEILKMFHGTWATKFGPYRHGEIELRGI RLTQRMIEAIKIDDIAINISINHASEGTSKHQLFTDAFSELKVRISNRTQDAIHPMLR LQPSCRDQPHNLALDLTKKLVWNGVLQQTLPPLPGMESIEVSFGVTALARGEFEISVS VEETFLQHPPPQPAKGGRPRANTKQMMDAVLGARERRIWHSREPFLVVVKDDDSDED LY89DRAFT_779950 MTIQTIHYQVPSLSAELIGELDDDLTLFRGIPYATVTKRWTHSE VLNTLESPFDATTFGPRCIQIESPSVAVGGNLHGNPYDHEFGCLNLNITVPTKTLESP SNNLFPLLPVMVWIHGGAFLHGSNSRPRDRPERLCRLALSNGTPIILVSIQYRVGALG FAASLDLATESHRNQHPSHLPSSGNYGLIDQRSALLWIQSHIQGFGGDPNNVTTFGIS AGSASIHYHILSGDPLFDRAIMMSGSAPVLGPLPATMFGQAWKEMCTSLNISHFGLEA KLEKLRSLDAMEILKHYTRAPMGPMACGIYLPLNWDVFAKQPETRCKSIILGDTKCDG IIVDYISTHVPQPRFLHDLSGVFHSPADIDTFLVYFGIRKEEMSYEKYREALRFFFSV MLFQYPNLCIARGFGGKAYLYHFDQPSTLEGETEGLSYHGMCATYMFQNENDGLPEEH VKTAEEMGKIWTAFASGKSKPWEEFGKKERFMRFGPGASAVTEVKGDGERDYIYLEWL ESHREETRSLFTFASENVECKLLKQDCSSALSSESARM LY89DRAFT_705885 MLPDLNFQPGIMDFSQRRIKPKSSPLRKIYLRKSSFQNPLPKVQ YVSSESESDENGELFKRNPFLDPDVAEHWTAVYEQSQYECRHEFDPTFTWSEEEEKRI IRKLDWRVCLWACVMFFGLQTDRGNLVQALADNMLTDLKLTTMTEYNYGNIIFYLSFL LAELPSQLVSKKIGPDRWIPMQITLWSIVAMSQCAITGKQSFYATRSLLGILEGGFIP DIVLWLSYFYTSRELPTRLSFFWTTLSATTIVTSVLAFALLHLRGVARWAGWRWLFLI EGLITGLVGVGSFFMMPASAVQTKTWFRPKGWFSEREVKIVVNRVLRDDPSKGDMHNR QAITPRRLWNAAKDFDLWPLYAIGLIAYIPQAPPTTYITLTLKKLGFSTFNVNLLTIP YSVFHIITLLLLTRLSEYINERTLVSMLQAIWTLPCVIALRYWPGTMVDHWGTFALVT ALLSYPYCHVILVAWTSRNSNNVGARSVSAALYNISVQLGTIISNFIYRTDDAPLYHR GNKNLIIINCLVVGLFLFTKGYYIYRNRQRDREWAAMTPEEQRDYKLNCKLSGSRRKD FRFAH LY89DRAFT_716547 MAPSATEVEATTTIPVHPGKQAATSAKPWVKSTGALDQYEHIEV TPIIGREYPHVNLVSLLQASNSEELLRELSLIISQRGVVFFRSQNNLTADLQKELIQK LGLASGKPSTSGLHIHPILNSEREGYRVNDPEISTIDSELNKKLYTNNTRLKLSTEKR QNAGGWHSDIAFEPVPADYSSLRLVNLPKTGGDTLWASGYEIYDRISAPYQKFLEGLT ATFSQPGFIEAAEKGGFKIYEGERGSPLNVGKELTAVHPVVRTNPVTGWKSIFPVGGH ISHINDVTKEESAALLKWFNRLLIDNHDLQVRFKWQNSNDVAIWDNRSVFHTATYDIE GQGERYGVRTVSIGEKPFFDAESKSRHEELAVAA LY89DRAFT_682887 MKFIQSLALAFAASAVASEQQLPLQHGDQRPNIVVILTDDQDLH MDSISYMPLLKKHVIDQGTFYKSHYCTTAICCPSRVTLWTGRNAHNTNVTDVFPPYGG YPKFVAQGWNSNWLPVWLQAAGYSTYYTGKLFNSHTVDNWNNPHPSGWTSSDFLLDPH TYQYLNATFQRNDRPPVSHEGEYSTDVLAEKAYGLLEEGVNLRQPFFLTIAPVAPHSD VNASTFVKPGTGKDLDGIIMNAPIPADRHKHLFPEAMVPRTANFNPDKPSSVSWLSRL DKLTDEVVAYNDNFYRRRLQALQAVDEIVEGIVTRLEDYGILDNTYIIYSTDNGYHIS QHRLNPGKECSFEEDINIPLIIRGPHVPIGETKVVTAHADLAPTILKIASAKEGWSGL DGSPIPLSEKELEDSKSSRQEHVNVEFWGRGIPEGIYKFSLDDGKIVAYAPNNTYKAL RIISSSYNLLYTVWCSNEHELYDLNTDPGQLKNLYPTSKFLPEQNLLGIPLSKVLPRL DALLMVTKSCKGQTCVNPWSVIHPAGNVKTLGDALNPRFDGFYTSISESVRFDKCELG YILESEGPQSVAIFQDDTGGSEL LY89DRAFT_716549 MAEHDVERDVLTATEPAVEDIQQQTSEETSLLSTSKKIDYQSTD VSSGDSSTLENETPQQPRSPIGIIALLLIGVLIAHADSMLVLATYGTIASEFGALKDA AWLTTSFSLAGCSTQPIMGKLSDIYGRKAVLLISYVLFAVGCVVCGIAESMPQVILGR VISGFGSAGMTVLVSIILTDLVPLIQVASWRSFVNVVATLGRSIGGPLGGLLADTIGW RWSFTGQGPLLIVAIVLVAIKLPSFAPSVEEQPKGKPSKLRRIDFVGAVLLAATIVSL LGALSVGGQSVPWGHPLVLGLLIGSVVLGTIFVVYEEKYAFEPIFPPCLVIQRDVATS YLIQALQAAAQISMMYSVPLYFRATQLTTNTVAGAHLFPAVVGNTIGGLLSGYIIRKS GRYRLLTIFASLASSLSYLLLILRWHGHTSWLESLYIVPGGFGTGIVFSSTMISMVAG VRKEQMAVATGGLYLSGSIGMMVGVAGSSAVQLGTLRPLLERGLDGVEGGKRILEEVM ENVGSIKGLEEGVRRVVVEAYVKSLEYDHMVSLGCTLIALLLAFSMREHPLK LY89DRAFT_470714 MYTTTILGLAVLAGTATANVYEAALAARQTSSDETAYLDSVCLP NVTSPVVPPCQEITNIESACLPNGTSSLDLLAHAECMCGGSYFSDWLGCLNCDFVHSG RSPAVTSAFHTILSEASTELCTGTPTASFQAIFSSLQYNVAANGSATDTTDLYPSQTA VSLYFTPSGVQGPGAITGSATLATKTGSVAGITTATGTAVSSQKSSGSGSSASAGSGG SSSSTSSSKAGAAPTGVWMSGLGIAAGVVGMVVL LY89DRAFT_470711 MSLWLCWRQSRPSNTWIYVTFSFPGLQLMLAQRDLCLASKSYYL LIEASMRNTIDLLLYDAWFFQSCKVPG LY89DRAFT_549354 RCFQLATAIAVMGLMAYGITFLSFDGDNLMMFTAIATVIITIYI IVAETCAPVIYNYWAILGLDIFAIIFWVVSFSYLASEVAEYQIVTYDDTCAYTYYGYC VKKRGFTLSKRDTTDVYTYRNSMAAACGLGGLEFILFVKTLIWTSIYLHKHRKAGGHC MPNSKTTEPAHVE LY89DRAFT_682890 MVKVKADNQLRGELGRPVEYLKAHEAVCFHQGEDMNALTVSFKR TIRVPEDGKVHALPPNLGFFPLYNVSHYADTLPKGMTSKGGCFMPVHEREALWIRFES TRPFGLKVYLGSINAISGEPLKETFASTMRRQQRFSDHINIQDYAVIDPAAQGQLWLD GIANTDGTVMQFVAVSSGTGYSVEAQIAKHEAVCGIQIIVAPIKRGAVAVLKVHRLGQ TPLFPSVQLNATVYELMNMISATIDVPVEQFYLLHDNVVLEKNYLLSHYGITAQNSTL HLVLHLQGGGLVGNPNLPSEGEMGIAAGGLIRQAILRDPHASEVWDCKNVTTFFVQLL NANLLELVTSIAPPPSPITAELYAAAGLPFFSLPDEPKTGIYGKFSGVKSIGQMDVAK GKKKGLDRELKFPVIKLDRSGKHLFRPVGRMEALLKGMGFAGS LY89DRAFT_716553 MSLPTEIKQWISSQNGLENLTQTTAPLPVPGENEVLVKINTVSL NYRDTEVVMGLYNHHKTTGGPASTIVPCSDMCGTIVTSNSPNWKVGDKVLSIFNQTHL KGQVKAEHMKSGLGLPLQGVLAEYRVSPEYGLVKKPEYLSDEEAATLPIAGMTAWMAI NGMRPLGQAGGEGEKVLIQGTGGVAVSGLQIAKASGAEVIITSSSDAKLELAKKLGAD KMINYKSTPNWDEEVLKMTDDEGVDIIFENGGAQTLRKSFDCIAFGGLINCIGYLSGK EDAVGDRTNTNVLALKRNVTLKGLLNGPRERLEEMLQFYDDKKIHPVVDKVFGFEESK EALIYLFKGGHFGKVVVKVD LY89DRAFT_555432 MKQNVLVIIGAGGLGLAAARRLGSGRHTLLASYSARTISFAQQS LQDEGYSVSSIHVDISSYDSVKKVADAASALGHIDAIILTSGVTPVSANAKQIYEVDF LGHANVIDAFLPIVSLGTSVMCIASMAPYFAAALSTPLSQELEKHLATAPLNKLLQYP EIDLSDGNEGAGTAYMNAKRGNQIRVEAAAKAYGLKGARINSVSPGVIMTKQGKLELE GRHGPAMKGLIENSALGRAATPEQIVNVIAFLVSQESSFITGVDILADGGAIA LY89DRAFT_682894 MHFLSYLLVPQIVSALAITQRDTTNRAAYFLNDDPTGSSIVSLK ISVQDGTVSDPILTSTGGKGLQALTASATAGVAPAPGGGDPLFVQDAVVVSGDYLFTV NAGSNTVSMFTIDPTNPWQPKLLSTASSQGEFPVSITYSAALKTACVVNGGAIAGVSC YSVDHAKGLTPITSLLPIPLNQTTPPVGPPGTVADILFNPSSTALFVTIKGMPPTPGF IVAWPVLSSGVISSTPMISSPSELVVDFSIDFLGSDSSAVITDASYGASIVSISPTFS VSVAVKTVIPNQGASCWSAYSSRFNTIFVMDGGNPNITLLDPATGAIKGVEVQDAAGK GSFDAKVDRNFLYVLRGGASVSVLDLTGLVGKGTSGMQVQSLDLSALGSRALWTGMAI YPSS LY89DRAFT_470878 MDIALELCDTYIFDYMYRAILPVQPAPYGLQDGMGNATTYDYKA ASSWQFKPASSILSFTPTDAAYMSTLDRDNIYRQSFSLFLITWIAGATVYFVVSSLSY VFVFDKTVFKHPKFLKNQIHMEINQAVGAMPWIAILTVPFFLAEVRGYSKLYDHLADE PYPYYNYLQFPLFLIFTDFCIYFIHRGLHHPLIYKTLHKPHHKWIMPTPYASVAFHPV DGWSQSVPYHLFPFIFPLQKFAYLALFFFVQIWTVLIHDGEYVSNSPILNGAACHTMH HLYFNYNYGQYTTLWDRLGGSYRKPNEELFRRESKMGQKEWERQVAEMEKMVKEVEGE DDRSYGPNEGVVKKNL LY89DRAFT_641643 MSMYNPHRGLGPAPGAGNTRLNELLDSIRVEFENQARASNDYEH SMTQQINEMQMVREKVYAMEQTHLALKSKYDEEIRSLHRELESRGGNPRPSGIGGPAQ NAASRPPDIGHGPSNLFGGIMAGQGGQGAPGLAPPPQPEQHGPPQHQMPQPPPPPPSL QGPPPPQQPFQGGGYPGPAPNGYSQPPQSTSSPGPGKGRILNRGPGGPATPQLNQPIP YPDPRAGASPQVGHPVPNQHPQFRVGNALAELDLERLPGHQKKVEADWFAIFNPEVPR VLDVDLVHTLQHESVVCCVRFSHDGKYVATGCNRSAQIFDVVTGQKICILQDESVDSV GDLYIRSVCFSPDGRFLATGAEDKLIRVWDIASRTIRNTFAGHEQDIYSLDFARDGRT IASGSGDRTVRLWDIEAGQNVLTLSIEDGVTTVAISPDTKFVAAGSLDKSVRVWDAVT GYLVERLEGPDGHKDSVYSVAFAPNGKDLVSGSLDKTIKMWELVAPRGGHPSNAPKGG RCIKTFEGHKDFVLSVALTPDGNWVLSGSKDRGVQFWDPRTGNTQLMLQGHKNSVISV APSPSGGSFATGSGDMRARIWSYKPYP LY89DRAFT_779964 MAELGAVAAITQLAGQTLMCANALYSLFRQIKAAPRQIEHLLQE ISIIAQILKDVPSKDVTGSSVGGLGSGSAKTGLRGKLKLGSVMMVMKEKEVRELVERL RGSYNSLPFAVVCHSFFAVSQKQDVLESCFESGEVVSSSLASTVTSKNNERSLTTKTE RRIQSTWWGLGYILTSSIKATSSKDPDHVDSEVVQIEHKVIPATWTRLKGFALCQGLD NGNWKYWFKPGHVVPESSEVFQACMYGDLEQLIALVKENRASVHDTTETGYTPLHVNY AFKIGLKKVAAFHHRPNICEWLIRNGANGDEVDVERGPTPLYLAAFEAGRGNRFDPKS LIYSSDSVFRPRDTLETLRILVESGQCDPMAKTLPADYYSEQTALHGCVGPIEAFTYL LGQDKFYIDLEERDGHDLCIERAILVGSSYPDISEMVMHLRKLNKRQGNVINDTSVIN HSLHLAAWNIGSLKFKRNCLARFEEYWLQREQGYNKASRWVLADILRENPPLHSGHGF ARTPLARLVGSSFAMHGSYREPFCKTILTWFDLLREAGHDLQEYIRTEATIYQDYIYN SIEQEKWHYELGYQSAPPLSRGLERTIKLDTHPETGDLLFSIIENLITAEEFEVEGRI PGAWHWDQERIHWYMLPKSMELRPVTFSIGLLKDGTQKFGVLDEAIAVEIN LY89DRAFT_666937 MGYLLYSTVFGLLVLSTVLYLTKNIWLPRMYSIPGTSYLYSRLP SSFQADIESGLSSSAFDLSSNVAGGDSRRGLDDVSKREIQKIMKTRRVNFDEARRIHT EGRFAKNNIGPDGLPRDPKFVSFS LY89DRAFT_682902 MSHHSSQVSADLIWEIVRPSNAFLVKRASSGGVQFSRDPFNLTN LHSRKYAGFVNDKAVGVIPAEGDKGGVTLITKKVKHPQRPVSHVHKTTFGGNKTTRKT YKGIVSSTAKSGYRADLRSEAVARSSAIRQSQRTKKDVPEKKLRGSKAKKAAAEKDE LY89DRAFT_682903 MGIKAVVAGASGGIGQPLSLLLKTSPLISELALYDVVNTPGVAA DLSHISSPAKITGYLPKDDGAKLAMKDADIVVIPAGIPRKPGMTRDDLFNINAGIVKG LIEVIAEVAPKAYILIISNPVNSTVPIAAEVLKAKGVFDPKRLFGVTTLDVVRAETFV AEIIGEKNPQSLTIPVIGGHSGETIVPLFSQAKPSVDIPADKMPALVKRVQFGGDEVV AAKDGAGSATLSMAYAGFRFAEAVLKGLNGEKNIVEPSFVYLPGVSGGEAIHKETGCD FFSVPVELGTSGVEKVFNPLTNINDAEKTLLKACVEGLKGNIAKGVSFAHNPPQK LY89DRAFT_641658 MSFDSPLPPYNASDPTAIFLNSSCLDLLMIELVPMAYRIVNEVD AGTDDRIAISAEKKDGGGTGSVGKGSSTTNGASGTSNGHGGPGRKMDEDEERDAVFFR LEMLGYRVGQGLVERFSRDRPRFTDTLDVIKFLCKDLWMLVFRKQIDNLKTNHRGVYV LTDNSFRPFARMSTEAGGQAVVRAQPFLWFPCGIIRGALASMGINATVQAETSELPGA TFQIKSVTTKP LY89DRAFT_641660 MATQAPRPRVGTPSVPKAVPGNTNSIAAQYARAKALKDAQEKGG LDRNGRVKSPLVRLNEWKAARFGREWVWGWGFFGWLFFIHITGLYFFTRGFLLTRLVL EEKSLCAEPPVTLSASYKGLGDPENGCWHPKTFDKAIVLIIDALRYDFTVPFEASGED PAQAYHNALPFLWETAIREPQNAFLLPFIADPPTSTLQRLKGLTTGTLPTFIDIGSNF AGTAIEEDNLLMQMRDAGKRIVHLGDDTWTALFPGYFEGNISRAYDSFNVWDLHSVDN GVNQHIFPLLGERRKDEWDVMVAHYLGVDHAGHRYGPNHPAMTGKLQQMDGVLRDVVR ALDDDTLLVVMGDHGMDAKGDHGGESDDEVQAALWMYSKKGVFGRTQPSFVTPPLHAK ERPVDQIDLVPTLALLLGLPIPFNNLGKPIEEAFAGKKGNAWENLANVVRTTAAGIKR YQAAYFAARGIDESTIDGSPRALWTLAENTLAGNGKQQMWQDAYRTFSEYQEKNLKVC RDLWARFDVPSMCLGIVILAAGVVSLMLFANCNTDDNTAIVDAPELDRTELQLEIDEF AKGNPPTVTEETTSRSLVRGALIGSLLGVAAGPTVWIATGGGTLLDFGLALTSVSGLI GVLYQEMRRRMAFGNPFPTSFWGWLAVIFTVSQSIGFASNSYTIWEDSITLFFISTFG IATAASSLRLEKKSEMTLGVYHSLVFVALGWAASFSKLCREEQMPYCRSTYYASANSS TSAPWQLIIPFVVAIVLPSIIKSYYTSSRNYEGLAPLWIGWAFRAGLFMSAVFWTLDA ADDGEWFPNLGKNTLKSLRVPMAQTVFAMALGAGSVAFGWADPCVSITTEAVPNAASY TDGPSATLTVLGYANTHGTRYFLLVINLLLCILMVQKPMGGGAISLMTWQIFSLLEIL DLNSLTTSPIGPVLLALLGSFHFFKTGHQASLASIQWESAFIPLHTIKYPWSPLLVAL NSFGAQILAAAAVPLVVLWKQKPQKKGILKSVLRALAWHVAYYAVVGLATTMWAGWLR RHLMLYRIFSPKFMTAALVLLVVDIVGLVVSLVGVRWNTWKVGETFGWG LY89DRAFT_716565 MRKASIVGRVCNRVMLKEIFAKLQLDQVSTHHLRCQDFVELCKS KVENCIVSQAQIMKTKGYYVKKEGRVTWHPNTKRWLEPSWDGRLNPPRKPEGPNYSTN SWDRNIHPPRKSKGRKYSTKPKGLPIHPTEASAIDPGFLALKTLSTQSSSERKDEWEP SLVQPSIDDEYMDCSYEPFFEHDELLHNFSVASALEGYINPLETNESSFAADPTFGVS LDN LY89DRAFT_682907 MSEERLWKFRKPEWMNSATARSAGVYGAGALFSLAFFTLLDAAV WSHSSRNGSNIHINFIDWLPLIFSSLGMLIINSIEKTRLSADSFSYSGSGVAWKARVV LFLGFASLAGGMAGGVTVLVLKYVVPQAPWPTVYMGIANVVSNGLVMLSSVVLWISQN MEDEYSYNLAL LY89DRAFT_471655 MASFGSALRSFWHTMTSYDRHSSFDSPYRTGQHVPLAQSRHAPL TSIATTASESRADINSPYFEDAGRHSMTALNGGAYPNSPHPASPSPISPSPYSPGMRS TDALRRTNTNENFENVTPGEIQLQAFQEGLPPPPPVGHSWKRIDRWAEENYPELFDQL GEGCTNNDLNELEHQLDCSLPMEVRESLQVHDGQERGGIPTGIIFGSMLLDCEEMVQE WENWKKVNAEYLTEPVNFKPATPMKALGGSSSSSSKQPQQSGQNPFWRQELLSRQDSQ PPNSIQKVYAHPAWIPLVRDWGGNNLAVDLAPGPAGKWGQVILFGRDYDCKYVIARSW SDFLAKVADDLNSGKWFVDEDTNELKLKEFKSGKVEPGYLEILRWRVDQKYGRRNARR RSMAPQNGQPSGSPTGSPYASPTAETGGEPRGRSMQRFSGASPVASPNRPNYGKSSPL ARVAEEGGSAPIKVHTNGIKPEKLVEVETPRPSEDRRDSKGKKALESLLERVSLDGDK ENSKNGEANVPLVNGNGKKATVEDDSTMKTIEI LY89DRAFT_682910 MSRMARNRGYGGFSEDPYANGNGNGNGYGGPIAESDDYDPYGSN YVDRYGTPPITTPSPPATRSAFARPPPRLAPESNAEKQIGEVLEHIKTEWPAMCENEC LPVHVALQLLDTSSVGRAHEYRQFEKTHRYLQDSLKAIVHEHHQGFNSSIGTFHKIQS SIQASQKRVRTLKESLAQSKTSLATTDPELKKLATASQNYDDLIHTLSEIEDLRLVPD QLEARISEKRFLTAVDILQTALRRIRVPAMDQIGALGDLRSYLTNQETALTDILIEEL HDHLYLKSPYCQERWQTLAKSQGALGKEKLDKAVAFRPFWEMLEAMDLSEPMIEDPSR NPEADTFYYIELLVESLNKLGRLESAVDTIKQRLPVELFSIVTETNSEVDQKHPSSLR GGIANAQGNQSFGNRENSIRSDVIYDLLWTLYAKFEAIAESHRVFHEVVKSSVRGEGV KDSSALLGSFKELWNLYQNEIRSLLHNYVTTDADVYEFNSSPRPGVTKQDLKREHLFQ FSDADSKSVQMSTEYQELEGIIRAAVPGLMSGRKQGADGKKVPQRIESTIDRNGSRRG VSAVFDNKSGGIGAHKSLVEPSVFNMSLLLPPTLGFLHRLRNIVPPGSDMVKSTLTSF LDNFLVNVFQPQLEETLGKLCDSVFEETDAFQQDSQWTKVARRPIFKGTAAFYTLITA FCRMLDTIPPDQNLSMLIVNQMMRYYDRCYDWFKSLVSRVRDAEGVILKLSARLAEGP GDIHETMQKLWTSETADAELLEKETGLLILQTNENPLLRDDIISDRETISSLCVLYTS MRWLAIKIIELRHITRHDTTVSRTSLPQNRRWTLLNDASRNQDEQIPVYLPMTQESVG LFDSMVASYQELAGKVLLTLHMEARCQIVQSLATALLPDTTPYLLEQVVNDPDPKILS LNADLVSYDETIVRFLREKEISFIRTGLGLLVDSFLVTNSGMVKAMNANGCGRMQLNI LVLQQNLKNIEADVSLERAAKFFDLFTEGPDAVVKQAKDEKESGNGGKELFSYEELKT LVELCYSEQLSNPERGISTVAKRGMGEHLLQLSEYMWQS LY89DRAFT_731354 MPGVQDYISSLKAMYIDRPKTSALEDFTSFHIFPHLPTELRRKI WEYAMPERIIEAKLHREGDSWYYRSTTPDRRLDARKMPNLFSVNVESREICAEQYVLF ANHFIHPTLDILYITWLLDSRPRHVTEITCRPLGKFQNVVISAGQNRLFRTRFGAMIE CIKSLGSPPEISLGLDGPKFPQMLSNMRGYSTATGHQVILLTWNTNTAHNPTYEKTLE GGVTDAFRLEEKATRDLRLPKINERMLYIYSGI LY89DRAFT_716570 MLFLLLLPLLALNALSSPTPDLLPRDPALKPYQLRGVQSPIFHL YLQSLPSSKSTPVMGPESSSEYFNLDSSIQSLNSSLYLNIGPKVDGKSYLPLSLDATS NTTAWALEGDTVITSTASTYGRQLNFLACASSTSGYYTIYLQTGSDVPSGVTCSNYQT IHTPCLC LY89DRAFT_641672 MAVLSTVKLAGVVALLRSVQATTYLAPAQDIVLPASESATEPLE WLGANSPYFAGPNINDISNSVPEGCTVEQVAYNVRHGSRFPDSGAYAQWTTLYAKIQA ANFTATGSMAFLKGWEPVLTNPTLEISQESPTGFKEAYDLGYQLRTRYPKLYAYGTPF ISWANLYPRVVQTAQNFVRGFLGSTASSLGQVITINSTGSEQALFDSLSPSDLCRLFV DGNGGTEQVTWNALYLPPILARLEALIDGNLTFTTTDVSIMPYLCGFESQITGTLSPW CSVFTDAELKQYEYAQDLRYYYGMGPGEDLPSKMMLPYLDSLVGLLSQGPGINGTFAN GTSFALPNIITAFMNDGQITELGAATGVWDETISLGNGISIPDGYTYIASRFVSMRGT VAFERLNCIASSASNVERAEERVVTFTEKKTTTVCTETEPSTTLGEVTTKRPEMTTST LVKTSSYIVSSCAHNDKNCKVGETTSTVYTTTTVCPVEASTTSAAASWTTSTYLSTPT GKVSSCAWGAKDCTIGQMTTSVVTSKTSYTVSASATATSSPSTSTFVTKTSSGSGSAS GSASISASASTSSGYSNSTLNTSTSSTSSLNATTTNPYSNSSSSSSSTLSLPTSTPTP GGNQTYIRILLNDAVYPVPSCQDGPGKSCLMSEYVQIIKSKVAAAGDLVTRCNVTAKD HPTAVKGASFFTDLSDSWLASVVP LY89DRAFT_682914 MEEEVAALVIDNGSGMCKAGFAGDDAPRAVFPSIVGRPRHHGIM IGMGQKDSYVGDEAQSKRGILTLRYPIEHGVVTNWDDMEKIWHHTFYNELRVAPEEHP VLLTEAPINPKSNREKMTQIVFETFNAPAFYVSIQAVLSLYASGRTTGIVLDSGDGVT HVVPIYEGFSLPHAIARVDMAGRDLTDYLMKILAERGYTFSTTAEREIVRDIKEKLCY VALDFEQEIQTASQSSSLEKSYELPDGQVITIGNERFRAPEALFQPSVLGLESGGIHV TTFNSIMKCDVDVRKDLYGNIVMSGGTTMYPGISDRMQKEITALAPSSMKVKIIAPPE RKYSVWIGGSILASLSTFQQMWISKQEYDESGPSIVHRKCF LY89DRAFT_580233 MDPGLNELLKWGVENSSTTANDPSAAPPENRGLNPDAINALFGG PSDADLMRASMAAILSTDPEISVDDKLVAFDNFEQLIENLDNANNLAPLELWTPLLSC LQHEESELRKMAAWCVGTAVQNNEKSQEKCLEMGGIPPLVNLATGEKEDSQVRRKAVY ALSSACRNYQPAMDVLTEELGKTGRSGEKVDAANMDACDVLIGGLREEAAKAA LY89DRAFT_471747 MALRELPSALRRLAVRDTLSSTSFARNCRRCVSTEAAAKNASED LQDLESRSSYSPISVAANEQIGTYDPVKRVQQRRRQLPASRYQYKSPRYFRGQFHPHQ PPPKSDPASREYVPGPFNYSRLEQTYQSMIAPDLMTMAYVHKPPGTVTVPKSERLRTW DDSSPYHKGRPKRGPRGPGEVLRLVEKDIHWRNIPKIEEVTVHSMVKGAIDDSAHLHI AGMLLQAVTGVRPIVHKAKHSVAQFGIRESMPISLTCTMRGDMAYEFVDKCINLVFPR IKDWPGIKGTTGDSSGNISFGFSREGAILFPEVEINYDMYPPKMIPGFHVTVKTSASS DRHARLLLSAMGLPFYGKLID LY89DRAFT_779978 MNEGVKRFLSRREKDPSGHRRDKSRDKNAERKLLFSRSVSSLFA AYSNPHGKSPPVLETSFSHVQATITDSRTLFFTQNRPRSGEGISSLFKADPQKKSEQE QNNKISSIKQRLQGIGYSNIEDEQIHYALASKYANGDADRALDMVVLFQESVEGIVKP YNPKVHMLGAENKDAVTCYLDTLLFSMFGRLSSFEPLLYTNFDDEPRRRLSTLIRLWV NMLRTGKLIQTDITKHLQEALAACGWDAATRLEQQDTSEAFQAITDILNLPLLTLKVD LVHGGKDDSDDHKLIQERLLNVAVPDEDPNGAAIKLEDCLESYFNGRVDVNRFLERTQ TNQSISSAASPSTSKEASEHVEISELSWSTPNTPTTPQTPNTPLSLSGRLRATSIIRR RMVETGEGEATSESSTSPTHLTRKGSIRKEVLMPAWQFYHLIPWHTEKGETPNENKIA DHFQSTRPVLGVCLKRYTFTPEGRPTKKRTYIDIPLDLRLPHFVNEDQEEDRDHPLEG QFKLSLQSVICHRGEAINSGHYVAFIRGTTQAADGDSHSTRQLSNTDIPPSYSEERWI MFDDNGIPRVQYADIGKALKGETPYLLFYQVQPIYDITAPPPTDLKPPSYTDSGIGMS ITECSPNPSAEMQSQNPSGYFDGPADESAPTIRLSSEYERHASPRMSMTLADDRRGSL AHTEVSVASLASTASSVQATSAPVTPSEETTAQRFGRAAASMTKFGSKSRPSSQSGEN RISATFSRLNLMGTKSKEQLSKNEMVKDTTKQNVAVPNGVAEPRKSITIEDSALRPAP EGLQMTAGNGGISRSKSKKEKKRDKSKGPSEKLEHEHHNHKDKGKGKVKDENKVPDRE CTIM LY89DRAFT_772947 MKAQEMSRRESARLREVIRSKFQMDGIDSVDEDSTIGNIIDISD SPATACFDGTEVQTSVVGQNVFLNTTEIGVDENSNRAASLPVHSPRVASEGQASMVQM KTYWCGIGRVQKVRTYKINSSHPTESEVLYCETNFLPSKYAAWIRAGYSKKKRPRNIG GLRTFDLAPICIVPETAAIFEACMSGNLKQVIDLVKMKKASVHDTTVDGLTLLHVAGA YIRPEVCEWLIDNGIRTDAIDIRNGSGWGNGMIDEVWYRLLRPEKNWTRPVDAVQTIR LLVGKGKCDPMTTTSSTLKRIGKLDFFKTDQTPLHDFSGPAEAFQYLLQQDQFSIDLE TRNGEGLTVVDAHIRNGIPERAAAARLLVKHWRPSTTVVDTQTNPITPVGTAVAKFMS TRQLKRQLAEEDFLPWGHEGAKLEQICEASILEASSLGTNIHALDIGGRTPFSELTMY SGSIQNEIYDDLPKRLMVWFKILVEAGHELQDYIRAERKALLDYHSASWRKDKECCKH LITACPTYSWALCRSMMIEMNAMTGEMIIHIKEEFSIPAKVKNLAIRCWGRELG LY89DRAFT_682916 MQRVGCESADEKIEQTIRILVSEGECDPLTQAWGNNKFLWMTPL HRFTGSAKIFTYLLHQDYFMVDTDSSRHEMRDIASVYVRHGGPNSAALAIQCLSKGDG LYYLASKVDLLRGSVLHDIARNISQNQYTSKDWARVGESYRLLLKNTLRAGADVHALD HEQHTPFGTLACRHRTDMQQRVISCHPSKSILTWLDSIRAVGHDLQHYVEKECEIYKG RPLWCSKGCCERPPGRFTRTLILDREPTTDNLILVVKDKGSGGDMCVQQSMFLPRICN KFYSQVQQKNMIITEETRFFITPKVDGVQEFGLIPRETCSPVVDSLSSPVKAVADDYG EDHSV LY89DRAFT_472028 MLRSTSLWAFVLRSFEYRGSFPQHQSATYQRMAEFIAFASAAAA VTQLTGQILDAGAKIRSFWNNLQGAPKHVSDLLDDITGLARVINNMPEQENEMVRECC LKVKRIMDELLYQLNILRSDMEKSRKKVRVTWAKFKVVEKKRVLRELTERLEKAKSQL MIANSFYQTSMQANMMQEIIMLRVTLCSSIQTGGAQALNVSDLHGTIIESTTQIEEDA VSNSGGSPAPRAVTRRHRRRPQITIKTYWCGLGRVQKVCSRYDIDQEDEGLPDIEIEK RFLPNRFAAQMGLHGLGKKSSKVFGMWTHSLTPIRVVPDDVPIIQAVVLGDLEAVISL TEQRKATVFDMSERGYTLLHIAAAHFRPELCRWLIAHGLKGDLVDIELGRLGVILLDL VLAPDDT LY89DRAFT_682917 MDTLLTAEIAANSPRYRRRSSTFIDGVHDVKDEQAQAMAPAQLY STMSGRLFHSGRIVIVLVGLPARGKTHISVSLSRYLQWLGVKTRVFHLGDYRRATMGP GVDVPEDYFFINASAKSVMIRQKILKKCREDIYGWLNHENGQVAIYDAVNPLSAGRES LAKEFAKHDVQTLFLESWVDDQKILEENARSVKISSPDFKDMNPDDAAKLYLKRIDMK IPHFETMKEPNLNWIKMINAGDQLEYNNRSFGYLANRIVFYLMNLHIKSRQTFFARAG TSDKTDYYQADAPLGEEGKIYAEKMATTLIEHREAERAALIAQGGSTAPLKPLTVWTS TRKRTVETADAFLERGYAVRQRSQMSQLHPGVCEKLSENAIRKLYPEEVEKHQSDPYH HRYPRAESYHDLAVRLEPIILELEREQNDLLIIAHESVLRVLYGYLMACDAMNIPTLK FPRNKIIEIIPASYQNEAKLIEIRDLNPDMIPGSPEDIRLPVPPSGMVSPLSGMGTPA MGINTPSEQTMTPPMLLKPIRIVKEEHPLSKD LY89DRAFT_682918 MSTMALEKDSPLGFLYNNAVAVALADTYTSFSERRAALGLSNPG TVENIAREVQRDVFLNNYTFSGLRADLTKAFSISPLFQVSHAFSMGSQGLPPYTFAAL FGTNKIFMQGNIDNEGQLSTRFNYRWTPSLVTKTQIQMAGPQTMVQFDNEYTGNDFTA SLKTLNPSMIDGGLTGIFIGSYLQSVTPNLALGLEAIWQRAALNTGPETALSYCAKYK GSDWIASAQLAAGGAVNTSYWRKLTDKVEAGVDLNLQFAGLSGAGGMMGGPMRKEGVT TVGAKYDFRMSTFRAQVDSTGKLSCLLEKRVAPPVQLTFAADMDHFKQQAKIGLAVSI EAGSEELQEQQEMAGGAAPAQIP LY89DRAFT_666956 MARVISKIVLGSCGIQDFYRTSRIVSLQHYQGRHGTSTQAFTNP QMPEYAAGQDPSPLSGHGYLRPTVYATLYIAQMKYTLTITLPMEARYFKRKRPNQSGP DLGLDTFQFDLSSTTSTSSLGGSTTSSSTSLQARFANDFGNFFKVSIPMLGIRHLMSI PICSSREVLADRPIIYYNNRFMMSWKTSGIDGDGD LY89DRAFT_472281 MAGVRGGWLELGMTSLTLRRGNGPGKRLLMKQSIMEYKELRDEV YQFTSTNHDSHATLSLEQVDDRQISEPATVRATNSIVVSSFCASPNRSAVRSSQSHQQ HQKPKASVGIKSIMASHPFCWIRPHSRILASQCHAMPCIRFASAFALRRSHRYVSAIM GPYLMLDQHTPQQKSIGSLIYANTASLFHPPKADINA LY89DRAFT_695675 MSQNRPAAFSSLRMGEVIREKVQDGVTGETRDMQYTQCKIVGNG SFGVVFQTKLSPSGEDAAIKRVLQDKRFKNRELQIMRIVRHPNIVELKAFYYSNGERK DEVYLNLVQEYVPETVYRASRYFNKMKTTMPILEVKLYIYQLFRALAYIHSQGICHRD IKPQNLLLDPSTGVLKLCDFGSAKILVENEPNVSYICSRYYRAPELIFGATNYTTKID VWSTGCVMAELMLGQPLFPGESGIDQLVEIIKVLGTPTRDQIRTMNPNYMEHKFPQIK PHPFNKVFRKADANAIELISRLLEYTPTERLSAIEAMVHPFFDELRDPSTRFPDSRHP NGPVKDMPTLFNFTRHELSIAPQLNHRLVPQHVRANLAAQGLDIDNLTPMSKDEMMAR LD LY89DRAFT_472300 MADYDQRPRGGRGGYNNRKRRYRDEDDYDRRPQRRRYEEPLSSR VRKQFLAIAESPLKRVEDEVMAIAKMVTENSEDEDVKSGFYDLVIQLVIEQPFKIPFV AAVVVVVNTLKSEMVEEVLKRTGIKVNEAIARGEWREVKLLMKFLGGLQGLLEADGVW AVLEEFLTKAVDLQTDNNEETIGPELVKIVLFTIPYIMSSSARDNQDKATAMVDNTAI IALEPHVLQALVDPYPGNGKEEPESPNGVLNLLQKQLQNEAANGWELACLPRPWRVLE ETSQEPAPMHALPSIVLPDVVNAGPRPLFPELYFSVYANQDVETVPPTSDIASCLLRD AIVDTINILDYNRTATARFLIDIDCYFSPGTFVKRATPFDRVRDIEGDRSTWKPEDVA VDAVFSQLFQLPTPEHKLVYYHSVLTESCKIAPAAIAPSLGRAIRYLYRSVDSMDLEL SYRFMDWFAHHLSNFGFTWKWTEWIDDVELPNLDPKKAFIQGSLDKEIRLSFAQRIKG TLPAPYQRLITPEKEKDTPDFKYTNPDTPFSAEGQEILALLRKKSPEDEIQPVIDRIH AQAVEMHIPDPLVLSTDAYMTAICYLGCKSMSHILNFIERCRERLLALGPVSPAARKQ IVDSVMNYWRDQPGVGVSIVDKLLNYTILSPNTVVEWALAKEGPRLGEPHVYEMVSAT MNKVTRNVRRVVQAHHVLGPSPEKEMLRETAERERASMKELFALMEDSLTGWASGSKD QTIQSGDGTSGDEKMVRQWGERWLRVFRRKFAVEEAWYLEVEKAMEIMSQQVQAQGTA GETNGAMAVDGGEIS LY89DRAFT_682925 MVPREQDKEATVYIGNIDERVTDSLIWELMLQAGRIVNVHLPKD RVTQTHQGYGFVEFISEEDAEYAARIMNQVRLYGKPIRVNKASADKQKPLEVGAELFI GNLDSMVDEKTLYDTFSRFGTLQSPPKIARDESGLSKGYGFVSYQSFEASDDAIANMN GQYLMNKDISVQYAYKKDGKGERHGDEAERMLASQGKKHNVVPEIQQMPAQLLLNGNV PAAPAAMMDPSMGMGMGMGMGMPMAPSPVMGGPPMPPGFGGRPGGYNSVPPPQQQRSN APLPPPPSGLPQRPPPSQVGYGAPQEFHPQGFAPPPGFPQAMPPGFGGPPPGQMPPGF MPPGFVPQQQAAPGYQRR LY89DRAFT_731369 MQLSEESKERIGKVIDFSRVAIHYGYLPLIIYLGYTYSEPRPSL IRLFSPLA LY89DRAFT_731370 MTENHARLSPALVETIAGLSAGTISTLAVHPLDVIKTRLQIQRE AATLGNGFGILRSLIANERPIQSLYRGLTPNLVGNASSWALFFYFKSLAEKQLVLFHE RRSNVLTSPGHLPTAQESRNVLSPADYFIASGIAGTVITLSTNPIWVLKTRMLSSDKG TEGAYESMWHGAKQVLKTEGVRGFYRGAGVSLLGNSHGAVQFAVYEPLKNLWRKYLAR DQPLGQKEEKLGNTATLVISGSAKIVAGTVTYPYQVLRSRLQTYHSEERFGRGIRGVA AKIWREEGWKGFYRGLGTNVVRVLPATWVTFLVYENVRYYLPRWGS LY89DRAFT_731371 MYNKLLAYAKTFGRSETIKDGIATSLWTFRHSVREEPVNANFCR VTRVLSDMNLFKTVKPHPVEKALELAKYASDDNDVEEFKRQRNIVLAFLELKCQRIVT ARKPLADLIEEQSKVSGFINRQMMTTVYDSEQEKTDKAFDRDPTQEIKILVKLHERLF DSYYKREKETREHKLEDCYKIMRSSQILDDWRSSFEEATQDMKERHLQIQATRKALLL SDLESADTGFDIVTEKRWKNVLIRWTEESEDDSDDSAWNFLTRQESWVGEWYSIFQTC HEVAALVKAYLEM LY89DRAFT_580636 MSKLLTVFGATGMQGGSLIHHILNRPELSKLFQLRGITRDASKQ AAVSLRERGVEIVQADLSDPVSLEPAVAGSYAVFAVTNYWDNGSCSAAIEIAQGKAVA DAAVQAGVALLIWSSLPNITEITNGAVTTIHHFDSKAEVETYIRGLPITAAFFMAGWY MQNHKFLMPPKKNDDGTVVFSQTWSPTTVVPMIDITDTGKFVTPILLNPDKYNGKSFT CATAFYTPIQLVDGWTTVTGRTVTYEQIGSDKKQGNLTAEMQKQLKDSTEGINTWSYF GPNGEKDLEWTLAQLEEKLTSWEEFLRNNEPWFPEA LY89DRAFT_682930 MPDSSPQPKKARRRGPNTKAGCDTCKIRRKRCDQTRPACLRCSS TGRTCDFLSSPRSTAASHDQQSLKLHETLYWPHNLGATLSLFQLSSKTEADHFDYFVS ECTTEFAGHVNGFFWQRSVIQAAHSEPFILHALLAIGSLRRLQVHGTTPSLEWHKPEV AEYITKKYAIALQTLRQRLRDRTIDWRLAALGSLVFLAIEVLQGYEQGAIIHYQSGET IIRSLSNIFPPNHNANSFHSMSPPAGYSKDTISDDIITAFTRLSVDEELFLGVSTLNS TDLPALPTEFESMTDAKLSVNSIMSATFAFLRRNGDNILKTLPLTPIPNAIVAEFSSI NQTLQQWHCLFNTFLSCANISDPLANILLIHYYVASIKISTYFYSNELIYDEYTPQWE MIIDLSSTIVTHQINLPNSIGPCFTLDIAMAQPLYFVARKCRDINLRTKAIEEMKRVG SKGVYTGKTVAKVAEWVVRTEGEQEVDEGSIPDEKRLRDVHFDFDRATRSGKVGAKRR KVDGTFEYLMEDLDLS LY89DRAFT_666964 MEEVLYSILLASIPEEEDVANTQPRPPNPSVGSTEDIDFDEWLN TDMFENEDVPSRSMLWEQPDNEPPGELDNEEAVPTTRSHTSTPLPYPTTQSVWVPDGN ELDTNTALSNSHHRESNDDGPGSRLAGGDLCRKRPRSEERNEGYLSRTGNCSEMNDLP QEQHMGPFKRHCKSDEHSSSENTPPINDRASLLSDFVLGALTLSISLSKDDKKRRRQN CGGRAADSTREIESEDKKEFSKSKNFFSPGATYLLDFAGEESKKEFSNLLENQIPRAI RNLMEQGYRIAITNNPWITNDLLPSAHLLEILNPTKTDPEVQTGLAALRTRNVEESGT CTSYSSGHESDQTVLSETFESTSSQFATPPSLFKSTGSCSSSHICSTCNAPFSRLFEL RKHAKYHDKPISCSDCSRRFGRRRDLIRHQKTTHRDTHEPERWFCPYKMCRYHSSPFG RRDNLLRHVRNMHLADEK LY89DRAFT_731375 MDFLDVPSMFEDALRQLASNPCFAYLPDPTQTEIPLAGSHATPV QYAEELGTDPLQLDTNNKEVFWDAAPLAEDPQQDFSSTLWPLSLIDSHVDLGSTLDSP AELWTTRETDRTAPFFHPSNIDSPDVYESRTGLNTIDEELDSTAETLNLNIFQGSLGL ETLCNQTLSSSSQHIITNQDYGQPSHTSNTIWQPLTSPSINESCIPSQTKQHSSAEES SFVLVKVDIEVSRAGQGSTITPPPLNDLISNFESNPSSPLPKRSRRPFTEIGKTKVKQ VRVSGACAFCRARKVPCTPTGACTACVKLASGNPLADHICVRTKLRDTFVGVRALHGS SFDNRRARLTPLLSSLTGQPRPIQFSVERYDDHDSVPFRNNDHHIRTLASLDIKVMQC SAPSLCRWKRLTKVRGIYITSDIFDGQRYVIVPSSLPSIDEFDRFGRKILLASDGGHS GNLTLLLDQFLTAYCARQHNTEVRAIANLTSRIASLNNLVAYGFLNLRDGSYDLLEQL PSENHPSQRYISETVHDQIRLLAAEGLEPAEKIVFHALDDINKYICGHEHARMIIGIC LLRLMLLYRDRLVRDEIRLSLPKQKKWHQYRLEKASFMYRRLTVTYGALCREYDTPLT MQWEDEDYLGSNGPDGLKDVYLKLQPAFREFCNKKLSLQYDDVLKILLANPTKQQKAK KRRKTCR LY89DRAFT_705911 MPREDISFQTSDNVTLRGWFYSPETTISTPLPCLVMSHGFTATK EIHLDSFAAHITSSLPISCLIYDNRGFGASDNHPSAPRQEIIPSLQCSDISDAITYAQ SRDDVNKDKIGIWGSSYSGGHVLWVGAVDKRVKVVLSQMPMVNGWENFHRLIRSDFVG GLNQMFQEDRLARASGKAAGTIPVVDPNPMATSALPTPDSYTFFSNWEKKGDFWKNEV TVKSMEELRAYNPSSHIHHISPAPLLMTVASSDILTPADLALTAYSKALEPKELHLIP GGHFDGYAGPIFETNVKRQVEFLKKTLCA LY89DRAFT_716585 MALQVNGKTALVTGGGSGICLEFTKLLLEKGCNVLIADLKLTPD AEAIVSSTGKEGEGDKKKARALFKQTDVADWTQLQAAFDECMSQFGNLDIVCPGAGIF EPPWSNFWHLQNTVDTIDTNSYKTLELNLTHPIRVTQLALDYFKHQNHGHGVVILVSS VAAQSIAFPVPLYGASKAGISSFTRSLGLLEPKLNIRVSAVAPGLVKTPLWTEDKLKW FEEAAGDEWVTTEEVASAMLDMVEKEEYVGGTVLEVGKGARRKVENVNDPGPDREKEG YRASGVVQAVGRVFGSIESEFGK LY89DRAFT_772965 MALDPDFFATYKQYKSGTTKVTTWLASKAQELALVDALFPTTPQ PNIKGRLKGKERASQKPNVKKHIVLLLVSFQWPSQLQAQQSLVAIIEQQGDNDKSTKA SNQHHQYFIGVLHEALQLLKPLSRPSKGTNGAEVQSEDTAVDQVIADLQNRFAYLEVD EPTEWTSSALPSKPHSTCFRDHAQLEST LY89DRAFT_682934 MASSRDNLAAWIVEAKGRIEVRPSEFFMPEKGEVLIRVQASGLQ PADAKVAKLGIMNLKYPTILGSPVAGFVEAVGEDVDKVKVGERVVSGTMIFTSGGVAK FGASQRFVIVDAREVVPIGNTAFPTAIATASQTPLAALYAPGALNMSRPNPSPNPAPA NGKKILIWGGSSAMGALSICYAKLAGYTVISTSSPHNFPLLKDLGADYIFDRSDNSTV SKIKELFPIDYWFDTISLSSSLTPIRDILDGVKEQVEIITLLPPSMSGGEVVFPENVK TKMLLFRNKAEENQDLVQWMLGKGGYLEQGLTEGWIKGVPAETIGGLGAVAEGIERLH KGVSARKIVIEPWIEQ LY89DRAFT_472673 MTSCADLVDPEVNLFRGDMATPRPTPPRFYEPLENATWGDRFSH MVMNYINHPSLLQETPTGQLWNCQPSSVLNPDLGHFIAHLSKTILVTDPAYPELFHET GLDGLPNLHHVTITIATRIVDRTEDPPGRCHEILVVAKGYDSADNVFGNTDEDIDFMG CYGPGFRFCMDAGGWADAPVNLLLPILRDIFGDWRCVVEYLKYMIRQIMARAGTEAHP LVHMHILGDGLNFRREMLRIAGTIEIGFDIVDGAPTDISKNNMVWQVLQNGRWEDL LY89DRAFT_716588 MSSYIFNHVFLPPKLPQLNDTTPEVEVGLTELFHNTLSLFLDDL PEEEQGNWMRLPAMLNILLNNNNIESPFRNLDEKLGNMREGDVLALHITHQNAGLVLR RQLQTYSIEIFELSATSQAVTGTTGRLVHRFPGPVIVVPEARVDHNFRKAFTQCFTSL ESETPKDAIGKGCGAHRDTIHPRFATEWLPGILRGIGSPLDVSRVYKRTRDDVLWGDG LEPWRRSPRWTLLKVALQTSIVNRIGDHTRYKIFMVYFMATILEKAVDHKCSSDILHV MLAKLSRRIRKLDITSPGNNPIWAQRSQEFVTESMESARNLIAKRWNIIQKAADSAGT FHVAELEKLKPQSDTILHLANLRPYLKQVHNIEIKRYNKSTFDEKCSRRINGKRNSLP EPESAEPKSPFEVSLSLLDLEVWVSKSLDTWLDRNIKSESRFVKLSNVIIWYISTSPT VYAGSAEGFSVMILTMMLLWVALDKATIFHYPLLKNFDPGFPTTLFDALLLPKRNQMI HLRDIEQYLLKRKTNSDRDNPSIFTDVTSSSSFGAQYFEQSFDHQKLKRSIEREAKDE SKAKKDELKEKKKEFSKLTQRSELLSCTTIMHRSRRNPVSQEVHDPNCTKCDLRRQAQ SLRITCYEWPLPESEPAAKSVVFELDIPSLICSWRSTTYRILADILNPSPLPLVKPKN VSLTEYPGLKNYLKSKADRLQLASSTKPFAQTQYASRAVSDATENDVCVPNNLNFAMQ DLKSQRSTRERLNKSDIHERCTLRLPKGCYHSLQYAVASTKHTSNEVLSKHAICPDGL TVHEVRAFAALRSGHRLQWLNIARELVSQTLDLKKEEVYLLLLQASCQAGPSALQQVS RDSHIELEEESFGQNLLSAMEAALASVGSNWQGSVAALTLISLTIRLLSVSLHESVRN RCLRFLQKARNITIGWLRMVVEILHESSSEEEMLYLTLRALDLALICHSTFDVDPRQL LALLSTTENVSIVIETATTIKERCPVSQEILSTLTRELLHRFSRTAHTVESVLRERIV ASSDGINKAVKRLWPGYEPGTPWAVVEAPEDRWLTTRTSNSDNVSPMTLHYNLLTGSL LIASRPLNRLLPEYESHSMYKRLFGSKILEVVPSSKGLHFETRNSVHGFQVHFALHDK DLIVRASKDRELYEVIPTHALEKDFPRTLVQNYVHWIHLGSMVIEWRPLHSKWLPSTE NWRISAPEDASSSRLALKSRCLVEPGSPTAVVIQRWLKPLENPPNINIYYNDEIEETE VCLPRMNLDFILRDTELESKQFRGMIVDTNQYIGTLHGLQHKLVLRDIQGPSRITIVP HGTVSYHRRKHHVQVSIYTGLSDKIPYHQFVIDKDLGLLIDNGNLRSRLFKLYLHALT SHCLPDPLTSRTGSEEALHGLRLASTRSFLSLDPEHVELLRCFAKLTPSRTFYPKGSK FMQTVNWEDLSPLSHHEGFVTEAHSMLLQAESFQVFQPSLDTKYKIERGPRELRQRAA IRSACYRVHPFGAECFDASYDATYNSTRDAIPNSSREREACYIATMVDKWSCRLDPYN DLFHQIQMWGSFFHGPQSDFRLDFSKRWMETPSSFMPQYWCSIQSLLSKSDATRDKLQ ITIFLANLASSRHDNAPLIHTLLALATAPALRHIQPPPYAHFDFSRGFEPEKSKVSAI IEGCKVSFENSPESRIDRLPEESDFYFLDRRQAAYQAATKLQVSKCLNALMRQWPSKD VIPPRTLDTETYLPLLSNSMGNIRSLFETCHKNFEFRQYIEDVQIVLDSLPQPLQQPE YYSIPPQEDTYKNVRAYLMIDDLLQNPAPDLPLSQDELEEQFVTMRDQPAVESGSSKL KSLLNKLSKSANGHYQRMYVKDLQKSHNAFLANTSAKPQLMSGVPYSELTTPLDQCKK IVETLYRKVCSKLVVSGTQIDNARQAMLPRLSPSVLLQLLARFEPVSAEWKLALTRYA LAITSMQRAERLVACSESDSDIDILNELTNSGHTNWDPMDLPEWLLLELESNILIRPE QGNIQIAVLEFTNQLADADLKTIAQIAREMIAPQSGSNSIMQLNMGLGKSSIIVPIVA ATLADRKKLSRVIVLSTSEFTSSRPQFEQLTLETFSKAIGSYA LY89DRAFT_695683 MATGGILLVQPENLLSFELLGIDYLLSRDLTSDSLDPSMYDIGR SMIDTQQWLYQNSRDILDESDEILSVRFELIYTLGNQQNLEFSPDRWSIIQDVLGILS EQAREKPQGLEVIERSARAFPRIRILQEAAGENLLINTARLICRDGMSSLATWTFSEK ERNTVFEYLTDPHMPSHRAAILESRVFESRFTKMTLLLLRGLFAAGVLEYVFAKKRWR VNYGLDLSSRSLTTPRIIARSEFSHPDTAIALTCLSYYYGGLSDEQIHDSFEELLLSD HPQEDYVQWIQYCKNLPESFTQLTGVNLKDKVQCSSKLFPALRWSKALIDYYLERLVF PKELKEFSSKLSSSGWEIAREKKHPTTGFSGTNDSKYMLPTPIKQCELAEQLSTNAEV LNCLLQPENSFDTEYTLKLETLDAKALLDIAINMVPSICVLLDVGAQLLEDNEKIATD WLGLVSADDAQAVIFFHDNDLFVLNRDGMKEPLLVSPFAKQIDRCLVYLDEAHIRGTD LKLPADYRAIVTLGPDLNKDRLAQACKRLRRLGSGQSVVFCGPLEVQLKILECSGKND ARLIEVEDVLFWTIHNSWEFTKKGMPLWATQGMRHYRRRAACDLSGAIPRIPIGVLEP EALTLDERYGLDRTSIDEGIVCRNRLKVDSDLTRAELASIRSKCREFGLNTFGDSDLH EEQERELHSENEREQQIEPPPPTRPYKHNLHASIRQLILTGELKSEEGFEQAFNVFRL TRAREGLDVNDWPGNLLMSQDFATTVQITNEGNTDSFLRPVHWILSFKGPNREPRYMI LSPFEVQELLPQMRGQNRVRLHVYSPRLSLSNRSLEDLSFCAVPPVPDDWSVPTISTT LNLFAGQLYLRDPEEYRTLCRFLGVRSQHSRQGVDINTNGFISIETRHLQDDETAAIC RFTSNPIDFLRLVTTFRRLGQTFASSHMGKLLSGRLVRDMDFEVAARAEEVDDPMDVD EIKSEEGLFVD LY89DRAFT_641707 MSPRLSGRVAIVTGASSGIGRAIALTYAREGASVMCADIELNAR EDEGGRSTHEMIVENNGKAFFMKTDVTDEAQVKSLIAETVKAFGRLDIMVNNAGVCSE ILGIASQVGGVRVHETSLETFDKTMNVNTRAVFIGCKYALEQFLAQEPLPSNSRGDAT RGWIVNVASLAGIVGFQGAPAYTTSKHAVVGLTKEIGLSYAKDRVHCNALCPAFIKTS MLDPIMDDKENPIAVGTAQAVTAAHPWGALGKTEDVARAAVFLVSEDSQWITAQPLVI DGGYMAQ LY89DRAFT_666975 MRTSILYTIAGLLAAATALPTAPTASSTAQPNLSAIKSVKNSTF HTYKQGGLVRAPLISGGLKTRSSLAARTSCATSSQFTWGDNDHGGPGLVITNGGNAWD GYYMYENSCDSVPFKYFWLAPGSTQFISLPAGFQGRVSRGTDAMNLAGQPQLLGTWLE LSFDPYNVNELWGDISLIRGCDGGMLMWALDGSGSWKGFTQDVLANAPVGAWAEKPDG TWVLAPGEGPTTDAETTQYLLGAVGADNAFLNDAYGDPVISSQNRRYGVWMDGGRI LY89DRAFT_666976 MTVESSEPETTRRILTKRVFPAHTKEWFQQLPREMQRKYASEQI QADVGRQCVDSGISHQEHESRLDPGSYTKPPTRWELEGSISEAMLDIEGLALEDPETG IMHMVSRGSIGPRASTRLPNNLLTFPLECGRVLREVSKLDLLVDQFAQSLVCASGITV SPLEQRTLARLEALDSESYDGHLSLMSALRYLCKATPTTDLRARIRQCAIESLTFEDV FRACTSIILMDLIFQGSPPEMLALRDTLERAHPASSNPRPLLIEQCIEDYFGPLLSLP SFQHSITSSAPALGLSLYSKLKPLIVLLGDEIDPDIERLCHRDCFEICKAACLLNAEF KVKPTSPVELYTVLSGDEVKGYYVDNSAEDDKVGIMLMFGVKAAGNSDMPLIYSKAKV ATSSTEK LY89DRAFT_472726 MALSTPSKLIVAIDTGSTTFTVAYRWSGKTVTYLVNEWPGYVPQ SRDMSGSAPPTILYFPHGREPPWQREVDMEEDTSEDFDLRRKVVNFKSGLDIKNGPSY EVLNATARYIGTSVENFAHTFTDLLLNYLFFDDQPFFCEYLQGGLKIEDITLVFAKPP GWAISTYDSFRLAALSLGFSNEQIKFISETEALMRAFFSTKVTESEISKLLQVCPFQK QEAVLI LY89DRAFT_779998 MCLGSQLSLFKIFRHSYSILTEYEYTKFCQDQNPGADFRPYYDK ADRCSKFMTNEWLAKLGDESIPGQTLSADADGLRKRRLSSLNDLQWTDIVEVWPPHPG LDKSTLPSDMKLKSGPGKLTTNPLFACVEPNLKAAERIKLLVDLSPYFTEKSQVPREK IKGGGHFIKYKIFVQLLHGEKAEYCVQFFKPGEKQLSSMPLLQSPVDLTTLYEIGSCP RRITTDGSSVDDQVEASAPKIIQQSLLESATASTQLRTEMLHQHTATSPTPTETCQDT TKLKEIAAGVHNFSIFDTVPVSPPPPGIKAAPRRGIFNNLSTTPTAPRPKNLTDLGIP GLSSSAIAGFLAPKDANAKIGQSRTVQQPENEECITVEVESEPDTAAKGRALRSKKIQ VEQAEGEPLRKVRFAPL LY89DRAFT_773003 MGNSLTVDQMAKIKAFTREQVEEFKRVFTLVDKNNDGNITTTSL GSVMRSLGQTPTDAELLDIINEADTDHDGTIDFPEFLAMMATKSKAVDTEAEIRAAFT VFDRNGDGVISAAELREVMASIGEKLTDAEVEEIVREVDRDGDGGIDFEEFLQVLNRT LY89DRAFT_612304 MASMGGGYLDPAPLIRSTKTPTLLNKHLQSICAFEGLSKIGVKA ELQNRIIERIKAYANTNNVQGFERMRHLLANPLDQPSTRYTFVPSMASGSSSAAQSPA PPNPYLNATLGVGGYNMGGAAPGYRIYGNPRIEFKSSPYYEIKEQLGSPALCEVMQHH RHTVKANIRVQDYPILSRVHSDPTLKVMVFCAQEGPGKQDIAFPHQSEIKVNTGEVKA NLRGLKNKPGSTRPVDITKDLRFNPLPYVNTVEMTYALTSKKFYLMVYVVKAIPVTDL VKQLETGKRITEKSVVDDMLNKARDADIVTTASVLSLKCPLSTLRIDLPCRSIACRHN QCFDATSYLQLQEQGPTWLCPICNNPAPFESLAVDEYVKNILQKTSRSIDQVSIQPDG KWELHTRKEPATSARSGGPPSDSDDDIVEITKSGDSIRMSAPRSIQTPGSMPPRSSAT PSTSSGQPGSSTTNSLGKRPIAAVIDLTSSGDEDDEPLARAPKRPMTVNGYGTPLNVP VYRSAPQNPLPPRPL LY89DRAFT_780000 MAKGARASTRKANNVRLKSKVFSPVESARVERLSAKLLELASQP KPEPAKEDVVMDAEDSAREGGDAVLDADNKQTEDMELDQDPATSRSKSTGKGRIEKRR SSRKTSIVFPKYKNGKRIGKPKGKK LY89DRAFT_705917 MASRITTLSLLAANVVIPVAILIFASGFFPYKPFLSGLAQYEAL QQYGASPEAPFNKVIFMVVDALRSDFVYGESSGFGFTQSLISNGAALPFTAHATSPTI TMPRIKAITTGSIPSFLDVILNFAESDTTSTLATQDTWLAQMKAKDTGKLVMYGDDTW LKLFPETFDRADGTSSFFVSDFTEVDNNVTRHIPDELKNDDWNTMVLHYLGLDHIGHK TGPRGPNMIPKQHEMDGIVSQLYDAMTTKEHLQSTLLVLLGDHGMNDAGNHGGSAPGE TSPALVFISPKLKSVGRGLESPAPFKEDFQYYYTVEQSDIAPTLGALLGFPIPRNNLG AFIPHFLPFWSSKSDKIQILLRNAKQILSVVTATFSSFEMTGPSSKCDNLSDSVEELA CQWRGIIQSLPGPDNEFLNTENWLYSVGKWLTKAQELMSSTASNYDMPKLVTGQSAAT AALSLAALAAGPTVANAVRETSPIIGISLLYGIMMFASSYVEEEQHFWYWATTAWLML LWLKCNRKKELINARLLAISSIAILIAMRLARRWNQTGQKFAGEPDIARTFFSEHRLV MWSLVGLTYLWNLQCLAARAFPRFPQIAAGAIATSLATAALTFKLAFTNEDSPELMAG IAKSMADSEYGVSLVARARIAFYAIGIGLLYTVISGFGPTKKPNTTMRTIHDLLTLFL ITQSRATNIPLLLIFEIQFYLLNSMDLNLIETTTTSLLLQYMSFFAFGGSNAISSIDL SSAYNGVSGYNVLAVGILTFVSNWTGPIYWTSATNLMLLRLSKSGQKNLLSQHLSLLT VFVTSSLVFVMAACTLLRTHLFIWTVFSPKYLYSMAWSLGQHLVINMGFGSLLYWLGK QYA LY89DRAFT_716595 MPKPPQPQTLSSPPSPTTIAKQELTSITQILQLTHHRNKNQHRL AKWWKPFSVLRRNISELLAEVDALETAEKFSTGEKGGRYVRDAREVVERRVEFVEVWV LEKAFVAFSTVVKDLQYASLGLLLLGMLAKIKAVIRPLGREKMEDEEEVEVETDGPEQ FTDASGDPQEKQDLGEVVRREELAGVLREEEKDEEEVDVVLKTPKPKETKNEVKIASE SEVETQAKKRSKEEKKKKKRKRGDAFDDLFDSII LY89DRAFT_682941 MSTTTHTTEEIDQTKAPSIHLTNLSYTFPSTHSGLSNLTLSLPP KSRTLLIGANGAGKTTLLRLLSGKRLAPSNTISIGGLDPFKDGLEGVTYLGLEWVLNP IVRTDIGVKELLKSVGGDHYPDRKDELVRVLDIDLDWRMHAVSDGERRRVQLAMGLIR PWRILLLDEITVDLDLLSRSNFLAFLKKETETRECTIVYATHILDNLAEWPTHLVHMS LGKVKEWGEIEGFELGEKGYGKTGNSRLGELVLGWLKDDLRERGPRNKGTTSEGTAYL TGGLGGYGAEDAIKAGKRTF LY89DRAFT_472917 MSASTPLGLHGMGVAFNIYHRSYLTRNWNGAWFNSEGRVYLAFA SRHIFNQHSAAQVSTELVFN LY89DRAFT_472827 MTQLTAEYLTAGANRHPSAADWDTSGLLAFGADRNVALWQPQNT RSQGLLALLSGHTDTVNAVKFIPESSRILVSGSVDKTLRVWKEDPTSGLYTCIQTLSD HQSSVNCVAVSSRSSRIFASGAADATVKIWELDDQNVAALQQSISIVPRFFPLALALS PLAGAPESYVLAVAGTKDIIQIYVKDSEKSPDFKLQATLSGHEGWIRSLEFTQESFRP DSDLILSSASQDKYIRLWRIHQGKELPAAAASADPSLGAFMPGKSLSNRAHRFKAEGL DFSATFEALLLGHEDWIYSTKWKAVGNKLQLLSASADNSLAIWEPDSTTGVWVTVARM GEISAEKGSTTATGSTGGFWTGLWSPSGETVVCLGRTGSWRLWNHNMDEDRWVQGVGI SGHTQAVTGIAWSKEGEYLLSTSSDQTTRLHAKWKREDLSSWHEMARPQIHGYDLNCI DSLGSSQFVSGADEKLMRVFNKPRAVASLLAKLCGFSDSHIESMPDAANMPVLGLSNK AIEAVDDDQEVVNGNNNDREAIDPASIVHKSTLNLDHPPLEDHLSRHTLWPETEKLYG HGYEISCLAASHDGSLIATACKASSIDHAVIRLFETQTWHEVKPPLTAHSLTVTRLRF SPDDRYLLSVGRDRQWAVFSRDEKDRNQYKLATSNPKGHTRMILDAAWAPTETRVFAT AGRDKVVNVWGVEDGGGEVNFVLKTKIAAEHPVTAIDFATAPMADGKVYLATGTEVGR VRVYCINVKESFEAVELDSSFQSTYSFPTKAITQLAWRPTSKEGGDEVELAIASEDSS LRIFSIAPSS LY89DRAFT_695690 MSSAEDIPIIVEFTGGLEMLFADERKHKLSVPAKDSKGDAVTVG WLVEYLCDELMRDMRKEMFVLDGHVRPGILVLINDADWELEGEATYALQPNDNILFVS TLHGG LY89DRAFT_473071 MSLLRQTATFITDIHSSEFSARQTNSKRFVPRCGSLCGQRAGCR QPDDGPTNSWCCNTVLPHRASYIASHLHHIWNPNPSIASPETTPHRHAPGLEILLEHV PLIPDSEMSRSLSGEGGPRAPDAASTFCTSTVTPRDHTPPHSWDEKDYQRFFKSHIFT AARCCHVRTTLPPSKL LY89DRAFT_579772 MSFSRTTRQGFVEEHHSQSPPIKTEPLWSVSNSSPTFVPANTKT IASSAPGSAHEVNFGTEVDTLMKAIQAKSKTTQPQVASPVNQSRPVVGVSPTPPYRQP ASQASGYEESKFKLTQETLQDDASSRKGNKKRYKCTIENCTKSFYQKTHLDIHERAHT GVKPYPCKEPSCGRSFSQLGNLKTHERRHTGERPYQCEVCGKRFAQRGNVRAHKIVHD QAKPYLCRLDDCGKQFTQLGNLKSHQNKFHISTIRSLTAKFASIKDGDIVHAADKELW EYFANLYKNSNKGIKGRGKDRKVGSANPSMRSHLVGIPSSRSSGGYSMSGTGRGSLGM GMSNHGLPMQGRSADGQQYEMLDVDEGSQSQCGSHSGSGGGSSVSTCYDDAPSDGFED AGGRGSDLAFGDRIY LY89DRAFT_682952 MAPSGTAEGRKESWGWRLAGAESMAESVLCGWTGSYWVGFSCRV WGFIGSFVGGFILGVSSLGWMSTIAGSGEWECGCGFVAQVRGIGEVGRSKFLAQVTIQ LKLRGLS LY89DRAFT_780008 MVSQLHTASLAIIVVFEIFASIFCLGRLASRFISIRAESWRGLM MWSDICMLIAWALSVGETVTMYKVTQLAHFGYKLVDAEKMPYNYREYSLVSFVNTLFY NPVLGLVKASMILLYLRLGGTKKGVRMGCYVLLFVVFGHAFGTTVADLLQCLPIRYNW DRPAMDLAAQNAVGANQPGLLPNRIPWPTGFKNGVYVTGGECFNLEYFLLITSGLSIF TDLLMLLIPIYMVYDLQLTPKKKVVVLIVLCMGLSVTAVGAARFQILYSGYQPNPNKD INFSIRSTISQIETDLALVTGCIPDLFPLVRRCFPNFLRSEPRVLPPNPYPYDSGSNS GLRNSTVSDSRRSSYSRRVKKILTPNTSKNMESIDEEMDIGHGMETFNWRGDNVRGAE VEVKGGADVEVFTVSEGADIDDVMGGNVNAIVKTTQFTVEEQDADSVYEHKWSNV LY89DRAFT_780009 MKTSSFFSGVIGAAFCSFRAIVAQDFATHGIYTEPNTNITFFVS SEANGTITGDGEFSTVSWGGFTFGMALPPTAGKVDTHEYIGLIIGSTPTGKGGWTGIV HGDSQSAAMPNHLMLLAWPTGVDNQIATSFRYAPAYQPPTAYKGSANLTQIYSSVNAS NWVLIYRCQNCFIFDDPSQTSFNTSTSKAAQFMQGWAQSFVAPVDPKNPQSNFDQHNN GMGEFAIQVASATHVSYSAWATKTATGVIATGTATATATFTPIPVPTQTTYDYIVVGA GAGGIPMADKLSAAGKSVLLIEKGVASSARWGGTIRSESHWMDGQNLTWFDIPGECNR IWNGGTAGVACTDIDQMAGCILGGGTAVNAGLWWKPNPSDWDVNFPAGWKSSDMAAAT SRVFSRIPGTDHPSMDGVRYLQDGFNIITGGLEAANWTSVTANDHPASKNRTFCHPPH MFSHGERGGPMATYLVSAMNRRNFHLWLNTSVEKINRVGGHANSLNVIPTNDGGRLGT VSLTPGTGRLVLSAGTFGTPKLLFRSGIGPADQLQVVQGSVEGAAMINQTEWIHLPVG YNLNDHLNTDTVVSHPNVSYYDWPASWLTPIEADKENYFVNRSGPLAQAAPNIGPIMW EEIKGPDGIVRHMQWQARVEGSNGIPNGNSMTLSLYLGRGEVSRGRIAIQKGLNMIVD TIPYGDPNDLATVGMAIDNMVNNLKTIPNITWNLPPPGMSGADYLKTIPLTYANVGAR RANHWMGTSKMGTDSGLVGNGTAVVDTNCKVYGTDNIFVSDGSIFPGQVTTNPSALIV TAAEHASYLILALPVTQGGGHHNGGRSD LY89DRAFT_682954 MNFYTVFAFCIWAVGVAQAQVQWPLHNDNLTTVVEWDHYSYIIN GQRLFIWSGEMHYWRAPVPEMWIDILQKVKAAGFNTVSFYGNWGYHSAKNGSLDFDSG AHNFTKLFELTKQIGLYVLFRPGPYVNAEATAGGFPGWVTTGAYGSLRNNDTRYTDAW TPYFQKISQVVSQHQVTNGGSVFIYQIENEYGDQWENVAAKVPDPEAIQYMELLEKCA RDAGINVPLTHNNPNMNTKSWSKDYDTVGAGGDVDIYGLDHYPSCWSCNTAECTGTNG NVPEFTVYEYFTNFLQVSPTQPSFLAEFQGGSYNPWGGPEGGCVNTTGPDWVNVFYRH NVGQKVTAMNVYMLFGGTSWGGLPMPTVATSYDYSAPISESRAIGDKYHETKLFAQFL RVARDLTKVDLINNGTGYASTPEIFTNELRNPDTNARFYVTIHTSSPSTNLTAFQLKI STSSGNFTIPQYNQLVLNGRESKIIVTDFTVGKEKLVYSTAEIMTVSTQDEKPLVFLW LPEGEDGEFILTGVQSATVLKDDGCANLKTTQRNGGLVVSYTQATGTCVLKFDNGYRF ALLDRSTAYYTWVPSTSADPYTPENSTVVVQGPYLVRSASNSESALELTGDISNMTEL EVYAPSSVSHITFNGENIQVSRTSHGSLVGKLNECAETTASIQAKLPALASWKVNDGL PERMAEYDDSKWTIANHSSTPNPSPPATYPVLYADEYGYHTGNLLWRGRFPGSTATGV HLEVIGGTSSGWSAYLNGIYIGSWLGSTSVTKGSLSLSFSNATINSATENVLLVIQDH MGKDETSGAVNPRGIYNATLLGNSGLNFTSWKLAGNAGGESNIDPIRGVYAEGGLHGE RMGWHLPGFDDSAWNSSTPETGLTKAGAKWYRTVVPLDIPRGVDVSLGFLLGSPTEEK VRAQLYVNGYMFGKYVPYIGNQVVFPVFPGILDYHGQNTIALSIWAQDAAGGSVSVDW TVLNVVQSSFDPGFEASYLRPGWSDRSAYY LY89DRAFT_682955 MYDQELPCLPMASRREIVEHFWGIGADANVRKKLFDDDSYFEYF EQQCRIARQHDHPENRVCTQSNIFDIVWQFKSGVDRETIKTNLSSKVDGIGENSSFAV NYAIDLTVRLWLMVHIGDVQRGITGQTALLWRGGSLKDCVAGHFQHQRILTDLVRFER VFNACNIDRVANVTIRWTPNLVDHLKFIEDGKKPILNIFHHAAFLNYHRESDFFPSGF IDETIRTLALLLPAHDSDSRKWFRKQQAKLPLDPGAVRCGQLKLEERQINHFNFWHDR LVILKQYFDDSKPRTIKQWYYDDRHRVSWFWVAILLVVCTLLFGFIQCVEGGWQIWKA YHP LY89DRAFT_473235 MEILRECPVSSIEARNIKEWPKVPTYDLKATQITEANDQINLPK SAQIAPDEPILKITEGTQDTLDTRETENTQKARSPQVTQSAEDSSRDLNSPRDVVPAA KQSEAAESLKKQPTERQWFNLGRLALKKSTWPNPELSIGSKCRRIGDRECWEAVGPAQ LLFNEISQSIGDLLDARVDEIEEGEPVAGNILTYGMYMIGREPTTARPTLIFTCERPK PRRRAIKFVKESEILKGRPKIALAESSVVPMAMGRNYLRLLAGSTVYSGPSSTTWIAR RNATTTHTPINTPVPYSGLSTGAKAGIAVGSVFGGLSIMLAVFVLFYFRRRKRFTRKY PPLPFTWEAVATGRPGSPKPAMLTIPQMSDNSDPPLGLFQPAAQYQPSAEYQPGHGFH GFGHTYEVVAGAELGPVSEMSSVIPENPNLYAVLPQSLSAELPASEPVGTEVLPAMFH SSHRIPVFSEQEPLHQVSLHPSRPAPDPPELLPQRSLTSVSALPTRAPPPVPYSGGEN PVVSSGHISLPTTLTAPPPRNSPSSNNSSTAVQEIGKVHNPITQQPQLLHFEDSLRVP GMTTHARNLMKGLQDHRATSLPSSAYASSWDMVLSSEDSEHHKDAAPSLLDCASICGM SILSQVTGRVATIGGVVVLNNKLYGLTVRHSVIDSARKSQVGDIESVNGSSTDQNDDF EFAFDSEDEENTSEADISDAIITSRASVSTAASVSRISSTISGSSDKAHVSIPSSMGA ALSYNGEEPSKSTTTITEFTIPFATSTQRGHDWALFELSQESVREAKKALEGNSLFAI FNVPQHLASMPSKDTEIIAAKGGSGVSRGVLSPSSTMMKSERSCNFQEVWTVRFNSPL VEGDSGSWVCDSVTGDLYGHIVAGIPEIGLAYILPAHKIFQEIEEVVGPVEFASKALA LY89DRAFT_780013 MAPRTSTMINLISWTDIPVTDISRAKEFYSTIFGWTFPTFGPPP TEPVTQALFKKGSMNGSFILVEPEKMISSVTSEESCVAVRVTITVESVDEKIVEIEKA GGKLYLPKKELPGGRGFTLFFTDTEGNVMGLWSKE LY89DRAFT_612328 MADANINHQDARDYWQGIDADVNGMLGGFPYISKVDLQGSKNFL AKLGVGGQGAEKLERVVDCGAGIGRITEGLLLHLAETVDIVEPIAKFSDNLKEKSGIG EIYNVGLEDWSPGSNDIKYDLIWNQWCLGHLTDVQLIAYLKKCGTALKDGGLVVVKEN MSSSEEDIFDELDSSVTRCDQKFREIFEKAGMKIKKTEIQKGLPKELYPVRIYALVPE T LY89DRAFT_641737 MEFGDGRRDVVDPEVRAYVSSLVTALGGSGADEDGRYVLGDDAL ACLRDLKKWLKLYDEKANRLDVARCLAESNLVGGDLLQILAAWPENATEDRLKSKIAL ACLELLVPLTWPLEKDTQAMTVNHHRHIPYLQIAQLGYKRAIINFDGARILHTAVRCA LPSMAEAMGDRSTRDEGIIKLLLYFVRNIAMIAPPPNVQYEGDEAEISRSATIDAFDY QDILHLLLTVSSTMGEEFNTQDVVVLDILFHLIKGVDIEKLFVDEAKADNSKIDELKR LRQKEASMLRSYQVNAPTRHNRFGTMAWMQREDSKMTTISGQSAIMDSTRSLAKMDST KKFKPPRKALKGEKGPMDFDTPVMLNVQANKHLRTFVEDFLDAGFNPLFSHLRKAIDR EAERILEYHPRQFFYLVSWFLEAERVRRKSKVPSKGQKPEEIDNYSLVASVLNQEMFV TLNRAMEQTFENKSWQDLSAAMKCFTQILLTVQEMSESPLEEDQEIAENILSRIFYEE TTHDRIANLTRTYKDQGFGYLDSCTELAHHYLRILEQYSKQNVDMQVRSRRRIRRKKK AARADGEDDPNDDVDDSDGEDEARAEKVSRDRKFDFKRFASRFVTQGCVDTFVTFTAY YNDLKPAQLKRAHRFFYRVAFKQDMSVMLFRVDIVALLHKMIKGPEGLDPQASCFKEW DELVKQVLKKCIRKMQERPELVVEMLFSKINSTAQYLEYGYEKQTASAKPRAAAELEV KGGKEWEEQVAIVVGALLDRNEGDHLQWIKSQLSSAESGRRSWEGANSALQLAEKETS AQSDPFADTDETPAPAATIEQPTAPTILIKPDNDTRRIALFKNGHLRLLMKLVGLRRL GEDNDPEASWTVPSSLSADQLKEAQDLISKSEFSPPTFDDGEEAGDFIRRKSAGTAAR KKAVFDDEDDGVDDDDEEEFLFPAGGPTAMKKSEALKALKKNRRRRRKEGSEDEGTSL TDEQLEARAEARRLRELEKNRKIKSDLFVHDSDEEADEERDRIFFVQEEKIRERAKIT IMKELLNVGDKESSTKAKSRKRPSTAISVDSDDNDDLPDTRIRKRQSSTISDDSNEEA QATSSSNPRDNVLLESDNEATDTPLSSPHPQTTNSKRRKVSSDEEPDPVDALSVTEPA KISGIELEDDEDEDVAPVSRPSRQRTRAGFIVDSSDEE LY89DRAFT_612335 MITPVLRKQLLQSTKHARSISSSPIVAAQEVKRLGVIGAGQMGL GIALVAAQKAGVPVTLVDTSQASIDKGLKFADKLLAKDVSKERITQEQSDKIRSLLTP YTSMDALSEVDFVIEAVPEIPSLKMSIFENLAQICPPHAILATNTSSISITKIAAATT KDPKDTSASSRVISTHFMNPVPIQKGVEIITGLQTSQETIDTAIAFCKAMGKIPSQSA DSPGFLANRILMPYINEAIICLETGVGGRDDIDAIMKNGTNVPMGPLQLADFIGIDTC LAIMKVLYEETGDSKYRPSVLLRKMVDAGWLGKKSGKGFYDY LY89DRAFT_695697 MSNFEPLNTQPDWREQYAAFPLSGDNGLLQPTFEQNTSSNVSDN TQDQQQSGGRPTLEHRHSLGPLRQEAQPSPNIERPDSAPGDISHPTNVPARDDTIVSP ESTALSLGSLASNPLSSASSAPTQQGTLANTEGGGAEGEIKEEDDELEDDDEMVDAEP EEGAPPQTAAERRAERRKMKRFRLTHQQTRFLMSEFAKQAHPDAAHRERLSREIPGLS PRQVQVWFQNRRAKIKRLTADDRERMMKMRAVPDDFDNVQALHSPYGAVHGVGTPMQS PVDFVPAYADHMMRPLMVDTMRRNDNDDHMSPTGLSPAFGHVGFAPGGSMGTPDVLSP LSLNSSDRYYSSHLSSPMSAGPRSSNPFDRQNSYQTLSHSRQHVRPLQPLQLRETMSR SRSESLNSPLRSSMSWKGETIDYTSYQTGQPSPQLSGRQQSVYQPDQISNTTVNAHQY DANASSYTNIQSSPSHMTYPGAHGNSSLQQSSPSAMSRLRASSSAFPPGLDLRAQYRT LPNQNNSPHGTTPRSSSFAHAFTGGYASAPLTAPVDFSLPRTPIDGSHSGRDFSIPQL SAPMAPPQDFSNAYNSSLSPVRASQNDRDFSSQVQGNQNNGDQSSSGQGQVQGSMQVG DNQNLNVRNNEDNSYLRPVEYETGQKRKRSFTLTGGFESP LY89DRAFT_716609 MKSVFKLMCLIAGPETLNQRHASRCACIRGLWFCSSSGAGAGAG RTLATNWPMFGEKSIVEIRKLEIKSIMRATSFQDKKPNQETSNSIEFNELLDRDNLAH GNLSSLASLLFPFWASLSTASTATPSSPGSARSARQRQTVGTVGIIMWYPGVAVPVSS SLSLSNLSNLRDPVRDQSVMLMTMTLQVLYRAANMSCDRL LY89DRAFT_612340 MAFAEQQKWITVQQKTFTKWLNTKIEPRELAVVDLVKDLSDGVI LIHLLECLSNESLGRYAAKPKLRVQRFENANLSLDFIKSRGIQMTNIGAEDVVDGNRK IILGLIWTLILRFTISDINEEGMTAKEGLLLWCQRKTACYEEVDVRNFTDSWNDGLAF CALLDIHRPDLIDYDALDKNDHRGNMQLAFDIASKEIGIPDLLDVEDVCDVAKPDERS LMTYIAYWFHAFSQMEKVENAGRRVEKFVNNMQGAWEMQSAYERRMRALLKNVQEQVV FWQQAKFEGTYVDAKRQATEFSAYKRGKKREWVAEKSDLAALLGNIKTKMSTYRLRPY EPPAELRLSVLDDEWSKLMKSEMARGQLINETIRDIKNALRKSFADKANDFALALNTM QLAISGLEGDVEDQLTHVRRLNENLEPMNQYLKKIEEVDQKCEEANIEENDFTTYTYD ELCYELSLVKNSVSKKLAFLDNQVVARNMTNLTPIQLEEFESVFRHFDRDVTNSLQEL EFSAALASLGLVFSEDEMHEYFIETSNGKDYVTFEQFIRFMVDVTEDQNTAEQVFQSF REVADGKPYVTEMDLRHSLVPDDVIDKLTKFVPLHKGPDLQEDRGMPQYDYISFMDGL ISDPNEGPEESGALADLPNGRSPQRASAAGSKTNGIH LY89DRAFT_666998 MSTRSLVFRPHKQEPAQSIQPTHDPAFNSAIRTYVVQGYQTHRL GSRLWDCATCGRDATDIYRSAISFLLPYTSRTVDFLIPACKSSGCHHHASKMAHEFGK TAMPGEAVEECVNCGARSGVHLCGSCTFSHSAWTGTKRKAPPQQRQKPRHGTQQDEVK H LY89DRAFT_773049 MLVCQSSPMITKVVNRESRPCPLYRIVEKWASRGSLVIEVMLPP VGDLRFAPPEPFESKFNGTTSATINCIQFWSQFIESASSSEDWSALGPLSSSAKLRTL SLYLNVWVPPNASPSSKLPVKVWIYGGADDAGGVSNPLYDGCRLASGGDSVVVSVNYR FGPSCPWCSDIPDTAAALEALAPRIMLNSVCAWKRGLFAELYGCREGGIEDDDELLDG DGGDGKSFH LY89DRAFT_473415 MDVPISTAGLDLSLNKEEGPIKYFSWPQHDALQSFLPTLHPYLP YSNPLYNRMKAPHNLPSRHCLFAATFSPGTTTIPKIFTILFADRSRHEESQIWTFNSL NSTTKPLTASQQESLTMHMNAAVRFLKESSIPEAPGWPFSPILKFACLHEHLTSTLVA IGNPSNAVPRQSHWNCWLVNTSTISPASEKALSEGYTITRVPDDQLDIVISTSSIPRQ PSTYKLLPSVGVLDPNDKLIAWGYVGIDGSFATLYVLPDFRGRGISSIIGRELLSRLN NGDFSDMGYDGKSGWVHSDVYDGNAGSEAVMRGLGGFIAWKSSYVWIDSARL LY89DRAFT_641751 MRFLNLGLVFGFAAIASAAAIPHTHAVHEKRDTAVAKKWEKRGR LSANARLPMRIGLKQRNLHLGHDLLMDISDPSSPNYSKHWTSEEVIEMFAPEQKTVDA VRAWLIDSGIAPQRLTHSDNKGWLAFDATTEEAETLLLTEYHLYEHVDGQTTAACESY HVPKYIQEHLDYITPGIKLSAPSKRSVKARSASNRIHSSIPFQRATDISTNDDLSQCY NALTPACLRALYGFPETPEYPGGQARTDNSLGIFEEGDFYDQADLDTFFANFTPYIPQ GTHPVPAFIDGAQAPVPQYDAGPESDLDLQLSYPIIYPQNVTLFQTDDLYSAYTSRGF LNTFLDAIDGSYCTYSAFGETGNDPIDPVYPDTVWSGGYKGQLMCGVYEPTNVISVSY GGSEADWPTYYQQRQCNEYMKLGLQGTSIFFASGDAGVAGRYGDGNVDGCLGTYGQIF SPGFPTSCPYVTSVGATQINPNSTVYEPESVADYPDWGYSSGGGFSNIYPVPSYQHSA VYDYLQRHDPGYKYYRGNVSLATTDGLYNRSGRGYPDVSANGWNLAVVIGGETGVEGG TSAATPIWASLINRINEQRLNIGKKTLGFLNPALYANPHVLNDITTGSNPGCATKGFP AATGWDPATGLGTPNYPKMLDYFLSLP LY89DRAFT_612348 MSTLHAGSSSPGTGIFVINRARILVVVSLILTWTIASLLPRYKQ TVLDLGRERYNDAIEHLPAVKVDWHPKYDPKSQFNASKVALMIEPRPLPHLVPQILHM ISVVPPDWRFMFIGSNKSVISVSRSFATQYQEANGKLDLVVLPKPWSIESKEGVYRLL TDLRFYDEFMPEAEWLLKFESDSIMCARSDDSLNDWLHYSWAGAPRSATDRFAGNGGL SLRRISAVRQILNFQARYNDTEPEDEWFGKRLTVLPGAKIANGQQEDHFSVESVWHEK PMGYHVRDGGENLADDVWKDPQRRKENFEYCPELSMIMPMKLERERCEGDNKQGEIVG ERKGGGRE LY89DRAFT_780023 MADFASGVISNIRFLVPALLLILLCTLTVNFISLTSQHENVPNS SGNEFTTHAPALNSSSTQPQPLSAANQLHHPPDLLSSQRPLDEIDPPRPLTHQQLVNL ATGGPRRKEIEPAKAKIPLITYVYTESPSSYANLKFFLQHGLYIDAHFIFVLNGKLDK KDDQNAGGKYDYETIWPKDIGNVRVLEREKGTCFELGTHAEILNMEGGSEQRGRTSLE SGKLRKLESRDEAKKSKLMERYERFILLSSKAKGPFVPKWSKDCWSDVYLGKVNHKVK LVAATSTCASTNKPHIESLIWATDSDGLAALLDPEAMGECFKSEKDQEAAEIRTTVFL LEDMKYEVDVMSGDTKCGGKRREEGKQPYGNGTAMEKGSVHPFETMFVRSTGGSKEED KLVESLSEAQGERKYSSFDVCAKKAELDIHSEPTRHQQEEE LY89DRAFT_549666 NVTTKAAVIVETRFRTNLVPLILHFSSVLGPTWPILIYTSPESV GQFSSSAALARYLRMGIVQVRMLPQEVLFTNSDSVNAFMTKKWLWEDLAPAEHILIFQ SDSMLCANAARSVDDYFQYDFVGAPIAAGLGKGYNGGLSLRKRSTIMRILEEWDWEKT KTKDVRFEDQWYYDRMLKLQKTGDSTGDELSIELPSMEVARTFSVETIDYPHPLGVHQ VHRWLKEQMLSLDKWCPEYQLCSEEHI LY89DRAFT_473593 MASAASDMTIDDAWEYTKSAVLAAWSKTTRRMRAAGAVLIFVIM SFLLFRPSIPTIPHLPKAPSIHLEYGNAPAESPYNVSKVALLIENRKNPILAPLMLHF MSVVPPDWRFRFMGSDESVEYLNQSRAIRNHVASGKLDLTYIPANMTTGSQEEISRFL TTLWLYETVLQPAEWLLVFQTDSMLCANSRQSLNNWLDYDWVGAPWNPNGRFGGNGGL SLRRVSKIVDVLRNQQRIPNTEPEDVWLTDRLGHRPDAKLANGTVSLTFSGEMYGGEG EKVGGKKKKPNSDPTKAGELIKGIDDWRDGFYEPMGYHTGGSGRTLHGGIWGTPEMRK HIWGYCPEVKMMLEMDAAKFVPGDCHNNWKRMEEGWDGMYGNMGSSEGQTEWIDGEEY PMLPAGLMPW LY89DRAFT_579872 MTERAVDREPSISSSVTEAEAFDDNEKSGSSTEGGFVKLKSPEE MEIGDDLERAELLPEEHDEKPPPAPKQPETSMRSSIIWMVVNTLATIGIVFTNKAIFS DPSLKLAQLTFAAFHFFITWLTLFTLSRPRFAMFVPRKVAIKEIFPLAIAMALNVILP NLSLAFSTVTFYQVARILLTPTVALMNFVLYKATLPRNAIYALVPACFGVGMVSYYDS LPSADAKIKTTSSLGVIFAFTGIFASSLYTVWIASYHKKLQMNSMQLLFNQAPLAAFM LLYVIPFVDTFPVWTEVPANRWIMIMMSGFFACIINMSQFFIIAQTGPVSSTVVGHVK TCSIVALGWVTSGRAVGDKSVIGVFIAIGGIIT LY89DRAFT_473556 MSGHTNGHAASASNPNTLKFFEDFGIWKEAPILTGSTKFEPLPD VKNIMITGGAGFIWSACWLVRHLTLTYPNAYNIVSFDKLDYCSSLNNTRALNDKRNFT FYHGDITNPSEVMDCLTRHNIDTIFHFAAQSHVDLSFGNSYAFTHTNVYGTHVLLESA KKHGIKKFIHISTDEVYGEVRDDADDLLETSILAPTNPYAASKAAAEMLVHSYQKSFK LPVIIVRSNNVYGPHQYPEKIIPKFSLLLHRGQPVVLHGDGTPTRRYLFAGDAADAFD TILHKGQMDQIYNVGSYDEISNLTLCSKLLTYLDIPHSTQEELHKWVKHTIDRPFNDH RYAVDGTKLRQLGWEQKTSFSAGMAITVDWYKRFGEKWWGDITKVLTPFPTVAGTDVI ASEEPVENINDDMLVDSDDKMTLAKKRKIDGSTAQAVQA LY89DRAFT_473498 MHRKVMYNTQTRSTVAGGPDSDDMHCLNSEPDIPVRRIHNPRDL GDQKIIETRVMSQPGKEKEKKPHAGVETPAFIAVALKKRVYNLRQEALKKRSGGDCLA HRAVGCWVSSQPHMQHFGQCLLIRESCSEEKCRCMMQCSSASLSLRWNDTGKIAVQSH ENPASWDVTHQSPPATPPTP LY89DRAFT_473499 MRMVPGASHRRHDHGRLLAGFLASLHLHLHLLTHLHLSLSQLRC LPGSVLSVSHSYSSPISISISTLRIHPPRFSLSMYRGPGSRSFSSRAPTTRPRPQTKF TIPGALTGRSPFSASSHRQVFSPPPGPAFSLFDAASGLAVPMAWAWAAFRLVLY LY89DRAFT_580170 MSIDNEAYDDIEEEIVRQRVHAARTLFHQPRGDNDTVNSTRSLY DTDVIYHMIHGRRYCGEYYMPNDEEEQTRMQILHGVHYSLLSNSLTTVPLSSPTKILD VGTGPGDWAMAMGDEYPDAEIIGTDIAKIQPSAVPLNVFFEIDDAEEEGGWTWADDEF DLVHFRHMAGAFSDWSEVYREACRSLKPGGWIEVLDFDSHDRTLLSFFGKDSEVADWL KTINECAKMSGRPRGVAHLRPEVFTSAGFIDVHVTEKTIPMGVWPEDKEDKKLGKHFL IAQLCGVESVCLRPFAEQMEWDVEKIRNLCDTVTQSIREVALDPSSNGLGFTVRIVTA RKPDGMEVDDPDEESVRTTTMTGLNGNS LY89DRAFT_705936 MPPKQRKIAIVGSRSVGKSSLTVQFVDGHFVESYYPTIENTFSK VIKYKNLEYATEIVDTAGQDEYSILNSKHFIGIHGYMLVYSVASTQSFEMVQVIRDKI LNHLGSDWVPIVIVGNKSDLRPDQRQVSVEEGKALAEKFNCAYTEASARYNENVTKAF EMMIGQIEKSQNPNEPTGGNKCEIIADLLPTMLDVSDFIVEKGGNPESIKKSQRRRYA SEAAVDEVIALYEDHRRTQYAATQVNTRINEVQKQIGAKKKAKENADDLMKQKVEFEK EKKALVESAAEKDLTLKKKIGTIGNIVHESVPVNDNEDFNELQRTWAPEGVKVEKRDV LSHHEVLTRLDGYDPERGVKVVGHRGYFLRKWGVFLNQALINYGLEFLDAKGYTPLQT PQFMLKEYMAKTAQLEQFDEELYKVIDGDAQNDKYLIATSEQPISAFHADEWLVNKDL PIRYAGFSSCYRREAGSHGRDAWGIFRVHQFEKIEQFVLTNPEKSWEMFDSMIAVSEE FYQSLGLPYRVVAIVSGALNNAAAKKFDLEAWFPFQGEYKELVSCSNCTDYQSRALEI RFGAKLQTEIKKKYVHALNSTLCATERALCCLLENFQTEEGFNVPEPLRKYLPGAPEF IPFTKELPKDSTSQKTKGKAGDKASKPKVAPVGEGATAATDKLKDLKV LY89DRAFT_682974 MEDPEQITCPFCGFRAEGDYQIMLHMETLHPENGESPFVAKENA SIAALVSLQDEEDVQYVNCPVDGCGEALLLTELDNHIEMHEEEQSHSPGESDESSRSP KRLKIEPRVEASFDTKLSYALRNLDEDDGHLAHEKSSHDVQTAAKNTWKSILKMPDSA SKAESKVVPIANSSKKRLGKSEIGPHAHEKQMPAWLVKLLEEDGALKMGNRLDSGGKI RRVQYSPNQTAGIVPVLAQLLEQNETTSYAYLCDPAVKHVSKLRREGGFCGYRNIQVL CSYIIGTRSQGHDSFGDKVPTIFDIQEYIESAWDIGINSQGRIETGGIRGTRKYIGTP DAQAMFCVLGIACSAQAFKPKKGSNSQPGSELLFTAIENYFINGCTDYDPKVRRTSLP PIYFQHPGHSMTIVGFEKQKDGSRNIIVFDPMFHDAADVTKLIGTEFTHRYPGDLLKA YRRGVKYLRRYGEFEILKLTPPKNQQSGEERG LY89DRAFT_612377 MATPQMSPHSNGVSLIAFAKEHARPANTFQGCSSINDYDILGKL GEGTFGEVHRAKSRKTGAIVALKKILMHNEKDGFPITALREIKLLKLLSHPNVLKLEE MAVEHHTKPTDKRKRAIMYMVTPYMDHDLSGLLENPTVKLQESHIKCYLLQLLEGLRY LHANQILHRDMKAANLLINNKGILQIADFGLARHYDENPPKPGQGGGEARRDYTTLVV TRWYRPPELLLLLRKYTTAIDLWGVGCVFGEMLIGKPILSGDSDLNQLKIIFDLVGTP TDETMPGWRSLPGAESMNFSPHPSTLSQRFRQYGSGAISLLAELLKLDWRKRINAIDA LEHPYFRDSPLPAKPGDLPTFEDSHELDRRKFKSQKAAPPPAPKGGTVGMGPAAAGGW GGEPTGNGHYVNGDSHNGHRHPNGSRYPHGDHRNGVPPPPPPGERRPAWARGRDERPD TRLPPRPPPAEYNYDGPRGERPENYRSRSRDLDRGPPRSRPGGPNVDTYIPSYGPDGG RRDDRGPRDRDERPRDRRPRDDRDDRPRHDRDRLDYDDRRSKRTRSRSRSPLPDRDRG DRPRERDPLPRVDQERDIYRR LY89DRAFT_731425 MAAPKHSIQIPPVRPLYRIAATGLGASMWFFLMYRAKKDGPALL GWKHPWDH LY89DRAFT_705939 MDESNGMPIVGARRNRSRSNGAANGHTGPLYRRDSNMSTSSFLD EVEMAQDEMFAGPMGESVPTSVSSFAHRRGRADSTTSFTYYQEDEDEPLPPSEDDSAI LDDESELNFEGDESTDLEAGELSTMRRSSSGVSRGSVHDRLLRSDSARTDGSTLGRGH RTSQKIYIVTEDLTIVVAGFRTSAVGFAMYATICIFTLGLGYLLFRWVPRWQVNIIGV PCPLRNCSWVVIENQWGEFVTQEVESKEYGRSLSTVFGTSEKRYIMSYDEDDDPVLED LKILDYRYMRFAFHPLKDRFMLSNSWKDPAWTDVKSIRAGIDGDEKENRELVFGKNLI DIKQKTIPQLLVDEAFHPFYVFQVASLVLWSLDEYYYYAACIFLISVVSITTTLLETR STMKRLREISRFECDVRVLRNSFWRYVPSSELVPGDVYEVTDPALTQFPCDSILLAGD CIVNESMLTGESVPVSKVPANDESLRALNLAASSIAPELARHFLFCGTKIIRARRPQD DKDDEAVALAMAVRTGFNTTKGALVRSMLFPKPSGFKFYRDSFRYISVMGGVAMLGFV ASFINFVRLRLAWHLIIVRALDLITIVVPPALPATLTIGTNFALSRLRKKQIFCISPQ RVNVGGKLDIICFDKTGTLTEDGLDVLGLRVAQRPSNRFSEILPDALSLLPGAAYERD PTIEYDAHKAALYTMATCHSLRVVDGELVGDPLDVKMFAFTGWSFEEGEQGGADIEEE EQGDLSPSIARPPAGMEYDLDDNDTAQGSGRSPIELGVLKTFEFVSQLRRASVIVRNF GSPGCDVYVKGAPECMKDICRVGSFPVDYEELLAYYTHRGFRVIACATKHIAKMNWVK VQKMKRDEAESGLDFIGFIIFENKLKSSTAGVLDELSQAEIRKVMCTGDNILTAISVA RECNLIDKSAHCFVPHFAEGDCQDPKARIVWESIDNNIFTLDEHTLTPLPPPAEGDAS LPYDISNLRNYSLAVSGDVFRWIIDFAPPEVLQRMLVCGQVFARMSPDEKHELVEKLQ SIDYCCGFCGDGANDCGALKAADVGISLSEAEASVAAPFTSRVFDITCVPEVIREGRA ALVTSFSCFKYMSLYSAIQFTSVSFLYASASNLGDFQFLFIDLLLILPIAIFMGWTGP FPVLCRKRPTANLVSRKVLTPLLGQIAICILIQAVGFEAVQKQSWYIPPHLDREKSNI TNSQNTTLFLVSCFEYILSGIVLSVGPPFRQSMAHNLPFVVTIVVALLFTSYMLFDPS ESFARFMQLTPMSWDFKTFILVLDWILDGGYLPHAVIRIGIRRQLRDRIEIIKSTSLE ESYKRKMKYVELLRTRPIAIETATANEQHYEVGTGVLQACLGPRMKYSSCLYPKGSET LAQAEIAMLETYVEKAQLKDGMSILDLGCGWGSGALYFAEVLPNSKVTAFSNSRTQKI YIDSKAKEKGLTNLTVITGNIVDYEFEKDSFDRVVSIELFEHMKNYELLMAKISRALK PSGKLFVHIFSHKTSPYDFEDGWMSTHFFSGGTMLSADLLLYFQRDLKLETQWWVSGK HYAKTCEDWLSKMCGSKKEIWPHLTETYGEKDTAMWYYRWQIFYMACAELFAYEGGDT WGVSHYLFEKPASN LY89DRAFT_473833 MSEIPRKQNSQTAPTPQNTPMNNAPISSHAQQPGVGTIKEEEFD RAAAASIFAQNPKLVQMIQGKLGSLVGRSSGYVESLPAPVRRRVAGLKGVQKEHSKLE AEFQEEVLELEKKYFAKFTPLYQKRAKIVNGAAEPTAEEIKSGEEDEEQDEAEPAEKS EEPSDVKGIPEFWLSAMKNQVSLAEMITDRDEAALKDLTDVRMEYLDKPGFRLIFEFA ENEFFANKTITKTYFYQNESGYGGDFIYDHAEGDKIEWKSGKDLTVRIESKKQRNKNT KQTRVVKKTVPTESFFNFFSPPKAPTDEDDDDAASDIEERLELDYQLGEDIKEKLIPR AIDWFTGEALQFEELDDDMEEGDFEDEDDEEDDASEDHDDEDESDEDDDAAKPKQEAA ECKQS LY89DRAFT_473835 MGSAAKDIPTVKTVNGLARTLSNSLSVTTSTSPTATYSNPNTLH SPSNFFGAPLSRTTTRPKILKPFIAEDIKILLLENVNQSGQQLLRDQGYHVEALKSSL PEAELIEKIKDVHVIGIRSKTKLSENVLREAKHLIVVGCFCIGTNQVDLEYAANHGIA VFNSPFANSRSVAELVIAEVISLARQLGDRSNELHKGTWNKVSAKCWEIRGKTLGIIG YGHIGSQLSVLAEAMGMSVIYYDVLNLMALGTARQVPTLHALLNQADFVTCHVPELPE TKNMISVTQFEQMKEGSYLINASRGTVVDIPALINAMRNGKIAGAALDVYPSEPGGNG DYFTNSLNAWAEDLRSLKNIILTPHIGGSTEEAQRAIGVEVGDALVRYVNSGVTLGAV NLPEVNLRSLMSDEQNHARVIYIHHNVPGVLRKVNEILGDHNVDKQITDNKGDVAYLM ADVSDVSPTEIKEIFESLEALPSRIMTRVLY LY89DRAFT_773062 MAHSYDVGTKAWQPDATEGWVASEVTSKVANGDKITLVFTLANG ETKSIETTEEALADSNNSKLPPLMNPTMLEASDDLTNLSHLNEPAVLQAIRLRYSQKE IYTYSGIVLIATNPFARVDSLYVPGMVQVYAGKQRATQAPHLFAIAEEAFADMLRSGK NQTVVVSGESGAGKTVSAKYIMRYFATRESPDQPGTRRRGADSMSETEEQILATNPIM ESFGNAKTTRNDNSSRFGKYIEIMFDDTTSIIGAKIRTYLLERSRLVFQPLKERNYHI FYQLVAGASDAERLELDLLPAEQFNYLNQGSSPTIDGVDDKAEFEALKKSLSTIGVTT SEQSEIFKLLAALLHLGNVNITASRTDSVLTPTEPSLQKATAILGVDPVEFAKWTVKK QLITRGEKITSNLTQQQAIVVRDSVAKFVYSSLFDWLVENINHALATEEVLSRVKSFI GVLDIYGFEHFAKNSFEQFCINYANEKLQQEFNAHVFKLEQEEYLKEQIDWTFIDFSD NQPCIDLIEGKLGILSLLDEESRLPMGSDEQFVTKLHHNYAADKNKFYKKPRFGKSAF TVCHYAIDVTYESDGFIDKNRDTVPDEHMAVLRASSNKFLGQVLDAASAVREKDTASA ASAAVKPAAGRRIGVAVNRKPTLGGIFKSSLIELMHTINNTDVHYIRCIKPNESKESW VFEGPMVLSQLRACGVLETVRISCAGYPTRWTYEEFALRYYMLVPSASWTSEIRDMAN KILTKALGTSNGQGLDKYQLGLTKIFFRAGMLAFLENLRTNRLNDCAIMIQKNLKAKY YRRKYLDARNAILTFQSFTRGHLARKRAQEIRKIKAATTIQRVWRGQKQRKSFNAIRN NVIQAQAAAKGFLRRREIMDTRLGNAAVLIQRVWRSRRQMKSWRQYRRKVVIIQSLWR GKTARRGYKKVREEARDLKQISYKLENKVVELTQSLGSMKRENKTLLSQVENYENQIK SWKNRHNALETRSKELQGEANQAGIAAARLAAMEEEMKTLRSSFDESTANIKRLQEEE KELRESLRVSSQELEQTKRNSSFHEAETVTLRQQLADLQDQLELAKRVVPAMPTNGEL TNGAAAQPQQNGLINLVSSKKPKRRSAGAEPREIDRFSAAFNPRPVSMAVTGTNLHRP GLPGSGFIPGVDNIEFELENLLADEDGLNDEVTMGLIRNLKIPAPGSTPPPTDKEVLF PSYLINLVTSEMWNNGFVKESERFLANVMQSIQQEVMQHEGEEAINPGAFWLSNVHEM LSFVFLAEDWYETQKTDNYEYDRLLEIVKHDLESLEFNIYHTWMKVLKKKLQKMIIPA IIESQSLPGFVTNESNRFLGKLLQSNSAPAYSMDNLLSLLNNVFKAMKAYYLEDSIIV QTITELLRLVGVTAFNDLLMRRNFLSWKRGLQINYNITRIEEWCKSHDMPEGTLQLEH LMQATKLLQLKKATLNDIEIIQDICWMLSPNQIQKLLNQYLVADYEQPINGEIMKAVA SRVTEKSDVLLLTAVDMDDSGPYEIAEPRIITALETYTPSWLQTPRLKRLAEIVSAQA IAQQEKLEYSQEDTNDLTDSELNGA LY89DRAFT_612396 MRSQPNIIVTGTPGVGKTTHCESLAESTGLKHLSINNVVKERGC HDGYDDEFKSWIVDEDKLLDAIEDEVKQGGYIIDWHACDLFPKSWIDLVVVLRVDSTL LYDRLKAREYPEAKLQENLDSEIMEVLLQEARDSYDEEIVVELTSNTSDEMESNVERI EGWIKQWKKDNAAKAQ LY89DRAFT_682980 MAPKQLNFITGNKNKLTEVKAILGETIDLQSQSLDLVEIQGTIE EISADKCRRAAEAIKGPVLVEDTCLCFNALKELPGPYIKWFLEALGHEGLNNLLAAYS DKSAQAVCTFAYCEGPGHEPIIFQGRTDGKIVPARGPTNFGWDPIFEYEGQTYAEMDK VEKNKISHRFRALEKLKKWLQDS LY89DRAFT_641807 MDDDFYSTDASDTPANLLSAWTYALPAPSSMLMTILLAFLAVIL ATRYLSERPSKLVDSGNGRTVGILPYWLPFIGHGFSLDLSPHGIFALNLGATTYNVSN LLAQKDSAVHFQSIAWIVVQKFFGVPKRSKAKYFKNWEEFNTYFIFLMKEPHLSNTLK ATTKNLERNIPQMLTFVDTEVDLQPWERFGKATYISDSETEVNLMALMRDMLGHASVP AFFGRALLDKYPELLHDVYDFDKGTYFFLAGLPAWTPWPASARAHTARFRLWQALDDH QRALDATAEGKPVDPSWGDLDDVSELIMKRNKLFRGKLNILPCICTTDERFEVKERAD LSILWALVVNANLVVYWQILNILAQPGLLDRIRAEISPYATVSKPFSIGTISEAPKLT ISHDALSKDCPLLRSTYLECLRLFNQPWSVRQIATDVTITGDKKASNPVSFVMHEGEY VTLPHDLHMRDPKYFKDPMAFDPERFIVHNDDGSLSTNVGTIRPYGGGPSMCKGRVYA EKECLTLVAGVLAFWDLEPADKRAGWEIPEQVKTSAVSKPVHDTRVRIKRRKFDWEL LY89DRAFT_474131 MGNNPSTNNRPSHQASPSPSHDSSRTSSTRRNPRNLIQAQRAPA EPSMAQARGTTTATHRTRNSQSHSTAAPFSTSSPSQSVNSPSYHSSDSQMGAQISKDE PKVEVRDQPSKPVDVPAPTAMNIESASTRSYSQSIEPSGPPLTQDMSYHLTRPPRLPL PIEEEVHTPGSPIIAPADVDAPALDIESLDHETLPRRSSALSNTTIDEEDAEELRVDK TGATVPTTFEWQQGGEKVYVTGTIFQWNKKHRLHPVPGKPGLLRAVIHVRPGTHHIRF IVDGLMQCSTLLPTTVDFGNNLVNYIEVSADDLPREVPVAVEGTGTPVSNVQAQAQTP PKNAEPKANVEPHATKVKVPPRTKPVIPVGKFSSQIPQYLVDLDKAEDSAAYQYAAAA IEKLPTPPSLPGFLGKPILNAATPMKDDNSVLTMPNHTVLNHLATSSIKNNVLAVSAT TRYKRKYVTTIMYKPTGDD LY89DRAFT_682982 MTWFSALSAVFYYCSLPITTILSWLLVPLAPFLYLGQYIIAGCL LPLTLLSKLETVYIYLGVAAVIGLFTGTVLYLSSSMLESLFNLTPSPDDNGRTAASVR ATREKEKLEQVWQSSIIKEEDGISMEKYAEWVERNTTQRSDDHGLLSQTILEEDDDSE DGF LY89DRAFT_780044 MIAIGLEGSANKLGVGIISHPGPGKPAQVLSNIRDTFNAPPGEG FLPKDTAKHHRSWFVRLVKQAMIEAKVSTRDIDCICYTKGPGMGAPLQSVAVGARMLS LLWEKELVGVNHCVGHIEMGREITGAHNPVVLYVSGGNTQVIAYAEQRYRIFGEALDI AVGNCLDRFARTLEISNDPAPGYNIEQLAKKGKVLLDLPYAVKGMDCSFSGILACIDI LAADLKANPNWRDPITNEVITTADLCFSLQETVYAMLVEITERAMAHVGSSQVLIVGG VGSNERLQQMMGLMARDRGGSVFATDERFCIDNGIMIAHAGLVAYKTGFRTPLEESTC TQRFRTDEVFVNWRD LY89DRAFT_580606 MEVDDFETATAAFLAWLSRLGIQISPKIAIADLRSSGKNRGAVA VADIEEDEILFTIPRSSVFNTNTALNDSQLSFLRDAVAEMPSWLALTSAMLVEAARKE SKWAPYFAILPQHLDSLVFWKDTELSELQASMVAKKIGKATAEEMFKHHIAPLGIENA SPTMCHKVASVIMAYAFDIPEIADKEDNEDQDEDAEELVSDDGNGEKTILSIVPLADI LNADADRNNARLCCDNEDLEMRAIRHISDGDEIFNDYGQLPRSDLLRRYGYLTDNYAV YDVAELSTHAILSQFRAREALHFPGHQALEPLPLPDLNKRIELAQREAIYEDAYDLSH PDSDGPSIPDELLALLYILLLDNENLAAIKASEIALPSRSKLSTGLVGQVLIKLLELR EREYATTLEEDELILQSKNLPHRKAMAVQVRHGEKQVLRKAIQEAATFTASDKRMRGQ KRENEVVASGTKRRQHQTDGDNKKARKR LY89DRAFT_682984 MRSQAAAGRATRVKKLAKNTAQQVLREDQLDSAEYSSLQTQSNI ETGVEKSEEKEYHLQAALKGGSGGSKDAEEIPAPPAEETPGLDYDALYPLVFHKPATY IRFSQTVEETTGCQYNMTSEDDTFLKAYNHKKSAGTRCSEDDFEKIMEVFEDTAADQV PYASLDGTVISFDGMKMSIKQQIHEKAHPFAKDIYEHWRICRQNAGNHPLQPSLKVEK NIEKDDGDPYVCFRRRDARQTRKTRARDTQSVEKLKRLRKELDEGRQLIDMAFQRELT KRELLKCDKSVFEQRAKVKETKVKLGIKTDDEDLINQRPQKRKMTDFGQLQRPPGSQL RLPGRSDGRPLDADLIMLSDLMAQKENTLQIEIEEKAQQHRKWNMGHVDLTREPLSPV HGQGFEAGFRPATAQYQYLMTPPSSVTSESFDQPSPSLEKPEPCALGYNSPSEEEEPR GQPAYRRRIGRGGRLWIDRRGMSSAAKLAEATVSDRWKYDQDDDDEQPVYEMDPYDTK ALRFRATIPYPPHLFSQRPRPEQAGPLARVAGNSPAHNRAITAAGQQPQQAPP LY89DRAFT_641821 MGNASSAVLENIVQGSNFDRDEVERIRKRFMKLDKDNSGTIERE EFLSLPQISSNPLATRMIAILDEDGGGDVDFQEFVSGLSAFSSKGNKEQKLRFAFKVY DIDRDGYISNGELFIVLKMMVGSNLKDQQLQQIVDKTIMEADLDRDGKISFEEFTKMV ENTDVSMSMTLDQF LY89DRAFT_474363 MSQSDACHMAVEIKLFLGSSRTQREPRHLGSVLLQCRCLEMTSP EMTSPEMTSPEMTSPRDDRNEDARPTKYAIKRKISGSESPVTKQCWESEVESVKLLML LNCPTVRAQLAKVDQNLTRRGTLWAIANQ LY89DRAFT_474394 MGNALGIFGSLRSIRASASAQRSPGEAVECDIWAARVQKMMMHR TKEGFTDAKSTPPPAWMAPILARGMQYCTWIWVRADLFQTDREAEVSVPAELVPVDPD PGPEELDAEKSSWGGSNRFLILFSFLFFFPSTFFLSLFLGSPLRIDKEEQRHVRRLYL SSTYLTYGTRSRIIALWLDPMAPTLTTTSPAMIAMRTGAAVAEVSPGRCGTDEKKKVP G LY89DRAFT_579748 MDDNSRRRRQNDPPYPGQDPRFGAEQGQGRGFSSSSSERYRPAP ITTSPSTARGAGGATAYSGYYQGPSASFSAALPQTTLQYQPGYTQDQRQQSFATYNPD IMYDVAQQAPQNNVYDSTPQFQTRQPAGMQMLSDVAAPYFPNESTSAPGPPGLQHHTS SGSSNVYQQHQQSPADRGPLLQQGYSGNAMAMGAMPQPAPEIMEEDDYQAQGPGMEAA YTAYQTALKEIFQNIINGRLAEASQSLLEVSEWLLGHVGDLGLTVDEVALHSDRIRLW GEFNTAWLSIFQKQKDMLESGQRIQHPQTLMTQEYINKMAKDLIRMCDAVEKHGLVDY QYGVAEERIIMILSECLDLQESIEEVEGSSAANPGLGRVPP LY89DRAFT_474358 MTSLVLPSLKRFLASGNQVEVLESSLWLLGIILCDNEARNELFS HTNASTLFYICLNSMYYLHLLCTFSASCSFVYLSRYPFVDEAYFQVLGKWLAFWLGGK SMTKICTGRHYEEMAGFHERSEYAGYGIDQPQPLRVEYISVDLHIRKRNNNPTSLR LY89DRAFT_716637 MKKIAWLPAGLRGNLQWTQCPRAIISNTFPRGPGFQAPKNQFST SNHLQASIAAPEVRFKNQGSEGDELEGGAVFNARLVPNSASYFTAQPIFTDDLLKLQN ILKRYANLPVLKPGDAPRVAWRSLADYRNLVGETVKAAKYSKIVEVLHRLNHIHPSLM PQEVQEALNEYKRDVNPYQNQAKLGHVNTLGKALGVGRRKSSSARAWVVDGTGEVLVN GKTLTEAFGRIHDRESAIWALKCTNRVDKYNVWALVSGGGTTGQAEALTLAVGKALLA HEPALKPALRRAGCMTRDPKRVERKKPGHVKARKMPAWVKR LY89DRAFT_579731 MAPFGKLYTYPGNPRSTAIRAVAKANNVDLEIVETEPAKGVSAE YLKINKLGKVPTFVGADGYTLHECIAIAIYSTWKLFTPLTFLSRLTSQNEKTTLLGKT KQDYASILKWMSYFNAEVLPTLGGWFRPLIGRDPYNKKNVEDASKAALKAVGVVEEHL LHNTYLAGERITLADLFAAGIISRGFQFFFDKQWRAQNPNVTRWYETVYNQPIYSAVV DKLSFIDEAIKYTPPKKEAASKKEAAPKAAPKPKAKEVEDEEEEEVQEAPKPKHPLEA LPKATLPLDEWKRQYSNNDTPDALKWFWENFKADEYSLWKVDYKYNDELTQIFMTSNL IGGFFARLEGSRKYIFGCASVYGVKDDSVIQGAFVIRGQEALPAFDVAPDYESYEFTK LDASKPEDREFVNEQWTWEKPITVGGKEYPWADGKVFK LY89DRAFT_731444 MAENLQTVQISSTTTSVSQAVVSAKKRSPPAKARTQTAREARDE KINPSTASGGIPNPTAKYLAASDVVPQLLPQPQHLLVIIDLNGTLLFRPSRKQPTKFI SRPNTAPFLQYCVDTFSVVIWSSAKLENVTNMCDTILTPNLRKKVIAVWGRNKFGLSK QDFDLRVQCYKRLTTVWNDKGIAISHPEYANGRRWDQTNTVLVDDSLEKGRSEPFNLL EVPEFFGNANEQGRILPQVHDYLNYLSMHSNVSACLRDNPFKPLLPS LY89DRAFT_612429 MSLKRKGTVVDLQRRVRPRRETSVDIELGSDNELQHSNISHDSS SVHCGSDQVRSDSDSDSNGTEDEPQDGVASISFGALAKAQATLSHPGKKKSRNDEHND HEVWGNEEASERKTGRKDHRDFNRSSKHAPTEVSSKKAVSRRREVVPVSKREIRDPRF ESLVGQVDESKVRAAYSFLDDYREDEMKELKTAIRNTKDEDAKEKLKRALSSMESRKK ADMRKKKEQEILNRHRKEEKDLVKQGKKPFYLKKAEQKKRVLLDQFGNLKGKQLDRVI ERRRKKVEGKEKKNMPFSRRGAK LY89DRAFT_612431 MAGKRLLDVAALFNATRGVLQKHAFLRSRQFEVYNRTSTLAQAV RNQTDRVTDTIKAASFLASRLNEDPPTWTSDDNARTKADGDNSIPSRKSTAGPPRPPS AEGIDQDHFYEPSTGNSAVGEPPQEELPVQQEKADRYPLPDGTIPPSESDLDSHELDH EVISNVSRVEPSKKPSRGDGIKPVSGGESTIPCPVQTVSSPEPAHQAQIPARTVDALE ASVSDPLNNGRDEDSFYQHSTCSSPASSSLPGVKVPEHTSSTQGGDSHSANRHINSDT FYTQDNAAAIPSVQAIPDQQQLPDGVDTDLFYSPRVASMLGGRTMNNKLRGELHLEAA SNTPIDRTLIGKDKDQDTFNVRPPSEDTIQDTSNPPSSEARVSLHHSAVEEDSIEGLA NNISREVDQSSHQNETYATAERNSYALRESKVPSTRLSRIWNYGGLAAGMFGGAITES LRRVSGSGGQGSYMLSAGNMERLVTKLSRMRGAALKLGQMISFQDSKMLPAAIQEVLQ RVQDRADYMPASQRDKVLTANLGSDWRSLFTTFDEKPLAAASIGQVHSAVLKSNGARV AVKIQYPGVADSIDSDLSNLSILLTASRLLPKGLFLEKTIANARIELSWECDYIREAE CGRRFEALLADERDVFDVPMVYPEASGQQVLTMQFMEGIGVTRVQSFSQVQKDWIGTQ ILRLCLREITEFKFMQTDPNWTNFLYNSKTNRLELLDFGASREYPTDFISKYTRLLAA AARSDRQTVRDLSIELGYLTGQESAAMLNAHIASVLTLAEPFLDSSPELYNFKDQTIT DRVKEQIPLMIRERLAPPPEETYSLHRKLSGAFLLCARLGSRVRCRELFKNSMSKVEL L LY89DRAFT_612434 MDSSKTTALVRRSTDSELMPPPPKRIKRPKNVIDEESYTEAISE IIARDFFPGLLEIETQQEYLDAVESKDAAWIANAGRRLQHVMTPGRHKGRRGTSLHTP LRASDTPRSFLGDTPMSTVSDVTSSTNARAAPEIDTNMSLDKFQSLYTSEDNESFYRL LDQQNQKRAEKYAWMWSANNKLPSKMMLKQKEVETKLLMSRESLQDDGGEKDRLAIYD SLEKPARPDAWKSKLNNTLMFALESIEDSVETSAQRAQNVSKAEPKGVSYTNTRMPPV AVAQHIPSVPLSPSLSAVRDAIVGRKRASDLDSVQNGSETPRVNGYAFVDDDPEYEQN GATPIIDLGKGEATKNPFVIKHQSKREDLHHRMVDKNAREKRSAFTSGFTGKVDSTPV PKFPSSPRISSGQLTPAAQRLWSKVGGSSQASPVPFGTQTAAKNKSGLRARWTPSSK LY89DRAFT_474570 MVVEAEYDGARIDDEMTPEFISDMLERFKNGKKIHKKYVYQIIL AVKKIVYDEATMVEMDIEDDSQLTVCGDTHGKSIIVNVRIRVLLKCFRPILRFDGALQ AQWPSHRKTPLPLQRRFRRSRLLVNRDCLITLCVQVATPFFFLHQSRKPRDRRHEPSL RLRRRM LY89DRAFT_474568 MHLSRSNVEKRESGSIKTKSNEQDLPFALRRSTVMETLPEDSAH KDTTSKTKVQSQHRGHEIASKTIKAPPFSYVCLQLVSDLSRPPELDLLSVRSYITAGL TQFLGLTGSAIAVDILKVDQRACWIRVPREDLSPVIAALGGWVGGTESEGRVSWKVKA AGNWLGALVAQADCQDVWNE LY89DRAFT_731450 MLRKTLANARSGTLLQKYAPLVSLFLGSASFILTLLVVIPGTKR GALENFHLITLNTSNIGAEAVTFTPVTAALREDDKHESVTATIWNSTSGRNSSNLTNS TTTENNSTTSARPSSTPATNLTASSSSYWQAAQPVTTTSAGDPVASAIQSLTAGLENS LVDLEGDIGTFIEGLIQNITQSIESGGEGGISQFFDNIFQNLTAGLTHGSSTGIGALL DGILSIGAGNPLAASGSSLTQLFSDLVGNFTAGLDNGLSKAEGSVAQGIVSALGIEEF YSIHLRDVCAGSLSNAADPHARFNISNCFSYGEAASGLTRLISNIPSSTTVLTTNISI PAIAQVQSISVYLGNLTNTLDRTIFAFYLISLAGSAFVVLASLLQFLLPSSKWIMNTN LVASSIGSLFLLSASATATAFITTANNIINLFGSALGLKAELGTGFLILTWLSFVVSL LETCTLLAVWFVEFRTISVKVQRRSPQDFGNWRRFGVLSERKEGFVESVGELPTETEQ ARERNIAARRLSSRHTRRSSKAANF LY89DRAFT_695732 MASKIILVTGANTGLGFETIKSLCQSSKSYTILLGGRSLEKANA AVKAAQTEFPGSPSVIEAVQIDIEDDDSIDRLFNQVLLQHGRIDILINNAGALLDQQF YQGKMTMREMWNKSWAVNTTSTHVVTHTLMPLLLKSSDPRLIFITSGTSTLAESGNTL LGVNHSPSKGWPKPPNGVPAYRSTKTGMNMMMREWVRILKDDGVKIWCISPGYLATGL GGSQEVNKQMGAIDPRIGANFVRDVVEGTRDQDVGKVIRKDNQCRARKVRCDRGHPCR NCLRRDEAQFCNYESSSIGRHAAEDRLSHLEATVKQLMRSQTLRSPPVGLDVPDPENS TASTQALLDLPPDSSIDQPRGADADNNRYVGSTHWSDILNDIHGLKEILARSGEVQDS GESIAFEPAYSGDELIFGTSRHYNVQHIISQMLPPKVEVDRFLALYFRGETFIIPFVH TFYFQRQYNAFWADMGNVNPLWLSMLFSICCLASLVGEHHSSQDELITRRSILHAAAG QCLVAGEYYHPQPFAVEALAMYGHCKNLRTLDPSREAGAILAIVVRMAYEMGYHRDPD LFGNFSVFEGEMRRRFWAAIKQMDLMSSFQLGLPTNISPEQSDTKSPRNLLDTDFDEN TRVLPASRSKNEVTRLLWFIVKDNQMHSFYKVCQHALSFKDKSEEEIRNLDEEIRRMH DMTPEVLRVRPLSESIVDPAYLVMTRIFVEFIYLKSLCALHRRYMARGNVFSTGACVE AGTTLVRQFIEVYSEFRPGGMLYMERWMLTNFTMNDFLMGVMVLCLVVHTRWRREAQE PMIDPAMEDEAKGLLERSFEICSEKSSVSRDALRVSHAIRLTLNGTNHWKDTTNTTVS HPSVLLVRSPGLTHRQVHGNVFGDEAAFGALDPFNFAGHDLESIDWSIFELSNSLPD LY89DRAFT_682995 MPQPKADQHLVRIVVAALNPVDYRLAEFQFLHRTTFPKPASPGS DFAGYIVKPAQGSALKPGQFVFGAAGTNFMCGGALSEYGIANTRATTPMPTGLSTSDA ASITVAGLTAYQAILPHSKPGSRVFLNGGSGGVGAIGIQIAKVKGRHVTVTCSTANVE LCKSLGADEVIDYKTQDVLQTLKASPYKYDLVVDNVGNDQNLYWKAHEYTNPGAKFIT VAISHHFSFIRFVTMANLLPKFFGGGKRQHLIVIGEPKGQELEQIADWMVEGKIKAVI DSKYKFEEVREAYKRLKTGRAKGKIIVNVAPEEECTA LY89DRAFT_780061 MHTALVTSWDHLPTYAEVETPAFPSEGSDIVQIKLIATGLHRLV KSRAAGTHYSAKTLPHIPGVDGVGTTPSGQVVYFSTIGTGGSFSEVINVPKKDTTPLP VGVDPLQAAALVNPALSSWLALAYRTFNLPENFTAVIHGVTTASGAISINVAKALGAG RVIGVARNAKAMEALDLDQRITLREKIEETDFSTLGDVDVVLDYLYGAPVVHLLKSLN SKRPVQVVQIGSMAGTEAALPGAVLRSKDITLRGSGPGAFKISDLVDSLPGLLNVLKS VPERDLRVVSLVDVKKVWNQGGERIVFIP LY89DRAFT_612447 MEDPRDNKERNVTDIAPDGDVILIIGLDKVKLRVHSLFLKTASK PFSAMFGPAWKEGHELLDRNEPVELPLPEDDSGALKLICTVLHHQNDAVPQTLAAGDV LGLAVTADKYDCVDALKFASRDWLRPGQNEAGDLMLLTAAAYLFRNAQAFKEITKALI LNHHGPYLALSSKEIESAIT LY89DRAFT_474739 MRFMTTALLVFDALASFSSAASNSSCDTSTDACVDVLDNSHCQI TAVDSGNKTAFLECFQGGYSSLAPVTKICQCVGCVGNPIDTFITNNTICT LY89DRAFT_641853 MLALLRLLSKHKAALFVAAVASTASLLFGYDTGVAGSVVALKSF SSEFKFSTDAAKAADISSNIVALLNAGAFFGALAPAISSRYFGRKIVISVAACFLLLG GILQTAAQPLRLSMIYGGRVISGFGVGMVSNLVPLYVAETSPKELRGLLMSLFEMFLV SGGLLAYWTTYGCSVHLEPTSKQWRIPLSIQITLAEIVLGGSFAIVESPRWLAKQGRW DEAGATLAYLRGISSDESELKCELAEMYAQLDEELRTTAGRSVKEMLQRANFFRILWG CGIAFFNIWCGQNAILYYAPTIFKRIGFRGQNPALFASGIFTVIKVVVTIAFLVLGVQ NFGRRSILSVGSFCMMAMLFSLGAVLKTHPPITGQSINNSPSGRAKMATVYLYIIAYS MSWGPLIWVYMGEIFPTRIRDYSMAVATMVVWFFNFVVSKWTPAMILHIGWKTWIVFG TMNAVGFLFTLFLPETKSLSLEEMDVLFHVVDQSTRLHDIEDYIATPQPEATNKSEL LY89DRAFT_612453 MPITKSCISPPIEAGQSLLDAMQLPPQFTNALEYVSSRLARKRL HLSLIVVRKDVQIPGSPFAQCPQSPATFKRSASKSSLSSVSSSSSTSSTASVSRTIRP SLPTSPNPFGVSLMHASTLTEKAERILQHTVAKAEKKFSIGSGWLSSQPLPTLQASST NDLIRRSLIQNEVIFSSEGLTLLSLDHVYTFKSQLHTYSRSLAPSDLTCAVDELRRLV LAQGGRRVTKGYLMRAYDWLGISLSALVDVNEGYKFAYGGSQRFGGIEVQNEERRTPP PLNTFFATRDLKLMNTYVAYEDQSSEGTSSLGSASQEESVVSRWREDRGPHLRGPATP NGFEDLTPVTQEEWSFLINSDSWNGARTVAIETC LY89DRAFT_474803 MSLDPAPYQAQSSPNKRKRLISDFFASKRQSPGTLKATSEPLPL VTPAVPGLTVLREFVSKDEEEQLLDFLNDPAKCTWRTDLKRRCMHFGGTFCLLPKKKA APSSDHAASPGEHETRSNKPQVLQAPPMPFELSWLLDRFVAKGVFGADQIPQYCIVNE YLDDQGISAHVENFSFGEPVVGLSLLSPVYLRFHELKKEDGGSVRSGKAARAEKTGRV KDVHLEGRSLCVMRGESRWKWQHEILRTKKGRDLGWKRVSLTFRWKKGG LY89DRAFT_474794 MSTTPKIAIIGAGPAGLTLGAILQKHNISFTIYDSDSSPNERNQ GGTLDLHPRGGQAALVEAGLWKEFTKYARPESDVLKIVKNDGEVLWDGNTTDKREVPE EEKFANRPEIDRSALKEILLGAVKPESIRWGKHLKEAIAGQSGKYDLHFADGSIDKDF DLLVGADGAWSKIRKLLSDTLPTYSGISLIECWTYDVVKENPWMAEYAGAGSCYSFGE GRTIQIQRIGDGSVRTYACLRKPESFLKDCGIDWTNPGPAKEQYVKEYFDDCGADLKR MILESKDKLVPRTLYQLPVGFSWESRPGVTLIGDAAHLMTPFGGVGVNAAMMDSLSLA QEVVKFVERGEGKSLAEVVKQYEVDMFPRGEMFAKKTMDNMQKHFSAGGSEHLAGRLI AHYRKPASTS LY89DRAFT_641862 MDEAPRERAISSEPCSGRPNPFDDTTDQTSRKRQRTSRGGSRSR SVDTVPLGVTVEESQMPPASSLRGEPASTKAEADSPAPSTPTRASSEQAPAEPTSSRV TINLRTNRPLDPIPSSPPSPATPSKMPAGPSDNGARASIESESDALSTVAMETPTSSP SAMGSPEIELVTGDDDISEYEHRNPPVSIIDDDVVFLDPLSTFPYYAEGAETLSTAVT RMSRYFQYDAVETDEAFVKMRDFLENVLHSYRHLDSFYETFSKNQEFFAQLPDVFWAL TWRSRFFGEFLRRNRDGRGALTDMLCQMARLAGKFVAMDVRTLSYRAQQDNEDLEPDL SRNSLGALAYLLREDEVNHLGRNLENHYNWNWEEDVAQMSDSFQTEGGTIPALTKLVQ GQLRLISRFPKFIDNLTDPCRLVSRIVSDASRYIDMADVSQQQTVDGAKQKVFQGYEF FRVMSAGLETIIEKHVTFLSPDAALGHLNCLHNILKRNLQLNPKAYRDFVEQQRRDHS MLTRQQAPQAFSLEWKFGILKKLITSAQMQLRVTGVTTMCADLLALYSKQKNNDVSHN PYLLYPAEFIIQNQLVDYIVGIGSHPEIINESNNILGFLIVTKTYKAKQTDMIWQTVM NSQDPRVVEAILRMVNRCHNLYDYRSLLYLCKKISDVPLSSFSGAMREYCSNLFLQLV VKAHDASVAYIDAPPYELCVRLIREASVTTLEYSDGYVDLQNFATIKFRELLGNGPSP DVRNAIYLGCIQDISTKTASTAGSICVINALLRAPGVPPGMSTDLHVLTSEHGLTKLL VDELESVISVCGSEPIPNSPASTARRELLLAIIIEKPDSISPELGERLWNALVGSQSK SSAERNISWQILNTAVQRSSTSKNSFLASCFRDHLPRLPSYCFTRGALDFAHVAVRSW LEEARHDFAEEGSKFESPALEQLWHMILTAPANTIDAEAIKILVGVFVDSALILSIPR PIARHVHLTLVDRCLKQLKGAASQLKAFSDGASSGSDEGMVIVASEDQFQEQEKTFAR SLAVLREFLRAYQIKPQFASPKSRSPIAAATTAVEGELLTLKYQSFDGDKQTEIKSLA LGKLNTAASLFATLQKATGFKNYKVYCGGREINPDEIEVCKSLEELNINGLVLVRSRE ASDIHLTSKGSLEAEITKHFDDLWSYLGMHEKVAQEIYYFLIKFPVYARMVKDFESDV SYAEIFPSGQPFKSLYAIHCLRDHITAQSKQGTLQEETLLRAIQLLVSAISDPKVLDQ CGGDSLKDCLALHLLDCFIQLLKESPLPKSLNSLLDETLLERLLQLLYGAMASATSQN SVHLTWRSFEAILDAVSHCSDLWQSFGAHLSNKTLVRDLLLDDDRSAIRKSVVKHVTQ KCSSSPSSSQLTTNHFAMTFWPKIAALIPVATQYPERCEETFTLALTLFNKLANADTT AGFFNLNKLVKEWGTLLLAYSCAETVGHLESVDMVAHGLANLLLSAAQRAKSSRQVLA CGSIGTELFRRHLFPEFSVSQLSSDVVVPRIPLLNPATRQILAETIYFLVKDDERHYQ EVVASMSGLVPYAPGDDGPYIYDMSFQFERAKSIRSHTGYVGLRNLSNTCYLNSLFTQ LFMNIPFREFMLQAHVSNGLTSQTLLFETQQLFGHMQNSFKRYVDPANLASSIRTYEE TQIDVTIQMDVDEFYNLLFDRWEGQILSPDAKRKFRSFYGGQLVQQVKSKECPHISER FEPFSAIQCDIKGKSCLQESLQAYVDGEVMEGDNKYKCSQCDRHVDAVKRACLKDIPD SLIFHLKRFDFNLRTLQRSKINDHFSFPKTIDMKPYKVEYLTDSPEEILEDVFELVGI LVHAGTAESGHYYSFIRERPSTSDKDAWIEFNDDNVSPWDPNLMESACFGGPEYRGPL DTGLQYDKTWSAYMLFYQRSSSLTAQYQSLERTKMTSPVRLQVPTPLANHIAMENEIL MRKYCLFDPSHATFVIKMLSNVKQINGGRCSALHRMEKDSLNAAANHLDQVFSRTKDI PDFPSFMMAIRQICHNCAECCRDYLEWFFDCPEALKALLLRNPDMVVRNDIAASILYA LTKVRLEAAYAYGLGDDEDSVDDVEDSDPQLLQRMVKALSKLFEVFQTHVRAWPEYFG LIWSIAKIGKREATLLLDAGFLRKSLEIVSADPLLPLSVQYQKMLNTITKRMASRPVA YDSVIGLISTLMQTCDVTLDPVADDDERLDFAVHDCPIPFTVTERHLIMQHWTRNQAH ILVEKLCMIEQNQAATETILIDLLDWPEALDQNILAAILYGIKQRMHTRSFLRAALVY AEHSKNPKAFTTVYMHAAKIAAHVDGDGKEFLQFFKNLLDIEGENFISSQEEIHKLSL DQLHIWAPALLTDPDQSVREATEELLEVLVLTHGPEIDFGGPDEDQAQTALVVLTAKK LGLACLDYMHERFIRQRQTAVRTMLDPIFNVVEKIKEFFDPEDRDDVYTHRFFEQSSA VLPTLKKCAVEEVEDDMSDWDGSDDAYDDSSEPPMDRLGDLCSQDPL LY89DRAFT_695738 MERKAKTIFQLDTPFTAVEWPKISDKDQKVLFDLLCRILSPIGQ YRNDHVMPSKGKRSQKRKRQEAKEKAVSNSCTEAVEPLDVPPPPEIQKHLVVGLNSIL RHLQSLSRASRPEQADSRLDANTEEEETLIPDDSIPLQHFSVIFALQPAPTTPTLLTT HLPTLIYTSSLAHPSLPPTRLIPLSNPQTTQLKTLLSLARTSHIGILSSAPGAEALIA VVRETVPEIKIPWLDEVKRGEYLGVKINAIDTFVGVSKKESREKEAKDHT LY89DRAFT_475031 MLILKVANGPVLSEQAPARTPPGRERIVTNMTADDPTKPWIPTH LRQPSAFGAICRMADMTGMKLEELTTDGVSIPGIPRNRPVSPNIPRASAIQHQMPPPA PSPAVTTQDEDDPYGWMAAIVNGPDMSDFHVAPTATVAEPARVASYSEMDVDMADDSA PIVSPRTFHIGTLADSQWASTRNSTFQQSQPVSRGPLSSSVSGQPLNSFSNSTENVKP TAQNVPSQAPSQSNAPISTVLTRALAEQKPDKKLATLKDSRWA LY89DRAFT_562687 RTRMRAAKACLACRSRHMKCDSVEPKCTRCQLDAKTCIYTKSRR GGSHKLTPCKESPRMRDTSTASNGRTPGLETDFGSFESLASAPATEGLSYTNLYDDEP RNEPQEPDLISLYYRYFHSGHPIVLPSAHLLSRRKTNPSSLEHLIPVMEYIGAKFDAK IDSSKYEQIADKAISLAKLPANGFSVQTLVLYALAKHADDWYDIADIYVDRASNIALS IGMERREFAALHGEGASVLEESWRRTSWTLYMTDAAFAAIGHRPKHRLQNVDFVVDLP CEDLEYETGDIPSPRTFEEYDNREFLDEEDIIFSSMTYLIDAIRIASSTMSFKHTPYL SDSQGIIAADAKFVNWFLYLPRCKQEAVNEDGTVDETMFLALITLNIEKVLLHRPYSA LAFSDIEARSKCTPPADQRQSRDMQRSILLHTAKVLEATTSTIMMFALPAPFIHHSPL AICALALAVMAQVSACKHVLRLSDKTTTDEKAKCRAEAYGIGRDRVRLGLGALRSAVH VWGLARRSVREVVGVSKELL LY89DRAFT_773108 MATSSPSAPVHPLRIQKGTPSTSPTKMTGSSLPRPLSELAPTEK RRNSPSYNQATKKMVLNQDSSPFQSSPFNASEGNSSPRLFWNGRSPTTPNRINTENLF AGRESSPSPTRRSSIERLQKASRVKNSNMFAREQKQEYDPASVPVIERPLAKQLQGNA YGGAGLDGLRSAERGRGFGHQRNDSKSSIPLYSSLYSPNKTPLKASANALHSPSKDQI SPTKSSLASGRFNGKSSFDSENGTWSDEVSGDERQLPPGRILHRHAKSVTFDAAPPQV NEYEMATPDMSSVGTGSRENSYDSGEDEDEDSYNRGDSIEQDDSFDASLEDTDKTPVV GPDDWRHASPGAHDTGIVSGRFEDPFEGPEGSPMPDARPSSSLGRPTASRTDSLNSNG EHRPLPPLPGMDNHKRSGSTSSTGLSAAAERATQRGLPSPPAPASISKSEIQGMSGGK MTLEERLRLMMIQDEEKPKTEAEKQRERRMRRAGARERSSQTPERDPIHIHEDEDTLD DLPGLGTYQLPPRISRESILRKVNGQEAYTRESDYNFSSPMPSSSPERPTPLDPDTPI PSTEDQSMIDNEDSVIIKREPEDEESDVDVYSIPEMYQRTESRMDDYNENDENLVEEL ESQFSDPTLPHQSPQMQSSSAAEDDGPPTPRPQSPQAKATDIAPVKQDNRESLPEFSG FLSNNDFGLSLQSYMAPSPPPKDEQPAIDAPKMAQAHEYLQRPETPQERQVPAYKPEY DGSGWGPEEEDEFEVGTPESVIRHQAPPSPARDSPSIPEQVATIKSASGSKLKTRPSA TPSDLIVMREMRRQVSGETRNIPPIPERHRNRPSLSADRELEDPEYVNAGVERQPSFK RSLTLDIGSDIGLGLDQDFDRVIEAQKVAFDLSSSQSTSFHKKGQASATDTVSHFNIY ANMTPRKQRGYLMRQNTKLVVASSNDGMGEEFRGTRSAGNSPIKQDRPQSWTVEPWNG QMRKNSIRDRSGARKKATTGPVPPMPGQESNVAGLGIVSEESMVEPVPEDGGERGRLF VKVIGVKDLDMPLPKNEQTWFSLTLDNGVHCVTTAWLELGRNAPIGQEFELVVPNELE FQLTLNAKLVKPPPKRVVESPTKTHKAQKPSTFSRVFASPKKRKELEMRQKEEEQRVA EQNQRDTQAKRMSVQPTAWDLLSPLAAEDGSFGRSYVCLKDHEARCYGRPYVVDVACF NEWATEEANHKSSVMSKRGNTNIQRRAPYKVAKLELQLLFVPKPRGATDDDMPKSMNS CIREMKEAEAANARNWEGHLSQQGGDCPYWRRRYFKLTGSKLTAYHESTRQPRATINL ANASKLIDDRRTLTQKETTGKNGKRRKSGFAEEEEGYMFVEEGFRIRFNNGEIIDFYA DTANDKEGWMQVLDGCIGKEGSGAKGGWCDLVLKREGSLKRRNEQGTTRKTSRNHQRT KSMVV LY89DRAFT_475246 MIPIFSQRSSHHNRIPFTNSTPCNYKSPNIPAITIPTHPSVILL SPPLLCSPPGPVEDALLLAELEFVLVGPTVVICVVVVGPVIVVPPPPGKTQVQVGLAL HGKGPWVPVHIVVWPWHLLSYGQVVSVVIDVTVTVEFEKHPCMRTRRTKLSCLSSSTR HQYKMRRYWR LY89DRAFT_475226 MSSTVLALSTPTPISVSTSQAWDNDGAGLWSTFVVQVGTPAQDS RVIVSTAGDETWVVLSPGCPSSYGSNCAFNRGGVFSVDNSTSWVNIALYELDLELNLG YTGNGQFGYDTVTLGYPNSGGTQLSKQIVAGLATPDFWLGQFGLDPTPSNFTTLNDPQ PSFLWTLRNQSMIPSTSWGYTAGASYRYDKVQGSLTLGGYDTSRFSSNNVTFDFYNDV SRRFLADLRSITYIPTGVSTVTTSTTLMSETISMYIDSTIPYIYLPIDICAKFESAFG LVWDDTDEIYTVNSTAHALLTNTNPSITFTLANTAGQTLDLVLPYAAFDLTASFPVIS SGANSTNYFPLKRAANDTQYTLGRAFLQEAYLIADYDRSKFTVAPCVWPSTFTENIVA IYPPGANHNSSNTTVTITHGSSSSTPIGAIVGGVVGGIVLILAAILAYWWFVYKPKHK KSAKLEAPSSTTDVETGLTTGVNVQDRPELDASTQVTELSAWEEAEKKRRAMSEIAGT PLLGHEMDSHAGIGNELDSPQVFEMPAREPVGSELHTPQPSPRQSPILGEGLISPRSQ SGGRSPLSLLSRDSSRDPTREYTRNRR LY89DRAFT_716658 MLLRSSLGKFVFLISGASCARQHFPRATLGSLNATTTSDLYPIM PTCCYLDSFGVGLVLWWTSSVEVTVATISTIVYQYDNTAVTSYKTIKANNTQSLPTGV FNNFGTITISGVPTNIIGDDLAGYEADTTLITGTEFTDPYGVVYTSPTPVWVFSEVTY YTEAPTTTGSGYACPTPSFDGVGDGLQVYPSGYFQADNDTSYWQNNTGEIFKAGIPIG VKYWVEDHIPPNNSDDTYSEIGNCTMGFGDGIPTAHIPVTELTASAITTTTMTGNLGG ISSTEASSVLPASSTGPGETNSSPGLGSDTTTGNPPGGTESPSSSSGVVTLRTWGQKL WLRKLGIRDHSGSGGGSNSASAGNGNGGGSESTAAGAGSKSSQTTGVGVGSGGNGITI TSQPSSTIAPIFTAKGVTFTGDSATGFLIGSLTLTPGGTVVVSGSGTASLITYVLPTS GSAVIVNGVTEALSSKTVAAATTTPFIVIGSSTYIANSAYEYVIESQTLTAGGVVTAS GETLSLASGGQTVVVVSGTSTKTESLGGIIASVGGFKTGTATPSYITASKGGLSKILD FWVLTAAIGTGLAAAWPL LY89DRAFT_731469 MVAPGKKIDCDCDTADHTEPKQCEEFLQIADIIDHITIIAKVMV GSKTINVAVGEGEQMQVFEVHRDVLTLLSGEAGTRIQDAAEPDEEELELPEIKPALFA DFISWMYSGDFLQAPKIDPNTSAEHIWTMGQFLQAPSFQNFCMKTSIISDYRDEKRNW MTAASLKSVYRVTKKDSKLRKLAADLVNCLQPHREGTKTQKDSLAELRKTCSDFDADA QPSRDKKWKGKNGVFPWDLQFREDYLEEETPLDEAWERQILKNTSSRTKIRDMAKTGE VHSSLEFIHLEFMKAKRKGKGRSK LY89DRAFT_683008 MAPLDDAEQYFGKPGEIIVCGLSGARTYPRMGNQNQQTPESPRT VEMRYPPSPPVSQRNSGYGSVAGSYQQSSPSRYEAPEQYPSYTNQATYGQSSAGSSYS SPRQYPAKSQGFYESSSSSRYY LY89DRAFT_612474 MCETTSITLEVLTTGSLRCRPSMLSQPATRSIFLRRLRVITDTS WSQYIPIHVFLISHPEGYILFDTGMSPNCNDWGYFPLWMPTFRMTAQMLIDNEEGVGE QLRSRGIVIGGEEAGVGSGNGKKLKAVVVSHLHHDHAGGLNDVVPGAPVFVTKEHWEF ENEDRLNALIDGAVPERWPKDWTPNFLEPSGPPIGPWEKRYPITSDGRIVAVDTPGHN PGHVSLIVYGDEATYFLTGDATYSLESLDKEETDGVISTPLIAVESVKKIKEFCRGTK CVVLPSHDRETIKRAKEKEVYVPTTL LY89DRAFT_773121 MLELQSFTNGAQNVLVSVPDFGSNPTKLQMSIYVPTKLATSPAV ILALHPCGGTGTGYYQQTKYASLADTNGFIVIFPSAGHDNNCWDVATTKTLTHDGGGD SNGLANMLKYTIDKYNADPKKVFVTGTSSGAMMTNVMVATYPDMIAAASGYSGVAAGC LAGSPGSSPQTADPTCADGKVVKTSDQWKSVVKAMYPTYNGTYPKFQVWHGTADTFVN YPNLGEEIKEWEGVFGVSWNKNLTNSPQTGYTQMVFGDGDQFLAYSAVGVGHTVPVHE SVDLQWFGIT LY89DRAFT_641885 MDVVPDLPRWAALSAGSGVLSHLLYFIRGEHHKQVVRLAQLTFL IPTILFVALIRFGKFNIAEASLTTLTLAASYLFSLWASMLIYRAFFHRLGSIPGPPLA KLSKFWHVAQLGNYDNYKRLDRWHNEYGDFVRIGPGELSVVDPDAVEAIMGARSACTK APWYDGGDPLVSMHQCRDRAQHDKRRRVWDRGFSMKALDAYEPKVKEFAKLLIDRIKS FGGKPLNASLWFNYYSFDVMGQLAFGRPFDMLKTGEKHFAIKLLAEGQRPIGIFSPMP WLIMLFTRIPGLGSAYQRWVSWCEEQAEKRKQMEVKDRDIMSWLLEAEPMDPDPVRDH MWLVGDSRLVIVAGSDTTAATLTHLFYHIAREPAHADKLREELEPLIQPDGSFDTKDL GNAEYLNGMINEALRLHPPVPSGLSRLTPPEGITIGETRIPGDTIVAVPLWTMGRSPK AWARPNEFIPERWYSKPELIYNKTAFAPFSVGRYGCIGKNLALIELRTVTALLITQFN VSFAPGEDGSTLLDKTEDYFTVGLGDLMLQFTLRK LY89DRAFT_683011 MDIKPILPPTASKVSDIRIFSPEFNKTALRNNMSMGTILLIGAS FQAILALLPIPRIYSGLPAVFMLVLKITDTVLITYNIKANPYLKNMLPKKTTAQPLDL NGNFSGAGKQKIAVLLLGAKSNHPLGFFAPDFGKVGGYLKKMSDLLEDDHTQESGFLG QSCLNKTDKNGANEFVFISYWRSLEDVHRFAHGSLHREAWTWWESTLKRHNYIGFMHE VYEAPAGSYEGVYINFQPSLLGATTYLKKDGKMVAGEVRPEYINPLVDANKGPMRTSN NRRGLKDRKDIADEMFNENNAYETVGTLGGTKEA LY89DRAFT_581780 MSWDLLKRFIESDVFNQDPFLSVAYLSRYADHVGIHYVLCSKLR QFSYEEIEFFLPQLCHLIISVDNESMALEEFILDLCEESVNAALLTFWLFQTYLHDLS ANPQSEAFKTCRRIYNKVQHIVFGLAEPARHEKIKENVLPVTVLASFVLASVAVPFLP RWAGPLAIAQARKPQPQGDVISDASQTQQKIVRSHTTTPGSTRTKRAKEASRVSSVPT NGSIPTEARPKSVRTASLTRPQSSGTDSVRTQPIHPKPRPAHLELSTSDPRLSSSSLP LPESRSPKMTATRPTTPATASLTMSQRPIENTHRKHSHQTRPLTPSALSDAQKVKLLR QNYFRCETQFITALEDISNRLVMVPKPARLSALRAELALIAQDLPAEVDIPVICPATL VDGVPSKSRHHRIVRLNPAEATVLNSAEKVPYLLLVEILRDDFSFDPAAADNETLLGK LLSEQGSSRKRIFDLSESAQLQHETKSSEAIPDSVFEPTSGDLGSSPLIKAVDETPKP KENVPPSHPPRLSSGATTLSTVSGLATPRTSGASTSRSSSPGRKMTLPSHGRSGAADQ PDFGALATHMRTASQMLAQLESTGGKRPKHEVAAIRAKIIASMQSLEEQSFDLDDTNR APTFDTIMANANLAAANISAEDIEEEVSTDSPLNSGAGVARMENDQKTGGVQRKGDRD DPSAATFGEAWNMKKERIRKSSPYGWMKNWDVVSVIVKTGADLRQEAFACQLIQVCHK IWQDAQTPVWVKRMRILVTGESSGLIETITNGVSLHSLKRSLTLATIESGQNPRRRIA TLKDHFVKTFGPPESDAYKTACDAFKRSLAAYSIISYVLQLKDRHNGNVLIDNEGHII HIDFGFMLSNSPGSVGFEAAPFKLTQDYVDVLGGVGSPDYEHYKKLCKQAFQALRRSA DNLIDLVSMMGRESKMPCFSYGVQQAVTSLRQRFQLQLSADEAEQFVETDLIGKSLGS YYTRLYDTFQYRTQGIY LY89DRAFT_475423 MPPAKSHNWSRFELHTMLCLIAKGVHLEERVRRSDAPGTARVNA YENFATQLNVALHRNDYKSDISKREITYMLDHLLAEYKHVVGKGGLVERNAGGRITRA MRMSFARPARGFSGSQTEWENGRRYEVMTPYLIKMGKLNPKDPMAVERRVVEMSLLSA VKLSQFATRKPAARRIQAEAAAASLETEALSSGNMFINSTQDTFANASAKSRVSKNLD WNEPIQRHLARSGRSTNAEVSDANGQVNGGSSQAHEAGSMVIDDDLGRRQQNYADNIG NEVDMFLDTLGDSMLVDSVDPPRGRSRRRQNYTDNIGNDGNDSEMSDAPAEVSPAAFT AFSTDLFGNGLSR LY89DRAFT_667059 MSLRTEPPKGYTGPPPPPSYTYPPSMDDPTRMTPTSLPPMASLL RHDHEEQEAQSSPYVARQFQPPYNMTKHPLWLAQFDPNAPVQHIYYPPQPPMNSHSPQ NIAESSLVALQPQLHLANPSNQGVAPQRRTAKKIALRRDIVKDPVQGRRGSAELSIRY QNQGQQAGGESSEASYTRRDSKSPRQMPQSRSMPISGLLSDGPSPVSEPRRQPTYRLR MRQQPIAARACGFGERDRRVIDPPPILQMDVGDPNSSPEEIRALIRQPYSVVHCVLWD PATNQDDTAMPGTTEKRQQRRLMGTLVASPFVGNDEHGVEGCFFTFPDLSVRTPGTYS LKFSLINLDPTRMMPGSREPVKSIVWSSVFHVYNAKEFQGMRASTELTKTLKHQGCLI SVKKGNNKAHGSRSRGEDDEEEEEEEDSGGEGSSTKRGKRPKR LY89DRAFT_475540 MKRLRGCSRCRPLRCLRPCPISRTSEHLMRTSRAHQPLFSFLPA VAQTFLIPTTRSSTRSSGDNTATISNPCLGSFGTSVFIPLWVASFVHHNRRDPARGGQ SNPNHRLPPLRSQKVEDSWQRPWSDTPICR LY89DRAFT_683014 MDVGLPISQQAAVVGAAALTAAAAYVNAKLSIGRDLKTLKYEKS FGQRLGMKIQELGDTCTLYKMFDQVDPNIDALWFEGRDWTYGELKRDVHRLAAFLEKE GIKKGDAVAVFTTNSPEMVIIYLALSKLSAICGFININLRDATLEHCLKVSQANTVIS TPDLAPFITGPRRHFTLSLYAFPSIPPPPPESPITLLTSSLLPTPSTITPATKSSPAE LSCLIFTSGTTGKPKACAIRNHQCVLTAIPSSPDYFNPTKYFPLRTYSPLPLFHGTAF FTGVAYSIGNSGTLCLARKFSSSRFFKDVHDSRATRILYVGELCRYLLSSPPGEYDRK HACTVAAGNGLRFEIWEKFKERFGIDEVREFYRSTEGVAKFDNFNRGAWGAGKVGFTG FYRRNFFDDDTLLIRVDPDTAELIRDPKTGFCTKASIGEPGEVIGRVKNRALLTEYLG NEKATEEKIVRDVFKKGDIWQKMGDLLIYEKEGWVRFHDRMGDTFRWKGENVSAGEVR DHIAKLDGVQDAVVFGVKLASYDGQAGAAMLTLDSTTDAGDALFMSGLYTGLKKTGLP GYAIPRLVRITKEIETTATFKKSKTDIMKRSWDAGQKKDQDKLFWLDGTVYKPLDALA WKGIEVGRAKL LY89DRAFT_780085 MTTAGQRLSSVLSHLNPLSSGRSKLLQKNPDDIVITYAARTPLT KAKKGGLKDTPIDDLLIALLTIVREQSKLDPNLVEDVCLGNVLAPGQGYMARASVLAA GYPVTAAASVANRFCSSGLLAVQQIANQIIAGSIEVGIAVGAESMSTCPDNGAPVLST KITTHSIASQNLQPMGQTSENVAGDFGISREKMDQFAATSFQRAEKSQKEGWSKDEIV PITVQVKDPKTGVVEEKVIDRDDGIRYGTTAESLGKIRAAFPQWSPSQTTGGNASQIT DGAAAILLMKRSKAEEIGQPILGKFCGATIVGLEPRIMGIGPSYAIPKILSKTGLTIA DVDVIEINEAFASMGVYCVEKLGLDPEKVNPRGGAIAFGHPLGCTGARQIVTALSELR RQDKRIAVTSMCVGTGMGMAGVFVSEH LY89DRAFT_705975 MYFSSLLISALLAPLSVVAHPGHHEALSYKEITRRAGLSKRCEG AAASMNAKRWLRHQERRSLAARANTTVVITTEAPYYDVIQNDTCVLTPEVTTGPYIWP QSQTLRQDMAEDQPGVPLYLDIGVLDTNTCEPLEGVLVDLWHCNATGSYSSFEALDPN TPFAELLESLNKTIGPDLDLHTGNSTFLRGMWPTDANGMMEMKTIFPGFYVQRAIHIH AQVHTNWVVGINGTIVSDETISTGQIFFAEDLSEQIMALEPYASHTQINRTTNAVDSI YSSEDTAGFDPVMAIVPLDGEDVTKGMVGYLTLGVAA LY89DRAFT_773134 MDVSTLLQQFEDLNHSERVRRMVELGTESKNNDTARETINVLNY GSLYEKALALETCYGSRNITIAQQALASSSRHLRKRALNLIGLLGTDEEFLDALRRVP NNLQIHTIRRFRDARRHRQRLRVIETFLEELEKTNRDPNLFRSLISLGSKEILERHLP GLIDGVSFRDWSELAKYHPDVAQKALQDWIDQSSEDEDLLVETVVHVLRQWMAHEYNI GRAVTLFKAAHKKISIDWLPVRELAGKRPKELFQILLDSDEDIEEHVLERLESRILRK LPLSQFLEVFKRYPFVIDSWNAPDSFDDLTIEQRKAVYKIVHVGWRNQGGIITQLSLE KLPREERILEARRHLTSSKFVTNPERKIKYVALLPWDEAVELQAPFLRSSDADTRASA LKCQISATKFDDSHLNDALQLALARKNEQDPVKKEMIAGLVEIPASRWKESHLNDLGN ILRNLLDTSDTSTWTLYSMTDLVTGIISYHPRWAAKQLATIIRERKPPLNRFWLSGYI PVKNVMEVLAEEMSPVLANLLKKKDGATLENIATAFDKMTKHWPELLDTCEKVLNDSE MKQHHTAMLNILKEHQPRSWNRVIPSLLAGNREVACEAVVLKYIHTREQKFLWSYLKS GDETKRDRRKALGHLSNSRVGFWRWTQTQQEALTTILLDECNDQELESDKKTSNIKQL SALAFVDPSHLINFANDDESPIVQEVALRSLGKLGGGQGVPVLIEALSDKRARIAIYA LRTVLKSMPKAEVFSILKSVPQTKVTVAKETLRLIGELETDEAFTWLLEKGKESLHLD VRLALFKALLSFTDRDETWEFFEGVANDPEPDMVKIVATIPEDGLLSKAKERLLALLL QLLAHSDPVVRIAALRRCHSNPIHDPDNLLAPRLFELVHSDIKNEGEAASEAIFKTYA TSNIELIRDTYRKLIHNRERLGFFHDQYWSVAYGRKKMFNPVTHMILDVLKEDRFSVK RRVKLMFDALYWPEIKPHIFEIVPELHADALVEAEKVIEENSTRWKTEKDDLLEAELE MAKSGDERARRLALSFLIGGVDENKGWKRAESDRLEIYKQDSSPLVAERAWEVEIDEE ANDLDDKEST LY89DRAFT_780088 MAQSTPVRLQDFAYEMANSAPVRMQDLADALNKATNAVPFLKYP PLPPDPQPGQTPKFPNQIPPLPDSNPVDAWKWDFATIEAFKKAQAAKSEAYTGKAWMA SESKWKNRVGKGWKPVRVLGRGGQGVVGYWTYEGPDRDKKTLKDVAVKQAVKAGPTYR WGDGLEKESQLLHLLQKANTPHIVRMYRQLYEEVGQQTDEFDHGIVHRIFLEYCPGGD LHDWLGKWMNQNKPIPEYELWCVFQCLARACMVLDRGSEDLARQAWVGTEIVHFDLKL ENVLVGATLDDTEHRNTPSYKMSDFGLATAVPRIQSPFWMDSVSNTGTVPWYLPEQVS AMNHAALSRYFPNERPWLSAFSRSVPGRQFGTPSNIWQVGLIMHVLMRQPHNRSPNWG GLRENDPDKLQCYTSNMTTRLQTGGNTIGASQDLEAEKNPQRSMYSKGLRSLIAECLL INPSKRVPVGELVVRSANHIDAIRIANGTNIGTHAPYQEPQLSQQWYSNKVNGNPANA PQNQPGGFPLAPLFPQPPAAAPQDQQAGWSFTPVFPGQAPPAPRPAFAQINPAAPAFN PAAAQFVPGRRLNAVAPAFTPMVAPAPVIQSINPAPFVPIGNNPGPNPGPGGPLWPVP NPLTVIMLRRSKLYGMMGGHQITYQLKDLTPITRVRDVMDELIKLGVNTTMVLRNEAG KAMQPNMMLGEFQIVQNIRGTEL LY89DRAFT_612503 MSLVPLFIQPFRTLRLPPHGSFESQTVIVTGANTGLGLETARHI VSLGAAKVILGVRTITKGDAAKADIEATVGRKGVIEVWELDLESFDSVKSFASRAAKL SRLDTAIMNAGLASAQWKVSKHGWERTIQVNALSTSLLSLLLLPIMVRTKRALPGTQP HFVILGSDVHAMAKFEERTAANSLEALNDHELWKKAIDKNPAERYWVSKLLDSYLTIE LAQLVPTINGDPAVIVDVVAPGFCKSELLSREPGVPFILVVLQTLTGRTLPEGSKTVV DAAVRGRDAHGQYLNHQKITTQGTLVTSDEGVRVRKKIWGEILQVLEAVAPEIGRITN GDL LY89DRAFT_731484 MSHRPRVSCPIAGCSKTFSRPADKSRHIDEVHGDLKQCPVEGCG WQNAKRKGRLEAHMKKKHQELVSVPLDISALSTQQQQQQQQQAASTYAYASGQSTSYQ YPNTTAMGTAYDNGQSMQSAATYTQPLQYYYPPTTQPATYGDGTQITVDEGVGNQDSG YIQDEDVSEDANDQQYQQNYWHAED LY89DRAFT_731485 MPSSKLSTQSSADDSGTNVNESMVLMVPASPKTPALEASATGGT IQISMEAFHSLLAAVDTLKLNMALAEKHNQGLSAKVQELDQSVTLVRQQNKSLQLEVK NMDRQLLAAQENSGVPFCRFSRLPLELRRKIFRSALSEPRVVVLRHRFDKAVGHLFLP VGNQPALLSACEASRTEALQLQHNLYAPSENRSQEESDSLPKIYINGDVDTVWYLVHS DSGGKDDFYPNERMDRKMRQAWTCNHVSLSSTLKPIAPLKFAISWAMWEWFCTYGLQS FSVFMDMLMEIGVRELTLVLGYTAAAAADDDVIFVEPRNNMDWKLKKILSFEIDNFAP FTRELLRRRDNPSWSLLEAESLALMKNFQAARARLRYFLLPQNVNIVPGDFASGGRFF HVRDMSGWTVTSIRCVEAISRKEMATIST LY89DRAFT_683019 MLASLITTFLILTFSTLSLADSAPFTLTANSTNTTLNGQQAYIY IDPADPYFGLLYFTSDPTIPATTFTLDSSGGHILSHLSNTTSTLSLLEANTNNDAYDE TIFFSPPSVVADGQNPPTCHVYAGQLSCEVTSEGDTRLTKTYVCPDGVGYGTIGGSLK IGKVVVEGCEAVGLVVSY LY89DRAFT_475766 MMRSFAPRLSVLALLSVCSRVAMGIDLDLTSQDSIKSVASTIAY DMMTYYRGNYSGQTPGLLPGPPPNPTITDAGYFWWEAGGMFGALIDYWYYTGDSTYND VVQQGLLYQVGSGDDYLPANQTNGMGNDDQGFWGLSAMTAAELNFTNPPSDQPQWLSL AIAVHNTQLARFDTVCGGGLRWQAYPFLNGYNYKNSIANGCFFNIAARLARYTGNDSY ADTATSTWDWLTAVGLIDNSYNVFDGTDTGTNCTIINKQQFSYNAGIFLLGAATMYNY TNGSTQALWQTRVEGLLNQTINVFFPNNTGFEVTCEATLIHCTIDMLSLKAYLTRWLA ASTKVAPFIYDRVMVLLQTSARQAALQCSGSPADHPNGRMCGLSWYKGAVWDGTSGVG QQMAALEVIQSNLIQQAAAPLTGSSGGTSQGDPSAGTSTGSSQDPTALLPPTGGEKVG AGFLTAVVTITVVGGLVWLSLPDGKAR LY89DRAFT_548405 VLSLMGMVSADRIFESSSLDSCQSNSSFTASLFTIVFAPDNRTL ALNVIGDSSVTGNVTFKVHASVYGYTFLTETLDPCTLGLASMCPMSSIQTDFDTIYTN VSASVIGRIPNIAYQIPDLDAVVTVYMYSVDDPTVSLACLEARISNGKTVDQVGVRWA TAVISIVGLIVSGIISSLGFTNTAAHMMIYTLSLFSYFQAVAIIGLCAVPLPPIVQSW TQDMSWSVGVINVGFLQRFARWYQIATGGTPSTILTTLSTKSVQVAKRSMETALSRRA TTETTASGDYIVTGIARLAYIEGMEATNLFFTAAIFYFIFVVFGVILVLLYKALCELL IRSKKIGSESHLFHFIHNGWRITLKGTIFRLILIGYPPMMILSLWEFTQDDSPAEIFL AVVFFFGMSTALAYASFKVFKIAKRSEQMYKTAAYSLYADATILNKWGFLYTQYKASA YLYIIPMFVYALLKAAFVGLGQKSRETQAIALVMIEAFALIGASVVRPWMDKTTNAIN ISICVINFLNAIMLLIFTNVFDGPGLLIGVLGVVFFIANVVFALALLVIVLFVIALSF IRKDPDTQYLPVADNRASFIKSQTTLNQELDALGATARG LY89DRAFT_571522 VNAVRARSAARKSGTFKGAPDAVVNGSATTVESTNWAGAAISTS GVTEVTGTFTVPKPSVPSGGSSSTEYCGAAWVGIDGYSDDDLIQTGVLWCIQNGEYLY EAWYEYLPASLVEYSGITVTSGSVVTVTATKTGTNSGTTKLTSGGTTVTHTFTNQGSS LPGTSAEWIVEDFESNGSLVPFANFGTVTFTGASAIINGVTETPGGDGAINIELESSS GKILTSTTISGSTVTVTYE LY89DRAFT_475940 MSASPGPDPRLEGRTSTYNRDEVVRLITEFYQFLACMPFIEPED IQYPAPSGWPNITPERFAALDKTDAVIDLLAHLPYIRNHSFGLGRGMYSTCIWFQTCA LNYAEDPFKTSPLDLEKIEYLKLPVPDSEPVVVPPHVAVWTEQEVPLVGHIFMIDTMD GTITEYPDWRDCGWAQYPQHDPRSWRNEYDKTMLLSEFLDEMKQGYLELRWIPYWFRK VPKVYVRGGARNDRGNGDEEELYKIMIAHGWPDEFRRDECKAALLAWRTQNEGE LY89DRAFT_667072 MCKERYDQMELTRAVTGIVAASSSAENGLPPEVWTMILRENIWL PEATRLWRRDSESGRIIWQVSECQKELRKLRLVNKTFNSILASIAYEVVDLSTPSFAE RLRRHASLSFDQQDGYIEFRDNILRYCQKLKLKLIDFPHHEEPLHSQFERLLQELSSV ENPCKCLVRIEWDISGFEEEHIEKVSKYILASIEPILKRSVRCRIDASRSVFRIQVTN QDGTLEHGEVGYNRRRALTPEGGVSSSPTPLILDIHETYYNPKMLNFFGTKSSLRFPP MIELKMSNVGWSIGDDYRKMWDFSSLGNLNLKSVDIPGFFTTVKPEELVNLRSLSLTD AYDEVDQIESMRENLQDLFRQFKKLQKLKLKFGFYYEIVSISLTYTEKFISASEIAQL GAECKNLRDLRVTLPVDTQKHYQFFDALGQLEDVKCFTLYADGDKFEVYGVGRYSTDP DYDGAYVLLDHLRKVKLGEPIAYCRVKIADCWGRRRTGERARDYNGYLGHREFSYSLK DEMLVRSGSSRVDVFYDLVTPSEGDEHADEEEQREVDDDEGRDDSDICGQA LY89DRAFT_641920 MASSSQKTIPYRPLDPSRVEIRVISMSPDSNLAGSSIDSPISIS LHIVSLNDPSVSYFALSYVWGDASNTTSITVDGAPFAATANLAAALRVFRTTFGGQPN KYLWVDAVCINQDDMPERIAQVELMGRIYRQTSKVLVWLGPGDDHVCALFTFLRVWSK TLQIWIHPIDTFIEVFVDRPYWSRTWTFQELQLPEEGIL LY89DRAFT_667074 MTVHMRLDHVPEPPSLSSRVNEPSRRLQTPTESITPTNTAQTVS HSDPIPIHTPLLSTHVQSSSSRLNADNGDTKSQAADPCWSERASYYSPNKVMLPIRVA QAQSKADGKVEDEEGDYAIPADMEVEIFDDFSANAGI LY89DRAFT_476177 MANSRSRNSKPQNPVFCTWPGCNKSFTREADKERHRLNIHLKQK CLCPFPECREKAFRADKLKEHERKRHQPKQKGNKTGDKNRKAGRSSGRATFRISKAAL ARTTSDTILHKSTESMIQTTTPSVDLCEALLMEMNVDDEATIRMADVMCPPYILGPQA DFPMAHAKALFELDTSSLQKALDEAIAASRDWMKDESANADM LY89DRAFT_716682 MAASTITPAQAELISSLSQDEIPIKLRCAICSRLAVNAFRLPCC DQAICEHCHSELSSTCAVCEHTPISAEDCKPNKSLRTTIKVFLRTEEKKREALRLKEE KNTPPDTPITVEPTPAGPVAPVEEPAPANDITNIAEEKDEKVDIVTEPSDPPLPTTLD PEQAAQAEQDIPQPSIEEIAPGQESTGELDTDTAEVTQNGEESIEKDINGHSAVPQTP GFGAGFGFDPSAAGGFPGMGFGGDFNQMQMMMAMQNGMGGGGGFGNFPMMGMPGMDPM AMQNMFLNGGFGAGMNGMNMGMGIGFEGGVGAGFNNGWNGQSWNVGDNFNPNAASMAH GHGDYGANNSGYASHTAGFNQGNYGRGNHYNDYQNNYGSQGFRGRGRGRGGHGRGGYY GPNEAFSHQIPQQYGNQQSNGPISETGSIPKGPKTESEPAPNVDEFGRELRPDTKEDT PKVVDDESAVKPQERSDNPVAELTTDAVPQEPESLQDSNAPMPIQTLDDVDTEYNVDN YGAGGYGQSHLRGARGGSYSAMQPPVKPLDVPINAPTGPKAMREGLPNTSIYNMRGRG YIPGGVSSRPSGSASVSVAPDVSEKGDEREHSRSPSRGPDRSKERSRSRSRNRQRSRS RDRHHRRHRHRSASLTEDDKETERRRERRREKERERHRRHEEETVDDGPGERVETDDV PENEKSRSASPSGSRRSGHVSRREKDKYRERDRDSDKDRKSSHRHRSRRSHRDDRERS RSRDRERDSHRRRSRHYSEEPQPEPKAEPSVAESPVEAEEIFRKPSIIEIKGASERRK HTLDDIKIPTGPRSDRIPSSTSSRDFKEKRSHDDRPSRHESSRSGRNKDRQRDRGYDR EKEREKEKDRSVPSTPAKDPHELEREARNRERLLKEAQRIAGLTAAVGRKRSRDDGDE GLAGKGRRKKGRRSGVIDENEEARLARLEAERENNRWN LY89DRAFT_716683 MILGQVSWLDCFVFLIFLAPQLIWHVGFFPTLFCGLQALPFLVI KLPLSLLYERLLLNREQQSPFVQQASWFEDVIIRCVRYAFAYIPAQIGRVFFSKLVAL PFLRFRMLRHGYFKSPIHWQDVKQNDFKGIWIISDPSRKPDVVIYYAHGGGFSMGSSY FYLEFLLAYLSLLKTSTKFQNPAILALEYTLVPDASYPAQLQQAAAGYKYLLSITHDP AQIVFSGDSAGATIILSLLLHIGNKTPKKNASHDQRIQSGIEGVVPGMAVLISPWVTL VSPKDRNTTSDYLDADTLHQFARQYVADKLSIDDPLVSPGNCKDVTWWRNAVPSEGFF FSYGSEEVFAPEIRDLIKELDTVGMHVEYQEADAGIHAWPVASLFLCSTKEKRLRGLQ AIVKQISTRIVTHIEE LY89DRAFT_612522 MEKGRSSASFDPKDLGTIIYGSKQEMEQAIAAFERVENILGDDN FKLPATYGDLNRQQMLLEGLRAGKATFQDGLKHNHSIFDYTTHLFSLGNANPCGLTAF LFTPFLKLVGTDEQVAHWLPLAESGQIIGSYSQTEMAHGTHVGGIETTATFDRETDEF VIHSPTPTSAKYWPGGIGYSASHTILMARLIIDGNDYGVHPFMVQLRSLEDFKPMPGI EMGDIGHVLKMSYNDTDNGYAIFNYVRIPRTDLLSRHASVSQLGAYTSVPLRDKLVYG GMLNGRSVIVRAAAFQLAQALTIATRYSVVREQGHSLDLDDSGETSIMAYKHQHYRLL TMISQAYAILFAWKAGNLAHADLRIRQTNGDHSTLPYIHALMCSLKAWSTQTAADGAE EARKMCGGHGYLAMSGLPEIVASITATCTFEGENWVMWGQVGRYLLKGMDATTLPVDM AYIDQYCPADEEQCRAKGKDFLDLTVLVEIFKHRAARLIHEAHAKVSSEVGKGMSRAK AQNKFGLELTVAARAHIEVYILEACIQQLALPEETASHEIQQVLRRMISLFGLTVIAS PIAPYTATFIGDGYFTYSQIFDMTSLIDDLLMELLPDAVALTDAFCFTDGSLRSVIGC KDGDIYRRLMAWTKQLPINVEARKNGGRFKEGWELYTEAFLKENKGFAGIPVRAKL LY89DRAFT_667078 MISSFEEEVSRGVHWTRYRLMKNRREQLAKESAAREGECSSRRT EQLAKDRATREGESTASEAKGVSRGMRRKPSPTTSEPSFIGHISHLAIASWNTDCAVG NKAGQMDGVQTCCSFAPGLDVKDSSRSSAKLKKLSLSRTAILWWLRRRWKLQLLLVSS SDCNTEPIVPLRSRHSAKASLLLSLQEQEAEAAINAMNNIELDGRTIRVDKARVACAI QEAEAAINAMNNIEPEWRVWLLLPRQEQERQKTAEAAINAMNNIDTGTAEIDNTELLL TGKELEDPIAKQSLSSDDIDNTAVERADIQSLVLDDGLQTKLSQTESVRSGWQPSLEA PRYPQSTMPYTSYYHSTTANNLCIGPMLKRSFLGKLFRVEKLNMQVPFSRYFSNTIRI NKANSEKKPALRQSSMKMRLSDHESSTSDAHFNLDNSAQGSEFIDRLAIDEDTLNTIQ HGGRRLIVESIVSLPLCSIPERVGLVR LY89DRAFT_667079 MTAATTVLRKSRNHTTPNPIANFVKIPEEKVDISMFNKPGSQRH WRRRPKARKTKNLKSIVTQYSVASLAKCALGPSLSSRADQDIDINDEATRLRTRQDSS IASNTANLFSHRYTSVIVPARIIWAPSRQHHVNISCALSNRDGDYTVTLMWPCRTTIR RIQYLDNDKDEMNIPSSPASTIRADLFQHLTTPYHSPTSSHHVLAQPRHLALFSH LY89DRAFT_731498 MVKARPHQHELLDEDEDEELNDENSIIVDVPPPRSKSRKRTVSD LSGEVKVKTPSPKKRKVLPVRGKDGDEEKLPSTRPVVEIPARTMSPPRATTGTIEGHE SGRKTNHRRFDSEEPVEEVFSTTREPAGNAEDSQSEEHEDEDSDDDAPEAVGMQEAAQ TIRAREKDAAKAVKEQAAASRQKRKEREETLRKQAESTKKRKPKTEVERLEDRVIITI ESDDDEDEKVRADIEAIDDEDDVAPPTRISRHRDVPDLLPLEFLEDDDPNEVIAAEEA PRIAPRKKKFKELLEKGPKDRRVGKTTYRVTKTQSTNLAPKAAHNARSVKESWLQGRS GMKIDSNRKPFSKGFFKK LY89DRAFT_476405 MSRFESRASAYIGILLPTCRNTIRQARCFHPTSIPKARPIARAS PKTRARLQQQEGSIRVRQAPNIEDSLPPVVLLNSARKSGLLQIEPEKALDFLRQYQQL AVNPVGSWEQTLCNQHNIDPATVATLAGILRRSHDKAQQVLAKKIMLTASALGDKSAT LEIISAALRLGKLHDYPAPLRQLGILAKKENDLPALTFLGKVHNAHGDKRGALECFRK ATRPPTGNLEFYGAGDALVSEGLILLELKDKDGAETAFEKAALELDDPAAYFYLSKLQ EPGSPQEQIYLLKAASSGITEAWHNLGALEMAKRKDTITKPSKVEDFGMAYDWFQVAA TEGFGLSMLNLALMYKSVGNVEEGMTWLEKAEENADVRDQARAIKADFRNSNVGAI LY89DRAFT_695754 MTNIAFFRPFITHNPIEIVCCPSYCASEYTFQSCSTAANCDCTT PPPAMFKSGTIESWHNSRSTNFGLTSGGACGFGLYSLCAQGSVTASWTDAWLGDTYTA FCTSYPMLCKDPSNTTLRGNFAAPNGDYYTQDWPSLPGGFDNYLSDRTHYAVGEAGYT DPIVLEVVDSCPCNTNSNGGPGADHGGEINFKYGCPLPVGSILLDLSDIAMGRISSVV SIEVQGTGTTTWTSLIHDPNHTESRPQERYGSWTIPQGTGPFELPVAVRITSPSGEQV VSMDAITTFIAPASTPAGFYYIDLGVQFSS LY89DRAFT_552654 PAYKNPNPNNIEIKTKFPVARIKRIMQADEEVGKVAQVTPVAVS KALELFMIALVSGAADKAREKGGKKVTAQHLKQVVLGSPEQFDFLAEIVGRVSEAQEG AGGEAKKRKEKVEASDSSEEEKK LY89DRAFT_581373 MLTTFLITALFLQVSFSIRTSRDIIYRAPNVTSPEIPLDVFQVE APLRNTYEGAACQQVIMQHNFTASYGSPYVGTYSPPKNCTFTTTIFNMSITSIGINYD RLGLIFLGDIEVWRTTTAMPVRTGIYYNFQKDMTIFDTLLQSEQKVIMELDNIYDSVF TGNYDVTMTALYFNDHDKATPADLILPISAELSSQNKSSVLSLPDGNATVSFTLPRNV ERAVVSILASGNGAEEFWYTNVPTQYDDTFNNTALSGYGPWREVQLLVDGQVAGVGWP FPTVFTGGISPGLWVPIVGIDTYDLPSFEIDISPWLGVLCDGKSHTFAMEVVGYDSVT TLGTVGSNWWITGSIFLWVDSAGSQTTGTTIQSSTAAPSFDFAPTIGTASGLNTSLLV SLSAHRTISHSSTITTSHGPRTLTWSQSLSYINKQNFTALGYNATLYQLTSGTSSYSP LLSHPSTETDLITNSYSYPISFTQQYIVPIDPTVVNSTLIADLDRSKLTSRIPILSYL TKPSVFSAPEVLSTRQNGSCIYFWNNTYYDFAGAIDPAMGTLGATEQWFSFSGPVESG GMESYGRHVRAIDGYVPVLTEDETFDHTIEVPETQIVPGNEL LY89DRAFT_731502 MGPDLRPAQFASNAAPDDPSGDSSSDSDRRTHPHFRGPSARRHR SEIPTQLTPAPQTKSIALALRTNYRFPDPPLFNNGEDPTFDSWIIDLDSKLEASGYMD PSVSEKQRMNYTLSCTISEARAQLLTRFPTTLRRVHHTFHTLEEMV LY89DRAFT_773187 MLYLFDQTTGDAQKHLQPRYDQDSQTRFVSAQEMLDHLAAIYVN PNQVRDARYEYNRLLMMIGQPFAEFQTQFLHLAGQAQTPAEDLRLDLYDKLTTQLQRG IAPNLRSLDTYAELAASCQSLDTELKRITARESQQRRQRSTVISPPAIPPASTTTRLT STIPTGLRLDSTTMKASKSPNDLYAS LY89DRAFT_731506 MAYRFPTGLGNRESPYLIDDEEDGASQELQLPIRNSAGEAGDKL SVENKGDDFHYFFEKLPQELKDMIWKEVFMFGERTILVRTCAAKLSQQYDFHYDILPT TLWLDHKTKDVAMKRNKDTLGNERTTNCRSVVHFNKELDWVHIGEARGVQLTYLMFWI KVEDFHSIQKLSINVDQVNHWFRIQDPRPHILRLPNLKSLRVCYNVHATIPKTVRSPK DYHIGPCVKKAGSSFCLPAKASFGSKSLTRWPPGAPGHGRFDKAMSEIRDFPAWQLPG ATPPTVEYVDLHLDLPSDKRTVSYIKEFFNMESQPAREELQRQREQAKQRRMLAKTNA TSASKLQLNKDVTGA LY89DRAFT_641939 MELELSKRSSAYTPEQIAQWEEYINLPSQYRLSSKPTLNLNYLT ALHTYQIAHVPYENLVLHYSSKHEVDLDPQVLFQKIVGNKRGRGGYCMENSIFFNHIL RALGWTVYTAGVKIRPRVGGVPGGNFTGWVHIVNIVTLPTGEKYQLDVGFGGDGPTHP LPLIHGHESLNLGTQTVRLLHRPIPCNVDQTQKWWVYQYRNSSTQEWNTFYAFPELEF SEADFGVMNWWTSQSMYESNFQTRRVLIVRFFLGKVDEDLERGSEDEEGKGGKEGIVG KAMLVDGEVKRNDGGKTRVLMVCKSEEERVKVIREHFGIELTQEEIRAVRGRIVELKH D LY89DRAFT_773192 MGEWILLFTRNMSNRMDLSMSDLDECTGLLNFDIQPDERGDVLS FTLMINRNWECVGGTTTLTAHGCSTALTAGEILSSVWLAPQPTPSITMDLPAERNLTT WFVPKDTSAVCDGIPVLWQNTDVQILALLGVTPTSSSPTTGSQSSGTIPANTNSSSSS VHSGSSLSSGAKAAIGITIPLAFLALLAGVFIFFRRRNMKTPQHQHHELPTSAESDSG NWTHLSFLKHGFKHKASELDSSRVFEADEAHQIVEIGDGRDVVFEMADTSSVGGGKDV KIRGDAEGVEMGETKEVKKGDVGQDRLLSNRRPERGSNEIEIDSAREKILELLNLEFR ATKDSETEGHCRTRLRTRAR LY89DRAFT_731509 MGVEGWENPPQNVRQALKTGGLSAATWVLLSSCSGICSTSTEYL ASMKFMLGAAQLLWVPYLTTASLLERQVSSSVSSSVSNSGVSSSTSSVFTVATPGSNP TVNSSNHDLSQHFCRLWRHQSVYVEGKIYIDGGNTYIPTGDTTFSNTSSTEYVQGMND ILLVLDLSNNFTNQDTAPYSAIDKGPQVPNAIIEGALWWSAVTRKIYQLGGWFSTNSN QDPGYITSSELPPSSIWEFDVDLKTWVQSAFNYVNTGTKVDRPGAAANCDAPALNKSF IFEGYVEYRSDIAYNNWSSGASTTFKFLEGMLQLDSNTSPPTLSNISVPTYVGPRMNG AMIHVPVGPKGVIVQIAGQTTVDPTPFGIPILSANQKNTEINNTYVDIYDIESGYWFR QQTFGVPDVPTSRADICVILVTAPDQSSYNIFMVAGVLTYNVPITSEEMWVLTIPTFQ WVKVHTRPGGYYGHTCHQVGENLVIVGGMETNAQGGNANNCSSHMPAEIFSLASMNYT GVFDAAGAQRAPPVPADVVTLIGGTSTGGAVVTKPYLWSDLYLQYVFNPSLQRPAYTP TYTLANATGTTTNTTTPTPTPSSSPSHVPAGAIAGGVVGGIAVIALAIFLIIFFARKK KARKEAEILAAADPNVHPHPTNELPTYQDAKDQNAVEVSAYQQPLVFSHYQPSVPANL HPAEMYAGEENDRFVTMPDAVPERSPRVGSPGRFSEMSYNTGGSPSLEPMTPLTPGGR HSRQSEVSRTSVGGQPSPRMDSGRLSRQSEVSGPSEP LY89DRAFT_731510 MQMPSLSVIESFPTPNYVDPAVRGPANIITVSIFFPLALLIVGI RTYTRTCLSKSFGADDWFILAALLPTTAFAIMTLLAELHFGWNRHIWDVQLSTISTGL KIILCTEVLFCLATSLTKLSMLTLIYRLVSHSSSIISRVILGAIVLVSAQGTAFVFAA IFQCRTPSLYWTLSFKPQPECISETKLLLGAGIVNTITDLVVVVLPVPIVWHLKIPFK QQAILITLFAVGFCITLIGAVRTYYLYKVTSQWDKTWEAYPVWLTSSLELYVGIICAS IPATKRFFTRLGLSWLRSSTASRPPTTTVPSHHTQEEHEIGVFPLHEYSDDKKDVETR SVSGAESGSKYKVPHLERPQTSYSKETAVGGISEVRDSDSQHCLVERDYEERVDTPSR FT LY89DRAFT_695757 MMIPVETKPSLQMPAPAAGQAPTHTYVPDRGYATSTTSQDAPPQ TPTGYAADNEDETYDDLFEDEDEEFLDDVDPTSGDLTKSYNRQRKLNDPNATAPRINS QKPAANTQASVDDQISSLSKHAAKIRLDVGGERNKTHGKDKSDRATSEQVLDPRTRMI LLQMINRGIVSEVNGCLSTGKEANVYGALSIPVSENGEEVAAIHRAIKVYKTSILVFK DRDRYVTGEHRFRSGYNKGNNRAMVKVWAEKEFRNLKRLYLAGIPCPEPVYLRLHVLV MGFLGDKKGWAAPRLRDVELQGEDIDEQWRLLYIEMLGLMRRMYQTCKLVHADLSEYN VLYHQKRLFIIDVSQSVEHDHPRSLEFLRMDVKNVSDFFRRKNVDVLSEQAVFGFVTS HDQPIHEPALTEALERLFVDRPAVQEDEKAAAEQEIQTEVFRQQYIPQNLDQVYDIER DAEKVGKGQKEDLVYRNLLADKVVQSGNEADAEDTMGGSSPPSDGESDAEDSDDESKF EKGTPRGKRFEDKDAKKVGRSNSQAELYLTHVQEHKKAVKEEKREKRKEKMPKHLKKK LVSASAKHKH LY89DRAFT_581184 MEQKKLEEAAQELQEPPYTIFSERYKVFTVIIASFAALISPISA SIYYPALDPLAADLHVKVSTINLTITVYMIFQGLAPTFIGSISDKNGRRPAYLICFFL YLCANIGLALQTSYPALVCLRCLQSAGSSGTVALASGTAADLVTRAERGKYIGYASMG VALGPALGPVIGGLLTHFLGWRSIFWFLTILCGIMLLIMVIAFPETSRSVVGNGSIPP QKWNVPLIRLIQQRLERSSHQEIDTQTITHRYRRPNPLEALSLALQKETGMLLTFSAL MYAGYFGVLSSLPSQLARKYDFNSLQIGLCFIPYGFGSVTSRWTVGTLTDRNFRRHAK KLGIAIVKNRQQRLQDFPIETARLEIALPMVYLSCICVMTFGWVMEHQTNLAGPLITL FFTGNMVTGSFSAINTLVVDINVKTPATATAASNLFRCLFGAGAVAAAVPLIERIGMG WMGTFIAALWLVLSPMLWCVWFYGRGWRDEKAAKDDQREKERMEREKGNDVEKVVNVA QPMNAKGEWSSTVQLSGSAQSSASKEGK LY89DRAFT_476692 MAPSSTSPPHNPQNGESGENSENSVWKIQEVPGKGLGVVANQDI AAGTCIISEAPLITTEGISMANTDIAEQQLLNALKKLSKADQERFRSLHNYYTASDPT HPLSGICKSNGYPLGTNTEVGGVFADISRINHSCLPNTVQYWNPLHEKQTIHAVRPIP NGGEVTTSYLAGGTSQERKQFLKENFGFDCACEVCSMLEDKLRESDERLARAEKLDET LGDSKKVRFSPDEVMKSARSMFKIYEEEGIKDGRLGRLYYDLFQLCNMHSDLARARCF AKYYVDSKKMAEGKDSINVLEMFSYVKSPQKHDSFGSTDMWRTGTTDVPKGLKASEFA KWLWRENV LY89DRAFT_476690 MASPPVFRSSASLCSIIQVQQKIQLDPLTMAEVQRSSRQPTKLS NTKYVFMFLETLPWALCLLTSLAWIFLTKAPSSSVLATFLWLAPMAISQVASISFLIY HGYGQRSSHYHLTEYERAILDCVRSSLCSLTLSSLCMWIIVFIETQMISKINPLRDEN GNLDDDAGHAWAELVLVSFCLLILVGPLLAVIQIVPFQDAKRVRKARSEKRERLLEEG SFDLEDQNGKVSDWEEADEYEETIHDQNGC LY89DRAFT_731516 MEHHSGERPFKSLKDKHDAGGYSPPSPAQLSKSRYLYLAFEALP WVMSLLATAVELHFSLPRKTRFQLFMDTAIITNCIISLLLIKIFNHVPLYTWTLIRGH PCTSIERVAVWFCNATFCGLLLISQITGIFYQCERPVGGKFMSFMLFQLIALIVFWEA RVWGIKFWAMWKSTTAEPRHTIATMIFTGFLAVSFTPWEMSEL LY89DRAFT_683037 MNPIERTFVKTLPSPALFNNSMLLMFRQVITIIITANFWQCMLQ QFPHRLIPYYIAAILSAVLAVLIAVTMLAVLLWRGFFHSDFSTGFEDITLRSFAFEIL NNAKRIVCSSVFLIVGMIFTILPFVRIGIYMASMPFRPTTEPSVIDPDHPFAVNRNNF MLAMY LY89DRAFT_476744 MHRHSRSPAATMSYLVIDTSSKTMSPFELDTWSIAKVAHDKLVM EAGFLDHDLRKIVAHATLYDRLLDEYYSTSPPSSPPSPPSPTKLAFEDIVHHGIVVVE HAELSLVKVASHSDNMHMNAAYAKGSWEAISMIPV LY89DRAFT_683038 MSKPFEGKVVLITGAGSGIGRATSIKLSHQGATLALTDINPSSL QETLSLCTPSTHTTSAFDIASPSACNDFIASTINTHSKLDHVFNCAGRNPVNIATADI TDEYWDSIINTNLKGMFNITRACIPHLQPGASFVNVSSIAGLQPTNGFASYCASKYAV VGFSKCVALELGVKGIRTNVVAPGYIDTPTNAGVVAGKEAAESMAKAVAIGRMGTAEE VADVVIFLFSDEARYMNGSVVEINGGLK LY89DRAFT_780114 MPADRLLTTVLRAFQSTPNPEQTDRILGSTTSLLTSLSNPLNIT LLTSHLLTAPAIWTRVDGLQTCFRIISLYNTAAIHVRRNELEGPPKPHDAYQPRRGGG IAADDWTTAVLKGLDDRSPRWQHVLVISGLLLGMEGQDRQSLSRNLKSTVENAMVSAT NLALESPASGGILGSTSVVLALNHAFPLLSDYCRRDLNYDSLLMIMVKAMTATEGYQE GYFLQAIDYDVKEVMGRKFDWSPRSASFRQIQKLASKPLITSMGPLSRLVAHAIENTT QPLRIIEAREHILAFTGNLLRKWSKNKLSEIDQSEEIDFLTPETLKVTFPMLWQVLKT AMLATLAILRAMIGRALIDPFLASPQNAPMMTTQALLILKNIHFISSRFGPNSLSAYV FVNMTSIDILTRYPSASATFLRTIYPSQAGRIPSHPLQRNHDLFYLNTAEHFTLALSP ADSEQLTVTPSQPYLSPTANSNLLEIFEAAHSAMLAVLASPRNAELTARVLPFYVESL FASFPKNLSPRQFRFAFKALIQICTPPNPLASSQPMMAETLLEWLHHRALNAPNVPLP PSIAIKSEVDALSQEVPLSEQAVLLLTLLDALPYVTLPVLEDWLPLSADLLNVVLDKT MKEQCKKRFWEVLESGEMDVERSAVCVAWWNTRGGRERVLFGDQEKGPFMSGGLAVKE SRL LY89DRAFT_773202 MAVNARLQTTAPLDYATPPFPSLYWPYRPKPGVANYLYYSHDIW RYTLIWTLIVFALLHLLVAGFAVLRQLGKGKKAWQYVWIIPLVYIFVAGVEAILAGSI VGLILGAVYNAGYFKMSTWIPFIWSLISGLILILSSFSIEGGL LY89DRAFT_683040 MLFPVCRRYNYLFTTFLCQFWIWGLALDFPDDPSRIPRYDMEFW NILRDHTSGTHSTTSTYRNARANGHITTEPAILPNSDRFPQLRTLNTIPEERIQRMSG GEERAIGTKESPCSYRYQTSVQESAIEVDINSFAKSRNHEQKWDGIVILDKNLKLVP LY89DRAFT_683041 MSSILSFGSGTWDCCNCSCSCCDGDNGSIATGGGGNSGADVGWI DLGGDSGDGSRNEERERRRREEQQRKQNQQQPQQEQMSYVASNDHRDDLKREI LY89DRAFT_683042 MASETPSAHDPTTSSTSTSWEPPRRRNVLNDASSLINGGGLGDP SSSRGAMPPPSKPSDIALALDMFEKQFYPLEPKSLSGIALRAFLLGLTLALSSILTIY LLSTSHPIWRFPFSLASLSLFHFLEFYTTALTNVPAASVSSFLLSSNGSAYMIAHLAS MTECLLSHFLLPTSVLSPTTHYIILSLGLSLIILGQTIRALAMLKAGTNFSHFVRRHK AQSHELVTSGIYAWFRHPSYFGYFWWGIGTQLVYGNAVCLLAFGVVLWKFFSKRIEGE EKLLVKFFGGEYLAYRKKTWVGIPFIR LY89DRAFT_683043 MPSRQNPGSVRTGPTFEDLSSSAHFLDSANSSSPPSPTARRADL SRSHSNLSHDERTPLLPSAGRSRIRLQSAAEAVKPPISRHHSVAGSLRSLRHATRESS WSQRLANALSLERPPKDSLKESKSSLYPDDRVWYDQFTSTDWVHDSIADAYRVKALRS RTDIRGRIKAFFDSAQGWILSALVGFLTASIAYAVDVSEAPVFDWKDGYCSTGFFISE KHCCPDGDRCSDWEPWSNFFRIPGFSEDFVEFAVFVVLVVTFSTLACLLTLTTKTVVP SSYQMSTLDENLGAPSSRQKPSDDDDESNPTQASEQEVQIAPPMVYYSAAGSGVAEVR VILSGFVLHGFLGVKTLIIKTLALILSVASGLSLGKEGPFVHIATCVGNIACRLFYKY DSNDAKRREVLSAAAAAGVAVAFGAPIGGVLFCLEEVAYFFPAKTLFRTFFCCITAAL TLKFLNPYGTNKIVMFEVRYLTDWEFFELAAFVLVGCLGGAAGALFIKASRAWATSFR KIPIVKRWPLAEVIFVALLTGLVSYWNPFTKLPVAKLLFNLARPCDPNKADEMGLCPR DMDEIFPVVRSLGVAFVVKGLLTIITFGIKVPAGIYVPSMVVGGLGGRLIGHLVQWIV LRFPTSPIFGNCAAHVTGQSCITPGVYALIAAGSTMCGVTRLSVTLAVILFELTGSLN YVLPFSMAIMVAKWTADLIEPLSIYDLLTNLNSYPFLNNKHKPIFTSDLADITPRVRR ERVIDITHSPLISASTLRHKLELLHKAGEIDGGLPIISNEILVGLIPAPDLEYALDHL EDEPSSMCLMAKVPTFDDSDDETHVDPTNFSPYIDPAPIALDIHSPMDLVYECFVKLG LRYVCVTKEGKYAGMTHKKTFVKYVRELEEREGHT LY89DRAFT_476934 MNRRIARRKVDMPTWFSRTLIGAKTPPRLRWCGRFLEVPVALVV ALPATASSSPVGACSSNNQTLRIRGRGLKLVKHKATLSITTAETCSWRCRKSKGERKM NLFKFSLARWQVQLAGRASSAALAEIVGEESQCSVRQL LY89DRAFT_695767 MSKSYPQFLLFGDSIIQMSSYLRDGYSFAAILSEHCIRSFDIIN RGLSGYNTANAMLILQDIVPSPDTAKVAYLAILFGANDACLPNSPSRQHVPLEDYRRN IKTILTHPVVKAQDPTILLITPPPVNESQLEVNADGTTSRQQTVTAKYADAIREIVTE SENEKVVLIDLHAVMMKKATAMVTQNGGSLDKALQTLLMSRATNCPQNTDLLRVDGLH LTGAGYKIFVEEVLSHVEVPPRIFPLWAEAPRNDRG LY89DRAFT_580987 MDVKHVQAVQNNHADRLLRLEKRQADDAALKSVWGTGSPFPSVL SGTPQQGPVPNPTTDVFDDFDDEQGHNLLGSLQLEADEEPVRRGASRANSVRFDVSAL QGSNWAAGSRNSGEFGPVRPSSGFGSHPMTERSLSHKSDGRHSSAGHSVHSMHSVPSG RTSSLGLDTNFIIGGHGDDSPLDIPDPPPGLFILGSVPSIIRCWLTTNFSHPALLYAA ICTGAQRSTLDYSLVKDLGLADQMHKDTTGRQIIRLPVYLPEAIVTQPSSRSSSPAPH LPTLSADFEIVGLNQRTSIDRKKGIRVFIGSDTLRSHNADILFSQNLMTLYSDDRNKL SVPFVRPEDEAAFRNLRTTNVAPEKNELKATAPPFTPTESKPKVEPTPDAVTTALANS VERNHKEPEHAKPLPEPQSPYTSTFVSESATRDRASSMKSVAGPNGSVSDSEKQQDAS VGEPETSDTTNSDSTRRESTAGIWGSWRQASTSITGSETESTSGYQRATRGGRSMKVL KPSKPISSAPSAPSRSTSAARTGSTYEPPPPPRASGETRRKSQVENVPLRWEPKRGSM EEQKTSSSSLVSKTIATIPRSSNPVGGASAFAWMNSNKPKASATAE LY89DRAFT_780121 MADKPTRRLTRPALFICDIQEKFRPAIWNYDKVILTTQKMIKAA TILNIPIYATTQNRARLGETCSELPLSSAVAHVDKTRFSMWIPSITTHFSSFTPAEIV IVGIESHICVTQTALDAVRAGHKVYVLADGVSSVNREEVPVALERLRGEGVTVTTSES WVYECMGDAGIEEFRQVAGLVKESSGGTKEVLGALLSKI LY89DRAFT_570785 SVNLVVAATSKEDYSWVKDLKVPGMTVVPYIADNNTAPHHAVKN KGHEAMMYHQYFYDFYDNLPDISILIHSQALSWHVEQLLDQSMIFSINHLDLREVQRR QFVNLRVTWGIGCSTLSINTTKVTEESGNQPEQKEMQEAFRANFNIYDIPEILATPCC SQIAVTKEKILSVPREQFQHHINWLINTRLPDSISGRTWEHMWQYLFMQKAIDCPLEH KAYCRLYHICFGGREEYDEWIELMQGRERL LY89DRAFT_477154 MCVTNVYVDRYPDGKELEFRHTEICQYGAPGRPCPKLSTLENPV RNIQFGEPTTEYMMTAHRRSFPHTPPRSSGGSHHRRQSSSVSSDDKNGREGGIRRRTS ERKREVPALRPTRTHRKERIIIVDSPPTPRTPPQTFGQTFSVPNSPASPPFIVDGLPR ERGRPIIVDERPMQREREREIIRERESDNARRQRVPSIGAVVGGRRRLSTSRERFGWD SPSSSHTSFDSRARREVEEIERRKREREELEREREKAERNREMKEFERERKERDRERE DRIAALEAERRREERIRAQDEEIRRRPAVPVPPSPPRRGILKPVVTSPGRPDPNEVLL GVGSLTIGERERPLVMSAGERAIIEEKEIRRRLEERDREIRERMLEKEEEAMKQRLKE RQMPKRRFSVGPGNRRHRVLYDDGVYRWE LY89DRAFT_731529 MRAARYYGIEDIRVEEIPEPVCKEGQLKIKPAFVGICGTDLHEY LGGPTFAPVKPHPVTKETLPVTFGHEFSGTVLEVGKGVSGFKPGQHVSIQPTIYDGTC GACKHNFENVCYNGGFVGLSGWGGGLSDAVTVPSSYCLPLPDNIPLDVAALVEPLAVG WHAVSQSPLKPNDNILILGGGPIGIAVIQALCARGCGQIIVSELSASRQKFAKQFGAD TILDPRTVDVVKSVQDLTGGEGVDIVFDCAGVAVGLEAACKAIRVKGTVVNVAIWEKA VPFQPNDLVFREGKYVACLGYIQQDFKDVIQAISAGKMTPGEMITSKIALENVVEDGI KELIRNKENHVKVLVQI LY89DRAFT_612583 MYTASFAFFEALWEAGVTYCFVNLGSDHPSIIEAIVKGQNEKKG EFPQIITCPNEMVALSMADGYARLTGKPQCVIVHVDVGTQGLGAAVHNASCGRAPVLI FAGLSPYTLEGEMRGSRTEYIHWIQDVPDQKQIVAQYCRYSGEIKTGRNVKQIVNRAL QFATSDPAGPVYLCGAREVMEEDLTPYQLDQGFWNKVEPAALPGTAVEVISEALVQAK EPLVLAGYTGRNHASVGALVELADTVKGLRVLDTGGSDMCFPADHRAWLGLRYGIDQA IKTADVIVVIDCDVPWINTQCHPAKGAKIYHIDVDPLKNQMPVFYLNALARYRADSYT AITQLTTHIRTTHTSTLSSSLYTERWSTLAEAHTKRLETIAAAAAPKSDGTFGTGHLC RVLRSIVPSDTIFAIEAVTNTAFVADNIQATLPGSWINCGGGGLGWSGGGTLGIKLAT EYEAKKNGGKGKFVVQIVGDGTFLFSVPGSVYWISQRYGIPVLTIVLNNKGWNAPRKS LLLVHPDGLGSKATNEELNISFAPSPDYAGIAKAAAGGNLFAEKVSKTEDLEGVLKRA VESVLSGTTAVIDAVVVSGC LY89DRAFT_695772 MSPTRSANSSDSFYILPPRPNPSADAGLSIKADSDGSNTPPSPS SMDVFKISPVTALKLLCAGIEALVKVTGDIPPTPPPTFTTPPNMRGMQAEKENIIRSN SYKNFAELHKRPRTPSSSSGGSEDIDGVNFRKTPLSSPEIAPTEPYIIIGDNAEPLNV QHSVITRKFYSKHPPPISLEDYLMRIHKFCPMSTAVYLATSYYIHKLAVDERAIPVTR RNCHRLLLAGLRVAMKALEDLSYPHSRFAKVGGVSENELARLEISFCFLTNFEFKTSK ETLLEHAISLKELSAMQGAVGFVPRMPLKSKRRVGAQETVQEVTADA LY89DRAFT_477257 MAGIDVQKVLKGKYPAKEHAKRVVEWMQRNKDGDGEVGGVLYLE GQKTKMIEDNDEAAPFRQRRYFYYLTGCDLPDAYYTYDINSAKSTLYIPPIDADSVIW SGLPLSEEEALSKYDVDAVLTTDQVNASLAHPRGSSPSSVWAIANQVSDHITFLEFSH KDFSLLKLAIEECRVVKDEYEIALTLHANAISTIAHTEVLRRVKRARNERELEAMFIE RSIANGAREQAYHSIVASGTAAATLHYQKNSEGLEGKLNLLLDAGAEFGCYASDITRT FPISGTFSKESLAIYNIVLEMQTVCTNVLKEGVVWDDVHLKAHEIAIDGLLKLGILQG EKSDILKSRTSVAFFPHGLGHYLGMDTHDTGGHPNYEDKDSMFRYLRVRGKLPAGSII TVEPGIYFCRFIIEPYLRDPVHSAYINFETLNRYWEVGGVRIEDNILITRDGFENLTT AVKDVGEMGRIINGE LY89DRAFT_612588 MTLKKVDYALYLVTDSTPAILGDKGIVKVVEAALEGGVTILQYR DKVSDTGALISTGKRLHAVTQKHGVPLLINDRIDVALAVGCEGVHIGQDDVDLPTARR VLGPDAIIGVTASSIDEALTACKDGANYLGIGTMFATPTKTNTKDIIGTAGTKQILAA MSQNDWSRTVSTVSIGGINSTTIQRVLYQSAHPTKPLDGVAIVSAIMASADPRSAASS LLSLIRSPPPFAITNPNKATTTATLLAQIPSIISAVSQKTPLSHNMTNLVVQNFSANV ALAIGGSPIMANYGAEAGDLSHLGGSLVINMGTVTPDGLANYCQALKAYNLAGGPVVF DPVGAGATAVRRDAVRVLLAAGYLDVIKGNEGEIRTVFGAVVQQRGVDSGVSSTTEGE KARLVRDLAVRERNIVLMTGATDYISDGERTFAVENGHELLGRITGSGCVLGTAISLM LAVSREDKLLAALTGLLHYEIAAEIAAVREDVRGPGTFVPALIDELYNIQKATVGGDL KWLERAKVRAVEYTLP LY89DRAFT_581823 MATINIRSDVKDPFYRYKMEKLQSKIEGKGNGIKTVIVNLSSVA ASLARPGAYVIKYFGFELGAQTNTNPADDRWIINGAHEASKLQDYLDGFINKFVLCKK CKNPETDVVIKDGNIVLDCKACGQRSNVDLRLKLSGFILKNQPKKGKKDKSEKKAARK AKQNGSANPDENGDNSPGDDNSEHGSNENGNGDVAIEAGSDDELTRQIKAGAEQLDEP VEVKDDEWTVDMSAEAVRARQQQLPDDLKQKLVLGGDEDDEDGEGGGNSTYDQLGNWI TEEAQEKGSVDDVVDIDIYLKAKDLGIETKHRTLLVLVQTIFDDDIVKQIPKRASMLK NLLTSEKHEKALLGGVERFIGLRGQKDPAFYDQTSKILLVLYNEDLLPEEVITKWGSK ASKKYVDLSTSKKVRKSAETFLTWLAEAESDDDSD LY89DRAFT_642001 MESVARSTRNDLFQELKPRCVELSQLALRDDGSTSNQKALIKSV TELLLVLEAKCQRNDGTFDEKLADYVFFPLSQILRRKQKYTDRLSELTIRCVRILLEY GWMRAISLDLAKQLLILLTFVAGGVPGKETVAAPEELMLEAYRSLAALFRDLSVTSGG PSALIESGTIPALGHCITVILDGVVDGPTMDVHFQALHALHAAWSCIKDSQVLSNFLP ATISALTKCLVPGTITRRSRKTLVKALEVLCHVLLSLLSDIRTRSIRKDAEAPSEKTV EDAEPKNLTSSWLNATVAQIKLALSSIIRLRSHESAEVRKALNKFCITILDECHDTLA DSAGMLVETCMILMGVDADDDLSTKATSIVDLASIHPDLSDLIKNTIYNWVTSLPRVM QSNDEAAKLAALGQLSKAHEILRGLNLESSILEDALGNSLRDSITATLETAPVKHLQE EDFDLNSQSALTLATEGASSLTFKPVVMAEESQRKTRDQLTALLGNLGSRESQINMAS EMLEYARGAAGPSLLSAYWLSSQILMSAASQNKDFDEFFESSLTLSDEQEAANQELYQ FSISLLANSDERTSDWRMQAIALEVLAGTAQWMKEEFKTELLDTLYPVAQLLGSSSSQ LREHAIICLNIISNSCGYKNASDLIVDNVDYMVNAISLRLNTFDISPQAPQVLVMMIR LTGPSLLLYLDDVVESIFAALDNFHGYHRLVDVLFSVLGEIVSVGSNSDLLQITDTPT IDHQKKSPPPITMDDIVKLLKPKQIASDTIPHEDFPSTPWKSAKTLLDEADAFANPTD EEDPAAESEQPQELTPPQPTQTYTMLRSIALLSQHYLTSASPLLRSKLLHLISTSSSA LYLDENSFLPLVNDIWPVLIARIYDTEPFVKIAACDAVVTMCHSAGDFLATRISNEWS NIMVLARNAKREVEREKGKGRGGRGIYSQNSQVWEAVVRLLVAVVGYVRIEDEGFDEV LGILGDLVWERESVRSALERVNADAVWLCMQDAGKNRRLEVPSIEGFKFAALDAGVVA LY89DRAFT_716713 MEDLLSQSPFLLFFTCIVAFGIWRFSTIFTSSRAAFPRIGPAPD AADNKRFVTESFQMIQEGYSKYKNGIYKLWTLDMDRFIISHKYMSELNKVPRSSVRLT TSERYEYTGMDICEETNLQYEVCAGSLTRNIGSLAQTSYNEILFALNKKLKAVSNDDG SYSIPLFTSLIDLVTSSTARVFVGPDLCRNPEWLSTATGHTMAVQKAGEELLTFPAIV RPVVVHFLKSYRQLRSSASVAKRLLLPEIEKRAQNNNEKGQYQDMLQWLIDTAQGRDT EPERLVKMMLFLNMAAIHTTAITATNVFLDLCARPDCIDMLREEILQTVKEDGGIKTS TLPKLKKLDSFMRESRRLNTMGFLTFQRRLMVPLTLSSGATIPRNSYISMAYQPILRD PAYYASPLTFDPLRFYNLRQKEGEEEKHQFASVDASEPLWTFGKFSCPGRHWATAQIK LLVMVFLLEFEISYPDEQTERPENKIMGTKIMPSVAQKIVLRRRES LY89DRAFT_695778 MSSSNSDDEKATIPSPSEDEFLVKWDDNDHLNPQNLPVVRKWLI TVAVCVGALAVTCGSSIYSAIYDQIIAEFHSSQEVVTLGLSLFVIGLAGGPMFVSPLS EFYGRRFIYIISMILYFIWNIPCATAQNMQTLLIGRFFDGLCGSAFMSVAAGTVTDLF APQDIQAPMILFTITPFLGPVLGPLLGGFINSYVSWRWTFYVMLIWTGILSGVLIFVP ETYAPVLLSQKAAAMRKSTGNESYYSASEKTRASRPLSVALKESLYRPFQLLFLEPMC TLLCIYSALLLGILYLFFGAFPLVFTTNHGFNLWQNGLTFLGLVVGQFLAAALNPFFR KNYLRLVAEQRAKEKEGEQQRPAPEFRLPPAIVGGILVPLSLFWFGWTTYSSVHWIVP IIGSVFFGTGMFLAFQGIWTFLVDAYPSYAASALAANVCARCVCAAAFPLFGDQMYTN LGYQWASSLLAFLALAMMPFPYLFYRYGKAIRARSKFAFSS LY89DRAFT_477705 MPPQRHLPPSTQPRVRQRSARACGPCRRRKIKCDGTEPCAACAV YGYDCVFNDTPRRKPAAKSPDRPVATTEVANPILPGTAITLGEPLKNNELFIASESIL EPSNTDPLLLRALKTRFTTIHSAIAVPRKLGMTLGLQPLPRLQSFGWNPGIRPEPHVT PKIGLCDIISVDQMRYYSDIYFNEVHPYFGILDKDLYTMRSKEFWLVGRKGIDFEACI CGVVALGSYFSSEPLSTEAEVVEQGRYLLDFSIAHAPAQLSIKHVLAWILRAIYLRST TRPHLSWLASCNAMHLAEAIGLHREISESQVPCERLGNHRPVEPLEVDLRRRTFWVAV ALNQLLCSEYGRTKITIDMISCKPLQPVPGDFTLDVVNIMTSVPRSQDFTTANIAELV DSLRMACEMPAKSAFLGLLKADACFCIYRMLRCTNIILPSCRVTPLLEVIRVALDGVK FLCSMRQAWWNLIGTPFHCVCVLLALGTPASLEMVPKTLETLKAATDLYNSHLSDETL RTAYALVQGARDKRKQEIENLDRGLENVGELPSATNGELDSVGFFESPMNGDMGGFMD FVDIVNFYGPEVDAFMLT LY89DRAFT_477771 MPKKMRHNTRLLIAHVLIWTSQLQMALLFGATTEFDMMDNWNSE ECNKVADRDDISDLRITENRGLPSEMHAELYENPATIQTMDRPFFIQAPISQESSLRQ FS LY89DRAFT_477772 MKEFIAFATLCLLPNVLPRAKTARNFCWTSSQGQIDYYMKAHAR RQLRHVSRRQFSTCRGHDIYSYTTEVEMSRERTPRSLDLTSVVLHIVRVYIWSYVSVS KWASRQLMLSGRSQVCQRGNPDILINAPSEKGRGRI LY89DRAFT_477765 MSARMFAPKVASMVGSTSAKVARPVVRSSIKANGAQRAFSGVPS ATRSTAFQTLKRQQASQILSSTTRQLMARKTSRPFSSEIATAMVQVSQNLGMGSAAIG LGGAGIGIGMVFAALLTAVARNPSMRGQLFSYAILGFAFVEAIGLFDLMVAMMCKYV LY89DRAFT_642017 MATKSPVNAPHNPPMGPNGKATAAAPKLSDDENVELASMHQNDL EANVEVDIMQLARLGDVQSMEKLFESGKFDASYCDHEGITPLHWAAINNQYAMCQFLL KEGADVNKKGGESVATPAMWAAQRCHYYTVNLLLQHGADPLIIDVQGYNILHLATFEG NIFLLVLLLHQGINVDVPDSHGHTALMWAAYKGFPTCVDLFLRWGADVHATDETGFTA LHWALVKGSQGCMQKLLEYGADRFAETSTGKTPAITAEEMKTERIWHRALKECGYNED GMPRTLEFPMASYLMKDKRNFMTKFFFLWPFFIIWVMIMLSSHMFVYAGIPLALFAGY SLQWVAYQVMDYAPADMRHMHKTPWLAGIFAGTLFWVGVNWIMTILPWTFSTYPFSNI AFGAAYALCGYFYFCTMFYEPGFVPKLGGLTQQKEMIDELLSLWKFDDQNFCVPCMVR MPLRSKHCKRCNRCVAKHDHHCPWVYNCIGINNHRHFFLYLVCLEVGVFILVRLAIGY FEIISKDADDSCNLLAPALCKVVNADPYTLVLTFWSTLQLTWVTMLMFVQLVQISRAM TTWENMKGAHHGHGSKASEAITSALTTGTTSRAGAQIGSTGMGPDPALPPTHAPGHNH PHHKAGCFAQWKKILGVDTFVETALDSYKGDKNRPRAARNPFSNGCIGNCKDFWCDPA PVFGKRDNGAAMLGGQVVDYTAMYETPRLMSATRRRGGDGAGAYEAVGNDDSV LY89DRAFT_642020 MSTIQDWAHALMNPSPVGLIIAILIALTIPIFLHTVVFKASGLT TLPSILLLSPSGSGKTSLLTLFERGTPASTHTSQTPLAVECSLPVGTTASSDKYRSTH DPTSLTHKKFLLIDTPGHGKLRHHAQSSITNPQNLKGLIFLVDSATLSAGDEGLRQTA DYLHNILLMLQKRSTGSKAAKALKDIPVLIAANKMDLFTALPAALVKSSLEAEITKVR EARSKGLLDSGIGMEDEGEENDDWLGEMGSKEFRFKQMEEFDVSVEVLGGNVCGEEPK VDRWWQWIAERL LY89DRAFT_706011 MSTISKPAVFPAMAQSATELIGNTPLVRLNKIPQSLGIEAEIYA KVELFNAGGSVKDRIALRMIEEAEKSGRIKPGDTLIEPTSGNTGIGLALVGAIKGYKT IITLPEKMSPEKVAVLRALGATIIRTPTQAAFDSPESHIGVAKRLQKEIPNSHILDQY ANKDNPLAHEYGTAEEIWKQTGGKIKAIVAGAGTGGTITGLARGLKKHNQDVKVIAAD PFGSILALPEALNQEHANEAYKVEGIGYDFIPDVLDREIVDVWYKTDDRESFAYARRL IAEEGILVGGSSGSAIAAMVKGVKELGLGKGDIVVVVLPDSIRSYLSKFADDDWLAAN DLLPPTPPTTSPPSPILTAASAKKDPYHGATIRSLRLKPVTTVLADTPCSEAIETMRE KGFDQLPVLKPTGGKLVGLVTLGNLLSWISRGRATGKTPVSEVMFDFSSIPEVVTDPK DISKLTEAPKSNGLANGSEGKVQKTPKRKFVQITLDTPLSALSKFFEWNSAAIVTEKG GIESDGFSKPVAVVTKVDLLSWMVKQTKI LY89DRAFT_706012 MRFSSGLLFLVASAPLAIAYPEFTVPAAGASVPGGTAFTVTWKD SGSAPSNADLTTYTLFLFSGSNATPQQLYQLAASTIAAGSTVSVTVPLGTGGATTNAY FLGMLSVASAGGTIWTYSDRFTLTGMTGSFSAAVIAANAAVVGTTGPDPVNDVTGTSN GAASSAAAGAWGTPYNEQTGLTKYAPMQPVPPTAITATNTSPLWPTSSVVLASTFLPI ASQVTTLTQANTFSVSSHANTAAAASSPTDDMQKFLNRWKD LY89DRAFT_683060 MAESPANYDDLIPDDDAGDVEMGTEAVVEIESSVAPSTGLVDEE GNGLPFEEEGTMDEARPARVTYIDYLKSPVIGLLVGQGDEQALLMAHQMLLESSPWFK DACSKFSDEVSERRIDLIDEDLDAVGCFLEFLYTGDYFPRKIAGTKDLERDPATPTVD ETGDQLLKHARVYTLAETLTLPTLKNLASSKIHCVNSTAKGEIAYARYVYAHTHKDDT AIRAPVANFWATRSHTLRSEAEVEFRQMCLEFPQFAYDVLTRVLDEKLKREKQEKMHP TPGSSRKRPRGSV LY89DRAFT_478251 MDSLNITIPYEEPEWNSAPAWRLPGWAEPVMVASILIGSMLITR RHGYKLFGRRRRSQGLLDDDIDSARSSDDLLSRDYMIAGDDVSEDSMSTMKYPPKKRS CCGTILYTPNSSRFADHLHSRIMQKFPFLMEMFYWIITYAFYRCTSLTAQAIFSKTGI WDVAQDHGLAILEFEQFSWLSFLWPVREQEVQQWFMHGHQTFLTVLNRSYALIHIPGT VGFIAWWYYVAPSFNTFAIVRRTMTLTNLIAFSIFILYPCMPPRLLPREYGFLDSVGH NKAESVWMSGKYVNSLAAMPSMHFGYSFCIGCTMFYHSGLFRKTLEPGESRKSKPWQL FYALFAIAYPCWILITIVATANHYYLDACIAFFVAIIAFMCNRIFLVFLPLEDLLLWC LRIEKPIPTTGDRARRSGR LY89DRAFT_612628 MAPQPYKISVPQEKIDNLKLKLSQAEFPDELSESAWELGVPLSD MKRLVKAWQQWDWRQAEEKINKLPQFHTPINVDGFGELDIHFVWQKSEVKNAIPLLFC HGWPGSFIEVQHILPLLAQPNGPAFHIVAPSLPNFGFSQGPSKRGFASAQYAETCHKL MLSLGYPEYVTQGGDWGFTVTRAMGKLYPQSCKASHINMILAFAPTWSKNPLLALQHA LTPYSEKDKKGFERSEWFAREGRGYNLEQSTKPQTLAYALNDSPVALLAWIYEKLHDW TDSYPWSDDEIFTWVSIYAYSRMGPGAAHRIYYEVTHTQPGPGRVTQADIAGWIGGVK LGMAFNPKELGVFPKTWCRTLGPVVYESDNESGGHFFATERPELLARDLRTMFGRKGG AFGAVKGKSGFDDGKARL LY89DRAFT_612630 MPLMPGLFRKAQVSDVDLRRHSYYAADSNNQPRGPPLDGRASEQ IPRRPSAAEFLSPSSAADEPAPARMSAEADEGLQRSTSPPVQEESQKHRRFSMLKFRH ASDSQLSTRARLHAQTQADAPPLPRPPEIITTAPTMDMNATKSIKTKKSRMKMPSRTK SSSADTAIEAPMSLRVTKNEKKERRKTMSETAPSGSKGRVTFDEPENRPQTQAGHSPP AYGDEANSTLALPINRLSESSRSDGSSGEHGVYATTTTTTHTVHTTTTFFRLPRRKKP APLFNLSHLPQKSNPQNPAASRTSVSSAGVPPPESPIITALRSPSVDNVGLGSHGGSH SPLPSPSHSALAKSSTAFAVPGAPLFRQGSAASNRSSPSRARLGMRGRSSTLSSLRNV PSEDPLPTPTLPSTRTSTSTGRKSFGDLFGLSHRLRQNSEPPFHHSGPATPGSSTSKN NSIQLTREPVPPIVLPERHEDDTPAKYLVRLEEAVSRGVVASVLSKGTDNFSQAVLRS YMRGFGFFGDPMDMAIRKLLMEVELPKETQQIDRCLQGFANRYHECNPGIYASPDQAY FIAFSLLILHTDVFNKNNKHKMQKPDYLKNTRGEGIFDEILECFYDNISYTPFIHVED DLDINGERIIQHKMKKKSIFPRGAADPAKRPSKDPVDPYTLIIDSKLDTLRPNLKEVM YLEEHYNYLGTAPSLNLQDLQKTFFRTGVLQIVSARSRPDAFLNANTLHNPSSAQEAH PGIVDIKITKVGLLWRKDAKKKKTRSPWQEWGAILTGAQLYFFRNTTWIKNLMHQLET HIKQGHDGIPVIFKPPLDQFKPDALMSTDDAVALLDSTYKKHKNAFVFVRHGGFEETF LADNEQEMNDWLAKLNYAAAFRTSGVRMRGVVGGNYEGQRSRGIRRLDSTNESTKSIQ TPTGEVTIVSGRIDAKMAQDILAARREIMLQKIADAEEKLAVAQKQLDAQLRNARHLQ ILAPIQQKSREQVILAAGRMAAQLKWGRMEIWRLKCHKDILTMDLEEERGVDTSVPRT EIVVETPTSERTALARLHSKASSTLAAQRTPRSPTSHSANRPSTSTTQTPAKESENAM DDIFSTPPTLTTTSSFHKQQASWELPPLAFDATSPRKASFSSAAPPGSPDLDPTPSRS SLKPVTSGDAQRAPSPNIDAAEQAVLEQAGLVGAERTPDSKRHTLENEESKDRVAEKE KLDRGKIRRSLHRTLREGHVPSHHRSRKGKDSSSSAGMFDEAKEDVLARGTGSFVVHG KKASVITFGSELQSMSPDERLRLRKQPHKDEPGFLSPATIEDDFHSVLAEPYEFHERH DSAATTSTMTARSFRELHKKLRDSSAAAVVSDGEDSEAISFSEGRRTPLPPVEDENEE SNSSRPSSQNQQAMFYSATNSPVRDAFNEKNSRVSEHEDREVETDMLPSSSLQTVVSA LY89DRAFT_612634 MQLSSHLLSFVTLLFISFICAPVAAFAVPWSNNNQFSPTQRHSA TTEQPQKLRSVFPQLTWLRDTAIEKIFGLPRNTAKAGLDKPSSLSRPTSTQLPATLLA KYGGDVVLRFNLSTAQEEKALAEAADTLFLDVWEFTNNWADIRLRQDDVPSLLGLLPK SLQTAHSNLMPDLANTIYQTYPSIAFGEPSFPPTHLDRSFTPSLKTSDGVENIFFRDY QPYSVIVPWMRLMASMFTTHVRMIDIGTTYEGRDIHALRVGVSPNLPQDNPEPRKTII VSGGFHAREWISVSTVTYVAWSLITSYGKSPGITKLLQEFDFVFIPTTNPDGYVYTWE NDRLWRKNRQQTSLRFCRGLDLDRGFGFEWDGTTYQSNPCSESYPGDEPFQAVESHRL AEWAKNETENNGVTFVGFLDLHSYSQQVLYPYSYSCAANPPTLEYLEELGMGLAKAIR ISSGQQYNVASACEGAVSSKLKPAKGGFRMETGGGSAIDWFYHEMHVRYSYQIKLRDT GSYGFLLPKENIVPTGEEAFNALKYFGDFLLGNKGIESKLLEELHQGPVADVKVEVEI VELDDDIEYDAEDVNWELRRRRR LY89DRAFT_478468 MVSPCTKQLSYSRLGMMVVNVLLDFMVFEGLAKVPWGVFLGVLF SVELRIAIKHFKLSLNTYSPKLTAYTLTTLQVQNAALPGAMSWRRQFRSFVGNPYLRK RFKDQKVFR LY89DRAFT_581070 MNFAHIEQAQQGGQNYHFEAPQSMDTTGQVAPIGMKPRVTATLW EDESTLCFQVDVKGTCVARREDNHMINGTKLLNVAGMTRGRRDGILKSEKLKHVVKIG PMHLKGVWYLIPLLSPSPFLPLLNLTKQF LY89DRAFT_612640 MAANPTATLTSLLRGSTIDDHNEFLKAANAALKASKNNPDALHV RVVALLKLDRFDDALRALDDGGDKLAERCVLEKAYALYKTGKLAEAKELVQGSKKRGL QHVAAQVAYRAEKFEDAAHIYKELSANEGPIEGEENDLRINSSATDAQLEWQGNGDKI DPSRKKPSREDLEAFETSYNAACGCIARGDLGAGSVLLKRARDLCEALDELSDEDKQA EVLPIMVQQAYVFTKLGKSEEAEALQKMINIADVPEPPSRVIAQNNALASSTTNDNPF MTQRIFDSVPKLSHGEKFFEHQSSILKRNKYAIDLQSQKYSGVALSTSSVISKSPPTI SPFINTLSVVNAAAHAHNETGKASLKAILPLLEKRPNDVGLILVIIQLYILTNNPGPA INLLETFFKRLEESTAPQDQDVRFAPGLVAVLISLYRLSGRKAPIKNELAKAATHWRR KSKPSISLLRAAGTSLLESSNPEDLSTAGEIFTSLRSQDPNDRTAIAGYVASYATTDL SKVSSDLDKLTPVSRLISGIDAEALEEAGIPSFTPNVPASKKRAAETEKEKPAQEPKK RKIKKSKMPKDFEEGKKMDPERWLPLRDRSTYRPKGKKGKKKAADLTQGGVVKEEESL ELAGGAGSVKVQQNTGGGGGKAKKKKGKK LY89DRAFT_580949 MLDRKASGSDNSGGEEKQIGLSTSIDPATIDAPVPLEAAHAAEY VEFLQLKNKFESDPKAYKALIRRLDLRIIPMLFLYYLLNSLDKSNAGNVKIYTFLEDT HMTSHQFNLALTWYFFTYAGLEAPSNMCMRKFGPKLWLSGLVICWGAVTLGSAWVKSY GDYCAARIMLGVFEAGLFQGFYTLSCWYLSSELQTRCAYWYSATMLSGAFGGLLAYAV GPLQGHLGLKQWQYLFIIEGAITMFIGIIGLWLCVDFPETWSSRFFKADEMKFLQLRV KYANGPIAPDDTFRWSAFWEAVKDWKTYFIASLLAFGGSVPTYSVNYTLATMVKSLGY SSIKAQALTAPPYVFAFFCVILIALYSDAHRCRARSLLISYTVGTTGIVILWPCLYHP SLAGVSYFALFLVVAGYNMQAPAVGSWLGTNVRKPAKRAAAMGWQSTFGQLLGGCIGA NIFVTSEAPTYNSGFITLLVLVMVGGVGATIANWYCLRASNLKKDRIPAEELEGRYTE KELSEMGEYSPYFRYIL LY89DRAFT_683068 MGPRSRDDHEQSQDGEPPAKMRRTSRACIQCRMRKQKCDGPVES EQTLPCRRCCQLGATCSFTTTPPENEGEHGTLSNTVHKVGKILKQVTDHQRRIQELEK ALRDLREEREGRSERKVLLENTTSVSGAGTNALDIPTDPGTQPQYPTEVDLNQIFSPS NASSKSHPQNAQANPSMFGSELPSPPPTDSGFTMDAVDLDTPMSTLRNLKTLSKERTE ESAAARSMTYDPILPVLSRKDIQSFEQDPIVQGIMTLEEAQQAFDIFFTHCHPHAPFL CIQTQRSASYVRQTSPTLFLSVCTIGARFWQAANSQMNYEGLHSGYQNLVSLLDTSIS RLLLQPTLSDVNLDHIRSLLLYIQWMPTEQHTPGICQTRYNDISAWSVLGLAIRYAIF LGLDRTAIKPFLPESTDSPTQEDFSRLRVWINILTCDCHLMLSAGLPASLDPEPMMKI VRNFAFHKDSLQPGDAKIAAICELVTIVKKAAKSSGDPSVRSLDAATLKKTNSEFDTW ESFWISELSDVFHHSQIPFTSFRWYRLATNSAGLGSILSPKSSEQPMQLSLLQALDNS LTAAAQTIFALANETNWQLWPAQCQSLSSFPSRPFTINEAAIGRFRFAVDSAWITHSF AAVFLVLCYTRGAIDDELQILHLAHSASVRTVAPAAPRPSSLFFRLISLASQIFDTIC RTSAAHPAADYRTIINNVFSVILKGNKEEQDTQGQQAGDNLGGLGLAGEDMSMDGLFE MMLDAGFNWQGGLFKDDGLDYPVL LY89DRAFT_706021 MPRNPKAIQQALIHLQNRHAVFIFRNTNLNNDRQVSFSKQLGEL EINHSWGGSERVGSEYLFDVSNLEADGGLVKKDSRRWHHALGNALWHTDSSFNQHRSK YSLLLSHTPSNSSDSNTFFADTRLAFHDLPEEKKAYLRTLIVEHSLWHSRKLDSPTIY HSPTADELVKKPPSCHKLVQLSPSGEQETLFLAAHAKRVFLPSGNEVEDSQKLVWDLI QWCAQEKYVFAAEWRESGTLMWWDNRQCMHRASGYSEGMGRRDVRRATVVDDGREAWG MGEEVIGRLGLRG LY89DRAFT_683069 MASSRTPFRNLIFLLRVGAGIFGTAGLGLYIVVFKDVLNDWDSQ EAGLTALPIAAGGIILIWSILSAIFMLIRMSHLFLTIGDFFIFIAATVLGVIGFYHDD ETYLSTGNANTFSWDNDSSWLQIEEIGAVMLLVAVVHQLLLVLFNFLEYRHVKRAGRR VSLPPYIDYQPAAIEKKDDFELDWQSVVSEKTLPSVVKKPVSAVV LY89DRAFT_716730 MADALSSTLIRILRDRDIPYDREAIKAAFADKESQTAVQAWIEE YLSPETLLTKDEAALYATLTKTGEADTLAAQDLSMIQGLSEEETHTAIEELKRSTAAI EKQTETLRLQQNAMSALVKTEKRAVQSRSQIEKAQTRKWDVERGQISAAIEELSQSLI YQTSDLDQQLKGSEANARQTVDSILKSDDKLLLSLQKLASDLDPGKPEDDVLVARIRE LCARYIKHTVEGIRTRLDRIYLEALSQSRDTNGHGADGQEAVDLQEELESLYSEILPV AQMSAEQQYLEPALRSIAATNGKGQRRAVKAVTYIHECLVFLINRIETFLQRAQESQC HNMALQFVLDSAKTELKRTDALPGVKPTSPAKSNTQRRRKSSGSQSSLKVRNTRRSSG HLDEDLDPAGQLARNLSITLPAADASDEERITFLERALMDRASKLESHMTSLQSTTET SIASHLLDAHVTLNLLRDSLLADTDYHTVHLVDQELESSVNSFENDIQALQEDLEAVD LYSLQTKNVHKEQLVERWSR LY89DRAFT_612651 MAHNQSYTTIISDGIEALQLVFRLTWQRNLTSWLYVPVGLLIIL LACFGVDSLIGLSSVSFPASVACLILLFLGLIVIDLIIGDRKTRALVNVIDVPAGFAL RYLNIFFTPSFVLLPLSSPISGVEVGKIIAVFIVGFVVVMAATAYFARGLQLLLGSSK RAMTERAEELGHESDAIPLTDTNPRQNSEANFTPTTGTSTPQESDEPMSTLAAPRRTQ DPSLIRGTGGPPENDASSQAVTRVNQVLQQPLPLTRPQRWAAVINLNFDRLTYLVLFL LVGLPIYYSTGYAMPAQLTFNILAYFAALALPPRWRRFLHPVLVSSAITVLGVYILGA IRGDSLDTSLDAYRTQTTYLSLWENKPNLPLPGAGDVLVSILDAGIVALALPMFAYRQ ELKRHFFAIVLPNIAVSIGSLFGYPAVCYAIGISATRSLAFAGRSLTLALALPAVKNL GGDANTVAAVAIMSGIVGALVGPQILDWLRIPEDDYVTRGVTLGGSSSAIATAVLLQS DPRAAALSSLSMSLFGIITLALTSVPVIVKAIDSLVGM LY89DRAFT_683072 MADAGLPQGWEVRHSNSKNLPYYFNESQKQSRWEPPQGTDTEKL KNYMAEHHSALEIRPGAQANGNAGHSDKIRASHLLVKHRDSRRPSSWREAEIKRSKEE AMSIILGHEARIRSGQTTLGQLAVSESDCSSARKMGDLGFFGKGDMQKEFEEAAFQLK PGQVSGVVQTASGLHLIERLE LY89DRAFT_683074 MASPASSAGDEPKNKMEQITFRFCSECSNMLYPKEDPDTHRLQF ACRTCQYSEEATSSCVFRNVLNNAVGETAGVTQDVGSDPTLPRSNKTCPKCKTEDAVF FQSQQRSAETGMKLFYVCCGCGHIFQ LY89DRAFT_612661 MIQLKTMMNCIDNSGAAIVECVKVMKMKRHAKIGDRVIVVVQKQ RNFSESGPGASVSTSAANKVRRGDIRHAVVVRTAKKLQRPDGSVVKFDDNACVLINKA GEPIGTRLNGVVGTELRKMKWSKILSLAPMHL LY89DRAFT_612664 MPPKKNSSKKERLTLAQLAAYDDILTDALVDHVYFWTTIRKNKS AYHSSRGITEDDITKILQNRVIVEKDAATAETELLALSGLKKFSDSLKTDKEKDDFRR HLRKYINIYLPDCPFEVSSTNRYTIVTQEAAVTARRFIKKGEIVKYLCGIQVIMTEEE EELIKSSRRDFSIVVSSRNKTASLFLGPARFANHDCGASARLMTTGTNGMEIIAVRDI EIGEEITVSYGENYFGEDNCECLCQTCEDQCLNGWSKGDDGETRVIPKLSIEESNEGY SFRRRRRRDSVDSSRNASMTPDIMIRPHVPKRTPRSLSRFKNQDSPLSPSIEPSGSQT PRKRKRQDEIPPSPLATSEIEEPTMSQSPLKRSRKNEVLRSPSPEKEEPTSTEPHSEH NGLAEVLRSPTPEKEAVKPVYTPLKRKREDDEGVLLSPLGSSKRVRKDVTVKSEPSHL NLSTLASTESAPESSCESRGASFSPSGTDNQTSTDATSVDEDTIVVEPYVNPVVSKLR RRRRSSKQTLLEQAKMGDPVLVGGSTTAEHPVLQDDASSVLSDLPSEMFDRDDTSTKR QEKAMIEVKPKRGRRKKKEVAPKTDLDHAPSVRIPGDYVLTPRLLVDLASAWITCKIC EESFVQNDAYFTRSSCPRCERHSKLFGYMWPKTDKEGRNDSEERVLDHRTVHRFIRPV EEKQARKRNRSATESREVTREVSEAVIEEANRRGRRAKKPRITM LY89DRAFT_478685 MMMKKRFKKIRSQQKRSHQLKQQRLYPTWLHLIKMEWSHLDLRP LMKRLSLPAKMALQSCPPPPKWAFEDVLFEYGEPFQCPICYTEQVVKNKHAWKKHVFR DLKPYICTFQECKMRMFRSRNEWFAHELQCHRREWACQYCQHSAFTSAESFSRHIASA HPTILAHSKIEAIILQSEEPVTKISSKACPLCNEWEEKFSGSWHDRKRLVENTEAIEP YGTPKQFRRHLGRHMEQLALFALPVNASDDSDHESLDEEPDREEEDGTDSDYYAESDP QLVLIKGPSLLPEHQELTSPQPHASPAMSTSVKRACDACHRRKLKCDGINPCRNCSSA QLSCTYHAIPQQKDPKGSRAKLERSDLDPADNEDAKRASSPHHETGHETNDITAANEA AQKEAFDDLNTPKLELSKEESERLEQEALNDLLNEEKRTSQRSRHQLELEVDESLAPT TSEIYATLIRDIAEEEKAILERKLEDEKKAVLEAYETAQTAAAEVRVEAARKAAEEKA AWERKVEEEKKIALAHFEAAQKAAAEAASEAVKKAADEKAAWEKKLEEERDALDIRDK EEKAAFAKLLDTLKAQEARSLGNEQPPESLDNNISSTREQEPRRPVSVDEVDETTGMP VLGTRRSAVSGEKPEVSRERERRSKDEVEEGISPIQRQRSRQDLPALETKVPREIPPQ FRRSMSSVASLPKDKDETLKASTPPEHSFPLLPFDGGSLPFRTDSKPRFSDPPAPPPQ EPLPEKPDVPRSHAFEPSSPSLKRSKTDERIEMTGKRLPKAKLDEKATKLSPQARREL NALSDAMQIERRERERVADKELNNHLNIGIKTWRKKEGPMSGEIVESTASNSKGKQPS QTPPLQQSTRREPIVMLDSGPGSPPEILREHTFPTPDAPITSTIFDTVDGLPPERERS GNTSERPFEHPQVHYQGPSSSADYFSGERPIERERVPYQARPSWADNVSSAAVVDETV DNKVREEVDSQPPEGVSSELIAKITEKVKKELLEHLKQTGSKDEEPALQGTSSPLGPA PVVQTSYIVRGRDDGVPARHRSRSRRRRPSISPPPDSLPPTPVIDRDFVFNFEGDAID QNERRIPVTPQGKESVSIDHPSDIRERRFDNREDRDREREERILALEAERQREERILA QDEEIRLRRVIPGPLPPLPPPRRMIWNPVVTSPENPDPNDSETRDNVKQSGGDPIDLT DGSSRNNYPFQGIKCPTCAANGQEVWVIPGRSCSYCGTNC LY89DRAFT_683078 MSGVEVLGIVLGVLPLIISAAEDYKKGFEPLVRWKKFRFVFREF ITSVDIQRQMFHLVLKRLLIRVPLPPEEKQKLSTVPNYQGWSQPATVDAMKVRLGDSY KACMEILRAMKEDMQELQTMMSLKDGSVDWAHPGEKQWKYQAKRIQLSFSEKGTRTVQ SLEKKISDLNNLLSLLDSTDDESGTKGVSRDTTWAKLFECIRRHAINLHYAIKLGWKC SCEGTHLAGLQLQKRAVNESGARFTMTFGASGLPGTQKQKRVLVVLEESRTGQVVPPK SLNGSAAAVSYLDTLRVDFDSRSSSQSVTITNSVHARPAFTSSSSSMSSRLTSFGSIF SKSDSSLTISSISTSTASRTEVLVQSEQSLKTPNLALRPKAKKGISFAMDLPAEAKDP GSGPTSTPSNQHVSFPDTPSVPEETSAEVEIGDLCSTLRDLDPKATKLGYLSDHEKRM HEITCLTEAVIDSANLDLISLEELLAKDGHIRLTRQKRYKVACILASSLLQLETTPWL RGSLDKEKILFYHNGTEVMVDQPYLSHSFPSTKATHCPSGETTTETQNINSPRASLSS LGILLLELCWGQTIESQTNLRNKHLSSDGKAIGGTDYLTAIDWLDTVDEEEPRMGPII KWCIFCLYEGKPNWADSNFTQAVYTNVVRPLEMLVVQA LY89DRAFT_683079 MPPLIASQMSTLLTRRKSLSSHRTLTTFPPSSKDFSSNDFLSLS TSPLLRSHFLTELSSHPNFRLGSSGSRLLDGNSTYAEELESFIANFHKCEAALLFNSG FDANEGFFASVPQDGDVVVWDEMIHASVREGIKLSRAAKSVSFKHCDVDDLRRVLRDV KRGDRNVFIAVESLYSMDGDLAPLKQILDVVEREVGERGLVVVDEAHSTGVYGEKGRG LCCELGVEERVFARLHTFGKALGCNGAAILCSKLTREYLINYARPLIYTTAMGFPSLA AIKVVYSLMKEGKTDPLRIHLNELIIHMYQQLQTLHPYTTSSDLLQIPSEMPRSPIFA LLMPDPRSLAKYCQEAGFVVRAIMPPTVPIGTQRVRVCLHAGNSREDVERLVGTIRNW LMLQRREVRERGCEGMREVFVKAVL LY89DRAFT_780155 MRGHRPQALTVSLRGLLQESKPQHVLRRYGTVSSVSAQSAAPPS IFSNALDANAPRHNWTREEIKEIYDTPLMNLAFAAGTVHRKFHNPASIQMCTLMNIKT GGCSEDCSYCAQSSRYQTGLKATKMVTVDSVLSAARIAKDNGSTRFCMGAAWRDMRGR KTNLRNIKEMVNGVREMGMEVCVTLGMIDLEQAKELKDAGLTAYNHNVDTSREHYPSV ISTRTYDERLQTIANVREAGLNVCTGGILGLGEKPSDHIGLIHTVATLPSHPESFPVN TLVPIKGTPMGDNAPSPFDATLRTIATARMVMPRTIIRLAAGRTTLSEEQQVLCFMAG ANAVFTGEKMLTTACNGWDEDRVIFEKWGLRPMESFEVEALRELVSSSAPPPIEEKPV TTAAA LY89DRAFT_683081 MSSLWRNLRSYQIYGANTNVGKTIMSTILLKAHARKFPSENTWY LKPVSTGPLDEADDRHISHFAPGVQTKCFYQFDEAVSPHIAARSSEPLSDTSVQEQVL SHLSSCANSGSGLLFLETAGGVHSPTPSGSSQADLFRPLRLPVCLVADHHLGGISATI SAFESLHIRGYDLETVCQFEDQKYQNHEYLSNYFAKKGILSPSLPPPPARDQDPEADM EAMSAYYDKMSVLDRVEETLDYLIQRHATRIQVIEEMSEKAHKTIWYPFTQHREVSPN TIMAIDSAHGDFFQTYSTSSSSPTTAPPDEGLLAPTYDGSASWWTQGLGHSSPSLTLA SAYASGRYGHVMFAGTIHAPALNLANTLLETVNNPRLSRVFYSDNGSTGMEVAIKMAL TAANSVSPTKHKVDRNLGIIGLKGSYHGDTVGAMDCSEPSTFNERVHWYQGRGYWFDF PQVKMKRMEWIVESPGGLESEFGGTTKFESLGGIFDIQARLKSESARSYRKHIEKTLD SLIRVEGMEFGALVMEPVILGAGGMLFADPLFQHLLASTIRSLPLFSPPSNPSSTAWR GLPVIHDEVFTGLYRLGVPSPSTLIQTYPDISVHAKLLTGGLVPLCATLASESIYDAF LGEEKADALLHGHSYTAHAVGCNVALQSLRELTSLEREGAWNGCMEDWKTSDTVGESN GSEVWSVWGKGFVSDISMSREVESVIALGSVLAINLQDEHAGYSSTAATGLQKKLLAG CEDFKIHNRVLGNVLYLMASQTSRPETLRSIEKLLMKCLL LY89DRAFT_642082 MSFQHTLDGLLAKGKAKLNEFTHPEQQAPPQNYNQNYNYNYNAR PPPPPINYASKPTNFAPPIPPRQAAPYWKADFNPSTPISSIFVQETGAHGWGNNESQN YTTSPTNSFFTPSHQLVLRAISSPSSPENKYTSARLYTHQTLSRPRGCLTAVLFAPCA DGIWPAFWLLPQEPFKWPDDGEVDIFESWNGDRVNRSCLHWGHFNGEDWDKHRVVETR IEDMGERPHVFAFAWEQGEEGRGGRCVWYVDGRAVMKATIPQGTRRMSEWRVILNVAM GGNVCGGRLPREGSYDFVVHELKIEEQPVGGWGQFGVDWEKAMEGKTM LY89DRAFT_642085 MDNSRSSQRNIELDGWNRRTTSNEADGSLILDNNGGVQDVEGRN IVIQQLKPVDGGPDAWKVLIAAFIFEALLWGFPISFGVFQDYYSTLPQFAGVNSKIAL IGTLAQGLSYLGAPISAMVTKRFPKYHRLQIWIGWPVCLGGLVAGSFATTVPSLIATQ GIMYGIGFITLTYPIISMVDEWWVQRKGMALGLIASASGASGAIMPFIISAMLNKYGY QTTLRAIAVAMALLTGPLIPFLKGRLPPSERSAIAKTNWSFLRKPLFWIFGVSTLIQG LGFFFPALYLPSYATAIGLSSTQGALVLAIMALAQLIGQFAFGFLSDKKLSVSTLAIF CSFMATIGSFAVWGMSKSLGLLILFSIIYGFFGYAFAAMRAGMGKAVSDDPSAVVATY AILVFLQGIGNVLAGPISAALLERNVRLNVYGVERFRSLVIFTGTCMSASGFVILGWF LRPSKVFAVR LY89DRAFT_683082 MGFLSWPYVEGQSAWGEMTSSARFCELDFYLTSYIAEFINTATS LMYLYLGWHGIKNTKRNGRDKVVILCYAMLASVGPGSMAYHTTIKYSGQMVDEFSMMY ATASILYAALSVTLGPEARRFLGISVACIAFSASLLHYCIDYTPGFQGVFGLMVWTVF CQCVWLVSTKVTDRDAVKDMKGLALYGAVTFVGGYVLWRIDNHACGALRSFRDIVGMP LGFVTELHGWWHIFTGLGVYYYVVFIEYLRLYIRAQRQPGGRKTQIVLVWRSTLSLPH IETTKEREE LY89DRAFT_667145 MKPSMEENRLLEDMNEEDYEIEFPPRHSNRSTWTFRSILTCSLL ILSISINIFQCWYFQNFASERSKHAGVTGGISRNFVTNTEWSSDNTTHADMLWTELSP DTGMVVVDADYSQKMGLYRTQPFPWDQSKNIYMLEGYHSLHCLMYIYRALREFEQGIP QTTPMHHHIHCLDALRENAICSADDTLRPAGSGQATPLVPEKQPRKQCRDWNQLERWA VENSACFKRYPDDDPKHRTLEEWRNCPENSQFMPLVNKFFNGS LY89DRAFT_612685 MAFFFICLLFSNILTFIISTRFSVGSCQPLQDSKTLFAKLERDV PVPFEENTPFTDSNQTLATQLWEDINIDAGMVALPDDLVELHGLREAQRFPWDDSRSI YLLNGYHNLHCIRAIYLSLQEFYRGDEQSRSWGHVLHCADAIRQDILCNADDTPRYST ESKRPESGVGQMRMCRSWDKLDEWAEKYNSCYAYVNQTSEDLPEIQRFVYCPAGSPWR EKVVEVF LY89DRAFT_683084 MRFFFITTLSVLLTTSMAAPTSITESRAVNFEPVANAAVPDLGE IFEGHKTSAEHAAMGIGYSKRTVNFKAVEGANVPDLGEIFEGHKTSAEHAAMGIGY LY89DRAFT_780163 MSTNSSSPNAAPHMKSPIDVSYINERSMIVVGALFPTLSIIFVC LRFYVRQKQKAKILADDLLLLPALAIIIGMGIAFIIGARGHGLGDTKGLPAGAPPELE FTFLTPQIILTEKVQFICQLMSVICIGCIKLSVVMFYRRIFVTHNKSWFSWASSIICG VIVAWTIAFFFVFLFYCGTHIEKEWSTVVEIIEYCPNALNDQIAIGVSDAIVDIAILL IPIPMILKLHLDTARKIMVMSIFLLGGFAVAASIVRMAIFIQVVVVGFTPALDGDKDI SLILFWTMVESGTAIVAACLPTMKVLTHNINLENFLRSIKSTFSLPSRGSQASVSKAS SSRSHVNGGSSIEYITRPEKNSKVESCAMHDMESFDFSRSDESAIQVQKTFRQESIEV LY89DRAFT_683086 MGLISQVIALGATALILYVAITKLIDKRSYERKKQQHGCGEAVK YPHSEPFIGIDLLVKDAKEHTACIYLEEIQHQYAIYGKTHEVVFLGKRMIRTMEPKNI QAVLGLNAKDYGLQPLREGLAEPLFGHGINTTDGEYWQYSRSLIKPTFSRVEICNLAS LEFHFKRLLELLPKDGATVDLQPLFSRLFLDTSTEFLFGKSADTLLPLPAEEGELFIK SFDYVMEGLGNRVRLGRLKFLYRDPLWFESVRIVHAFVEKHIDVALEGEKQRKMNGGT EDEKDHRYILLNEMVKQTQDKLDLRSQILAVFMPSRDTTAFLVANIFHALARNSTIWT RLRKEVMAVGSQPLTFELLKSIKYLQWVINEAHRMYSISDNNPRCVLADSILPTGGGP DEKSPLLVRKGEYINFNIYCLHQDEDIWGPDVAVFRPERWEGLKPFWSFIPFGGGPRT CPAQQLVNTEAAYVTVRMMQEFAKVESRDEYPWTEQWRIGPHSKYGCKVSLTPA LY89DRAFT_540405 ETTRTKLQTTVIMLCLCSSVFLAALDITIVTTALPTISGHFGSS AGYTWVGSAYLLANAASTPSWGKFSDIWGRKPILLCAVAIFFIGSLLSAVSISISMLI AARAIQGVGGGGLIVLVNIVIGDLFSMRSRGKYFGIVGLVWAFASAVGPFLGGIFAQK VSWRWCFYVNLPITGSVFVLLSVFLQIDNPKTPVLQGLIAVDWAGSLAVVGGTLMLLI GLELGGISYPWDSATVICLILFGILLMAIFLLTERKFARYPIMPLRLFDTVSKIAVFG TCFCHGVVFIAGTYFMPLYFQAILGATPLLSGVYFFAFAISSSLVGMATGIYIKTTGN YLIPIWSGFVLTVIGFGLFINFDAKPDWAKIILFQMIAGIGLGPNFQSPLIALQNFVA PGDIAAATAAFGFVRNLSLSISVVVGGVVFQNEMQKHHSSLSGELGSQAASAIISSSA GATVNIVKQLAPAQQWIVRQAFADSLRSMWIMYAAFAAVGLIMSLFISHQKLSTEHKV TKTGLVEEEAKR LY89DRAFT_780166 MDKRQNKTSRRACAFCRKRKLRCDRANPCGSCQKFGFECQYICV PDGRVSKSVSKSSRVNQLENRLYNVEQLLQSTSQDSGQIMNLSPNESLYRQIDDLAAL SSSTIRSLEQAFFDFVHPVISLIHRGSYMESKATTPCYLTHAIWALGALNSEVHTGWS EQLYYSSRQKLEEAELKDRRFDRVTLAQAQAVILISYYELHQGFFHRSWISIAKAVRL VQVMKLHRLDGISQFRHSLESISTPKVITEAETKRRAFWHTFLLDRYCFVSTGSPTLI QEKDIYTYLPASDDAFAKSFEERYVVLQDALTTTTINQPLCSFAALVILLCQSISKLR SLDQYEMHIDATESLHNDLHSKSDLLQTALGTVYRVPKNLGIETSLLDPSLAYLHTAT STSTITLHIAARALAQDNVPLPESWPPDNKQCLEAATNITNVLEITNSWDLRSYHFLT LYSIYSAVAAFANSWLVNPQPSYKRSLRFLLNKLESFKPRIRLAHILLQDIDTEFPEL QHRLRAQGESANSQSALCLDWEAQVVTHEHNKAFDFSDAQEHDPFLGDTNNWCLDEDH LYLNVFT LY89DRAFT_683088 MSDPERSHEAKNVAFNEDTHPSTSESLLTRRQWITRANRQRTNL DEVEVDERSFKHAHIIRRPHALQHFHQEHRPKERLVRSDSASSGEGEQRATDNFLVQL TRLDLFIDLIWVGIVANISATFSEQAFTDSGVSQGTAFLEYMLLFIPVWRLWDYLREY GSNYYRDDVVQRNFMVWILVLSVLYGINAPYAFTPDDEGNSLTLLICIYLVARGSFLI AYAVQAGFLPFLRRHVLFQVVTTVAISGLWIAAIFVPYPGKLGLLLAANLAEHPLAIF MASPASDPLLTGGWEHSPDVEHYVERIEGFFIIILGEGVFRLIEGSPSGMGLNAASGV VLTALLLYYCIHWLYFNGDQSKVYVHALRRKWWKGFLWKALIIYAALLILAASVLFLV EHPGEKVSTTTSAEVSSETDPVSTEDLPHFTLYALWSASISLSSTIFAMTVAALLNRP LDKPKTLLINSRWIRLAPRLPAVALIICLPLFKSLTAGAWCGASISILYGVFLWEWMA GMERNWQLIEPKEEGD LY89DRAFT_581151 MHPRELTLIVAATNQMGIGINNGLPWKGLKKEMAYFARITKRAP PGTTNALIMGRKTWDSIPPKFRPLKDRTNIVITRSPLPPPSEREPGKHIVNSIAEAVG FAQENKSERIFVIGGAEIYKAALEMEETKRILLTRIKGDFECDAWFPLKLGEDGSAEG WRRRKNSGLNNWVGEEVAAGDQEEAGTKYEFEMWEKVED LY89DRAFT_667154 MTDYPENEKVVDSHRSASQIAAASDVLLDTDLGDLPSLVSKVTL NDILEITSEAQVNMAETVEVVASNVDIHKTSHKGPKHRKSKHVSFEVPEPVKKQDLSP YEQYLAWVDDRVERLLKSLHTLSVNGILVLETTHLPATSVLKPPRLK LY89DRAFT_683089 MVLTRSQRKAALESGSSVTATTDHNDASKPPKSSNVAREEPLAQ SDKTTAEDATTPSLNVVLKPAPKKAKPKLRAKTDNEEVPMLVYKVTMDDLMPPPSESV VEIH LY89DRAFT_683090 MASKTCVSCLRTLQRQSRSVTQTRRAPPAFPSSRTFTTTPPRHV ELPGPNATAATSIPPVTPERATGNTTQAEKEPTRLKAARELKKLAGGATETYTAYGAT ELLYKECAKQADYTIPQAKTGEEMPKTEDGVDVGIGEGWWHTELGLKPTFSTWSQVTM LHMYLLSARFRCFQPHIAQPWQQHLLDHFFYDAENKMTINHGMHARGTRNKYLKDLFI QWRGLLAAYDEGLYKGDAVLAAAIWRNVFKADENVDVRSLAQIVSYMRRTLKGLDLVP DESIMRAKLEFGSPAEEERLVKSRSQMMDLPFENASGTDLPGGKQP LY89DRAFT_479021 MEEIALYALHVDDDPSLNPWTFRTWFLGIGLSCFSAVLATIYQF KPQGIVLSATFLCVISYVLALVLEYIPKSGFLGRWLNPHSFNHKEHAAILIMSSTAAR SAMAAEVIAVQRLWYTKTPNAAVCIFLIFSSQILGYGVAGLLRKILVYPTKFFYPANL PIMSLLETLHRKKVDTKPQLKLFYWAFAIIFVWELIPEYIMPILTAVSVFCLANQKSL VFTNIFGGGNGNEGLGFLSLCFDFQYIGSGALYLPLKTQFNTMVGYCLNIAVFLGIFY GNIWRAKDFPFLSQLLFSPESNSTSYVTFNQTAVLNEHGVLDESKLATTGIPYMAATF ASYVLTQNMAITATLTHMCLYHWDDLKSAFDFMSLASIKSLVKPSTWMFWKKESRTEE ETANMDPHYKLMLRYKDAPDWWYGLVFLASGVVGLICIYEADSGMAWWAFIVSVILAS IMILFMGAQYGLTGFQLHVQPIIQMIGAYLEPGRPLTNMYFTLFGYNSVGQGMLLLQD LKLGQYAKLSPRCTFAMQMVGTLVGAILNYVITLSITTNQREILLSIEGTHIWSGAAL QSFNTQAITWGGLAKQMYSWGSTYQWVPLGLVIGFAAPLPGYFLHRLFPKVGFNHLNM SIICWHIGWLVTGINSAIISFLAVAFWSQYYLRRYKPEWFLKYNYVLCAGLDGGTQVI VFIMTFVFFGASGKPIPFPNYWGNNANGNIDFCKLNPANSG LY89DRAFT_479019 MKGSLCLTICSGCLISEAMPCRSLEHPRLWQDFAESFCHHNRRK LIEITCTIGHGEKRALGWCVSTAVAYLNSILEASIVTQCLLQVKVGYLCLVLLGTGKY SWLAAGKHTLCRYLKKLRVFIRCLKVAVECAVDSVMLCR LY89DRAFT_731581 MKPNTSYSSNYERMSGNCTRLVAAQMLQNLSPPITPSSYILDNA CGPGIVSEQIKLLHPDAKIMATDWSPAMIEETQNRIEKEGWSNIQTGIEDVRDLKGLE DGTFSHAFTNLGMLVPGDDDSGVRITSALFRVLKVGGVALVSSWADRVWLNAFYNTAR AIRPNEEPQKLMALMPEMMKASWLAAQLEDGRFGNNIEVKPCVTYTEAGSLDELVNNM LLAKQMFFSGFSDGEMENVKGIFKEELKKLRTFEEVEGGVRIEMKAWIGIGWKKGDEL EVPV LY89DRAFT_695810 MPFVTTSDKTEIFYKDWGNPAGKPVVFSHGWPLNSDNWENQMFF LASHGYRCVAHDRRGHGRSSQPWEGNDMDTYADDLLVLFEHLDLKDAMMVGHSTGGGE VVRFLGRHSTSRVSKAVLVGAVPPVMVQKESNPKGLPISVFDGFRSAMIQDRAQFFLD VPTGPFFGFNRPGAKMSQGLIQSWWSQGMMCGFKNAYDCVKAFSETDMTEDMKKIDIP VLLLHGTDDQIVPIDDSAREGIKLLKKGTLKEYPGGAHALPNLNIEEINQDLLNFLKS LY89DRAFT_683093 MDDVEVSSRELNIGTAVSRYENRQSDPVTDLKERLSTWDPNYPE DKRESIILDTSSFDDEVAFKEVEDSPYPEVRAAIHNYDEDVPANTIRAWVIGMTLVVV GASMNTLFSLRAPSIGLGALVAQIIAWPLGHGWAKVMPTRQFSTFGLKWSFNPGPFNI KEHSIIVVMASVSFSAAYATDILLAQIAFYKQDFGILFQLLLVISTQSIGYGIAGMMR KFLVYPAAMIWPGNLVSVTLMNAMYEKTAVKPDPTILGGTIPRYRWFGYVCAGAFVYY FIPGFLMQFLSVFAFATWIAPNNVVVNQLFGGTTGLSLLPITFDWTQISGFVGSPLIP PWFAIANTMIGVVAFYMIGASALHYSGTWSAKFLPMSDSITYDNTGASYNTTRILTPQ FTLDLEAYKGYSPLFLSTTFALSYGLSFAAIAALIVYTYLNHGKQIWQQFRNSTKEEP DIHMKLMSKYKEAPTWWYMALFAIMIGLSLITVLAFPTNLTWWAFLLALGISFAFSLP IGIIQAITNTQIGLNVLTEFIFGYIQPGRPLALMIFKTYGYITMSQALGFVSDLKFGH YMKIPPRTMFMAQVVATTFSCFIQVAVLNFALTNIKDVCTPHQTEHFTCPGGRVFFSA SIIWGLIGPQRIFSPGQIYSGLFWFFLVGAITPVAIYFSARKWPKSPIKYLMAPLIFG GAGSIPPATPLNYLSWGIIGFIFQKVIRQRYFGWWSRLNYLTSSGLDLGLALSTLFIF FAFTLHNVEAPNWWGNSIVSATIDAQDTAVQAIVAAGEHFGPATW LY89DRAFT_612706 MRRVVVTGLGAITPLGVGIRRTWSRLLAGESGIVSIAQYTPAPQ WRELPSTVAGIVPEGSKDEGRWQASDWLDKGDERRMAKFTQYAIAATDMALDDAGWRP NTQAEQESTGVCLGSGIGNLEELYKTSVAYDKTGYKKVSPLFVPQLLINLGAGHISMK YGFQGPNHAVTTACTTGAHAIGDASRFIAFGDADVMVAGGSESCIHPLALAGFSRSRS LVTTFNHDPTASSRPFDNDRGGFVIAEGSGVVVLEELEHAKARGARIYAEVRGYGCSG DAYHITAPRDDGKGALLAMKRALKNSGILPKDVDYINAHATSTQLGDVAENAAITTLM LGSEGVDTPGQIAVSSTKGAIGHLLGAAGAVEAIFSILAVKENILPPTLNLHHKGEQF RCNYVPLAAQEKTVNVALSNSFGFGGTNASLAFSKYK LY89DRAFT_564048 MHSLHCLNSIRKAMNHEYYIEHDKHKLAPGLQQIHVDHCLEQLR QSIQCAGDLSPVPLRPYGEAPHVNLVGTTQVYTCRNWNAFRQFYTER LY89DRAFT_716757 MHLTSIFLIATLPLTLGLPLDPRDSPTPTSSASITSPTPLTANQ IQTLACLCQAFTLSGLTQVTGGVNFTSICATYSSVTCTSAASPSSTPDAGTSLPLTGG LTSGLGNIGGLSSIASGLLGSLPIGALAKEKRDPDDEVVKRQTTSSSTGGGLDLGGTD IPGLVLGATDVPEATAVLSGVTNAAPGLLGTAGQVAELAGLGSVLPRDDDGDDDNDDD DEFEDARLIAVRDAEPEEKRQSSSLSGLSGALGGITGGLAPSSNPITSTVTGLVGSLT GELTGGSNPLSSVTSGLTGAAGGLTGGTGGLPIVGNGGLDGLSGAR LY89DRAFT_706044 MRLLFFLGSFLASAVSGYTWKNVKIGGGGGFTPGIVFNPTEKGL AYARTDIGGLYRLNADDSWTPLQDHANDTTWHDWGVDALASDPVDPRRVYITVGMYTN SWDSNNASVLRSEDYGKTWARTPLPFKAGGNMPGRGMGERLAIDPNNNKVIYFGARSG NGLWRSVDQGLSFQKVTSFTQVGTYISDSTDTSGLNNDIDGLAAVTFDPTSPLKNGST SRIYVGTADRNSSTWVSNDAGETWTAMVGQPTGVFPHKMKFSPAEKALYISYNSESGP YSAGTGYVYRVSSNGTFTNITPAWAAKNSVSIGYGGLALDTQKPGTLMVAAMNLWYPD VQIFRSTDSGASWTTIWDYVNGNQAKYYTYDTAKAPWINNQRQPTDTKILGWMVEALE INPFDSNHFLYGTGVTIYGSHNLSSWPNAHISSLADGIEEESVESLISPPGIGIPLIS AVGDDGGFVHYDLNKAPENSFDNPFWSTTTAIDYAGLSPKNILRIGNSQLATSTNAGQ NWTLVTSVPSTASGGAIAYAADASAIVWASSAGSLRVSSNTSTTISTLPSTAAVVADK VNSSYFYAGDTTGILVSKDGGKTFARTANITSYAGVKMAAHPAVAGDVWLSTDLGIYH SSDFGTSFAQSPNVQSGNSIAVGKGAGNATNVYSFNTVGGMAALRVTVDEGVTWNVIS DAGYGFGSAGANCLAASWETEGLVFVGTNGRGVFYGVP LY89DRAFT_667165 MASIASQDVADTPRQIHPTLDELKASAYDSPNIEAALEALHQDG FVVLKSVVDVDHVKNLNSFMAKDAEHMIKIKPFNQGVNSNILQAPPLKQPELLYNDVF FNPFVIQLMNAYLGSKPIWNFLTGNNALPKTNGLRQPVHKDITFFHPQCPFFVIANVP LCSFNPSTGSTEFWLGSHAHTAGRQQKITTPESKLANARLVVGDPMCDVLPDVIEERR KIRPPIQPSCEPGDIMLRDLRTWHAGMPNESENYRIMLALGYQAQWYPNHTLRVKLPL SQGNFFMKHGGQPVEVRADLLPDDVDFVKLNDDFTFRRSEGSKL LY89DRAFT_479144 MGVTEWKTTVGEKTSTFKGAFRSREGFKYFIETRDTALDQHRDP DAKHGQTWSNEDLDPTPPEKRTWRWWNYVTFYTGLSFGNWTLGSTMVGIGLNWWQSIL VIFASQMISSIAMFFNSRCASVYHIGYPVVARSVFGMWGSYYFVGARAALAIIWYGVQ LYSGASFMANILRCIFGHNYTDIPNHIPESVGITTAGMLAFFLFWLVHFPFCAFRPYQ LRGFFWFKSIVILPAVWGLFIFCMVNTKGHIGTLYESKISGGKGWFIMYAINSGMGNT ATLITNQPDIARWSKTKSGAQWSQLISNPIAVTLSASLGILATAAINNSWDLDLWNPW DLLGAILDRYWTGSVRFAVFLSAFTWMVSILGTNIAANMIPFGSDSSMLFPRYITIPR GQFIVEFLGFAICPWKILASASVFTTFLSGYGLFMASVVAVMICDYYLLTKGNVFIGH LYNGSRTNKHYYYHHGWNIQALIAYICGIVPPFPGFVGTLGAHVSTGATDLGRLGWLL SFTISFIVYFAICTVWPTKNQRLIKEMGLGWEEQSGDTITAEDGTQIVEEGKQVRVRD ESSDDIVRDHLYGAEKN LY89DRAFT_683097 MAIFSRKKQTTAPPPSQSQSTTLLTQPANQYAIANPPSWTYVQQ QPNTSRGNEPPSSQGWLVAPIPPQYQPVFVQQSYSPPVPQRPQQSGVVSKYKLGSVTN LLAGNGSGLAVGQFIHSGISPLYQQGTQYVDQGAVLCELIASKFDNVITLIDGGRFSG DERELVVYPPPQPMLQQEQEAGYAERGVSKGKSKGMVNNSVSSALTSTNYFAKVNLYA NSRLPPNLPPLKLYVTTYPLLCLAVQYSERVYAKPSGQEKEEHVDSDWRHGTKAMVIK SVPMDDMNTIVFAIRGTQTFMDWAVNLNSVPASPEGFLDDPGNFCHAGFLSVAKKMIK PVAARLRHLLEEDPGRSKCSLLITGHSAGGAVAALLYSHMLATNPETESELNILTGCF KRVHCVTFGAPPTALLPLAKPPTPALRKSIFLSFVNEGDPVARADKAYVRSLLDLYAT PAPGQTCLDAVTPQKLQPLVSGLGKSTSSLNINKIKHSKTPKNVPAPGFAPVWPVPEA ALSNAGRIVLLRSVEKYETRPNKKKQIQERMNEGVVAQIVTDELLRGVVWGDPVCHMM SLYARRIEVLATNAVMGRS LY89DRAFT_773274 MRSIYSKAKGVFISLGEAAEDSRLVPSLAETLVAWEDDSQGPIE QIINPRRKNEFCALQALLTRTYWTRVWVVQEVNSAKEAHILCGEDLLPWETLLRAQNI VNLNQSALWKLVKDDPRLASFTSDVWYEGPRGLLSHIGNEEPSLFEALRWHCTKESTL PEDKVYAILGITTAKEDPAMVIDYSRGVEQLYIDTTKYIIQSTRRLDVICGMQRPHHL KSAMELPSWVVNWVDAYTSCLLDGSTGEVEATGSREASFEFSKDGRMVTVEGIHLGTI SSVAEFPVSENENIPEEREPSCLDRFCLSSLMLGNPQEATIPDLLRLCKSFTCSRILR DVEILAELSDADATRMILAVFAYLAIQENTMIVGPPMADLAQELTERYSEQDLDSWSE IILSDLETILHSRDSFICSDGLLGSGFGQIEAGCEVFAILGCAAAVILLPTGINIDGI ESFKVIGDSYLDGYMLGEALVKLDQQKLKLKKLVLV LY89DRAFT_683101 MSSHKEYLVMQEMRSLYGMRGLEVCASSHPSNPLYLCKSPSTYT PHKNIFAIGATDLEHKFVAIFEDELYNNIVSAIHTILPDCNWGLNVLRLGFDIKRLKN PITIHIIVADKALFEDAAYNIISMILKVMIVVGLDSSEKDSIYVNVCRTSRSDIDLCF VLNRNPDVYNSPTRLLYLSIGPVDINSVGTLSRYI LY89DRAFT_731593 MDGLAGALGSVIGYLGAEVAEKELLERLLWPQRFYNDCNIKTLL NQFLLMGMGGPLHRAALATLDDLRDHGLYLGPRRGDMLGTAFYRDLRTFNFWRTHEDN YAQPKESRNILWIEVLDTPSPNGVVRVGEEHITARGFLFVIASEGLAVAVALISNIEF GSWWMFAYFCTPLLLKCASILWSVRREGLMSIEQLSERGDVDQPEIFELEDKHHGFMI IEGPAPVIQQFFGHYGHPRRDEKHRGRILADRWREVLSIVLVYTFVLYFPAGLLALLW MNRNQQYLWLSYQLYATLAMHTTRVLGWSGCGRTEKRLARLLEQKKEVWLQSAGGCTV AATLDVTEVLNMAEGNRKVKELIQLRSLQNAEA LY89DRAFT_479382 MSAASAGVAKEEKALGSWPRRLLHVPTLTSYEWQPGIKYGKAVK PAYNILTYTWGRWKLADSPTKERPEVQGIPVKGIPWDIPRVHPARFTTQQFLEAIRQA TMWWSIPGPDGSRVMEPEVEFVWVDVACLDQRKGEPTSDSERGRQVAIFSKAIRTFAW IGSIPSLQMERIHSAITDLTYDVLNGPKPSGYAPFRDHIENACQMLESFFSDPWFTSL WTLQETFLRVDAVFLSQEGLRVTRMGADDPSAKFEFGNLVHLDFRMREWDESLQDPAP QSWRTILDLMNKSGISAIGSGNFIAAYLAAKSRTAKRDEDRVYGIQQLFNLRLGNTAP GSAGTYWTRDQLEDQLAERLLVQHPVLSQMHVFTTPASFGTAWRLNQSSACPRLDFAL LYNFSPGASSRRSDQQMAQGKVKPTCTFSTGKISGTTWCYFKGYMTPFRIFEQRCYEA EKSSTIRPVTLIMPPNVRKAFSFKIYLDASAEVVSCPDFLHGSEVDLNDIDYGISGLS NRRARPDKAARQQRLAQWLSSSFEPSQLMVLELLSLNNGVGEGPTRWYTYGLILLYQK RNDLGYFNRLGCCYWEDYSKNSRRKTYPADWSRCEGIVG LY89DRAFT_716765 MSDKWNSAFLSCAWNRRWFPDCDDYGKFSLGDGDIRLLDLEPDQ SDQPIRCTTSIGRATGCVNQYYALSYTWGSRNDMKTIICNGSVIEVTKNLFDALFSFR RTDMPTRLWVDALCINQQDNDERTRMVKIMTEIYRNASYVAVWVGPSTSSTTLAVELI HSLEAKFSDFKSVALGTTPWSEVEAMGLPHPLDSRWKVLDQFLSHPWFRRVWTIQEVS VTRKAVFCCGPHKVDFETLYMAVVLTEAICFNGQRKQLYRLGYKRVRRLNKYRRAINA PKYHLPLWELLWMERTAQATDDRDKVFALLGLASDVNMEASDAIIPDYTLDTAEVYTK TFQWIARKTDTLDFLAIAGIPPQPTKYLLPSWVPDLSFVLMTLPLNLLGIGSAYPKCD NQSKGRSLGYDGIKFIMQGIFIDKVLVSGKVHRGSPENEDAPPEIAIKTWMDMCSDSF GKRGFHEYPASDCHHWKEAFDRTLVGNQWIDGSVLAAEHEDDYHAWIKLMLLYRACYP NGRIPVRLWPPPDVPQPIDTLLLDRFNRMCYGRCLFITEAGYIGVGPESSTKGDSVYI IDGVKVPLILRPKRCFIGDGQHAPFAISNMWQLVGEAYVHGIMNGERYKEDKIEEFQL S LY89DRAFT_683105 MQKVSHEHSHDLDDLVVDWSTTCRGSFGEAMQVAIPTSSLASEI GLKSQDPQNQNVLGPGEFIEITPLRYPRMARNSRLSPFAAVWPGKGPAVEDDYNQPDV PARLIYQELDPLDNCIRYALSYHWGESSRHDQIFLNGYFRKVTKNLAIALRQLYDLQR NSKLRRGSYIWIDALCL LY89DRAFT_683106 MSSTHVLIIGGGIGGLALAQGLRKHKITFTIFERDPTPASRAQG YRIRVAGIGAEALYGCLDDKLWDFFEKSCAAMEFGASSLNALDASNLPTPGPPGGFGK GSHRYRSGSDVTVSSKVKPAYTVDRTMLRALLLLGQDENIKFGKSFTHYEITAEGIKA FFADGTSTEGSLLVGADGISSPVRKQLLPHQRYVDVNTRAIFGKTPITPELEASFAAE AMKHITIIRDPTPFLAFLEPVRFQPNIPQASNNRLPQMDNYVYWVFAGATDDMGMSDE DFRHLSGKEAAALTRKLTSKWHPTFKPLFDMQSEEQAAPLRLLSSKPHKPDFSPNSRV TLLGDAVHAMMPAGGSGANVALSDAALLVNLLVETKEKEEPVGKEVMTKFWDEMWELA LPAIQASAEGASKLFGFEGFESTKEVDV LY89DRAFT_581568 MPRPKRTKVAPSAPQPRLKKVAKSTARAVPEAPKAPFDDLYDVS DPEEGVVTNARRVKKNNGKEKATLAPNRAQTEAVEAEPILDDIDLGSSSPAVEVGRRE STQSILAIGNFRRRARQPSILGRGAGRARSSSVESNLAYDNGLTSVRRKNASVMGNVR RQPREGSVMGRNVVPSSMGLEMDRGTTPNVGSAIKIGNFKRREREASILRTGQEAQRP PLDYEDEEDDFNPDDESTPLNQSKTRHTTSSSALSHLDVRARSRASTALPRPAPRRKV TKPKAPVKPAPKPNAKRTYGRANQTSDKENEEVDPDDSLAPLPDDGNDSPENSLELEK RVGKELKQAARKFAEVDKWELEFEDVTASSSSPKDAR LY89DRAFT_479560 MKPKSLTIVIKLGTSSIVNEKTHEPLISILSLICETAVKLHKDG HKVIIVSSGAIGVGLRRMDVEKRPKHLPRIQALAAIGQCRLIGIWDTLFSHLRQPIAQ ILLTRNDIADRTQYLNAQNTFAELLEMGVIPIVNENDTIAVTEIKFGDNDTLSAITAA MVHADYLFLMTDVDCLYDKNPRTNPDAQAIEIVKDIAELQADVSSAGSSLGTGGMYTK IVAARLATSAGVTTVITRSSNPGNIVNIVRYLQSIKPTSSSPRSQSQSPTPTSIKTIS SSTTLLDEPLARSTALLTVEPPLTAPLHTRFVPSPHPIRDRYFWLLHGLASHGTLYID SGAHEALKNKAGLLPVGVVDVEGHFAQQEAVRLVVVDRCLRTRLSPGKVWEGAGIEVG RALVNYSAAEIARIKGVRSGEIQGLLGYAESEYVALRENISFFERESRPVSPSVELQE NKVLV LY89DRAFT_581551 SPKMSDGTPKSVSDPSQDPAKTGYNPNTKWTNYWNILTGRMTSE GQNAFREDAYIRNETRDCARCDEWRDWCFQYSPTVIFMRKNISALNGDLNATNVLCRR CPTRKDENGNWVRQGGGFSPDHGILICANEMRDRKHLEDTLAHEMVHAWDHLRWKVDW ADLRHAACTEIRASSLSGECRWSREFWTRNNWTLTQQHQNCVRARAVKSIMNRPSCKD DVQAVKVVNEVWDSCFSDTRPFDEIYR LY89DRAFT_642138 MATQALESRFERMSVNDENDPGDGSKYQKSKMTSSITSAQLSQN ASRANLLKIALQNQNTTSTIVTVPSQASQWRSSNMPNGAGSPSRKQMSSSMRGSDELT RVERKSNQLYDQPAPPKQFHLGMFEIGRPLGKGKFGRVYLARERSSGFVCALKVLHKN EIQQGKVEKQVRREIEIQSNLRHPNVLQLYGHFHDSKRIFLILEFAGKGELYKHLQRE HRFPEWKAAQYIAQMAAALKYLHKKHVMHRDIKPENILVGIHGEIKISDFGWSVHAPN NRRNTMCGTLDYLPPEMIKPGSQDNFYNEKVDLWSLGVLTYEFLVGEAPFEDTPVMTQ RRIARGEMTVPSFVSPEAKDLIKRLLVLDPEKRIPLDQVQKHPWIIKHCVRGERASQR DSKSTGSKSSASERDE LY89DRAFT_695826 MAFNGPIEEAFPTPDAVDRSTVSHVQKGFKISARKLPISKSGPI DEMSHRLGIPVPEMIFGDNMVSIEHVASGWRLEFNAYDALDRVDKTDKNMLKVAYSQE WSSSREKTHEGIKEVVKPFDWSYTTDYKGTVINGQGFEFQTEKAKPIPIELLKRPDPI LFFEEVVLYESELDDNGISVLSCKVRVMPDRMLLLTRLFMRLDGVLVRIRDTRIYVDF NTRKIIRDYTEREDDFEKVKKSLLFSGRLPGDITVALRDPNQISHLLPEVTHTLESLA IA LY89DRAFT_581710 MSTLSQPQTPAALHSPLREHRHRPVERLTDRLETPSLDDRSYRV VRLPNQLEVLLVHDAETDKASAAMDVNVGNFSDEEDMPGMAHAVEYPVENAYSQYLAQ YSGSSNAYTGATSTNYYFEIQAQKAADAPASPLYGALDRFAQFFIDPLFLSSTLDREL RAVDSENKKNLQSDQWRFHQLEKSLSNPNHPYCHFSTGNFEVLKQQPEARGIDVRQKF MDFHEKHYSANRMKLVILGREPLDVLEGWAADLFAGVRNKDLQQNRWEDEAPFREVDL LTQCFAKPVMDSRQLDLSFPFIDEELLFESQPSRYISHLIGHEGPGSIMSYIKSKGWA NGLSAGAYPVCPGTPGIFNCQIRLTEDGLKNYKEIVKVFFQYVSLLRESAPQEWIFEE QKGLADVDFRFRQKTPASKFTSKTSAVMQTPLPREWLLSGHSRLRKFDAARIEEGLAC LRPDNFRITVVSQKFPGTWKQKEKWYGTEYTYEKIPADFIAEIKNAAASTTKNRLTEL HLPHENQFIPTKLEVEKKDVKEPTLCPKLIRHDELVRTWWKKDDQFWVPKANLFVNCR NPLPSATAENHLKARLYTDIVRDALEEYSYDAELAGLDYSVSSHSLGIEIAVSGYNDK LPVLLEKVLVTMRDLEVKSERFDIIKERLFRGLKNYDFQQPYSQVGDYTRWLNSDRGY INEQMLVELPHITAADIQQFYPHLLRQMHIETFAHGNLYKEDALKLSDLIESILKPRT LPQIQWPVTRALMFPPGGNFVYHRTLKDPANVNHCIEYVLNVGDKADRPLRAKALLFD QMTHEPAFDQLRTKEQLGYVVFSGARSSPTTMGYRFIIQSEKTPEYLSERIDSFLTSF GSTLENMPETEIEAHKKSIIAKRLEKLKNLDQESGRLWNYIDNEYLDFELVHQDAAHI RQISKADMIDFFQKWIAPNSPFRSKLAIHLHAQTSTPEESSVPVVSKAMQTLGLSNKA TNGDVGDDYPAPTVEANGTTSYIIKDVRQFKSMLQISAGPQPVKDISQFEELDSKL LY89DRAFT_773299 MPSIIEHAPRFDRSKQPTSLQIPDQFKCPNHDPAKYVPRSLSVL RLLALLPYPFDNVKSEVLVELWFIADFAQTPKLQTEVVNALEEARVQYERSIPTSKLV RIYKKTREGSSLRKYTVEALVARNKIEPENYHQQLTIDLVKAFSIESTSLRTAIQTLV PAKFRFRFDVDMKKCQMEQISKQPTSPPTHQQRSTKRSRASNHSSNARRRGIPE LY89DRAFT_479643 MHQRRKIADHFLRFPAQSIIRSMAESSKSGQDSRYHHFIPQFIL RNFAHEYRPQNKKGNKKWKSKQGFYPGDKLINGVDISSDQVKLTESKVNKTFGIQDLY LDVRHQTNKQNVEEMFSKLEGQAAGIITKIRKTFEAGDEQVALRRTERDIIRKFLFIM KYRGSIFRRRFCGESADDYVGEDKEEFVEYMRKKGFEQPIEVWLDNIRAFLEINIDVN SDWIGNLMEKSYPRDAMWFVLLVDWYYLSLCTPSNSDDEFIMTENGYGIFEGPTSTTT DIETGDVEISAYTEYHIFAHISPKLTMILRAAILPLAVEDVVEDAKVMREMLLEMSQM PHHNPETATSFLADLPLTKPHNSYTTFVDGVPTSITGQEPVFSPNDIFYFRFFPLSSD HIGKINTVFFEEAYSTSIIAFKSKTGLLRALEFYLAFEDEDRLRNFKQVGPADDDFRR LYLRKLENVVKLLGGNATAKLKLRKEEITSHHVTESYLIPDQVQEMIQEDETMTGIYT KLCCKPKLDPEDFEQAQMMLRLRIKIDTWTSGLDEGFREKVRQDLGKLYCRLPPQRLW IYLKRLRFMFKGCTILDPYGEILDSEFSLEDFHGPEDAVANMWEKFLPGRFPHVMYHA TTIHLRLTDPNFGLKSEMTPDDDGFKRLRQDHVLAFGPQGSICDCGIPDLQDLARDLK LEFIICPPSFGESDAMLNMLLGPEESLERAVRGAVTEDFFAVMGDELREEGMMDLMVV LFEIVYPVFGYRRSVPWSAAG LY89DRAFT_780193 MFGRKKNNHSEGHGPQSAPTWDIPVFFGLMFLLSIAELFFTIDS FQYLQKTHTWHSTTERARLAFLIFSCVRTIALSAVYLGFHCATKIFHSMFHTIFLVIS TILWVVSGVLIHTMWGFIICNQGVGPIKGGLTECHELKIIEIIAWVLAGVSVIATVPV VINSTKRRKREADMKKTHNSG LY89DRAFT_479656 MKFAFNTSLLALAGLAFTAVASAIPTTVTVPSGSGYKNYPLGVM TFSGTIGGHAVQLNGTIQEINTQMVANFPDFNMDALVAANLAKREAEAEAATPANLFT RSKSGMLCWPVPSQDWSLCSVSVIGQGITYLDNFNGLCGVGANSCVRISCSYSSAIYL CNDNDYGITPTCPYMGSYAQDIINDCSHCEGPNDCVTCGQEFDSDNYNIIVRDNC LY89DRAFT_581412 MSTASTPGSAPKTMSSRLLTMKFMQRAANTSPTSRAEPSPDEPS AKRRKTDSSPSTPTKFNVDALADRSAIQTALADEEAKRQAALERQAAELGDTRWVLSF EDQQNLAAAPPLALRVVQTGFANLDASPVRVQYMDDDPEEKPVMIGRRSFGKFNKVVE KRQDPNAEDTSESDSEADEEDDSSEGESESDDPASELIRASREEAAERAKAERKAKKR AAKAELEEMAKKRRKTEVNLNGLSSLSGRQDRKPPVAKNCYTCGGNHYKKDCPAKKRG HQGGDDGPPRKSRKSR LY89DRAFT_683115 MLSTARSRAFVRLSRRAQFSARRAYAFSANDNKEINDPTPSKEV PNVSKSNEVPIETPHRDAPLQESIEEAEKLRVMQAPNRATVWSRSQNPRAKAMSGPRF EQTIMELQPAPQAAIDLIHKQPVRWTHERVVACDGGGGPLGHPRIFINTDKPQICMCT YCGLPFANEHHRKHLEALPDTAYPLAPRGDAAEIPESQRITDEALGQR LY89DRAFT_695832 MSTITTTALQTSYPPILPKSFNDNQPKTIRLYPLSNYTFGTKET QPEEDPSVLARLKRLEEHYVQHGMRRTCEGILVCHEHNHPHILMLQIANAFFKLPGDY LKEDDEEIEGFKMRLNERLAPSGTQFSGEGVNEEWEIGDTLAQWWRPNFETFMYPFIP AHVTRPKECKKLYFIQLPRQKVLSVPKNMKLLAVPLFELYDNTARYGPQLSAIPHLLS RYNFEFIDENGQVVAVTPGGGPDDGFVPKTRILAGGPDEEMKEDGGYKENGV LY89DRAFT_581416 MSWSQKSFTLPAKSRGSYLITDTVVKELPEIKDYKVGLLNLFIQ HTSCALSLNENWDSDVREDMSDALDRIAPEDKEGELYRHSAEGLDDMPAHIKSALIGA SVTVPISNGKLATGTWQGIWYLEFRAYKHSRKVVATIQGEKM LY89DRAFT_716779 MGGSFLDYMVSSCGSPSLKKSYWAERHRAVGYTPPPAPRTRITS TEQKIVVNLGDSKSNTDSDTSSVSSSSEEIEVTVVRKCVQKRPVKEVVTVPKQQIMER PTQKEQAEEKKTMQNRPSQAEKYVQFNGEHVDGLKSAMKKGTEKAQPGTIKDDGNGTL NCNCTICSDARAKGRMPEHVHCRQEACLHRPTPDGSKNSDTVNRCPCAASKIDSTCTS KSNGNSGGNNSQRSQNQGKQENRDQNSSKKGGQATNPGQNNRVNNNQANSNRSSQTQQ SGAQNGSQQNRGNNTQSNTNSVTLDRNRFATHERGVEKLSNFQIHCIRNNLYNYHNFE GMGRTLQPSRASVMLREDVVECPSDPRPNAFVDMNTGVVRVYHGPVYGNPYSSLAPTQ PLPVGSMAAQAFSSGGPPPFFAGPPALNNRMYGGLAPMPGSQQPWGPPPGAQAQGNQG QGNSSWPGPTQNGFQGQNGWRAQNQGQNNWQGQNQNNSNDQNNWQGQNQNNSNGPNNW QGQTQDNSNGQNNWNQNNSPGSNRQNSRNSPNPSQNNAQSQSRQNSPAQSIKSPAQNH NSPVQSNSGKARASPNPSNNAGSPNNNANGWVTVTPEQADNMATGQMPGGWGNDNQNG GDSWGAAQDTSNGTGGGWNDNNDNQAAGQDTVNAGDSWGNNDTSNAGNSGQDNGNSGW NNGESANSNNNSWNADSGNTQGNSGNDTWGGDSGNAQGNSGNNDSWGENTGNNQGSSG NESSWNNTGGNTWDAKTENKNPNGGNKGGNGDGGWGSGNNKGGNGGAGRGSGNNNGGG NGGNGGGNSNSYQNWATETGMSGGSWAAEGGYGPTPNGGAKKANNGW LY89DRAFT_731613 MSDSADYASYQPSAEYRSVDEFIGSVSDIAIDRPEELPIPDQTS TFEPTTFQFDTHNSFPFRDATCSQQVPDDAFDMTTTIPASGDPVEGNITPLRCSITNC FEDVIFPNTAALSKHIEKKHTKPYTCLVPSCKHPQFGDKSGLERHKREVHSSQIFRCP IISCKRHTKGFNRAYNLHDHTKRCHPQASRSRAMLFPKSSRLDYQESQGRTESTDEIA SPVSEVGEGRDMGQSQNNVQGIGERLEMLRRLRADLDIDIQALERTVEILRNS LY89DRAFT_667189 MAPISATEGFLYAAKRTVVAAGPDLARLSAHHIARRSLTVNHTQ GVTLGVIVAYTVIIALLWNLPYVRWSLWPFKMLVIAFHEFGHAITACCTGGRVESISL DPREGGVTHMRGGISAITLPAGYLGSSLIGALLIFCGFDIVASKIASLVLGVCFLLTL WWARRDWLTIGTILLAIGLLVACWFIAHAEALRYVVLFIGVMSALYSVWDICDDLILR KVNSSDASVFAKKYGGSSQCWGVIWSIISLILMIVGIIAGLAAFPESASQQEDDSKKF IPTR LY89DRAFT_580998 MCTHKHPEDATRTYLHIALDDIDDMKRHIQELVAFIDSAIKGGG IVLVHCALGLNRSAAAILSYLCSQKPITSLEALEYLKERKPDVRQVLLLCFMGIDLLT LNERPSALFLQQINQYYGRKEKNEAEDPMARFHRRLQERKAGISSQSPSPATT LY89DRAFT_731616 MSNTDPKTPAPRHRQDENTLLTEHASPSSPNLAAAALNIQHALQ NTAIPLSFPETQTPKSASIFQTTTIAPSPPPNTSERKDSPLTPLDTTSRLLPIDIHNA SPSPLSAGLRIQTDIIPGCTVTAASTNNTARSSSESSRIPIPQSRQTNIPLARTPSVK NVFASSVGSNSAIGSAPSSALSSPMLSAMADVTPLPSPLMSGDSPGPWRKLASRPNSR ELMIPISAESALVTANGESISSAIANQVKRRAYHGLVTNASESALIQASKEKNADGHH RNRSLSEYIPENVQVPKNRHITVSGSHAPIEGTPESNTAVDMHMRREPHLAVSRGLAP VPRPPTPPSSRTGGESSDSDSSMVNLPRAHKNPRYEYYAAHTRDDSKLRRWTALKLLG QGTFSKVMLATSQVPEEDNRIDENDVFGTQMEGISTTVPETKMDRKKLVAVKICEHGP KGGASEERVEMSLKRELEIMKSIHHPSLVHLKAWNIEETRAILVLSYCPGGDLFEVAS EHRNILVPGLLRRMFSELVAAVQYLHDRHIVHRDIKLENVLVNFPQHELARPQDWSKF PYSIITLTDLGLSRRVADDEKLTTRCGSDDYAAPEVIMGQPYDGRATDAWSLGVLLYA LLESRLPFDPNPGMSEGHKQRSRTSHRIARVEWRWIEYAGEEGDHEGDPEKFRERGLE GAMLVTEGLLKRARSRWTMQQVADQEWVKGGITVDGEIKFREEDNPEEVLHPDSGIEI QSAL LY89DRAFT_642168 MSKKGDFEAVRKDIAELMHQPEYDDGSAGPVLVRLAWHSAGTFD AETDTGGSNGAGMRYEAEGGDPANAGLQHARVFLEPVKDKHSWITYADLWTLAGVVAI KEMGGPTIAWKGGRTDYVDDSKLPPRGRLPDGAKGADHLRWIFYRMGFNDQEIVALSG AHNLGRCHSDRSGFEGAWVNNPTQFSNQYFRLLLSLQWRKKTLKSGIEQFVNFDEDSE TELMMLPTDIALTQDKSFRKYVELYARDKERFFEDFSRVFAKLVELGIQRDADGNITN TDNEKGGYHAAPKKKSTPGSPAKSTDDKVGTSEGEPLKEENKAFRARL LY89DRAFT_706064 MFVDSGDTEDQFACGIALHNAWRLNRESCLSQSAPTAKVLNGSY SGVYSDVYDQDFFLGIPFAQPPAGDLRFRQAQSLNTSFSETRNATAYSPECIGYGSDD WVLGNDVSEDCLTLNVIRPSGAANLPVGVWIYGGGNTEGGNSDPRYNLSFIVQQSVYA RSQFIGVSINYRVQAWGFLFGQEVMDAGSANMGVRDQRLALQWIQENIAAFGGDPTKV TIWGESAGASGVGMQLIAYGGRDDKLFRGAIAESGGPTGSSKYLSPAQWQPYYDKITN ATNCSSVADSLDCLRKLPTDVLSNVLNSSVTTGASWGPQIDGDFLQESGTTQVLTGKF VKVPFLTGRNHDEGTSFATKGINTTDEFLADVMSAGPDNATGLTIAALYPDIPSIGIP GTLAGRPPPSQASLGAQWKRASSYTGDLRQHAPRRLVTQSWAAHNITAYSYLFNVFTN GFDATIGVTHFTEVSFVFNNIYGNGYNNSVASDPFENKPETYMQVAKMMSRMWASFIV DLNPNNAGYGCIEWPKYTLDDPRNIVFDANVTGLAYIDPDIYRAEGIAYIQSHLNTTY GR LY89DRAFT_480047 MLFPLTIIFISLPFLNAAGTDANTGDLGTSTTQNGLTNDTGCKA MTVIFARGTTEQGNVGTLSGPPFFASLAQSVGTENLAVQGVDYPADIPGFLAGGDANG SKTMAQLVTQAMTTCPNTKVVMSGYSQGGQLVHNAAKMMDASTTAKINSVLIFGDPDN GTAVGTVPASKTLVICHDGDNICQHGDQILQPHLTYSMNAGQAAQFVASAAGMAGKGN GTATKFVA LY89DRAFT_479862 MIWLRCPSTVIVTKESSLETPRKSSDWLVEQSLHPRASLPQALT CSSAFSRFRMIRGNFSHLPSLFRRSDGREHHRLSCARSFQSLCSIAVLFPSGPIAILD SPNSHIR LY89DRAFT_568897 SSDSESSDISRRRHRPPPPPPPPLFGAKPKTKKKKKLPPQETIN KIWEKFSVPKFSKATVILPFATPTTKSSPDPSTKEVPKLERTNLLVSEDFERAVQECR KRVQKLIKECRRVNMRYRDPDFDIDWDLKWEKGYCLNGLGECKFDINGRAFTNPTSNV PKSVKRVHEIYDKPTFLKDKISPADVKQGSLGDCWLMASLTALANMEVGIQRICVEYD TKIGIYGFVFHRDGDWIISIIDDKLYLKSPDWDSPSVQRHLLEQTDREDVETEYRKTY QTGSQALFFAQCKDQNETWLPLLEKAYAKAHGDYAALGGGWIGEGVEDLTGGVTTELL TSDILDTESFWHDEIMKVNKEFLFGCSTGLLGGGYGNRDGISEGHAYVIMEAREISTG QRLLKLRNPWGKGKKGNWEGPWSDGSKEFTPEIQLELDHKFGSDSVFWISYEDLLRKY QHFDRTRLFMDSPDWRITQNWISIEVPWKAEFEQKFRIILTKESPVVLVLSQIDDRYF NGLQGQYNFRLQFRLHEVDSPDENDYIVRSHGNYLMERSVVAELKSLPAGTYSVFIQI VADRHISSRQSVEDVIKYQTRRRTDNEKLAQVGMSYDLAHSKGAVHMESKVARRKAQE KAKAREARIATRRKAWQKRHLSRDILKKQEKKNREKRERKEAKDEAEAREEEENEPKD QAVQTEDIKEEASTKEDRGIQTDDRSTSSAESEQTIKEPASEAVNDTDKGVQTDDMSV TDVSQFTPDTPRGSSITNSPREEVIILERSGPPPPHVYVQERKHSPQRQQYITSDGES SASPISDFDDMYSDDDPTLRPRPSPNTGSTPAPAKSKDKEEEEDDEPDPWNAVCIVGF RVYSKDEGLCLKIYDEDEEEE LY89DRAFT_479919 MLLLGLTGSIATGKSTVSSILSSPPYSLPIIDADKLARRVVEPG TPGYAKIVAHFSSTTPDLLLPFPDDPAYDRSKGAPLNRPALGRRVFGDSEERKRDRGV LNGIVHPAVRKEMYIALLKCYLKGHWAVVLDIPLLFESKLDVLCGTVMVVAVRDKEVQ MRRLMDRDAHLTREDAENRVGSQGDVREKARRCEGRGEGRGVVVWNDGDREELRREID RVMSVVKERSPRWWAWLLLLCPPLAGMIGVWTYLRNTVINKRWIDEELKAKAKL LY89DRAFT_667197 MKSSLASLLVLASSTGTFAWGPGFGGGWGNWPSCANSCMSTFTS SSCAGDGSCFCKDTTALNNANTCIDSSSCSTSDKETIYQNIDQLCADVAVTVTAAPEA TFSATSNSAWSGGPWSAAWGSGASGYGPFGGNWGPWGSSGAWTAGPWSAWWDGNACPP DSWTGWTSGTWSTGAPWTTWTACTASTTATSTFTTTSSGTVITGTSYGVKVAEQTGTT TSGAISTSTHAGAAASNAVKIGLSFVVVTFIVVVQG LY89DRAFT_612778 MLSFKTFPLLLSLLPATLAATVTYNWSVDFVNAAPDNFTRQVIG INGKWPCPAIEANVGDQVVVVLTNNLGTQSTGLHFHGLSQFGTQVMDGPTGVTQCPIQ PGATFTYSFTIDSPGTYWYHSHNMGQYPDGLRGPLIIHDPNDPYAGDYDEEFVLTVGD WYHTPVPTLIDQMLTTSNTHFLPPFPDAIVVNDSSSATLNFQAGKTYKVRIISLAAFA AVMLQFDSHTMRVIEIDGSYVQEHDAYQIRVAPAQRYTVLLNAQTSVRRNYAFLASLD MNRDYSTGGATNIWPFNISGTILYDATKGPAPAFVVPKWSPQDDATLVALDNQPLLGE PNVVDADITLDFNFGFDVNGIPRAFLNNKTYIPQKVPSLYTAYTTGSSNSNPVIYGDV NPFVVNKGDIVQIVLNNLDAAIHPFHLHGHQFQVCQRPASGKGLYTGQGRNFPAVPPK RDTIAVNADSYVVIRFQANNPGVWLFHCHIEWHVIMGLSATIIEAPTELVGLPVPADH LAVCKAQGIPTAGNAAGNTQNYTDLTGANTVPPFPDNGALYPRQLPQIGAMRREKRTA LARR LY89DRAFT_773345 MAEKMAFLLFGDQTLDIHGFLADFFKSGNPSILTQSFLDRVGSA LRAEVDQLSVLERRRVPHFSSIKELNDRYHARDIKNAAVDSALLCITQLAHYIDRAEK MHEDTTKPAETFLVGLCTGLFAAAAISSAPSLSGLVPVAVQVVLMAFRTGAHVAALAD RLQGNTEASESWTYVLPALEEAETRSILKDFHAENSIPLASQAYVSAVTATSIAISGP PATLKTLSAADVFDTKPMSIPVHGPYHAPHLFSNFNAEKILRLSSSPVQRVLSNCVPR YPVLSCATGTWYSERTSISLIQAIVRDILTDRLDFQKVVHACVVKAQEYKGEKCLVIP YGPTKAASTLASMLKAQTDLEIILRRPLTAAKQDNSSPKSEPNGPVKLAIVGMAGRFP DAASHEKLWELLEKGLDVHRVVPKDRFNAETHVDANGKVKNTSHTPYGCWIENPGLFD PRFFNMSPREAFQTDPMQRMALSTAYEALEMSGYVPNRTRSTQLDRIGTFYGQTSDDW REINAAQEVDTYFITGGVRAFGPGRINYHFGFSGPSFNIDTACSSSAAAMQLACTSLW AGDCDTAIVGGLSCMTNSDIFAGLSRGQFLSKTGPCATFDNDADGYCRADGVGTVIVK RLDDALAEKDNVLAVILATATNHSADAISITHPHGGTQEILYKSILEKAGVDALDIDY VEMHGTGTQAGDGTEMKSVTNVFAPADRKRTAEQPLFLGAVKANVGHGEAASGVTALI KVLMMLKNNAIPPHVGIKKTINKGFPKDLAERNVHIAFHKTPLRKRAGTPRRIFVNNF SAAGGNTGLLLEEGPAHLRNVIDPRSTHIITVTAKSKSAMIRNAERYIAYLDQNPTTS ISDLAYTTTARKIQHNWRISVTGTDIAQIQAALKSKLSETVVPVLPEPPKVAFLFTGQ GSHYAAMGKELYANSTLFRETIDEFDSIANIHGFPSFIPLIDGSAEDVQALSPVIVQL GIVCLEMAVARLWDSWGVKPSVVLGHSLGEYAALNVSGILSASDVIYLVGMRAQLLVE KCTPGTHVMVAVQGSVASITEALGNASSNLNIACINSPRETVLSGAVEEMATISDLLN DAGIKCTQLKVPFAFHSGQVDPILDDFQKLAESVHFGKEKVPLISSVLGRMLNEPEVI DAEYLRNHARNPVDFLGGFQSAQQSGSVDNKTVWVEVGPHTVCSGMVKATLDVSTITA PTLRRGESPYKTISSSLSTMHTAGLNVDWNEYHRDFNDCVQLLDLPSYSFDDKNYWIQ YEGDWCLTKGKLPTSGFIEAEKEAKPKLSTTSIHNVVSEVIEGDVVTMVTESDLAKPG LREVVSGHVVNGAFLCPSSLYGDMAMTVCDYAYRLIKPDVTDLGMDLSNMKVPKPLVA SPEGKSQILTLKARVDVGAGRADLTFMSGSGKTLTEHGICQVYFGKSSEYLADWKRSA YLIEGRVDWLQNAEKTGIAHKVGRGLAYKLFTALVDYNPKFRGMEEVILHSDNFEATA KVKFQTTEADGKFVCSPYWIDSVNHISGFILNGSDAVDSRESVYISHGWGSFKVAEPL SAEKNYRTYVRMQDSEPNVKSGDVFIFESGRIVAVCEGLKFQCIPRRVMNIFLPPVGA AAKSAPVRQIAAPKQQAAVVAKPAKPAKTAQVSMTSIATVNKKLIGSVTSKILDILAT EVGVELEELVDNIGFADLGVDSLMSLTVSGRIREEMDIDVHSNDFVDHPTIGAFKSFL SKFETERVAAVDSVETSSTTSGNESTPDLVDDSNVTTPLEDSTDDEKVSPDEAGSLTE LIRQTIAEEMGVDTDEIADTVDLSTLGMDSLMSLTILGRLREKTDMNLPGDLLVTNTT IQEIKRSLNIDAPPPAPVKAAPVVKQVVVKQQVKQEVEMTISRMPPQRLATSVLLQGS LRSATKQLWIIPDGGGSATSYVDIPDLTPQVAVMGLNSPYMKFPEEYKCGVIGMATAF VTEMKRRQPTGPYMLAGWSAGGVIAFEAVNQLTKAGDEVEKLILIDSPCPDIIEPLPA SLHRWFASIGLLGDGDFSKLPKWLLPHFAASVNALSNYTAEKIDSKKSPHVTAIWCED GVCKLPTDPRPDPFPYGHAQFLLDNRTDFGPNLWDKYLNSERFVTRHMPGNHFSMMKR PYVKELGDLLREAVLATV LY89DRAFT_482891 MYGPAKRTSVDNTKPRYSKLRASCDTCFLAKVKCSKTRPICSRC LACGADCKYSPSSRAGKPKADGSSSSKTHRPSLSADMAIAEGAVSNRHPSMRLNGGNH TAYGMEAEETEWSVMLGSPDGSMGRRSISSASLPRNGDDSSGTDSSGNPALFDPSFSW TPHTDMTSPYLDNSLHYRSKSMNDGPIPQSTVPWYDHPDAAANYQSHQQAGLAPMPNN VYMSNAAAMAGKNPTCNCFNTCLHALGALHNSDGISAASPFDVILTVNQKAVETCAVM LNCPICMSRSGFSSRTMFLGTILGMIIAIYQDASKNYFGGGGSVGPDQLPLTFGSYRV ASEDVRWLQMEIILRDLKKLEELFAKFQESSVKSESDEGAGMHSAVTNYLCQSLHHTT EALKSQRNYCCVQEGMA LY89DRAFT_581580 MQPSTGVPAIAGRTPIACLNCASAKTGCDKTVPCSRCAEKNLPC EARFARRQAKIGARSKSFSNIPAQQQRSSPPISHNSVPEIPKQESEPPMPSNNNVLDP QLQGVSQAETDFSMPALQMPGGSYGTPPNGTLHGHGMDTMCLDNDMEVYHDFGLWNSY PPDIDSMFPGSGMEAQMSLPSFIDMGTSSSASDAMASTSMDTSLSTHTRSTSIASHSD RHGSQSVEMAMPILLRDTMVPEFEVVVAAEAAWPLARCNRPIFSGSCPRTAIVHLENL EQHSKDSSTWKLLDHNIATAEVDHKYEISVVPLNASSRDRILAITQGFLHTALETHRG GLQRWRQASIPGGFNFLVLPPSRVLEYFLRSYVLSLAPYYTLVHGGTLDPNEVMLNNQ ASTLLLLLAIAYGAAALPTAEARCLTAGLTETCRISLFHIIEKDVELSADPVVLRCAL LFTILGAWSGDAWHMNIAMGQRGMYLAMLKHAGMLEPAPPMNFSNLSTSTELIWREWQ QREGKSRLVYNWVMVDQELSLFHDTAPILSITELETPMPGSEALWLAKDSSEWLTALQ QQQQHQHQHASPSSGANGGGADWMNPNSNSLGVPPLTPSLCDLFQDMLHDNINRRYDK LSPLQLKLLLHPLQSLLCHLRQVLSCFSDFFGSRRGTRTVTKASTLLRLEEVQSLLQN WYELCLVHAKNDPTCPVTRANLVLYHLISLNALTSFPEIERLARKESFDGSSRELSIR HKRCIHQPEEATIHCGQIIRLICSMPREGRPHWWSAAIYRAALILWVDSLSRMDQNAQ RLDKGQIFAIDSVMLDDPAVVSYLWSGEGIPVLTKVGAGMNGQTHFKLLPDEILGHSI ELLGQGVALRVSDGIKRKLQELRKNWSLESTVVVAHM LY89DRAFT_484355 MQHPSLPPARSHPTVPQEPLYPSQRPPTHPFVMKPPPNPLPRCT TSKQYKTPPPPPPRPPTLSVMKRTHSPVRPVRHTTSRYAPQNNIKKPLATFPRPRLLG HKLQPAQGVSGLLSTCVLIGAGPAIAVREKVSVRLVFGKRVREP LY89DRAFT_581099 MATNGNGAHPVETAAPVDNGTTTPAPATTNQWATVNGPLGIGSA SLAGKIALVTGSGRGIGREMALELGRRGAKVVVNYANSSEAADEVVAEIQKSGSEAIA IMANVSVVKDIISLFAQAVAHFGKLDIVCSNSGVVSFGHIKDVTEEEYDRVMNINTRG QFFVAREAYKHLSIGGRLIMMGSITGQAKGVPKHTVYSGNKGAIETFVRCMAIDFGDK KITVNCIAPGGIKTDMYHKVCREYIPNGEKLSDDGVDEYAATWSPLHRVGLPIDVARV VCFLASQDGEWINGKVLGIDGAACM LY89DRAFT_683138 MPVQQSDPNAAGIHSGFVPGGSANETTVQTFDMPINDTTPMFIY CAQGPHCQLGMVMTINANNGTENLAAYKAAAANATANVPANNFKGGVRGSIPKADAVP PPV LY89DRAFT_731629 MTHDGRSLYLQALIALQQTSEDELLSWFQIAGIHGRPFIPWDGI EWNPSAPEVGYCPHSSVLFTSWHRIYLALLEQVLSSHAQHLAKTYNSTTYQIAADNFR IPYWDYALTPSMPDIVDYPQVLINTSSGPMNVSNPLLHYRFQQFPLNETLFPAGESGE GCLTTYNTTVRFPVNGVSNCDSINANLQGSNLKANTYSVFQTRDYNTMATGTTSNSAF EYAHNQVHHTIGGFNTMDPGHMGVFAYAAFDPIFFLVHANADRLFALWQAMNPTSFLT PDIDSTGTFTNVVGGNLTVDSPLTPFTMVNGSAWTSTGARDLVGLGYSYPEIMDWLPI SKDDLAKNVTAAVEWMYGPST LY89DRAFT_484570 MGGAADLPQRSSSPLKRPASDLETDIPSSQKDDVDMIPVPSSEP TRQTEDSAEQPEPRAASVDMTQDEGNDDEVAKSEMIEANENAAPAKSAETEIPPIDVQ IKTVTTIYEAAGERQPNEGDEVYLVSRRWLGRVISRGSDSRKNSKVEPDGEIGPVDNS DIVQQIITDAEEKQFVQLKPGMADNFVLFPQEAWDLVMEWYGIMPGTLPIVRVAHNTN PDKNGIPNVQYEFNPPVFKIHRLYSDNGIIMVSQVMKATNPAAPLFVFSQSTKYVDFL SKIKRKAGIVPLNRKVRIWRVPRLQPAAEPLATPANAATPPASRPSSPNPGDLIGPVQ RAPQDSWTQMLLDVPSFTQLKRNTERELVDFKDHSANSSYNGNMDLSMAGLGEDQTIV IDELVQGENNFISNFIAKGSKTTAASSSRNLATPSQTNSGRTSPAPTGPMTRGRTQKA GKPQGAVGLGNMGNTCYMNSALQCLRSVEELTKYFLVGAAEKEINEDNPLGNHGDVAR AYGHLLHEIYRENPPSTVTPRSFKNTVGKYAPSFSGYGQQDSQEFLGFLLDGLQEDLS RVKKKPYIEKPDSTDEMVNDPQAIREMAAKVWDITKQRDDSVVADLFTGMYKSTLVCP VCSKVSITFDPFNNLTLQLPIENSWSHQVFYFPLNDRPVRLSVDIDKNASIAAMKEFI SSRVNVPTERLFSAEQFKSKFYKIHDDTKVASEEISTNDEIMIYELEAKPTNWPAPKK PKKPKKSFSLSYNNTDSAEEDDVPGWEDPMAEMMLVPVFHRRPNNAERNTRGYSRKPW AIEPVPHFIMLSRDEAKSEEMIKRKILEKIATFSTHPDFEEEYDADRSVADSADADIV LTTGSDADSSGGSKVVANSIDGEDELVDVVMKDSSPKTATARFNTRRPKFVAPNSFLT PSLQNMFDVGFFSGAKEMIPTGWNVVDEDKTFPNINTRAPQQPISNDHETGSENLSTN SRTQSESSEEDAYSANGVISTTRMAEEESSDEDAVPPAVKVLPVRPAGPKSGVRVGYN KRRAKGIKTYSKKGMQSARKLRDSSSTASETSDQGPLVRLGEGLVVDWKSDAWAAMYG KDGPDDNMRGDNTWVNMPDFEDPELAAKRAARQLRRKNGITLDDCLDEFGKEEILSEA DTWYCPRCKEHQRASKKFELWKTPDILVMHLKRFSNNNYRRDKLDVFVDFPIEGLDLS SRVIETEEGKQEVYDLFAVDDHWGGLGGGHYTAFAKNFYDNEWWEYNDTSAVKQRDSS RVVTSSAYLLFYRRRSDVPLGGPRFQQITRDFENPGERSEDDASESGEGQGLVGNSSL RGSPSALTGVGAARHQPNRGSPDGEGTMTINPSALEKLPAYETHEELLEYEDDDADLK PLLYGDHAPPPSTLRPSIEDEGIDMSMGYNDLNFSNKQGILTQQGDWTFASLNDIGSN SRGEHMVSGTGSDIASDDVQHDSSASETSLRERYAEFGNTIAEDDGAPFVDQSPVPDL DEMGQASAIALQADLLDNMNRGVYPGQEFEVTAEDERFEVEEPAVEIHVKDNEDLKMD LY89DRAFT_683143 MADPELAPPPSSDGISAPDIMNDPQYATNTMGGEDGMGDADTCR ICRGEGSDTEPLFHPCKCSGSIKYVHQDCLMEWLSHSQKKHCELCKTAFRFTKLYSPN MPQSLPFHILLRHVAIHTAKNLATWLRFCLVVTVWLGLLPFVIRQVWRLLFWFSDGGW PSNYIAYGTTRNATSKEALEMLRELQQLATMTGNGTSPVSPLQASQTSSASVSGVMNK LTGLLRPVSQTLNITIPDPLAAGLFKSMLYGLGYQQASDNPVDNATVSQAFNSLTSPY RSPSLLSEVSFLRNLTRHAYVNQLVITVAEGYVITFVVVICFILVFLIREWVVQQQPG INMGAGFNAEFAAPERPRDQDALLGQQEVAAHQGPGANLLGNRPERREVGQHPRGHGR RRHLHVEGGAEHRRRHAEGTQTHDQEPVVEAGASNQRPSPVRDALAPAAEIQRQLEEP RMTEEFLAIWRRAKSDPEEVLRIIERENKGEQMRYWVNAMIVLRSAPEPSPPQGFIPA QPSQPTSQPGAHAGSVFNPDHLNSSGRGGSILVQPESDRSSASSDSWVDLSQPLASRD TNHLSANSRPEDRLGTSSHPLDKGKGKAQEPTSPVYSSTQDSEDMPASSQLPQKENYP DTHDHGHRIQQHPVSPDNPDPWSMVSSQKPFVASRPRAVSDGPKLRDTISPLANNNWT FSNLPDNNHDEITQIPREIQNENRPINHPQSPLDPAANNPLPHSSSQVQIRQDWNGVP EQHFVPEANEAEHPITRASTPDSDAEGPVTIIGLDGIERTHANWDAVFAANPMPADSD SDSDPEDEGPHPNASEFDMPLLEPREPVVVRQPEPQGILANVADFLWGGVGDVRPDDQ GANDEHIVHDLAAEAPFVPVNNGPFEEGEDGGEQDREVVEAAIAAGIDPNDQDAIDDA EDFEGIMELVGMRGPIFSLIQNALFSAFLLALTVAFGAWIPYNIGRVSLLLLANPGPA FKLPLRLVFGCAALLQDLALSVAGVVSYCLIAILSMPITFWSYLTSSASPSLAVQGLS LGSAALSISRGALDRIMDGFVNSVVHIADSEIFAFSAASHESLITLQSLLVDSLTGLG SRVRYLFVGDSRVTVNGCLVLSIDAFNYLWHLAKGLPEFLARPDSWVISLELGKRKSP LDLELSVWSTADRFWATVAGYTAISVLGALYVKKGSPFSTRQIRREWEATIIDLLNQA GGVMKVILIISIEMLVFPLYCGLLLDAALLPLFENTTIMSRLLFTIESPLTSIFVHWF VGTCYMFHFALFVSMCRKIMRKGVLYFIRDPDDPTFHPVRDVLERNVATQLRKILFSA LVYGGLVVICLGGVVWGLAYAFKGVLPIHWSSNEPVLEFPIDLLFYNFLMPLAVKFFK PSDGLHAMYTWWFRQCARMLRLTWFMFDERKEDEEGYQVRRTWGDIFRGAKGDTFLRY TQDDLSEPFILDPELKAYFYHDGRYVRAPASDQVRIPKNTSIFLEVDEFNERVDGKWD RPDGVHGKQSSLYKHVYIPPWFRVRIFLFILSIWLFAALTGVSITVVPLVFGRQVFAK IIPPHVRKNDVYAFSIGIYVLGSALYAFVHLGKFFTYIKAALTINANTPKNVLRQVTK ISLRIGRIAWTYTAFLCILPTLFAFLVEFYFIVPLHTYFAAEERHVVHFVQSWTLGLL YLKLTTRIILWYEDSRPAQSLRAIIRDGYLNPNAWLATRSFILPVGLALCFALSMPWA MARVTVLTALKNNPEKHMLAYRYAYPMCLCLFCIGFAFYILLGWLKDWRMKIKDEVYL IGERLHNFGDRKATNGVSIPTSRRLET LY89DRAFT_484618 MAVDSNGRLVEPGHKGMNGHATASRSKPAKKQQSFSVFSTISRL LTWYSIITILFRCPATVDLTDASPKICKPYFQVRSAITPHIEPYYDAYAAPYVDAARP YYETLDKRVISPIVVLSKKYGSPRVAQAQAFGQAQWEKNIQPEVSKYQTILRNQYDQT LGPHVSTAITTTSPYYDVAKNSALQTYYEHILPTYTAVQPYALQGYGIASDFAVNTAI PYSQWAWTTGVVFLDRTIWPKLRILYGENVEPQLVRIGERLGRYRDGKKIKAVAEEVD SSLSASSASQTFSSISSSIASAHETTIPQTSAPASTANALESPASTPLSEKEIREKAQ KVVAHDLRTWQEKFAKAADEGSDDLEDRITEITERLVKNQAEKVGKAHIIELEETIKS SLESLKRNIISIVKDAHDSEESENALNAAVRKAGVAIKSKAQSVRTWRQSYDQETNSL VSKAAMDTLEILDHIRDLGLQEIGMRWAWIDGVTHKDWSKYHQLKTKFDEWRYDVEKV ATEHPGLGKARTASDEVESQAMGIAEDAATELARLKETGRWKISAKDATDDFSTKIMP AAAVVAGQKVLEKVSELSEAVAPSSQGTLESVTSSIAESVVEGISAASSVAASQVDNA QSVASSVSASIVGTPQGTVESLVSAGSSSISSLAEQASSSIIGTQQGSVESVISVASE SASSFSAQASSSILGEEPGVVSKVASDASSSISSAVSGASSAASQKVWGGAMAQHVEA RQIIFEDVIDDSDDDTFSEKLQNMASQAGDKYSDIAKAVSEALVGTTSTEAFPVTKVA AEKYSSALSAASVALYGTEQGSGESISSVVSSRYADAVAAASSIIYGTPMPITASLAA QATDAYNAALSQASENYAHARSVVSAQISGEPKPVHEAMFSSVEAAYSDSVNAASSRL GSALSAASTAIYGTATPQYQAALASISAVAQSKLSEGLSAASAQFDSAKSYVAAVNTA PPAKQKLLGQMQDQYYAGIGMAHARYSEFLEAASSAVMPTQTPFHQSLYNKASENIVG TPTHKFQAALNTASAHFSSAMAAASAQYDDILASIHKIGGDAGGDTVPTSSLAAVASS RYNAAVEQASQSFASINSVISQKLESGASAASSAVIGSETPWTESVASAASENWELLV TKASSQIYGAPTPYFVTRRLLSEAREYAAQATEGAMSQYAAVQSLIGELVSGKEPDFT ESVYNRFSSAYYTGAGQVASSASSYASEAYASASSVVSSVFTPPPTIEAILDSASSRV DEAVSAASVQFYGTEKGSYEQATSAAASAYSSVQSVASEKVYGTSAGYAEAAQSSIAD AALSAQQAISEAIWGTPTGTVESVTNAAGEAYATAVSVVGEQYSAVVSRASEAIYGPE QGAIESAQSRLSAAVESARVRLAEFASSAGEGASDAVKQASEGVENFASSVSSAISSV TSHDEL LY89DRAFT_731632 MIFHYLRSMSQDSAYFHLQQKKHHLKTIISVQLAECPSLVSIYS TNTENDMSDLTNANSPSVNIIPKDSHFEKTSTVTGSPVIGHSFRFPRGDSYVQLTAAV MPSKVSSGKTTVIDTSAMHTPSPNTLNSRQGGPNEIMTRFFDDNESRDFSGRDLDKQV IAGVN LY89DRAFT_731633 MHGFISSITLLAAVIALVSGAAFPEPTSGYHETCLKRDSPCGGS THINVDVPCCDDMECVFTYDKSDNLPVHVSLCLPPPPGWSTPPVAAPTASLSARGGNN YGCDNFHCVPPYAHPARDVEMTDRRRAGCGCDDSD LY89DRAFT_683146 MNPQTALLEVFFPGFGIFSSTVSRYLKIDLTLYIPALIVLGLIV FASQHINTWIWEFADQHLMSTADIRIDDEMYNMVMAWISNQKFAKRSRRFVANTNLNS RMWFLWREFNEDDDRDEVGVEFDENGMAIASAGKKKNKKVRFTPSFGTHYFWYKGQLL IFRRTQDQRQQSWGPVSEREEISVQCFGRNPTILKDLLDDCRVEFQKSDENRTIIYRG GLKSGTAEPAWTRCVSRVSRPFSTVVLDESVKQSLLDDMRDYLHPMTRRWYSNRGIPY RRGYLLYGPPGTGKSSLSFAIAGYFKLKIYIVSLNSPAMNEENLGTLFSELPKQCVVL LEDIDTAGLTHTRDNNSSAPEEEAKPLVTTLPGTTVINPAAPPTNNNTSGRISLSALL NILDGVASQEGRVLIMTTNHIDKLDEALIRPGRVDMQVKFDLANTVMITTIFRSIFAT LEGDMPASRNIVIRSPSSTKAPSKSPSAEETLATKLAAEKKALDEKLAKEKEEERIKA LGEDFAAVIPTMTFSPAEIQGYLLKHKRHPDTAVAEAAAWVEKMKIEKKKKAKEEEEE EKAKKEGKGGELDEETRRIVDEALSACL LY89DRAFT_642209 MYTDTKSSYDFVKEPINTPQEDPELLSLHRKLRIQKDRLVSWGL EWSDPEQVTSPDIDESINKAGLSELVGSVMGTIKEVLAEAEPLWAASRRIGAGGGDVG EKGEKSKSALIVWDKSRFQDLIRDLTMSIDTLYDLSRTRQSARKVTETRKEEESLMAV QKKVIEERQFESSRMQTPQQVDPKSIIWPREMKTMPSDSSDSSPDPLSMYRHPLDPRI TRYTRLTSESKSLDLYSLAMLLLEIGLWSSLHDIFPMAAAIPENPAAVLKQLAARCGS LYVKAVQACWGAPNDELSQRARPDVMHQKVFFKVSKALDTCCAIDESSDESTEESDDS PPMPSTPVKMSRSSTPQRKPVSEKATLALNLVTSSSTPTGSRPAWSEKSSYGKPANTF PEKMGWSEKPVVKAVPAPVPIPEKAKSKLRTYPSMKISPEHLDFWQTGLLPHINHVLR GFYKKYPESVEISLENIGESPSTAKPTILTVFGLKVCRGKVVRSRKNGVKRSMAHEGD VLKAANPGHQECPSNGASIGAYVDEKHLPPVSLGGLIMVDDKPYGMTVHHMLDDPSDG EDDEVLDAVAPILRSSAYADMPDLTFSESSVYSSGDEEFMYTISDYESDDDNSSIHSS QYDSDDEDLQSLSRFGEEDQDDEDQEPGNIPGVPAGCGESFLVTQPAIDDIPSDFYPD SSTRDEDHLDSFQLGEIYASSGIRRRTENGLVHEVDWALFSYSSHRLPESNHISGGSR FLSSNTNSNGYPRNVKRTEDLSSTAVHCLARTSGLQTGRISQAMVSVKIFGRQSPSLS YQVASPKQKLGIPGDSGAWVISNDGEVCGCVLAWSTKKGVAYICPMDVLLRDIGVTLK AKKVGLPGGELVYEVPEMKIEEPEPRRGRQQIDVLDESEITKMLQELKLPPTPSQIDE DPFGDANAERDRGRENQKEDMSFLPSFRGQKGKGRVGVSVAERSSMIPQRVREGLSVS SS LY89DRAFT_581168 MSLSGRRISGFNPMAAVDVEQVEAAMKMAALDSFKGYAQNNYGT VNQYRSTDYVSKNNAGGYQVLREPAWNKGTSFSPEERVSKNLSGLIPHVLESLETQCQ RAMRMINSRGTNVDKYLYLSSLKQNNTDLFYRLLIDNVQQLMPLVYTPTIGDVCLQYS TLYTHPEALYISIKQRKSIATILRNWPYPDPSICVVTDGSRILGLGDLGVNGVGISIG KLALYTGAAGIHPEKTLPIVLDCGTANEGNLRDPLYLGLRQKRVTPEVALEFMDEFMA AVKEVYPNMLVQFEDFETEKAFQYLERYKHEKMFNDDIQGTGAVVLAGYMGAVNLSGV PIEDQRLVFMGAGSAGVGVAKQVMEFYTKRGLSEQAARDKFYLVDTKGLVTKDRGDRL AEHKKIFARTDNNGHQFSTLEAVIEYVKPTALIGLTATFGVFTESVVRALKVSVDAGG LGRRPILFPLSNPLTKAECTFEQAITWTEGTAIFASGSPFSPFTTKSGDMIQTYYPNQ GNNVYVFPGLGLGAILAKASRVTDSMVYTSAAALAGCLNSEEIRMGLIYPKIERVRDA SVVVAREVMKTARREGVSELPEETWVEWEEWGDVALTSWIKKQVYDPKW LY89DRAFT_580933 MDASIEAQPYRWPHNGGFSPETTALVIIDMQKDFCSVDGYLVHQ GYDITPVQSIIPTLQGLLTAFRSHGFPIYHTREGHRPNLSTLSSRELLRSKNNPSGLG IGDVGPLGRLLVRGEPGHDIIPELYPIDGENVIDKPGRSAFQHTEFKLMLNIKGIKNL VLCGVTTDVCVHSTMREANDNGFDCLLVENATAASEQHLHDGAVASVKAEGGIFGAVT TVDKIMLALGVGEIPSRSQNGHAR LY89DRAFT_683151 MRAKYARCISPELPKLSTRVVWAAGPYMRDPSVITNNSQLGGWQ CYQGQVARPSKHEGSKSMPDSTGDVVVEVCRPLRLV LY89DRAFT_581028 MADLETKAVPTDAADATLENMGYQQELKRSFGLLGMIGFSFSIV TCWSALGGVLVTGVNAGGPPVMIWGWVGISLVSLCVAYSMAEMCSEYPVAGGQYSWVY ILSPKSIRRQFSYLTGWFMIIGILAMGATNSFIGANFILGQANLVNPNYTIERWHTVL VAYLITLFAAFVNLWGARILDRISKGLLIFNITAFIVTIVTILACNKNKQSPSFVFKD FQNFTGFSASMAGIIGILQPAFGMCCYDAPAHMCEELKDASKQAPRAMVMSVYIGAIT GFVFLISVCFCIGDINQVADTPTLVPLIQIFFDSTNSNVGSCFLATLIVIIDLGCANA LLAEGARSLYAFSRDHGLPFSSIISKVESKHHTPVVAILLGTIVQMAFNSIYFGTVTG FNTVIAIATEGFYLSYAMPLLVRLISYFSGTHRQLTGPWAMKPLVSVAVNFIGLTYLL FACITFNFPSVYPVTSENMNYTSAAIGVIMFVALVTWFTTARKHFSGPEVEAVINIVE GHGSESEGVKSDEKKASL LY89DRAFT_716805 MDEPPSSPPTDLSTPESALAYYKSQYEQLEHELAEFQASSQELE AELEKDVEAAERRERVLQEKAESLGFEVEEWKTKYKQSKTEASAAQNTLQKEITILRD TNRTLTHKLRDIEVQNDDFERQARNTTSSLEDMESKYNVAIERAVMMEEEIKIGEQER ENLRIETQRLRDELSDLKIEAEILQDKLRKRQLPSIATDITAPNSPSLGDSPTSTASS PMITTPPDTKSISTTDTVSETPTPPSPPISEASGSARPVMKTPMNPPASKMKLPTGDS STTPKPSSRYTSSSLRSSKGPTAPTSSSRARNATPAVIRQTRTKAPATRGLPNSTSLT HIRTLTAQMQRLEQRVQSARSKLPAPIHTPPRASPRNVSTANHAAMPPSVTIRSRKRT GGSSISSSSVPPADDTPSATKHVPRLSTSGISRLSFGPLPSREGSDSRPSSRASTNYV RQDRPLSRTEIARPASRTSMSGARTPLGHYSQSQIAESRRPRSSIGGSYGASHGHGHS QSVSNIDLDEARELDFATPSRRNTYGKGELEGSTAASGLPRRKSGGLVMTGIPRRSTS GQGMREGDEGGMKPPGRPRKLSEVGETY LY89DRAFT_485689 MAELNGTGKANGTVPIMNGASSYAAKHNLADHFIGGNRLENAAP SKVKDFVASHDGHTVITNVLIANNGIAAVKEIRSVRKWAYETFGDERAIQFTVMATPE DLQANADYIRMADQYVEVPGGTNNNNYANVELIVDVAERMNVHAVWAGWGHASENPKL PESLAASPKKIVFIGPPGSAMRSLGDKISSTIVAQHAKVPCIPWSGTGVDQVDVNDDG IVTVDDEIYMKGCVQSWQEGLEKAHQIGFPVMIKASEGGGGKGIRKAESEQGFEALYK AAASEIPGSPIFIMKLAGNARHLEVQLLADEYGNNISLFGRDCSVQRRHQKIIEEAPV TIAKQATFQAMEKAAVRLGRLVGYVSAGTVEYLYSHADDKFYFLELNPRLQVEHPTTE MVSGVNLPAAQLQIAMGLPLHRIRDIRLLYGVDPSSSTEIDFDFSKENSALTQRRPTP KGHTTACRITSEDPGEGFKPSSGMMHELNFRSSSNVWGYFSVGTAGGIHSFSDSQFGH IFAYGENRSASRKHMVVALKELSIRGDFRTTVEYLIKLLETPAFEDNTITTGWLDELI SNKLTAERPDPMLAVVCGAVTKAHIASEACIAEYRLSLEKGQVPAKETLKTVFPIDFI YDGHRYKFTATRSSLESYHLFINGSKCSVGVRALSDGGLLVLLSGRSHNVYWKEEVGA TRLSVNSKTCLLEQENDPSQLRTPSPGKLVKFTVDNGEHVKAGQAFAEVEVMKMYMPL LAQEDGIVQLIKQPGATLEAGDILGILALDDPSRVKHAQPFLGHLPDLGPPQVVGTKP AQRFVLLHSILKNILDGFDNQVIMASTLKELIDVLRDPELPYGEWNAQFSALHARMPQ RLDATFTQIVDKARQRKAEFPAKNLQKALQKFLDDNVAPSDVDLLKTTLTPLIEVIDR YLEGQQVHEFDVFSELLEQYCTVERLFSGRQNRDEEVILALRDQNKDDITKVIGTVLS HSRIGSKNNLVLAILDEFKPNKPKAGNIAKHFRPALKKLTELESRPTAKVALKARETL IQCAMPSLEERASQMEHILRSSVVESRYGETGWEHREPDIEVLKEVVDSKYTVFDVLP VFFGHQDPWVSLAALEVYIRRAYRAYSLKKIEYHNDSSEPPFIVSWDFVLRKVGSSEF GMPIQSSAPSTPATPSYDIANPFKRVSSISDMSYLVNKADNEPTRKGVIVPVQYLDEA EEYLMRALEVFPIYGQKKRPAAANGSTMPDLSGKRRAPAPIATEDELTAVCNVAVRDA ESMDDKETITRINLIVKDYKEELLSRRIRRLTFICGHKDGSYPGYYTFRGPEYEEDQS IRHIEPALAFQLELGRLSNFRIKPVFAENRNIHIYEAVAKNVEGDKRYFTRALVRPGR LRDEIPTAEYLISECDRLMNDILDALEIIGNNNSDLNHIFINLTPVFPLQPPEVEKAL GGFLERFGRRLWRLRVTGAEIRIICTEPTTGMPYPLRVVITNTSGYVIQVEMYAERKS EKGGDWVFQSIGGTTKIGSMHLRPVNTPYPTKEWLQPKRYKAHLMGTQYVYDFPELFR QAIQNSWTKAVAQHPALAEKQPPVGECIDYSELVLDDKDTLAEVFREPGTNSCGMVGW IVTARTPEYPRGRKFIIIANDITFKIGSFGPKEDHFFSKCTELARKLGIPRIYLSANS GARIGMAEELIPHFNIAWNDPERPEAGFKYLYLLPEAKKRFEDGKTKDVITEEINEDG EIRHKITTIVGAEDGLGVECLKGSGLIAGATSRAYEDIFTITLVTCRSVGIGAYLVRL GQRAIQIEGQPIILTGAPAINKLLGREVYTSNLQLGGTQIMYKNGVSHMTANDDFEGV SKIVEWMAYVPDKRNNPLPIGPAVDSWDRDIVYTPPPKQSYDVRWLIGGKDDDEGFMP GLFDKDSFVETLGGWAKTVVVGRARLGGIPMGVIAVETRSVENITPADPANPDSMEQI TNEAGGVWYPNSAFKTAQAIKDFNNGEQLPLMILANWRGFSGGQRDMYNEVLKYGSYI VDALVKYEQPIFVYIPPFGELRGGSWVVVDPTINPEFMEMYADEDARGGVLEPEGIVN IKYRKDKQLETMARLDPEYGALRKQLADKSLSAEQLSQIKAKATAREELLLPVYMQVS LQFADLHDRAGRMKAKDVIRQSLVWREARRFFYWRVRRRVNEEYILKRMAAASKNPLA SRGRNIETLGAWTGIPNFTFADRDVAMWYEENRKIVHEKVESLKTEGVAFDVASLLRS NGKGGLKGVQQVLSMLPAEEREEALKFLSQT LY89DRAFT_485846 MCETSLSMGPRGGPADPYSRALAGGLTPSPIGGQRGPSQQQGAG AFQFEFPYPSGSGSQAQALASNPVSPPTRNRLMRSSSAPTSAPEPAPTIPALQDMPRI SLFPATPFSGSRDSVNTARPGTSGEYSSASGGNRPPTSSSATFGAPLRRQRSAPMYHR ALQEQVEQEREESRSREAQRLGVPVIGVVGRDSGERGSYGSEISSEMSFGSEDVEIGI EDEEKEEGREGGLGYMGRRDHLRMTMMIAMSWVVA LY89DRAFT_683153 MATAASSLSDKLKSLSLAEPLPKYPNCYPEVNPVDVYRAHLTSI LTEVTGVDASVVYPALQWTQTLEKGDLVLPVPALRVKGKKPPELAAEWVAKFPESPLV HKPTVNGTFLQFFFKVDKLAEILIPSIIERKKEFGLNPYLGLKDVKDPSKGKKRIIIE FSSPNIAKPFHAGHLRSTIIGGFLANLYEALGWDVIRINYLGDWGKQYGLLALGFERY GSEEALLVDPINHLFHIYVKISAELADEKAKITALEKEGKDASELKNEGLDEQARRYF KAMVDGDEKAVALWARFRGLSIKRYKETYARLNIHFDDYSGESQVKQERMDFAAQKMA DMGVSEESEGAVIVDFSKHVPGKPGKSLERPIIKKKDGTALYLTRDISEMMQREDKYH FDEMIYVVASQQDLHLKQLFKIIELMGYKDLAKKCQHINFGMVLGMSTRKGTVKFLDD ILRDVGEKMHEVMQKNQVKYEQVENPLATADILGISSVMVQDMSGKRINNYEFNMDAM TSFEGDTGPYLQYAHARLCSITRRANMSESDLLSANLSLLTEPHAQNLIRVISQWPDV VQNTLKTLEPTTILTYLFKMTHTISSSYDHLRIVGSEEELAKARMALYDASRVILSNG MRLLGLTPVERM LY89DRAFT_485940 MYHYSTMYSPTSILNEVCYIASFSSCFVIEVLLSFQMTDFHLRT TSRKGKSCVDLLSFSLLI LY89DRAFT_581382 MTTLRIAVQPGPKARAGVAIYPPVAARLLSETNIFEELSGIYAV ATLVHESGDSLYGRLGGRVSDSAHPLPASTSSSSSNSSSGTDRAYFYFPDLVIPEPGR YCIRVSLMQMDYSSNEAPKGAAVVRDYDDSRWIDVGDRPSATSKPNHKEQRFLRKLEK DGQEIPSSP LY89DRAFT_773421 MYWQEWLTALSVNSNVIAILEPTDGYQTFTLNTTNNITRVLINN PPINLLDASMLADFHTLLTGPASNLDGPKAIIFSSANPEYFIAHLDIHILSSDHPVPP PLNNTELSNLYVNVTRLLATVPAILIAEVSGQAIAAGNEMIVQMDMRFASPGARLGAA EVGVGLTHAAGGLQHLTRLIGPGRAAEYLLGIIDADLDTLADIGWVNSAFESAAEMQA HVDALASRIALWPRGGLVATKQGIREGGWEF LY89DRAFT_612827 MELGNIDNGSRLAKHACTSCRNRKRKCDKLLPQCFLCTKKGLNC VYVSEASPPLLSPSSDGLFPATGLEAPKFPAVFFLDTNIFQKAQINIPKTELATPKYV LNLIGDLSEWRNIASKFFDTVHSFMPIVSRTRFYGRLLNPLAPRRNDVALLVLCMRLA GMLPAGVSMSKTPEYLAAKRFHAELEASGGFSPQILQSGVLIALYEYGHGIYPAAYLT IGACARYGISSGMDGTGASQMPPPSDWIEAEERKRIWWAVLIMDRVVNLGSPTRTLAT QEPHPSSMLPIEDDLWDQGTIMSSETFTVVSPSSLKIGRFARFAQAIFLLGRVYKHVS DFTASRDFLDEEATQLRRTLQALAKIVDIEGQTNKLQFCTQTGLCFSGMLLLQESYIS PISAPSPDIHFWDPTLPVAESIANEAARIARGFIRIVHDFVHSGKYNHPSELVSPFIL HLFYHSQTIHWEKARTTGSESAAEGAKALTAVLKVMDDRWKVAGEYLEILQARELTYF GR LY89DRAFT_486003 MAKSMENNKAVKMLRAAEEGGYGVIGVVSYNLETITAVVRAAEA KKSPAQILLFPWALHYSPLLIHLAAAACSTAKVPVVLHMDHAQSEEEIIAAAEYPFDS IMVDMSHYEKEENLEKTERITKYLHERGIATEAEPGRINGGEDGVKDTGDLEGLLTTA EEATRFVDTGIDFLAPAFGNVHGNYGGVENIKLDFERLDKIREATKGKATLVLHGTNT FPDATMQGCIKGGMTRCNVNELVLFKYNDYVAKNTGKVPLTELMGKGTDLIQEQIEYQ MDVMGSTGKA LY89DRAFT_486002 MPRILITGAAGFIGQLLAERLLRSPDNSLILTDIISPPIPPKAK HPQNAKAIEADLCSSTSLETLISTSLPLDAVYIFHGIMSSGSEANFDLGMRVNLTATL TLLESLRKISHPSLRVIYASSQAVYGQPLPKVITEDTMPTPEGSYGAQKHVCETLIND YTRKGFFDGWSLRFPTISIRPGKPTAAASSFLSGMIREPVDGVECVIPLKDRGFRSWV CSPKTLAENLELALGVDGVKLERHKRLVNMPGIGVSVQDMMDALAKVCGEEKLGLLRE ETDVEMERILRSWGTEFDNSLAYKLGFKPDGSFEDAVRDYVESLK LY89DRAFT_580982 MSANPLPTPPKKTTTLRTNPLSRREYSSSSSSSSPIEGTIGQGA AGIPADKIALTAPSHLDAAEKDIFDVLNRELEPDKLEVKDVSGGCGSMYAIEVTSERF RGLGMLKQQRLVNGVLGERVKGWHGVQMKTGVP LY89DRAFT_773423 MPYTPPSQRSPASSTTPSPNLSRRSSSTDQLPQHHKVHSSRPEL PRSTSYLTRHRRTTSVKTSSFQPSLEPTPPGTADRENDDTNGLTSSGSLRQSPPPVTD DAQIPAGAVISPPDSTQNSSDDEDTKGSRGRQLENLAELQAAIRIIEQHRESSPTRTA EDVTKANQALTLIMPPTQAEDKPTAVHVEQSTVAAIRKIAHNRSNTDTGVFSDLRHGQ TPETSLTGSDEDSISDEDEPERSRRKPPMLRKKSGELVRPALRPSSARRRPSSMPGTP TFSKAVHFDSHLEHVRHFLQVDRPLAVSAGSSPVEAYDSDTEFPFDETRTPPFEWEIV VSNFPAETPIRLSLPVRVERVFLSSDNKTLVGSVAVANLAFNKYVVVRFTLDYWKTTS EVVAEFNQDVRQPKRDGYDRFNFNIKLADQANLEAKTMFFCVKYCVNGQEYWDNNNST NFQVDFRKKAKPQNGKKGMQGASSRPANPLPRSNKKSPPVSQRPKSMPVAFDDFADGF DAKYKFDDFKQPVTDYLGESGTSLRLKGVKSAVNLGSDNLTRRTAPPHAQAFGNRYDF GASLSAAINAANTVLGDRSGLTMKPVIKKQPQRKLVPEADQTVSPLTMSSNQSLNKPT APVKSSPAPASTSGTDSPRSAGSEKPPLASQSYNELLDKYCFFGSVKSSPQLKDGTMR SGQFDGGNDDGYILGSVDSTAESSPLMMEKRSPPRSQKASTQASRSVSPAPMTGFASG TSPMYHNLHAGFPFHDTHTATAIRG LY89DRAFT_485995 MFMYEAQSVAGHDLIPPPQQTPALYSSEQTWGTYDSHTQNLLQI LPYALCIMVSASALCIIISRSSTIGSRREGQ LY89DRAFT_731650 MGIRRLRYSDEGIRVWPVRCRHTFAVVLVTAFATAGHTHAVELW YRTQASKPAHDGVQPTESDETVNRGLSPRKLEGSLQTFGDWQMGTSCVTADALKF LY89DRAFT_486429 MRGNVRSPPLFPLKLSHLHTSLPHNSTSNALHSICTSRGTTVFC STTAKLLLLSFVEEDLAQYCNANAGHHEPKPFSHVRILALAPSFCCFTPLLSSPGHDS VIRSTVLEMQRYCLYARSKQDHAFCFWKRGRCKQVVREWLVGWLADNPLPITAIIYKP AEASSSTLIYLSVCLSISTPTCTVRQTQPSAKNSPIGKNRRMSCSFMHEGAGCNHQRA EMDLGVRSSVCKVGEGRGKGSGVSR LY89DRAFT_731651 MLLDSSLEEGLNSLYHLYMDSPPPPSSSSPTLRLAPSSTFSSQI SKTQSQGILITTPPELRLRNPVPEPQNAADCSQADQSASQAIQQASQQASQSIQQASQ SASQASQQAAQSASNAIQQFSNSASQSIAAASRSVSSAVSSASAAVASASSQIASIQS SASAAVSRANGSMVNAQASVTLAQAQASSAVMQAGAAVAAATGSAAAAGSSFLAAASK ATQSAQASVSAIGAQASQQVSQASQQVTASQNAAVTATQAALAIVGSIIASALITILI FFLVARHKKAAKRKRGDPDASLGNVYFSDPKFPISEQAATTAVPSQPAYTGNRDVDPM SGSQVTFSLFPRAGDEKPTAAEKKKNEELRRSIVKSTTVPWNPNKPPKAPTLGSWLKL QDGVSPFGPIKLPLDDEKSGSPLGGQLKSPMTTKPIVSPRMSSRMPIPIRSPGLPPNR SPKLPVLMNEPISVAGNASTITAVPKSPLTQTVAATSPKKPDTLPQHSTPGPEYRESK ASVWTDDIPDPSPSPPLQSPPPELRGKRSLDRRPINSMQKVTNMEIPTPVNTVRNTAE WFAERNRMDFAQRDSRVSQSQYQPQYQPKFRPQYGNQSSAYVETSKNNRPSFGLPRGP RLGAGMGLPSRPGPSRQIRSSEAEAGYVQGLNRFLPDGRLNDRGSLLSRMGSDRSANA STPGGKSVLNTPGVGKAL LY89DRAFT_581637 MRGYFGIMGSTDCSCNLNGNGEKVHIENKHCLWTPPRTDSPKAE PMWHLNVSILKNQEARGDAPSRSFAFKSMHHLTHAANQLEAAFRDSFEMKKCGVETPD AMGGRVNDGRTENVTIEFDSETSNESWSDFLARLKVLEDEWDHELHPEPISVL LY89DRAFT_487084 MLHLSNVFRKALRQARRTTEVLFSSKRLESAEAEIKSNIVICLI ATFQVWTTPVAPTDCGTTHGKIISLHHESGVCKTS LY89DRAFT_731653 MELPSPAPKILSLRLSPWMAEMEHPLYTEFYRWGYANEVYTSET DAEKVIRALHENLVEYDIILLADDGIFREGRKIRIHTAQNGHYTLYVKKERATSVVDE HRLLATALVWFVGRGGNLFLLKNFVKHSSAAQMELFFKNFDLTWTVSPQRRIKKTLSL NRQCEILQSNGSNSLPCFYESSVSLLENVKSKENLYMCGSASGIAVAEYENGRVIYFG DDTKVMTEATAHILITFCGGSARYINENGRYPSSGYFYDKIQEQRDRKKQEEQAKKQG EQAEEQESLRSSDHSQDRTEVSSTEERGRSLERQGRK LY89DRAFT_486552 MLFEDYDDEKFYSVPSPSYTPSHSKHSSYSSTNTSTLSLALSSY PEKYDESDPPPYTYVFYNTIDDKPLPPLPTSGKRERKVRFVAEKPLPPLPQGSDAEAQ LRRRPKKQGSGEGEYAWWAKRWSMSDEEYEKVAREKEIGHGSGFAGKFKEEF LY89DRAFT_780236 MASPLKAHVPAQLPTLPRDLGSDELHVCFIPSKPDFRRSCLEAV GRDESKLPPPLISCSDFVPRRLVELLMRKKKDSALGVKFLHTKKGDQITDMEGAMHTF VTPIVPRCEMVGDYRYDPQLGGHGVNMFGEQTLRGLDGHDKGKCRVTRSVVMSGSIQM DFENSKVMLRVCKLGDHTVVGQNLLTDKAWEILDSKPKQDDTQRYEYDEELRRHMIYH LTKEKKLPRRRDIEHALDDEKTIKFLETLIMTPGNTTEKVVGVFSKLHNDQIVSLELL FNTALHQVRNEFAALEALCPRGHVYTYDPASIFAREIGPEILNRLMLAALRHLSDNHK FENMRVFAFNDYADRGALNLVRSALKNQKRVQVVSKQELFRGPGGPGGLYDVTDIQGA EGAMLVIHNNSDGFGQNIETERMYGSLDGAIGSCSSAAASLRRDRKDLLDFIW LY89DRAFT_731656 MGSCMTRLKAEMVAPPSNSGNWEGLAPQRPQAYAPREPRQRQQM EKDTNGVLLGRRETASGIPGLTYDHAGRPVWREENAIRVEHRENR LY89DRAFT_487172 MAPPNTRSSSISSASTSSSKCSYTSIKKKFGCNHPGCGKSFSRS EHLHRHALNHKDGNNTCLRCSAHFRRRDLLDRHMARHKEKDDEAGGEGLGILATRKRL WRDSDGNIVNARRPSYTQEGAKRRQLSQADRKKSTSSTSSSDSDGEYQPTKGHSLMSS LPTPPVAMSRSGSSSSTIVVDTGAPGYPEKDQPQKTEGSWLGMSALPSPPISEPQSTK QSPEPEMDELDTLYEDSWPVMHDGLPEIVNNSPVLGRQSEYPASPSWGPQPFQTFMGA MAELPYDDIFKPETGLYDWQAWNSQVLMSRCREEKYDPFEERKREWGSSYPTQEGAFR RTFGLGTC LY89DRAFT_780239 MEDDITNSHRAPLRARERPSRPPSQDPLISPSVTVASNVEHDQL LNRKFSHRKPQKSIGDDLYTEVEMEKNSARSTYQEDMRTAQLHPPKAFQQSQRYMGFN CGRWRIHVRHGMMFAAVFVTIFVPIWYAAMVPSNILPNESDFASPFSFDCSSSPGKIN MDTLQPGLLGIDMKFGSFTFDQAKAIDLAWNLVVGRGVQAILSLIAYRVFSDALLRSA EITHLSYDLYASLSLYTNKGNMAWHLLKGLGKRGNWRTRSIFIWLLLSIVYLVSFPSI LDVCSGYEPSYTTNIVWENGTISLVTDNESAWFQNNTGVTYANDYVCLEIPDPANPSN FTFSLAPHQDEDSGDNDGACGNGVSSLEVYYWDDAFLNTTWWAQFYKNATSLYDPSTW DSWGFWPSMPQNYRCIPLNGIYQWGFSSQWLMIAAIVNSVFILGLWILWVDCDLRSEL CKKGRRLGLWRAVADISEAMREELGPNICAYDEWDLAEALRRKDPIKYYAEPGDGVEP GHIGLSSRRSGPLKLEWNHEYGGGK LY89DRAFT_487296 MAEDVPYTVLSWGFCMLVARLIGILQIFNSNKAIVKVMKTLDVR RSCQRIVFFINSETVQRTPKIPLIPSF LY89DRAFT_612848 MMRFTSLVALTWASLVAGQNNYPNLPVNFLQTSNPVTPLPNGYP WGTCTVQNTNPYTNPPNTGVIRSYDFTITRETKSPDGFERSFLLVNDQFPGPEIEANW GDTIQVTVHNQITGPEEGTAIHWHGLLQKGTQYMDGVPGISQCPIPPNGTFTYTFNAD LYGTSWYHSHYSAQYAGGIFGPMIIHGPAIAPYDIDIGPVMLTDYFHKDYFSLVEDVV STNFNEVLQPSDNNLINGQTNATFQFTPGKTHRLRLINSGAEGMQKFSIDGHNLTVIA QDFVPVQSFSTQVVTLGVGQRTDVLVTAPANADGKSYTMRSTLAGNGCAVTNQPFATA TVFYNTKLQPNTTAWPSFLTSVASQCANDPIDITQPLYSLTPSAPTTTKDIAINFTQN ATDFWLWTMNDISFRADYNSPVFLLAATGNDSYPDPQWNVYNFGSNTSIRVVIENPGP AAHPMHLHGHDFYVLNVGTGTWDGTTIVNAANPQRRDTQIVPAGGFLVIQFEADNPGA WPLHCHIAWHVSAGLYVTVLERPADIANVQVPSIMAQTCRDWSTFTGEDVVDQIDSGL LY89DRAFT_731660 MANIPFGGNDNFIFVCTPVLDDIPLTYTLTQFNGSFLNENIYRQ AASPEVDSAWEALGINYRALRVPPSLASKSGLSTFQVQISPKYGGGYPVNVEGLHHLH CLNLLRQSLWYNYPYYRDLGQGAFRNEEFIVQKHVSHCLDILRQQLMCTVDVGVLGQV WVHKNEPEAFTDFNTKHTCRDFEAVRTWAEERQLPESVPTDFLQPPEWEDIYDDIP LY89DRAFT_731661 MAFTSTAIAIPAATTTPTSKATSSTATPTSTAITNYGSYKSYGN YGKYGNYGTYKRDAKAEAEEEMI LY89DRAFT_773438 MSQPLILTLRLDVASEKLLTSLRTRFFPSHRNYLSAHITLFHVL PAVHLPLYNTYLTDIASREHDFTIGLKSPFLLGKKGVAINIASFKLRNLHEEILNGLQ KNDVELTEQDKTRLRPHVTVQNKVGEEEARKTMDVLSAEWVEKAGKAEGFSLWRYEIG GEWTFLRDFDFKRISS LY89DRAFT_487318 MDVTGLVLSVASAASLFITCIECFDIVVKGKNFSEDYEQLYTLF SIQRTRFGLWGESVGLIPDPYGVKRGYNTNLDRPDIRPLVAATLNNIRLLLQKANQVD DKYTLDAAAPQGRKVSEPQGLQVFKAPFDRFRSQIKRTQKETSTWKVTQWAIHDEAKL RGIIDRLREYVDGLESITSSLGLWADQQARLKDEIENISDVESLKLLRDSNSGNLITA SQDISDTASRRLVSLAESIFEQKALEGSVIGREDDSFVTAYSTPSTFNPRIPGSWPKS ISSAILGPRLVSNAQPAEQKLDQKNAPRNSCKQCLKAVRDCESGDLPQCSNCTSFNLD CSWLVDSCRKPEDDNLPVTDQDENMQMPQNQRWVAEIMQKAKPHVPQSFEMGEANYGK CLSVIKAEDGEHCQNTSVKLIAQAEVGLSSAKRMFLELRNIRTGKVPFVSATPVGDSL DKVLASIEGPLETPYEGGVFWITIRLSQKDSLGPPLMKFQTRVYHPNISPQGHICADF GDKWNAFRTQRHTTNVTSMWYRGKSSTPQWTLGALLTAICGLLAAPDVDDPLVPEIAQ KYLEDYAAYCENARLYTKRYATGQRPEEASLSFLEEEAKPFESGVTTEPDSLDLDQSD GKSSHECVQVSNRIIQDYEDQYPGGLLSQSCRASENTYEDSDSSEDELSDDATSFSEC NGDSIQDYSAQGNLPNATGSTKPQASNPSNFAKYFHSQRKLLVRHNDSVIDGDANIRV DTEIEEDGHLILLTLFHVKINDLRSRKLSVRRYERHSGREVCHTGRGRQLNEKSTSEQ ATWSDVLTASRAYSRSHRRRTSSVSSARSRASSLKSVPEESATVDDDDDQDDAAFSTE SAPQGPANTIQLEFSNYAQVKLIRRGKMMVRYEFEYWGSDYTWRRNLSDHNAFHLQKI EINNAIAGIVPETRTPDQIRDADKAGHLVPPC LY89DRAFT_731665 MNERINTSSVGENGLQESDIEKAVLASSGSWSSTILDSSASARS DTIQKDQRQGLESSENTRLCSVPGSNTHGNLRQKINIIDCIGSLPPPYCFLLIPNDNS SSVEELTQREEGRAEESPRAILSSPNPAPYVVPGRLFLEKASNQHPASIEEYPAGWPQ LAAFLHSEDNFAIFRRFGMTHCRVLVQLQAEIQLLEQELTNLDSEDAKHPDRTWRLQM ADIEENRDLSDAAQKILLKKLQEKLLVYDQLLLNDQKLREIGHAKQNDHLSVYHWICR EKPLGAGQYNWIFHATDFVPLSKTDQFEYSILNSFLKRLFLSPKSNGTIDHYSKSAVT TFTKLISVLFAVAILVVPIFILLWIPETRAWISATVLISVFVFSALMTLFSGARVQEI LVGTAAYCAVSATFLGNTQTSSGVR LY89DRAFT_612855 MSASPPNPLSHSQASQSPSNSQSHSMTHQDASLQTLVSHLLAAK RSLSSISTVWRANEIVTSARSALEESVVLSARTGFLRSGISEQVKILRRVRGGIETMY KDGQRDFKGVIRTLDAANSRLESTMEILRGTMVEAAFRPDEEAPRSLLDFVDEQGVET MRDALKESIRESKDAQTEFDSSILSFDDDLRALKAAMKASPQPSASQSHNDFSSPIPT LLHTLEINAQEMAGLLDSLVSHFDLCVNAVRHTEGGYAAVRKAASSQPPGAEVVSVSG VMNTEHDTSMDEPISDEERAEMLDVLEKDAAQVDEVVMELREYLNDMETKHEAILEHV SVLTTTNNETTAAYHMLEAVGTRLSNYIIASQEFRMRWEDIKSNIHEQLAELESMRMF YENYFNSYDGLLLEVHRRKNCEDKVKAIMRKAMEQVEKVYEADMKEREGFRVDVGDYL PVDLWPDVNAAAPKWKFAIADGEDGKQGIVPDLDRAIVEAASRREKERRRGER LY89DRAFT_716823 MEVAHADFEIASTGTVWGNPSIAISNPTSSGLKRKLGAGNSFWS PSAPSRELPPSPSLSAESTCLRGFKDAEGYSMICFCTECQDLFLAQESSGSEFNPTTN ASDDQNLVSSVTISTDVSVRSPSTSSSSRSPQRQHKATKNSGISKTASNANKTLRPEI LIDQLEVALHGDEKTSSEFKWKASVLFKELKTLIENESANQQLHIEITTPVPSSLGRP RKKGTKKSAGKNEPYEKIYHCTKAKCKYSTSSAMDWRRHEETHWPQKRYMCIQCPNSA GNSGLSKCSFCLSMLATVETQSHYLQCESARRNGRTFARKDKLSEHLQKDHSIPPGSA MSQATNCTFQVDNGWPRQCGFCSILFETWDERAGHLIEGHFKRGEDLRLWQSSYPTQY RRGNLNDTQHFDTEFCGEYGELPSPSFPQVLSQTMQNQFQGWSYNQPSQVTYDPTIED SSYTQDLNTTATRSLVPEIYHSPMVTNTTAPIPIPEDRKAELARKKGVLTSLSDLRKK RNPTRNEPLHHMLSTPSKDLPPPQVLLQPQATLPNEQSSLDTSSKFQPPTQYVFHHPS EYDPTIEDFDYAPRLPRQEEITQIALPETVLNPAITNTSPPLTKKSRTTLQKAAFAFL RKPAQPKEPPTTSRPQYTPINPTAETPRSTYSRPAPEPRTETLPSIYNNPYNSDPSPY DPTISDDTPRDLSYEAALLQFELDQEIAAREQFSARPPVTEQDLEFRARVANMRAANE ARLAFQSRSMEGGASPRFLSAKIDSRSPPPPRRASWFDGLALRLRDRGSGEKTQESGQ KKRKGKEVEEEETGLSAAMGGLSVNEKKGKRKSGSSSNEQEGGRKRWSGIFGKEKIPP PPPTTLQTPKSAVDSTSNDLDEISFLPPLELDLGPEIKLY LY89DRAFT_683171 MAPLPASTIKSYLSSLLRRTASPSADLDLAAVQARTILPRSSTN PVAGVGVTPPDSIPNKLVFVVFGLIGFGFVVTGIWFFFWAKNGGFHFKQNDWEDYKTT VLRRRGPNGTLLSGASESTDLGGGSVVHGEKRSRWGRSSKGKVKKNEMRYKDFDDEES QVTSSLGTSTVGTESEVSAFKKKMRKGRKDKKKDKSAMSEVGTVDGDMDASVADAIRE YRHEKPARVGGINKQPDGSSWDGSNEAASELLSHREKTPTNTPTKVRKERKDTYTGGT GGIRKVVSTSTPSGDIGVRTRESTHTQTKSIEEDRIKAEARKLQEKGRAATSQKRDFS FQAGDDNSTVTGGSSAASEATARRERREERERRRQSRSPTKKMPGSYHEVATSEVGST ETSSEVGTKSYHHVIPGLSSTAGSEYAEERRRKRNGGYRRGRTDSDGE LY89DRAFT_731669 MGSDKARPAYVEEEDETSGKAVRGTRRSAVSREKPKVSHKETRT GKSRRESAYPAEQSTNLAQHIEVSNKDKAVKLERRGSTSSSTKSPRKSNRPPSAHGNR AFPPLSIPNSSTRPESTYYGIPSPAVQTPGGSHNLAIRPRAQTSQTYPRPSSYYSAST SQGSAGPPLSYSAFYQTNPIMNPSYPPPSPSSSYMRYAATPQPSQPQYSVAPSYQVAG GADYFTPHAMSRPLASRFDPIARTQSAMDTVPRTSSAFGTRDMNPRPIHESYSYEPPG GSYYDDGYTSANEVATIRRKDRRGSIRVPPAQSTYSKADHDSITMPPPTTRPGILRRT TADYSLEPAQDLEYRDTRTQYREEPRPRRPSINRHSVSYDLDRGSEGVRLEPANTGRR RQSWYDQGASSGTGASSAYEDKLNSAANYQEDVGGPTVPLTAEVLRRQQRREAGSSRS TKSSASRDESDYKKSATTRTTRSGSGGDDENVTIKVTGQARVMVGGAQIDCTDGGAIE IKRNQRLGRTGSERSNSEYGENRRIEDRQSRVTRPSARSRMSSQSAQSYTRSPQYPPM DNYI LY89DRAFT_731670 MAENSANTPKLRNARACEACRASKSKCIYKSQLGVCQRCEASGA QCIVRAKARPMRTRTARPGSSSTSQIPISAHSEFSLNLPTVSQPDARKELVALHDHHK DFFGDEIPEALKDRQSSEDSHRTSPSRQSLIEQRKVTLLDAEKLLSSYRHRASSFPFV YIPPSETVPSLARTSPFLLLAILTSASIEDLPLYHQMNHEFRRVLSSKVIVEGKKSLD YLQGLLVYCAWYPHHVNPKNNQSFMYMNLCISLIADLGLDQEKPITVNFNEIDTRRLT EGDDFSKAAKRAYLGCYYLSCSLSQGFQKPNNLQYQSLMDVHGEVLMLDEHSPEIYSL VKLQRIAEKIMMWHSSKQPAGDSQMDALNAEVNIQIFLNELNEWRHSTNDVIRNLINI GIAERFAEITVYSYQLGFLRRPYREHLVSTAFAEPANPSHLNACLTACKTFFEYILSL PESSYLDFTVVAWAQVVQAIVVISRLTFLMAANMGWDANATRANIPFTMYLDALSYRF QHLSSTPSEGTDPPKNPDVLYVFKMMLGSVKKSYERRLNKIQPEFFVDNAMGVARGHC PVLDPGLSIYFSTPDSTYGSSFDTGNSTPSFSAICTPLYHDLWATMTGSWAAE LY89DRAFT_706091 MTRNKPVSIHDEVHSSSSKEHNESLDIRILTHNIRYATNAPFKG EERWPIRCPRLCSELVFNSIQPNSFICLQEVLHLQLEDILESLNKSSSPGSQWAYIGV GRDDGKQAGEYSPIFYRPSVWHLTRSETQWLSPTPHVPSKGWDASSIRIVTVGHFTHA QTGRKIIVLSTHLDNDGSQSRRESAKIILGEIQTITDTKDVSAILLAGDFNSPPDDGA YQIMTSPDSIMEDIGVKIPEEKRYGNEMTFTSFGYIDNTATRIDFIFSRKDDEVTYRT YAVLANRFDDGVYSSDHRACVADLVLK LY89DRAFT_706092 MFHSLPNYNLSLLLLILFSQVSRASLDVVQAQVPLRTSFAGTAC KQTIFKHSFANSYGVPCVGIYFPPENCTFTTTIFNISVTSKGRQYDRLALLFFGDTEI WRTSTAMPTEEGIYWSYQKEMTVFDALLRTEQKVIFDLSSFYSDLYTGAFNVTLEALY YKDNYVSGLSPPDRIYPISALASAQNISSVMSLPDDNGTVSITFPRNVKTATVSILAS GNSAEEFWYTNVPSEYVDTFPGNPGWLYGYSPFREVQLLIDGKLTGVSWPFPLLFTGG VDPGLWRPIVGIDAYDLPTFDIDVTPWLSLLCDGRSHDFGLKVVGFDNSAEDKIETVG ENWWVTGAVYIWLDDHGNQTLGGNITTNISPPTFSYHPILTSTTTNGTKTNTSFYFSL TAHRTLAISSTIRTSTGSKQVSWKQNLSFSNIQNMTDLAYNQSLSMLSTGSYSDSFSG TESTYSYPINLYSAYVIAPTTATLSSVFTLIDRSLITKGIDILSSLTGLTLGSESLAT RQFGESMYYWNETIVEGTAADTGVTEQWFSYSGHPGLGEDGVRDFSRHLKEVDDAIVT DDERWETMVVPNTKPLPYVEGEPVV LY89DRAFT_487623 MEASFVNQQFSPFNPETENLFDTFTDFGAYAATIGSGVNSDPQI PALLCENAARSGSRSTPISICHQTSERPNDGEAYCFGGRCLGGSFWTIKGSLETPSLT RSRRASSTVSQEPVGKDFPLFSFPNTVPPPFLRPKSSQHQCTGIQKGIKRSASQYQVH HPVDLINQLEAVIADDIKSSAEFKWKTSILFQELKRLIDREHTCADTHTHQTKMPITN PPHRRTFKKHGDKAITPDKVFHCTASPCRYSSASAPDWKRHEETHWPQGRYMCLECPV SLPAPDFPDAMQCVYCSTLSLSLEDLRLHLLNSCDSAKDRARTFNRKDKLSGHLQKDH LVTPASALMRAEKWKFEVSSNWPRYCGFCPISFRGWNERSKHLVEEHFKKGCHMGMWQ PLIPLVDPLSSFNQLCSSAPWTGKNKSVAIETGASILKGIEVSNGDSRLNYPQQAPAA LY89DRAFT_667247 MGSLLQPPLEQHLSGSSSAPSNSPSALDDVRNLAASQSRSSQTT IESIPLETVSANEGLRPRRQQATQQRARIRPNIRNNVVLRPIPVPQGYHELNYKPIML ETGTLLAMLLFNILCVVGLVLIVVESDAYAQYHSSHTDMRFVVRYVPTIVGTITTLMF RSMRDTLARTKPYICMASEPDRVGSRGSKSVGLMFTTGLYWGRGLKKRLSPQHQDWLR WAMFFASIPVAQITGYKAALFTASVTSDGKYVLTVHKSMAYVLISLYLVVIILTVMTL VKMLTNKTGLKWDPVTIADQLALFHGSNALDEFEALEQLHRETAFDLLAERSFRLGYW ERTEGGRTTIWYGIGKISPPLSGHDQESGQAPPQRLPDCKKCNKGQHYTFCSCHDETT CTVYPWLKNSLFRPWAVLVWAIPVLASLCLCIYTASSNRVNEGFTVHNTWWSYFPELD TPTNTTSNSTTSFNTTLQTNITSQPGDLLLHLPGLNINAANDLVLYIFAFRTIPTVFA SYFALTWFSAVDKSTRFSQPFANMYSHPASASETVLLDYLWGPPGIVTINAFQAGHYK VFWFSLLDLLSPIFPILVAGLFTITNTGELIVVAIIPVTFYFVLSFLAIYLLTLPFVW PRTNRRLLRWHASIADYVSLFYASRLLNNTRGNGIGAAINEEDGEKVEKDALDISGKE ITSRHLYSKIFLEEKEYSMGFYEGIDGRRHWGLDRVDLAEVEFVSWRDWEKERVASTS KERTHE LY89DRAFT_487790 MPLHELQRRDSWPPTQVRLTQDPNTVRQDIETAIDENPFAFFLT SPDEIDIDDYLSDDDLNAGIETPDSSRSPVREVSPSALQRNPLPLDDDEDDDEEYEFG FGLAIPLSLKDFTKASSTTVSGRQSRTGNRSKEEQEELHGLGISLPEFSARGRARVRL VPGRGRGYSRSLSARRPASWRAPSPEIFSIREERESDEEKNEGMGMEIYSASAPATSQ MRQGGIMASPSAAAAKPKKRVHWAL LY89DRAFT_731676 MATQMPSESRIERDGAEEIVEEGEPPSLENCGEDHSDQTITLSS NSKMAGQSVTPFLAKHIPQQYAPLGNQPLATNTSQKDPNTKYCYRHRPDSKCRRTADE PTMENLQRELETLSQADQQGISHVWSLFSAAPAKHRNLMLQGILTQCCFPQLSYLSAT VRDLIRIDFLTALPSEISFKILCYLDTTSLCKAAQVSQKWRILADDDVVWHKMCEQHI DRKCTKCGWGLPLLERKRLRDWKRQQQLRATGRGLNEWSPNLTPVPDDVTNGVVKASS CIKRDAAAAALSDGLLIASPEGTKRQRMNAEDSYFVDKPKFRPWKDVYKDRFKVGTNW KYGRAKIKLFRGHSNGVMCLQFDDSILATGSYDATIKIWDIESQECIRTLRGHTQGIR ALQFDDTKLISGSLDGTIKIWNWRTGECLSTYTGHTNGVIGLHFDGNLLASGSSDKSI RIWNFEDKSVYSLRGHTDWVNSLKLDLPSRTLFSASDDCTVRLWDLDTKRTIRTFEGH VGQVQQITLLPAEYEPADFEPEDDDGASSTASTSDLNAPIQSKPPTQTFDSWPSTRSR PPRYFVTSGLDSTIRLWDVNTGRCLKTFFGHVEGVWALAGDTLRVVSGSEDHTIKVWD ARTGKCEKTFFGPTGPVTCIGLSDSGMCTGSEDCEVRLYSFKCEDEGSESVSQ LY89DRAFT_487860 MTGVEKRKRASRPKSKGGCRTCKLRHVKCDESRPACKRCTRWGH QCDGYDDEIVAKKTTRVVYQLMPKSNAHPVVITCPSLGGIGFSHELEARYFRLYQEEL SDELSAGFETILWNRVVLQACTNDSIRQLIIAIAALKKAGREPSANLASQHRKYALYE YGKALKGVQAALTLRRDGLRIVLIAALLIFVLESMFGDTKRAVTNIQTALDLINQRLL LMSHACRGPFITRGFLPPSFPDLIEEEILNSFLRLDRPAVGLLSRSKGSRPQNKMFSS KLYLEDFQIPETFSSIGEARLYYERLRFRVFPEHAFETKLKDTTSLPEGASAPAILLM ELFSEITDESSAPAIRDDLARWHQAFGPLLRHSRTKEGSSTYVAAMMLQIQAAAISIP LFILNSIRESTDPAVTAARDVVQLSKALVADPRFPKTFVFEMGIIPCLWIVVILYPDF HLKREAIAVLRAMEPRVECVWDSKIVADTGDVVVEMLEKQQRQTH LY89DRAFT_566870 DSPSDDSSKGRCPHLDCGKVFKDLKAHLLTHQIERSEKCPVLSC EYHVKGFVRKYDKNRHTLTHYKGTMVCGFCPGSGSAAEKSFNRADVFKRHLTTVHSVE QTPPNSRKKTLDNAESGKTISNYAPDATGKCSTCSGTFSNAQDFYEHLDDCVLRIV LY89DRAFT_487874 MSRRRDQSILPMPPVITTRPRNLHRHAFTDITPPRKLTEAECKE KLTSYTAYSIRKAPSPLFEHLWSRSDSSNNEKSTSSFSSSAVSKATQGDEIFQDVRRF VVLKKGSYTVALPITTYASKGHRHGDINMKEHCIIYNNRKPEETPAPTTDKIQGSHSK SMNWAPFERSSSHNQHSSAFAAAGAWMEDSYYSFGLRPSPSSSSSNGAKRKHHFPSYH NHDPVNFDDQDVLETLQNGWETFLGSGTAGDRPRLGREEVEILEASFKKNPKPTIQTK RRFSEDMGIELSRINNWFQNRRAKRKQEKKFEALAASEGPSLHELHSPPNTISTESQA GSTSIFKPLTSLSPTFELVQIDDTQSIDEVTIIQSHPLDFIASQNLH LY89DRAFT_695873 MADSSFIVLLFQLFKSNLVLIVFTFIIKHLLRNKYGSGISHIPG PSLAAWTDLWRVNNVRKGKSHMTMIELHKKYGKLVRTAPNVIDVSDAAEIPKIYDIKG AFYLILSVLWKGKAQMSLFSSRDQVYHRELKRKAGNMYSMTSLLEMEDSIDECTALFV KILGELADKGEAMDLGAWLQYYAFDVIGEISFNKKFGFLEKGGDLDDMMATIDGILFY STVIGQVPYLHPFLLGNPLLPVFVPQVESWNHVVNFTVQAMKARSDSGSPKKETSKDM LSRCLSANLSEDDILILLSTNVFAGSDTTAIALRSTIYHLLKNPSCLSTVLEELDANS HRLSSFITYKESKDYLPYMNAALKEGMRVHPSVGLLLERYVPKGGATICGAYIPEGTT VGINAWTVHFDEKVFEDPESFRPERWLTRDEKKLAEMEKSFFSFGAGSR LY89DRAFT_731680 MKSHLLATTFAALSSVVSGSTFSPARPPAIPLAVKSPYMSTWLE VGSDGGSGGNLAGSWPRFWAGPQPGPVAGPNGAVTGWAGLIKVDGTSYTWMGAPVVNG VAPTLVSQDSFEYTSQRSTFIMNVAGKVTMNVTFISPVTPTDLKKMSIIGTYLSISVV SRDGATHSVQLYADTSAEWVNPTHNQDVVQWSYTVENGVASHQSFQQTQSEFNADFPD DAAHWGNWYWSTAAMSSMTYQNGADVTVRQNFLSNGALPNTQDSNYREISTNWPVFAF ANALGSVGTTPVNTLYTIVHAQQNAIYFDGANGLTAVPSLWTSYFGSDLAMVEFFYGD FVTKVGAIDHQIAADSLAAGGQDYLTITSLSARQAFGAVQLCGTTAKPYLFLKEVSSD GNIQTVDVLFPAMPIFLYSNPILVKYLLDPLFENQEAGNFPQTYSMHDLGPNYPRAIG HPTGDGEYMPLEECGNMLITTLAYAQRANDVAYLTQHYNILKQWTGYLVQEALIPANQ LSTDDFQGQLANQTNLALKGIIGIQAMSVIAQKTGNTADATNFSSIAHSYISQWQQLA VVSTASPPHTNLDYQDNSSHGLLYNLYGDKLLGLGLVPQSLYDMQSTFYPTVAQTYGV PLDTRNVFTKSDWQMWAASISSASTKSMFISKLASWINNTPTNRAFTDLYNTQTGDFA DGPFIARPVVGGHFALLALNGAPTSKREAKVFKA LY89DRAFT_716836 MANILTIPNEIKLAIVKYVSEQDALNMRFMSMEWAAAGVDGFFK NDGCFNFKPTRDQLTRLANLCANPEFVKNIRQINFNLGDFDSETLLEMWKTQRTLSNA PPSAKEYGQMRSLVRIPTYPGAWKQFAEVTRLTEIMSMLPNLKKVSVNRFGCPFPGKE ADEHWAQQLRLYADRRVKWRVYDRASGITSLLGSYWQCASASLGFVEELNLGTVPVEA LEELTRDIMQRQSNSASTTVATKAALYPNIKSLSIRAAAGTAVSPHEEDDQFVYSDKK LACNVVCLLSAFTNIRHLRLDLALEACNRVRTSFSIQFQRLHFPHLECAIIGNMQIPA DEVRHFFYKHRSTLKKLVFLLVSTWLRQRWSRHILTEIRMNLNLDEFHHALCNCDFSL PMQTSTSRCTHRHPRAERFVELYVTKKIDWPFVDDEPQDSSGYHWNPTKWLVYCSYSD LYKKSLVELAARARGEWPPPSTPIMPPWMLD LY89DRAFT_683181 MVDKIVPNDSRVQYKTANLNGHTYNYILSEPKGTKPLNTIFLIH GFPDMSFGWRYQIPHLTSLGLRVVVPDMIGYGDTDAPEDPKEYTCKKTCDDLAALASH IGVSSIILGGHDWGGAVVYRMAMYYPKLLSAVFSVCTPFMPPQKKFMHMSEMPNFKYQ IQLRGPGVVKKIVGEEKIRQFLAGIYGARSKDGKPTFTVEVGCLFDRLDGVGSSPLVS DEELDFYVSKFKRNGMRGPTNWYRTGELNYEDEKEIALSGKEIKFQMPFLFISATNDV ALPPSLSREMERSFRSLTKGQVEATHWALWEKPEEINQYLEEFLVGQIGARRASL LY89DRAFT_683182 MADGDGPIASAVIIPDLGAESQPPAPPKRRQSSTSESISKRPRL SFDANVESPTNLHQSPEPTDPSRRASESVQEPINERRKSSVQEEKKRGQRLFGGLLST LSQSTPNGQHKRRLEIEKRQQERAKQQKAEDDVRRAEKLAKLKAIRKVEQVKFDEETM RIRHTNMLAMAQYLYTKAEPRLYYIPWKMRPVDEERIKTQVAGTQALIEREVSEFQAR LSNEKVRGKTEAGETNGVSKETVGELATESPSVPTISHNDTTNAQPEQVKTEKNALEE HNGEVVVENEEDTVIY LY89DRAFT_731684 MSRHHPDLVMCRKQPGIAIGRLCDKCDGKCPVCDSYVRPTTLVR ICDECSFGNYQNKCVVCGGEGISDAFYCFECTRLEKDRDGCPKIINLGSSRTDLFYQK KNFRNH LY89DRAFT_612885 MPTNPMPRSLTSRVLSTISLRPLGSKSSSNMALSTHQIEVYEDC ISKLRTEIFKLEDQQTKLLSALIIISRCIKSDLAPSRNYKPFPFADEEDEKELLCSID DHIEYLAAELQQIQNRVWKTSFQPSRSGRPGKVPESERRILPPTSIIEDFSKPQLQLV IDLGQLIVKKAELMVWSDLLEGNLVFWSNVWKEVQKGRKLKDCRCDRLRPPFSEGHKI KDDIPISPRSSVRPSLTRSNAVRCTKNGRIRRKEVPVQELIDRFLKKVGDGDGEESKR DDERHDSAISMDIDGHKSNGHNSVNDLAIVKQDSGVDVGEGDGKGEGRLRRKSA LY89DRAFT_706100 MLLHWLFFAIAQACLCFVSVTHRSRNSLIPKRQWLQDLVTWDQH SLIVRGERVMIYSGEFHPFRLPSPGLWLDVFQKIKALGFTGVSFYADWGLLEGNPGHV VTDGVFSLDQFFAAASEAGIYLIARPGPYINAETAAGGMPGWTLRLNGTLRSTAPDYL NATVHYLSVIGKIIADAQITNGGPVIMVQPENEYSTWPGESFAQFPELFNRELMTFTE DRLRDAGIVVPFAINDNENMGYFAPGSGLGAVDIYGIDAYPFRYDCGHPYVWPTYRFP TGWQTNHTTFSPSTPFTIMEFEGGSGDGWGGVGEDMCAILVNNEGVRVLFKNNYSFGV TIFNTYMIYGGTNWGNLGYHGGYTSYDYGASITEDRQIWREKYSEMKIQANFFKVSPA YLTATAGNVGNGSFVSTSTIAVTPLWGNGTTTNFYVARHSDFTSTGNASYTLTVSTSI GNVTIPQLGGTLSMIGRDSKIHATDYDVGGINLSVLVLYGGESEVHEFALPMSTGEPK LSNNSTAKVAKLGSAWVINWQFTTSRQVVTIGDLTVYLLWRNEAYDLWVLELPASEPI GNFTSMSKSNVIVKAGYLLRTAIIDNNTLHLTGDINCTTTLELIATPSDSLTSVTFNG SPLETTKSSTNNLLATISFSPPEIQLPDLSSPYHEWKYHDSLPELSPTYNDTIWTLCT HTSTTNPLGKRTPTSLYASDYGFHTGSLLYRGYFTSTGTESTFTVNITGGVGFGHSVL LNSTFLGSWAGSGANETWIQNFAFPVTLEVGKNYVLTVLIDHMGQDEEAPGTDAVKYP RGITDFSLQGREMEDVEWKITGNLGGEQYLDLVRGPRNEGAMFAERMGWHLPSPPSEG WESRSPVCDGVDGAGVGFFTTGFELDVPSGWDVPMSFVFNGTEGGEEGTGGNYRAQLF VNGWQFGKYVNNLGPQTTFPVPEGILNYNGKNDFSLALWSLDAAGAKLGGFALVPKAF IKSGYSKPALVDSPAWVKRENAY LY89DRAFT_581072 MSLNIPNAPNAGLFKQGYNNYDSEDGAVLRNIDACRTIASTVQT SLGPYGRNKIVINHLQKMILTSDAATILRELDVVHPAAKLLVMASQQQEAEMGDATNL VIVLAGELLKKAEDLLRMGLKTSDIIRGYELAQKFALEELERLVVDKVEDIRSQTELS KAIRTVIASKQSGNEDILADMVAEAVLAVLPKNPVNFNVDNIRVVKIMGGSLDQSRVV KGMVFGREPDGSVKKATRAKVGVYSCPIDISQTETKGTVLLHNAKEMLDFTKGEENQL ETHIKELYDSGLRVVVAGSTVGELAMHYLNRFGILVIRILSKFELRRLCRVVGATPLA RLGAPMPDEMGSVDIVETLEIGGDRVTVFRQEDEQTRTATLVLRGATQNHLDDIERAV DDGVNVVKAITRDPRLVPGAGATEMQLVERIQAFGDRTPGLAQYSIRKFGEAFEVIPR TLAESAGIDATEVLSRLYTAHHKHDDWTTGVDIENEDNTGTLDAKDEGILDLMISKSW AIKLATEAARTVLSVDQIIVARQAGGPKPPGPNPVSFQP LY89DRAFT_612890 MSGQHPLPWPLPDDNLSSSAGQDLPSTELRAGPSTQQDAARPLL ASYPPGYYYAAVQFQYARLSSVTPPYSNASYPYPVPWSAAYPNGFSAPKYISPYAESV QPAAPDSDLPTTVPASSIPSDSSTSSHSPLTKTTGVIPPELPPSVEEAYRKKCIELKQ RLNEVEEGNDAARQRISRIGRSVQKLRLERAFLLEQLAKRTSTNVEDSEGSPSPPPTP KEKPLRTKRGHRKPDFLTTDLGDGRPGSTFIQQGPVTLSPSSDAFSHTHTHPDPLRNS TPQAQSQPPKRQLPSNGTHAPTAHSTSTPSQSRRPKSPFDLYCNETKPSLVAKTKEDP TYDVESALARGWSSLDGDKKDEYTRKFEQMKRGEEFEKEGGPSAAHPATFDEADEDIE MGEDAATPGGADAGGFTAVN LY89DRAFT_612892 MASTSTSTTETSPLLPSRTTSSLDTAKKGARNVTFNPNPAIISI DNASSQSLRPAQSALNAALPSNSPSSNPPMLSALNSRLRRRNSSGSPLMPLPSGPVPK IGPQRTTKNAQKLKLLPNPDFGEDGPDEESGRDVYSQYTRIKDPTARRDAARLGKADR DRLPRVTAYCTANKYQMDNLMRFLKGRGKTKGANPKLFDECIYTPYNYGMNLSAPSER VMEVQSLPAQMSERRHSDSAIEVEDNNKHRREDLIDLHTEGGDTSLGNGENQMHSEAV QRQIQDENIATESNLDFDTQVHTPEVFLFDYGVVVIWGMSLQHEQRFLKEIAKFESEK LAPDDVETECFNFYYTREYQARIYNDFITLREKGNYMTKLAISHALAQSVKTSLFEEL VDNTIETCKDIPTQIALTGKIALSSKEINMQIGELFILRINIHLNGSVLDTPELFWVE PQLEPVYEAVRKYLEMDQRVNLLTERLDVIADLLAVLKDQLSHGHGEKLEWIVIVLIA AEILVAAVNIVVDLYAGVD LY89DRAFT_581246 MAAVEKRIDLGPDDKEIERLTAQHKIMKSCYEKLILAPIDLSKP GLRILDSGCADGLWLREVQPLIASPHSLIGTDFNPNLFPSDPPGQIMHQAQDITKPWP ADWANSFDFVHQRLVLGGCGPFGPEKAVKHMIELVKPGGWIQLDEMDLAGEQQLPGMG GELGRIIRAVFEASGGQWDFATKMKQWMIEAGLENVEEKTIHVAFGKSNPDPEIAKLG IWSLTTSTKALCFAGKTEMGIKGFTEAELDSMGERCEKELIETGVDSPMLSVWGRKPL LY89DRAFT_780265 MFRRRNKPAVQETIAETTNHEGRLIRKADPLAVLPHLFHELGIQ SNLLVSATYIHPTIHLMPSLVYHSLASVISQHPALSIIGVTRPSTKTPGHHRIWDAHL PIIQLKDCVEFLDLDFDGDGQLAQTLEETHNFWFSTSDKTKPLWKILVLNGKTVVWVC HHGIADGLSGYAFHRSFLEALNSPHVVAKEDDIESVITPRIDAAALPSPLEDFEENLS WLHVIKTFLFWVLLRFFVPSQYFLFSDAVVSPRFPTVSNPFPASEKTITKVAILRIDS EVMQKCLEACRLHQTSFTALLHTLIQITLAVDEYPNARLGFSRLAVSVRNLLKNDRRI GKDVITDAVSVYYGFHFLSSYRDAGTLPMIHKSKIPLQKGKAWKLAKKYKDDLNAAMY TKKSVAQEFLSAKLLGEDNEDFGKFFGLGLYQNNSFLISNLGVFEPKGNSGGSEDGGN SGESANRAKSAESGIGGGGWKVSDVAFSAGAIRANLGDFGIVFNVASVKGGDCVVCAC WEEGVLKEEMVKRVLRSVGAKIKLMVR LY89DRAFT_488047 MHYSALMALLAATAVTAVPLNINLGAYSPALVVGDGEISFGGAE SAEALVNTLAGASAGTEAAAANTGVTRAGTAEGSTIITPSEAATEATPTKEKRDLQGF NAALNFATGALSKGPTVELGTGEGGSGVGITVKPGAVAKREEGSLPTVTLLKIRSLGN NAVARKARRAESVVPREVSVDDIDSINLNLDGDVEFTIAEVKP LY89DRAFT_488061 MLGYIAALPLLYMFVYLPISNSYFGTSPRPQAQHVAILNSSLIA DDVPLSCPSHSYNTHILSQEPLVIYIENFLSPDESKHLIDISEDKFAPSTVSAGAETS IQKDIRFSEVALIDRDDVVRCVEHRARDFQGWRPDIHIERLRTQRYGIGGHYNHHYDW SGASREADRVSTFMVYVNAKYVSLCPLL LY89DRAFT_667265 MPPPESDNNVTPCSSRARPLSSPPILPLLSFQSPDITELECPSP SPITWQSEKTPAELYSCEPEKVRKSVGFLEPLSTPSEYTAQGEGLKAPTSLEPSNSPA SLRKSILKHSDQTFSPPKASRLEEVEGYYLSQAMPKRAKGPEYVYGSYQKQPRPDTLP KPNKKRALRLPTRLGSPPRRKFSFDDEDLATFVDDSFEDAMTTEIEHNDINEPAAKRP TQNDRHSSGAFIEYYDLFVRAEQDPTVIYNIRTKKFRAEKRSSLTKNGNGEEVETTSQ SLTMSVDNPPLLCDGRMTIVQLPKSGDTVTVRWRNLDKFDHEVRLRERQVELPTWVLT GRRLRKIADMFVERA LY89DRAFT_731695 MGTRQSKVKKFEPRIDDPAVVLDTRSQCGYKYSLAPAATATSID YHSGIPIYNSGLLHSFVRPTVRDSRYFNKQRQYLLKSRNSPEEDMLPDGVLLIDPKST ANPTIYLHLARANDRGCCGLGNPDCPRRANLDQEVNDTLAVMGGDLMQLPDWVIAGWR AGYYKLLFVKL LY89DRAFT_780268 MAPTHTCVVNQLRQLVYYHLDNNLLKNALFFAERLEAIDRRSSE SAHLLSLCHLRLGDNASAYEYSKTAGSRGSQLGCTYVFAQACLALHRYKDGITALEKA KALWGAKNNFGKHTANSRQLLPDAAAASCLLGKLYYGYDDKLKATRCFEESLKLNPFM WDAFTGLCDMGVPMRVENIFKMNPEMEAIIKQGYPDQLESQSSKDSVASISTMPDQER TRPSTRPASVVSDTPDPFNNVSTRGFAGGIFGTLGISQKLNESNPSLTNLPAAGGGGI GPEAMETPTGPSAILDVTQNRRDPGVLAYSNEAPPAPTRKTRTLQGLGMDFSVDAPKM SRGITSKRSQKLPMPRAIPYEEHVDESAAAQAVRSNSVSHTTGDRKRTVSGQVVPRQS SEDPGAPQRRSVRLFNQIRPTSTKSSSNGPTIGLPAGRELKKTRQPISKIMRPSSSAS TVGRQVSGNRKPVEEPMDVDQKETSSRSWYGTVTSIQPPKSSEPDSVKQEVALKFLLD LFRTFGSGYFALSKFACADALQLYNQLPKQQQDTAWVMAQMGRAYYEQAAYAEAEKYF KKIRQLAPTRFEDMEIYSTILWHLKRETDLAFLAHELIDSSWQSPQAWCALGNSWSLA RDHEQALRSFRRATQLDSKFAYAFTLQGHEHVANEEYDKALVAYRAGMTADKRHYNAW YGLGRVYEKLGNYDKAFFHFENASRINPTNAVLICCIGTVLEKQKDARQALLYFTKAT DLAPRSTLTRFKKARTLMALGLLDHALSELTVLKDMAPDEAMVHFLLGRLYKSMRERG LAVHHFTIALNLDPKASQQIKEAIESIEEDDEDYENSMTA LY89DRAFT_780269 MAQKAKKDRAKANISSLNNLHIGTLAINTSFLLFNLIFKRRSLV AYLIFSIPCGVAEYILETTGRPKYDANTKALKSAGEDLAAPGLTEYMFDIIWVTWLSL VCVILFGNWGWIVYSVVPVYGAYKGYGLLGLARGMMGGAQQGQMPDEQAAMAGNRKQR RAA LY89DRAFT_612909 MGNNTISLEKGKVVAEEAPFPLTDVDRWVLSQTDEEFHLHDWEE LKVIIATNKLETLKRKPSDLRRYMAWSSEIKAQYGSMTKYLIQHRLPWGSPPFTYNSP IPFEDPSDYKILLNDWPYGLTPDITHIVVWSKTPIETDSTRGDVTDASKKIIEEFVEK AFTRRLGDSSRVTWFKNWVSLQSVRALEHVHVLVKDASKEDLEFWTGKAL LY89DRAFT_683190 MSTSGSPFLSKEYINGVYIPSGLLLVGTFIVKQDWLPFAVIVAL ALGAIKIFSGGAKPVLKPDVFQDFELSEKTIISHNVAIYRFKLPSTTSILGLPIGQHI SIAATLPQPDGASKEIVRSYTPVSGDHQPGFFDLLIKSYPTGNISKHMASLVVGQTIK VRGPKGAMVYTPNMVRAFGMIAGGTGITPMLQIIRAVIRGRPTGDKTQIDLIFANVNQ EDILLKEDLDTLAKEDPGFRVHYVLNNPPEKWEGGVGFVTPEMISKWLPKPASDVKLL LCGPPPMISAMKKASEGLGFTKARPVSKLEDQVFAF LY89DRAFT_773458 MVESEDNKDKAPRIHWIQSDVEAGESRPRPELRRSNSNISINSV YSRRGSIDPASALPIQYRTVSHQIAESKEKNAADIQKAKDTAAKELANLDWHTISPKE IYTRLSSSPSQGLSSEQAKRRLTEYGRNQDWSSSRVMASITTMLPDSCIVLRDGGRVT ITATDIVPGDILFIKAGNKLPADVRFVEISSDAKFDRSILTGESAPLPGTVDSSDDNY LETKCIGLQGTHCISGSGTGVVVLTGDATVFGKIAKMTNAPKTGLTPLEREVLNFVII ICSIMFTMIIVVIIVWAAYLRKEHPDWINVPTLIVDCVSVAIAFIPEGLPIAMTASLT ITANLMRKNKILCKSLKTVETLGSVSVICSDKTGTLTKNKIMDPQAARDEMMQQSQKN GKTNAVAQLRAIAVLCNSGEFDAAINDLPLHERKINGDATDQAILRFSESLGSVSEIR GLWKKTFELAFNSKNKYMIRTFELGQEEDLNIALPSTEAATFRPEDTLLTIKDAPDVL IGRCTKYTGTDGETKTLDDTTRAKFEEIKNTWSATGRRVLLLARKTICKEQVRSLAYS SQFEDVVVHHARSDLTLVGVVGIVDPRDEIPSVVSILRGAGIRIFMVTGDFALTAQAI AVECGIITNPPHLVKGVSALSRDYATSSFESDPEKKVAVVDSAAKTSIILSGPELITL NENQWEQLCTYDEIVFGRTTPEQKLRIVREFQGRDEIVGMTGDGVNDAPSLKAADIGI ALGSGSDIAIEAADMYGRVVFDNLKKTITYLLPAGSFSEFWPVMTNVVFGLPQVLSSF LMIIICCFTDCAAATVLAYESPEADVLLRKPRKPKVDRLVDWKLILQSYGFIGVIETL TSFAMSYWYLQRSGIPFSALWFKYGTLPNNIDQDYYNARLAEASSIYFVNLVVMQWFN LMASRTRRLSIFQHPPILNKQTQNWYLFPAIALALCMAIFWLYIPQLQSTLHTSRVPA EHFFLPAAFGLGILFLDELRKWGVRKWPGGLLENCAW LY89DRAFT_706108 MSPKYQTIYSTGIHHGLPTFPDHDGKKYTAIVTGANGISGSEIV NALVAAPERWETIYAMSRRPPRAVSDRVKTIAADFKDSTPEQLAKLFKSEGVKADYIF FTSYIQPPTQEGEGLWANHDELETVNVTLLSNFLEALTISSIIPKRFLLQTGGKHYAV HLGPTAIPMTEDTPDRRVPHPNFYFPQEDILSDWCEKHSTHWTVTRPGFIIGANPTAP INISYGLAIYASVQKELGKRLGFPADIGAWDINKDLSTASLIGYFSEWAVLTEEARNQ AFNVVDDSPFSYGKFWPELAGWYGIEYDLPEQDEAKYNLVRMPRNPPPRGFGTPGVLK VKFSFEEWARSESIKETWEKIQEREGLTKELNPWKDKETLFNVFATLDAELLGGWART QTMDKAKKMGWHGHVQTNEGMKLTIEKMIEMKMVPAV LY89DRAFT_683191 MSFASNVTITSEVLFPPPHSSQLKHGYNSLEKVATCLLLTLLLW RSWRFTIFPFLYPNHPKEYPYWIPFVGHAQAFFRDSNGLLARARNHFDNTTEPIALTA FGTTFYVVTQAKHSAEVYRNTETLSFEDFVQGLMRVNGNKEVVVQAMYSALPSNKPGF PNPQGESLGVLAQKMHIHQLHPGNNLVVLQLQVKAKIDQLLRLDTLGKICSYASSQSP THIELPLYQWCSDYFIRLGQHVYFGETLEQIDPGLTDDFLIFDELIWKMLYQYPSFLS HDMSRPRTQIIASLKKYFQVPEIERSGETAWLINAMEDEMRALGIDDDDLAVLMFHLY FAINTNTRKTAFWVLTYLLHNPTLLAAYRRETEPAFEGDNLVDPFYIQDPANCPQVDM IWHETLRISGWSASVRLITQDTMIGGKLMRKGNRVMVPHRLLHFDKTIFGKEPGAFRP ERWQKENLTRSPSWRPFGGGKTMCSGRFLARFSVTTFVATLLRRFDVEMVGNPPFPEA DEGRPVLGIMSIKKGHDFKVRLTPKVKSG LY89DRAFT_612915 MIVLLFLGLALAYLIWTLLCLEANARKARAMKVHVVRIPFDVNN NLWVIIQPLLWKLLSHLPVQWRSYPDFVRFSHRNWHFLEKSSPTERFGEVWALVSPGG VHLHVSHPDAIQEIFSRWRDFVRPIKKYQMLAIYGPSVLTVGLEDWPRHRKAVAAPFN QSMMKFVWDETSRQTQAMLSYWIQTKTGIPGMPEDLRTLSLNVLAATAFRESYDFIDS EHLSSRELSTASYRDSLYIVDKYVILLILIPYRFLTGAIIPRSLARIGHAAVSLKGFM MKTITKELAALTAGEPGSGGMLTSLVRALDQESAKQANSEDFVKEKKRGRLSIDEILG NVFTINFAGHDATANTLAFVMMLLAAHPEVQEWLHEEIITVHKEKSADDVDLSCAMFE QLKRCQAVFLETLRLYSPVTGLPKMTSKTVQTLRIEDKELAIPPGTEIFPLLLGMHTD PRYWNDPNVWKPSRWIIPSETSHEEELLVPQKGTYFPWSEGPQNCTGKLFSQVEAVAV LARLFRDHRIFVKPESGEEESHARKRARDCVDDVNYNLLLKMNHPERVRLECRQIN LY89DRAFT_773468 CLALSLLLKDKVSFPGSQVYRASLGSYFSLQQAQVNPLCIVAPQ TTEDVSTAVRALTTPAPTCQFAVRSGGHSSFSGASNIQGGITIDLRALDRIELSQDRS IVSLGVGASWGSVYSYLDGLNLSVTGGRSFGVGVGGVSIGGGISYFAPRYGWACDMII NYVVVLADGSIVNANQDENPDLLWALRGGTNNFGIVTRVDMQAFEQGGIWGGVVYHPI STVDEEIAALSEFNTPDTYDDYSSLISSFAYSGANGISLIINSMENTKGVVKPPAFQP FANIHSLGSTMRMTNMTYLSMETEAMQVNGLRYASATLTIESTIEAINATVCAWNASV PFIQDIPGIVWTVVLEPLPPAIYARHAEGNALGLTGRQGKPLMVMMLSMTWSNAEDDD RIGTEAKTLMKEIEGEVSRLGKLDPFVYLNYAASWQKPITSYGEVNVDRLLRVRNEYD PRRVFTKYVPGGFKIQK LY89DRAFT_780274 MDPGYLDTTPVRPPPPGQTSNFINPVSRSYQLVAVIAVTFALVV LFIGFRLYFRLKVTKSFGADDWLCIVATILTLSYSALILKLLWKPGGGILGIHLWDVP LSHYIEYSKGSLADSVLIRITNTSIKVAFFVWYLRLFSVIKYVKIMVLVGMVTVITFC VAFITIDLVACCPWPSEHGGWLDPKMLSRCNKIAPNLVTSGAYFSVITDFYILFIPLH QVPGLNLSRNKKIGLSFIFLTGTLACAAGLTNLIIRQDKKIFDPADFSWTIVPVYATS IVEINVGLMCHSMPIVLFQFVTRLSHIGTSLSSWIRERRERRRSPAESSSNLAASADE DNPPPQLPEVPSGNNNLTGIRTFVQNIYRSGAQTSKREETVLPTFKEELTSVDPSYHH GLKSIRPTHIEDSRQTNNSRK LY89DRAFT_642322 MATAKGTILLTGANGGLGSAIANQIASKPEFAAYYGLYTVRDAS SAPDLKSALAAGTSSHPHDILSLDLTNLDSVRQTAEAVNARVSAGEIPPIKALILNAG FQDFGNQAWTADGFDKTFSANYLGHFLLTLLLLKSMDKESGRIVLVGSQAHDPHDKRN ERTHAFDEERYQTTVPDQATFEAIAKGTWSTAQEDPSWKSGYRRYGASKLFLVMMQHE LQHRMDQDPALKNICILGVDPGTMITGLQRLAPWIIRVLIFKIVYPLILWWNPNGPVN TTQKSAGHVLQAAFESGGVLGEFPRDLYLNGTELFETSAESKDVKARDLVWKDSVKFA DLKEAETVLVNWK LY89DRAFT_667276 MPGLWSEDNLPTPLGMLNIIQAVCAYPAIASNKALVNGTPNGVV FEESHLKTLANGIASTGDKENRLEITNGFASTKDYQTTNGVSSEENHLETLVNGITFT GDAPSNGHIISKESSLVSNCNGHSNNHSNPTSSIASASASSISITSISDTPPNVPNPT FHKLQNLIVGLNFYNFYSHDHPYFPLPPLGATDYTLSIQPIYPQSLNSDPLSPGYYHH LLRLTRLHYASSTTTLRTVALGRILYLEKPTYWIVVMDIQHGNLYALRSDTVALEELL VESDDQRDNIDWKTIPAAELPEFDNKSWKAVLLGDTTILDGNRIVGGDTAKGGYQALI VEDWDSVTVDGRV LY89DRAFT_683193 METPVILSLPLEKGKSQREIVQKTQVPRKTVRRIFKQEHSRRER KKKYSKSHL LY89DRAFT_773481 MVAGRGIEIGLEIGLCWWFGLMRGILVDWFLRSQIASGIIDSYL KHQIPKGINCNGPPLAGEVSVESIDTWVARPRASFHLIERRDEARIPTWIRFNVEIQK GFLFAHFVCAILIARTSFSRFKNLDETSFLGLLNAQKCKAQLISAMVEGVRDAVYLDD GHLEEAVVWACWKGEERVMERRSNTLGLLRPEDVEAQQLGEYDGGITAAKDFKMESDI VLMLGIGEVLCLYLCLCLCLDNAFD LY89DRAFT_488227 MCWQSMSLLTDTPDQETRQYVVVMTGTHMSEPCTGRVVWNRWRQ QQTIRRRIHILPNPDVTFTAGRTSILNKINKSPIYNSSTGPHPVRTSINPTKLSSPQP IFFHPTLLKSTPHSSILKIHHPCLAAAPATGSSGRLQDGTNTFDRSTASPSSCVRIAI TGLINGICLCGIGFIFIS LY89DRAFT_488243 METRGVIFVDILSMDRLLVMEYATHGTLRDYESKYESKYESICH AQLYRLAEQMTPALSYIHREGTTHRDVKPLNILIVSNDPDMTFKLADFSDSHLSSRLK SFCGSELYRAPKIDGEGYYSDTIDIWSLAVVLIERWYD LY89DRAFT_731710 MRALPCHSLGCRVVYESLEISPEKDRGLGLKTSSDISAGTAIGE YKGKPTTQHEWEKLDKSERRYMIRIGASFFKERRYLNGEVNGNKLARVNHSCEPNCQM EEWIADGYARLVLIAKRNITVGEYLSFHYGNETNFNGGCRCGTECQLGTSLKSTNSYR TRLPSHAIARGPAELG LY89DRAFT_488232 MPQVPMLSTGTSSISRRPLQLFPSSPQTSEQESAVSAIICERKN QSTRMPLISPNHQLSPVSSSFPATMQISLPYKGPCGNFSIIPHGVSSCHPRDSATAPN LTLTLTSLAS LY89DRAFT_667278 MPPSEQEIEEELERLLNTNADDFLKRMNSLHLIRYYSDFPKTKP AMPPSQQAVQRILRLSGKQFLQVIKYMDVKELPSEEERVAQGFSHHFLQLIADAQKVA PIKFMLNQVVLGGPSQDTEQMNERKDLSNQMLMHPHTIGARACGLILKGLPQDDESNA WLERYVESILRDVKIVDRKLRLKMILEGRVIWCLFGLQFGEDGTGQLINASEMQVTVP WTYTDKLIICLSQPPKEIIRWAQNMLADSTKWTTDPDCGNERIVNIINTVGTGPELFP HEADPIGVRATSLLFQTAARYQESDKAMERMAAKTMRVWLYQAKASLHRSKNLMVSAD LDSSVLNDNE LY89DRAFT_488275 MIVPLTLLYPAYNLSVPIDHFHNDSMYEPHSNGTFNLRYWFDAT YYKAGGPVIVLQSGEDEGTDRLPYLQKGLLHELAVATNGIGVVLEHRYYGTSWPVPDL STENMRFLTTDQALADEAYFAKNVVFEGLEHLNLTAPNVAYIGYGGSYAGAFNAFLRK LYPDVFWGTISSSGVVEAIWDYWTYFEPIRFYADQECVAYTQKITNMVDNILIGLNDS ATTAELKGVFGLPNVTYDDDFASVVSAGIDSWQGLNWDPAVNDPSFTLYCGNITSDDL IYPDTEDLTSTVQDLLSKGGYSTEVSNLTTPLLNWIGWLTQYEVSSCTTTDQDSCFST HNITYYQQDDITQSWRSWPYQYCTQWGFLQTGSGVPADQLPLISRTNTLAYESLVCNY AFNITTPPNVTAINKYGGFNISYPRLAIIDGEHDPWRPATPHASPFNTTAVNRTSTAS EPFILIPKAVHHWDENGLFPNETVDYPPDYLPPPPVRDTQSQEVIFVLEWMAEWQLEK LLGGKSELV LY89DRAFT_716856 MTGRGKGGKGLGKGGAKRHRKILRDNIQGITKPAIRRLARRGGV KRISAMIYEETRGVLKTFLEGVIRDAVTYTEHAKRKTVTSLDVVYALKRQGRTLYGFG G LY89DRAFT_612925 MTSAMAKRLEGKTIVITGASSGIGRATAFEFARTSKNIRLVLTA RRLDALEQVAAEINKGVGSEVKIFCYAMDVGKPGDVDAFVPSLPEDFRDIDILFNNAG LAKGGMVGSPHLQREHIDEMFATNVTGLMNMTQAVLPIFLARPDGGHGDIINMNSVAG REAYVGGSIYCATKAAVRSYTEILRKELIATRIRVIEIAAGQVETEFSVVRFGGDKAK ADAVYAGVEPCTPEDIVEVVVFAAGRRENMVLADALLFPNHQATATILHRKT LY89DRAFT_716858 MALLTADTWYTVTNTISGDTSYLSASESNDLLQINKTQSAYTNW QFFLSNRSVLTNLYWVRNQAIGSTFHIGISYRDGNVIPSLQSRALNESASWNIAFDGD TSGARVLNAGAGTYLDLTTAQVVSNSTDATGSIWTLQKNGLIDDSTFLISPLNTTIPL LSSNTISSTPLSITSTTTTSSNLTSRSLSSGAVAGIAASSALLLLIIILISLHLWHKH RSRPPRRFTMLGDDELSPKSPELGYEFQDRSSSRRPSATYSIPHPTEKSNEIETRHPI RSSSHQSALFSTSTIPPVNSSLLSSLTPIPAISPAHRLTLSSSSPVLHHHSVSVQTPT PTYSLASAGLARAKTQRYGDHGPGVGLGRVVGVDREGEMEGEGEGHGKRESFRGARSK WGVGGGGGEEGKKGLVVKEEEGEGRF LY89DRAFT_695896 MSYYFAIIGTKDNPLFEYEFGTAKQGGDGIARFAEQARHMNQFI VHSSLDIVEEVQWGNGQMYLKCIDRFYNNYVSCFMTGGNVKFLLLHSPSQPATTNTSR ASTSIGANPTSPQTEEAIKQFFTEVYENWVKTIMNPFYNVNAEVRSPVFRSRVAAAGK KYL LY89DRAFT_683199 MFGAFRPTNSLGGGLLWKIPWRLSKFQKARQRKRLRAVDTVVAV VDQALAKKSITTKAVERWKEEMPIEQEMVPKDKYTIFDRKEKKYRKGIHKLPKWTRVS QRVNPPGY LY89DRAFT_667284 MATVVQNTVERLDRPSAYYQSRNKKRKYDRDDEGEGRERTPEEA EDPLKDAATLYVGNFIRSFYTTEEQIHELFSKCGEIKRLIMGLDRFNKTPCGFCFVEY YHHQDALDCMKYIGGTKLDERIIRTDLDPGFKEGRQYGRGKSGGQVRDEYREEYDEGR GGLGRAIQAERLKEEEEYGKGR LY89DRAFT_488387 MPKIVSFTPEWLSSPPASELFKPTATDGPRESFNSSNGFYSSSK RSTKPGPRRTIARRGTEVFIAVGKEIRWADLIYLKETHEDKKAARDHGRSRRRDSSDS EDEIHRAEGYRTIKTPVAEDIRQLVISPHSNYIAILTTHTVHIALLPEPGQLTARESG PLKMKTYTLGPTTHVTSQSGIASALWHPLGVNGTCLVTVTEDAIVRVWELSTADRWSF DRPTLAIDLKKLADGTSVDQDFGASVAGASKGFSPDSFEMEVASACFAGRGSGGWSPM TLWVAMREGDIYALCPLLPEKWSPPPTLIPSLSISIVANVAAMEDDPTIPQMSKMLAQ QQLAWMSEIDAQEPVHVEGPPGDPPAEVYTRPAKPGRVPKLQGPFEIDLAPEESEDKN DGLLSDISVIGAKIDAEELMFGEEDDLEDDNDHEGLSIGVVCFMTTSGRLSICLDLDG VEAQWLPKTKSKALRLMEEPEPPSLMTFEVLDLLRGDEPWDGNWPVFSSDFNSRYSFF VTDTSSITFISLQPWVFRLERELNEASAGSDFRLDLLANGQNSTRERFYTHKSENHLS PLAACEVVQDPDLGYFLLSATPYGPVAIDFEATTPDSGFEFQRSATRSPTYDPEPDKP LILCEPRPAYEPPNALAENSSIPAFLEQLGRSRYARLLKEEVRLSPATLQIMTEAHKV LSEETHRVSAAAAELFRRCERLQIDLQSQIKKANDVAARVEQVTGDDNDEGPIETMNE LVEKRLKDATERQTRLSERIERMKRKVMKGSSRELSDKEKAWVEETEVLSNKVLGQGE EEVTGTNAKEPWARFEEVKALSDELMEQVEGVKKDEGVIKSPNVKVPSEIRKAKMTQI MGLLDRETALVEAAKSRLERLSLA LY89DRAFT_773551 MAAEDSFVYPELGGGDAFRLVHLQPCENLESSVECSLENTKLAD YRHDIADHYIAISYVWGDPTDRRTIMIDGKRLEITASLESALRHIRDPRRILQVWADG ICIDQNDVLDRNQQVSLMGDIYSTAEHTIIFLGLSSPRCDAMLQWMSLGADSSESEGT PYPLTCQFEMVVEDEILARPWFTRVWILQELVLSRIHGFSADDIESGGEYFTEQS LY89DRAFT_731721 MTQSRNKFGATDKIPTQYIEEVPGQALLELLSQRRGCCLSDPRD MIYAHLGLASAITRLKIPIDYDKSVSEVYQYTTRLFVEWYGLKNILHHVESISLGGLQ RGYPSWVPDWTISEQSGNRGHRSHIPSSSLSNPEYYKASWAAPNVLAFAGVCYGSVQT VLPCSAWPVHVDGMVSWDPAVSQTRWDHSYFTSALQWMSRSDDKFLRARDFVFDLIEQ RGTDGIYEPFFPMDRFEPSLWAEVSSILCKWASRRSFYLGWTDDDIIHHHLVGRFIFI LMRIAYISWSNKLKQPAILGGSAPVMLPVLAQPGDILVNCLTGTYESGHDAILLRPSK FELSRNEQHLVRKDLSLINKDSSGYDWNTFQLQDCHFIAMVDATTIWMKTWFHSVQEL ENTCAVRKIFALH LY89DRAFT_488424 MQIPGFALFSLAATAVALPSNLVARSNYNLQTCVDLNLEGNCVE MNGAYNTCTDVPTSFNDVISSIAGDQRTSCRAYMDAGCNGDSFVVPKGVQLMRIPETF NDQISSFWC LY89DRAFT_683202 MVAPKPTTSTMVAPKPTSSTEASPKPTSSAAASPVTSDCTTSAT AVATKPTSAAPSGPASTSTAGSCAYTCTGSGGIIDALNCVNVLTGVPIVVPITVGSKV VEREEAATPVTYCCKPDSQLLNLITCLDVATGTSLLLPITLFP LY89DRAFT_667289 MQWKSLTAAVALLTQDAAAQAMMRFSCSQLVIERLDPLVNPAIS PSPHLHQVVGGDSFQANMTPIVYDQVASSSCTTCTFSEDFSNYWTANVYFRARNGSLK RVPQMANQGLTANGGVTVYYIPPYDGKTTVTAFKPGFRMLAGDPTLRSATGMQRGICH RCFSTINQVPFGGAPCTGDDTQSFPTKMCNGGIRSTITFPTCWDGKNIDSADHKSHVA YPSSGTFESTGPCPSSHPVKLPQVMYEVMWDTRQFNTKELWPEDTTKQPLVYSTGDPL GYGQHGDYMFGWKADALQRALNARCSNAQCKELKSQTSQQAMKCAKLQTVNEQTEGWL SELPGGLPIA LY89DRAFT_683203 MSTTPTVVLITGANRGIGKGLVESYLARPDHAIIAAVRDPSTAG PLKEIPVAEGSRLIVVKIDATIQSDAVDVVKELSTKHAINHLDTVIANAGVCYIYPTV AEVKIDDMLASLKPNVFGVIYLYQATRELLNNGANPKWVTIGSVAGSIEGQLPNPNAA YGPTKAAVHWITKRINTEEKKITSFVLHPGWVATDMGNVGAHRLGMKEAPVSIKDSVD GMVKIIDKASKDTHGGIFWEYTGEQYPW LY89DRAFT_773580 MLPISHGLWPAAGFLTLGLEALYQEHAALNPNDAPAAANELCYR FRNVRFPRALVLEENKDTILVLTLVQVPGGKDWHEFRISTTSDADVVAEHCFGLVRIQ DPVEEVLEKITPLKSPQSARLWYKVERDIGMDFGPAFQKLLSIEATSGVRACRTLVSL SAPQSKFSPQSYYPIHPAALDGCFQTPIPANMAGERVNVRDAMIPAIVDDVIINKVPA DLNEGLSQATSVYSGRGCPDQDKSWFANTSVYDSKTGILAMRITGLHYVKLDVPPRPD PHTFDLVSWKPDVALLTQDQMMYLPLGKSSSRLDRVIDLIAHKKPTLNVLEISLDESD TSCLWFGAGDIPARASYTSYDFAATDGKALVNVQTEYEGKYNSSFLFISTDKENLGLS SDKGTECIKGSIQKLKPLLAANAFMLVVQLESEGLTTSGQDSEGDAEINGHLNQTPPS ESAENTSPTSPDSGRAGSSSSVDSLAWDQDLAVELLQSSKDGPFQRRLTKAGDSNSII EIAANGQGSSAYLLTNNSAGEIDRDSRRNLVIACLDETARQAIGPSLKATLEASGWSI RQETYPFSKLAAGTVVSFIDELSKPILRWANENQWDAIKTLITSGNPILWVTKGAQGV VTDPDNALAQGLFRVARREDNGISLSTLDVQSSTSRATEWAVDQVLHLLGRDTPAESQ YMERDGILHIQRIIPDAAVNDFKRAEVTGYEPVKKSFHGTEAQAQLRAERLGTLQSLT WCETDVGELPMIEPNNIEVEVMAVGVNFKDVAITMGIVPDNEYNIGFECAGVVTRLGL GVNKFKIGDRVCMLKQGTYANRVLVDVDHCHIIPASMSYEEAATIPSSVLIHSATGGV GFACIELARYKKAEIYVTVGTEEKRQFLETTYGIQRTHIFSSRSIKFAAEILQATDGR GVDVIVNSLIGELLDASWRIMADGGTMVEIGKRDIVDRNTLAMEPFDRNCSFRAVNFS YTKHINGPLVASSAALAHIRAGKHMGKIVICNPHGDDIQKPVRPAVRKLHLSPDVSYL IVGGLKGACGTLVIHMAKHGARHIFVSNRSGISDDASAKVVRDCLTYGCEVIEAKGDV ADLKSVRRIFKSTSPRIAGVVQGAMVIKDKPYETMTLDDFRTSLQVKVQGTWNLHHAS VELLKQPLDFFTMLSSISGIVGRKGQANYAAANTFLDAFARYRQAQGLRANSLDLGMI VDVGYIAEDENGLEARFDKTRWIPVNESMLRRVLTYSIMQQDPNTLINAESSTQLITG IAYPLPKDGSDIAQDPRFGYLYASPGGGSHGGPDIVEGSDKGDQALRALQMMIKSEGD SATLVKAAANVLSAQFSKILRLGDEIETGKPLMAYGLDSLAGVELRNWIRQKIGVELV RADGLLTPFLSASSSITCLQYPLLRVPWPCQIPSK LY89DRAFT_555604 MTSPLAFAIGGLVSTYVFLRLLLHYTQDGKEPPVVATTIPFISP ILGMAKKKTHFYAHIRDKFGLPIYTLRMPGTRIYVVNSTTLITAVQRQPTILAFPPIE TKAAINVMGASEAGKEMLLQNTDPNEGNLDKSYEAAYSKAIHPVMSLGADLDSMSRAA IKSIADSLNGLARNTPQVLELFDWVRHEVSSSTAESVYGPMNPFRDSAIEAAFWRFGP GVITLMINIFPSVLARESLKARELMVEAFDQYFRNGGHKQGSALIRARYDYSMKYNVS MSDLVRFEIGGAVAIFANTGPAAFWLIYHIFSDPIILDEIRSEVSKIVVHENNGATID ISDVKTSCPILLSTFQEVLRFQSIGTSARVVMEDHMLDGKYLLKKGGTVMIPGTVQHT IPSVWGDNVSSFDHKRFVRSGSKKRPNPVAFRGFGGGTTLCPGRHFASTEILAFATLM VLRFEIIPVGGKWSCPTTEKAEMWATVPQPDMDIVVEVKPRKE LY89DRAFT_581825 MIVALALLLGNNIQDQLYLKYIYFLESVSNGLVTPGPDFLTDIQ KGVKGFGIASLLSFIGIWLIKLNFIFFFKRLSTQLTAYLVFWWIVLGVTIACGAVSIV VVQFQCLFGPIEEMVTSCVMPSTMEQTYNFFKISCIVDVVTDVLIICFPISILWRIRV STRKKIALAALFSLVIFTIAVTLVRGSLFGGVYKPLNYSDKKEMNITWIWFWFSIEFT VCKCLKDTSCWPRHD LY89DRAFT_773599 DQRYAEFRNHDISSHASRSVLDLALEDHVGERKDKTEKNIDPRF KKWLIIQLRLFFFVGHDSTSPTICYCYYMLSKNDAALEKIRQEHDIGRGTVFGTELSQ VSRALVEQPQLLNQLPYTIAVIKETLRLFPPASGFRAGNPGVYLEGDSGKRYPTADTR VWVLHSGLHRNPKYWKAPNTFLPERWLVGPEDPLYPMKGAWRAFEHGPRNCLGQAMAM LDLKITLVMTVRLFDFRDAYKEWDKLHPTTRVNKFRGERAYQVGSGGAHPADAFPCFV SLHR LY89DRAFT_773609 MIPSDIARPDIFAALSDDFSESDSLFYIDLWPFIPPLLLVSSPS YAVQACQANELGKPDALIPFLRPISGGDSLFTSNSDESKRGRSLFSPGFNSSYILNQA RHVVHEAEIFVEVLHQYAKQGRMFQLKEATLRYTIDISGLMTMYVESNPFDDLEINEP VPGIQDFNVNEVITR LY89DRAFT_488493 MSRQIYLLVFPAAANASRVNGTRAHWAILIPQAGSETKGTVIHV VGTPFTGYGLEFKRGYDCSVTRRAYHKMPLALVREEHIQDVSATHPNEVDVTPRDALE TEAKKIDPPTASPEPLNPRVGKRCQDWTLEYIQWLISRGYLTSEALAVLDAAKALETR A LY89DRAFT_667296 MATLDVGELPTGDNVVIKTSSYFNRTAEDILLPSPAEVRKRALE KGFVYPGNPPPIRFSELGLIVKSGTNLTIAEAQCLWVIRKHLKCEIPVPELYGWVRDG GELFIYMKLIEGETLEARWDTLSVLERLDVSSQLKTMTTALRGLRQGGKDAFIGSINR GPLLDILFEGRPGFGPFKTTKEFHDRFAAEVSKYHPDIQNFVDPMRGQLPDEAPITFT HADLHPSNIMLTATDVKPVRVLAILDWHQSGWYPDYWEFCNMLYCVDMDGDWAKDYVP MILEKPDCFDGWWYYMNGLGF LY89DRAFT_683208 MAPFSWANGYEFHAIWVTVVGALGYATFLLVKARLSFYEKVKQG LPMPKWNPVFGHLLTLNDLFESIPIDSGLIFPFAGSVLVSGREQLLL LY89DRAFT_683209 MAIALALGTIFLIPFLFNYAVAWIMYYWGHWWEHDTQIPPEYPS FFPYLGSAIPFILDNQNFLKRATSISGKLTSARICFLGSDIYLFQDRETVARIWKQPL LGSPIEVYSYTLKNFFGMHDRALSSYRQDNSGPFTKPFPGTNVPSESRVDHITHKGFL RALSGPGLMPTTHRFMQGLERRFDDLHLSTEWTQYPSIVEFFQDIVGGSLLQAIFGPT LLHLNPTFIQDLWQFDNNVPRLARGMPAFILPKPYRTRQRLRDQLKNWYAYAREHFDE SLVQTDGDGDPIWGSELMRYRQRTVLQVPNHDDESLASADLGLAWGSVGNTIPCAMMA IIHIFKDQSLLARVRLGLRDGYGEISGADVEPKRLTQDPLLSSIYAETLRLYVQCYFV VSSPLLDVSLGKWWFPKGNIGLVNSGISHMDKSFWNTKRGAYPVQSFWADRFLRDSSD PTSGPMNPDLENDASSSRNVGGGESSFSLDSLEGSWIPFGGGHAICPGRFLAKHAIIF YMRPNNESVRR LY89DRAFT_706121 MSQNGQLRLGVSFQNINIHGFDYSERYQSTVSSYLLAIPTVIWK NLRGHGAKKVDILQDFCGLVQSGEMLLVLGRPGSGCSTLLKALAGQTQGIQVDGAERI NYEGISYRQMHREFRGECNVLAEIDEHFPELTVRETLGFAAATRVLGPGKSRDLESRN LGRNVSSLFNLDSAYNTKIGNAMIRGISGGEKKRTSISEVFIGGSPFQCWDNSTRGLD SGTALNFIKLLRSSTKDLSSTAIVSIYQASERIFMNFDKVTLLYEGRQIYFGPIDSAA DYFLNLGFERSSRATTADFLTSVTHPVERIIKEGYECRVPRSPDDFADVWKRSAAAKL LTEDIDSFNAAHPIQKKILDGQSENRMLRMHTFPISVWLQIVICVRRDICRLRNNYVP VVAGIFGNTIIAIVVGSVFYDLPDNANSLDSRAVLIFFALMVNAFAPAFEVLTMWAQR PIVEKHARYAFYHPAVEGVASILCDMPNKIATSILFNICVYFMTNLRRSLSSFLIFYL FTFVTIMTMTMFFRMAGRLNPMSFAYESLMINEFHDRQFPCPLWIPSGQSYTQYSSVE AVCASIGSIPGQMDVAGSSYLASKYGYSRRHLWLNLPIIIAMFLIFGAVHLFAAEYVP AQRSKGDILLFNRGKQRRDGIDEMEKAASKNEVPKGGRNERKKSFQKRSQVATRKDSA VFHWNNVNYNIKTKEGTRTVLTDTCGWVKPGTLTALMGVTGAGKTSLLDVLACRTTVG VISGDMFVDGRKRDVSFQRKSGYVQQEDIHLSTATVREALEFTAVLRQPRNISKQEKL EYVDTVLRTLEMESYAEAVVGIAGDGLNVEQRKRLTIAVELVAKPELLLFLDEPTSGL DSQTAWSVCMLLRDLADNGLAILCTVHQPSSQIFQLFDRLLLLDKSGKTLYFGEIGAD ASTLIEYFESNGAEPCPPENNPAEWMLNITSGEASSGLKDWSCVWNGCEQKQQVTQYL EALKFRCSDAEAGGESDVHGAKYATSYWSQLLIVSKRMFQEYWRDPTYIYSKISLCAG VSLLNGLSFYDTRLDIQGLTNIFFSVFLISQLFSTVDQQVIPRLTSSRALFEAREGRA KTYSWTMFIAANILVELFWQTIASILIFATWYYPTGLWKNGDPSFGAVERGALVFGLI WLFCLWISTFSQAVSVGIEHAETAVQIATLLFWLSLVFCGVLVSPKDLPRFWIFVYRA SPLTYFIDGILAAGLANTSIRCSEIEFLRLNPPSGLTCGDYLAPYIQFAGGYVNDTAA LTECLYCPFADSNSFLASRGINLVGLWKNFGYLLAYVFFNVAATFSIFWLARVPKRKD SGGK LY89DRAFT_581157 MVLNAIRDLALNSPLLFSGATLFILISVIHFLLARPKKLNLPIV GGGYCKKEDHRHALVEGTLKYPDSPFLINTDAPLVILPISVINEVRNLPEDKASFMKD MQQRFASKHTQIGSEGWEVIQVLKVDLTRHIASTLDDLQEEIQYGFDKEFGPCEDWTP IPAYHKLTRIIALLSGRVFVGRPLSRDEEWIQATVMSTMFGIAARDAINSYPRYLRDI VAPFLPELKRLKQFRKRGAQLLKPILDAQLAKEGNEKLRRDDSEDEQGTIISWMLKYM KEDERCDPMILGRNQIALSMAAIHTSSMATTSAIYDLAAHPEYIQPLRDEIHQVIDED GQDIDGDGHMTLKKSSMPKLWKLDSFLKESQRFTPPQLPANVRVTTSSLTLSTGHTLP TGTRFAFSAWAIHNSPTNAIFTPTPDHTPLPPSTFDGFRFYKLRRIPGNENKHLYVTT SPDSLNFGHGNHACPGRFFASNEIKVILIELLRSWEFRYMGGGEVKKPENTWGDMVCY PDLKTVMEFRRRKPVAA LY89DRAFT_667300 MTPTIHPSLFDYFLQEEKAQNIQQSLDMMDTTADIPATIQIVRV ARDFDMDITAVQDKMNITNDNNTTTQITPSSQDIEMDATIDILCFNFQDMDIQDTMEL EAQGTTNQEIEMSDADEDIQSLEIEMTDSEVTTTSVEVEMSDNDENILARDWVETSPE VEMSDSDDNNNATTNSEEIEMSDRDENNEATTGSEDFEMSDTDKNNENTTNSEEIEMS G LY89DRAFT_716871 MEFPSIRNLATLFSQLPLFSSPQASSSSSSSAAAIQPFADTPSC PIDSPTSCQSDSEPSCCFVYPGGQLLQTQFWDTNPTVGPSDSWTLHGLWPDLCDGTYD QFCTSAPQYHNITSIISASNNTLLLADMEKYWLPNRGTLEHFWQHEWNKHGTCINTLS PSCYGSNYSPGQEVVDFFARAVELFKGLDTYKALEAAGIEPSYRKKYTYAEIEDALTS VTGSAVVLGCSRGGVLDQAWYSFHVKGNLQGGEFVATPPAGKGGRGSCPERGIRYLPN FCWVWYE LY89DRAFT_683213 MLPQLRAGASMTSRTASKYIRGISSSAARSAQNDEPKLNKVSSA ITQPKSQGASQAMLYATGMTEEGLNKAQVGISSVWYSGNPCNMHLMDLNNRVKKGVEE ANLIGYQFNTIGVSDGISMGTKGMRYSLQSRDLIADSIETVMGGQWYDANISIPGCDK NMPGVMMAIGRVNRPSLMVYGGSIKPGCAATQNNADIDIVSAFQAYGQFLTGEINEEQ RFDVIRHACPGGGACGGMYTANTMATAIEVMGMTLPGSSSNPAESQAKYDECHAAGAA IRNLLVQDIRPKDIMTRQAFENAMILVNITGGSTNAVLHLIAIADSVGVKLTIDDFQS VSDRIPFLADLKPSGKYVMHDLFKIGGTPSLLKFLLKEGIIDGSGMTVTGKTLSENLE AFPDFPTDQQIIRPFSDPIKKTGHIQILRGSLAPGGSVGKITGKEGLRFEGIAKCYDA EDDMVAALERGEIKKGEKTVVVIRYEGPRGGPGMPEMLKPSSAIMGAGLGKDVALITD GRFSGGSHGFLIGHIVPEAQEGGPIGLVRDGDKVVIDAEKRVLDLVVDEGELVRRRKE FVPKPLKYKKGTLAKYAMMVQDASHGCITDGGIED LY89DRAFT_683215 MAAPAEVTLKDLTGEWVMNKTLSDDTDAILALQGIGWWTRKAIA LATVTLHVKQYVDDNKHTHIDIEQTATGGIKGTAELRELDWVERTHNDHVFGDLKGKS RWLGLEGVEDPFLKDGWLDGDDETSGPAGEKHIESWAANEEKGWVADQIWGFAIIDGK RYYVRRVVVTKGDTVLKARLVYNWNGKK LY89DRAFT_780299 MKYSLLLGTFAISGLTAAENLEARIDCNKDSCFHAALDDSKGRL SCQSFMAKTVASAIVIATVTSTYTHFNNNKLNPTTTVASVKSITSDKSGHYTVTPTIV PESISLACGSGIDSEKKIQDKYSSACSCYYRPKTLSVATATVTSVEFLPTTVSDFRLM VLFDNGTTSYAVDNSTSDSWSTITYIGLEQNTKNPALFALAPDSRLRLESQGKVSMVQ ETYHNFEVITLYPFPLAFVNDSTTSHFKPMGFHITDTHNSGNASIFTVGVSPVTDDEY DFRGDPASTHNMTVIMACDKDFHGLCIGTPAFQNEFFDAGNKTSKDGKYHLVQLQAVP MF LY89DRAFT_731740 MDEATRLVTELQSKLVELDQRIWQYRRDMASEFDKYAEDLLRDV PKEVSETVSKSIAESMKGCKSLYPDSEAPFESCATGTHILPNGNNIQQPSVSPAPISF LRPASNEEDSPRSPHEREKEFRGLFTPSYLPLLDSTNRNERRSSADLASPPPGNISPQ PKAMDPLHVDASTDTRSLVTSPETTRPSTPRRRNTDEASVGSDWSEGTARRSALRRSS SSSRHSPRRVRFEVAGEEVLPTASPRATKSVLTEEIPPTLGDDMDEEAGSEQIEDVDE APPKRISSSQALRALSRSPLVDDGTTWTTVTAPPDGSASVATTNGFSLGEEDDSPPLG NGISQLGSSDALGPLGAGSSLGQLAVNNGITKNEVETTSDDDLLDMPLLRRQGPSPAS MLSPVKMLSPANPTDISNNKSPTASTRPSRAWQDLENLGHHHTGSRGEDLKFDAGDDD EMFNFDENAERQSSPAGQDDEEYDDFDTDAPVSPISKESVSRFSTSPARDIIRPSPPK NAVPTTKGVVGSYKGHPFSMPIVSPDIHAQAASLGDVNTFVGSVDGRSGVDESDLKSF KMSGGIGSFSGTPRSLSERMIMEDMRQAEAAKKGE LY89DRAFT_731741 MADQNSYLAYKRDTRQLLFWMIHASNSIIKSSIPSPAAGIDGAP TTVNTTGQITVASMVPMASLVAKYIKPIPSTIYRLFESVIAARKASHAIFQQIAAQKP DPEIEKSNMSHRIFIDALTKAFAALGGNSWRSEKMAETTHLQRTQTMSYSRMHFPLSV LVLKQSGGKKKKGKKGKKVKGKAKAPIQEASLDDVPLESYRIIEGQEGLVTDYLMAVY SVASQWVELRGYVQALWRQVAYGGLNSAVGGSMSNVAIAMIKKTEAAIFVEFPGHDSF QTIMNTITRGDPGKVQGMFHVSLARSKNGGAYEEVKEVDVDVNEHFMIHAYQDLLDFI KDFQHTRSGKPTKTMLAEIRDWDPTFDLERATKQQRIKWRRSFTINWLYDLVNVFSAI VVQRNTMKGQKWVMENVDWSMKGPWNQHRRLFGLNEFASIITTLAMQKPGTDVRPKIA PSCVFQLQCIVDSLAVFRGWSICGLQGHILIPPAAAFRPRRDVDLFLDRQNERPPNGY CSAVDLLRQVMEKDAILHGHFDRHESTSSLLKDFSEDFVNWLGETKYLYGLTTIPHSR FSHTNANGLWEYSPFLCGVGLMEALELTYDTGMMLWDSIPEPVCAIHLHNMSVQKGLI TKPIGLFATIEDLFSTDFFAEGKAPNSNFQEALLAVMKPSSRRSVYDRRAARRTIART ATDIHGLLDPSTNRFFKQKSLLRIYRDAGCQTKEVTDPATGKKALQRTFLVNQALARG IDEQDLMSFSSIPEALLPPLPERYSRAPSLTFGRTAGGNKVLASERELLNLLQVDIMS DIGSEVRPLSSLNYIFVTIRFMMVFMQIEERLKALRNPVWVQAYEQDPTMMKEKRASL TVLALAGGDEECLRVMAEVFEKSRSGSINHIYWDDLENPEKTKAKDPLEHLPDFGCTL M LY89DRAFT_642365 MSEPVAIAEQALGSGLPDLTFFIENYPSAAVLLNQACIVLLASD KFLKDYSLLPSDILNRRIFDVFEEFKLIPDSDTLRSVIDLARASGKVYITTDIQGDDG SFWSVRSNPIVRGGVFSYELLSIHETTDDHLARQAITDQMDTADSYKILVEQAKDYAM FIIDPIGNVKTWNMGAQLLKGYTKEEVIGKHFSIFYLKDDIEAGKPRKELEIALREGR VEDESRRVKKDGTTFWANVIITAMYRDGKHIGFSKMTRDLTERKASETRVIDAYKDAD KAKSQFLATMSHEMRTPLHGLLASLEEVCIAGISPEQEEPINDMKESGRYLGHVIGGI LDYSALESGGLSLSISLVNLHTIANAVLRSLKSMVKTGVLFQATCDSDVPESLKGDPD RYRQILQNIAANALKFTESGFVSIHISIKDEDVTSFTILTTVTDTGIGIAEKDVGLLF RRFSQIDNSSTKKYRGTGLGLSICKNLVELMDGSIEFTPNPVGHGSVFEFTVKMQRIN MLTPMSESDDMLDSLMLSHQPPSPKSELRALAASKRLLLAEDNPLNQKVAVKALKRLG FEYVDTVVNGAEAVAKAKENRLVYDGILMDVSMPVMDGKEATKEIRLAGVGTPIIAMT ANALKTDVDEYLAIGMNGYVSKPVNSDLLVAALLKWLK LY89DRAFT_716877 MADEEKKAVPGADNISPIERGEANDFRDAEKIGDIGFDLFQQSH QYDPAQLERDAIKVRRKLDFIVLPMMMMTYMLSFLDKQTLNYSNAYGLQADTHMKGID YSWVSSALYFGWLVGAYPWNLALQRFPIGRLMGCMLFVWGAVCMLQAAVFNFSGFFAV RFFLGMLEACISPAWVLLTSMLWTREEQPLRTSFWLSVNGVSSIIGALLSFGLGHVDN LAVPNWKLIYLVVGAITFAWGFVILLYLPDGPHNAKMLTEYERVVAVWRVSKNRTGVK DPKILRYQIKEALFDVKTWLLLIGAACVGILNGGVTNFASSLIKGFGFNPLKTSLLQT PGGAFELVFVILLGYLATVKNMLGATIIIACIPGIAGLVGIMKISLAHRYALVACTWL QGILGSPIVLMWTLPALNCAGHSKRATQLGLFFVIYCAGNIAGPHLFFADEAPRYLSA IKGLLIAYCIAAFVQILYTTLCWMENKKRDRRGLHADEEAEALEGFGDLTDKENLHFR YSI LY89DRAFT_716878 MQTVEDHTAIQPAKTWQSVRDSKKAEQAARIPAEWKLQQSDYPP AGTVDVRPVASTCGILSERELKISGEAYDATSLAAAIAEGIYTAEEVAIAFCKRAAIG HQLCNNLTEIMFLDAIEDAKKLDTYFKETGKTVGPLHGLPMTFKECFHVKGYDACNGY ISRTFDPSTKTTYSIELVKAAGAVIVAKTNVPQTMLVAEADNNVFGQTKNPVVHHLTC GGSSGGEGSNMAFRGSAIGIGTDVGGSIRVPCAANGVYGFKPTCGVLPFYGYAASGYT GVNTGVPATLGPMAQSARDLTLLTKVVRDAKPWLVDPAVVPGIWEQATVSRKPVVGVI HKSGLTSHPPIQRAVREAVAKLQAAGFEVKDFTPPDFGEIRNVTKQLFTLDALSYQKR ELKKANEPVVKSVVDIGFWQLPPKTQEEAWEWNTKRLGICKQMLDAWQETKVDVVLCP TGPHTAVLPGEWSNDMYTVAWNAVDYPAVVIPFTKADPALDPKDANFVPMNELDVVNE AKYDPQLMAGAPAALQIVGPKWGDAQLLKDVELIDSVLNPKSDTDARLKTAQSKL LY89DRAFT_683222 MPTHLSPPGTVPPSPTTAAANQEKEFNIALLGAGGVGTIAALVL EKSGRARVTAILRSSYAVVSEKGWAIESVDHGVLGSWRCERVVQTIEEAVFPEPQPSP PIPTGAPTPMEAARERYDYIVLCTKQLPEKYNLAEMIAPLVTPGLTAIVLIQNGLNIQ DPFIKAFPSNVTMSAVSMIGSFAEPPNVVKHIGPDILQIGPHYHAGVPDVVSLEKTKT FVQMYSEGGAKSCEFVDDMPKARWSKLLWNGTYNSICALVEVHVGDVQSSGAKEGLVL PIMRELQAVAKEDGVLLEDEVVQFMAERSPRSSRWQPSMLLDKVHGRPMEFEVILGAP IRRGRELGVPTPVMSTVYELLKLVRWKVENPGEDGEPHIG LY89DRAFT_488809 MNRRSRKGCIDCKKAKVKCDEVRPACGTCFRRGYVCQGYANPPL KDPSKEADHEPQSGPIRRRDRDSRRSVRRRSDARECHSSGSDSEAEKSFSAVSTPTSS VSKRRLSIISRSSVGCSSPYPLCRGLPLIPPSAIQSSDQPGIETYFSRHPSELVISSE FADEMNSNVLRVFHEDPATVAETLSAIGHIYLGEGGMSIVPVLDRRARILAKLRETTE LEQMLVMHLGLCAIELIDARTQPADLGLPALISNAAIIIKHSFESGGEFSSIAKYFIR ALARQDMMISLTYARQPLISSQAWLENDFYRQADRFMGYTGPLMPILADLGSLAEEIR GANSPTTPFICQDEDMWTENALVFGYSQNQSIYQKAMDIRTQLDQWRPVTAQNVSFHS SKNLLFHAHAHKAAAILYLHRLLEPPGSSEEADRTALSLAHEVLMHLSAMTGQLKTAL WPALIAGTELVNEDDRNLITRMFDDIHQQRRTITSLRTKIFCVNRVWTARDLGLHWSW MDLVHQYPGECLPI LY89DRAFT_695918 MQPSELRRHQNRGRYDLESVSSVFDDTFMAHVSYVDNGLPQCLP MIALFHTIGDDTAVYLHGHPSARLMELARQNKSYDGEKIKVCITATKVDGLVLSSAPN GHTFNYRSAVVHGECSLVTDRDLKQEIMRGVTNHIVEGRWEDVNLVSSFQVSLVCVVR VDILSLSLKTRSGIPGIQPRDVEKDRKDREEPVWTGVVPLYDVLDKPVASGLTDAATE PQGLRRFIEKRNEKHRDHSLRAAKA LY89DRAFT_773666 MQDIPSQCTVLVVGGGPGGSFTASALAREGIDTVLLEAASFPRY HVGESMLPSLRHFLRFIDLDNNGAAFKLNQEKREGYTNFLSAGGPESYTWNVIRSESD ELMFRHASKSGAKIFDGVKVTSINFSSRGNAPTTTPNVRSERPVSASYQRKSDGKQGQ IIFDYIVDASGRAGILHTKYLKNRTYSKSLKNLAYWGYWTGTASYGLGTERANSPFFE ALKDESGWAWLIPLHNGTTSVGVVMNQDIAMSQRQAAGTQEGYQCLQDFYLSSLRLAP NLLQLLEGGKLVTDVNSASDYSYSSSSYAIPYARTVGDAGCFIDPFFSSGVHLALTGG VSAAATICAAIRGNCTEEVAAKWHSSKVEEAYSRFLVVVFSAYRQMQRQDEHILSAMQ EDNFDKAFNHLRPIIQGTVDVSSKLSQEELRQSLDFCAAAFDETQAEEKEQVMEKLKS NGKNVMDEMLNTSRAERQNSFCSEEELRVIGNIRARHVIDVKSSMSFDNFGADVIDGM RVNLQPGKLGLVSVST LY89DRAFT_581145 HDNLALAVISQYSIAKAVPLDQSISMIEVSKKTGLSEHLTRSIL RHAVATGIFHEPVPNHIAHNGLSKLLLEPKMAGWVHHTTNDVVPAASMVIESWRRFPD SNEPNETGFSLAGGQGKTLFEYLAENAEARDRFSLAMAGLTASGGYDANDLVHGYPWT NITSGATIVDVGGSDGTMACALASQYSGFRLIVQDLEGVAAHGKEALLPSLRDRVQFQ AHDFFHPQPVEADVYIIRHVLHNWSDKYSVQILRHIVAAMKPTSRIIVNDAVMVEPQE TSAEQYWNMSAMNLQMITLLNAKERTLHDWTELFAKADPRLKIATVHKPTGSEMSIIE VVLMD LY89DRAFT_580931 MKVAVIGAGPGGLVTLKYLLQAPEFLFVKPIEVRLFEAGPEIGG TFRQRNYEDGEQVSSKYLTTFSDFRPSKEAPDFLPIEDYLVYLEEYCTRFDLWKNIEV STTVTRLQHRGISGHTVSLVRADGTTEDWHCDAVAICTGLHVTPNVPHVDGIENVPLV LHSSQFKARKQFGKDTNVVVLGTGETGMDMSHLAVTSPTRTVTLCHRNGFLCAAKIIP NPVLFGRFRSSGPDIPTDVVTASLFESMYVHPIMKKSLIPWRYYDAFVKYTLWLVSGT RHGIDQWVGGLPDETYHTSELFFTKSSRAMPYISAPYRSTSLLHRIRASIAQIKLPET NGRVIDLAPWPSHIGQDRVIHFTNNGRPEARTMQDVKRKVDVLVFATGYDQTFPFLDE TYPRPEDANIRRIWKSGDETVAFIGFIRPSFGAIPPLAEFQAQLWVLALLQKLPHPLT KEEHYRLHMTEERRIQYGVDHENYAYQLAMDMESAPTAAYMLTRGSKMFTTWALGANF NTKFRLIGPWAFKEAEDIMNNELWETITRRGGFFGIVVLSLIPMSIFGPLNLLVWLFA SMGLVKGS LY89DRAFT_642377 MSNKFQVHVLIIGAGIGGLAAAIGIARAGYQVTVIEKSSQLGEK GAGIQVPPNSSHILSRWGLLKTLSAEAMQPGSIIIRSYHDDSILSKLKLKGRDSIEEA YDYPWLHIHRETLHKALSDEATQHGVVFRLGSTVAGIDFQNSTVRIQDQKIISADIII GADGVNSVCREALLGRPDPPVLTGDMVYRISLSTTEMKKHVELEALATKPNFNYWAGP GEHAVGYLIEHGTQFNIVIAAKDNLPFSFSRGKGDVNEMRERFEGWSSTFTLLLELAS ESTVWRLCCGREMETWTHGGGSFSLLGDACHSMLPYLAQGAAQAVEDGAVLGALFSRC ESREDVFDVLGVYEELRMPRANMVARVSREKVGMRWRDSGEGSLNPWANLNFQKELFG YDVEGEVDNTWNRYKAATAEMRKSNSQ LY89DRAFT_731751 MYTALSTKTSGTLGGSALQSGMEIGLGSHRRDGNWVRKPCTQPS QHQYQRLWGALHLLWEGNWVWKPCTLPSQLFEWDFGGFCLAEWDGNWVWKPFTLPSQL FQWDFGGFCIAEWDGMWFGSHLQLFQHEHQESWSSIAARRDLAGVLLWDGIWLDTNNS YVSQQLA LY89DRAFT_612974 MQNSRASHFKSRAGCRTCKRRRIKCDEIKPCCIRCLSGNRICEG YGIVIDAPKRPTSKIIKIQKAKGHANSWSEIQPKVMTTLDVIPFLNHSESAAFDLFRS QTIREMSGLRSSNFWKKIALPACYSVPAILHASMALASAGRWSQASRKGYHPLGSDLM KLDTVHYYNKAIQHLKIHVAKHGDLSSLKISLIACILFIALELSTGRFGQALMHLNQG RKLLHTTSSSKLSAKCDSKVSTKTARLLSSFHSTEDEIVSVFADLDLQATYFGSERPQ LTLGSHSSAEIHGPKSQFSLALPKHFSFMQEANQYLVIITNKCLQFVGQELDHDQHTR QNESSNFHRQYLYKTLKDWRKRYKEICSKAVVSDSSDTWKQYSSLMCLHHTWLSIVLP TSYAETEETDFDSYFREFATIVDLANSILSEDASKRLSLEFGLVAPLSWTVKNCRHPQ IRRKALHLLTQAGREGLWEPRLMTQLGRELILLEEGIEKLEYLDLHAERSYGDEDLVP LERRISTVSVSLEIGEHPELCITFQRKAWSYGQCIGMETIIRRQPYESLLPEE LY89DRAFT_731753 MIFSTSVALLLAAQAVSANPLKSEKRQFPPGIGFNWGSEKLRGV NIGGWLVLEPFITPSIFQSLDQSLGIQDEWTLGQQLPGQAPGILQNHWDTWVGLSDFQ KIADAGFNLVRIPIGFWAYDNANTPYVSGSAPYLDNAIGWARQTGLKVMIDLHGAPGS QNGWEHSGQAMANPGWLVDGGAYGPTSQRTLNVLNTIAQKYADPSYHDAVVAIELLNE PKGWVLNRADLRQFYREGYGRVRQVSDTVVVLHDTFFAPESYNGFMTPQDLNVQYVAL DHHYYQIFDDYSVGLLPWQHRQLVCNSADQYWGADKWTFVGEWSAAMTDCAQYLNCYY GGARYDGSLDGIYHGSCAGMSTISSWDQEYKDDTRQYIEGQMDAFESQSQGWIFWNFK TETAQEWSLFDLLDNGLFPNPVTDRQFPPMCDQYW LY89DRAFT_773675 MNDLPSPSTPRNLRKRPQKRTVPEDDDDDSTDNEPSAKKKRQWK RDWVRWVSESKWEKDPSYKQKVGSEEIHGSDAMKFYNLTGEEMDTLPFWKFENINSLS HPGRSYVDNEVKRLAYRKLAMLVGFHKEDMEENELLRQGYILFEEKQIQRRQGNPNTP TEPITGTIFIPKPHETYRNPLTGIPHGCWESPVWRNGVLIGHWLNAQFTPDDDGEWIP KERFKPLGSDTWIEQRG LY89DRAFT_773677 MSPQSLHAQLVFIFVFVSLALASPLRTIYKDVAILGGGASGAHA GVRLREDFNKSIIIIEKQYNLGGHVETYIDPVSGNPYDYGVNSYAEYGSAKQFFARFN ISLINPTNLKLNTSNIDFTTGKSLPGYQSPASADVTAALTRYLNITAKYEDMILPSFA NFPTDVPDELLTKFSNIVIKYDLQACLPLLYQVTGFGLGNMGDEIFLFVMQEISAPMT RVLLGLKNSWVPSTHRNQDLYDRIGTLLGDDVLYSTTVVESNRTEHGVQLLVQNDKGE LTLIIAKKLLVSFALSPDNTATLNLSKAEEDIFSAWSLDHQYCGIVTSPSLPINGSLV NIPATAVPANYLQFPATPFVVRYQYLGDKNFRILLTGTENYTIPLALSLASNTFETLV AAGDIPQGSALNFAAFTEHTTTARHVPPEVVRDGFYQKLYALQGCRSTFYTGRAWAGQ FTTVLWAFNDQWLLPKLLSSF LY89DRAFT_489044 MTDRGRKKERKKKRNNAIACLASIGGFDRLLMHDRFHHADEHTG SVVVCGEMIPLNLDYYYSSICFSSFLFFSFLFSILR LY89DRAFT_731756 MSTLTLRAPSMLPSPRDIGSMSWSDRRPLDFPSRPRSQTDREEL PSIRQIIPDFHQTRPGRTEAEHSTDSYSPTSGGVRKIPLEYEQSPSLNKRRRLSVEDE FEESRDRAIPRLYRSPSRVTARPVNASISPTSAARPAPGYPSDTRSDSNRSSPYSAAS TTFQTFDAPRTQWRTLPRIPALAVETVSPHSRSNFGEYSLDSSRSGAQTYPQLATSAF NAPTPMSTYPQPSFSYGYQQPRGQSYSGPSGYSLSQERTPFSVGLPAAYPGAGYAYSG LDVGDGNDSKQKKRRGNLPKETTDKLRAWFINHLQHPYPTEDEKQELMRQTNLQMNQI SNWFINARRRQLPAMINSARAETDARSARGGQGESTSDFGDEREKRGVSSDGDASGYD DEFELRSRRAKRDSI LY89DRAFT_488965 MMAPALEERKGQQGKKKHPLREHRHRLQPSFIPPYNINLQPCLN LSIRFLVLFPCAYLHGIYCRITGVWDDSGPPTWVPFARFTPSGILHASSWLTCIIPFL PRTRLLVTEGFKPTWVSAMMDNGRWRWQCTTHEAGRLTYHALEDRDREGC LY89DRAFT_581578 MGSKTPEGHQQTPDGNSNGLKTANGDPQITQKGSGSADLDRGVV SDDDGDDDDDDDGQGAAAGQPEKKKKRKRSKKKKGKKAVLSETPTEQTSPPSVLVSKL FPAEKYPIGELAPYVNTSRTTDEETRYNSRLWSDNFLTEYRQAAEIHRQVRQYAQSEL IKPGATLQSIAEGIEDGVRTLSGHQGLETGDSLYAGMGFPTGLCLNNVAAHWTPNPGG KDVVLDKSDVLKVDFGVHVNGRIVDSAFTIAFDHTYDNLLTAVKEATNTGINEAGIDA RMGDIGAAIQEVMESYEVEIKGKTFPVKAIRNITGHDILQYHIHGGKQIPFIKNNNRD KMEEGEVFAIETFGSTGKGFLNDEIGVYGYGRQSDVSAANLHLASARSLLKTIDANFG TIVFCRRYLERLGVRGYHLGMRDLMNHGIVESYAPLVDIKGSYTAQFEHTILLHSGGK EVISRGDDY LY89DRAFT_731759 MQITFASLVSVLGVFAPFSFAALPFGNIGPEGSVLFLNGFHFLS GNASAEISANHYCTILSDDFLQCTVYTTGTTPEHLAGIEYIISPTLFATLSFEERQLW HSHAYEVTSGFLIEPHMPASVDLAIMGDVLVGTYGKTAHTWRFDAVNKTVPEGIPELV MGYTQDGQITPDFVTQRDELFEVNSTEIREQRANITAPPILPGADSWQLGFVLTYGLV NTTNDTVFPSSG LY89DRAFT_731760 MSGLEKEQDYRLSDSIMASEEQKALGLVWVGQEIIADTDSVSAI KAAPSGSTLQDTAIAPKLCKTVEVKTRCGQLLQMTIEPDQMVVFMPDMFCTIMAVEPI VNSSYASVKLEAEEWLFRELNADETLRKRIRKTSWWWFAAAAAPHASKEDLKVLVDWG NWVFPWDDPFDNGSFRGDPTGAKKMLDNLMSIMRGEPQSPKNALVIAHNDIWRRIVES ASEGKFPCLNVTSHPKRDAEKAVVQKRYAASMELYCSGALEGVGNYAKSRIPGLEEYI DMRRRSVAVLPIVCMIEFAMKIEVPDIAYNDKSLVTLRRILDDVVFLQNDMMGYAKEE RECVTHNVISILRNLGMTTQEAFDKGGLMLRERELYLLEAFFVLCRLLSPYSTDATHS LEHGYRDWHIVQADLPSWGEAVDREVQKYIAVVHSCMVANLNWHHQSHRYFATYKDMA REFGAVVVLEHPPTMSEGVN LY89DRAFT_716885 MADNNEGPVILVRSERGDVENQAAEEIWGYAFIPTQQHLPAAEM RKMKMEFDGLAAAVVRKLRTFSREFDKQVGDKTTTNKGDQTVTWSIFGNSQINPYGLL TRHCNKDEILSKLRAEIMTIPEWVDWSQLERGQKASPGLEQVFARIDHVQPSIFQIRI LDTVAWIIQVTNSITNLTSPENTIRLRLLQAIISFRILHRSATDQGYWPVTRYGYPFN HFDTIHMLNNLFCLPIWDSFRSIGIRPSENEVKDYVAFVRYLAYLMGAPDEHFDSPER AKTTFESMLIYETNIYAMSQVRAHKYLAWLEEVTPFSRGFLLAGCRAMNGNRVCDALG LPPASFFHRKAFRGLCVSVKIMVRLQKLSPALDRHIIKVARTKLWGGIKDHWGSHPSA DMQTVPRDLVADVLPELEAHLDLASIRPKKKKAGWELVLFGVWVAWVFLCALPVVLVL GGFGVGFAVWAGKSGVGGAGGEGDGAPF LY89DRAFT_773691 PEAKLFNILLHVYTALFTRLANPCSINRQRTGLFTTQPLFKTQP TLSTKQFAKMPLQILITPPDPIQETRDQPFHTHDVGGKMLPENITTLPDHIKEPRGQL PNTQDIAEEMLPENITTQPDLAKKPRKQFPYTYDVGERPCVEKERTLRKLRERFGKGN FEFKIRLGKYIIQVPKELLPEVIDDMFRNDPLPVSYPKVSKPRPNLPAMAPLLHQDLG PLVLINEHETERKMHERFGVDENGKENYKIQIRRDHWIIWVPEIFKLKEAEEIFADVA TEMKKSIEIEEMEKQKRMKEYWGEKREKVVIWFYVPVDFGPDLSPFKVLFWVRTLRIF EDFVAYRIEASFKL LY89DRAFT_667325 MPSRDLLLRSQSAMESPQNLNIEIPIEQQQPSLATKPTMSSLPA HSGSVDQPSTQPSMPLKKHTIPIGDNICLIPRLIKYLQRKYGTNFKFGPVTFGGGEST IEIKVPEASVGTEIWEYARHEVQVHALGKHKGSGFGKKGGKSEKSKFTMSSKPVFGNM EWTYGKSGSKKFVDTKTGEVIFEVPKASAPVRLRPA LY89DRAFT_731765 MCVTWLHVHHCGHSEKHEHNCYPSLLQRECYEEYVCKNLHTGIS CWMCARRLRRQVLRLKDPSEQEEVRVSLDSNMEEHARLEAVVKKWREAAAGTLSNSDQ PSETREDGNQRWTYSNRAFTSSPEWKDAVAKVVADEIGSDEDEEFENEESDDDEFAVK KPDTPLKQLENSTAIIKKMPGVMKSIEDNTATIKDMQDVKKLVADNTTAIKELSGAVK GWLEEEAREEAQTAHVMKKPRLS LY89DRAFT_667327 MKKVLEMAIAQSQKIQTEMPSPKTTKPLVEFTLFAKLPPELRRI EVRPTISRRVMPLAIFGWHFEQSPTLHYRFDLCHNARNHKIFRGNTAKSAAAKDVAML FICRESRFEYLSSKPKFLPGGQRPEGKI LY89DRAFT_489285 MNSDSNFTSLVLNSLVYLLIVTISVIEMATSNALTTAISNQDTS TAADIAQPLETFTLFPGLPIELRRQIWQHALPPATRLIPLRLKYKAPSENEPEAEDGS QYSFVHGVRGEQVGYRMWVRAKDLALLEVCREARAEYLMANSNILPGISKRKIYYPDA AIIYIQNWYSQAVYDYTEAAKDYVKEGGTSPGWFSGVKRLAVPNYSISVRSRSDRTRR YRLEQGEANIRQLFSGLKELIGISISSADGESMAPRDCMRSRMQASNDHRAENDPSYV VPKLTLLEHILGSGLERWSDCS LY89DRAFT_731767 MLQDFPQFALLPLELRRDIWNFAVAGWSQDIHFSLSNPRQAWED VPFDCRKHIFATELAIPPLLYTCHDSRAAALEHYSLGFSAEAKNAWSWRANHFADPEF GDCPYDEGLQEVGKRTYWAPESDVVVLEHGEEPYACDGTSICNSMPYRRHEYRLDERL KYMAVTMDVWNGGTGSILLDVPGLQVLFVLVDRKPFFPFLGSTAEKNLDTLQSLKQES CRIIERQMTEAVRSDSALFHIKRDRDATVFVEVVLVESLDELLERVGQRRKDAHVRKA NNSVIM LY89DRAFT_706134 MKTLDEVAGKHVENKRQFNLYNVIMVIAMSLGALSYGYSTAIIG PTLGNSLHLGTVVWKMLILQGLYSTGGFLACYTVPYVADKWGRKWAVCSTYTLVSGAF LAGSTNIGEFIFFRFMAGAGAFSLLAAVPIWVNEVVPPRNRGAFITLMGLMLLLGYMF ASWGSYGFYFYHPSSNNQWRPMLALQCLPPIILISIMPFLPESPRWLVKNNRITDAEK VLLKLHEPEEAKIEMRQITLQLEREKGLETSWYAMLWGKKSYRVRSAVAFGTTSFIQF SGILVINIFGPQIYGGLGYGLSTQLMLAACWVTVAFVSSFLSMFVVDRVSRPKMMAGG MIGCVCILITETVLVARDKVGPGENKDALKAAVAMIFLYVACYEWMNNVQLSYLGEIF PFHLRAKGLSLGVSGIALLNIIWLQAAPTALKNIGWKYYLCFIIPSALAAGVILRWFP DTRGLSLEECARVFGDEVELFGGKQGGEEAVVVGDGGGEAVGAGSEESGGKENA LY89DRAFT_489231 MEKYSQFRDRGSGIAPFFPISSTPAGIYLPVHIFLFLFKLPFFV TVATTYFLFLQWFPLGSLFKKAILWMILGIPGIWWIDLQIDGVKKGSLAKKHEGRIPQ PSSIIASSFTSPIDSLYLAAIFDPIFTVSYPHTRQVRRISLLGAILRALSSPQDQPPK SAKLTDLKTLLVENPQRVVVVFPECTTTNGKGILPFSPSLLTTPAQTKIFPISLRYSP PDITTPVPRHYWSFIWNLLSQPTHCIKVRIAEVVYNTSKPTDHATEKKDRYLTNFLDT LGEDSAMTSSTDTLTSLSDQPAEVNPEEKRILDKVGEALARLGRVKRVGLTVKDKAAF VEAWSKKRR LY89DRAFT_706136 MEHAEENSSTRVSRLRPPTQIASPGKGLSEISESENNARSKQVS RMPPPGSLKHKLGSSNYEPEPKRKTLAERAGEPRSVAAATPGPRTFVKATSLVGASGK PMHGRTQSYTSSISSSTRTPSVSSRNTSNGSFASSVGPAGRPATSYGSRSQTSMAFSQ STTTRPTLAMPKSRPTTSMENYSDDDEDIPSQGKRKAWDVRGRLEDVEAMYSRMKDTL AGTNLERSGLEEAVSIYKTRIAELEVLRTQISSENKTLESDLDAMRQKTNMLQERLLT TTTSLEDNIRTTRIVMDDMKREHRNEIDQLRRDNADEVERLLRLHREELRELERRAAT EMEDRIRELQRRNDSKLEDERIRRLREVQELESRITTESQSLDLALQKKDREIQNMRG ELEDVRGELDREQILKGNAQRDLSALKETFQMTGVESSSTIQSLESTVASLRARIHFL ESGSKAQSDSFAEMESRLQDAVRSAEVSKQKLIKEESLRRILFNQVQELKGNIRVMCR VRPVFDTTEGDAAKLKFPDTEKESKELEILGKEEKSSLGNITRKTHSFTFDRVFGPES QNQEVFEEISQLVQSALDGYNVCIFCYGQTGSGKTHTMSSADGMIPRATHQIYETATN LKDKGWTYTMEGSFVEVYNEEIHDLLGSSKDFDKKKHEIRHDEQKKQTTVTGLKTVTL DSPNTVEMILKQAANNRSVAATKSNERSSRSHSVFILKLVGRNSTTNETSEGTLNLVD LAGSERLKSSGAEGDRMKETQNINKSLSCLGDVIGALGQGKEGAHIPYRNSKLTYLLQ YSLGGNSKTLMFVMASPLEAHLSETLTSLKFATKVHNTHIGTAKKSTKVRERTSES LY89DRAFT_642392 MTSVASSNELISIAIIGAGIAGITLAIALSKHNPSLHLTIYESR PGFSEIGAGVGFGPNAIQAMTLISPDLTAAHNRVATPNASPEKAHIWFDVRHGTGPHA GELIAEIETKGGFVHCGASRSQFLDEIVALIPKSVKTEFRKKVVDVYEDEGSGKMKVK FHDGMEIEADGVVGCDGIRSACRKIMLGSGDESANAVYSGKYAYRKVVDMEKAVEVAG KLVENRQVYVGHGGHLLMFPIRGGKGLNIVAFVDAKGKPWIDRQWVVPASREDVLQDY KGWGKIPTALLELIDEPDKWALFDHLPAPTYVKGNFCLIGDAAHATTPHSGSGAGFAV EDAQLLSGLLTLEFIKSRRDIGYAFKAYDAIRRPRSQKLVTNSRQNGRTLELQDDDGE LLDYERLAGRMEENMEWAWEVDLGAMLDEAKKLVKNYESINGVP LY89DRAFT_695931 MDKKDADNRIQPIDIDRGFIVNTDLERRILRKCDFKLLPPLMVL LIVTYIDRTNIANAKIEGMTTELNMKHNDYNISLWILNIPYICLALPSNMLMKTGFAR PAIYLSGLMFCWSLCTIGLGLTRSYKGVLVCRFLMGCFEAGFIPGCAYLIGRYYKRRD FTVRYALFFSAIGLAGAFGGLLAYAIGHMKGVGGFSSWRWIFLIEGFMTVVATGIGIF CIPDYPENSIFLEADEKGYLLDMLRIDAGPSRPDHYNPLVMKECLFDPKIWLAMLCYF AADTSASSIVSFQPTILKGLGYSSAQAQVHTIPVYLVALVLSLITSYCSGKLRNRYGF LVLGSVLGIAGWKIELAVPIRWIGARYFGLFAITACAYIQMPILVVWLSNNMGGNAKA AFATGFMIGLGNYGNLISSNVFITEQTPRFQTGFGAGLAVTVVGLASSTIMEIVMFVK NRRRAAGKEDRKLDAAHEILGDLGDDHPDFRYFL LY89DRAFT_489338 MKSFEILILAALASSASAQFSSGSANSAGPGSAPSGQPTGTGGL QPIPSGGFSAQSGGLGGGFAAQSGAFGSGAAGTGIKQTGSARRSMTGSFSGTPPSSVP TDAANVRRQAPSDIPSGSMMPSGALPSGPMQVGTSAMSRGMPIGTGVPSGSSVPPTMS GAPNSIEGNFASGSSVPPLKSGSPSGTPPSGAPPSMTGQPTDIARRQAPSDLPSGSMT PSGIPSGAPLSGSIMPQGTESPTGPKPSGSGTPLSMTGTLGSAAGDFATQLGAPPSGT PSGTPPSGTPPSMTGAPSSLAARQAPSDAPSGSLSMMPSISGSPQGSGVPTGLPSMSG AHDQGNFASGSAAPPSMSGTPPSGTPPSGTPPSMTGIPSSLAARQAPSDAPSGSFSMM HSISGSLSMPPNSGTPTGLPSGSMSIPLSAPTDAPSAMANIEAAISSGLPTIPIPTSG LPLIPTPSGSVGAFASGAGFGYPMSVTKSGEFVPTTFATSLKPSASA LY89DRAFT_642399 MFLAQESEINKKQEMKPADDDPFRMYWCRELDGTWTQRNRLTID SGDIGDCRWYAMDGSFYCVRLSDG LY89DRAFT_489373 MEVPKYPRFSAPEMKELAIEWALRTGEDQLVIYDLPKSSLENMT MLDTDNLDMNMLDSAFLTGSSSTLDFKHEEREEKRLIFLRSLMKQCFSRDITLKMETM KLLDEEIESLGLSDSLHNSAFKEKAFAVAKARLSAKHFGTKNLTLKSIKRFDYQVALG VLRGGHGGHYEILDLYFDFKNKFWFFLEILQETTQFSMIPPINLEEQQDDGEEVDFSS STTSQDSVPSSISSSGSLTSTRTMSESTLRSRSSLNSTPQPDQDTGAVKRRGYTLEHG PWLYRISEQGRSARAKRGAWHNITSLASYNKMIIQFQEHSHSHEGKPPIVSIIHYLDK VAVAQWSRIRANEERAEREFLKLIKESGFDDEDIGEPFMKGGRLFTKE LY89DRAFT_489382 MKLRVEALSTCSTSRKSQLLDMSFHYTPCFLRRPPRLIKRNGVF YLHIHSAVTVARGEIIHR LY89DRAFT_731776 MSIWDKFTGRKSTSSTSTPSTSNPAADSFQPTPFDPQEAQDVSS FLSPTFADPSQLHPLAGLNQQTLDYLSLEDSALSDLPGSQSALPSRGWSDDLCYGTGV TYLTALTVGGAWGLQEGLRRSSGQPPKLRLNSVLNAVTRRGPFLGNSAGVIAMVYNGF NSFIGHMRGKHDSVNSIVAGGLSGMIFKSTRGIRPMMISGGIVATVAGAWAVTRKALF LY89DRAFT_489399 MADSRGGTTAGASESPPYEDRQLLKWIERQPTKNQQHITSECLK YFLDKAAQPRHASNNACIKLCYFLDQCRISSSSVIQGVAISEKTCLELFSFYIEWNEK NQNRSMRQVLELVCSLITRNKDIDIALSLKSSIVQRTLAIITHQAAQPLVKPAFKCLE YLVGKGTISVRGLVGAVEESGAVSADMSASKYSEIEDNSWDDFFAGVFEWMTLPDISP AAGKFLVTIFRALRDQKSKASGNRNEYSASWQRWIRNGLEKNPESLENVKNYLFPPLF KLDRAGSIIFLRDLNMATPSMISVTKGLHAHQLLQLSAMEMGKKAGLIEEPATLDWLV KSKKSSETIVLTEDALKQLVFHASDTVRSLAFSVMVSSLSSIRPFSYVALEILKSCMD VLYSDPDAKFRNEVLSNTKHMIERLRGATSSMAREVENLSYLPHGTGTFNEGQMQEQK DLRDEVMALLEAHKDFLAWYLGFLLGEMIPTASYQRHITALRATLLFVNSGIHETTPQ SARVFGSSPAWPFSFQFFTPGAVRLLMDLLLDPFEDVRSTAADILRLATPRDFDSGSA VCTEGQDTSVQTLGTQLHVPLSGGLNLVDTSLKSTAGKPCVLSPASSSNTLADIAKSR EGISCSQTSLSTSTSMLGCGGVEIERGHKTRNSSLNSNRQGDDRTPKSSNGTEKGGSS ICGNKAAEAVDRIEQAPALRTPSSSELVEPDSARSLSNGLDTLKEPKEPAEEHKDFAA LLYFIDRAKAVSSKTGRADYSDGLARSYELLYGMQCSADARNKLMTQLMEDLEENLRI AEKDLGQAVLEAPIHGNFAALRLVWDSPAFSQHHKQTQLHDLALRAQLDDLQQRMITG CIRIWHAVKEILCNDSPEGHLLEDLDDVEVDRVDTKNVLSYSFRAIHESSNLLQSLLK KIKIHLPDEGPLLPIALFEQIGDLTFDQLSNLRHRGAFSTVSHTFNMSCELTQYKGLK PGTKLDAKLVDWYNKSLECIQLQASTTRRSAGIPAMLTGIMMAKSSNASFDTIIKELM KVAWLPAVISQDDNTSIPQVHALNSIKEAFKSSSLGLLCEPYIGNCLQLAVHSLKSTI WAIRNCGLLLLRSLIDTIFGTSVSKSEIENGWDGRSIKIDYNRYPELTSVIEKLLASS EDLYALNSPEIVLPALDILRRAGPPNGDSTLLVKLVSAQLRSDIWHVRDMAARAYCAL TMGRDIPTLVVELLTGKEGEHSNTRHGRLLSARRIVERHALLNPLAVFDVSEISKACS AQYHLERSAPNFRLFILAEIIETQNAQLSAALALSKVPDSVFSQADENYLSMTLKLHQ APELRLALLKQAFLIAAVNENFVSIADITRRAAAVLDSDTMLEVLPIISQAFKSDPNL VRIAQAYAGIYKRNIDADVNAAALNCLSQALENKYDYEVSQEGLSGVFAGLQPRFSGP ILLNAWVTLSGWMMAVKFSNSFAGTGRLLQSEIGEWGVTLMWHGEATKDNDSRLAAAK AMRCVLSHKQWVSKAKADFLPVLLPLYNTLNDDDSEIREIGAQIVSQLAGVSLVGLAA ARWLAKDFMLPKYGASLKFAWNVVDRITGTTPELTQRMNPLFSLDMQLDLAREQDDDL FSVEKPNLYIDEKREIDLWAQVLSNLRQQIVEESQISPWDSKPLNALLNWTIEGLVSV TNLLALLPRCSESDASIFTACVRVIAASAALFDYHDNICKANPDANDLKGYATWVAKS DEIRGLLRKWTVLAIEQGFHESILAKLLEREPPESHLRKQYEEACKLVADFRLVGRFD SSTNPQ LY89DRAFT_731778 MQYTLGIFLILLIAVVISVAIFFMCRSYMGPFVERMEEARQERR WERARRAERRKMEQRRKDEAGGITCLTEITITSEDSSSFTGLV LY89DRAFT_731779 MASALPASLSLYGATVTRTFTTTYNATPNELSYTILYPPASVIG SLQFTVVPPYSPNQIAPSPPPTLTLTDVVGIAYSNNGVPLMTATLAQGAAHSTPTYVT VVPSASSTVVVTTGGSCVDWSCWGQGKQGGFIACVIILLVALIIGLWWIFQVRPNILG RWGKAKPSDDEELGIPGLDIVPRRRRRRSSDSGTVTEQVIRNSRTMEVVPEGTMTVPA YRVYHSSSGSSSDPRVGEPIVNGGLDRISRHSKSSSSSGSSRGSIKKRSSTYIGELHP YRSIYTNTPAPPVPDDVSVKDWAYDAAVAGEIGGIEAVPGSNVWKGSIGYRKPKGRRT SQHCAEARKSVSGVLVTKPVNPSACVIN LY89DRAFT_683239 MAVQFANEAYLVEAQFTGAICPSIHNPTPDFTRQFKWFEKLSLE LRLEIYDLTLPEARILQIGHTRVKVERDGQKDKLQEGKALRNAREPDCSGVEKSDSMC VVESLKPPSDGRNLPWVLLAVNKETREYAQKRYHLSFQETFSGGAGIYVDFERDTLLC DSSVSLQALCGSYTFQGRELRLMWAVVTDDIITNDNVQLITRISEDTTAKFRYLAVNA QLNNSLVPILATFPNLKQVDIHTPKDLMDFPKRLIDPAPILKEWKALRLRIISEQQSP LDRSDKHLQIKETGNDKNANEEDTSNGENGEKDSESDGNKNEGGKVSEYGKRVSTETS GNFPEVRLWAREEFESKVELKGAATSQFRDSIFSILVG LY89DRAFT_731781 MARSSYNDRGDGREITSKAFLAPSSTLQVDPLEDFPLFAKFPIE VRRMVFREALPRPRVIAFDSWRASAEELEFIGSINSRDIENRISNVVGPITEVHLPNA MFVVNRESREVALDNYTLISLASKYPV LY89DRAFT_731782 MPQCTPFFYTPPGFVHPEKNMLKHLKHLVINEVMTTTLVTILAQ FECLEEVIIKKKYILRPNGGLIKRRDPVTKKRVAQSQDTTDLEAEWLRSGVEVAAARE RNDKELKMATGSHTFKLPELSFVNSRRDWQPTWFFKFRARKAKELTVLEEK LY89DRAFT_642405 MQFLLHWLSWTGYQLNTGSGNEYQSEQVIGFPPSQAAKPRNYAA LIPSEKAQLEVAGIPYPECGDDELIIKNHVVGVNPIDWKVQSGGFGLEYPVVMGEDVA GEILEVGTNAKHNFHVGQRVMAHTVGFDKGSSYGGFQLYPVLRAAITSPIPDDLSFTE AAVLPLSISTAAAGLFMNATLGLNSPKTDTIFSLPSTHVADNPVLLLWGGSSSVGSSV IQLASAAGYTVITTSSSSNFKYCKELGAAHVLDYHDPEVVPKLINLLKGNKVVGAYDA IGSVTTVLQCASVLEALGGGKISSVGAAPSNLPPKVTLARISGGALEPREPTVAMEVW GTYVPWALKSGRLLPRPKALIVGKGLENVQKGLDRQKEGVSAKKVVIAGLAK LY89DRAFT_642409 MADGDHTEELSLPIKLRDSSTSLIVPDNRQLEPAREIGYQGKPE SVEDPNLISWDGPDDAANPMNWKRSFKWLITMSLGSVTFCVAISSSIFSTGTKEVAEL YHVSVEVAVLGTALFILLWGFCFGPLLWGPISEALGRKKPLFLGLFAFCIFQIPVAVA QNLYTILICRFMAGFASSSALTVVGGILGDIWDPVTRGVAVCVFAGAAFIGPVVGPIM GGFITMSYIGWRWNAYITLIISLFLCVTGFFIIPETFGPVILQAKAHKIRLETRNWAI HSAFDAQEINMKSIIQVYLTRPYIMLTREPVLLLLTIYMSLIYGILYLFFEGYPISFQ QHRGWNARVGALPFIGLLVGIMIGAGVVIYLTKTRFALSIKTHGRVIPEERLPPMILG AAVLPAGLFWFAWTSSPNITWVPQVLSGIPIGMGIFMIFLQGMNYIIDVYMQNANSAM AANVMLRSISGAAFPLFTQAMYDRLGVSWATTLLALLCVAMFPIPVLFYYYGPRLRKM SSFSPTE LY89DRAFT_780332 MANQTRLPEISHYITSYDPSGKSTFLAAPNPPLVQMNDPSMRVD YIYSTVGSATGPVLTDLVDYKNNQDVRNTTPHIMFPLAGGSSAVVASFEPNPDPDNDT GFMHRSNTLDYVFIIDGELELTLDSGEKRVMKRGDVCVQRASQHSWKNLSKTEIARFG AVTLGIEGAKLNEMIFPGE LY89DRAFT_731786 MVVGEQMTTDDAGLMGFGDTSLIPLRPFPFISEDMTLSDPLLWT LPYWPSEPAGSGTRIPASELTLIEDEENPLKDKLSRIRDRWINPSFKPPSRILQVHGA SAAFLTHVLKCYPKMMARDGSVPPMIHRLQLTSRTAFVPLVNCLAWTKIWEHRTGSDE AVLLNAMQGELEKLYQEHHLYGQADLLAALQASLIYSIIIYLESNMTVKYAAQVLLGQ LQEMAYHLLITVTIPKARPSGAVLDQESWVITSAAHRTVLAVYIFEYVICLLNSLPVY SCNELDSIPAPVCGRLWEAQGYGTWRDEYGNWAKMWKGREVVMAELLDRQVESYAYNR VEKWLSEADEFGMLIFTGSLISAKG LY89DRAFT_613011 MMWNDEDNNPYGTSFERRDSTASSTANPSSPGSQHGYAGPRTPS TIDDNHHDTDTDPTQHYETSDIGSDDEYGGPSPPKRKKGGYDSRIEQILYENPELPIL IVEAGKSLESGGKYIVYTIRTGDLEVRRRYSEFASLRDALSRLHPTLIIPPIPEKHTM ADYAANPTKAKQDQQIIDLRKRMLAVFLNRCRRMDEVRNDGVWWRFLDPNSSWNEVLH SHPIASIPKSNMKAPPLDPANPSPAHAWLPVPATSAKLKGAATAPTNASGMINQPTEY AASPSTAAHTIQGILVHGRFPPDSQHLSEQELDPYFINFEASTKELETLLTGPIEKVN RRTLTHLSSLAADLAELGARFNGFSLSEPSPSLAAAIERVGQAVDSSYLATEELSSSL GASFAEPMRESAQFAGVVRAVLRYRVLKRVQQEMTNDELAKKKALLESLERSESEAKR IEQYLTQSGTGNLPRRSTSSARDPPSSRRENNQEDTASIDSDFPPTHGDSSTTPSATQ GAPQTEENNSPAHRKSSSGNFITNRIFGRINHAIHGVVDVDPERTRRDLIGKTKESIS QLEQAQQASEQDIRNASAGVLKDLKRFQAEKEDDLRRYMLAYAKSQIEWAKKNKETWE EAKAEINKIDES LY89DRAFT_613014 MANIYNSQLDAYSLYHKNQEASSPSALNGPALPALGHALAGSTG TAISNLAIYPLDLVITRLQVQRSLRKSSASQDEYKGVIDAFDKVYHNEGGIKAFYSGV VQDTGKSIADSFLFFLFYNYIRTNRLQKKGLSATTLPVFEELAVGTIAGACSKFFTTP IANIVTRKQIATMVAARSSTSLAEPSAREIAADIRNEKGLQGFWSGYSASLILTLNPS ITFFLYETFKRAFLPRSERDNPSTHVTFIMAAMSKAIASTITYPFSLAKARAQTSSKR PVDSESAEIIMKEAENVENRSDVKKVGQDAKKFAQSNTVFDTILKIYRTEGAAGLYEG VFGEILKGFFSHGITMIIKETVHKMIIQAYYLVLKALNRYPSPSELAKQAGQSVQDAG EKAGDLVKGGYNNVTEAVSNMVGGTKETAGVAAERVGVIGNNTTEAAKTANDYASKEA GHLLGNAQEMLGGKIERVGQGIKPED LY89DRAFT_780337 MTSSSYPTPPLVSLISGGIAGGVEGFLTYPFEFAKTRIQLRSSS PRSPLPRNPYSIVLTIYRTEGLRALYKGCGALVFGSVGKDAVRFLSFDTVKNAFRDKE TGTLTPARNMLAGMTAGVVASVCAVTPTERIKTALIDDARSAKRYKSTVHCIRLIVQE DGFKGLYRGLAGTTLKQASATSFRMGSYNIIKDFEEKRGVEQSTGVNFLNGAVAGTVT TLLSQPFDTVKTRSQAAEVTTTVQAIQSVLKEDGVRGFWRGTVMRLSRTVFSGGILFT TAEAVSKVITPLLAR LY89DRAFT_695941 MVPVPWSITSLSLSNIRHGTGGYWNFNILDTPTPTPQGFNTTCA YYANTYTFALDGPPPYNAPCSNPNVTFGFFPNANHEFTFNVTHIYDCGQVTPCTDNGT WSFSWDSVRGQEDDVQNNFGQAGSFASASLSMYPTRAVASSKCEFC LY89DRAFT_780339 MLTKFCRLGFSFVDKIWAGEAHAIQDPWYARYGPGLPIMGIYRT QWLEGQHGEKVQNVYDPTQPAPAFTQENALKPPSVYDDIYPVPTSWVHSVFQKATWDV SVKRTGTQSHMGPLTMGMRLLQTEPGKRADYFSWISAVDKELELTYALSIDPQANKIP PADYQRLVDATRIYLKMGILAEKRGELRSDKAFTSWPRQFGTDQITAHPFDPLPDDEE IPTETYSSPRYDEIVNYLCRNRGPLELALDTMGTLPTSVFYRYIRDRGGIDVLPMELW QFLGVANRKGEYFIFDPYPGPGLVTRIEAGWPPGNVLANMTIPRPAFLATRLEKDRLM EIVRDRNVQDELYTNNVHMRTMRDMDVDAFGRFLEARKDKSWIGKYVPAEFYAIVTQC VRETWDFNDEFTLGPQGIIRFTYDVRPTLTRNGSDYQKAKFAELQQRVDEANRKWRNR V LY89DRAFT_683248 MSHSKRNTSRSVFTSHERSLAKSAWNSTSARLSRESFLPFASCR LCLLPARTPVSCSHGDIFCRECALSNILSQKKEIKRLEKAKEKEEKESLETRELDEAE AKQRAVQDFERIQMGLEAKSGSKSSGQKIVGREGGKILVEKDVVDSKRGEKRKFELNE DELLRIAREERTKARKAIDDEKASKTTLPSFWVPSITPSSNTKTELHSISKKVKQSPM CPASPPDRPHFYSLHALITVAFTEEKGSNTEKSQRICPSCKKALSNTSKAMLAKPCGH VLCKSCVTKFMTPTGVHDPHAPDVDQNIVSCYVCEADLTEPKVVKSGGATGGEKDKIK PGLVEIKCEGTGFAGGGINKVEKTGVAFQC LY89DRAFT_581211 MGLTGSASRSFLYGLNHTEVVGLDRFMETLDRRRNVEERQRGLI TGSYYSAVLMDDPLIWGVLPFKYAFNPSNHRWSLGSYDICFTNRVLKAFFNIGQVLPT HRSLHSIHGGLFQPTITQAIRLLSSQPFAPSPPSHPTIWEPIDTADPFTSGTLTYSTN GIDSFPSPSFFPSQKHSWIHIFPEGRVHQHPQKSLRYFKWGVSRLILESEPLPEIIPI FIDGNQEVMHEAREWPRFVPRTGKKIKIAFGESVDGEKVFGELRAKWKRLVRLQKEAL MKRGESTDWQMGELTDGLKYGNEAVELRKEVTMRIRNEVLKLRRSLGYPDEDSKQGLV ETWLEEGPKQIGKMEDGSWVGDT LY89DRAFT_489701 MLVRHPNSIMQTVYTSSSRPIHHIIPNHLIHHLRRTDHPRLVIV PRRTQHSGPTLLTHQPRRIPQLRRLPRCAQRLRPGLLTDQPWRIPWCASLIRTFAYRT RIEHPILVSPAGNSASEPASANRVPVYNANAVVECDEVAGVLLVRAGTDIVGPAGVAD RVIAADVRAHPGTGAGLACWGYGEGEGWLRSLCLHWRVWKGDRWGEAG LY89DRAFT_489628 MAYYSSEPWVDPEYDKSFLSEKIGPTSNTTHPTIRRKGLKTFPS SKFIVPENPMGNGQDFPDFHNTWESPYAPGYNKPLEKPKFDKDATIQSATSSGISVPC GFAFLGCEYKSSNSRGDWTSHTLSHLKDVPPLHVSICHVPQCPFVGQANEHPKNVWSD RLDHCWSHFIAGHPLEEFLQKNRLLYSQGTLRAYRYGSSTENGNVFRKLATLREGVVC EDTKKKRMLYPKIEPQVEDIREKSLVRHGHSQLVKAPPKRALSPRKSLPYDYGKDMKY EVPWSTRQSVPSESLFSTTESYRSVNGKSVATSEFFLDSASIPDSVSEILSVANLTLE EPHETTQTISADHDVIMESQGECLTPEESDKAAPISSPASARTSIMESTCSEDETDWD GESSEGSAAAFQDAVEDQLLVKHKLTSAQADLVNRLMKEFWVLFHQRWKARTNQHGAA QSETSNTTTATATATSSSSMLPPTGWASVKAMKRSRSNEDGDSENERDRPSKRKGKEP ASIDASEVALRYACPYRKHNPRKYSVQAWRRCALTPHTSVARVKAHLYKYHTIHQCPR CKNLFPDITGLEEHTLAPDSCLVQEAEQVDGITTKLRQQLQDRRKAFPGQTESDRWIQ IFKIIFQPGEGDEIPDPYFEDVRDTEPEPPLSPESRVFAEYEGHFRRELPRHFQHILE TTIISEMQPIEGRIRQQFMAMLEEAQNRTLSSFRARFRPAETPLDGEGMSSTQQGESY SEMLEASHRPLSGVNFDSLSVFNISDPVPDPLSVKDDNLQDSAYISDPPQASSPEDNA SWNMVSAVSDHGSKPSQDASDPEYHGYSELQDLDFSRAPVDDFLVAHEASMENDPLLF DDVLWAQIDQEALHDVKG LY89DRAFT_581516 MISSILALLDFRVGVLALILYTAYGAIYRLYLSPIAKFPGPKLA ALTLWYEFYFDVYPNYGQYTFHIRKLHEKYGPIVRINPWELHISTPDFYETLYSSARR DKWWWFVRTFGLEGGVAFAADHNVHKMRRAALNPYFSTANVRRLQPVIEDNVQKFVQR LRGLAMTGEVVRCVVAASAFSSDVIMMYSFGRSYKRLEKSDFDVGDYDATHEAASFGW VVKHMVWILWIVKSLPTFAQKSMGQALATFVTLQDIRPWNLIKQVLETIAEKDYERQD LSHPTIFHELLDSKLPPEEKRVQRLAEEAQTVVVAGQETVAWTLTVITYHLLSNPTVL RTLKSELEAAIPNTKASTPLFSLENLPYLTGVIKEGLRLSYGASTRLQRIPLEPLVFC SGKKEWTIPAGTPVGMTSLLIHQDESIYPNWQEFRPERWIDDPRLDRYLVSFTKGSRQ CVGMNLANAEMYLWLSGVFTKFGSREVRFEADEGVLELVDTGPEDVEVVSDCFVPNIR SEREGVKFRVLS LY89DRAFT_581692 MGSIEGVTNITVGVLALQGAFNEHVQLLRQAAKILSTKETVERT WSFTEVRTEPELRSCDALILPGGESTAISLVAQRSNMLEPLRDFVKVTRKPTWGTCAG LILLAESANRTKKGGQELIGGLDVRVNRNHFGRQIESFEADLNLPFLSDGDDLGSDKH RSPFRAIFIRAPIVEKLLPHVAGEQQGEASIGDTIVAPSRTIDESVARGINLGEVEIM GTLPGRAAALKTDENTGKLDAEAGDIIAVRQANVFGTSFHPELTGDPRIHVWWLEQVI EAVNLRRTS LY89DRAFT_667356 MLSIFYYLGLLATGYFGLTIFLYMLSLRVEAAGFFARLLASYAS LVICAWYGVFASILLRLFGNVRLAQWATARSFKYVMRFTTGVTFKIDDPNGYLKNTRP AVIIGNHQTELDVLALGWIFPKYCSVSAKKSLKNVPILGWYMALSGTVFIDRANSSSA RHAMAGASEEITREKQSVFMFPEGTRSYAKEPMLLPFKKGAFHLAVQAGVPIVPVVVG NYSDVLYVQGRRFNAGEIPVKVLKPIETKNLTAADVEDLTRDTRELMLKELVKLTSKS RGRPMAVPARNSGDGVIKASGAEATIS LY89DRAFT_780346 MPPKRFINTDPNINAPTDANIDRIRATRKNNENLVPWSPDWPPA SENRPQYLFLAPPLAVSNDSAGTVRTCEVCGLQASSDGFYYEHMKGQHPWAPRSFNHG QYRAPVVDPNLTPQLQIAAYDERIESTRALADDMAFDMTNPDGPYHLTEIPITDTMRE RCLFLWDITDGTGENAPPGTTPYVVPQKPAARKFRIGRIIAPTLKNGSPLTLNTRFRD TRRELAAEFMLPTEHFAAAPAPAPRGSDFVVGSFVLYLDDEAEPYPFFLNMAGTLMKH VPWRMIQPVVFPWGIPENVTLAGDGVGLPMLRSVPQLAAGTRVGSGRGPGLHSEVQEW DALDQELLLQLTPAENRDALGANALVRVTDYEMRRGNLAAGVLTETFEFPWGLRFQQE WLNTRKQGLDQELRAWTPEVYVDGAMPLRFRLGRIIHPTLKDGTSIADANTAGLIAAG MVMARGAWREGSLVLYLTENDADPNPRFRNIMGEERHIPHRMVQRLHLPFDLPEDLPR VEFGGEGLPILRVDQGNWFFPGAAVDNDSIPRSWKADEHELLFLSTSTPYATQTAFGD FAVMDYERRTGNYSAASGARVTWVHPYPWGVRVGAEYLAGRRVARVMPASSPTNSQPG SPTETPEERDARLAAEAETERVALLAAHDAAFAAGVPTPLTVPIVLITPQRFSALSQM VELVDSATAHPAIKRLAAEIRLRINERHSAVLTAKNLRVEPEDMADWRPTYWVEHYRL VLNAPRLTDTNSLRGLGELRAIVTELMGRARAAPRLPTPQLLTRFLLEQADIELTRLA ANASGTNIKLRDACTSIAALARATAAKLEAANLTDENPVLALERVAQYKRKHAAAYNA IAADAATLNAAETDTFDRLTTFMDIAAGTVPFYSAKVRLNTADLYYASERLNELMGPF MSGTNAEFKAACLSVGAFARERYELLARTNQGIENEALVAQRAALWKTKNAAYEKVKD WTEPKDGITANTLIRLRAYATNFAGPLPAPPATVEPGCILTADFRRYADELRTFNTPS TEDSPARISALGHIEQVRIDCEEMFALLTRQTFTEETTVHAQARAAKYIQTLAFYQSA LPSYQTQLVPTDLRNFNRLIEIATIFRDTIPPFGDSEEYQPPQDPPQRPPPTTYLGIL ASLFVETDPVKIAAAEAQKAADAATQKAIDDARINQEMRAERRRRRQAIQDKKKREDD KRAADIAAAARKAAEDAARRVRQPPPPVDPTTTTPPPTNADAILTLARYQEFVEALYQ MSADNNPDLTEQLALYRGYCDQRVAELIRRQITQETQVMAKQRRVLMGASSAYLFDDA RTVGASIVAAGTWASLRVVATVLSTDPAAAAAEAKRKRDAEDDGFVVRNAKRAKTAAT EGCTTS LY89DRAFT_613036 MTVIFARGTTEPGNVGILAGPPFFSALEAMVGASAVTVQGVDYP ADIPGFLVGGSPQGSQTMADMITKALSDCPSTKVVMSGYSQGGQLVHNAAKLLPASTM AKVNSVVIFGDPDDGEAVQGASAAKTKVICHTGDDICLHGDLVLAPHLTYSENAGEAA SFVAAVADLAVGN LY89DRAFT_716917 MEAVAAVASIAGIIGLVGQALNGIIILRGFFQSCASESHAIQRF LKRLNDLIQIIEHARDLMIKLSSAPPKIVPESILASLQIQLDDCNKDVYGWLAIAREC LPASNAGTKAAFKKFLVALERQKMTDIYMEISVHKDNTMTKLSVIGRCFDIDQSATLE ALCERLKVWDGMEQSMQLVNGGKQETNSSIHETMSQAGSSSLASIAESLSRIENTIGD FIGPSKSRYGSPSASIGSLDSASVSGGRGRGWGSEPSQYSTSTSPRNRESVSSISERI TQSLLDARSPLSESRYSAASQDGAPIANYYEAHQHSPQDGCLGVHYNQSTQMSRRGFI QETTKYIDLILLAEAIISKIKLFKSAPSFFFGLSDQILQAEIDSLEDQLCTIRSEATV LQIACWRRGLNTEDLDSHMAECRKSGSELPDMSGDVPAKERSGPAPAGKNLRLNTLKI DATRHDRINMWLLNNLEASKKEMRRHRSFLPNSVTLDKEQWARMVLKFWLLDEAAVGP EDECFSSIGAVDSDGLCHSERVLFEVENPT LY89DRAFT_559581 YFTSTRMLNYQLQHCKSTRSKTEIPFLVLTPLDVPQYQVDQLAE EGATIIPISLIKVPSWIKPGAHRWQNVMSKLRLFELTEYSRILFLDADTFILKPMDGI FSDPASFPLNTSQQVIQEDNEAPLPPTYLFASLPEVLHTMHPYPPHAWRNFNAGFFLF SPSLALFNYYLSLLNITNRFDPTYPEQNLLNYAHREFGNMPWRRLGGRHGEWNINLPN MNDVRKGVRSVHAKLW LY89DRAFT_683254 MGFLKSWPAALVGLLMGTETVAFNAPPSVPAWCGKPYMSSNHSL DPGGQFQFPTPQANPLLYVAIQPRYSIFLESDESGSFIVDATISHIFGQSYKNVSYDT PGQEAGNPFTTMDYEIYSEESGSLLVSNSVPVNSTGNLIGFSFSTFQARTQPYTISIY GTSPDGQQTYTASTEIYILPSRTSGSAVKIDNLYGGLYVQNAFNNWKGWYAIFPNGYY ADGGYVTPSNFSLSGLDTYASQGFNTINIVPDGGLPDQSYPVASLAQYWDRMDELNLF NIYDMRFAFMNSTRISEQVALWENRTTLLMWYTADEPDGWMYALNSTKLAYDQLRELD PYHPVSLVLNCQNFFYSDYASGADIIFEDAYPVAINATWSIPWGTPCNLTYGDCGCDN CVGDLEDVSTRLDDIQTYQANLPGQISKPTWSVIQAFGEQDYWKSIPSVAEVENMMML SVNHNAKGITYWIYPSTNDVNVGSGELGKVFQSASAMAFIFGTNAIKGLPVQGEPLVD ASAWIVGTQMMIGVASGEYVDFSSQVTIMLPEAAVSVTEVLYGSSSWSVSGNTLTTMG LKGLEVDVLVLNLS LY89DRAFT_489993 MAEEKIQSPITPASDSDSTREESDNKTTTVVDVTENNVRGTLAA GHLDHSNPFADPAVAEHYRDLYEKSQYESRAAFDPTLEWTKEEERKLVRKLDWHVCLW ACIMFFALQVDRGNLSQAVSDNLLDQLHLTTNDFNHGNTIFLASFLCAEVPSQLISKR IGPDRWIPTQMTLWSIVAMSQVFLSGRASFFTCRALLGVLEGGFIPDLVLWLSYFYTS RELPIRLSFFWTALDVTGILTSLLAFALLHLDGVHGVAGWRWLFLIEGIITLTVGIAA FFLMPASAVQTKAWFRPNGWFTDREVGIVVNRVLRDDPSKGDMHNRQSITPARLWESI RDYDLWPIYALGLIAYIPQSPPGTYLTLTLREIGFSPFTTNLLTIPNAVFGIFTLLGI TRLSEAVNERTFVSMLQPLWTLPCIIALRVWKGLLIEKWQTYALMTVLLSYPYCHAIL VGWTSTNSNSVRTRSISAAVYNMCVQLGNIIAANIYIASDKPLYHKGNDHLLLINGLV IVLFLFTKVYYVTRNRVRERKWDAMSTEEKDYYRATTTDRGNKRLDFRFAH LY89DRAFT_613046 MKFTLGLAACAAVVVATPTKTVEKRDASQANAACASAVTLAAGS NPFSGRTLHANSAYASEIAAAMQSVTDTSILAQASKVAQTGSFLWIDTIARVPLVAQM MTETPCTDIMGLVIYDLPGRDCAAKASNGELKTGELAKYKSDYIDPIAAAIKAAPNVA VALIIEPDSLPNLVTNANLTTCSSSKSDYESGVAYALKTLNFPNVAMYIDAGHGGWLG WDANLKPGAAEITKVYTAAGSPKQVFGFSTNVAGWNAFSLSPGEFSTASDAKYNKCQD EKTYIDTFSPLIKSGGMPAHAIMDTGRNAVQGLRAAWGDWCNVNGAGFGVRPTSSTGD SLVDAFVWVKPGGESDGTSDTTADRYDSFCGHDDAFKPAPQAGQWNEAYFEMLLKNAK PAFS LY89DRAFT_490059 MSIVVRENECDERRKKEVWKLEAGSLGKSRCRSPKFSVPDCQTS STSSSPADGQLEISPIKSSPVSLVHPKNERTEIYFVLFLVSKYTAGFLLVCSCENCPM TGIPSDGVTACSESKANGPSHFLGLEHTELRQFRDVNRDGSIALEQQVQDVEYQLREA H LY89DRAFT_490067 MSSSRRTTTTMTTQLYPENLRLSALLFRTAGLGLSIGVLVLAIQ LSSEGGTPVFAYVAAIWCLILNPLDIICLLSWTRIPPGGLIFLDTLAVGFCVGGILNN IVVKNDGDGNNRPLRNPGLVNTSDWLLGALLAVHFIMIVSGAIDCCWMAQYGRKRSSR HGSSRSRSSRSETVTRVRVTRTNV LY89DRAFT_716922 MSSSRGDALHADASYILQSQHITSDALTIQDVTTLPELFKWQAQ RRNGETLFTFRSSPDAALTTVSYAEAYERTSQLAESLHSFHSSSSNENESPVVGIWLE RSIELHFAILATTISGATWLPFDADVPTTRVSACLEDSKACILLCDTVHYDSAIKATE NLPGCQVITFDALSRQTPTRRGAVKDVRGPSPHHTAYLIYTSGSTGTPKGIEIPHSAA LTFCLSERSVLETRPEDIVWQGFSAAFDMFIEEVWVSIAGGAQLAIGNRSECQDVPSL GGAAGVWTQRGVTIVNAVPTLINIMTSLDDDCRLPTSVRLLNLGGEACPPALVNHLWT PSLRIFNTYGPSETTVTATFQELFPNEPVTIGKPLPMYHAMILPILEDSPKEKVWSPI PFQEGTEGELAIGGPCLGKGYVQRPTLTAEKFITHPLHPNERLYRTGDLVRLDKNHNI VYLGRIDTQVKHRGFRIELGEIENAISSHPKVQTTAVILSTSTDCLEAYMVTKDDEIL DTRELRNTIRHLPAYMQPEGFCFVPAKEMPRLPSGKINAKALQETSAQLALAQKEELG QQVYAGTSIPNDGSDLSILLLAMLELFPQAPNITASSDFFHDLGGHSLLAAKLVSKLR KGSPKGSSLKKLGLQDIYIYCTAEKIAASLAEVTDTDGRDSFFEKADTLNSQMNDHWP VSRRRYVLCGIAQAIALLLLFFIEGVTFLGPYLVFFEILQAIDLGTAVAVTYFVFVSL PLLRALIGIVGKWIVLGKAKAGEYPLYGVYYFRWWLADHLVGLIDMVTIADSALLPAM MRCMGARVGTNCHLGVTHIGAAFDLVSIGDDVTMGKDTVLSTSWVERGRLILAPVSIA SQAHFGSNSVMEGDSYIEEGGELGSMSMLSQGCHVPAGERWIGSPARFRECSTDIGGM RASRPSEFRASAMILAMSLSSIFLLPIIVFAPQIPSMLLFDYINIPRMGWWAQTAIVS VPAALIYMILVFLELIVLRWLVLGKVVECSYRTTSVYFFRKWFVDRLMDMSLAILHPV YATLYVVPFLRSLGVKIGRRAEVSTARGINFELTDIGEECFIADGVFIGDGDVRRNMV TLKKTKLHKRAFLGNASLVPQGTEMASNTLVGVLSCAPEIPLKEGESCFGSPPVLMPS RQCGREKHADHLLYTPRASQVALRLFIEGMRIVVPRIIVTFGLGFGVQVFDAGYNAIG ILPAIVLLPFFYFFFFALPALLVTLACKWALIGHYRPASWPLWSPRVWLSEFVTSTSE TLALPLLLNLLTGTPFLPLTFRLFGVHVGKRTTLLSNDITEFDMVTLGDEAVVNKLAG PQTHLFEDRVMKIGRVEMEERAVLMAYSIALPGSRVGRGARVGALSLVMKGESVPEGE AWEGAPIGRRRVVVVGGGSKEVGSEGSMPSSRGSS LY89DRAFT_535852 ALAFSSLVNGHMMLLNPAPLRAKNNPHSKQSAIDYSYTSPLSGP SQFPCKGYLVDMSDPSGAGASVQTYTAGAASSFSVTGGATHGGGSCQITLAYPADNFR KFTVIHSYEGSCPLSDGQEFPFTVPADAPTGRAAFVWTWYNKIGNREIYQDAAAVTII AGTGSTPDIPFSERPDIFVANMGSCTTIEGTEVIFPDPGPDVTRMLSNTMASTMSSTI KGVCLPVNGIGNSGGSNGNAGPGVASSSLSMPPPTQATATLASSASFFTALPTSA LY89DRAFT_731809 MADSYTPPAEIAALVANVNKAAAGFEAAVGGDLHVARRNLQLEA QKLLYSLEEPNIEVWPRIFQVNVSTAVEILSNLGLWEKFEGGKTVSMAELLELTKADE IIIIRVFRQLTAAHIFVESPAGFTLTPLGLPYLNPDHRAFNNLMFFDIIPAIMAMPRT LAEHGYKAPTKESGTPYKWAHGEELWTWLGSHPDRALNMVAGMRSHNAGNVSGDAYPW GTELGKLNIKDGEVAVVDIAGGQGHIMEEVRKRNPEIKGKFIVQDLQSTFDAVSGPPE GVEFMAHDIFTPQPVKDAYIYYYRHIFHDWSDADCTTFLGQVVPLLKAQPKSKLLLVD LVLPDTNATMQDAVRDLSMFPIGGLERNESQWTELLAKSGLKIKKIWRGSEPEACVEC ELL LY89DRAFT_731810 MTVSVSDFPAGTPNRLSLRIGCYILFGVCTATLFVRFYVRAFIS RKFGLDDLLITLSWMAEVVHIVCVKLQFENGTGWHVADLAMLPNGVAVINGMILWPWI TQALYYFGLGCIKASIVALYLRLAVTPLQRNILWGMLIFVLAQGLSSTIVVAGFLCTP LSQVWTTPTAIGGPTCINILTFNYYNAALFIITDIFLALAPLAVIKNLQMDVKRKRAL GIMFSLGVLAIGGTIARQVTNAIAINNTSDFTWHWAPTALCSILESSLGIVFVCVPAM APLFKNWVGGGSSAKYTPNNYQSDRPSTFGKLRNKPKLRPDDESILCTTQITAVDRKD RDGAVESYEMDQQTGNYIGDGGSERRIITPPTYREQNGKKENECDGKSGVMVNVEYQV NRSHRKSGAK LY89DRAFT_716926 MSLFAPSSQSQPAKSQRILACVRCQQRKVKCNRQFPCESCNTSR VKCVPSTLAPRGPRRRRFPERELLDRLRKYEDLLRENNVKFEPLHKGLEQVREHEDPQ TQGDNEVDDEWSEAFSANSSSPSVAIKSEWMYDTNNKIWHAMREAYRDPNDDSDSSDR DPPNVILNHAWNRYVENDDDLLFGSRKLAVDICTLHPEPVQIFRLWQLYLENVNPLLK ITHTPSLQGRIIEAAGDVSNIKPTLEALMFSIYCMTVTSLDPDDCLAMFGSSQVDLLR RYQFGCQQALLNSGFLRCHERDCLTALYFYLISVRPSTAPHALSSMLGIAIRIAQRMG INRELSLVKCTPLEAEMRRRLWWSLVLFDTRIGELADFKAPTLNSTWDCKVLINVNDS DLRLEMKEPPQVQGTSTEAIFAVVRSEMADFIRHTKSHVTLDSPPVVDKSQRSTTPQS CDLDSLEKKIEDKYLTFCDPDNPLHFMTLWSTRAFLARCRLAEHHFASSDTFTPTASI PYALQMIEYDTKLRNSPLCKGFLWITNWYFPFAAYIHIAQYLTGRPSSDFADPSWEIM SDNYEARFGAFGEPFEESPFFKIFANIVLQAWGPREAMIGKSGGSLVIPRIVLSLREK MAQVALNAHIAQKPGVASDPQFLMSTPIEFGSHPAFYGIVGQEDWSNGPTFDPLDIDV NQLDWSVMDWNLPTGAGPGLSMP LY89DRAFT_683261 MSPSRPSSKQSSVRQFPPEKPTPTQAVEDEYEDAEKNYQPKSIK FWIIMISNYLATFLVALDRTIIATAIPKITDEFNSIEDIAWYGSAYMLAAACLFPIAG RIYQLYSTKWVFLAFLVIFEIGSALCGAAPSSVAFIVGRAIAGLGSAGIFVGGMMIIL PIVPLRKRPLFTSLFGMSFGVASVAGPLLGGAFTDKLTWRWCFYINLPIGGFTIAAIV LLLHIPSPKHEKLTALAIVKKLDPIGILFFVPSMVCLILALQWGGSTYSWSSPKIIGL FVAFGVLLVLFIVVEVLTPETAMIPTRVVLNRSVAGAMLFMLLLSGGLFSIVYYLTTW FQAAKGVSAIHSGIDTLPTLLSMIILSIPNAIITQKTGYYVPSLLITPVFSATGAGLL STLTPSSSSGKWIGYQILYGCGLATGFQTSTLAPQTVLPRADVAIGMAMMFFMQQLGG AIFLSVSQNVFSTKLVHLLSGVAGLDAEVIVNTGATDLRKVVPQSELSTVVNAYSYSL TRVFIMAAALNAIMILGALAVEWKNIKGEKVSEDQAKSGDKAEQGESKI LY89DRAFT_490184 MQCNGHVLYKASMQNRQQKNALILFAQVCNLPAPSTLLAVIIRD FYHFLEMLNGLGIILRRLHSGGVMSLQITSKLLQSFEYEHLEKHQICSQVLTLIYISR VPFIYYKIPLFCVELRHFHNFGVRGFVPSYYDTFHNLNSFR LY89DRAFT_642465 MAARENDPDYHGPPAEVDTTLRAPNHRGGAVDAEPAGPSSTNDA NTKPIEKTISCVSCRKRKLKCDRVKPSCGTCNRLRHDCEYPERRRNLGSKRRNMKELE ARLAQVETQLVSEQTKAATTQGATSISMDADWNDLTMDMNMDMVDDALLDGTFDLNAA GFDFQPPGPSLPMGDIFSQELLELGLQEPLPPQEMMDDLHQIYFEKFHPQVPFIHKYR YYTSLSKSPMFRPPVCLRYAIWAVAASLSDKYRCYEDLLYERSRRYLQDAEMKGHGEG FVSIYYAQTWGLISNFEAKKTYFSRSWMSTGRMVRLTQMLGLYRLDIERGEFKQILPP PRDWIELEERRRTFWAAYYGDRWASSGTGWPMLVHEDEIFTNLPCSDEAFESGVVEPT ISLAEALTPDGARNLSPFAGVILSATLFGHNFLHLHKSGPDEHPEDPVNGEFWKRHRK MDNVLSNTFMFLPDHLRLPSNVRNMNVVFLHMNIHASAICLHQAAILTAEKHSLAKNV SDQSRGRCLLAAEEIVNIMRLICHVDHSLLHPWIGFCLYVAAGVFVKDQKHGSRNPGV MTNLEFLLSAMKSIGSKHNITNHFTAQVELDMQAAGL LY89DRAFT_683262 MTYANLQNYLSGRPGSDPATSVVNRGGSTSSPHSGESPDVPSEP SSVWDSGMKSAFDANNRSPQLGSLTPSANPNNLFDQTGLGQFSTGASILSGRTQPIQS SSGNTMQVPIRSSTPGHPSPPPGFPWSNTGAVVGADLDRQSAQPPHTQPPTNTTSNLP GSSSQRLDLKSWISGPTFPTIGATA LY89DRAFT_683263 MATTTYPRKPILISGAGLASLLLAQSLLGAQIPFLIFEKDASLS FRAQGYRLRLSAEGLDAIESVLGPKDFQTFWDRCGKTGGGGATAIDARTGLKKEFPQP PADEKPTNRVENLSSREGKIVGISRGDMRALFMKGCEAFVYFSHQVTGYELTDSGVRA VFADGSKSVEGEMLVGGEGIYSKVAKQVSGGKLKVYDTGARGIHGQAPSTAFKGLGEG VWVMRDESNPKGKMFVITNVRPGEMDDPDVQFGWTLGSQPGVIQVPNDDYSLVGKPAA DIAKALTAEWDPKLRPLFTEMTEYEAAFWKITCSTPSGVPEWKNEPRVTVIGDAVHSM TPAGGIGANTAMRDSALLGKLLADAGGYKEGITEAYEKEMRIYGSEAVKSSYGMAKMQ FGVVIDGNSNTV LY89DRAFT_716930 MSSVYVAKYRKPHNVIFNQMVYKQTAIHPQVLMIDGKEYTTTMV EGDVQGLTEAGIEAGKSYKYIVFNYDVYEKTKIDPAITPDLLKVVAIWEHGDYGPKTR VYQQPPQQPPPAMAQSGAQGPSDHLPPAPYGQFLFANAPPSTYRSPYNPSPPPRPESE E LY89DRAFT_780362 MEQIKSHTTQLLNDQDARLAPYVRAPPKSRRPARNNTSGVLQKK KTGLRTEGVAVRVAHKSGYPTLFNRMLGQLFVAYTGVPYLSQKCDSEACKRSQAPRIY VEYWFPLGFCWSQILQLQVGFQSNLGLQFSLKSLRRVPDSAQCVHYTMDGNIEGLKDL FKRGLASPLDVSSTRGYTLLREWAVYAKQYETVRFLAIAGSDPDYRPIAQTDNSARNK AFDALLQGALDKESEENVRCLTASSDWVEDQNFSKLHKITCGILPLDLEKEILDDVAQ VDMTDAMGRTPLLWAAARGDHTSVKILLSHNADPNIVDTYLAPPVSYAADRGHTLCVR LLLEAGALAEPKLPPGVKLGSPLNCAARNARDPVLLKYLLTYGADVDSTGVDGNTALI HAARLDNVSFAILLLDNNANVNAISITAATPLTTAITYNSHRVLQLLLDRWDEYTECP RLKGPHLLQITALYADLETVKILTATDHVKLNYDDNFTLGDFAKRSANRLDVTDELIE AFDDLLLVCKQKPPGKQSTENLMEAGLLSRPPSKLAVLYETEKEAADVYGLENPVPRL YSDDSDEELVYEDAVEGSDGLDLNAQDLDEAAACCPLGFT LY89DRAFT_773861 MLYPESMFSLGFSVLDTEEPSYIVRLPLLEDTTNIPWIFSTQDP SNAKLAFELFRTNPDANNGREVIGCGMALLASLKQAFGMKRESLHRHFTIPIVSNTTS EYLGAVTFSFIVSHPLALPDTPPISISDLWSENCPTKVVGHRGQGQNMLAAKNLQVGE NTIQSFLSAVQLGTSYVELDHMPVIYHDFLVCETGVDSPMHTLTYEQFMRISVEQSDQ SLNAPPAIVETECFKTPMRRKTRTRSLNACDNALTEAFMARVKHTFDFSTRGYQANTR GAFIHEAFITLEELLTTLPDTIAMDIEIKYPMLSEAEDDWKMDPYFIEANFFVDSILL CLAKHISTRSIFLCCFSPEICILLSLKQARWPIVFGNDSGNWQPSDIRAKNLQEGIHF AKAWNIDGLALASQPLIFAPKLVGFVKQRGLVCASYGAMNDEPEGVKIQAEAGVDILI VNKISMAAKIIATLEDSG LY89DRAFT_642471 MFSALLTLALAVAALAAPAPTSPAPPAGQVSIKSITYGGTGCPQ GSVGSFISDDKQTFTLIFDNYVASIGPGVAVTENRKNCQINLDLSYPSGFQYSVFNTV YRGYVGIDAGVTARQQSTFYFSGQSAQCSTGTNFSGPKSGDYSVTDSLPLTSVVWSPC GASTALNINSQVRLASTSTSGTGQITDDSVDGKITFVVGVQWQTC LY89DRAFT_683266 MIWTFFVAIAGVTGARTRGVWRGCSHASRNSTPRSQPRANEPFG PQVDTFSVQALLVEEESPEIEDVRYVGSYNWLDDKAPVILVPGSPPAWTPLAEDVKLD RDSVPVYRDINAARYPKCPMEPAVRSVLEMQSHSELPSVDVFGCGNTLGSLLRCARSQ ATPFRFDVVFMVRRGDSPTEKITDLQGYGQNFPAAYTTWDADVRGSCSHQRVISYTLG GMRFFVRSETDGYVRTSSQTLTLSTSTASSLEAPSVVSTEDVLGSMSVDTRQLQEGSL LEVRQQGIPIAQEHIFDIKTKSRWYKEYGMEGILPRFWVNQTPNFLLAYHQAGLFSEP EVAPILDDVKLWEKKNSSHLARYHALVKRIVDVVRDSDGQQFEISWEGQGPLLITKQI AAGRLALPTDLLTLWAAL LY89DRAFT_667379 MPTPGTDELRTSRLSKFFNDVIYGRKTVTTAHHGRLFIEALCLQ PDPAACVSEILSGGSGLNAIQVSLRFDNSVSFLNSHGSMLLQYLQEESLRTIGSGSVL SKVLCNVVEPPFFWDAYTKAFREGALDIDAFKSYAWLLFQLISLPGDWASLPPQLARM PDVLDMILTCSDGNVRNIGHKIKHTLPQDPTKNLVGADAQPGGRHDNDHVDYRKISIM PTADELLSQERAFFRTAEFLEDPENILSRSTMHVDNQFRLLREDMVGVIQDELKILGG LKHGHHKGMVIDKLRVRGIQANTGEKFIQPWGLRLEWDELLPQLRKLAPGKMTDYLKN DQHILRHGNMCCIMIDDEIAAFPTISRDVHQLVKQPSSIVVQFTDDSTLSSTLFKAIG ASNIKLIQLDSAIFAFEPFLRRLQAIADLPLEEEVVTWQSGDAIATSPLKPSRILKGL EASAGKDVGRLLGIAKKVILDESQVASLCSCLSQRVSLVQGPPGTGKTFLGALAGKVL FDATDAVSLVMCFTNHALDQFLEDLMKVGIPDTDIVRLGSKGTHRTNPLRLRFQPPGK LTPTQWAETDRLKLRLAQHEKRLREAFKTYESANITKKQIMEYLEFASEDLPFFEAFA VPDESEDNMTRVGKRGKKVDSFYLLDRWIRNQTDEGIFKNKVQVEGSLPIWAMISTTR DFCMKRWRQAILSDIIHSIYESGRAFNSDQAELSRILAERDADVIKRKRIIGCTTNGA ASHFSAIQAASPGIILVEEAGEILESHILTSLGPRTQQLILIGDHKLLRPKCSYPLSV VQGDGFDLNRSLFERLILRGFPHVTLTHQHRMRQEISCMVRELTYPDLRDATTTQSRP DFRGFTDNVMFVNHASLEVEVKKSKELRDGGTPSKQNEFEAQMILKCVRYLAQQGYGS KKMVVLTLYLGQLRLLRDKLAGDNDPILNDLDKFDLVKAGLLGDTNSRNSKPQLRLST IARDALIMVGNAETFMHAKKGKEQWRKAFQLLEENGHIYNGFPIKCEKHPDRKATLSF PEDFELQCPDGGCIEPCGILLSCGKHKCPSSCHQIVDHSKMKCLALEQKQCHKGHKST WRCHEHEPKSCRACEKERKEVERRAQEAGEEQIRQDEETRKHQAAVAKLDEDIRKLVQ SVKDERIRNERIAIIAQKKQDLINRLANQFDFGVIFEVVLRLFGVVSRAGRQKKTL LY89DRAFT_773875 MVNKNERPDVSTGQGGNYTIATDPPKVWVPLEFDDNISKAHQFV EDVKEKLDNVSALEDPGLTVIDNNSSEQDVIKLFNLFIMYPVEIALLQIELPEHFKLK IRCEVHPDKGRAVRVDIILEACSTSSSLGIKTLAIMEMKNFGVITDEMGRIMDCCSFL GCLSSQDVMCFLGSIFRIDEQAGQPVSI LY89DRAFT_695964 MSPSSRRWSPPPEPEKPQVPYEKGHRFTACRVVAPPPFLGRYAE VPTGLFADFLSDARFPSEDFLRTTTLVDYCLSASSRPLPCESLKETATFEVLDELAVG DGLGRGGDSQVFTCIRHSDKEELVAKVYDPLYYPFADDEAEHDFALESAAYVQLDEKL GGSLIPKFYGSWAPDLPLKHQNRSVFFTLLENIRGTPLSVLDPDLYTQKDRLNVLALS MEADLKLRFEGVVHNDIAPRNIICSGKDLLAENLRLGIIDFNVAIVSPLLGVDAPCES ESLPESPVEWFWGSRPVGMREWVPVEWKGHGWHRWLKERWGGSLKYKPVPKHFYEKE LY89DRAFT_716937 MHHQRPVPKRTRKIHAPKVRTGCITCKIRRVKCDETKPACLRCI KWGGDCDGYASKPSQPPPDAIKKRLILPAAITEPLCRSPANSPFTNDLEYQAFQEFSQ HTAKHLTGFRDSDIWSKVVLQASETDSCIRHAVTAIGALNFKDWVRKDNEKARLEFAY REYDRAIVGLRNAGPQADIRTKLISCILFACFESYHGNSETATAQVFAGIELMEQYSR QRLRPPSTTTEYVQQRGGPNSKSMPPLDKEIVETFMLFEIQSSSYSDGRTTDKHRERM KRFGNTVQKIPKEFTTLKQASSMLSRILLWGIHVRFTQDGTDFTPPSAASSEQLPPLL GLRRCTTVYAELAKAMNKYKQWESAFEPLRTKARRAPGSKLFNGVALLQLHCLSSYIW LAAGSPSRAMYYRKYTRYLREIVDLAKILNAQQTEGSFSLDFRTVLPLMIVSANYRHI AIRREVIEIFSSRPRREGLWDSALLGRIMGWVADIEEESVDLRGEEYVPEERVAEIVD IKQDAGTRSAFVTCRQGPEDGEGIMHETTLYW LY89DRAFT_731825 MARTKQCARKNPGDWAYRPGWNCYEKRPHQPTTTSGPSSTQTEA LIPSNPFSASNSTIPIPDLSRFRTLPFEIRTSIWEAFACLQRIVTIHAITDRGLRAPI PPILHVCAESRAVGSKHYTLSFGFRGSTDFNDVSERWDDHPALEAKVYFNFERDVLYF GREWNEGIVGQWSCANHLKRLVNGEDMKKVERIGFDVDVSVCCQLAGRNHGPWLVPWT GLKKVYLGLRDPLPAAESLSYLRSSKPDWKREGLLEALGPDLYEEFVKRYRGVGIWLE VPEELSGEEAVEFIRTRLGRVYGTQHGAFHVQHGEEDFHDMFTTALVVRK LY89DRAFT_613075 MLQRDLKSFPPPGTKSFFRNKRDEEFASRQRNFDKLPKPEQQEN EVWVQDYMKRSGPCPQNFKWERRGKGLHCTGGNHYVTDDLIAEGKGGMMFV LY89DRAFT_642478 MRRKALLIGINYYGTKHQLNGCINDALNVQRYLVEDRGFNPAPH DMVVMTDDPKNRGTPFEPTGKNMMEAFKWLVTGNNPGDSVWLSYSGHGAQVKDPDGDR ESGFDDTICPLDFQTNGQIDSDTLHRVLVSPMIPQARLTVLFDCCHSGSAIELPYVYR PNSQGEVNLVDNVKKGINLASQAYQLMQGGFNARKIQDAKMLIGGAKSLLASFQHREQ EHNEDGLGEEKFMEDWKHEGKDVWMFSGCADNQTSADTSIAGAATGAMSHAFISTMRQ SPNQSYVQVLQSTRAGLAGKYQQIPQLSCGGEYNLNQPVSF LY89DRAFT_581060 MSSEGPPDPIENLTPDEANRIIHSHRKVRYGTACWPCRQRKVKC DNKQPCENCVKRDHANLCSYNPKQNASKSSSSTVTGTKRGRSPGSESSLRKEDDRWPR TNDEEDPNESRYLGQNSIAAFLSEETRAGEPAVDGEQDVIRKDIMPILGLQISSAPYP FMSKEHMDRIRLDIAAALPTDREVLKSFQIYKQIVQPFWGLLIDIEDFETKLCIYLED RVASAKHPATGSKGVSSAWLGMLFAVLAVSTNYTELPYHKRVATSQAFVQISFHCLRL SNFLIRPSLESLQALLILGFVLANDMKAEASWALIGLTCRLAQALGLHRGPHEYARAP TPAETDLPRRKLWWTIVWQDSLLSLSFDRSPIAIMTRCQLPLGPTALTEGFTYTEAMY HLCQKILQSVNNDTSSQPEFDQIIADSIEVENIRSRVRPELRIKEACKTVQDRLQHCA IILHTSFVVSVLCRPALRRGESPGMNSTQKQILADKCKENLAETVRMYLKMHSLSVIP TRSWAFTYHGLSSAVLLGILGETKTDPEVRTLQGNLISALSTAASKEQTSPSLPKSDR DIELSGPLSRALVALKNIYDHGWVVERPKGGVPGNTGAPIDGSMALEQDPTTFEQQNA AMAMASMQNGIMPPLDYTQQMMAPVDLSNPQVDQTLNMSPMDLFDSIFWDPYPTNGLD QLGGFDYTQQLYPQY LY89DRAFT_642482 MDAEKGIQEKTTPVADLSPGDIDFANNDDLISNKDISSSYWGVL GKWSRKLESLGVEARGIQPVRPDERTPQSYWGLCMIWASAGLTIGTLTAGLLGPNVFN LTFNQTCAIIWGAGALGTSVSAYLAIFGKKNGLRALVNTRFIFGYYGAMIIAALNNLT NIVYGVLDCILGGQTLNTLSKGRLPTIAGMVIMGLVPWFLGTAGFKYIHYYERVAWIG PTIVFISFLAVGASHFETSMAPPTTLDTKTQTGLILSYIAVVYGSFSGWVAISADYYI YFPVKTQSWKIFLMSFLGMYVMPAFAMTCGAGLATALWTDDAWAANWSDNGSVADLLE IVLRPLGPVRYFFIFIFAWSLISNNVFNYYSISITTQIFGSKALMLPRYVYTLISCVI MVIMAIVGRNDLYTVLSDLMAIIGYWCTIYWVIFVEEHLIFRVWMNRGWDLSAWNDRK RLPTGVAAIFAFLVGCMGAILGMSEAWYTGVVGKMIGSEGGDLGSELGFLFAGVAYPV LRWVELKFVDR LY89DRAFT_731831 MSGSMQPKIQFINLSSAATGKTKETTTAARRQARSHSARETHAR ARRLRAMNYQLQTAKQGVRESLVNDGDKSYTTTSVGRSAESDAKILSDPVSLLAPDRR DPFSSFVKPFTPIENFLLDYYVRIIIPETMENCIFFKGIENGFHSMMSDWLQLALTDI GFLSSIFLSACRHLCLYQDQEQKYRLLAIQYKLVSLHKLGEAVLRGPSSVNSSTIANA LALALEEAVLGNIITFRNHVLGATKMVELNDGAKTFGLSSFLRSLVQSFIANKEFLGT APGPDEIPTGTLEHHDQGNSVGRPRSCGGLAERQLEG LY89DRAFT_683277 MTELKTTIPKGSLVLVTGATSYVASHIIKQFLERGYKVRSTVRN ISDASWVATEAFKSYADTGDFELVEVADFGAEHAFDGAVKRVSAIVHVATVIGFSPDP NEVIPLTVAVATSIMLAALKEPSVKQFVYTSSCAAAAYPESEKIHVDQDSWNEKAVEL AWTPPPYGPFQGFVVYFASKVAAEKAVWKIVEEKKPHFTTNVVSPGTVLGEPIHPNYV RSTGSWVPQLFRGETANLGLPATIVVDVKDIALVHVAAVLDPEVKNARLQVWGRHASW NDLLAILRKLRPQHQFVVDFPDAPYLGLSTDETKVIALLKKWGNQDGWRPLRETLAEN IIFEKD LY89DRAFT_706176 MASACPKETLKRRIMRRSRFGCRNCKLRKLKCDEGKPQCKRCSS FGILCNFKSNVPDLQSVADDSVRSLVVPDRVALQPPPTSAIWTSDASTSYQLNAKCQD FVTRYLGRSIITPDDPSMREVNGKLLSLAFTHPFLMHASLAVALAYDRHLNSPSSSCR TEEECYHWYQSTVLFNKRLREPIETKDKDPIWGTAAALAVLTFSTPESDTPEESWPLK PSGPSDLEWLRMSKGKMSLWRIADPLRPESLFRVMAPTYAQMNSPLPEQGIDGITKDL ASVCLLDNSSTAENNPYFNAAHALSQILDLPDSQLTTGHTELFTGTIRGPFKSLLLEK DPVALLLLYLWYRKAGRSMWWIGARARVECPAICSYLRQHHEGYHAAHAFSPGGASGD R LY89DRAFT_706177 MTSPFSLPAVLSPPMTGHEAVVDAMYRCVMAFDTNDKDLFDSSF MPDGVFEVNGRSMTGLPEIHATGLALIFSVDTTHMVSNVRVHMKVAALSAEQHEASLT ATVLSQHFVAGKGMEPAQNDLMGGSLYRGDLIRDTDGLWKFKHLLIKSIWVQGDYSII GGNFSEMGK LY89DRAFT_545211 SVKSVYTNPKDEKMASRQPMIEDMHGPEKKEQEEWAAKTLRLTG ACPDAFSWRRVKGGYHCKGEHHFVTDDLMAENKGGVYLIGGDLETERWGPYY LY89DRAFT_490473 MPSQSCSRLLGTLLAVASLHHIALAAPTGSSTAPVATNLLGFSP SNTVKNEDTDNIQYALAAGQTDTGTIGAFLDFNNVENPQPIRGTKGGTDPGPRTEAYD LLNPDKLAPPGSDHGNVNNAQWPMGLSHMKLGLGRAGWSRQQNIDNIPTATEMAGVDM RLEEGAYRELHWHKAAEWSYVLNGSVRVEAVNEDGQTFVDDLNAGDVWFFPPGVPHSL QGLKGGVEFLLVFDDGSFSEDNTFLASELFATQPREVLSKNFGGLPLSAWANIPPGEL FIFPGTDAPADISEQNIVGSAGLVPTQQSYTYHSSKATPNHVTAGGTVTIIDPLVFPI ASEFSAAIVTVKPGAIREIHWHTSSDEWNFFIAGSARIGIYAAQGNARTFDYHAGDTG YIPKSMTHYVENVGQDDVIFIEVLQADHFSDISVGQWVGLLPPQIVIDTLNLTNETVS QFKKEKQYIVQGDVVA LY89DRAFT_490483 MATMSGDSTIQAENPPPKNPSRQRFKPQLSCTFCRSRKLKCDRT MPCENCVKRNLASSCTYVHAALVRDKAQVQKTNQAPKDVQSQVRRLEELVISLMNKTN QGELPLGVPDHVTPDHSSSSSDQVADSIEDDEGGSNVPNRQMKNTALSFGRITIGEDD RANYVGSSHWTAILDNIAGLKDQLDITDDPREETDTVPEVPGPDLLVGSVKAASRAEI MASLPPKAISDRLIDRYFQTADMGSSMLHGPTFMKEYEKFWEDPKSFPIMYIGQLYGI FTLSVFYEVITKSPSSLAGMPLQDPSDAVAIYREKTIQCLVLGNYTDPGPYTVETLWL HYISAQFRSPDALFGGWMIFSLVIRTAMRLGYHRDASHYPNLSEFKGEMQRRLWATIV HLDLQTSLQVGLPRMIREGMYDTEAPRNLMDEDFSEMSTVLPLPRPDHELTAVGCANI KHRLTKVLGMIVDQANWTCPISYEMVMKLDKQLHDAHRRTPPSLRADSINDLLNGSPV QRFRKATLDLIFQKSRCILHRRFFFVSKTTGEYPYPYSMKSCIDAAMRILQMQLLMHE ECRPGRTLYEHRWRTSSLMSQDFLLAGMLICLHIGHGITNSPSKQGEPQSNGIRLRWS RAEMLQALQASCKVWEDAAPTSKEAAKAVKTLKAMLAKVAGSQQPSPAVDAKSESPSR GWANFAASLSTGSDPFTGSTSDLQTDAGEPVLSSSWVPVTSPHLNASLEAGSGSQPSF DNNIGNLGLETMDWSSLQYQSWRCPD LY89DRAFT_490469 MTDEIRRRAACDRCHSQKIRCPRQPGQVACSRCVKSGSRCNFSP FRQKKNPNDDGSPGGSVPEDRVLTSPRDATRPLSTYAAPKRRRVDLEPGASEDQVTTN ASQSYEPFNVDDMGLDWMQDSYPTSQDVVDPTLSHIFPLPEGTGSQGIFSLMDYDPNP IVQLRKELNLTRSLHKTSHLLPNPESRAQIIPIAPNMWIPGNPVIETASVGDCVQKLS QLSVDLYQHSLTLPPMSIHDPENADEDGAMESGLKDYSQFILEETFRLTQGLIDIYPT FLCTFLNHVPSQGSTPPFWMDTSPSESSSASPEDSGEPVIPQQPRPPLDHSSILLILS CHTRIIEIYETLFKHMDVCMRQKGIPLNSRQSNMKVPTLSIGTYTPPPSSAIPMQMLL LIQFASQLFNYAEDLALEIEGHDGGRKPVASEDTTLALTRAAAANVKDRASHMAQEIG TMRGLMLRAGILA LY89DRAFT_731841 MSSASGSSSTSSEGTSAPTQVAHNPMEALQSSLASGKFSDLTIT HGNQSWSAHRVVVCSQSSVLESMIMAAESPNILRLDKYDYEAVSMMMEYLYGSNYTTQ DSAPHFSLPLHISIFNLAVDLSIPGLKSLAVLKFRYNLNNYVNEPTIFFAAVRSIYET TTRENPELRLATLDAGISELRNMLNGPHKPGFFRLTQEVPDFQAEIYLLMLENPTRPM EVMAPELCQECGPREEGDGYEVTTECKGCGEERTLAFY LY89DRAFT_683281 MTLPTTKNQIVLISGVNGYIASVTAKAFLEAGYSVRGTSRSKSS SEGLLKALPQYAEAGRLQIVEVKDITIDGAFDEAVKGVHAIAHMASPVSFHFTDPDPI IKAALHGTNSILASALKHGSPTLQHVVITSSIAAIISTKEPPYVYTEKDWNDESEAEI ARLGKQTPGSHIYRGSKAVAEKAFWKFRDENQPGFTMTSINPAFVYGPPAVLPEGPEK IAETVKPVYDILAGKPLPPPMGGSGAFVDVRDVAALMVLAVEKGKEMNGERYIAAAGI GGMQQIADILNEGYPQRKGKIAVGEPGKGYEPGFGFPKEGSRIDSAKGKGILGREWIG YKECVLDAAKEFERYL LY89DRAFT_581570 MAPLPLRRPEWLKSIVARLPPQLRFVPFPAVRIISLLILVNLAI WAIVAIVLRSHASLSSTALLSYTLGLRHALDADHISAIDLMTRRLVATGSKPVTVGTW FSLGHSTIVIITCIVVAATSGALEKRFDGFRNIGGIIGTAVSAGVLILLGIGNAWILV KLVQRLKVVLREEVDGDREAANEGAVAGLDLEGGGIMVRLLKKVFKFIDRPWKMYPLG VLFGLGFDTSSEVALLGIASIQGAQGTSIWLILIFPALFTAGMCLLDTTDGALMMTLY TSTSLARDTIAILYYSIVLSAITVMVAITIGTIQLLSLGANYSSGRFWDGVNNAEDHF DIIGGSICAAFVVFGAASVVFYKPWRRRVDRGRRTHIVETIELEVEAQDSDKKEGEKD AANVASREWE LY89DRAFT_490577 MQLLAVLRFCSLAIAVTAIDCSGINGIKPQCFSAETAYKRDVFW VGGKYVNAAIGLLTYDQIYVEKLTPNQGVKKPYPVVLFHGGGISGATWLNTPDNRKGF TSMFLDQGYLIYIIDQSSVGRGTQEDLVGYPLRIGSTSNITEVGFTNPQAADAYPQSQ LHTQWPGAGVRGDPVFDAFESGFIPLTSNNTRQEISMRASGCELLNLIGPSFLVSHSI GAVHPILLSDECPSLVAGNVNLEPGNIPFESYVGNATSSVGRTAARPFGLTVTNLNYD PPISNYTDLITETVGEDTPASRSCIQQSNSTSNYTIHNLPNVAKVPYVAFTGEASPHA TYDHCVIQYLNQIGVKTDWVKLADVGVHRNGHFGYLELNNVAYFKVVEKWISKRANVL GGNEWNDWKE LY89DRAFT_581002 MATSSSTSTFGPIPTGTVSAHIGSPPNTTDDYYIAKYLLMVFGK TTDPALGAFLPPARPAQYVYETRGPRIILSMSIAIAVMIIVTGLRLGVRVFRRGLLVG WDDVFIIPGVLLAITWPTLQICAVTYGGAGRHMYDVTYEEYGHFKRFSNLSKPMFFLA VGFIKVSICLFNRRLTSLTSRAWTVFNNVFLFLLFCYLMLSLFWTLFQCDPSYAGWDP IRIGREGKSFHCISDNIVGSTLSVIHVIMDFGLLSVPLIVLWKVRMGWGTKARLYFVF SIGAMSCVGSFMRQIEQKRLTFYDILWNFVALENWTLIDLCFGVVAASLPILSAFIPQ SWKSARGTTDPTSARYGSSGPRNLNRRSEPYIRSTRKTSISGKREACDSTENIVRTDV IELSFQNKSQFFDKSSGDGQIDSDTSTLEHRPYIKGQDFGSNGTYHANERKIWIGQAQ LY89DRAFT_642512 MTHIQNIAIMGATGNLGSLVVKHLLQSHKKFNITAITRSDSTST LPDHPSLIVKKGDYSSPEFLASAFAGQEAVIFTLYHTAVPEQEVKYIEAAAAAGVKWI LPVEFGGDNAHPHMSTFVPVHAKKTAPRKRIEELSKTHEGLKWIGVVTNPWFDFAMER SMWGIDIKNRTANLMTGNTGRFNTTNLDTVALATARIFNLPIQDDHGPSLDIFGNRFV YVSSFHVTQQEIFSAVQRVTGTTDADWKITRTDLQTWIDEGAEKLSKGQFMGMVNLLM GTTMQEGGGGDFESKRGPTNKILCLPEEDLDESVSKIVDKVMA LY89DRAFT_581216 MQSFIATICFLLIVAAPASTQTQGISTALLDTFIHYASICMATY DGDLCLSPGGLTKVTDITNSETDVHGWILRDDAAQEIVVAFRGTESVQNYESDMNYTL ADFDTMPSCTNCQVHGGYYLLWESVVDDVQSLLESQTSQYPDYGVVITGHSLGGSLAA LAAAQFSSTYENMTVYTMGEPRTGNAAFASYIDTHFQTNSSETTRFFRCTHANDGVPN LPPLDLGYVHHGLEYWNTDPTGTNTSYICGAETTECCGGQNGTGINAAHLVYWGKPLV IGGQCL LY89DRAFT_683288 MFARNVAILSLTACVLADPIPAPQVTTASFPTGDPALSSELASL SSLDAALSGMPTLPASVNSYLVSAGSVYAASFTDACAIPTTTPGYLATAPAYVTSALT SYKIALSSWDAAHSTSYSVDTSITGTYSSYTYSYTPYTPTGTCGGVSTTPKSTGNGKT TGTSATGAATKSSDSESSSTSSTTGTAASSKGSSAAAPLNGGVSLSFAGLFGVLGLMA AL LY89DRAFT_706183 MTTIIISIVYAALALPFLANAQLSGTVGPLTTRAEKAATKVCNI LDYGGVASATTDNSAAITSAWAACVDGGEVYIPSGKYGLGTWITLSGGSAISINLEGI IYRTGTASGNMIYIENTKDFEFYSGTSAGAIQGYGYEFATGECYTPRILRLYEVTDFS VHDFVLVDSPQYHLTFDTCTNGEVYNMVIRGGNEGGLDGIDVWGTNIWVHDVEVTNKD ECVTVKSPASYIMVENIFCNWSGGCAIGSLGADTAIFNVEYNHIYSQNCNQMFMIKSN GGSGSVYNCAFNNFMGHTNAYTLDVNAYWTDETLAAGDGVEYYDLSFEHWHGTCADGE NRAPIQVLCPSEVPCYDITIDAFYIWTESGDEELYKCENAYGSGPCLNDGTSYSTYTT TYTVTTMSDYTYTTMAGELSSGLGITASIAIPTIPASFFPSITPYSTLLG LY89DRAFT_780394 MAEANTYYRTAVWDGVELDDLPPPVFVPFANPIREARPPITLPY TEEQLEYMAREFHDLGPGWKGTKYLGEGTAQLAVFEHAETGRSLVVKELSEINENPGK LDLKGEGDFSTILSVRGSAHIIGLEASSSPIMASDEGLGPEWDGVTRRLYLETGPLGS AQQLLNKRIDEQKFFSEATLWLFFDCLIDGCTAMEYAGGEFLEDMVNGTIVSPISDEE REDWVPVVHFDIKPDNIFLGNKSEPDHPYTPVFKMGDFGISRQMPRGRSGQLYADQCE KMRTYGTNPYYAPEQFTAGWDYKGYENDPTVGNYDWRTNVWGIGCVMWQLAMLARRPP KASEPFLPERMLNGAVPKGNTYGKNLDAPEYAYSKTLKDTIFECLYSNRTHRPELIRL KDIAIDGYLAAIEVAPIDNWADFLVPEPRDVTLYACTCRAVLQRGPRRGQACGKKAVP PKQEQRAIDAMLPRCKAHPIDRFPNAI LY89DRAFT_581524 MPLTVTNEAPAAVAAWLKSTATPTKSSFLVVYASLTNGRSWCGD CREAESFVNSKFASGAEEVKVAYAGQRDEWRNSDNPWRQAPFSVTNLPTLIKVTGDGA FEKLVEGDVYSQKKLDSFVGDPTKPLL LY89DRAFT_490922 MSASATQIQTAQQPQEKLKLSNSPPGRTLTAAQEAISAGGYTLP GLPTFSNFESHRQWIREHMAAAFRSMGRQGLAEGLAGHISVRDPEHSDRFWMNPLGVH FSMMKASDMVLVDYKGNVVGGNKVAVNAAGFQIHSAVHRARPDVDAACHDHGISGRAW SAFGKPLDMLCQDSCVFYDAHSVYNNFGGVVFEGEEAERLAHSVAGKNKAVILQNHGL LTVGETVDEATYLFQLMERLCEIQLKVEAAAAPGNLEKVFVKEDAAKYTFEMAQDPLT LYQEFQPTFKYELWKSKGELKG LY89DRAFT_490921 MPPSSSSKRPRPIRRAERKLSAVQPRPRTHAFTGCLTCRERHVK CDLGQPTCQNCARLKVACEGYARKYSWMPPKIPGQKRRLSGPDPIEEVEESQSSRRVL FSDEEREAMIIQMRDECCSVSSNLGLDGVLRELQDRIPGNQFLRIGPFGILPLNNESP KTESPSDDAHDVELVARIKTPELNLSLSQFSWGDIDDLQTWDALETESATALVNLRFT PDKDLCSADTPSQWLISRSTSAVHLNYQSNEQELESELHIQNLMTSNEKDTETEDVEL SLTSWQNLPSIITMSNEENMSKIPSEARLLLDYYSTKIIDLMSMSPRQKPPWKTIHLP CAMSALAELIVYGETRTFAKMALFYALLSISSFQIGLGTKHCTETGRYWLERGNYHKK KSEKYLRSALDTSMSKSSRGKYKEILMTTLSMVTIGVFSGNMQDVHIYLAESESLIRT EGLPKPVKSQKVSKLHHIFSFLKIIHESTSLRGTKQTAVSNSDPWAKNSTDNLAESND FAQSASSRLAWVQEDETEDPEDALFVSIYQLPTTLLSLLSQTSSLCKQLMSPESSTPE FARRCQLIEDRIFRWKAPANLSLKNYFSSTNSADMCSPDAAAEEIAAHLVNATYQALI VHFQRQIRNTNPRVLQHYVISAADHLLAHEQLKQSLKIATAPFPWPGFIVGCEAYDFA ARQKMDMYLKLVRYYNVGSLVEAEKVVYEVWRRQDSGQLDNHWGDVLKDWGMEVVLT LY89DRAFT_683290 MEKSEKGISPQTNSLTPSEHEGEICVVECEDERHGNGELRRNLS RRLIHIISLGSQIGSGLFIATGKALRSGGPGSLVLGYGMVCTCVWAALQTLSEMTIAF PVSGNFIDYADRFVDPALAFGAGFAEWLGWTAVVASEATFFSVIINYWADDKVHEAVW LSIFLVFCGCIFLLSNKWWGYFEYFASVLKILALIIFILAGFAMIMGAGPTGQRHTGE TWRDYPVFLNGFKGFANSALLGIWAMGDQIFTGIMGGEAQSPRYSMAHATKLVPIRVS VTFMLSIVFISILVPETDPRLFGGSDTAASPFVIALDHAGIKGLPDFLNVVIMIGIVA IAVESIYISSRILRAMSAQGLIPKFISRVDSTGRPRWAVAITGTVAVILTYMNLSATG TTVFTWLVSITSSSFFIVWFVICITSWRFRAALKAQNDQLFTEVYAYRTWCWPLPPIW LFSGSTVLLVSCIYIGLYPIGVDTPSVYYFFEYMIGVVIVLSFTIAYKIIYKTKIRDP KTADLKSGRRTLGKEEIDMLNEYYSRSVIRRFWTYVQLW LY89DRAFT_706186 MAPSIFAVCGGLNMDIVMETDRIPYTGESMDTNSLANFPGGKGA NTAVAAYRASHLKSGSPARGVAAEGSSSSSVDDRNKQEVRVFMNVEDKTRLVETGVDV SGVLKADGEPSGTCVVMVERSSGESRNLAYQGAILGWKPRDDNSVLCLAGGEKPDIII CHLGIPAPVVGKLLVTAGKQGVDTILNPSPASTIASSGYKNLTHLVMNESEAAELTDC NVEELKDRAAWEKAAKYFIRLGVKNVVITLGARGSYYVTKEGKEGEVAAVQNVDVKDA TGAGDTFVANYTLEYVTQKKAGEWDIVKAIDRACKASAKIIQRFGAQDNKRKLSVFHW DNSTRCHAYRYTLHSR LY89DRAFT_683292 MGSVDTKKANVLLLGGGAVGAIAALNIETSGLGAVTAILRSNFK VVNEEGYTIESVDHGKFKGWKPTKVLNAVPDITKEGLPPYDYIVTVTKNCPDIPPTLE SLIAPAVTPGHTVIVMIQNGLNIEKAMFAAFPTNMVLSGVSMIDSHEGRLGEILHEEH DSLYLGAFHNPTVNDPEKEIVAAKAFIEVYNKAGKSTVEFSDNVGFARWRKLIFNAVL NPLCAILRLDDARIRLAGSAIEGLVRPAMKEVWTTARKLGYELPEDIMDKMIHCDPLD LYLKPSMQCDIEKGNYMEYEYLVGEPLREAEKAGVETPTLKVIYEICKALQWRIMEER GLVTVPPKRVL LY89DRAFT_667409 MGSIERPTLQRIPVHAPIEVFIEAIKKDGGCICTNYVSPEDIAT ANAEVKPFLDADKPWQGKLFPPETRRCNRLLWRSPTCREKFFMHPLYQALGEHFLAET YPTWYDEKCHHYTSHPLLSAALGIDVRPGAVGQRLHRDDKMYHTWHTDATSTGWTQGR DNGFGVFVPGIKTTVENGATRMLPGSHLWGDDRGADPNDPNVCYAEMDVGEAAFMLAS TFHGGSTNNSKDQNRMLYAIFMCKGTLRTEFATLIEYPPEVVKPWSKEVQARLGYKIS SPNCGMVDMRDPGFLLRDDFDPEAPNVDVDLD LY89DRAFT_683294 MPSAISRSEQISEALAAARATYGKSNPESQKINSESSLSLPGGT TRNVVHFKPFPLILESGKGSYLTDVDGHTYLDLCGEYSAAMFGHSHPVITKAMHSAIE SGFALGGVNRFEGRLGKLFCSRFPSIEKIRFSNSGTEANLTAIALVKAYTKRNTILVF KGGYHGGLATFSKDDKVTANSMNAPHNFVIAPYNDIQAFHDLVAKHKDDLACIFLELM QGSSGCLPADIEFIKATRAKAIEVGAILMFDEVMTSRMSTGGLQSLLGVTPDMTTLGK FFAGGGQNFGAFGGKNEIMSIIEPGHPGGAYHSGTYNNNVTTMAAGVAVLEQVWTKEA ADDLYKMGEWLQKELERASKEAGSTLKVTGVGSLMTLHFSKNEVRSAADVQASETNVR ELFFFDMLKKGLYLAQRGMISLMTVTTKEELETFVEAVKEFLVERREFVC LY89DRAFT_491042 MISRETLTLFSLLSGFQAYKHSFSFKQSAIQQPESSATDVPMFE NVVLEISLHVTMSALCTRSLPLYRPYELAPDRQCEDESANIVRQIVRTIKICFSKKQR FTTAYLLLLPCHRLF LY89DRAFT_581722 MAYVTWTLKDRPQSLWKFDAVWIFGTGLDGGFAGEVGNGSWREK YPSLPVNSREALGLAKSWLERCESSHKICGVRRNDWHPTRLLYVGNNTIKLKTDMTTP VRYATLSHCWGKLHITRLETTNLDQFILKIPESALCKTFLHAIVVTRFLSLEYLWIDS LCIIQNDAEDWAKEAALMADVYGQSTISIAASGAVDGSEGCFFDRDNRYFWNMPFKVS ESGKKQTLIMANTQIFERCIRHTELSKRAWVLQERLLAPRTLHFSKAQVSWECKEANA CESYPNGFPEFLDMNSALDGFAKGATPSWEKVVQLYSRCLLTFEKDKLPALMGIAKMF QEATKMKYLVGL LY89DRAFT_683296 MLQSFKTNDGVTLRYVDTGAGSEVEDEGRDWLVLIHGFTGSGTV WQRNVPAFSKEYRVIVPDLRGHGDSDKLRHGFHVCRLAMDLKEMISHLEGSASSNRAW KAIGGSLGCSILWCYASLFTTSPFSHMIFVDQSPLQDYSRYDDWDERFGNRGMNNPAA LAGLQETLATSPETAHKGTIAACLSYRSHPLPTDNVASQKSSEDEAFFLTEAMKGNPE FYGRLMADHTSLDWRESIKATFGPESGSETKVLVVASSRSGCFPAEGPMKVVEFVNGG VEKEGFARGEVVEWGGHWCYWEDHEKFDGLCLRFLGEGVGERVNL LY89DRAFT_581069 MTTNATPRNPPTTFSPPTLESTPPSRVATPPLTEDVPADTPRFA ELEGILSPVLIQTMTQDLKFDHMMPVQAATLHDLLKDRIDCLAQAKTGTGKTVAFLLP AIQTLLNKKTAGSSISLLVLSPTRELALQIQKEATKILQRLPQYKVCIAIGGTNKDTE EKKILAGCDILIATPGRLHDHLSDERILWAFRSLDTLVLDEADRLLDMGFMPQLKKIV QCLPDKAKTNRQGMLFSATIAPHVKEVAHLILNKNYKFISTIQEGELGTHERVPQHLI VVPTFSDVAAAMVGSLRAEIKLNGESTFKAIVFAPTAALVDFYGDILSKMRDMPNVSV LHSRVSQGKRTAATNAFREATKGILVATDVVARGMDFPGVTNVFQVGIPSDKESYIHR LGRTARAGAEGRGTFIISQDETFFSQWTLKEITFEKAQPDLRATDEVLKIAEKMEPEQ HAKVFQAWLGYYKNHTKGMKWDNERLVREGNAFALNGMGAPEPPGIRKTTVGKMGLKG VKGLTVIPDMPRVGRAGGGGEGRSVDSGGKGRRGGAAGGGRGGGNAGF LY89DRAFT_780406 MSGIMSTTFVIFFLSLFFDHVYSLGATDTITWGGDNSRTGYQTN HNMDPAIVGSSQFGQLFKTALPGKYGGAAEQVFSQPLVYTTPSDGIQYVYLATTQNNL YKINAKTGAIVLSRNLAIPFLTSDLNGCVDINPHVGVTATGVIDPDTDTLYLTSKTYS DQRQINVAQGKPSGRYFLHAINVNDLSERPNFPVNLEGVVARNNPIRSFNGGIHHQRP ALLHTGRYIYAGFASHCVQYNFTGWIMGWDKTTGAQVERWATEGGGVPNTTPGAGVWM SGGGLASDDAGSLFFASGNGYASQLSTIPVNGRNPPTSLEEAAVHMTINDDGSLTIVD FFMPWEKTQLDGADRDLGTSPLELLPKEFSCGDIQRIGVVTGKSGKTYWLNLDDLGGY QNGPNKLDDIIQVYQNENSVYAGAGVYPLEGGYIYINVIQYPTHVFKFSCTNGVPSFT KVADSPTKNAYVLGVGHGTTTSLNGQPGTGLVWTSDVDGQNLRVYNAVPVNGLMVQIA GFNIPGTTKFTRPVFGDGRVYMGTTQGYFYGFGSPVNLPLNCTSPVDFGTSNLNAATT LKTITCKANIGVTVTNFNLTGNANFNITNVPTTPLNVAAGGTFSFQAYFNPGTVGPLS SDIVLTTTNNVAGYSTSIPITLRGTGQSVSALLQVSPVTLAFQGVITGAQVGGVNQSV LFNNLGNAPLTISDIKYSVVSETGPFVTANMTAAGPKAGAFTFIGLPTTIPGNTGVTV RVNFDTSTSGNFGTYLNVVSNGGTKVFDVVGTSGSAPSALLEFQTPDGTGWVQYKPGQ NFTFGNVTENTTRSLKMRLTNNATSDSARLSLTVSKPPFGVSGIIGANNQVDLAEGTT LAPGENATATLYCSVPKTQWNTQPYSGTAQWTMNVDDPNFGKQFIQFSCNAVAEQAAP LLTNGLGKYQYTGCFKENNPGRQLKTQIYGDAANTIAKCIAACAAGNYDFCGTQYNRE CWGGPTIPVQQVSELDCNYPCSGDINQICGGNGVGSDAVGSYISLFADSTRFSGNVTS TTPSGPFVNPGVDGYTSMGCYKEATTGRALTQEFDLATPTVKNCVDTCSGKGFTYAGL EYGGECWCGNTLAASSVSAAASSCSMPCNGNGTEYCGAGGYLNLYMKGAGSTSSSSSI LSSSSQTSTGTTSLTSSLPSSLTPSLTLTGTSSSGTVTGTSSSSTPTATGPAIKQNVG SYAYKGCWTEATTGRALGSLTYANDAMTLESCAAFCSKYTMFGVEYGRECYCGNTLAA GSVAATNQADCSFKCPGDATEYCGAGNRLQLYTLASPLSSSSFTSISSISSVSSSLSS SQSTSPQLISSLSSSVPSSSISSSSVSSSVVISSLSSSVSSTSSSSSAGPTQTLALKP TVGAYTRMGCYTEGTNARALTGAAIYDYKGMTLESCAGNCTAFQYWGVEYGGECYCGN SLGTGSVLATKQTDCSFTCPGNKFEYCGAGNRLEMYKLTAAQSSISSVISSTSSVLSS QSSSQSQTSSQTQTSSGSITAQSSVTSTPLSSQQSSSSSSVPPTTSSSQSSTQSTSSS TLTLSSSSSASSQPATYTGPPVTSNGNTNFTYYSCVSEPSSGRLLSSQDENNGTSMTI SKCLSDCSQYKYAGVEYGRECWCGNTLDFAGNSGATPGKNVTDKECNYVCPGNSSEFC GAASKLSLFYFDFRKVARDGGV LY89DRAFT_560316 STSVLRLLLAKGANVHSVGTSGETPLHMASIHGRTNTISLLIKN GANIHTLDNVGKPPLHIAAETGLEALEAMAALLEHGASVHTVSSAGRTPLHKAASIGE PEIVAFLLKRGANVHVADHSGWTPL LY89DRAFT_555156 HTPLEVASGNGHLQIVQLLDSDDAMGFNSLTKKEGTTPLQSASK NGHEDVVRLLLDAKAEPNLVKNGNLSPLEAATKGNHESIVRLLLE LY89DRAFT_560229 GSYGTALQAAAVSGSVDAVQILLQAGALVNAQLGMYDTALQAAC RRAHWQIIKTLLENGANVNAQGGPYNSALQAAARTGSTKIMRFLLDRGADVNAQGGEY CTALQAASGEGFHPVVQMLLESGAEVNHQGGKYGTALQAASGA LY89DRAFT_491450 MMSNTDPVDPGTAAGPSPATEQKSGDDLWSEAVKLLRPGDEEQL RLEKADKLPVLEEVLAAAIKKRERCKDRQWRIKKRDGTVIVLRDVFDKIASWLKKLES IGDCVAQLDSVHLALPWSVIKFFLQLSTGDNEKLGSVYDQLEKITNLIGRYGIFEELY LEESSKASNLLKKAIVKLYASVLTFLAEAKRYYAQDTAKRMAKNILQISDWDVDKLAD NIQSEQACVDEIARLIDAESLRNASQGIKRLSKGAREHKEELRKALGDLGIDHINDQL TVLTKDLASTKKRELLDWISKIPYSEHHESVRKGRLKGSGIWLFEQTQYEEWRKSTSS SLLWIHGIPGSGKSNLASLVIDTLRENRMNPQAEPLAYVYCARNANEPERADPSPIVR CLLRQLACPKPKMDIQDVVLRKHQELEEEGFEGVNPRDLSLIESKELILDLLESHRAT IIIDAVDECIPGSRYQLLSTIKDLLQNASCPVKILPYC LY89DRAFT_683300 MATEKTPNNKWIDPIKWNTAAAQYDNAVGRSSGIAAARLITLAN EMHPLNAPSVRAIDLGAGTGSLTHLLAAQYPGLNILATDISPGMLEQLMSITHDQTKV TAQVVDMAAPIGGAATESSFSHVFSTMAIQALPDPAGEGTLAQWARLLLPDGIVAIGL WDFDENCGPHALWEEAATAVDPGYTNPPLLPPRHWYGRAELEQGLKTAGFRDVKSEVM HIGFDVGKEGFLRFFWESGNPMARDRQASFNGDLKKVREEMERLLDEKYDKGAKIPLS AALAVGRKPLAG LY89DRAFT_695986 MPMAETSLSPEREDNTTVPDLKTQTQDGRYPSEVLEDEVWDGPD DPDNPLNWSPSQKWSIILLISTITLVFSSSSSIFGPALPEFLREFDSKSDTIATLTIS EYAAGYILGPLVIAPLSELYGRLPVVHASNLVFVVFTVACAVSSDIPMFITFRLGQAF SVYGTGTLGPSFIADLMPIERRGLAMTIFAVGPTLGPSISPTIGGILALKAGWRWIFW FIAIFAGILTILTAVILKETYAPILLARRHARKQNPLGTTQAPTPASVIRAAIVRPVK LLIHSPVTLILALQSSIALSYLNLILSTFALLFQTQYNFTTWQSGLTLFGLGVGFIVG QLVVGSFSDGWLKHQNSRRNEIRPEDRLPPLIIGSILIPTGYFWCGWSIEYHTHWIVP IIGSALVSTGAMFCFLPVSMYLIDAYTVFAASATAGNIMVRSIVSAILPLAAEPLYGK VGYGWGDSIFAFIALAFLPVPIFLVRYGHYLRTHPRFQVQL LY89DRAFT_731867 MRGQLSFHIHNVVLSLRANVGAAESTEIATLDPNMLNTNGLYWM LVETGLALIAVNLPLLYGTVRKNATNGSSSKISSTHRKNSGSQEYGDHIELAYGVQNN SDTKATSAQPLDPIDVEASNIKITKSYGVDWSCEQVCNGQCMSLLRIALKRHKEFGGF KLFRYKLIHSNYVTM LY89DRAFT_731868 MVNQEAFDDAVKSLPAHKDWSKETSINTLLDYVRETKCTEFVDH MLRISIIKHERKVLLDNLQEKLLDKALDHSTKKALFEHVVARVEEYRLQRVESEVKYR AYRVADQREDDDEIFSYLIQVRLDMGFAKPVPKTEIEADRKGASAKVAEYKELSDSDA EPDNDVYDDGSDSSFGA LY89DRAFT_773920 MLSTLALIATGIILIYGLLHLLLYYTHDDREPPPVSTTFPFVGA MLGLMRKKSKYYIEIRNKYDLPIYTLRLPGTRLYVVNSVSLIASVQRQFKVLAFLPLE AKLSMGVCGSSETANEILNTNTNGDEGDWGYIMTFYKTIRAPLAPGSELDAMNRVMAQ KVSASIDQIKGQQVVQLFEKFQEGIIILIVGLYPSILAKECIRARELMTEAFTQYFED EGHNLGSGLVKSRFAHSTEHKIPLKDIARFEVGNTIGLLTNTAPSAFWMVYHLYSDPN VLEDCRQELSKVISSVTTTLKDGETTKVHTIDMSEVKGACPILLSTLQEVFRIHSIGI STRMVMEDHMLDNKYLLKKGNTVIIPGPVQHTSTSAWGSDASMIDHRRFLPKNKRHNP VAFRAFGGGTTLCPGRHFASTEILAFAAMLILRFDITPTGGQWVHLTSDKAALWETTP VPDNDIEVKISPRAGEDINAQRRILVSSSDKAMPLSAEDM LY89DRAFT_773926 MKASPIAPTLSSPTLVPNSSKTWRFYLILFSLSFICFVAALDGS IIATALPKIANELSATNNYVWIANSFLVAQTVIQPLCAQLCNIFGRRMPMLISISVFA LGSGIAGRTVQGLGSGGIMMLVELIICDLVPLRERGKYLDMVLSSSAVAAILGPVVGG ALSLANWRWIFYLNIPISGITLAVMSIFLRLRHEREPTWMRALSRVDWVGNIIFVASL CSLLIGLVFGGLVYAWSSWKVILPIVVGVLGWIGFHIYEWRPPAFCKEVSIPPRIFAT RTSAAGFYIDFISSVLLQWALKGAFSLRAGIDFIPYEAFLIVTAAVAGWILSKFGHYR PLHLLGFCLSILGPGLNISLSSSTPKAVWVVFQMIDAIGRALLLPTVLPAIMASLPDS DVAVATGMYSFLRSFGFVWGITIPGIIFNSQFDRYLKNVSDATVRQQLGSGRAYQLVS SDYIRSLDPIVQQEVISVYRRALRPVWIGAVAFGFTGLITVFVEKHIALRTELDTEYG IEGEISGKDEEKLADKPEVRGGGK LY89DRAFT_667422 MKLPQSLAVLLAVSAVSGSPAPLKKRHYTFPAIDSTSDWQYVRQ TTNYQSNAGLADVNSDQLRCYQAGTQGAKSTMAVAAGGSVTFKSSPNIFHPGPIQFYM AKVPDGQDVTTWDGKGEVWFKIYAEKAISSGGQLSWASLNKGSFSATIPKNLQSGDYL LRIEHIALHQASSTGGAQFYISCAQVKVTGGGSGTPSPLVSFPGVYKASDPGIKINIY SAAGTYTPPGPPVWQG LY89DRAFT_773934 MTYISPAEEVAAGLWTLFAGTTVFLGLRIYCKFVRRAGLWYDDQ TLILSWLVLMSTDILISIEFATGYVTGHWDDRMHILINTSSCGTLVGQALSKIALGIT LLRMADRKQAAILWFCVGSMNVYMLVKVFFQWAKYCGKDDYQNWYRLQGPCVGYVFEE RLKVGGNIYNIVMDFVFAMFPWWITWKLDMRRIEKIALCGAMSLGMLVAVSSAVRTAW VSRPIMHVHDEHYIWRNGMSNIWFSAEVAFTIIVQCIPILRPFLREIRTTITSKHLSE DAKASTWTQSSDPEVIALSDIPETRKESVSSIVITEPVVPEDWRISGSDVLSPHSVSW VEFDDEHEEKGLRPPPRRS LY89DRAFT_780409 MPKRKSEPTAVEAPPPSKKARKSEPLPIEKLMLLTPDSMQNFPQ EQLISFITSLQHAYRELESAQLTTSAKIPKVPAAVDDPAKIAKKAGKIADMMADGIKK QMKWQPSCKTSGKRWIYECMVPSEAVFLSLFRLEEEKKA LY89DRAFT_667425 MAQSRPPPVRTHATTASPPPLSPRTSEKKHHSRRKSGKDYVVTE KKPSRPAPLSRKTTPQFITKVPASSSRYRERDDEERGRDSGESFPQFCMTCEKQFLPA NNTFLYCSEACRLHDQPPTTLRTSSYYPSHSHSPPLTPYSRQFSSSYHSTTTPSHEDG PDIIPRFSPTQSRPRSYFSSDPYPTHQPPAPQYSTSPQTSNSSALASLRELATALPPT HQRTDPESPASSISRTGSGVWNYIPFGNGSKTAPATPGNSWSSYGGRSREDLYGYGKS QGYGAGVAVSGAGYTSSGGMGMDRPLPPRSGPGGYGHRPKSIDLVTPFGGR LY89DRAFT_667427 MNSLILLFLALPASNSQTITGIPECASCNGTDAAEATQSPIPYY IPEATISIEPTGTETVCPLSMTLDTPSFGAGSVTATQTRSDGAGSESAVVTSRASTTV GGESVTGTVIDGKTTAPQTASRTSTATGTETETETETETETETETETETETETETETG PVATFTGAGSAMTPSPWTGSFQDPIEHILRNNLISINRCLTQTTTHLTSFPTVHILPS PNRQASTSTGIPIEQASRRASKQRLEASETPPEAPDLVPRCAAAALREICRAGCGCIR CEED LY89DRAFT_491530 MTAPPSPPPLQLTSPRLTLELFSRSNPAHYALMLGVINNPIAHA TMGDYGIRTASQLDALNQATFLRSPISFPQELIYILQLHLKSDAETETETMIGAVTLA QRSSTIPPDIGWALLPAYMGKGYATEAAGELLRWVRESWGVGVCTWPDERNGGSVRVA EKLGFVDGGFVRSVDGHGEVRDEDEGRVDGGGELIRTWVLPGMRRLDEGGVVEISFWG EQGGGMRARGE LY89DRAFT_491658 MQYPSAYIALFAATAVLSIPVPLNINMGAYSPALVVGDGAIGFK GTESVTQIMNTLEGAAASGAATNGAANAAPAAAAAPAATQAGITQAGVTEQAPATNTA PEVVPQGELPQGMGKNVVTARDEEELDLEELYTEVAKRLTPEEEIEIDEEVEEEDAEK KVKRDLAGFNAALNYAAGALKTSPEVQLGTGEGGSGVGITVNPSSNTTRVG LY89DRAFT_491627 MAGARSSNRNDLLLDLGDEQPIYNDGQRAPMNDEDLLRAYNADH DATQPRPSVSYDDFVGGSGETQPSRPLPGGPGTVPGAGPYASGTSNRTYSQTSGLGNY QRYADDLDDFPDDGQSMYYHQGGAVAGDGAGMGKPRNRNSVLSMGGGLMGKAKSMLGM APEYSEMDLPLTEAGGRGRIDSTPATPKIPGKKFDVGKFKFGFGRGKPDPSTLGPRII HLNNPPANSANKYVDNHISTAKYNIATFVPKFLLEQFSKFANIFFLFTAALQQIPNIS PTNRYTTIGPLILVLLVSAGKELVEDYKRKTSDKTLNNSKARVLKGSQFEETRWVNVA VGDIVRVESEEPFPADIVLLASSEPEGLCYIETANLDGETNLKIKQAIPETCIMVSSS DLSRLGGRLRSEQPNSSLYTYEATLTVAAGGGEKELPLQPDQLLLRGATLRNTPWIHG VVVFTGHETKLMRNATATPIKRTAVERQLNILVLLLVGILVALSIISSVGDLIVRSFH ASELSYLGYSVSSSALQKVQQFWSDIFTYWVLYSALVPISLFVTIELVKYWHAILIND DLDMYYEKTDTPAVCRTSSLVEELGMVEYIFSDKTGTLTCNQMEFKQCSISGIQYAEE VPEDRRATFQDGVEIGIHDFNRLKENIKTHESSVAIHHFLALLSTCHTVIPERLEEKG GVIKYQAASPDEGALVEGAVLMGYQFTARKPRSVQITVEGKEYEYELLAVCEFNSTRK RMSTIFRCPDGRIRCYCKGADTVILERLNSDNPHVEATLQHLEEYASEGLRTLCLAMR EIPEQEFQEWWQIFDRAQTTVSGNRADELDKAAELLEHDFYLLGATAIEDRLQDGVPE TIHTLQEAGIKVWVLTGDRQETAINIGMSCKLISEDMTLLIVNEESAAMTRDNIQKKL DAIRTQGDGTIAMETLALVIDGKSLTYALEKDLEKNFLDLAVMCKAVICCRVSPLQKA LVVKLVKRHLKAILLAIGDGANDVSMIQAAHIGVGISGMEGLQAARSADVAIGQFRYL RKLLLVHGAWSYQRVSKTILYSFYKNITLYMTQFWYSFQNAFSGEVIYESWTLSFYNV FFTLLPPLAMGIFDQFVSARLLDRYPQLYQLGQKNTFFKMHSFFSWVGNGFYHSLILY ICSEGIWWLDLPQSDGKTAGHWVWGTALYTAVLATVLGKAALVTNIWTKYHVIAIPGS MIIWIVFVAVYATVAPKLGFSTEYEGVVPRLFPSPIFWLQGLVLPILCLSRDFAWKYA KRMYYPQSYHHIQEIQKYNIQDYRPRMEQFQKAIRKVRQVQRMRKQRGYAFSQADESQ TRVLQAYDTTRERGRYGEMASSRPGGR LY89DRAFT_683307 MVCAKCQKLAKSTTLATPGVKKKNEMYYGSPAGSSKSGDKTKTS ATLGNNGIGKSKLLSKTARNPYAAYSSSCTTCKTKVDQGRTYCQKCAYKANACPMCGK ANSKSTTAAPVITGQKFNLK LY89DRAFT_667431 MNTDQSKEDKLLNDMVPPPDPLHTVPRVLDDALTGKLMEHYGLS RIPEISSAFTRYFEAHEYHDRRRAVRSFIAGRSNPQPLEREQEVEDGFEERGVEKQAL ESLIPMLKAFLVEVNKDIQPYLSEDGVFELWTLVEECRIAMEDGDVWSCYGIVAKIHK GLTIGRCDRELVFNAYGEALSGRDISLGKGLSGPDCRAEIMAVVDHGDCELWQLWIMA VVDCIWIRHPTPSDRVMIQQQSAEEYSLGCGDLLPEINTTTGYSPFLDDPLPHFAGAF RRYFFAHNHVAQFNSLDCDKTEEFKYLYELLTLFEALQSEVQAGGGRFCNDSGITLQS LMDRCTAKKRIGDDSACFRVAEALQAWLVAELHSRKDNCTGDMQMWLRYEELIGSLES VQ LY89DRAFT_716979 MSLQSLPLEVLQHICSYLGHKDLRVVSTTSKECQSAANVHLLCR IDIKALGREELQSDVGRWRSILERTVGFAYVNQVFITAYMPCEEDHIREVDTAAPEVR KGFFALDSNKVPLMNIDEENDSWAPLAQLIHQLPALSDVHFHCANQFPRTLLDTLHHK VPLCKLPISKFHLRSLYEPYMDPREVALIKSPCLNGVQASCRRFDSQDRVDYNQDALY RIASGLAPNLRIVKSYLADWDANRSALNSPRLIIWEAHIDFSKLEILSLRCYEEYSNI AEWLRSMQNYALDSLRTLRMYVTERSPDEQYYQSFDSFIRSLKPLTCLMIRANLRPNA LEAIVERHGPSLRVLSFDHFPVDHVPDTGRPQIELHHVQLLQKHCPLLADLTLRIPRS KGDAQEVMFYKTLGSFPRLQVLRLTLDSPSYAFVLKNNNNSSLLLSDVSFDEFDRQPF SMQGQVIMDGLCNGHVRDSFINRAMDEKLARSILEIISTGKRNPQFPIKHLELKNRTP YFSHLARDRLLQLGHVEEHIGESWSFHSGSGDNKHENFVAKQGYHSSIIRPPVLDPGL DAVFRKTWPRRDNDTGHWQQDWHSFPLFTT LY89DRAFT_716980 MKFSTLAVLSGLAASTFATPTPPTHVVHEKREHQLNKWSRRSVK LNRDAVIPMSIGLTQSNLDQGYEFLMDVSHPESQNYGKHWSMQKIKETFAPSPATIEA VKSWLIESGIEQERHKLSNSLSWIRFNVTVEEAESLLKTEYSIYENTNTGKEHLACED YSVPAHIQEHIDFITPTIHFDATVKKEKKTRDLQTRAMKVKPTKLIMPGPDTVPQPEV KYTLANCYEYITPDCLRALYNFTNGTLAQSSYGIVEYTPQAYLQSDLNLFYSNLQRQI ASGTAPTIDLIDGATVQTSTQSFDDNGESDLDLQYAIALVYPQKVTLYQTGDAVEGAS FNNLLDALDASYCTSGGGDNPTYDAIYPDTASGGYKGAENCGTITPASVISTSYGYNE ADLPAAYEVRQCNEYMKLGLAGTSVLYSSGDYGVAGNGGECCTAAKCVGGTYNSGSSG TFNPAFPATCPYITSVGATQVKPNTAVTATNPEEACETVIYSGGGFSNVFAIPSYQAS AVATYFSAHKPTYTATQYNNSQTVRGYPDVAANGANYVVAVDGSLSLVYGTSASSPVF GSIITLINEQRVAAGKAAVGFLNPTLYANPSAFTDIISGGNQGCGTNGFTAVAGWDPV TGLGTPNYPKLLAVFLALS LY89DRAFT_491717 MDFWSRLIAGTSLAPAGNKGSSKDPEKRLARFKREYSQLLQTWR GASNLARDYEAAENIRDSLQDLTAILSDESRRPLPHPCITFASSKQIYVPIGKIATTS YNEGIIREGVALFATLIDSEEEDFVENEAFSRSLMNLLVRITGANSIRLGSDTEVEVV ELSFNITTKIRLDPEILPAWFATQDREEPQNGGQGSHEKFTGKTQKEDFPLFYLLIDY IHHEGRIGDFARTGLLYIIEAASSSVALEQWIVESDLATLMATGLGALYSQLSRKLVI DHPLDDLPPILALSDYQQPNTTSEIVSSSSPDFQMHMETFLSHLVFWQDVLNHCKSSE VKSTLLEHFQVIFLQQLLYPSLLESSDVDGGSSVAVLTYLRRILEALDHPDMIHLILH YLLALPDTTPAPTGSRASVSAARKRKSMDLATMMMATQVDTTSTPALFNLVDLIQGGL RSPSEQTISVTLQLVSVILRRHHRYAVTTLLWTSQVLSDGPVRTVGAHEMEMDFILSL AGGVGGDDNFDDIYDNHVKDCMSILESHPCSITLVAPKSAGGTTKFPGSLASIPGAPR DIRPHTLRPEDPMLKTLLTIMTTFFTNSVETNLSLTGTVVDLATCGFMRIDGWLLPDP TKYVFEEDEEETEGFISFGISDCIEDQELAQLQSLKKARRNLDWTRSQIPPFLTQLKI LVDQVSTYRNDIPRFDDLLQQRREAFQAASSATATPIPIRSAAPPRSSFESPSRSSSP PRPSALDSLAQRIFPELATPSRSNSPRGRRSVEKSSSGLSGGYGFATPTTSRSAVPPP QFPMNTDSASRAHSRAFSPSPLRGADPRNGVPASQAAAFAAIDQSILARKVGLPPAKG AVPPIPFPNLKAPVDEGSKESSVDGSISGTDERAEAKTGEKLVTVNHILTNVIVLQEF LLELAALVQVRAGLFGEVKYV LY89DRAFT_642557 MAYHLIGVPVKLIGSGVGLVSESIHHYKHKNETKSQENVEAGNV VQGSDLPSDEHLVIEGEGDAPPPYQENDEEQWQLDEAQDEISGRSAVILSEESGATRS QSELQQSESYNPHNPKVVFERFIERHPTPIIPATTKLSLPVIVPQRRPRDRTRGFIRA YAPVLSEVGIDQAAFIDFLETFNQASLASPRIQVLNLASIVVGALGHAYGIAVSTVLQ ITAHILEEIQGRKRTNEFLDRINKEYFRPRGLYALVMTWRPESDKTSSPMASSTEVDL TSTITSSMPGAESSTFGKVQRSLKTSDGKTYGDKAYENFGFSEVAPLVFPRLDELESN NSEEANKLKQKMKGAQGFLADYMDRRAMARYTGNNPSSMLTDAAGSEALPKFHSRYAD PNHPASSGNLISFLSGGKFNPPRLGQGLGDPNVQHWGIGWIANKIVIARNEAKEKKRA AQKQQSTDATKETIQMTTTEQAAPTSVPTQEQPEQNKPALSQKEVYQMRAKAGQYPDG RPGKGSNAILRVIQPDVLYLMIVNMPSEEEMAAASRAVEDAKKVAAES LY89DRAFT_642559 MHQNPCPLDAQERREVAEISGAGDVTQVLERQWRIPTPLRRMLQ GLSGLCTYVKSPFVTKPPAQPRRKIDVRTLNQCPEGYPRLAAFLDADENFMLYRRFGF LQARVLLYKQDELRELERELDRIDCIDQHSNDKSVLKSREKDDKVTNTRKNMIGKIET KLKEYSEMLLISRDLVALNRPPHRDYTSVKSYFDEEAPLCDVESYIYSREDIVALKPG RESAWLDSFIEGLLQRFACRLIRCIFRTSDLQKKTNPEETNIILYSRDRIGIVVSLII LVTILALLITPVYLLWHFTSGPETASTTAVMITIFGCFTLLFSLTLSKFTSAKRQEIL AAAAAYCAVLVVFVGNVKQNRTS LY89DRAFT_773942 MLNETPQREFEMETNMMLSLSNASSEIVGALIQKGGNDVYHEHC SSHPCLPLLFNWSSVCIDKVFNMRLFSFQKGKTLHGFDETTDDEQGQRPLWLPIYLHR YYLFCLAVIFMIMIVALEILHYLSTHNHGLATTTGNEHYLWTYGPTAVLTIFTAFWGQ VEYWTKHVMPFQVLATSSSPAEDNILLDYLSPMTFIALYKAIRASHYPVAVSITGSLL LRALIIFSTGLLNLEQQIIMTQTQLTVLDRFNFTTNNSNTTSTVDLTSEIWAIKAYNL SFPFGTTDTYTSQSIKPLADNANTTWTTELDVFSAGLEQCEPFNWTYADPNHPYAGPI PGLANPPQYINVWSPSCYMHNVSLFYEPVWTPPVWNAQLQYQNCTNTTGKGDPGRLLM SLSFSLQYNDSGLYAPPTDYLRNLSGLLCRPTLSIAKRTVSGFVNSSIKISDSTMPGL STTTNVPNYMVMPLLTQLVQMRADDTDITTYYDGGISAWFALLNETVPQKDVTAWANS QLLGSVSQLVFPTVAAQVAKQYFMDAVSEVQPGALGHIQNRLCIEPLSLRLMEAGLAL VAITSILLALYPFKRLPRPFGSIGALALIIARSPILQQLLRGTGALSLASLKTKLQRS SFTTSPVRTTSTFCIDVQREVDTQDSIGFFGPDARGLEDRWHPVPVIFLFRSGTVVGP LLLIAILEALYRYSESNSGLIDAPANEYAKYAWLYLPALVMYALGTLYLVLDSFSKTI HPYQKLARGSANRQALMEDHFGKIELYALGEAISCRHIALVATTLIVLLAPLLTIVVS GLYIPQPFPATQPVLIHQVDWFNIQNDSWTNSSYVFGASQNMIGLIQYCNVSFPSGTF DELAFPTVQLDKVPLSKDSNASQVAIGVQAARGRMNCSLVEYVTNSNLTAYPQYQKFL EQDNRTVTVVTWEMIIPVNETAGCTSRSPNISLTSSGLLQIGTLAVPDSGYFGMEVYP RLKPGLSGNTYCESRYESWHIIGHQARPALTDELSLMRCMPFIETVFVNATLSLPTLD IVATPQVDEATATPLLDQGSPVAVGIPNIGIWGTISYTDDFFLALTTGIDAVPIDELS EPTNTQELLQNMDHLYAQAAAQVLNFNYRTSAAPSPGTPWYDDIASPRNGTLTQQDRV RLVQSMISTRILEAILAVIAFCAAIAWLLTRDAKKLLLPKNPASIAAKVSLLVDSELL ETIPEGAEWDNDDELRRKGVFEGYVYSLGWWRGRDGERRFGIDIGVPDGDEVEGVEID SKTEKEKKMSNGSSNGEIFRLVLDLEDVNASSVSPPLVLSLQLQTPLRDGVSVILALN IVLPAVIFIVVLIC LY89DRAFT_683312 MADRFPSLEEFDSGAQTEAQGDDLMGDDFLSREKALLGDDANQF STGHDHAAFVQDGDDDLLGGGGGNDEEVTEFESSFPAIDSRNENVAPGGTITGTTAPY QSSYYPTAVDEEEPEVIKQWRERRDLALQEREAHSAERKAETVKAAQQNIDDFYENYN SKKEKTIAATRRDAEEFLESREDTSAGGTSWERIAKLVDLSGKGTKGGASGTDKAKFR ELLMSLRKDEKAPGATGY LY89DRAFT_683314 MALDYSKWDALELSDDSDIEVHPNVDKRSFIRAKQNQIHQQRFE RKNRIETYKYERIINDGLLKRINVLLSKLESHTAEAEKRSPDELIFQAIMESVGGMDN DSPPPPPAGVHTHEKAPPTYSKMMAALVDQVKAKVDEDKPEDRYGAYVAEVKVHRDKV EDLQRQLLVELNTLEKEEGRKITSESIHTGFDSSYVNKSAASEPTPKKEKVQAVEVLN PHALAAHDNDKGQSSGADADVDEPAPLEGNDDEEEAEASELGKQFSLIKQGDYRACLQ FISKNPQVVAERETDGLLVLAFQASIHGRDDFARQCVHNGLLLQYCRALGRDGVGLFF KRITTPGHQAQKVFFDDVNSTFARIRSRAKEIEKQRAQEEAEGAGGVEQIQLHAVDPG TTINIKIPAETSEDPTEVKARELFNAFPPGLQRALESGSLDEVNKVLGKMSVEEAEEV VGQLGEGGMLSLEEQIIDATTEEGQKALKEFEEQERAAAANAAQNPPLVDKYGDPE LY89DRAFT_706203 MRRVTAFRGHGLEAAAYDIASKQLSRTTPIADAGRFPARAPFHT SHRVSSSFNAQQKPSQSLLKPVAQPLTNKILGRSQLGLSSPWRPAIAQLRPFNSTSHL RDTPKETDRQDLIEKEKARREEEEEDLLDEQDGFRKSEKASKAAQVNLSARLSSPKGQ GNSAGFGEIWRLIKIARPEAKWLGLAFSFLLISSTITMSIPFSIGKILDLATKDPAEG NTLFGLDISQFYLALGCVLTLGAAANYGRIIILRIVGERIVSRLRSQLYRRTYVQNAE FFDANRVGDLISRLSSDTVIVGKSITQNLSDGLRAIVSGGAGFAAMAWVSLKLTSILC LMFPPVAIGAFFYGRAIRNLSRKIQRNLGTLTKIAEERLGNVRTSQAFAGEIQEVGRY NHQVKKIFSLGKREAIISATFFSSSGFLGNMTILALLYSGGSMVKSGVISIGDLTSFL MYTAYAGSSLFGVSSFYSELMKGVGAASRLFELQDRKPTIAATIGTKVKSAQGPIRFS NVSFAYPTRPAVSIFDGLDFEIPSGTNVAIVGPSGGGKSTIGSLLLRFYNPTQGAIAI NGVDISTMNVKSLRRRIGMVGQEPVLFSGSIAENIAYGKPHASRSEVIAAARKANCQF ISDFPEGLDTQVGARGAQLSGGQKQRIAIARALLKNPDILILDEATSALDAESETLVN SALAALLRGQNTTISIAHRLSTIKRSDHIIVLGNDGKVAETGTYNALSANQDSAFSKL MEWQMSGGDAADKRSMEPEGHLTETEEISDDLVRLSEEEEGEGIDEEGLKARQEDETK TEAVLEKAGKQTNSSSSGIVF LY89DRAFT_731891 MSVGSAIEELMEKGLSGDGQESRRLGELYNGEVASPTTSATLTA GQVEIRDSMKAPFRSVFRLKSWWKDKKKRKLAEKTEESPTIIRPFTSYPSGYPRLASI IDSDERFMLYRRFGILQTRLLLYKQDELRLLESRLDDLDQNCLASDHTLLASRAREDM VSDKRNILMSEIESKYQAYGELLILSRDIASLESPTLRNYLDIKRYFDLCKPLSLEET YIGHQSDIITLMPSRDITWLDNLIQKMFDKFERSQNKFMRACPTATPYMRVKVAQKAD IEHGITLYSSRRYDAFVTLIVLALITALMILPVYVIWQLTRGSDSISIIICVLLVFTL VFCLVLLKFTRAKRHEILAAAAGYCAVLVTFMGNVGQLNTLGSGNAVQNGTTNFFSG LY89DRAFT_546028 AVFLAGFAALTVASPASQVIDFASVNAAPSPSLTGPPPFATINE VSINIASVAAEGSAAVTGVASASATASQAVNAKRVWGWDVTSVSSTTSSTTSCPTTPE PGTYCGFINPEDACAIQPDGYGPHVEPDTPDAFLAYPEFHEEARAAKAPAGYVETFVD LNASVSANTYLGLQTLQSYDVSLCAQYCDSKDLCTGFNIFFERDPSLNPSANCTQPAS ITNYKCTLWGSGVYTASATNYGDYRGEFHVVITGSDGFEKTNTTTPPSQPGWQPPQQC GSDGGSVHNHPNNCMGTSFFPGPYNPAVCANYAQAQNSQNKQSPWWSQWVNAWTGYNS HQCDFFNSYMLKKNGHPLGTYCALFSQSYSGGEAIYTPGWQGSDYWSIESSWGWEI LY89DRAFT_683316 MHYENGYGLNQYEQSQHGQNSIYHNSWYSSNSGIENGHQQLQSQ NPSQQPTVMSQHHENEAPPPYSLEAEAAATTLMQLIQASQESRSPTIFEPQPQRPQVW DGVHESFQCQADSSLPPPPDSLDENLDKEIERWTREPLEDVRYMEPPEVALRDSRRIE FDRTMRRVREEIQANRRSVELHRRRQNGQVDIMRVDSLM LY89DRAFT_683318 MNEEKQQAIKIWRWETFLVAHCNLDWTYSSYESGTQTFLILLFL RWIQTCSRRP LY89DRAFT_613169 MSMASSSAGHYLSAEEGSSSSSSSRSPPKPAAIEDDSDNDDPVA NHSRDQTLLSEDPLESDSPDILSFKRKQKQSAGSRFLSVFSGNNSRQTTPSPRAGTPT LNSSRSHGLNNLGGSRDGSNGSGTKDSPLDWYVEGPGRRVGYQDLTAIDWIFEYTKER QRLRILYSTGTGILGYAQQFLDASQVWIVLVLTGLAAGLAAAAIDVASDWLGDLKTGY CTAGEDGGHFYLNKYFCCYGFDGFSQCRDWIPWSQALHITSSGGVWFIEYFFFILFSV LFAITASVLVKEYALYAKHSGIPEIKTVLGGFVIRRFMGTWTLVIKSLGLCLAVASGM WLGKEGPLVHVACCCANLLMKLFSNINDNEARKREVLSAAAASGISVAFGSPIGGVLF SLEQLSYYFPDKTMWQSFVCAMTAAVMLQAFDPFRSGKLVLYQVTYSTGWHGFELVPF AILGIIGGIYGGLFIKLNMKVAQWKKAATWLPGPVTQVLIVALLTALVNYPNFYMRAQ SSELVEYLFAECSKVTDDVFGLCKTGSATVGTIFLLVFAAILGFFLASITFGLQIPAG IILPSMAIGALFGRAVGIVMEIWVRNHPNFFAFETCEADTACVTPGTYAIIGAAAALG GVTRMTVSIVVIMFELTGALTYVLPIMIAVMVSKWVGDAFGKRGIYESWIHFNEYPFL DNSEETLIPDIPVSQIMTRIDDLVVLTATGHTIESLTNILATQPFRGFPVISDPREAI LLGYISRAELEYNLRTSTQAPRSLPPETEAFFSHQPLADPRTTLDLRPWMDQTPLTLP SRSNLLLTANYFQKLGLRYVLFSDRGVLQGLLTKKDVWYVLNGAEETRRTMDADDTMT GLTRESGHGEEQGLLGSDGDMETPLSPERDDTPIL LY89DRAFT_683321 MLRKLVSTKPTAAGRAAYTQLAAALLQSYPATCPSLLFINLLLI DLRSSFPSLLAQLNNDQYPATAQRLAAAFDVLSSFVAFLIRSLDEEINTSGFSMAPDL LLKLRKDIAETISLTIEYLRDRWDASIAGAAGLHPDARSGTAATSEGTRLTLTWESIK DSVHTDPLVLAEIRAMAIWIREDENDNLRNEAAGMMDMLVELYKSESQGALDFRYPIL LALEGIMLTDDGTQAFLSHDGWQVVSEDLQSIIRSIAQPEGVEETFSLGEANRGLQIV RVLLAVIDHQSTSFPEEAWMKMVTITASMNVPSTTPSPIILEFQIAMLQLSTALLSKA AGGMMKRYITSYSALSGITNQLSRLVQNLPDEVEGADLMSLLEDVSLDLGNLRQT LY89DRAFT_492278 MAPERLLSHTFSAQNVSNPFPWRQLWLCFCSFCLLHGSWLMALS ALSAVFPCSFLQHHHQQQPLTYSLTLPLLCSPLSPPPLSTTVPRYSLLVQRILMSPRD LPLALGHRIAVQQRALAGHGSLREACAVRSLLQSLIEGKTKNTLARDCKPSRSLAEVS ALFLPRSSSILMSDSSILPTAI LY89DRAFT_492357 MTVDSTRGASNKYPTATDSTLSLSSDLRKRAGGGMAGMLDTDRS RTRRERTFVGSECAVCEEPLEHTLRGERILQFSCGHVSHEACFYEYIKEFESQYCPTC NAPLGLDTSRGGNVLDIEKLSSIVRSVSASDQSTRSNQTPTPTAWDSQTVRPQSRESS RPQARDARDSSRDSRDSNNGGGSSRDSRDSRDRANRYVPNSRTSHTRNDSEATGAGSS VGYPETAVSGPPRRHDYDVQSMETSLVSPRASVTRNPIPPPAVSVRSEFPTLNRSRQQ QTLTCLVTIEVPDNKWRPDPDDLRSAPPVPPVRPEDTYARPPSPAQSAPRFYPYESAE VLEEITESLRARVENWHGLDFNRFGKLRLYGTIRVGKDKQSWQELECFLFAEMLICVK EKKVAVPQQWDDQNSPRKNTRCTLKGSILIKKHLNEVTESGSGKAQLGQTEKITMSNL RPADENILTLSLSVAELPSFHLRFENRNQLKLWQQALLDLNAVEGSPVRSPEYELSET EEEDWQRVSNPKRVSSLNSSSYGGPRSTTTAPTEYTNATRNMRLPAAVHVPVDIVVVI PVSSSMQGVKISLVRDALKFMVQNLGDRDRMGLVTFGSSGGAAPLVGMTTKTWNGWTS ILASIRPVGQKSHRADVVDGANVAMDLLMQRKASNPVATILLISDSSTSDAESVDFVV SRAEAAKIAIHSFGLGMTHKPDTMIELSTRTKASYTYVKDWMMLRECLAGCLGAMQTM SHQNVKLKLRLPEGSPAKFVKISGALQITKRATGKDAEASLGDLRFGDKRDILVQLVI APDNASQEQLPQDPWESIVSGLEALGGPLDKEDERTVSIEEVPLIQADLTWGDILRDG TVTHLPRPSLLAITMLPAPTTQQKKSQWPNSPPIPPHPLVVQRRMELLTSDMLTRALT LVSRNQHDRAQHLLSETRSILKGLGKGGLPPIPPTPQMKSPSNLLTNTDNSSPTSSAT PDRRRTPSPTSAHTTSSGHNFPIPRHTSNDALSMGTAAGIDPNTVAALDAELESSLEW INHPAVFARDSRKTVLQAIGVISSQRGYTFRTPVESLWAGRVGGVKRLTERSREWREE GGGEGGIMEES LY89DRAFT_492309 MASSVKSNCSFLLSFHTTTHSTHIPTNKIPHSLHSPSKQTTNRP PIICSIVLSTLPKNTIVPRKSKTAEKDRCPQIKKQNQQKGM LY89DRAFT_706207 MKEGRPFKVIIVGGGIAGLTLANALERGNIDYVLLEARGDIAPQ VGASIGILPNGSRIFDQMGMYETLEPYAVRPDGDIVWVRGKKINTTYAGDLLKARFGY RPLFMEREKVLRIMYENLRDKSKIQINARCKTIEHSEQGVTVKCEDGKEYKGDVVVGA DGVHSFVRSEMRRYADAKTEELMKQDKKSLSAEYTCLFGISEAVDGIKEGDLHRAYDK DLSFMIIGGINRTYFFVFKKLAKRYFAPDIPKYSTSDAESYANMYTDYVVGGTNGTTK FGDIWAKRRTSNLVPTEEAHNEAWTFGRFACVGDSIHKMTPNAGAGGNASVESAGALA NCIYDLVHTDKYEKMDYASVEKALKSFHDVRKDRMTYITQEANDFTRIEAMATMKDKF LALYVMPNAADFLVDSWAATMVGAVKLDFLPRPKRSIGNSMPFHPKRGYGQGEKMLKR AMFALPLLVVYWMGSTLMGDCQTKMMPFLEAVTAKPWVEDVTGRVPVKEVYTGIKGLD DVVKLYVAAFTPSIAGLDHTLTTGSLRHSVGTINLYAPQRLQILTFFTDITAVTTIWL IESCRRANFFTFTTIPLFFMIYAQLAGIGVVAPIFYFLHYIMTPASKFHTSDNRLVQV SYAKTVLPAIIIGYCIPTAATYWPTSSLSTLQSWNYVWQFFPVLIVGFHGLFARCVKD TTDVDKYKNVKADLPYLRSAYIFSAIVSAGMYWYVYAVTPIPFLDIFFKDLADSRRAM HTLTEIIGTMLKFDEIFCFLSSAVWTLLCFRDLKSERRLKTSWFNVLGAMALSTVLLG PGASFALMWWWREEILARKMDEDE LY89DRAFT_780430 MAKITFLNSILVGVYNGVNALIPWHKLPTFLGVGNLLAYRIVLE AENLYDVYPDASYQGTEATCPMKDSRYVTARNSDGLFNDEAQPMMGCVGMRFGRNVPR EHAKKPTDAELLTPSPRLVSDRLLARAEFKPATIVNLLAAAWIQFQVHDWVFHETYAP GENDIEIPLPAGDTWPSGHMKIFRTKPDITLDETDKISPGYKNTSTAWWDASQIYGEN EVATTALRGDAVNGKLKMTKEGMEDFLPRDKDNLPMTGFNQNWWLGLELLHTLFALEH NAICDVLHKNNPEWPSDQIFDTARLVNCALMAKIHTTEWTPAILDHPTINSALHANWW GIIGEKIHKMFGRISKNEVIGGIPGSGVNLNGVPYTLTEEFVSVYRLHPLIPDNIAFF KTTSGAHVRTTPIADCVFSKAQDAFNKETDMGDVFYSFGINYPGAITHNNTPNFMRNL VTPDGVLRDISSVDILRDRERGVPRYCEFRRLFHMKAPKTFLDLVGGKEQQALADTLA EIYEDDIEKVDLQVGMFCEPLPKGFGFSDTAFRVFILMASRRIQSDRFLAGDGWTEEV YSKEGMAHVQNETMISVLLRHFPELKPALLGKDNAFRPWIKVGTSADYKGVETLDPAA K LY89DRAFT_581080 MIAFSSTIGIGLFLQSGKVIYLIGPGGAVLAYLIMGSLLWSANA SLEEMTAVFPVKGPIIDFPSRYLDESVGFAVGWMAWFAYINLTATEVSTLASTFKFQF DPAYLQSVNYPLDKLQWDFGLNTDPAIWVGMCLLAILLVNLLPVRAYGEIEYVFGCIK LVVITGIILMQMILNARNANGNTNSGAFTYYQSPWGFFSNQTVTDPQGDRTYTFTGGT GRLVGMWSAMNTIFFSLQGFFTVSVTAAENKHVDKDETIKLATRKIALRVIVLYTLVV FTVGLNVPYNDPNLQDQTISSIRRGQNSPVIIACVRNGVVGWPHFFNAFYIFSAFSTG INGLYISSRLLHALASIRNVWPATGWGSKIKMRLEKTNSKGVPVNAVLLSWLFAFLGF LAVKPEPAKILGRLAIFSTCSMLIVYICTSAAFLSFKGLTLNGEPDEGVLIPTPDGTF LNRNATDYPYKSHGQWLRAAYALTGCVLLVLFNGWRSFLNPFSDADFLAAYLAVPIFV VIVVLYHIKDEPEWVPWRWGVRRTMDIGNPVATREKDPEKRKGRLHRADRDVIFSWGN LGAFFGWVWIWMK LY89DRAFT_780432 MSHQDVAAIWSRLAGRADIDNIVQELIGRYSLDISEQTADRAVL RTTAFTHVVALRAIFDLQRTYLQSPDPSCEARFSLLNPNILLSVIKHLLDVKISAFCA HDGLPEHDAYCSFIGQTLVSGIRALHLQSRRLSLSEYQAVLQKFKLAWNNETLRGVDH FSINLFCQRMLAELSNKVQNHRLSSPACGILRLQDFFQGLYPPNDSHQNVDPFVQTVQ TAITTSKSSADWFYCALVLFDISWAVIGAIIQMCMDKQYYKGSGRSDFPRNVVPLTLT PRFKMLNELFKESGPCPPPGELEWAFEQTQTMLCMGLGPTCFEQECSCLEVHQNFVQE LRTQYISNEASDSIARHFEKISHIDIEPMLNAFQSTLEARHTILPDASKELTAISQKL TDFTNEWCPEIPKLLAHDTRKTITADDIFIVNCPELHPVPSKVLLPNLEESSFPKFPQ TGLASEEIQLLKDIPSRRGCGQCLDQSHSISVRRIEPLSKISAYLQDQLKERRKILRN KADMAMKVSSITRSPPRSGRPLPSRRQESSTSPRTSKSPEHLYELPSINYTLTPIRET DLSPPGLEPISKLRHSAISSVDMRSAVSTTQPKSSSPTITYVGSTSSLSYHSENLSRP TSRTFSPPPFPTTDETTDLPEVVAPVRSKLASPTSPQSIASDASSSIIQSPSRKWLFK SRRGYKGTSKIPSATFFTSGRTLLLWNERGACSYDLQNILSISHRIVTTGDILLAAGG TRKIGVVSKTGPIILLRILQGTDQNLAHETEMEEVPHAMALSSNDHYLALKFGSYVRV FDTTSGSFFHHKLPTVNGRSGPKDHLVTFSTDCLSFAATTRFEPEKVVTYFCECQNPL NGDYVESSAPSGLVGDDGLSSLLYSDKSAFLSTFTEKGYPVYLTLLNSRTSSRVLRDK NQQVGSKIHCAALCATGQNLALFNDRNELFWIDTPFGKERAPTRVGSIKREKSVRREV ELAMPNSDEVHLFWIYKGKGVLVTMGRHGGKSKPLELDVDLDHLLESE LY89DRAFT_581120 MGGATSTPQATTTPNVTSITLSPEELESKTLTSHHLQNALEALH RDGVLVLDNAISKAHLDVLNERMVPEAKTLYARTETHHNFGNGTGNIQQDAVVDKDYV FDDIIANPFAIAVTECMLGPKPRLRFQSANTAFKAEKRQPVHVDVHFDFPKMPFGFCI NINLIDVDPEHGSTEIWLGSHLDTSWQAEVEKSGSVIPVAMLERRKKISPPVQPVLPK GSLIIRDLRLWHAGMPNKTDDVRVMLVTIHFPAWYRTEQKVLLPESLKDKIEWGNLVP CVDWVPDGYDYLQGQHDHDFDLLP LY89DRAFT_580971 EYIFMEDGSKVHKGHAKLPRLQHNIRGFNWPPSSPDLNPIEKVW RWIKEELKNLDYVPKNKVDLKRELQKLWDQVDPRDFRHYTKQLTCKIEDVIKYKGMAT IN LY89DRAFT_642594 MLPTTLLTIGAILGITSALPVESILERQSNCPTDLPQNLVHLYQ DDPDTYYSNLAQTNQEMLLYQDVNSAGQVADRITSLVAFTPPTGSWGCALKITFPSTY TFPTLTGATPTLNVYAYPAPIPSAPTWNNVMPNIGSLLGTVTVAPGISATINSETCPT TAGGQLAFVFEYADWITAPGSVEWVNYVNAMNGAGLTGAYMTYNC LY89DRAFT_773985 MFVVLNFTVFVIGFVAWIIDLILLSHWIITHVFFSASEVKPPPP DGPQDEPPANVVPPFVTQLTTTTRVFKSDLYPFLPSKTPTDTLPDPLNNDDLPDAEKW LLLEKSKWLASEYPQQVSELVARIIKGRKGEPIPNAVCLGIGGLEGSVQREMQQFMIY SQILAQLGAAYPELLNNIVIQDPKMIPAMRALFENHGCRVVEHPEAFELVQANTFFYT AFVLFHHLAEHLKDRPTAEIGMYLTNPMEVWDLDPPFWQSTHAGLFDETKHNHEDLPC DRPDGKQYTDVGPTAGLSSFEVWWRKQPKPTEEAPLNIVAPGETV LY89DRAFT_613196 MSELMSAQGVTPTSRIISTYLSFYLVYFPGFLACSVIMTQILFK KTSDILFLFITLLAGASTITSAHFVASFFSKAQLAGLYTSTLFFALALVTLAASLANP QPTGQIIGLSLVFPPITWANFIGDVALREFNLKAFSLAPEPFVTDGFDPPYRLQKMNG YLYIIFLLLQIVCYSAGTYAVERGLWGVNRNFDRIGADSDVALRCTGLTKTYYGKRQW YWPFSKKGATVVAINDLNLEVKKGSVTFLLGPNGGGKSTSLKAIAGMINMDSGSRLEL NEAGLVFGICPQQNVFWDTLTVNEHIKIWRKLKTAAFVTDQADDDDVIAECDLIEKTQ AQAKTLSGGQMRKLQLAIAFVGSSKVCCIDEASSGLDPLSRRNIWNIVQKGRSRRTIL MTTHFLDEADVLSDHIAIVYKGKLVCEGPGTSLKARFGDNYIIRSDSGTEDDNLVWRT TNSAEATRKILELEALSAEDTYNVVFPTLEQVFLKVTSESNTAIHDVGGDGMVGEQET DTVIDEKIEALEEQRAQNIDLDVGHSIGVARQVFTLFKKRHVLLRQKAGWISYGINLI IPIIIASALVKFHYGFKSLQTCQTNELLLRNGTQSEKTYGESPSLFAPLAAYNGPELY LYDSTPTAYLGPQSEFVGTAQDALYLASLANLKIYSSGNTCSNESCLATELVATRQLV NNTADMIFGITNSSGYGYDGFGIYAPSPESAILFYSTENYDSAIEVGMDAFGFITNRI SNSSTDTGKARISSATLRTMVHAENNVSLLSLPISALIALAFIAATSISVIYPTFERI NQVRALHYCNGVSPFALWTGYLLFDMQFILVESIFVWGLLYAGPLARLYYESNYILGV FILFGIATYLGNYLLSLFVKKAAFAIAAGLHVLLFVLYLVAYVMNQSFGNGSMLYSTY SNIQYGLGLSSPAANLLRGLFVATNSFEILCGKYGDADVSNPFAYVRYGSVYANLLIQ IAFLIAVLYIYEYGSADWIRRNIIHRGVPPRLHYVVDAVGSTRAEPPRSPHQHEKNLG AFQRPPTGPILDVSSITKYFGKVFAVENVSFDIAANQTLALLGGNGAGKTTVINMIRG ELVPNFGDISLDGVSVLKNPHKARLHMGVCPQDDAVDNLTVRQTLNFYATVKGLKNVS GNVDRVLAALNITIYEHVSVKGLSGGTRRKLSVAIALLGNPRVLLLDEPSTGQDAGAK RILWKALQSISANRAILLTTHSMEEAEALATNVAIMGTKMLATGTLSSLQTVYGGSFS VRAVRAGGIDRDQAMSLIKADFDDIIGYIDSHGQISFRLPHNKRMLGKMMEKMEKLKG DVGDERAGSSGMKVIDEYTITGPTLEEVFMNVAREAGHSGIV LY89DRAFT_780436 MDTKTGSSSSTDATMPPSEFTLFPQLPLELRLKVWGFALTEPRI VKISCKKGFTDIGRRITEYFICHNPTHPILHVNQEARFEGLTTYSYVPFFQTTSSDRY TYINFDYDIIRCPASVLEYLGPAEIKAMRKMVLEVGDAAYFGHFHMDTIVRMKNLEEL ELLSEHGLVYGWDKNRDVLVERLTNDFQGARFEDPGWRCPRVSIVNIKTGGVAGVIEG GPLLEGWKIGDDYPEDLV LY89DRAFT_780437 MADDGADKDEGRKGRKKFNLEFVNMNAASAAERERNQKVVRSTA MKSFRRRQKSQREEGESSGKGKKKLDTTNAIVSEPSAIVKSELPVSRDPPASSVPTVR PSQVTYSDISWFVGSPSSSSSDSSSGEEGSSEVKPIQMVQTAPLTQGNLQVSSAYTSP RSWLGAGRVDPFRVYPVDVNNTSHAPELLDHSLTVLWHGLRPQGDSGTITSLGAAWLE KTNERPIVMHAMLFGAQVHLDVLRSPRLSLDNPVRLYHKVQTVRLLKDELKHPENIPI DDIILAILTLSANEVETVANNAVEDKVRSPFNSPLANTQWLDVYGSITHLAAHTTAMR TLVARRGGLEKIELEGLAEVLSFSDILGATQSLKKPHWPLLNRTLTVDDIYIPEILKV PLKRLGEGFQELVPSAITDQFLSAIGAIVNLTVMIDCHCQGTKPIVDLAQYIDRRNAV QHQLLSLPFGEELVSGEIRSTSIYESIRLATLIYSAAVTFPLPALTGIFHQLASTLRT VLEKSRADPHWRYSSKALVWILALGGITAAGSNDRSWYAQNLSTVSSAMKISTWNELS MELERFLWLRSACDSAGQALWMEVKSLRGRAP LY89DRAFT_780438 MAATRNRMDIVAMLNPTGEDLEMPHVEVEVTTISYDSIHPKPMP VEIGGCYVQPYPTPRNSPVQQHSTPSSIFYNAPQLGPLPSVNHLLGYPSPPPSSEACL PSKTYPPAQTSNGFVKPYVHARHVEQYYTATSCPEYDSYSRRSTRRERDSLRRASRTP PPTPVPAYVEARKQLLVLAQVQASPKKGPSHSNEAYRLIHVHWTRYCKVDMKAGWHAI EHNFKIMFPNEREDCTPGGLSSRYYRDNFIPRLDLHGNVIYKDGKPLMMDMKVRGKDM GECKGCPWTFVERHPWWALKYDWVLQEHKIEAAKIIADIEKRRPGQYSRKQQYVLAIR EVESKREAARESKRNGTFSEPLLDDEEFESIPSPTEEEIKEIEDDERYVENLYKEASQ QEFVY LY89DRAFT_667459 MEEFQYTPLPPGHKEIRLIRLQPGLPGTDIQIELFHAELSTEPV YEALSYVWGCPDRTRVIHVSKAAPTRQPVHKLAKVLNRFKSKKITANHQGTASLGIAE NLFVALQHLRLPSKARVLWKDAICINQNDMDERSSEVLEMGSIYKNAHEVIVWLGPSS NDSNLALQTLSRIGEGIICHEVERTIEYRIGSWPATLSRNTEALISYTGCWLAIKDVL CREWFARLWVFQEIVLAQKASLLIGKHSLDWKIFASALQWLSVVSTRLDQGIQDLNML TLLEHLWWFMTFGRNPTSLTIFQALGSTGRLLCSDQRDRLFAIRSLLDLEYATIIVPD YSLEVEEVYIRFAKAWLSQFDSVAFLCCCMSAEARPMGVKLQLPSWVPDWTCNSDAVD NFPSPRTACDSAAFARLIDGNVLGVQGVLVGKLNDVSQSITNVPKTDVELRELCCSWM QLLSRGDSADAESDVEALFSEMIVGGYVREKGASMQENLPTSEEIKAFLSGTDASEVV IGSIRRAYKSRHFFVTAEGLFGLGPASVKVGDCVAVVLGCDFPLVLRPEEPMGETYFR VVGPCYVPGIMFAEVLLGPLPSGWNVRMEIMGGDMQMFENRAIRTQQDPRMPLPPGWE YRYGPWERPRKEEAKKLKDVTWQWFENVETGEKTDFDPRFTPELLKARGVAIEEIFLL YDYNVGCLQGSSQEASRLSSTYLCSMSRVIKGLILKVLLWFLESCLKSFMMNYQIITP FIIPNDQTLEPSMVWSLTTG LY89DRAFT_548849 LIRGAWGRSLLELVERIGKERVFVPYKAVCAADFVASWKFYDTF ATRDAEGYSIGVPLFPWFTAEGEAVTRNDVLAGKDAVRVKSCWGGMVAFDGRYFQGGN TLEAEGISAGQHAESQNSSKEKGKKVDGVGIPELPLRFRSEAEPFWDSSECCLIHSDI ISLPSFPPLASSSTLAPKKEDEYDTGIYMNPYVRTAYSASAHRHIWLAKRFERLFSPL QRMLNYFARMPRFNYRRAEKEGQLVQDKLWISVHSNMTEEQSIAL LY89DRAFT_731911 MASQTITVTVKYSKPGTQPPIYLAGSFSDPEWQPQEMQFTTDEN NEHEFHKKVDIEEGKEYQYKFRLGPGDWWTLNEDSPTVTDDIGNKNNLLFIPKVEETT ADSEETNSTPQEFQAEKAEPVHTPTMEKEKTPSIEETMGTRETDDALFADEIIKDTDE VKEDLQAPAVEGRMEPHSTPAIPEKPQAEHIEEIKHTKELQDIRGTDTSTSKLVEEDV VEPTPKPLLDIKKIYDLEDTDSKNVSESDTEEDKAVAPPVLVVEGAKAEAEQEEKSNI EAPTNGHDSAHLLAAEPADENVSRHGARTPDLANVAAEVADTAATLDREQPTPPISDD EAGRIGFRRMSTTPIPEVASTAAEVADVAAVIDKEDKEEEPREFIRPFDIDDGDLPET PPNEKVPKFSHECYSPPSHEEPCQQEEYDPYEGMRPRPEEQIDLNDPSIQMFPTDRAG ILEHLRKMQERLPEDEVKHDSLDLAPPSPVVGPNGRPERLNFPAVSPVVLAQRSPSLD SIPEHNDEADDLLGATFVKLNGEDKPATNGVTVAKEEIDNVLTDDGPSSKKENGAPLL EPEEKKRDLVRSLDGSDETSIHAKSEQTETKDTEAQVPDTGDLAKPDTTATGKTEDQV PDAEDSAKVESTMSPEQQKTEIQVPEEEEPAKADSTTSPEQIEAKDTKTQVLDKEESA NPDSTASPNEQETETTDIQVPDAEESARALPAEPLDTAEKKDVAESQIRGTTEPIVDA ETSVPEGSDQEKPDETSNQHTKEESLDGVCDTGSHIVPAPVTPFIVGDRQLGHDEEDV RELRTGTFGPSITVQPATPFPGISRRLGHDDEQSKDSTTKDVSGNIEHTEESSAKDAS LDTGVSPATPASNTVIDTTTKQNDTAKSSAIGNENDDSQIKSRKRQSSPARSLAPSVT ANSMRSGSKDDDAKGAFLRYFFRLVFIDYIGGFFMRLCGARRRRH LY89DRAFT_492938 MSLPPVIVQIKRKATDEPVDLLRVHELDNPRKKRATEYVFSLQS IPDIQPTPPAVRKIKELHRSASSQALGTSSPISRPDIPASQNLPQSNAQVGDPAIQST PPTTPGTPNSSANALQQFKSTQPRHFHISRSSTPSLADSVGGPSRKRKAEPTIFIERR SRPKSRDGQSPNNNGTPIQSTPAETPRPQKKPGLAARSSTPPVRAPVPKPAVAPLRNV RLPSGEVIPWDVSSERLAAEMQAYTLAEIGRNIAASQAPTQEPTYTSSHIHKSAPSKF KPKAPALRYAQRHPEEKSQADQNMMMNLDGPYIEDEADDDAEYIIDTYIRMSADALET EAMPKNIGFLILGSQPDIDEFYREEEDSDEEEDDLDEDENAENHYTADYPDEEVDSDD EFDRNAYHYRTHNASDLEEFDGADDEDMTFSDDENESTKYPWMKKKPWLTNPKTEMAG DEDEDE LY89DRAFT_492968 MASRLRHILKTKESAGSDDGETDRKSYGPLSNKDLEPTPPDERN WSPLYFFAFQFSIAFSPTTYNIGSSLFAIGLNYWTIIISAFVGTALCCAVLLFNSRGP TIYHIGFPVYVRASAGVYGARIAIFIRMIVAIFYMGTQTYYASRLLAVALRAVFGDSW VNIPNHLPASAGITSSNMLAFFLTWLFQFPTAWLHPKNAGPLFVVKSIFSPLSYFVTM IWALVKFKGVELELGTKTLSGGALGWGFMKSINTVVSGVIPPMVNIADLARYGNQPQD VAPLVAGLFISKPLVILIGLFTTAAGAKHFGVANWNLWDFYSLVLDHYWGPGTRTLVF LGAYIQAFATVCTNISSNAIPVGADLSGLFPKYFTIVRGQILCNLLIWAVVPWLLVNS AANFLTFLGSYLCFITPIVACMIVDYWIVRRGNLHILSLYRAESSSPYYYNHGFDPRA LVAWISGIVLVISGIAGAIKPGTISQMAVNIYNCGFVLSFAAGASVYYIACKIFPPRI YPAGEHENETKSWEHMRLTEGFFLDDDILPEYIRERFIVSEGSSPTLVVQAGENVVEK V LY89DRAFT_683338 MTTKNPKPLRLGILVPSSNTALEPLTSAITSQLSPQLSVHYSRF TVLKISLEPSILAQFDSNGPILAAARLLADANVDVIGWSGTSGGWLGFEEDEKLCAAI TEATRIPATTSKLALNRGLDLMGAKKIAFVTPYLDDVQARIIETYRKCGYEVVSESHL GLSNNVTFADVTEETLNGQVKRVMEAGKGDVKAISTFCTNLKAAQRARDWEAEYGVPV LDTVSTVIWDMLRIKGWEKGAIKGWGKLFDL LY89DRAFT_683340 MRRKTNPTSFPIASHCHRNKHNHSRHRDSNAIINLNINHLLHRH RIPQSHQNNQHENNNRDSNRHRARNNRRCGVSARRRRFMRTLEPSAWRV LY89DRAFT_683341 MASPETPPKSLAFVHNTDLPHPLGVLSTAISAWIERVCIRPNIL TNYNLVPFDLADYDLEPLNPSNELHGKIHDTSTSTPKKSLACWQEEITKHSAFILLFP YHTWSHCTPLKNALSPPLYPHPLRKPILLIGFGREEPSNTRTWKRKSFPMMKDFFREQ GLKVIDVEIEQWIEGKMQLGVVDPEFTIYADYWEDWITGGTNAWLGGQQVEAFESRGW NRCQEGVMKMVDVLEGRAKTRKGREPWYIMGSLGRL LY89DRAFT_642611 MHQPASFLSSISRGDPPTPPSSPPEKYLRWSFNQIAHASHTLAA SLAASGIKPGMRIISFVNNGVEWHIMLRAALELNCLFAPLNPRVAFNEKEARHMMAMI GPSVLLVQDSNTAEHIQLHAPEAFGQASVKLFSTGGEDKADIPASWQDFAAFAENGIA GERVLKSLEVIRKPDDVTFIFTTSGTTNLPKGCPYTNSMCAGMISAYIQHSDLTRDPD RVSLCHMATSHIMGGVGYSMGFHCAGLKVVHPAATFDPGSSLKAINLERASDMPCVPA LVYAMLAHPDFDQVNTDCIKHAHLGATTILPEVIKMSMEQLKADRASEAYGMTETGPA LAHRYYDLSVKVPEIVTSGTILPGAKVRVCDPETNKPVPRGAAGECHIGGSFIIKEYW VGPTQRSRDAFYTDEHGLWIRTGDQAVMHDNGECQIVGRYKDMIIRGGENISPSAIES IILSKFDLVTEVVGVPDEIAGEIPIAVMSKKKGQEVDVSKVRQTLIKELGAAWVPEEI IDIKTLGIDDFPRTATGKVIKTKLQKMVIENRESQVNVYAGTDLLDTLTRVWTKLLGV SPGTLTPQTSIHDWADSLIQARFSAVLMRETGLLIQLQNLIDHPSIEGQAKLLSARGG SGAQSISDMKPDRDGPPGIHDMVHTSGDEARYKKTQDLAIETLKPLGLGWEDVEDVIP MNAIQETFVKYRRPQTSNHRHAFLCNGSSVDHLEKALKATLAHHSMLRTMAMYFDSHT SMHITIRPSEQWFSKCIRHLPAVKKAEDLAEVVYGDVELDNAHFPGPMMRFIIVYVEE TKCAGLVYMVQHSIFDAVSLQLFLDDFDAMLTNPTTKLKPHVPYKAWADSYYNLQYSP IAKASVNWQANRLRGIYKNPEALFPIQRAPEWFKGSSEGWIDIKTGKPGPPRKALTKN GLGVKGITGQGTLKDIQALKIKHNIEGSQIVKAALAVVTTRHTKQPYALFGQSQAGRT WPFMLPWQANRMPPAMDVDGPAVQGTINKLPIHKSETVLDMLARLQAEQYELNRHAYA PMNQIVAVLNGHQTDIEVRNFDGKVKGAAWIESEGGEGDFVRDAFKRQVFNWLPVPPV FEYKRIQKVQVESRTDLCCLWNCIMLDQTTLMINPTWDDAQLYLEEVEGLLDELLRLS EKFAAEENWGKRVEEFV LY89DRAFT_717010 MGLEAARHLVRLEAAKVILAVRILSKGETAKLSIEETTSRYASL KAFGEKAKGLMRLDVVVLNAGMYVFEFKEAEEDEETITVNVVSTMLLALLLLPKLRET AQKEKVESVLTFTGSFVHKDTPFPERKAEKIFEELAKREGARMNDRCQVSKLIETFCV RELADQITASKTDGKVIVLIVNPGIVDTAIMRHAPILFSLVVTVLKRLVSRTAEEGGR TLVHGAEGGEETHGQYLDDCKVGR LY89DRAFT_642613 MKYIFRHSKTLLLLRDWAGTSELCTAGFFFWNSGLSLQCSQNGL FRSLLYEILCKYQELIPAVLPAQWGVRYTSKCQARHCPPESWELSTLQKAFTSLISQT KVDLKLCLFIDGLDEYKGNEDDIAALFGEASTSENVKICYSSRPHLAFVEAFETRPRL RLQDLTFPDIRRYIHDRLETNIRMQQLSQAEPEATKKLIEEIGNAANGVFLWVTLVVT SLLRGLSKHDNIRYLQMRLRGLPEELDNLYHHMVYEVDNIYQQEAAKMFQLVDTNFQT RENRHPSPFREKQDLTVLFLSFASERDTNLAFEAELGFMTFQQVMDRCKDMERRLGTR CGGLLEVQYRHDYDNHDLSYEAGLYVSPNMTITYLHRTVKDFLELPETRRLLADRASG PQTNTFNTIHAFFR LY89DRAFT_493110 MAPSLPQDEEAPSTRNGSKRIVPSRLYEIDKAPSFTQFTKLCTQ TQNPDDYPLSDSIVTNIPVYDLSKYNISETSIASSLQDEWFEILRSGPGVLVLKNFET DSSLLHRINEVFDEIISKEEGASSKGDHFAAAGKNSRIWNSFQKHALQDPDSFVEYYS NPWLAIACEAWLGPAYQVTAQVNIVKPGGAPQNPHRDYHLGFQTAESCAAFPKSMQIA SQLLTLQGAVAHSEMPLDSGPTRFLPFSQQFEEGYMAWRLSEFKQYFEDHWVSLPLNL GDAVFFNPALFHAAGENHSQLDRSANLLQISSAFGKPMETIDNLAIIKNCWGALKRLN SEGGRDREVDACVRAIASGYPFPTNLDRRPPAPGGMAPCSEADVVFGALQEDWTTDRV VEAIQKVQTDSLA LY89DRAFT_717013 MSGKIIQPQQVLQADADGFLKRSVLDLFSLKGRTIVITGGARGI GLALAFAVAEVGGNVAIIDVLWEPHAHFQELEKFDVRVKLYKSDVTSFDLLKGTFDEI VKDFGRIDGLVTAAGICIDEPFLERKPESVARSMNINFLGTYYAAQLAVAQMKKQTRL EGSSQAGSIVFIASIAAYVASKGQTTSDYCASKGAVLSLAKALGVELAMQGVRVNSMS PGYMMTDMTLDLAKKYPHLGAIMTSEPPMRRMGDRTDLKGLVVYLLSDASAYTTSEDH LVTGGIHAGRLL LY89DRAFT_613222 MTVEVLFGRVAQLTELAIRHNLEIPPLAAEDQSLIDQVRENLPS SKFLFSAQTPSDPATWQNLDAPLEIDRAPDTTASQSQLPSAMMPIPNGSVRDVSMSCE TGSNSTFDMQTWTDLSAPQMWAGSPSDWPWQVLNDFSAFPTFTASQLSPSFHVEAVSR NGEGVDASGSSDDEGEEAMIPHLAARFGSLHRAPDGRLRYYGTLSNHHFLKSFSQYWS RFEDRDPEKIASVALENARLDQEVPSSLKNHLIELFFEWHNPCHSAVDRPMFETARAH RSDFQSDFCSQSLIATICAIGAAFEGRYHQSFITFPKPLAEFFADKSKVLLELELDGP CVATVQALLLLSSHEAACGRETRQWLYSGQAMRLAFDLGLHVDSEPYVKQGLLSVREK EARHSTFWSCCVVNHLWSFSLGRPFRIDGEEITVPAPGGGSYDTGITYFQTAPEVPIP AEDNRQRPTDISILVAAQWVTLCSELAPLFRVLYGCVKISKASLQSLSAQTTVHFLRW KETIPDALQIKPDQAPSTHILLLHMAYHNFCILLHRPWTSKGSQPRGKIGPGYEHARR VCHNSASEIASLLRIYESHYGFRRMNVYAVNIIVSASLILIFGLIAGEVLGEQHDQSE EVNVASELNTCFRALDELGQSFEAARQHREHLLAIQKHWSQGRKEAKLGAKRRSRSQS STSRASQRLSRRLRVS LY89DRAFT_546238 VAIVFIYLYYTFYGLSFLSIPFMYPAEINSQRMRDIGTSMSTTT NSALCYVVVSITPTSIANIGWKYYLIYTITNMCFVPIVHFFYVETARLFLEEIDRVFE LY89DRAFT_683349 MITNKLAIASPSLGLHPSHTLPNKILAVAQNGIQGVEVTYPDLE AYATTSSISMLDAASRIKNLCAENGITILSFASFQNFEGHASPLKERLAEASNWLAII KALGAEYLQVPSSYDVNINRDHHVIISELRQLATLAAAEKPVIKIAYENLAWSTHCSL WQEALHIVNEVGMESFGLCLDSFHIAVALWGDPYHPSG LY89DRAFT_683351 MYIPTPQSILTAGLALTGTLTKPSEVTSGSIMDYALETCLDKDG NQRCTSPFLVTKSTCYKISWTTEGAVSHTTVEVRDAGSDELVYYRDTDGEWTPEKGEL VYMDFKPKVWKTGNDTVEYKVVTCE LY89DRAFT_731926 MQETQVSNPQNGPGAATASRFPGHASKPSNSDTGFSHGAYSSNQ FSMGGYGDRHPRRANIPSINTQTMNQQGQNEMQTPGTAFDMQFTPLLPSQLLLGSPFQ PGTPAAFASPQFQNYATFQHQQNNQQHQQQQSQQNMTSPLSPQLYQTLVSPSTYGAPQ FYNPQSPTGGFATMNNQMQQMSIQPSSPVQMSPGLVSGTSRTVYLGNIPPETSAEEIL GHVRSGQIESVRLLPDKNCAFISFLDGSSATHFHSDAILKKLSIRGQDIKVGWGKPSQ VPTSVALAVQQSGASRNVYLGNLEENVTEDELREDLSKFGPIDTVKIVREKAIGFVHF LSIGNAIKAVSQLPQEPKWQSPRRVYYGKDRCAYVSKTQQQNAAQYLGIAPGYAHVLN GADRDLISNALAQQSVAAAAVATTAGGINNLGNRTVYLGNIHPETTIEEICNVVRGGL LHHIRYIPDKHICFVTFIDPTSAASFYALSNLQGLMIHNRRLKIGWGKHSGALPAAIA LAVSGGASRNVYIGNLDESWTEERLRQDFSEYGEIELVNTLREKSCAFVNFTNIANAI KAIEAVRGKEEYRRFKVNFGKDRCGNPPRQVQPSQQSPRNDGVNSPPPNSGGLQPSQS GSSPTGSAAPGSANGSGPSFPTAPTPSTILNAGSNNPLTMYLNQVSQQAQAQQQQQLD PYAVAQLQQQQQQVLHAQQAALYNNSPNIDALDIPQTNQGMGHQQSASISGINGFQNS SSGAPTIGGLLAPSNARGQHNRAVSLPVFAQQINGQFQDQNGVNSNGEQQRRGHQYQS SFSGGLGNGNSNGPFGNAYGLAIQEGSMNMNGLNGWAEEEVVGN LY89DRAFT_493509 MRREIEFVTFCYCSVSFLSLSAIVTEILYPRSRLNFLILGFSHD VCGKVCSRRHLRREIVVPGIKMDFDTMNVEFVLVCVTLSGLINRILTYLVHRWCIVFG WYLHCSRLAQIYGAWTGQHLRNIDRSDQWLSSEHDMTLLPFII LY89DRAFT_780456 MAAIQDLAERARMDTIYIDTDTGADDETATGTETQPYKSLAYAF IQHGGSEGKKYQSRASTTGPVSADGDPAERLIWKEPAKSAVKKAQGALDALKKKLLKQ QQVAAQEEEKEKARLKTLEEAKKIILKEDESLPKAVKITIGEKNVELGEGDVKGTRVK VSGRIHRLRQQKQATFLTLVDGYGHLQCVLSGDLTKTYEAHLFAQGTSLTLFGEMRKV LAGQSAPDNRELHVDYYKVIGRSPSDVDAITNRVSAQQDQWDSQMLDNRHLVLRGDTA SSVMKVRSAVEWAFAKAYKELRFTKISPPAFVQTQVEGGSTLFELDYYGEKTYLTQSS QLYLETGIPSLGNVYCIEKSFRAEKSLTRRHLSEYTHVEAELDFIDFEDLLDHLEEMI SRVITTILDDKEIAGYIKELNPEFKPPTRPFKRMRYSDAIDWLNAQDPPILNEEGNPH VFGDDIAEAAERRMTDIINLPIFLTHFPVEIKAFYMKKDPADLRVTESVDVLMPGVGE IVGGSMRMEGYEELMEAYKREGISPKEYYWYTEQRKYGTSPHGGYGLGLERFIAWICK QHSVRTCCLYPRFMGRCKP LY89DRAFT_493340 MPPKQATLGYVKDPQTSINKFFGNPNGPKAPGKQSKLAFSSKAA PPAKKEDDDASAEEPETKHSLKDVNGSDVEMQDSDAENKVEVKKEANTKENVKPGKDK KKRSRVEVKKEEVKKEKKSKVKKEEVEEEDDDDEPIVKRPRRNANLVVDEDEDAPSRS ADPETEAVASSSSKSALARRGSTQSAAASEVDDALEEEEEEDDEDEKPEVAAKAREKI QTTLKSKAKDPYPDWKAGDPVPYAALCTTFSLVEMESKRLIIQAHCSLFLRQVLRLTP NDLLPTVLLMINKLAADYAGIELGIGESLIMKAIGESTGRSLAVIKQDQKEIGDLGLV AVKSRANQPTMFKPKPLTVRGVHKGLMDVATTSGGGAQGRKVDLIKKLLSAADGHSSG KVDITKDKGGPSEAKFLIRFLEGKLRLGLAERTVIVSLAHAMVCHEVDAKNSGKVPNT EQLAKGESILKDVYSELPSYETIIPIMLEHGIFNLKDHCKLRPGVPLKPMLAKPTKAI TEVLDRFENQKFTCEYKYDGERAQIHFVAHDSAEQYAGPTSTASKTKSGGLAAIFSRN SEDLSKKYPDILAKLPTWVKSDTKSFVLDCETVGWDMVEKKVLPFQQLMTRKKKDVKV EDVKVKVCVFAFDLLFLNGEAVVSKSLRERRALLHEAFTPVEGEFAFATSMNGQEIDE IQQFLDESMKASCEGLMVKMLDGSESGYEPSKRSRNWLKIKKDYLSGIGDSLDLVVLG AYFGKGKRTSVYGAFLLACYNSSSESYETVCNIGTGFSEAVLEELHTSLSAITIDRAK PFYSHSSGNAHQPDVWFEPKYVWEVKTADLTLSPRYKAGAKEGLEGSGEKGISLRFPR FIRVRDDKKPDMATSSRQVVEMYRKQESVTKSKGPAVDDDFEY LY89DRAFT_546268 SSSRDLSDEIDRLLETYLSLLDQYTTLRAQLSAQQISTQQNLAR AKFHAPLGVHYGESMYDGRMQAQRRCVCRETTTLDIVAVESAACSEEGDTTSAGNENG VQEKIARRDPIRMFGLLVPEALRRAHGDAVVMVERIVPEICRVDREMGELEIRIRRAR KYRAKAEEREMK LY89DRAFT_731930 MVVATIKCVVVGDGAVGKTCLLISYTTNKFPSEYVPTVFDNYAV TVMIGDEPYTLGLFDTAGQEDYDRLRPLSYPQTDVFLVCFSVTSPASFENVREKWFPE VHHHCPGVPCLIVGTQTDLREDPSVREKLGKQKMSPVKKEDGERMAKELGAVKYVECS ALTQYKLKDVFDEAIVAALEPPAPKKKHSKCMIL LY89DRAFT_683361 MSQIVEPERLNQLKNIIRSLYLVKGHPLEGPNGVIELMAKQHGF RATKAQYEKLLADCRKKRSNKDWKVVGQKIEKRKRQGKKSDVYLDKHLIPSKKVQKEI SRHGFMTIEEQIQAKNGTDATLSFSVLYLRRFDQRLPHKPLLGSKS LY89DRAFT_493399 MFKNLPILGFQEMQQVNQSMDVLDAGQFALPWNAIGYNFNSSME YIIGSLLPTSEFLSSTTAEDFPVSNQGNVTNPELLNIAVFLASNNFPAGANGKDVYKW LKAHKKIPILDALASIKTPSAEATLESLLPFAVEAKDIPMLQQLLRAGVNPNGQSCRH NRIPEILTPLQFACIIRHTEMAQILTEAGADIDNPGSGWKSSGILFAIIAYYLIPNSG SRYIVTPFPSYGMHDSRLIAGDQTADVWLSDRGSGCVDLVQVLLDASANVNPSVEYSP SSNKDWKINRFLEDGHSPLSAAAKYRDHGLVKLLVQHHANVKFITSRGTGILQECLYS WDQADCDFSSYREPRSVLDRSFTFDGDQDVSRIAPVVQTLLSAGADAPQRSYYPFYFR CPVKFACSNCSESTNSMGTFLSTLDLAVLSGVPDLVSMFLNTGALPTQHTLEVAQKVN CPLDVLSLLHTPKPEQSELKIVDTIIKSALRTGDVSIITTLPLDPDAFYNRLSSNGWD FREAIELACQFASHIGVLRQVLDEAFVFRQPIIASLGCSIYYKLLSEHEKVKEVVEIL LYAGADINAAPEGCSTPLSIARQNGDEALVELLLEAGAAPGSDSLLHNDRVISGSEGL RKLIRAIERGDMSTVRSMIEAGVDINKVGFSECRGTCDERGSPYRGGPLAIAIIKQNE YCFRYLISRGAKLNVDPDDWRTLTPLAAAIIIQDEKLIQELLDLGANLFDPYALEASV GNPRLFQLLTAQIGLNLARRSS LY89DRAFT_613243 MRLETLSLLVLCGVIGVVVGHEHHGHDHDHAEKEIPLHEQEYIQ DNTEELERKWGFEWGYNGVNSFAHLEHVKCLTKPDTLFDIGILGVPFDTAVSYRPGAR FGPRAIRAASSRQTSFRGFNPRAGINPYMSWAKILDCGDVPVTPFDNAVALTQMTSAY LELGHRSSLSSVIPKPKIITLGGDHALALAALRGLNKVYGQSIAVLHFDAHLDTWHPA KYPSPWPSKQAEFNHGSMFWIASNEGLILNGSSVHAGLRTRLSGDDWADFEDDTNQGF LRISADDIDDLGPKGVVDTIMARIGTETPVYVSVDIDVLDPGLAPGTGTPEAGGWTSR ELIRILRGIEGLNIVGGDIVEVAPAYDGAGEQTALAGAQVVYEILTSMVKRGLVDMGK TAEVPGRDARGRVKDEL LY89DRAFT_667481 MAVTVALLYINFSEIYWEEVGTSNQSLRLNALQFAAKLHEILIA ASLSMVAISYVQYEVLRGGGLPVGSVLAGFQITNLNSVFNLQLWRKLFPKGFQAHRLR FIAIVLVLVVMCAISGPSSAILILPSTGQWNIPMSLETLMMPYFGQLSESSRYRLFIN ATEARLWPSEITSESFLPSDCLFINSTTPQHCPAAGLTSLAASIEYLYYDDQNGLDVY DPSPRRTWNFTMPGETNDKIQLNTTHRFLAGAIADANILLSLRNSSEDLASVWIQPPD FGTFTTSIAAAFVMRESTYPMQLACSIYSSWQPEDIYVNSKEDLHYLSPSIDNYVVSL AVPEDNCSPEELSKDLASRHIRLDIDWINKALPNNETINQLIRSIKPIPNESIEPIVD VGTSLSLLITDALARFGVDINLTLAMDSYLHDPNQDAFFFESYSMVLENISEVDLVNS IEVFPIASHYGYSYSAEGVTRRIAVGILLVHIFIALVHTVLVLWHGWSYPDLETIYDI VILAIGSSTSTIGSESSSTVGVAELKKENFTFKVQEVSCSRLELVVKSLVVEASTKEE RPDQNGQDNESAIAVIDRNSFYLWQHRRNARKTQILLQELHYDMTSYLREKIMKIMYK KEIVVQSSVHPNGPTALRQKKNHSHRSYSPKGSLSLFFTSSGNSWYSGRSGRGILYHI PPSALFKQKQ LY89DRAFT_642645 MHEKDILEPIAVVGISFKFPQEATSEASLWELMIQKRCVATKFP EQRFNIDAFHSSDSSRMNKISTHDAHFLKGDIRDFDAPFFSIPPLEAATLDPQQRSLL ETTYRALENAGIPMESVKRSKISVHVGCFTNDFMTMSWRDSQQVPKYSGTGSAGSILS NRISWFFDLAGPSITIDTACSSSMAALDLSCQGLWSGESTMGIVAGSSLILTPEMNIA LSNMNFLSPDGRCYSFDDRSNGYGRGEGFGVLILKPISQAIQDGDTIRALIRSTGTNQ DGHTPGGVTQPSKDSQAKLITETYRKAGLDMGWTRFVEAHGTGTALGDPIEARAIGES FRKYQGENDGVYIGAVKSNIGHLEGTSGIAGVIKTVLALERGVIPPNTNFEVLNPQID AEGLKIKFPVESIPWPSHGIRRASVNSFGFGGTNAHAVLDDAYHYLSSRHLSANHCTA KSLPGRFPLQATNGFRTPSGEVQSAKLLVWSAADEDGIHRLAAEYGQYLCNLDKKDEV KFLSDLAFTLNIRRSSLPWKSFTVADSVSKLEKSLLEDTLSQPIRSPTQKPTLGFVFT GQGAQWWAMGRELSIYPAFRASLRDSEEHLQQINCSWSLEAEMARDKMCSRINEPELS QTISTAVQIAIVDLLGYVGIVPSTVVGHSSGEIAAAYCIGALSKKSALKVAYFRGKLA CKLTDCLDPKSKHSMVAVGTSPGRIYAILETLAERFGLNANLLTVSCINSPNNVTISG PEVQIDALTAHLNHEKIFARKLMVKVGYHSPQMNRISCEYLEHLRGLQKGTLSKAQMM STVTCKTVSADVLLTGQYWVENMVSPVNFLGAMRNCSIRSSPGGRKLDKSHLREIVVS MWLEIGPHSALQGPIRDILSSVKRSHEVTYSSVLVRNRSALETFLTSAGTLHCQGFQV DLQKVNRLDPCASLPLVLPDLPHYPFNHSVVYWEESRIGKTFRCREYGNHDLLGSRVD DWNPLEGTWRMIIRAEEMPWVKEHRVNGSILYPAAGMLVMAIEAAKQLDDTQFAIGYE ISDVSFHTALIISQENVETQICLRPSTDTANKKHLSYEFRIYFHNSFDQKWEEVCRGC VRADFGRTESDVSVCSETSQELEHLRNVHARSVAEPHQSMEPARMYQMFKKVGLEYGP NFQQLTDIRFNNAGEAMSTVTPSTWSREWSGNAAQSHVVHPTTLDSMFQLVFVALSNG VSKTLQTMVPRRMQKLWISSTGVGTSFLGSLQAHAEACCLSRRSATCSISVMGGDDSL KVRIQGFEITAVSSPQSISQEQKAKRLCYETRWDVDPQLLDLDQILRHCKQAYVPREE PIDFAADCESFVLSCASIALAELKQLNRLPAPALARYATWLQGKLDSDLAKFPPEYRP CRTAHLGNSANLEELRGRVATNHQGRLFEKVGKALSQILLGDVDPLQVLFEDEQLVAN FYQEVNEHTQIFAMFSRFLDSLLHKNPGMKILEIGAGTGGTTGILHQTSAPDPSHPRY SEYHFTDISPSFFAKGREKFQHNGRMSFSVLDIERDIFDQGFQGEYYDLIIASNVLHA TRSLSKTIGNTRKLLKPGGLLLLVELTVPDLLPVPFVFGTLPGWWLSSEHYRPMGPLI NVEQWDVVLKENGFSGTDLVLRDYQSEACHTASLMLSTATGSDKASESDLNSKTIVVI DENSSFQRNMVAALVSELKVCAALTKEQVSTMPNRDQYQYIILIEVDGPVLSMIDSQA FISIQSFLCSSKGILWVTGGGGRKSVLPDYGMAQGLFTVLRLENGTIPMSVLGLEVSP DSLCQEHARNIAAVFKSMTSARETAPELEYVEVDGLLHINRIYEAKSPNEHVFDQTIV QSRIQQFGEGPAIKLSAEVPGLDCLQFIEDSEHDEPMAPGEVEVQVHAIGVNFKDLLT VLGRVDSDVLGCECSGIISRVGEDCGSLKLGDRVTMISFGAYRTYARSKVDCVIKIPD EMTFVEAAAIPTVFCTAFYSLCEIARLEKGESILIHAASGGTGQASIQIARQIGAEIF ATVGSVDKKLLLMDKYGIAEDHIFYSRDTSFAEGIQRMTNNRGVDVILNSLSGEGLLA SWQCIAQYGRFLEIGKKDINDHGSLPLYPFHKNALFAGIDLTCLVINRPHLIQRILQQ VMSMFSLNQLSAPYPLHVFPISDTEKAFRFLQGGKSSGKIVLKIEEKSKVLTSLTTKS AYRFKNNVTYVISGGLGGLGRSISRWMVARGARNLILLSRSGPASNVKAQELLAELRK KGVHVVAPACDITCLPSLQKILDDYKDEMPPIMGCFQGAMVLKDATFAAMSFQDWKTC TMPKVQGSWNLHTLLPKGLEFFILLSSTCGIYGNPGQSNYAAGNTYQAALTNYRVSQG EKATTIDLGVVLSEGFVAENAHIMEHLKRVGVLDSLSLDELFALLDYYCDPAFSPETT MQSHVTTGISLPTDIRAQGGEVPYALQQPVFLSLHQIDSSTKSTIKISEHSQDFKALF ASAASLKDAGLLVSEGLCKKLSRVLGIPKETIALNGRVDSYGVDSLAAVELKNWLSRE MSADVAVFEILGGPTLIDVGLTTAAKSAFRKDDWTN LY89DRAFT_642647 MHFLCLHGIGTSNQVFEVQTAALRYELGDNHSYEFVEGTVPWQL APEVSSMFNPKDSYHAYFDPESAPSAQQALDQLDDYVHTEGPFDGIIAFSQGAALAAT YIIRKSKQSKPGNHNEMPIKCVVFLSSTALYDYELYFEKGQLRVLDADIDSELIQIPT VHIWGEQDNLRKDSERLSRLCAQATVFVHGGAHEVPGLGSNANVTGAVNAIRRGIREA QDLMSRGLDGRVEGNGVDAAE LY89DRAFT_581318 MEKEYLSGLSLHLVTVALSLALFMAQMESSIVSTSLIAITNELQ GFDKSSWILTAYLLTYTGFVIIWAKLSDIVGRKPPILVAMCLFVVFSGACGGARTLIQ LIMFRCIQGLGGSGIFSLGVVIIFEMVPPRKLPDYTALMAVVLTCSVTFGPLLGGIIN NHTTWRWIFYINIPTGVVSLLLLIFILPAKLPGQSELLRSRPDSLDAPLLKTFQRIDF FGALLLLGASTLLVTAFQQAAVGVSFSSAKVVPLLVISGLMWIGFVIWQWFITTRRQN PEPVFPWRFLASRIVMGMTLNSFCAGALLVVCTIQIPQRYQTVNGDSAFAASTRLIAF SLVVPVGAMIGALLVGKTKIPPIFLVAAGGLLEIGGAVGLFMEPTENLIKGWEYGCQV LAAVGIGSINSILLLMIPYVVQERDVAVGTATMAQARVLGGVLVLAIVVSIMNRSIRS DLLQVLSLGEVEALLQTTDVIKSFSAEVQAQVGAIFGKGYNLQMKIVIGFAVAQIPAT AMMWTKKPVMVTK LY89DRAFT_642654 MGMFTLLQQVLSQILFFSATSILCYLVGSVIYNLYFSPLAKYPG PFWAKVSSLPSFYYTFTGYRHIWIWQCHQIYGDTFRYRPDGVIINNPSGYRGIYGNKA NVKKGKLYEVAPRNPYHFSTLNTVDRAAHDRKRRILNAAFSDKAIKSAEDFIIKHVDR WCQLLVEDVDSTGWTPAKNMKDITNYLTFDIMGDLAWGKSFELKEPNEDNPLREIPHI ISGYLKFFYPLIQSPFLNVWVWLKFRGLNSILERISPPEVKQFHKFVNDTLVERTELE KLRKQGSEENMRKDTFHYLYHAEDPDTGKPAYTMESLYAEAELLILAGADSTGLAIRS FLFYITRNPRAYTRITKEIRTTFGLVDEIKSGQNLSSCYYMRACIDEAMRLTPPGPSE SPREVLEGGMEIDGQFFPAGTILGSGEWALFRNEECFGDPGTFRPERWIVDEAGGVSA ESVALAQSAFVPFSIGTGSCAGQKLAILELQLTIARLLFLVDLKTPKGNSLGGGAPEL GWGRRDRNQYQVADTYMSQTNGPLVQFSKRHI LY89DRAFT_780467 MSSFPAGYSTEDRSHRLLNVAITLMVLETLFISLYFVSRIRSKT ANGWDTYLLPPSFLFCMGDLIIALLYVTKGGFGRHIYTLHPSTIMWALKLQVALGYIN PVAITLPKLAILGLYLRIFTIKAYRYLAYGIAAVLIANWIATLVSVSLICRPFAYQWD KSIPGGTCLQYQWMYTWFSIPNLVTDVAILVLPLPIIWNLQMSLNKKIGITITLITGS FGIVTAALRFWQFIVLNNNAATSQDLTWYGVDLIIWQMVEPGVYLIAACLPSLRPLFK PVFKDFSFHSLRSRILGYVTSNPSSNDSKDMYLADRSIGGTVVNGGSFQKLHDPLHPP SLSDGNDQKGMVACYREDDGLDSGGHNDADLELGVSTPGIRVEKKYILSSAPRN LY89DRAFT_683368 MDPATAGVASGSPAVVKELIDRAKALKRMWIDTGGVDGSTEAIV AALEAFDFAMSIFQLQLSKSEAFSLILQQWWNQRQLDALLSNAAKTFSRLNIISTEIA NNGSLLQLPGWQGSRMEQEIGHLRLRLQTYTATLSIPVLIMNIRGTHVTQTPPTQIHL DYLNGRLLKLDEDIAKLTEQLQTLFLDQSSGGTVPAAEALEYYQDSEELIDIARDLRS SASSIASSSESVGSTVFTISDAKTIASAASVDGEFREESHRVIEEWLGDEVPEVQPGP PPPANAPITPIPDTDPLPAESSPPKTNNQPTTRASESRTRPQASRQQKEKVRPRIPET TSNIDPEARVILERFRYGKKLVLQRKYSEAEPHLRKTWDIARDYGRQVSLVNDPSLLQ DPVLQVLAQTEVQTEIQLLLADSLIGGKCYSKQNTDVSVFREPQDMLLNIVQANNTTR DERLSAAGSLIELAIIMTRSFIGGLKFGLKYELQVERISVNRIEQMINHVFAQVLSSR IHEGVKADAFHVQAWTKLVLGCSCKLGAQILAEMLAEAKESCIKAIRLKERNFGFGHP RHKASLALLIYICTESNDSDLPFWLLGLPEGITISDMKDPRDNLITSSNPGRGFRSPL QLVSSCRSTLTRAQMDSLGAFFAPILPPPKLQARPPPAPAPAPSKAPEAKRKSGFFKF MDNLVDGKAKKGDEHAGKNKLRKSGDKDGR LY89DRAFT_613262 MATTEPEGFAPIVKSTSHATERRNSLARAFTNSSVLSGRRKSRP YDDSDDEVGEPIAEDWSMMPEIKALQKQGEKDAVKHRKIGVTWRNLTVKGIASDAAIN ESVGSQFNILNSIRESRHKQPMKTILDDTHGCVKPGEMLLVLGRPGAGCTSLLKMLAN RREGYAEVTGDVSWGTLNPKQAGQFRGQIVMNTEEELFFPTLTVGQTIDFATRMKVPF NLPSDTTSPEEFQRQTRDFLLKSMGISHTLDTKVGNEYVRGVSGGERKRVSILETLAT RASVYCWDNSTRGLDASTALEYTKAIRAITDIFGLASIVTLYQAGNGIYNLFDKVLVI DEGKEIFYGLRKEARPFMESLGFVCADGANVADFLTGVVVPSERRIREGYEESFPRTA NDIRQCYQKSTIRAEMEAEYNYPTTDEAKKFTEDFKVGVSQEKHKSLSKKSPLTVSFV AQIKAAVTRQYQILLGDKATFIIKQASTIIQALITGSLFYNAPDNSAGLFVKSGALFF ALLFNSLIALSEVTDSFSGRPVLAKHKSFALYHPAAFCIAQITADIPVILVQVSTFSI ILYFMVGLRQDAGAFFTFWVIVFSTAMTMTAFFRAIGAGFSTFDAASKVSGFMVAALI MYTGYMIRKPQMHPWFVWIYWIDPLAYGFSAILANEFKGTIIPCVATSLVPNGPGYTD ALHQACTGVGGALPGATSVTGEQYLASLSYSASNIWRNFGILWAWWVLFVVVTIVSTS NWKSQSGNSGFLLIPREKVKQTKHLTQDEESQPVPDTEKNVPTSSGGSTSDGTKVNDD QLVRNTSVFTWKDLTYTVKTPSGDRVLLDNVQGWVKPGTLGALMGSSGAGKTTLLDVL AQRKTEGTIHGSIQVDGRPLSVSFQRSAGYCEQLDIHEPLATVREALEFSALLRQSRE TPREEKLRYVDTIVDLLEMHDIENTLIGNTGAGLSVEQRKRLTIGVELVSKPSILIFL DEPTSGLDGQAAFNIVRFLRKLADVGQAVLVTIHQPSAQLFLQFDSLLLLAKGGKTVY FGDIGDNASTLKEYFARYDAPCPKDANPAEHMIDVVSGTLSQGRDWNKVWLESPEYQN TTEELDRIISTAAANEPGTNDDGHEFAMPLWEQTKLVTQRMTVSIWRNTDYINNKLAL HIGSALFNGFSFWKIGTSVADLQLRLFTVFNFIFVAPGVIAQLQPMFISRRDIYEARE KKSKMYSWKAFVTGLIVSEIPYLCICAVAYFVCWYYTVGFPNDSNKAGATLFVMLMYE FVYTGIGQFVAAYAPNAVFASLVNPLVIGILVSFCGVLVPYAQIQPFWRYWLYYLNPF NYLIGSLLVFTTFSAPIVCKESEFAIFDPPSGETCAQYLADYMQGMGSRTNLTNPDAS AGCRVCEYRTGADYLYNVNLKDYYYGWRDAGIVALFALSSYAMVYLLMKLRTKRSKTA E LY89DRAFT_493648 MGYGTLRQDDSSQNTTLASAPDPEDGSEEFEIHAQSISLLKTSV VIAGLAGVSFANSMSTGLLIASLPVMTEDLQIPDYLLICPYSIYSLTTCCCLLFAGSV ADLVGPRTVNLLGSLVTSLSMLSSSLASNGTQIILFRGVQGVGVSMCLPTAVSIMTHT FHRGRCRTFGFSCLGLAVPFGFSVGLVIGGILTTASERWRLGWSICAGIVFILLLLNI WTLPSDENLNDLTWKKFKSATDWVGVLIATLCLGSLSYLCAVLNESFENIYKVQNILL LMSAILLVPAFVIRMASQAWRKRPVLIPNSLWRKKSFAGICMMVFLAWAALSGEEVFL SLFYQEIQDLSPAQASLRLLPNIIPGITIPPLVGLFVHHIRAHHLLVSTSVISTIPCF MMAVIDPTSSYWLSSFWAVFLGPVSVDVIFVIAHLIIIDAFPAQTHALAGAVFNTMTQ LGPLVGLSVTAMCSHYVTANAGGDTKQGLLKGYRAAFWAAGVMMVLTCGVSLVGFGGV GKLGGAVGKEEGGVVEED LY89DRAFT_642665 MRFSTASVIGAAATASAQTIQVSVGENGLTFTPNDITATVGQSV EFSFFPKNHSVTQSSFAEPCHPLAGGFFSTFMPTTAESSTTFTIVVNDTKPIWIYCGQ TTGNHCQSGMVAAINAPTTGNTLTAFAALAKNATASTSPPGGVAGGILKVGSASSNSS SSASGEKTVTASVTTTVTALVTSGGSSYTTTYGTTYGTTYTTPVAAGATGSGSSTSSA AKSTGTNAASPLAVNGGIVGAALVFALGML LY89DRAFT_683374 MVLFKRKPVRYLSPPAIDNDETEVWVIPQTNEIFADYEQFLARM DFYKQRRFICQITGHSGLTFFDALSSELAGAQEVEQAFPEALKGPILRRVQFQTISRI DTLVDLIFEEFRSDYYPGEAVTVHVVTGERLSGTVRDKSRFGQKIMPDGSVNPPFSRY FVSLDNRPEEEAVVDDSHITRDRKIFTKQVLRSFIKKTVTREAWTGAPWLVKPEVAVV YHIDTRVPPHLRYESKAAERKQKAVQQKNGQPDYEGMVGSFQGNGPRLPELKPAPKSH KSKQQQGQQLAKSKQQPFLNPAPTHPTQQFPPQFYHPPPPYVSHTFQAAGPPPPVGPY YTNFHNSTFAFTPLASLPPAPPPPPPIKYPIEDLQVPPRHEGPKRPPLKFFSQDTPAN IGKPKGEGNGILMKSIGPLLEAWDTLNVYCEIFKLDSFTFDDFVEAMQFSSEDVDCEL FVEIHCAALKILVSAEADGGKIQVQLPDMDEDENEEESGAEASSPPTPTPEPEPKPKG RATRSSLAKAEAEALKVEPPPAREPTQEPKSSHRAAEMQVETGWIDRLRKRDFKNGGW QIIMIGLLYQLSKSPRLFQSCEVLLKELAPLDMDPTPETAKQQYNKLDVNLRIQALQI VCMLTAETKAVRGFMEECSEEMTTFRKEKIQWQRKRKDLIEELRLLNEERKIQLPANM PPSPVAEHKAVNDIVMAEAQEEIHDSIDDEVIDTDEDPHQGRSLRRGLDRAAERKRKR DAQQEKREKAEAEAKVPKQTKQFTKLLKDIQKKQDAIKDCEEEIAVLDNDLREADCPR TRVLGKDRFWNRYYWFERNGMPYAGMPLSSTAEAYYANGCLWVQGPDDLEREGYIDMK PEWQNEYVHKFGMTVPDRKKIEEGPTSVYTAHEWGYYEDPEAVDALIAWLDTRGVNEI KLQKELKLYRDRIVKNMVKRKEYLNPTEEKSVESGSKRMSTRRKEQPVDHTAHRCLAW HNTEAIREEGHLHYDQPRARKPAKKAPPPPPVIEEERQTRSDSRTKKQKGLRRQGSRY DF LY89DRAFT_683375 MAPRSFAVFDEEKSARSVIPLLGHIVANPRRPLDSYFPRRIQPA AVHQPVAKPTETSQATSEELNTSGLKDGLKDSTKSKPKSEEKKAAFDSKSSSDLVFLD EFEVDQVIKSDKIRAENVRRAGAKVSLQKLFGMEVDGEGSESVLFESNKTRTLALEQF ENVFESFRGRFATELDEYLDKRAGGKAYLMITLKTGGKLKITRNNKGYILGSTHGKIP VGAATGAGDTPVDPEASIHIKLSRSSALNSTGVNEVAFAAEYCEIVRVSKLDFKLRGG VSKKRNTTLKNVVNFSGSGLAFGHEDEDEDDDEDEEAVEDIYV LY89DRAFT_731947 MNNRIKLTCIKATPNKWVCRAEHKDSDTPVTFRSPFTNNQEGRL RWYLEKYATKDPFAGSKASGVAASIKQYAHDLFEQLQSIVELWGLQNTKEPLDLHVQG TEDDLSIHKLHWEALEHPSLPVKFCVTRVSTPVSANELSGREAWKQANETRRLLFVTS RIVDPSNPEDFISTRLVLKPVLDSIASMSKSNIDQPKHVNIDIVRPGTFTALKEALNN GRDRYDIVHFDVHGQIKDDKATLLFMSDIDRTMYNPVDATDVAHELRAAGVDTVILNS CNSANATTRVTANLAITLLQSGISSVLGMSYQLHINAATIFMLAFYRAFLVEGHSMEA SANGARRALRSKQQREARFQYRVDVEDWLVPVLYRLQDRSQDVRNDNKTTIAYIDDLN LSEIMTFTEGQKNEDERRLFGRESSVLDIENQLLASKGVLLLEGSLGCGKTSLILDSI D LY89DRAFT_493955 MTLSFPKNSPRQMYSSLNIIHLIKFINSIWRRVETLDDPTILST LETRLQHLSENLEYLRRESELLLKDGERRREVGVLIGGLSVDLNVLDIMVGKMKKGIV DEGSFEGLLERLECRLEDMREVLESVKKAQNMGLNWAIHEMKNSWHHHVHWSDLVEVR YPKDEFYQMERGKEKDGAKLDLRKDDGFDDDCITVFVRFQDSGFATKLQGSEIEVLAP KGTTLGDLKKALREKIGVPICQQLLSHRGSMLDNDFTFQDCGLENESTLNGVIISKHL AEGSPKTSWFWANGS LY89DRAFT_493842 MADLYPSIAQCAVVATAFKVLLFPAYKSTDFEVHRNWLAITNSL PIQEWYYEKTSEWTLDYPPFFAYFEWILSQFAKLADPRMLRVYELEFDSWQTVYFQRA SVIATELVLVYALHLYVQSAPSALKRPSHAAALSILLSPGLLIIDHIHFQYNGFLYGL LILSLVLARKKSTTLASGLLFAALLCLKHIYLYLAPAYLVYLLRAYCLGPKSIFHIKF FNALKLGTGVIAVFAAAFGPFAIWGQIPQLLSRLFPFSRGLCHAYWAPNVWAMYSFTD RVMIYVAPRLGLPVNQEAINSVTRGLVGDTSFAVLPEITASTTFALTLLFQFIPLIKL FFDPTWDTFIGSVTLCGYASFLFGWHVHEKAILLVIIPFSLIALKDRRYLGAFRPLAV AGHVSLFPLLFTAAEFPIKTIYTIFWLVFFLLAFDRLAPASNKPRVFLLDRFSLWYIA VSIPLIVYCSLLHGMIWGKRLEFLPLMFMSSYSAVGVVGSWVGFLVVFFTS LY89DRAFT_613273 MVFCKAPLSSLASAIFFAYAQASYLQNDLSFGHTNDKISPNLRA IPNWHLLGKPDPPEILSNKVVLTPPAPGNQRGAIWSEKVVEHSSWTADIDFRATGPER GGGNLQIWYAKNGQNEIGTSSIYTVGRFDGLVLSIDQYAGSGGFIRGFLNDGSNDYQS HHSVDSLAFGHCEYSYRNLGRPSRIAVRHSDEGLRVQVDGINCFESTRIKLPLGYNFG ITAASAENPDSFEMFKFVTTTETHTPDYVDQSKLGQIPENADANKAWDQQTNQVQDNQ NQNQNQGGDIPSFKDPPEEPASKFHSSAEQFADLHNRLQSMNKHIGALSRDQSMYSQQ ANSRYDDLNSRISRIEAAIDKLRGLSDKLDTIHSDVRQTKSDLHNALDKHVAGLRGEV RNTHSVLAGTLEGMGTGVGKFVWVVLGSQVLLIGAYLLYKRRKANSPKKYL LY89DRAFT_613277 MTSVGSIATTLSPTRETLSSSQLLSSSISSLSSASSRQTSSQIT KIYRQASTLFLTRRLPESLSTILPVITPPPVDDTNADPEPSPISKAGKTTRVKVWSLY LTILNAVLELDPDEGKQTFGSSEWRSLVSKVRDGEVWEEVVKNGYGGVEGDVDSDVVI NLATLLLTHARTQKVNQQRLENYLASSTTPNLDISSRLQSPNPPRNRNLSPAKGSGTD TPRDLNARVKILELYTLHVLLRNNEWDYAREFISISSVLDEERREAFLQALESLQEEQ KESERIEKEQQRYQEEQLKRDMEEARRRRIENEERERKREEEERLKRDKARGGSEVDY GVEDTPARPGSSRSKSSVKAGSTKGSSHKKSTSNSSLTARSAPPAKKVPPTLTTRAGN IISNVMKLVEEMTKNFKTKPMFLLQFLAFIIGLLAILSRRDVKERIKRIMGGAWAKVR QTAGMGVKVSYI LY89DRAFT_581783 MDVGGAEFRSSLLRILRDISEATIVTFDLEMSGITTKPKCSAGD QSLNVGKPTLQNQYEEMKSAAETYQVLQLGITCVSEDVDKEYYLAKPYNFTISPLQAD GTGMGHSALRLNRNFTFSSSASEFLAKNGFDFGKVFTAGVPYLSRDEEIELLEEYKQR EGRKANIPDLVIGASDYMNLEFVRSARKTVTVWFENPEHKDDFVNVSHPAGPLTGLQR RLVHQFIRSEFPTLRAFGLMDGSFMQVRRIDLIQEQAYQARQAVTYKGNIAKQTGLRW IFEALCGGNLSGVDVKWFINELEVKEAKTVKEELEMIIKDLKSKKRMIVGHNLFFDLG FLYKTFIGILPNNVKDFQEDINDLFPFIIDTKYLATQSPGTQNPRSGLKELLAPFRKI HVPLIVLHEDHVQYGAEYGRDHEAGYDSWMTAELFVKLAGQLSSESKDILDDESGSSG SDEFFSAPEEYLKEHRDSEGGAGLKSGPDLIDFGEDVDAEEVKTEQWLPRMSSKFWKK YVNKLRVNSSEGGVCDLAERGEDRGVGGVRRKLAQL LY89DRAFT_774052 PVVSALITKIDSTPEWHSKFTNAIETARATGIQEMEPIKTLQNY YHFLNELLLWVPSENFQGTDVYNHFCLFYFVLDQKSTKDLQTPILPGLNPESLTWLSD WMVQYAKAQGQFLDTPESISDESMETFWASPNYNMGDYLEPRGGWKTYNEFFARNFKP GYRPVAAIAGQSVIVSPADSTFDGQWEIRSDSGVTIKTIHWKISELLEGSPYQDRFNN GIFTHAFLSPADYHRQHAPVGSKVIEARIIPGQVYLEVNPRPSLDGSLSLVPQRKLHS PPANISLSGGDQAGVMVFDAPDNAGYQFCQTRGLVVLDTEIGLVAVLPMGMAQVSSVI LTAEVGQTLRKGEEIS LY89DRAFT_731955 MPRDLRLLYERIVFNIPTDLIQDAITFFEIFQSVDNSTNSKRAL TLEELSIGVSAEAMSPTTEFQSEEEELVWLTDICDTTKARLRSSCRGLIYVAPPTNLK RSIFKSLPPRPVRWMHLTVKSFATDYKDKFYARAAEMGTERGRLIPVTDLHKFSMALS TKLLKMAAARWYGYGNPPRKCRTFNTKTVLPQEHVEGCACLVEFAEVPLPWDDRKKNA AKLASRIFQNVTQPYFDEVKRTCTMICPSLLDYHWDYQLNIENKHRKGGYAEGTFPKI PNGSVKRPKKPARKINHTIPDEEIDKADDDDDDGDDDDLSGDD LY89DRAFT_494046 MQTCYRPRSSVIAPALVTEILESLRALAYNARFEDMEEGFKGTS ESIWKSSEDLGDHKVEGPGFVEWLESGNLFWLNGLPGSGKSTLMKYVIEHAKTKEHLS KADPRNWLLACWFFYELGDETSFNSLLHSLLLQILTAQQHLVPLTLQIYRKVQSRPKR NSSTDETWSDGDLMQALTIIVTQSADHFNLCIFVDGSDECGPREHLRSHVKFLLEWLA SGEKARRINMKLCIASREITDIWVQLKNYKTCQIHHWTTEDTVTYAEQSLRAAFDDRL WGFNSDRHAELLETLCREISERSNGVFSG LY89DRAFT_780481 MSTASRQYDIVVFGATGYTGKLTAEQIALKLPTDLKWAIAGRSA SKLEALAKECKTLNSDRIQPEIEICNLNDTELSALAKKTTVLIAAVGPYALYGEYAFK ACAENGTHYLDVTGEVPYVAEMIKKYEKAAKASGAIMIPQIGLDSAPADLVTWTLVDM IRKRLSVPTAEVIVSLHDIKSKASGGTLSTVFNIMDSFTMKQIGAAHAPYGISPIPGP KVKDNRSLITKFFGIRAVRDLGILTTSLGAVADVPIVQRSWGLLGGNKFYGPNFHVYE YMKARNHLSAVMIHFALAFGSIMLAIPFIRSIARKLVYQPGDGPTKEESEKYRLEYRG IGTPDTTTPNPPRAFCKAAYEGSAYEFTAVSLIQAALSILKDEHDLSGGIYTPACLGQ KFIDRLDSAGFKFEKKFFED LY89DRAFT_683384 MTKRTKKVGVTGKYGTRYGASLRKQVKKMEITQHAKYVCTFCGK TTVKRHSVGIWNCKSCNKTVAGGAYTVSITPHRNRKLTNLLQNTCRRCHAIDASTIEG NC LY89DRAFT_494158 MADQCIVCLEDLDAVPDASIHDDLPVVAVTTEDHQQSTHPSTTT TSEPIALIKPCNHVLHDECLRAWSQKANSCPICRQTFNLVEVLDKVGGTVLSEYAVED KKQVAEFDPNAWVEDQFEEEEESRPCPVCGEADQEEVLLLCDSCDAPYHTHCIGLGDR VPHGHWFCMECADEGAYARAAGATQDQTRNEPISGRRGPRTQAGVRRSRQRLRNDHWV GAWSLFSSRIHNVAGLDLDFSDDDLSMADYRRHQRRTSDERREFQRWQQRLNIAGRQG AREVFRAAAPVRIRSPTPAETIEETRAWGAFEKAKEMDTKSPRSRKRKSRSVTASPAE GPSAPPAEPERKLKRPRTRRVLNQPEASSSSAAGSSRQMNGQRAESPTARILNDTTGE PSFLSSLLKEVEMNPTSDDDRSAFSATTTSGPNRVTSPSVDYSSPAASPVSSSPYHTP RASSMTPPPHISKRSGSPLPLTSRVEPIFPPAEYSPNRSPPESKSEQEQISPITELRQ PRPRRQKPVTLPRSQETSPTRAVLPIEAKEGINKIVKSALAPHWKSMEITKEQYAEIN RDVSRKLYETINFRNLNDEKEKWACEKIATAEVATAVKALTA LY89DRAFT_642690 MTASSRGTRAAASIIRSSKRPNLLVCSECISNRTYALAATALRT SSPTPDSELHHLRNIPPVSTSPESAHYRTKAGILLSRPPILTAPQTSFEKAYFFYQKR LNERLAMPFTRYFYFKKDTPADTDWKIKARERNGVAARELGGYDAYGEYGWNDEILVQ EKEMVEPRYVAESLVRDSIVRAVEGKDGAAVEVKEGEVGEEGRIERPLGRFTDADRTR DVRRLDRALARTLYLLVKRKEGGWGFPSGELAGRENLHQAAERILVQTAGLNMNTWIV GHVPIGHHIIKPRYTSDSALEKQGEKTFFMKGRIMAGQADLKDNLFGLEDFKWLTKQE VQKHVGLKYYSYVKNMMADR LY89DRAFT_494229 MSNEKDPKRFSLPAALPTIRSRIIEHMHSPKNEKEKDTESEKEI ENEVFDYIVVGGGTAGLTIATRLAEDPAISVAVIEAGSKWHPFASLAESIPAADVLFV GTKEKFPGLDSLINKIPKANLLPAGIRANLSSVDWGFHTVPDPASGNQRRSYARGKCL GGGSVRNFMIYQRPTVQSLDKWANEVGDRSWAYDNFLPYYKKSVDFTPPGPSRDGNTL YSPTAFDGKAGPLNVSYPNFPQLFSEYMKAGLHEIGIPTATDFNSGTLNGSQYCSTTI NGKSEKRDSSATSFLKSAMGSGRTNLHVFSSTLAKRILFDNDKKADSVIVEFKGEERA LKTRKEIIISAGAFQSPQLLMVSGIGPAKHLQEFNIPVIADRPGVGQNLQDHIFFGPA YRVKVTTLTKLANNPIYLIKNLIQYFIRHTGPFTNNVADFLAWEKVPQELRPTLGEKA LEELSQFPPDWPEIEYLSGAGYVGDWSGLLFKQPRDGHQYATILAALVAPLSRGSVTL SSNTTSTLPHINPRYLSSPTDQAVAVAAYKRVRQAFSTPSLSNIVVGDEFFPGKDVET DEQILECVKGSLQTVWHASCTCKMGKEADEMAVLDERCRVRGVQGVRVVDASSFPFLP PGHPQSTVCEFSFSFDWLV LY89DRAFT_562361 NGRYLVKLEGSITSELIQKISESAQPVEVILGNGDEGDANDARF CIINSAVKEAILEHASRNKIRPTIVRLPEPASKNLSSISRYPTLGLDTTLPQHRPSNE DVDFLPTQDQYPVWYFFYGTLADPAVLSRHLGLASEPILWPATVRGGVLKTWAGKYRA LVDGAESSVIDGSAYEVQSKAQEDALRAYETSKYEVVRCMIEVGCRRIPGCTFRFVG LY89DRAFT_696038 MDERQAIHVTPNVDHDKDIEGNSHEPVFAFHGEHAIVADKLSRK LSARQVQMIAIGGTIGTGLFLGTGKSLATGGPASMLIAYMIVGVIVMLTMLALGEMAA FVPIAGSFCTFAGRYVDDAFGFALTWNYWFNDAVSTAADLVALQLVFAYWDTGFPGWG LSLIFWVLLILANIITVKAYGELEYWLSLLKVVTITVFILLGIAVNCGGNTSHTYLGA HNWYIGDAPFVGGIGGFASIFVTASFAYGGTESIAITAGETKNPTKNLPRVVKNVFWR ILIFYVLSVLIIGLNVPYNYPNLSSKESSTSPFTLVFQMAGAKAAGSFINAVIMTSVI SAGNHALFAGTRLLFSLSVDRHAPQFFGKLNRNRVPWIAVLATSAISGLCFGASFIGA GQLWTWLQNIVGVSNQLAWIAIALTSIRFRRAMELQNKTHLLPFKNWTYPWGPWFSLA LNIVIVLVQGWSSFSPKFSAVDFVSYYVELPIMLVMYVGWKVLKRTRLVSSEAMDLET DVYVVGEDDLRAMELEKSARGKVETVLRWIF LY89DRAFT_696039 MSCRLPGQSNSPSALWDFLERGGIASNDTPVTRFNLSTHHVGSP KPNTMRSPGGMYLETIDPQEFDAPFFNISREDAIAMDPQQRQLLEVVYEGLENAGITL ESLDGAAVACFVASFTCDYGDMLGRNPEDRPPGTLVGLGRAILSNRISHFLNIKGPSV TLDTACSGTLQGIDIAGRYLQTREVTSAIVAGANLFLRYDTVFQSSSPLLTMFVIIGN MKTAHSLTGRCHTFDKKADGYAKAEAVNAVILKRLDDAIRDGDPIRAIIRGTATNSDG RTPGIASPSSEAQARAIRSAYANAGITDYSITSYLECHGTGTQAGDPIELTGAASVFA PTRLIDDPLRIGSIKSNVGHSEPSAGISGLLKTILALEKGIIPGNPTFNDPNPKIDFE NLRVRPSKANIPWPDVPYRRASVNSFGFGGSNAHAVVDEAASYLGRQPDSHCFSLLTG EDGLFAEEAIESRPVTLVFSANDEKSLKSYVKLLSDHMINPNVNVNLPDLAYTLSNRR TRHFHRAYLVTQDSTNIDQNKLVVGKKGLDVPRIGFVFTGQGAQWPKMGKALVDTFPL AKILLDKLDAVLQTLPDPPSWSLLGELTELRKPQHLRQPEFSQPIVTALQLVILEILD SWGINTQAVVGHSSGEIAAACAAGHLTQEEAIKIAFYRGRAVVLDGAKTSLGMLAVGL GSDKVQDYIGDLSASVQIGCYNSPNSVTLSGESTALETVRQRLDNDKHFARLLQVDVA YHSKFMESIAARYQDLLLQNCKFDENAHLSEKATMYSSVTGHLLDRACDAAYWMGNME SPVLFDQAVQAMLSAPENPPDFLIEIGPSGALAGPISQITAHIASAAQYCAASSRGKD SLEALFAVAGRLFIAGSDVDLAKVNADDSELSGRKPRLIVDLPNYVWNHSTKYWHESE ASKDWRFRKFPHHDLLGGKVLETSWQFPSFSKTLRMKDQPWLRDHGMGSEVIFPAAGF IAMAVEAMYQSYSAQNPNENIFSAGQLCYRLRNVRFDKALVLEEEIDAKIMLHLSPHP GPKETWYDFKILSSNQETWTEHSSGLIRVEKLSAEVVTKDKLTPLEYAKPSSEWYTAF NSIGYGYGPEFQKLLRVEAVAGSLMTRNHISLTEPTSTLTQSLYPMHPCSLDACIQAF IPSVWRGDRCAVDTVLLPAIIDDLVITNATKSSEHGVAIANSKYSGRGRPDDKRNWYT DISVHDPVTGDTFVKINALRFHKLPIGTDVAAKHTITRSMWNPDITFLSEEQFASLAS DESSSTVQRIINLIAHKKPTLKVMEVDLGSADTSSLWFAEVDVGSRPTRALYSTYSFV TADTNTFVAVHDILSQHRSSTSTLVDLSTADFSFEEKDFDLVILKGDKLNEGLLKPVL VNVRALLSDDGYAILADSSSVGTDSGSGSEEDAVLVSVENTITAAQVATIAKASNFYR TSTVSCESCTSVHVFVAKPTAQPAETRELIVANFTDQKASSEMTAALEAAGWSIRESF LPMAEVKPRSTVLIQDELFTPVLKSVSKAQWDSLKYLVSQGCNILWLTQGSQMDVTSP DNALVHGMFRTVRQEDTSLRLTTLDVQTADGPSTMTAVVKILEILARPLSKTFADNEY VERKGLIFVNRIVPDKLINQFKEDESNGGAQPIVKSLRGVQGIAKLRAERLGSLDALQ YIEAPQIPVEDGHVEIEVIAAGLNSKDIAATQGIIPGDEHLLGTEGAGIVTRVGKNSE TYKVGDRVTVMTQGTFANRIQCPVQYIHHMPDAMSFAEAATIPIAYLTSMYCLFNVGN LQKAQSILIHSAAGGVGIACIQLAKYIGADIYVTVSSDEKRSFLKENFDLHEDQIFSS RTTDFARQIKEITQGKGVDLIINSLTGELLDESWRICADNGIMVEIGNKDNEQKYLST EPFDRNCSYKSVNFSQKKMPPLTVASLMSRCFDLLSEGHIKPINPITHFGFDSIPEAF AYMRDGRHVGKVLITDGEQGISSQVPIRPAMPVMSLQPNVSYLIVGGLKGICGSLAVQ MAAFFGAKHMIAMTRSGIADEMSQAIVRDCAAFGCDVQEAKADVCNAEDVERVFKTAM YPVGGIIQGAVILRDKPFEAMTLEDYFVAASAKVQGTWNLHRSSIAHKSPISFFTLLS SMSGIIGQKAQGNYSAANVFMDAFASYRHSQGLPAHSLDLGIIEEVGFAAREGGIQER MDDRIWLGVNEAMVRRVFKYSILQQTQPINPASAAQLIIGISLPQREGSDLERDARFS PLFVTDSSEQHKNGHGGDEHAKAVQAFLLLHRSKADKGPLLAAAVDVLSRQLTKTLRL TEDVEPARSLSAYGVDSLSAVELRNWVRIELGVELTTLDITNATSLFGLCERLISKMP VVE LY89DRAFT_581129 MATSREEVEFKTLDGLTLRGLLFPAKKHSPAIILAPGLNCPKEL LLPDIAADFQRAGITALIYDSRCVGQSDGMPRNDTDPFKQTEDHMDALTYLRSLDSVD ASSIGYWGMSSSGTTVACAAALDPRAKFSIIVCPRISFTPPKKLAGILVKCVRDRESQ MRGNAPASVVLASAKGDSLADDLSVAGAAQASVWMANLVEKGWGHMNRSTMQSYYKNV VWQPRAILKMVQSPPLMMLTPEKDEIAPTADQLAFYEELTGPKRLHVAPGMGHLDILS SEEAPALMKMQVDFIRRVLAGTLDDEEDEEEYY LY89DRAFT_780489 MSAEMLRQYVDLILKSPALQHFDTIRIDTKSLAYWPYRYLTDPD AKSMLKLFEDAVSSGKHLAFQAHFSNPRELETPVVQEAIRMISMTSAQIRTQSPLIRM SIERDSGARHYFSVPLLKAYKIFNTAYSKIGGVARTVRGPSMTTLGGKLGIAGTPTIN GEPVFALKFFSTQHGLSGCFSQNSTLMRSGSTNWNPKRNSFGKKNVRRLSSSRIQSRQ DKCSKGVLSMMIRIETFLNVTR LY89DRAFT_706239 MAVEKRHKPIILVTHPRACSTAFERIFLTRAQDVVCVHEPFTGA FYFGPERLSDRALEIIEEAGADGKRILVKDFAKCIIPPDGEPSAIVPSLRHAKSEVNG NGRDMKLPETVQLNPTIIPSQTLRTFHFAFLIRSPRLSIPSLYKCSVPPKSALTGWHG FRSKDSGNRELRVFFDYLKGIGHIGRSNACAKETSTAEPDDICVVDADDLLADPERVI KAFCTSVDIPFEPYMICWDKTDDRQRAEALFKDWAPFHDIAIQSTSLMLRPSIDDLDP SVEFAEWVRMFGKMRHEPFSGVSRRILTTTCT LY89DRAFT_774072 MNAGLTEVLTTPRAFPSIELSRFISGSHDDQKRFCTEFVGALKT FGFVSLTRHGIDDVTVEEAIDWNRRFHALPVDIKLKAAHPKVAIPHRGYCYVGQEVVS NLTEQSTRHRHGSTVVDIKETFDQGLEDDQLCLNRWVDESDLPGFRSFMEAFFHICHA VHIVLLRCIEIGLELKPDTFVSRCSANTSELRLTHYPACDAETIRSGKANRISEHTDF GTLTLLFQDNVGGLEIEDQTHHGTYLPIVERRGEVIVNIGDCMQRWTNNVLVSTKHRV RLPVDYAEPRLGDRYSIAYFAKPGRDENVATLDEFISPSFPAKYGAMTAWNYFQARLN MLFV LY89DRAFT_494779 MYCWFDALSLLKEQRSCLIHTELTDDNGNTPWDCFVFVHSVQPT HLGLKQPSNAEREAFEDLYKAHRNGRLQNEIEYLEIAFGEVINRQSKEAITTLSRLSE DMKKQRNLVAAETYRVISLQIRQNMWEAAIEAVRERFEALQAEMKSSPWDREVRVDNM RISHLKVVEKKAIMTGGRI LY89DRAFT_717048 MAYTQHHVWLLVPAWGHITSGNALMVRMLQLNPSLVTTVVHHAM TLAKAEADIAQYADIPKDRIRLVGVGDPEVAKMEGANFMMVAFKQLGDGWLKTLGELA ANDAEWPKPTVLIQDQFGTNMFLPDARKIVGPECKTFMHWTPSTSCFYSFLVPGRLGG FADYEETVEKYLKDDSLRDGRERPGILEEVCKAKNGTDRLNGTVFKIPGMKDMYDYER EASNGPTPAGLAPMLCTMVDLAKNTDGIICASSEVIEGDALKACGEIQKIYGVGLSVA PRGWSSGLALKDDNIRNFLDKFEPKSVLYISFGSMFFPTTEPAHVTALLTTLESCSFP FIFALGGRLATNSLDESLIERINASGKRLIHNAWVDQQAILEHQAVGWFLTHGGWNSI AESLIQGVPMMIWPLAQGDQATNAALLSTREKPLGFEFLQIRQGSAKGKAMRTGQLIK GDLESVKEEFEAVFTKARGEEGERIRDNTKELAAELRKERDGRADAVIKELAFM LY89DRAFT_494892 MGVILLDRSNDALNINPPVGTFHLVVHGSDWLWAVCAVYCITLI ATILLAYFAKQGEKIFHYLFIISLLTGSVTYFAMASDLGSTAIRTADNTAGTRQIFYA RYINWFVGWTPIVLAVGLVSGVSWATIIYNIALVWAWVAIWLAGAMTPSTYKWGFFTF GLLAYFLLSASLLHIGSITAKRLNITRHYSALAGYLVFFWMLYPIAWGVDDGGNKIGV TSGFIFFGILDLFTVPFLSIGVLVLANSWDYRNMNLYFTQYGG LY89DRAFT_731972 MPNHLHQASKIDALGKAHLLTPNPKLDAALSNSKSNSLPDITIT PLQGQYLAIQCKLQRAKTVLEIGTLGGYSTIWFASTGAHVTSIEINPKHRDVAVENTK GLDVEIVLGAALDVLPKLHAEGKKFDFVFIDAAWEEQFSYFEWATKLARVGGAIYVDN VVRELLEEEELGAEGSLVSKVGKMRGVQASLITTCSSHKGVEEEMMDGFMLAIVEGEN LY89DRAFT_494575 MAVKAFLSSCLVFGLGLASVIPDKRLTHISRSPLNATNVTSECT HGPTSRGCWNDDFDIDTDAEVEWPNTGRTVHYSLEITNQTLYPDGVARDMLVINGQYP GPVLTANWGDFLEIDVTNKMEHNGTSMHWHGITQQSSCTQDGVNGVTECPIPPGATRT YKFQATEFGTTWYHSHFSYQYGDGVVGTMIINGPSTVDYDEDLGTLPITDFYYNSTWD VGHAAERTGPPKGDNILVNGTNINNLNGDGGFYHNNTLVKGTTYMLRLVNTAVDNAYM LSLDNHKFTVVQADFVPIEPYDADWVFLAIGQRLDVVFTADQDEDLPNYWFRAMIPAG CGGNYNNQSTVAIFNYQGSSLDLPTSTPADFEPRCYDEESLAPYIPKAVSRDDFEATY SAQNTLTVNLTSVPQNGHKVFRWTINDGSIDVDWENPTLKYIAESNDTYKAIKDLNLY ELPDANVWAFWVIQNIFPVAHPIHLHGHDFYRLGASPNIASSTPIIFTENNIDDLNFD NPTRRDVAMLPGNGWLVLAFRTDNPGAWLMHCHIAWHVSEGLGVQFLERASEIDTRMS MGHLKDDCDAWSNWYNAGPATKDDSGL LY89DRAFT_546118 ITRISTIYQIWFLYLEPVMALAGTYLCVFQPDRMLIGTVPLPAI TIASPITITPLMQLLLTNIGALYVLFAINEGLVLRLTKEKNVWLAVIGAMLVSDVGHI WAVYQIAPTRMGEFMAWNSDEWINYGTLWFGVGLRAVFMMGFG LY89DRAFT_731976 MSPVGPAQLKIIIIGAGIAGLSAAITCRRAGHLVEVYERSSLNN EIGAAIHIPPNASRGLLAWGFDTERAKLVTCKRSYRAHGTSLKTFHKTDESYMESTFG APWLLAHRVDLHEELKRLATGSDGEGKPAVAYLKSEVISYDYEAGSVTLASGRSITGD LVVAADGVHTSAVEAILGTSNPALPTTGYNFAYRFLIPAKALDSDPETEWFGKGENGC MKFFVEEGIRLVSYPCRNKEEHNFVAIFHSDVIGSREDWQTSVDKSALLDRYSSFHPS LLAVLNKATDIKQWPLLFRAPIPSWHKGKLVLLGDAAHPMLPHQGQGGAQGIEDAVAL GMVLTNCTLDTLGERIGIFEDIRIKRASVIQIFSNAGQDEPEKIRRDAAKFIPADTVP KTPEEFHKFNFGYDVVRHSTQSIEERYPEWVLPKKFFEHEPRRGVYP LY89DRAFT_683399 MIGIVVKVVGISLFLAHSIFGFATSLLIAMFSVASMCVNAINGA LFWCFSTQHFQATNLFINSLGDWILRLSWICIRIGVTILAQIYQAFTNFDNLFAVASH ILDGVVWITSSWPLHVLAAIAVIIGFRAWISTSRRLDILATVALAIAVQIYVLTSGQV NTLTIAATCIALRAYISTSPRVNAKLFSAAFFGVVIYVFNPQRPDFMPVAALVLGIGA YVVSKDSDSEQVTELPQPSRIAPTPLPRQISQAAASAISASTNNVALREVQDRLCTVC GDRKALSLFPIRPTRRCRHLPSICDDDLKAWIDSQLSSTIWDRIRCPGTNCKELFRHE DMKLHASEASFALYETLQIRSTLSQIPDFRWCLNPTCRNGQIHAQNSLNPILTCNSCG YKACTIHNIKWHKDETCEQYDYRISGRKARSEERATEEMIKQTTKRCPRCKTNIEKVE GCDHMTCVKCRMGFCWLCFVDYELVRRIGNSAHRESCRHHTRNLRG LY89DRAFT_717054 MCLGPTNSTLHLDTGLVDSRADLGINGQDRTQWRKKMSCVPITT DGYIRAVRSDADQDGEFSPIPALSVPDATLTLIFATFFLSYLEPSDDAWLSAHTEVDV DILIASNSDDTVLKTYSQDQQVSVLACREQQQICNPTRHSNNTSVCTPFRSVSHDFTR DLEDVLDNGHQLMIAKTLLDVAPGLSFPDDIVRSPLLAEDLAGLPLSAPLAPNQWVLE VEHWFTIGLANLQRLMLDIVTGPSSSQYLQFIPQNQADNDTDLHWMCGNQIIRRSDYS NFRTCSISLIFGFGLLIYVANQSLETVVGWLRFKWRAGRSRQRAWWAEGTLQLQRRVF ESMGILNWEVDEWDRIPVTEECRIG LY89DRAFT_495585 MSSFNTAIHVGFWTNYSKGVILGSTLTLNNRNAGILIAAIAIFI QLIGGQSWGIVRFIAHQLCTTTQSRDGLHHQQQAILRNNNSDISTIWMFARIGYAWHS RCPKSFQKSISLILIGTFHLLVFDAASILASHITTTDSEVLVASSPYCGS LY89DRAFT_696049 MDPVHGMPIVAAREDELHESIDEKLHSNEKGSISEKYASEEDVE VVAVDEDGDVIRESDYTPEEYKKLLSKIDRYLLPLMWCCYGIQQTDKTSLGTQALFGL RADTHLKGQEYQWLTTIFYLTYLCGEFPSNFLLQRWALGRTLSIYMLCWGVCVISVSA AQNWAQLMAIRALQGFFECTISPGFVLIIGTWYRREEHSSRALFWQSANAGFGIIADL VNYGIGDYAQKHGGLEPWRGISLFLGAATLVASLVCFILLGSPKEVRWMNKEEKRMAA ARIVKNKAGRDVTGIKWNWTQVKEAFIDPVLYFSMVNAFLSSVPNGGLTTFGSLMYQS FGFSELQVLLVGIPRSVVSLIIFLIVGIYTRKVKNRRLFIMAFSTIPPFVGMLGMALL PNNSHYKWTKWGLYLITVPFVLALFLAWTLIPSNVAGRTKKTIISSATFLGYCVGNMC GSQIFRTKDAPQYIPGTIGCAVCLGAEFVLISCWRGYYMWQNRRRDRLAAESGISREE QERLGREMGERDVTDLNNPYFRYTL LY89DRAFT_554531 ADASAPAPSSSSVLTHRQRTKEDFDKILLDTYVNRDLVHSSAIT DDHDSRIAHYTHIRNELDDYKKVRSDYKVWFPPSRLYGEGYSGYGNGRTDGPTRLLYP QHKPRLGHRKTQRLRIKRKELLQQAEQVEELVPIRLDIDWDKVRLRDTFTWNLHDRVV NTDLFAAQFIEDLGLAVPPANPVLDQVQQQIREQLNDFYPQVYIEEDALDPELPYSAY KNEEMRILIKLNITIGPTTLEDKFEWELNNPLNSPEEFAQSLSRELSLSGEFTTAIAH SIREQCQLFTRGLYVVGHPFDGRPLEDADLIASFLPSPLPSVLRPQQQAKEFVPLLYE MSEADLERSEVIWSREQRRQKRSVNRRGGPTLPDLKDRQRTVRTLIVSSVIPGAADSI EDSRLYKRVGGTAGRKRPGARDDDLSESSESDDAAPESPAMANLLSGTARTRGMRGAA TAAQQRMANIGRSETPEAAILHHHETRTSARRFGRDAREESMESSSMIVKLKIGKEKF KKLLRDLRSKDRPSNLTSTPSYTRATSALGTPAPGSMGPPSTPGVQNQTLQPSSTPAP QGQIGSVDAPPPPAPGQPVPAPPPPPAWLTSGLNALLTQYPNDRFEGVMRYSAVNTTT EAPTAQPPAGQPIPDNVKFMYLPRIRCQDCPGKLYTPGPETTVGNFEVHLKNRQHRER VEARVASSSTGGS LY89DRAFT_683404 MADKKETEEIDYTLNNPDTLTKYKSAAQISHKVLEAVTALCVAG EKIVTICEKGDKLLEEEIGKVYRGKKISKGISHPTTVSPSSFVTPYTPLTSDEAEAAV TLKEGEAIKIQLGAQIDGFGTIVCDTIIIPSAENANDEISGRSADLLLATYWANELLL RLMVPPGLLATGTDEEKAKAAKIKAPTQSKITTLLEKVVKAYDCNLVEHTTSWEFERN EIEGKKKIILAPGDGTKGEGIPEVGDVWGVEMGVSLGSGKIKTLENRPTLHRRTTLTY ALKRPSSKKILNEVVKKFGVFPFSLRQLEDERDAKVGVVECVRGNVFRQYEVVGDKDS EPVGRLLTTIAITKNGLQRLAAPPSPDLSKIKSDKKITDEEVLKILEQPLAKETKAKN KKKKSKLAKKAAAADDDDDESGDE LY89DRAFT_667522 MSTPRPTRGSRVSSPYVGTPAAAPSESQNSNTARAERPRTFMDK WVEPPLPAPRPSFAEAGIERHGVVQNMAPLGTRPSSKMMKAVTARLESDGLGRTNIKR AATSTVTTPGESAMTPEPFSNPLPVQPEERSETPEVRAATKAPEEPASPVPSMSRSSV PPQSNGQGVFSVHKSPVPASRTTPTTYEAPVLQNTTPYAAPAAYVASPYSTPAPSAAP INAAPVAYAAQTPAPVHHSPYPPAQTPTPSTLTPLVVPPLPMDDDGLPSINLELTDKI VEKAVQDAIDSLKYPTAYALRTLYDDHRKNKRIVRLIEAVYNGRGTDRDFQEFNIIMR KKKREGKKDRTGEYYFNGDGSDPAPAQHSYAAALQVVNPPAQPYKTPYSALPPRPSTA NSVATDPQVDASSIAPASMPASPQVATNDPDEHIDKKLKMGNVLPSLELNGHGEASAN GTKMSQSPTPNGMINSTPKRVRLNSESSSSSLSSIDENLLGEGVLSAGVSPARPVSSR LGPGSIGLGFLGNSAPRFISPYASANNFAAVASGVSASSSAPAGSGVSGVGIVGGSGA SVAENVAHNSNQGQPITRQASSAPKAPQVSAAKAPRTRAKCSSPSDSFDNNSPSSTHI LSTTTHNNTTIADHANNHDTSSSLSASNNNMAPAALLSQESLSSSDSAPVAAAVPAKF LKTKNGHGPTVIENEEVRKRKREAREKSNKYVGREESYVRSSTQGAPAVQEPESASDV DSGAPPPKRRAQIRLNVKKPKQHHTRNHPEEEPDSSPTTLGFRPDIAPGSVNTSRAGT PPLLTRRTRKTKAAGSGLRLKSSPIKKKGGTSAGLPKESGPKSPSGTGATSNQEEIDN NDFCSACGGNGDLVCCDGCVRSFHNKCCDPPLADDVAERSGESWYCNKCQAKDDDSRG WPFQKVKVFDQMQYWLEDTNPSSFHLPKDIREYFTDVKTGTEGEYEEVQPPPPPKITK KNAEEFNIDYFRKKDAKGNPVLCYSCHLSASAPDRMIIPCSFCGLNWHLDCLPTPMAK EPNPLRQWRCPAHVDDLLATLPQFLGPAHRFRTIKGAPVEIPAIDRGFRNSGHIEIEN DDTDVEEEPGFFEHREFGKIYKLPEKGIALDFIAKVKQMGGGYVPSRFSARAEHAAQL KNRAHAWQSVTDSLSSRWNKSDLYRQQAALNLAAMATSTPEIEATGGLNMLVDTLLTE APPAAVAMIGRGDALNIAGAGKLSNEERASLKAMKARIDSRLDADSVGSEVATDPTFS DPITSTDDADTTMSMDDEPAVEQTRAAED LY89DRAFT_581626 MAPRRGAAEVVAEEPQLGSLTFNEPLSWRAGKPIPTGDLLRRLD ALSSELREMDQEETDKDSLTKVAKELAGHNLLSHKDKGVRAFTACCLVDVLKICAPDA PFTPTQLKDIFTLFVTNILPALSDPSHAYNTQHKYVLVSLSEVKSIVLLGDISSSESL ILHLFSSFFDMISGSSKSSTGEQISKDVEYHMTQMLVTLVDESQSVPPEVVDVIMAQF IRATLSPTVKSKQDTDEKQATLLLKELPEAYNMAKTICNSCPEKMSRYVSQYFNEVIL EVSGGVPRANGHRRTSDGAGDSDDDEAPTGPTESDLKELKKAHNLLRELWRASPAVLQ NVIPQLDAELSAENVQLRCLATETLGDIISGIGAAGPPPPPHMDPAAYPPAKLEDYPQ GPISSSILTTPISPQSFAQTHPSVYQSFMGRKNDKSSVIRSSWTHAIGRILLTSAGGI GLSRDEENSLVKGLAEKLNDADEKVRLAAVKVVGEFGFRDIMSKLASNGSVNKQGSVL CSLADRARDRRLAVRSEAMMVVCKIWGVAAGEIAAGNESVLDTLGTIPSKVFEAFYAN DLETNVLLDHVMFEQLIPLGYPPAKGKASKATNGESQTQINGDGPFDPDKIRTERILF LIKSLDSKARKAFFAMQAHQPTFSKVLDVFLKRCEEFNGGVIDQDAKDVKLKLDTTIK WLADFLPDSFRASHDLHKYAKMHDRRSYQLLRFAMAPESDFSTVHKAIKEFQKRISSA PGGQSGLLETLMPIIYRSACLVYNRSHQPLILQYSRTDENGLSATAHIAMKEISDKHP EIFKASVKELCKSLEEQAPSETKDNDPSSVDTLKALASFAKAENNQIPNDRKFTQTLL NFALYGTPPKAAKYAVSILAATTNRKEMHMKDLLDKSMDGWEYGKDHFLTKLATISQL TLLDQKLTEDSNDEIIDITTQQILLQVRTPKSDDDPDWQFDHLDDECEAKCWAIKTLV NRLRTVEDPETAKKLAVPVYKLLNTLIFKEGEVTKAGNTPKHHKSRLRLLAAQQMLKL CTTKMFDEFLSPIDFTRLSFVAQDRLEVVRRGFIEKLQKYIVKNRLSQRFNTIIFVTA FEPGSNGSLKESIMTWIRSRAKISHHAKTRTFENILPRLIHLIAHHPDYSVEPDELKD IGTYFLYYLNAVATEENLPLIYKCAQRVKQAKDAIPSADSNNLYVLSDLIQTLVRKYE EKKGWSMQSWPGKVGLPVGLYAALPSHEVAQDIAEKSYLPDDMDDLLDRLIRDADKKK VC LY89DRAFT_545142 VQTSGVYTYLGCYTEGAGMRALQGEFFPDDSNTVERCTANCYPF QYAGLEYGRECWCGDTIEGGASSVQNLQCGMACAGNSGEICGDSNRLSIYQ LY89DRAFT_581241 MSGPLDKISAPGLKIDGELLFNMRSEVAQMLDRKNLSFPGAQPV SFARRHLDELCRQDYYVCEKSDGMRYLLYLTTGSRGEEVQYLIDRKNDYWFIPPDGLH FPVPRDVSGFHVNTLIDGELVMDKLPGSGNPPKYQPKYLVFDCMVLDGNSLMNRTLDK RLAYFKERIFEPYQKLLDDYPEEKQFMHFIVELKAMQFSYGMEKMFKEILPSLPHGND GLIFTCRMTDYKHGTDQNILKWKPEAENSIDFLLKLEFPLRQPDAQDVAEGVTQPYVD YDAIPVCNLHVYAGDGREERDPWFATMYIDPDEWESLKRLNEPLDERVVECFMDSQKR WRYMRFRDDKTNANHISTVESVIESITDRVTEEDLLNAAKGIRDEWKRRAAEDHSRMK KEAERKRVASVGVNNGPAPLGGAGGMKRKADEQGNARPSPGPPGKS LY89DRAFT_780508 MVYTGPSRACARCRQMKKKCDQMRPQCTRCIEARIECYGYRDEF DILYRDERAKARARTIAPKMGEASSRAALPLIKREPAPTSVSSAVDLSLTSVKAQDMI PFFQQFSDPAKSCGWPGWLSKVPEQYAAAPENACFKPALLAASFAILAKKQDDVSYQN TARAFYVSALEAISQALNENRYDDSTMLAISLLDTFQFIMEESVGTHGQHIAGLKAVL ALRQSGADDKEECAKVKAESDGKLGHSIFAFMPQIQTLLHEIRTHQTPSEGSVEWVTN LSQEFGGARSATALLQFGKISAQSHQLCKVVRRDLVEGRIDFEAHLDSTELMSLVQIS ELVDQTNQNWSENGNSKWEITRRPNGFGLNAGGFPLIFLDSYHDLWTACVWNVNRAAR IVLMDTIIESAELLAAFNTDPKQVESLVSSALKARGIIASMLENIQCSIPFITGQIDS AGRLTVGVDATALSGLCMLWPLNVIHSCKSISLVDRQWARDMLLDLGRRTGIRRAWAL ASRKIEVDENTVASRRFVQ LY89DRAFT_717064 MRLLSTDCFAGLHATTKIKKCQLGAIPIAVSHLPNLQLVLEHSN LANMPLISRQEAPQSIFHTLDGADPEDVDEFGFGGDAREFIERELAKTHESCVSPADE PLHSAAEALLQATPHRPDFSMATVLRAIGLRAKIQSMVFSVFMFLQDVCLVGMDGLIQ PMELTNCKHDEIRLVMQALQCFEKIRVMDEPDTYRYKLSSRSQVTLP LY89DRAFT_774091 MLFNLVFAIFIALSHAATFLTQENSLQSRDNTTSGISEDLFDKF KLFANFTSAAYCPSNELADSTAGTLITCPGTNCTLVEADNVTSIVEFGGSNDTTVTDI KGFVSLDPSKSLVVVAFAGSGSTVRDWIADFAFIMVEYTLTGCTSCWIHAGFSTGWSE RRIVVLDAVTTALADNPSYSIVITGHSIGAAIATLAAAELRSMNYSVDTYTFGSPRVG DTAFATFVTDQAPALGNNYRMTHFNDPVPQIPPTWIGYEHTTPEYWLSDGTDTTNNYN ASDVVMKRRNKYFHRFTYAHKFYNNTLPFRIRNGAPREPLVAYKRPKSVNSRLTLSNC DLPMNPATCALTHTRSFAPNPNYGLDCAFPAISPQIVAIMSASNTIPSEESKSELVPI INSDDSSSEVAPKLKVFTLFPLLPLELRRNIWCHTFLDTRYVCLDTDSLARCRHIKWT WEGEYESLALEKSQSLPVAMFVNSESRQEALMHYITVERLRYIGVTPMIKPKPLCFSI ESDRAYISQYMLREGHCDRWFNSIKFKNPEFFDRLQVLDIRGFEFDRKSRHSLVNAAK PRDEDHMRGDYFFCQPLLRFKGLKLVKIFMQDFIYPTRSLALAEQDLLRCANAFFERH KEVWGGKPPMVEVQEYDATLGHNFGL LY89DRAFT_717066 MGFSALTRRTLSRKPLNLRSYRKPPAYFQSRRAKSSQKNTSNHN EPLPSANFRDLGASRTVKIVVFTFLAIAGTLEMITWTKLLWAKFGPSAEDDTVDSGSD TKK LY89DRAFT_696054 MGKEAYEKKMAHHEGMKQLWETKWRFPCTISVYPFHDGKFEDFE PVFLELIEKNINDGTSPEYTKTFFPIAASLTKQGDELVSTNPVKASELYLRAACLYRI ARFPYIGSFPAVNDNTKWEAWELQKAVYMKAAKTWEQPVTEVIIPHTHKAGEDRADIP AYIRMPKAKEGVEKVPAVLLMTGLDGYRPDNTVRTEEFIARGWAAVVVEIPGTADCPS DPSDPESSERLWDSVLAWMEKNGRFDMKKVLVWGLSSGGYHAVRIAHTHKDRLIGSIA QGAGVHEFFDKEWIEKADGHEYPFLLSPAMALKHGYKNIADYKNGVQKKFSLLESGLL DKPSTRLLLINGTHDGLMPIEDSMLLFEHGTPKEARFFDKALHMGYPMANASVYPWME SVMNSS LY89DRAFT_717068 MKTALVLVASTLAGKTLAGAGNQSPSCTDISFPVTVTAANAIIP ITTPITFQQVSGTYNIAARYCEPQNFVESRENTLQVLVHGITYTRNYWSGDGTLETSY DGAMYSWIDFASKQGYPTLSIDRLGNGLSDHPDPVNVLQSPLQVEVSHQIITIARNGN LPISKSRKFDKIVYAGHSYGSVLGNGLTVKYPNDADATILTGLTSKYNFGFIESVFVP AVVPASTVNPKKWSTLNSGYLAIDNKTAFELGFYYPGYFDQGLVDLDWENSGTVGEGE LESALVFGPEVATGYVNPILDITGQHDSLMCTNPDPITARTPTGLWQTYNCGNGDNSS LAETKSLYPNADYEYYAPLASGHCLNFHYDAQLTFDYAHNWLNWNGF LY89DRAFT_683414 MTTSAKDSKAAPPSDDESTIKVAPKKDSKDKDKDKPNGLKLKKS LPKIDKPGNWRHGSVADDKKKGGDTPSTNSAAASPGPIVNPVDDALRDSFSSGRPMED KSETLKCNTCKKAILQTAFAKHNKECQKAKAEKLKKKKEAKEAREREKREEKKENDKD EEGDTKMDDDDDNEEEPSPEKKGPGGLKSAKKSAGKKVDVDDSKKGKKRKAEGDAEKG PKQKKKKEEPKPKVPKQRGPVDVERQCGVLKDGVACARSLTCKSHSMGAKRAVPGRSL PYDMLLAAYQKKNQAKQQKAAIDANAPLEDEEEGNGPVDSDEELTAVMHGLSNWEPQP VVPPLVHVPIEKEYHRRRLRDQLMTATNNGTVNIFKVVGYGAQKLPPGHPGLQEEGPP GQNGEETAEGLGIGMANVAARRASGFNMQMPPQRRPSGSQR LY89DRAFT_496010 MATDYSKPPLDLKWRSHTLFIISTVALGLFTDLFLYGLVVPILP FILSDRLHVPHSEIQTYTSILLACFAASSVLFSLPIGIIADRLSTRQLPFLVGLFALL ASTILLYLGQSIAILILARILQGMSAAVVWTIGLAMVMDTVGSEKLGVTIGSISGIIS VGELVAPVLGGVVYKKAGSGAVFGMGFGLLAIDFVMRLAIIEKKAAKKYGCDEQEGEE RDEEADESTALLSNGLTKNENLEEWKIGKDQPFWIRKLPILYCLGNKRLLTAQLVAFI QATLLGTFDATIPTEAQDLWDFDSLKAGLLFVPQVLPYLLLGPICGKGVDKYGARLAA GIGLAYITIPLILLRIPQPGGTAEIVKYCVLLALTGLGLALISAPSIVEASYVIEQYH KANKDFFGENGPYAQLYAINSMCFSLGLTFGPLLAGSLRDSIGYGNMNAVIAGLCAVV SVLSFMFLGKIPKVVES LY89DRAFT_581420 MTTLTLTEPSSSKFDIELQAPSSPYFPDKKRASISGGEHQSENR SEPKLGHPDVEDLREEAIPPDTAVEALQTWHSPRINMYRVFATFWSFFTVGMNDGSYG ALVPHLEKYYTLSYTVVSLIFLSPFVGYSLSSILNNHVHIRLGQRGVAIIGPACHLVS YIIIANHPPYPVLVVMFIFVGFGNGLIDAAWCAWIGNMANANEVQGVLQACYALGATV APLIATGLISSGGLGWYSFYYIMIATSTLELLTSSTTFWSQTGTIYAAENPRDPSSSS STGRTREALKNKLTWIFALFIFGYMGAEISLGGWIVTFMANVRHANAFASGATATGFW AGMTVGRLGLSFLTAKLGEWKSVILYLSCAAALELIFWLVPNLVVSAVAIALMGVFMG PMFPTAIVLVAKLLPKSLHVGTIGFATAFGGSGGAIFPFIVGAIAQAKGVKTLQPVIL ALLVAIAGLWIVLPGARSIKGSMNRRGETETEGMEMSGGVARSE LY89DRAFT_496134 MSSARSEHLTLGYLPTPATSLPRSGSSTANTSPTEPSSGSALRS PFGLSGGLNSAGKMASNTRSGAGSPSHELGGGASRLFSKRAREIQAQEGLPVNVWGPP TSGNSTPLRENIPESPTDGFPDFSHLPTPESLPSTTRRARAGTVPSRFSPGGVTNGLG ALPSLVAKTSRPTPSPSPFKSPSPSSIGEIGDINASNASNSALLSRLRAGSMPQRSQY SVAGPFGPSVFSTNWASGRERTSTLASIASQGSNQPSSPAQSQFSREGTGDNDMQMRT LDYLGLADTPQPPRAQLANPFLANIADISKSNRFRSYSVNAKEKYAEDEEEEYGTGNL TPYEAQQAQLHMQLAATNAAIQQHNLAVQAFANQASSNRPRARTAGVLDSPARMMKQF YPTGTRLDNSLNAADLGMAESREYDDLPAAVAAMSIGRSTSRNDGHLNPDDNLEGPTR ALWLGSIPSSTTVSTLTEMFKQHGAIISARVLTHKNCGFVNFERLESAISAKVMMNGK EIFPGAGPVRINFAKPPSPSGTPGHDGTFPSPSPDPFMKGGENGTNGDANGVSPGVIS RPGTAPLAIPALRDMKSDILNIVGEFGATPDDKVKITRSLDAAISYDGFQSEIPAIQE PSHNRIHDAPKLRDIRKRIDNQSWSPAEIENIAADMLPEIAELSSDYLGNTVVQKLFE HCSDDMRDAMLTEIAPHMSEIGIHKNGTWAAQKIIDVCKTPKQMGMIVNNLRAYTVPL FLDQYGNYVLQCCLKFGSPFNDFIFEAMLSQMWEIAQGRYGARAMRACLESHHSTKEQ QRMLAAAVALHSVQLATNANGALLLTWFLDTCTFPQRRSVLAPQLVPNLVHLCTHKVA YLTVLKVINQKLESDARDTILQALFFSQNDETLEAILSDHACGATLIFKVLTTPFFDE SIRSQVVENVRNVLLRLKAQPAQGYKRLMDEVGLSTRNGSTGPSRDHAPNGHSNERPR PGSNHSQVNGHIPPPQYGNQFFPQVQQPGFDMGMGMQRTDSMDSNLGPFPSYGIQAPI FNPANVQIPPINMQQLQYQQQLLARAAPPMNNFYPNMQSGFGYGSASPSVDNYRNQGL QNSSPIQPPQPQMNPNSMLGQNSFGPPGFGNMGMGGYGFAGMGMQGMGYGMQQEQQVN GRRGRVR LY89DRAFT_683419 MALMFVLVRIKDEQATRFRPLLCPLAPPVAAAAAVAVDISAAAS FSAGTDSSVC LY89DRAFT_496159 MFAHPSNLTQVFLSPYSNGHMKFAASVRDSNRRNMLHPAACVRA CVHFDDLDDLGMDVILLVSAYAAYSWLHIYISRCRHPVALIDATAADPPA LY89DRAFT_683420 MAEGGEDTPMRTDEESEDAGSGSGSGSEEEDEEEEQVEWLATTR EKRATAGNRLANLLQQEDPDDELELLFAEADDDVGFEDNEADSDVQMDSSDDDDDQGP AAGDDDLDGEKELQRQAKAEKAKKRKLEGGMPKIFKKRVKIDPTLSQSPAPRPKKKSE RTSWIPTAEDAPTRASARGTTQQSKQQLHMQMVDREVKRLKQLANMEKAAAKKEAAKK PALTQADRLKEAERVEKANSKSLSRWEQAEQEREEEQRAKLAALHDRTLEGPVITWWS GMAEWIGGKLRKVGKNLTIEEKEKPARKRKAAEMEESESGSVAPPEASIPSAIGQDEA TEDVPKDAQSMPDATPIPEKVSQPEVKPEIVLQPDNGSTEVSSTLPTKPTTPPVEISA PPPPPNITPIVHAPPRSSVLAPPAGLPLTAPPPPMYMQSTVRSQFVATPPALDGSAPL PGLGYNFPPPPRAPSIPLAPIPNPPAVPEGPPPPPAVEHGAVNYLILENFDETSIKDK NVQTQILFNRKFVKVPRSKASHEVCAITGYPAKYRDPSTGLPYCNQYAYKEIQRLKRG EYRWSKLIGAYVGFGTYAARGVPDRFREGLVKPKPQQSTTGN LY89DRAFT_683421 MGAKSMWPMIPSTLMMFLLALTSYAAMLHLVMMLRRYCEENECG VRRMFVNAGH LY89DRAFT_717073 MHSFHLLLLTFATQLCVAMNVPANIQNFYNNIKNAGSCSNILQT AFSYCGDHLDDYGVIYLQGTDGALADMDIDCDGLQNGPGDDGRCGSSTDTQSQSSFED IISGYGQGVGELNAFVHPYVVFGNEGSSGGYQNFNPQDYGVKPLSLMAVVCGGQMFYG IWGDENGDDGPKAMVGEASISMATLCFGDSVNGNSGHADTDVLYIAFTGSDAVPGTSA KWDADSVGEFEGSIQALGDNLIQRIGGGGSTSPASSSPSASCPPCSWDGHCAGASCDT ADDCDGSLTCIDGQCGGEKVLRDEEQITYRSKSENVGQAYFIENVGLSPRKRQENIAK VGPGKPAGDEATDEPLDD LY89DRAFT_496240 MGSPAAATPGTLAEYDIILSISEEAINRQFQILYDKKIDEKGGA LPPPPGMEQDGVAPPPPSKYLINHELEIHLAEEGLSGQPEIDYESGIIGHTKCPKVSF KDSGTSNKGRISFEFERVETAKEPDSVFKYWVGKGKAAETRSQVINGYTMSWEVNLGQ KNIQDIAGELLNPAESNSSPETLHPDAVKAITPLANEHFTIASIFCIFEAAQIADSFR LFDAESKPLPDVFHTSFMTKVAGYFSLLQRKIKPGRATPDHPFVLGYGLSQTLPTIPS RQPNAIPDSTPKFFIPRKYNVTVTPGQGSNFTAGTFNFCILTHRDENQPGRDPVRSDP SKNLNAGKLDKTFFDLTRTREHDGLMAFARDLIFDNFLCKEVANSFFIDIEAIFKKAM DKGSIDSSEYKTTPSMNLSSQPPSWSKKQTAELSGKIKRMLLDDKQNVTGFSKLDVSW QSDLQTNPNIEEKDARRHAQLIFTSQVKEDFDFVDVGFISDDKLFAHVDMIYKYVLNI SPGTGGLVDITKDDKASSLPVRETSGKLKLIQAERKDGEYGAYFTTTKKTAALTDLWN NLKSVLPEQFQSFFVDVLDAMEKAWAVKIADTAIAEYENKWNAGITSIKNKVILPAGN VFNFSGVDVDSQGNMYTHVSFSNGGEKIKKTHGDLKSGDKPMVGGEKPTA LY89DRAFT_717075 MSSRLADLCIGSWPTFPRRLRTARNCNRQCFSCSSQLANMKLGR AAHGRIPSAVHQTFSFTFAMVSQSDLTKPVVIATKVPAPPKENGVKYSDDGSDPFPTI ELRACSEFTEYAAPVDYFSSKSKLKTVRSFRGEPVIFAIGKGDRLNCLVHEAGGSQGW SLHDVSPSTAKVISFDVSVFAVDDPKTNQKAGVFFAAATQVGEDLTQVHTAFVPASAF ASSSGSAGLSQWSKIPWRDVADPKGGKSVTSILIGSVKGRKVGQSTTVVFAGTKLQRL KAATYYAIDPTENVTDPWVPFSPDSEADQILDVQPASLQGEDGLFVFSEKSDRTECLI YSLAPETLDKTNSKNTLPGNLGKINAICSSKNPWNFTDLIFASEKGIGFINFKHPTDV PQEVTGLPKIGFREVVCNEKINPNNQEETCITMFAVADNDDLYYIQGSRKWKKDGSIT LASSGLPIRQNVSRVSCQFNAAMNSSELIYTGTGANDVKHLLRDPETTCWSESTISFA APRSLTKYQAYVTTVSLRSTDGRSVGKDFAVKIKSESMVVLINDRSYGLSTKTREVRT DGQGQLIVVSQASESLKTPAYAIEISREGITHTAVIDGGQRVVEGLSKMNSPEKLANA KSTNGEAIFEKGALQNRQDDFEQSAGLLKEFPSMLSGLSSQSADKSGTEVTLERENSA VSSLATMKMSAIEVSESSVTDFLGDALEWIRGEVKNVFKVAFKVVMKGVKLILTIAGK VISFVVDTVGPLVHAVGTFLKDKLGLDFGKLFKMLGLIFNPEKTKQNQKLLETTIKTV LTLPGQLVRANSQNLDDLFDLMEMGLKPYLGNNTKIQSSEDPNTRLKKSPLGWLFDNP VIKIIMKLNPLSIILEAFAEEFDASGLGDDFEVPNFVEFLTPLATTLWNGFQTVVVDL LDFIKDLWSKVSDAASDPSKSMEKIREVFQAGFWFLFNTVKTLVKTAWQAVGDFMDGL VKFLEAKWKFPFITSLFEWYAEQPFTLMNVCTFVLARVLGIVIGDDNVAKYIDPGNTM DELSAVAKRSDIFSIDLKSVMSMQIPTESVVVANTPARSAGISSQSMSAGTQRLGQSN MSLSANRSPMLKMGVNNSTQAHRSFSTSTKPAANTAQHSLSKDAKARIHKYEKCSRLT TSIVGIFKFATISMETAHVFAEVGAAAPVDHDGPQMALPIMTIITTSVAFAGSIVHLC NWIVARNYSEEVKLHLDDSFASICTGVAVGMGSNAIAIGFSIASYYAKKKGTTAAAGK GFAAVSGLFLCIGSYGETVGIHLVPSFSSDEPDLIGKTLGFVEAIGTTGALGALLAAH AKDPDFTTLGLVVDGLSTLVITLTGLTLLVSDDGGH LY89DRAFT_613354 MSSSTMAKSQKPQHLDLNGNPFELPTFTMKEIHDAIPAHCFKPS ILRSMAYVVRDYFYLSTLIYLAVTYIPLLPNVYLRFAAWVAYTTVQGFVFTGIWILAH ECGHGAFSKSKKLNYTMGLIMHSFLLVPFHSWRLSHSQHHKATGNMDKDTAFVPHTRD SWVKTNFGAKAKANMIEFAELAEDSPISALWHDIVHQLFGWPGYLLFNLTGQRYGGAK GLKITHFYFGEDSVFFKKAELPLILLSDIGVAVMIAGLVVAGQIFGSWNVIVLWGVPW LWVNNWIVAITFLQHTDASMPHYDNKTWTFARGATATIDRDLGFIDTHLFHDIIGTHV CHHLVSTIPFYHAGEASIHIKRVMGKHYKADTKTGFWTAFFRNQRSCKFVEETEGAEG SGVYMYRNLYNREGETKPQNLAGCVEKEHKEVKEVKSAMATAMSSSRNLDARRRLSHS AQLATLPMLADG LY89DRAFT_496254 MPSVRVNPRKTKPPPNQILVTVRLRRIVPCLPRLKRPPVALLLL SAANAMYPHGCGHWKLSGCAAERIRCRCTADGWMEMDANCPLQQM LY89DRAFT_642756 MKFSHVLCLFSISGVLAYPIAQSNTLATVGSGSTNATTDFSSAV DTSSEDGTNDPDTAVDDIKTDAAKASFGSKGSSAGGAKGSSSNTKVTSASVKSAVQNF ANDANTVSSSLNQLGSTTDTATIKSLATTAFKAESDEDGQRSVLASAAGSAGSASNSK IVKNTPTVLNGLSAIMKKPTVATTKSNLATIQNARNPNILPSITQLSNAALDAMGLPQ TAQKFPATTG LY89DRAFT_717078 MDYQSVWLEIKEDFFSQTDKSKYPEYTARDLERLFEFFSQEANN AFPNETSPDQQCRAEYNVWRIIWSEKEAPPFPGVIPAGLEVDIWMNPPSYLENEDIWS TLATVGITNENEGTEDEDKQELFAASGVNHNTKHDWSIGLYNDGCPNCYARDHKEFEC RKTCQQCSGPHTISDCHMSQNSEWGKEALKTEEPDVEDEVKMLKERIRELEDEVALLK TTNTVPKAVVIKRERDDDGYDGYDGYDGHDAESHKRVKMESGYDT LY89DRAFT_780523 MWSHLLISVFLYTVHASSVDATTEPCAQVSAMVVPMRAADPGVT PIIPGELAFNCLQSVPLHSEEALAVSGALLPYVEFQSDLSFKKAPPSGFPYSAVDLVG SVTRIVNNLKNNSYPNEYAWQMDMFKTFMSAKDGHFRFAGDLISRPIRFTRNVSLVSV SMDGTSLPQIYVTDEILRMTANSSKPSAITTINGQDAVTFMQTEADKGFQQDPDAAFN TVMYNPALDFTPGLTVQGFFAGDGRYGFFYPGPNTTLNFSNGSTSVFQTMARVPGNFS GVTDGESAFQKFCTNPTAVQVAAPAPPPFEPGDPVNNTARLKGYPIAQVSSSDGQISG YYLTSAGHNDVGVISMNSFEPNTPAEFQAVIQTMLAEMKRDGKTKLVVDLKGNGGGII INGYDAYRQLFPQTQDVLFARQRIGPVYSTLAQLTSNKFSNFSVATSPTPSIRRMCGV NPNLLGEMTLDQGGKHGPVHHKSCTINPQCPGPTSRNSTYYGELCGSRSEMTLDQGGM HGPVHHDKFTIICAAQRRSMTSVFGLVVFRDGLGVWLRHLRQKPHCLRGAPLKALVEL FATYGLLVPEQQKPVLHQKSPLWRRCKLQTFFAEKQHIRYFVADDAKGAVDAGTKSLD LREADFFKQLSEDVAVVEEDAKAEANVVHGFGSHKSAVVPWLRRTGIEEHTRGLKKDE MHASFAVPKTAESEPELFLMLEIIDEIFIEAHSWCFDGPDCMLTWPQQLALSRFHTAA ALGQKLRAFDPKKEPNTLKTNFGY LY89DRAFT_683430 MGEILGWRLLLFTVSKEVVGPHQAQWDVDEKVLTYRDVDLHIDH VPRLLLSDF LY89DRAFT_683431 MVPLLGHNPNVSLNLFGILVVSPVVNLKAPNVSYKLSFNDIDCH LPLVGYV LY89DRAFT_613359 MNRALSIRSNKNGSSSSAAPRHGFSLSSLRGTVQPELSKKLYKL IKSENHLIQSHEAAGKERLSIASQLSDWGEATNDDTISDISDKIGVLLSELGEQEDLY AHNIDDSRAILKTIRNTEKSVQPSRDNKAKIADEIAKLKAKEPESAKLVTLEQELVRA EAENLVAEAQLTNVTRQKLKEAYATEFAATIERAEKQIILARHGRRLLNLIDDTPVVP GDMRAPYEYTNQARQILNDAEDDLRDWQPELEDVPSSARLENNLMPSSGSHQQTVDDS VGDETMIHADPEQSRISSGTSVTTGQVGHGYAPSETGTAGTTMNVA LY89DRAFT_642760 MSRHFLLLSSFLFTLASALYTPAVHSRDITYSTAPIPPSKDPWY TAPPNFEDAAPGTILRLRVAPGNIPSVIANCSQAYHLLYRTTNALYQPSWAMTTLYVP LSASNSSSTASALLSYQIPYNSADVDASPSYAFSAGSQTGDLPNALGRGWYVNFPDFE GPLAAFGAGIQEGHAVLDSVRAVLSSGFGLSPDTRYAMWGYSGGSVASTWAAELQGQY APELNFSGMAIGGIVPNFTTTLGLLSGTVYAGLLPSALLGITAEFPESREYLLSKLKT NGAYNATGFLESLELSFEEGFIFYQYQDIFNYFVDGPATVQSPLIQDLLNIQAQQGYH GIPQMPVYVYKAIHDELSPVSVADALVERYCGVGVNILYERNTVGSHLDEDYNGDARA FAWLASVLDGTYAQQYSVRGCTIENVTVGVWNATAI LY89DRAFT_642764 MRHSFRALYTCVFLCCWLSTVLAIPTTTHQSYPSYDYGVNPTSL LKRQTFKYYAVTGIQTGSGPNDSTPLRLEIRDLEKDPITWTLYILGLDMLQYTPQTEM LSWYQIAAIHGRPFVSFDNVPPKAGNENNGYCSHVSILFPTWHRPYLVLYEQVLYGMI QQIAQQYPSGTIRDQYITAAANFRIPYWDWAMIPPSGESVLPASVGQSPSVVVDGPAG SQTIANPLWSYQFKPLDSNALPDPPFNQYTETMRYPTTQDASATSQNNLVAQQLDNNA ASFRSRLYVLLTNYHDYTTFSNEAWIKDTDPSSYDSIESIHDQIHGLVGSGGHMMYID YSAFDPTFWLHHAMIDRCFAMWQILNPNSFVVPEPAMYNTFTESAGQTQDVNSPLDPF HKDVAGGLWSSDGVRSTEAFGYAYPETVAITGVDVNKQVIVALNTLYGPAASGSSKLK PRSRLRARDGDMDLGNEHTEWIANIRVQKYALNAPFFVHIFIGPFNPDPTSWSFEPNL AGTQSIFVKAASSTPASCNCSLDQMIAAAIPLTHSLNKNIADRSLNSLNVEDVTPFLA QHLSYRVILFNGTAVKNEDVPSLKISIVSVEVQDPENNSQLPVWKNAQGHLDVSMGSG CQASVSSHE LY89DRAFT_613368 MPPAASSSSSPTDTTWTHEYDTIRRENLFRNPPKDHSAYPALKA AIAPHVESFNALFGKDGLVAQGLLDIGTKTYLDGDDRAGLAGKNKMTIKIKEVFVEKS VLPPTNKFSTRNREILPAECRERHVTYRGKMSAKFEFRINNGDPKEFIRDLGQLPLML MSNRCHLENNPPAQLVQRKEEAEELGGYFVVNGIEKLIRLLVVNRRNFPMAIERPSFQ GRGPTYSKYGMLMRSVRPDQTSQTNILHYLDDGNVTFRFSWRKNEFLVPVMMVMKALV ETNDREIFEGLVGFSGSEGSKNTFLTDRVELLLRTYKAYGLYTKSKTRAYLGEKFRVV LGVPDTMSNYDCGTEFLRKIVLVHLGNVNVTEAQDDDKFKMLLFMTRKLYALVAGDCA VDNPDAVQNQEILLGPFLYGMIIKERLEDWVSVQLRLAIRDYLRKSPENSFASPEFLK DFPVKLCGRTNENVGGALEYFMSTGNLVSPTGLDLQQTSGFTVVAEKINFLRFISHFR MVHRGSFFAQLKTTTVRKLLPESWGFLCPVHTPDGSPCGLLNHLAHKCRILTHAVDAS AIPKLVAGLGVVNSGSSSTKESVVVQLDGKILGWCTPKQSRAIADTLRYWKVDGTHNV PKEMEICFVPNSHGGQYPGIYMSATPARMIRPVKYLPLDKEDFVGPQEQPFMSIAVTE PEIISGESTHVEQDPTNILSILANMTPFSDFNQSPRNMYQCQMGKQSMGTPGTAIRYR TDNKTYRLQTGQTPIVRAPLHNEYGFDNFPNGMNAVVAVISYTGYDMDDAMIINKSSH ERGFGHGTIYKVKKIDLEEGGNKSRSSKNIKKLFGFAPGSEIKAEIKSQIDEDGLPHI GRLLQEGDKICAWHTVTQDVHGNLVNRDGITHFEKYKDSEMAFVEEVRLIGNENGVEP AQAISVKFRIPRSPVIGDKFSSRHGQKGVCSQKWPSIDMPFTESGMQPDTIINPHAFP SRMTIGMFVESLAGKAGALHGLAQDSTPFKFDEQHTAGDYFGHQLMKAGYNYYGNEPM YSGITGEELHADIYIGLVYYQRLRHMVNDKYQVRTTGPVTQLTGQPIKGRKKGGGIRV GEMERDSLLAHGTAFLLQDRLLNCSDYTRSWICKECGTFLSTQPTVSAFASRKKGTGV VRCRKCAHRAEGWSGKGEIWEDGEGQRWVGGEETTIVAVPGVLKYLDVELAAMGIKLK YNVGP LY89DRAFT_496515 MAGIFKRVYDWLLRLFWATEMDITMIGLQNAGKTSLLRVLAGGE FTLDSIPTVGFNMKRVQKGHVTLKCWDLGGQPRFRSMWERYCRGVNAIVFIVDSADTD ALPVARDELHMLLEKPVLEGIPLLVLGNKSDLDEKLSVDELIDSLDLKSISHREVSCY GISAKEETNLDAVLQWLVARASK LY89DRAFT_667549 MAPALLQGFLVRRQNNINGDYENCDAFGCYSTWDNWGRWVALAV IIVAIILLAFLFSCFNNRRRRQRGAPPMYGTGWMPYGKPPPGHNQAYYNYNQAAPPPP YMGNQATGTTFNSNEGYYGHHNVQPQTEYNQDIELQQPQTSYMPQRGGDPVYEAPQGA PPKKGDGIIR LY89DRAFT_582374 MPHIATAMHRSLRRGVGEIILNSLVGKRTITGEVDSVKNTFSSW DNCMAKGYCKSVSERSLSP LY89DRAFT_732016 MKHLDEPGPFGGSRQPAYNQGYQAPEPMMGGALPVAGKPGPPQY AQFEVGKNGFAVDPTPTPLSEDALPPMPSWDSAAKKHVLTEDEKNGVELGELDPTTGQ HVPLMTGAAATGISTPSPIDGRGHSPFGARPGPGAGGTGYMGVDEEHYDQNQTAYNGN GRGYGSPMAGPGMGPQGVGMGMGPPRTGTPQMRGSPALGQGPRRPPGPGGYGPNPSQS YNGQARGYGPSSPQDSYGQNDSFADASVGGGRGYGRAQPQRQFSNDHYGDEQYSSRPF PAQPSRQYSSDSQQPLALARQYSDGPGYPQDNFQPSGPPPRGPSRGPGGPNRMASPPL QNNSGFDFGGGQQDYQTRPSPPPQQYGRPSPPLEQMSYGSSNRPSPPTQSGRGNDGYF AGSTAAPSYATRTPPPQEPTYPGYKPYQPTSTGRGREPQGWDPVQQ LY89DRAFT_717087 MSSTEISEPEQQSISTQTPPDSHHHQLSKADSLLKTICEVLREA EQHHPHDVNEPSPGLFKAAIDLAWETIHDPSFKSAYGNDPAAEPLFTQLRCTLKHIRF TFSRETQLYVHDDVLRGHYAFLPSCLALEQIRTLLLALQMSTRHIKAISKDTFEEELH VLKARHKSAVASLIKDPLLAWQNFRTRLRYGGDNASFPELRDVVRYLDLNLHADAVVD ALYGSCVLFKLHEVAVNHLSRAERLGFPCRMTVDAVENAVAFLDIYARSTPSHKTPPL YHSHRYQYYAYFLKAQTPDHILLPIFEDVGATALIAMRGVPIGLVGAHPDTKWVDGFY QTPLEFWYHDVNHSRRMFQFFKEHALAAKIDLEALAEDSHNFIKHEVLPLITIKKIDS GRSQEEQADAEDDLLRDVPRGCPSGCEELDREGTVACPKHSHPIRAHPGWRNTSHLAG NFYDVPDDRQEYICITDFRTQKNVAAAGNIMAEKLGLNVGPEINAAYAHNDAGLPDDF HMEVEKFMVENPSLMVPLSTALERREEADT LY89DRAFT_569212 CLVQSLSNSTCLPTDEECICTNAPLNAEIRSCVESTCTVKESLV TLNVTSVACNAPIRDRRTLYDVISNVFGVISGVAVVLRLLSRYLSDCNFWFDDYAILL TMAIGIPSSVISVHGLTSNGLGKDIWTLSFKNISDMIHVFFAAELLYFAELALVKISI LFFYLRLFPGTKIRRVIYATVIFNIFFAIAFIMTALLQCEPISYYWKKWDGEHQGICL DNNALAWSNAAINIAADIWLLGLPMTQLVTLKLHWKKKISVGMMFGVGAFVTVVSILR LHSLVLFSNSQNPTWDYVAVGYWSTIEINVSIICSCMPSIRLLLVRSFPKMMSTTSDK SKYINDRD LY89DRAFT_732019 MGRRTEQEDDKWIGVGDARKRKQIQDRLAQRARRERIAQRKAGT RSIAKSGSILAARKSEDTGRELLPPGRTPSDAQFCSCLRSGTAKAPTGFAISLDNALL PLPNHTVYSALFHNGVILGLTCGTGSVAKSPAAPPHVPEPLRPTQYQMDNVHFLWIDR FPFQKMRERMILLSDTLNSEDFLADLFNTTTFTITAGAASWDPEAWHKIVKIDANTGH ENTKPVAPRSSVWHNGREYVGLQDHIKPGDVFSGVSKTNVRSQDLRVADHYVNHQDRY FSGVNNANFLLGRGDGDV LY89DRAFT_496766 MKYLSSILLLAIPALAAPSPTITERQDAGCGIASGFSYQTDAKL PDPFTSTNGTKITTKAQWPCRAEEISQLFQKYELGTLPPKPSSVTATYSAGSIKVTVS DGGKSITFSAKITTPSSGKAPYPAIIGIGGVSIPSQAGVASITFDNDGMAAQASKSSH GTGLFFNLYGSSATAGATTAWAWGVSRLIDALEMTPTANIDTTKLGVSGCSRNGKGAF IAGALEPRIALTIPQESGSGGAACWRISDAQKAAGKNIQTAGEIVGENAWFSPNFNAY STKTSDLPVDHHMLAGLIVPRGLFVIENDIDWLGPVSTTGCQQVGQMIYKAMGVPENF GFSLVGGHSHCAFPSAQASDLSAAVSKFLLGGTGSATEVSKSSVTVDPTTYIDWTAPV LT LY89DRAFT_780534 MADGMGTVITINNFATEMSQFNPDTESSHLYGLVDMGSNGIRFS ISDLSPPRSRLLHCVYRERAGISLYDALHESTPDAKPFHFSKHTIDEVARTMGRFKGI CNSYGVHKDHISVFATEAMRTSKNRDEMLRAIKESSGLTVDILSPGMESLFGAMGARS GFTNVNGLFMDLGGGSVQMTYVDSTADASYDVLAAEAATSMPFGAAKLTAALSTQDTA EAAKTELRASMKTTFQGLCQRFPRLREQADSHEGVTIYFCGGGFRGYGSLLMHTDPVQ PYPIPAIGGYTAPGHRFIKWREMLHANNYEDGKIHGMSKRRREQFPAIATVVQSLVEA IPKIKEVTFCSGGNREGVLYMKLPSSVREQNPISLLPGGAPNQDHKVLDAVTSFMHSA LPDGYPEVLSPELLLHIVKNVWQDAGNRDDANAARALHDPISGHTAGLPGTTHEMRAV LALILCSRWASDLGPVDQRVQQNLQALISPELSWWCDYVGTFVRFLATVVPVFPRSPQ ALGEAVKIRKCSTGHGLGKKGHKVGIKLELSVSSKCGLSAMALEGIFGKVGKGVSLGW KVEADVTLDELER LY89DRAFT_696075 MYPLLAGSALAGSLADIEHVVLFMQENRAFDHYFGTMAGVRGFS DPNVQVNSDGKPVWEQAVDSALTNDTSYLAPWYLNYQGGSFLDATQCMVAGDNGWNDN HAALNGGLNNHWATNNTPWSWGHYQRSDIPVQYAIADGWTVGDMYQESVIASTNPNRV TWASGSINVPGSPQTKSEGGYPYIDNNETPGCEADGFNCYPLTWKTTAEIYQDQGVSW SIFQDADNFDDNPLAWFGQFQDALTGSALSNKGMVGQSLDTFYAMASNRSLPAISYII GPTELSEHPPYAPRDGAWLQKKIVDAVTQGAAWSKTALIISYDETGGWGDHVIPYHSP NGTQGEWLEDPYGKVGYTYSGPGFRLPFYIVSPWTRGGKVFTEHADHNSQIMFVEEWL QAKGKDVVTSQMVPWRRAHMSSLVNAFDFENPDTSLPSLPEAPAPHTNAQGVYDGSSY CESLYSATRPTVPYTSQISPDDVSSLSEQGFKEMRGTLTEGRYIVFEMAGYALTNAGE PATDFTATEATSTHSDITQRWVVHSLVDGGDTFTISSAKDGRYIGSHTGLIDNSSGAE SYTVSFVASKGYALQKQNGKYLSVDGSGNLQIYSDVSYFDAYSVTYSS LY89DRAFT_582403 MLGVRLHPQDHESRPPTTITHRWKVSSDYRSPDGVRKLVYLVND QFPGPTIQCRSGDRLVIHVTNNLASEGISVHFHGLEMRGGNRMDGAVGFTQCPISAGS TLTYDFLVGEHQAGTFWWHAHSQVQRGDGMYGGLIVHQPLSVKDEAQTYGYESDVLLM IGDWYHRSAEEVLAWYTSTRGFGNEPVPDSLLVNGAGKFICSMAVPARPVECVETEDQ DMLGVLGTTHLEKAVRLRLVNVGSLAGFSVQMSSAKLEPITVDGGFPIVGNPADSVGI VYPGERLDVLVRWDEQATSHFPQLHISLDPENFKYPNMALRPNQSFPVLTSGSPISSS KAHEPHHFDLATAIAVSTSPLPNTVDRTILLYTKTQKLSIDNNHPTGFMNRTSWSPQR FPPLPLISLPRSQWDDNQLIPYIPMPSERETWVDIIINNLDEGAHPFHLHGYSFYVLA SYRSDHGWGSYSPYAIAGSSALKPNMNLENPVKKDTVSVPRRGHVVVRFKADNEGIWM LHCHVLFHQASGMAMGIQVGGNENHEMVDNGARNMCQP LY89DRAFT_642787 MPLKTLPPTGTETADALIQLLNGRGTGDYIGEHISQLEHSLQCA HSAKRSGSDDELILAALLHDIGQFLPIEEARDVQMLMEGEGSVGRVGHEMIGEEYLKN LGFGEKVWKLVGSHVAAKRYLTAIDTSYYDGLSEASKQSLKFQGGPFQGEELEQFMRH PLSEDMVKLRKWDDRAKVVGIEGSTPRVEVYRDMMVKHLEKE LY89DRAFT_780538 MEYHISKEQKEFFDKNGYLILRDVFNEVDVEGLQRWSQEVHDLP RTAETPWMPYEEINATGNRVLCRTENYANYHSNFNALLRGPRLLSILGQLAEEDMLLF KEKINYKLAGSGGFSPHIDSTAYTHVKNIKHLTILLAVDASNMSNGGLEVVEGSHLMS VPIDRSDNCIEKRWVEEQTWVPVELEPGHLLIFGSYLAHRSGANNSNSNRKAIYATYN CKSEGDLHDEYYADRAKLWPPTHKRVQGEKYEEGSLRYGFGSPMLSVDMGKQFVV LY89DRAFT_774206 MEVTDKLGDKVKIDSALDQKDSYPEATGLNLSDAVVTRITKVSS TLSVLVAGIALFSDGYNAQIIGYMEPLFSDLYPNGISKIIKTRLSNSYLIGEIFGMLF FGVTIDRFGRRTGIVFATIFLILGIVLATASHGESQLGLFWMMIVARGIAGFGAGGEY PVCGTSILVAMSTDFAIDLGFVVAGVVALIVLACYHNRSTEGVWRICFGLGIVLPLSV FFFRIRMVNSTQYRKHAIKNNVPYIHALRLYWKPMLGTALAWFSYDFVTYPFGIFSST IIGQLNPNNTLIQNIGYGTVVNCFYLPGCIVGGLLMDRFGRKQTMTLGFFLWALVGFI LGGAIGPIQTVFPLFVVMYGIFNSLGEMGPGVATFLCAAESFPTPLRGHYMGFAAAVG KAGAAIGTQVFTPIQDSFSDEIKGQQAVFLIGSGFAVVGGLIAWFLIPDKEKDLESED VRFKAYLEEHGFDTSCYGESLAEQAKSTAFKVEG LY89DRAFT_683447 MASTSAAKRVVVIGAGWAGLAAAKTYLEIDPKVQLTIFDSERHL GGVWNKDRCFPGFLADSPTGLFDLSDLPMRDAIGMKDWSDLPGDKVYEYLKAYAKKFS LVERMRLGTRVLRVSRHLDKKAWDIEIENSGEVLTFDKVIVAAGLNSKPLWPDLPIDD FEGIVMHSKDIGMRHSELTSEKTHSVTVYGGCKSAVDAIILCLDAGKKVDWVIRDTGN GPGMMVQIRSLFGIHGARFAGRWKNILSPSIFSTDTFWYRFLHSGKSRLGNFICKRIW KKASTVPYTMEPYKTKSSNMEKLMPETKDSLFFTASLVGLHGNKKFVDELHSENLLKV HRASITSMRESNIALSNGEILNSDTVVFATGWDNKSELFDPADCLKLGITTDSTNEDE VTMKYWQTLGDKAEKYVVDLLPVLKNPPPHFTRPVPHTPYRLYRYILPPSLAAQDDRS LVFLGLVTSVQTSIYAEVSALWGIGWMEGLLNVTKSKDEMDYDIAKVNAWSERRYLSR GRQRQIASVEIQDITDILMKGMGLKVYRKSNFLSETFVPIRAQDYRGIVREFLNKSAC RSV LY89DRAFT_696081 MDSTKMPEPEGDVKKQALDSDIEASLEKPLEKTSTQNAMEFPEG GLRAWSVAIGAGGVLFSTFGYANALTYKLIETSVYQEYYATHQLSHESPSAISWIGSL QIFFLFGGNCFGGPLFDRFGAKVIWPSAMAYVLSVMMTSLCKKYYQFMLAQGVLGGIS MGLTMAPAMAATGQYFNKKRGAAIGIAVAGSSLGGVIFPIALSKLVHNQSIGFGWSIR ILGFMMFALLGPACIAIRARLPPRTASFFLPSAFKEITYISLLVASFLMIMGVFMPFF YLPSFAVSKGMSTQLASYIVSILNGASFFGRVIPGVLGDKLGRLNALAAAAFASGILI LCMQAISSNAGIIVFSALYGFCSGAIVSGFSTCLAQIPKDPRNIGTYMGMGMAVISVA ALISPPIDGAIAKQYGGYNQVAIFSGVLVLAGAVATLWVKSTTERGILGKV LY89DRAFT_780542 MPTPVSQGDSQVIMSDIGASVPDSGANAASTAAPRKRRRPALSC EQCRKRKIKCDRNYPCTQCLQSKTAHCSYSPDSAGAIQHLKKGPSIYQDPIQINAGIP NRSRNAPSTSSSSHLPSDSTSPQVPLSDGTQTSWHSPGSEHLHDKDSAKSHERALLDR IQKLEQKLATANSLNPSKQEHELEDEQSLEDGKSSLDGQDVSVSFFHTLKGNSFFGSR NELHGTYEQKGRLRGTVSKTRFFGQSHWMYSFGTFDRISCMKVNPKNNTPEFAETMKT TDLNELLQRCKSMARAAKAGPHNKFLMNPNYRDAVPLRPVCDKLMMLYFRTHESTLRI LHIPTFWKEYQQYWENPAAASSTFIIKMLLAMSIGCCFYQDDDQDWHAQSLQWIFAGQ TWLASPFEKGRMHISGLQIHCLVINARLANAVAGDLVWISIGSLLRTAFQMGFHRDPK YLPRMLPLHAELRRRLWATVLELNIQGALDSGMPTLLHLEDFDTEAPANLDDSDLDET MTVLPEAKPRDVYTQTSLQIMLLESFPTRIKVVSHSNNFHSDPSYEEVLALGSTLTKA LREHNTFINSVNAASLYSSANPQTQSPIPYVPRMFRNILDLCIRRFLLALHRPFAARA QTDLRYYFSRKVCLDCAMTMLQYPTSDPGDPSIEPGKQDDFTRLKTVSGGFQKGLLVH AAMVIFSELLSQIEEESTFTEQSRAAREPLKQALRGIVDLSALRIMHAENNIKGHLFI SVVLAQVEAMELGVPADNLVIAAARKSAEICMALLSKRIPITATDDEYARHVENGSAA DGVGLQGASAPQDIGFDTMMQDWTMDSQYFNLPDSWLLSGWEDNQPWGLQV LY89DRAFT_497003 MDHALPSLPLKRETSPHEHRQDAFSQPPPTRAPPPLPFGDASGG EWEQNDVAKHANQAWQNGPPSRIAPVPQENFLPSHAASHDVRDSGYDEESLSETHTRR ASGIIQAPYAEIHNRSVSRQNSPAPYPQLPQTYTPPPRTDSASPRTLNYRRPRAESLA PPQSQVDLNTNRSVSTPFNSRPNSTVYHSDGEGMDALKDIRRKSSSFITGLFHTPTPS DDGNHVGLRAWVLGGPGGERHVSYNLDFLMKADKIPEMWGPRDSADLYVYFYPRATGL GPQIKCESKIIKNSQVLLALAQPEGSRGRGRARSFDGRGSLTIEDATRNLAVRHPSSP PFTPQIGTQDTQSASDGSETSLRSVPSVSSPSAPSAPSAPSEATEPTEYHLYLPLGVM DPPDRFDTKQNESVKEKFERQKSEQKLIDARNLFAFLNGQVIVATRKTSSAFRCFMAI AELLRSFAFTDAEGESFGAEVNVAFDFSLAEYRFADVRDSRETTLEGLILGEAMKSHR LYNEAYAHAVGKLDTLKESKSQLWEELSPKTREWIERGYIDLRRNKAYVEERLDDFDF PSVFSGMANSTSSDASKIVRFKYWKANFMALRKTIQSYYKALHGSWPPKGKSKKNTFV EGGLNRLVLKGLYLDLCSLWDLLVDRENATTRAVGGDVEAKEYEHPTIPILRKLLDEF DRSTTPRFPPIPFDVPHLPDLATVDVKFNKLNTGEQERSVNKRKLQAWEAALVLEKGH DRTEGASKYNTPFMEMYRTFETKEAKGKTTRELQEQRIGHWIFLYCVLQVLPLLISDA TDLSFTDGVEYFLPATPEFAPWSRNGNQHQKPVQYVTATGDIVYATDRQVRNGDNAIY ERTHCWHQARRFADVLEQESNNQITPADQMSPLSPPPTFNADGQMQGGNSGASGFLGV GDAGRNGSRTRLSERNSVLLGLEQMARYEPENLAVGNTSSAATRTPPERDVTFDDILA GMDLGGKKDKKKGRK LY89DRAFT_732032 MPPFNIAIIGAGPAGCMLARLLLQSPTPPTITIFESEPTPDYRS QGGTLDLHPKNGLKAMKAAGLYEEFLKYARYESAVLRICDKNMKTYFGAPESRSKRGG NPEIDRAQLRQLLMESLPKGTVRWGMKLVSVGEKDHALNFENGDVVKGEDFDLIVGAD GAWSRVREVIDGTKPLYSGITRYWTTIPKAETEAPEVVELINRGNLFTYSDGKGIIAQ QMFDGCVDVSIGLAQDELKGVEITSTDEMLKKFGGWDERLVGIVKKAESKVIGKSMYM LPVGYTWKHRDGVTVIGDAAHLMTPFGGEGVNLAFGDCVKLSEAISKAAKIGSQDELN RNVRVFEEDMWRRAEAAARMSTTMGEAMFLTPGAPRASIERWLLGKLKHELAAVLYPL AVAVVYTGYFAYKLLY LY89DRAFT_706276 MSLQTHTVFVFSATGSQGGALVRQLRSLNWNVHATVRNPDSPGA LALKAAGVHITQGDWDNIEALTLGITGCDKLFLCLHPYLNDLDRERQQAEKVVKIAKA AGVKQVVCSTSLGVFMLDTSVYIAPGSFMAGHLASKRGVEEAVRAGGFENWTLLRPAF FMANFLEPKVYRYPEVRDKGTWTTAMTTDSQLALIDHVDIAKFATIAFQNASSFHGKS IGLASELLTIEQTLDQIAEVIERPLKAVFMTDEELEAQKESNVFTNSQVSMRYMSEYV DMEELAKIVPLTTFKEFLSREREVVKTTYRCGGT LY89DRAFT_642803 MEAPMQLLSWRFIPVAVIVYFGTLVSYRLLLHPLARFPGPRLAA VTRLYEAYFDVVLNGQYTFKIVQMHKEYGPIVRISPYELHVIDSTFFEKLYRQEGRWD KYAWAYNGFSANGATICTADHDLHKARRMPLSPFFSKTKVAQQQDLIRQKVEQLCKRI SQFSTSKKAIDLGAATSAFTRDVSTDFILARNYKSLDHEDFNAGMTNVFQDSGHIWRI TKHITWFGPTMKSIPIDWIMRVADEGTKSFFRYLQDSTKDTEALLTATASPSSNLDAK TRRTIVHEIMDSKLTPADKSFPRVFDDVATVTGAGFETTASVLRLIFYHVFSNAEILQ RLRSELRPATTNDLKVLEQLPYLTSVLMEGMRLSPAIASRMARIAPDRELVYNGWHIP AGTPIGMTTLLMHTDEMLYPDPMQFNPDRWMDLDFRKKADKTYAPFSKGTRICLGMHL AWAEMYLVLPALVQQFNFQFDGTIAEDFVCESDQFIIGTKGKGSLKAFVTLNKI LY89DRAFT_717100 MEKLTTNEVASSSPNAVFPSTVSPEITTLPAEIGSKSSSMKNDY ELKTSVTDVNEYVTGFKLVIIVASVAMACFLMLVDTMVINTAIPRITDQFHSLHDVGW YASAYQFGSAAPQPLTGKIYTHFNTKWTFLVFFGIFELGSVLCGAAVSSVMLIIGRAV AGLGAAGIINGAITIVSSCVPLEKRPGLIGITIGINQLGLIVGPLLGGVFTSYSTWRW CFYVNLPLGAVTVIAIILLRIPEQTSKLNATIVFRQLHHHLDLLGFALFAPAVLQLLL ALQYGGNKYAWSSSQVIGLFCGAASTFAVWFYWNRYRGNDALLPHSMISRRAVWTAGL YQAFLMAAVYGAAYFLPIYFQAIKGVNAMLSGVYLLPTIFPQLFMAGSSGALISKIGY VIPLAALSAILLSTASGLFSILQPGSGAGEWVGFQIMAGVGSGAGLQVAIIAIQAVVS GNELSSAMAFIVFTQSLGPAIVLTLCNLIFDESLKSQLSQQVPHANTTQIITAGATGF RAIVDADDLSGVLKAYANSIDRVFYLVAAMAAMCGVVLWGMGWQDLRKRTDSEQQESE GRNDSSQS LY89DRAFT_780548 MANTLEFPPAVNPDDPGRGPMIVGLTWTFTSLAVIAVALRFYVR KFVTRALGWDDWLMLFAVVLQVANQALVTVSFHYGLGKHDTSLKKPDQMIAVLKWNWI ASLPGMIVSILARISIAILLIRLFGVYEWFKRFLIVFTILQTTVCTLIIPFTWLQDNP VQGLWDVYDRQVKHWDPRIVLYMEYFGQSLYTFSDLTYVLFPVIIIWNLNMPVRQKIG LMLLMAASLFTMSMSIMKVMVAQGSSHFSPDVEYNASLGVLWSGMEQTCVIIMGCVPP LRAITKLDFTGLRGISSSLSSLVGRDKNKQSSLDIGHKSSTGRDYNHHELKPFEFNHV PTPIRPQVFTVTAKYSTKGGERTNESPEMKNRVRRTDHFAILDDYSGETISETTADIV LY89DRAFT_613413 METALEDIKRLAISSDEDARQSIMSALHKLAYSMESPNDTIRRY GHLTLQTAAIKIGIDLGLFVYLAESKSPLFAEQIAQTTGADIQLLNRVLRYLSAIDAL DEVSKNSYRANHITQNLAQKVTEAGIRHYFTTVAPQYHALPTFLKRTNYKSPTDELHT AFQDAWKTSLHAFSWFADNPDHLAPFNDFMALRRQPGLSWLSMYPVVQESRGWEVEMP IYVNIGGGIGHQCAQFKEMYPDIPGRVILQDLSHSIANALQTPGVENMEHDFFEPQPI KGAKFYYMRGVLHNHPPHKVRKLLENTKSAMTQDSILLIDEMILPETGVNANAASIDM TMLTAFASMERTESQWQEIVQAAGLKLVQIYTYNPQSYESVMDVRLL LY89DRAFT_696089 MTLVLADSIQPFALGLIGAILVWRLLCMTFQGPDHKEVWTRIEA VGVSGNGIFPWTRAVVGSILSIQKNAHEGYKRICKAKDRPFTLPTMWTGGAVVVLPPS LLPLLNKPDTELSGFRALIETIQLPYMISNRDIYENVIHFDVVRKILTKKEVIGSLAP TTAEELDIAFRECWGVSERWQTMNGWDVCGRIITRAALRTLIGEPLCRDETFLEQSRL YANSLFAGTAIINCLPPLVRPLLGPLLALRAKYYQARCQKVLRPYVEERIRLWNQRQE RDNQPNDFLQWMIPKCSSAHSDQLEPNKTAMRLLALNTMFIFAMGYVFAHCIFDLYSS SPSPKAEFLHDLEEECKRVFVKHHGLSTKAAIDSLYRVDSSIRESMRLSDVGVTNLPL DVVSGKISLGNGIQVPPGVRMVFPTQSIHLDPSNYEQPLCFDAFRFSRRFETLEVPNK QLGERELLTSPTPSFLAFGYGRHGCPGRWFVAQTIKQALAYVIMNYDVELAGAPIKRK ALLNMMVPPTNAQIRIRRKSQKETGL LY89DRAFT_774259 MSQSRILQLAAIINKSVIAIHEILSANNLNSPSFDEYAPASFPE DISGARDAVLDATSELYDLLLEPLTLIYKHHGHNNSVCLQAIARFNIAGMIPPGGQIP FGEIAEKAGLNEQIAVRLLRHAMTMRIFCEPHPGMVAHTQASKTLANPIMNDWLRVGT EEMWPAAVAGFSLSNDTTESIYSIIGSSPERAVCFSNAMKVFATRPDYDPSFLIENYD WGALGKVQVVDIGGAQGHIATELARRFENLQIVVQDMDEVVRIAKHGVPEELKQRVCF MAHDFFSPQPIKADVFYLRWILHNWSDKYCILILRALISALKPGARIIIQEVCMPSPG SIPLWKERNLRAEDLNMGAIFNSLERTADLRFVIKSVIEPKGSALGIIEVLWCTLD LY89DRAFT_706281 MGSLNDPQRTELRYIQQLFDDRVESTPSEKLIFYPLGNTARKLS SVIHSLDGFRAGCPVLLHLNDHWDTILWFWAVLFANGLPAISPIFSNVAHHRFKQIEG LSNILDSPICITRAESLPSFDGPHSMQLQTVEALLNQDTELNEIVPSLKQHYRYTDAT NQSDSPAILMFSSGSTGCAKAVALTHKQILASIAGKASVRPLPANRPFLNWIGLDHVA SLVEIHLQAMWVGVSQVHLQAADVVCSPMIFLDLLSRHRVSRSFAPNFFLAKMVSAAQ TSVDTNPEKWDLSSLAVLASGGEANDVKTCVSASALLETYGAPRNVITPGFGMTETCA GAIFSLHCPDYDIERGHIMASLGKCMKGLEMRITQTPDEDAYEVTLALPGELGNLEVR GDVVFTGYYHNAEATAEAFTSDDWFRTGDQASIDSLGNLNFVGRSKDVININGVKMVV ADIQASIEQAVGSRVARLVCFPSRAVHTEQVTVSYIPKDWRISAATLLEVEDLTIQAC IISTGARPNVFALSEKSLSLLPTSALGKISQAKMRSLFEEGVFDEDVKIYQQELEDAK SSKRDSESSERREEANEAESRLIGDFQKTLSLRTVSETIDVDTNVFDLGFTSMDLIRL KHHIDVRLGTSVPVIMLMKNPTARLLAAAIDAELLKPSATVDSDYKYDPVVTFRSTGT KTPLWLVHPGVGEVLVFVGLVQQLHLVDGDRPIYALRAKGFEQGQVCFDSIDQAVDTY VDAIRRQQPRGPYALAGYSYGAMLAFQMAKKLDAKSDAAGKVRFLGSFNLPPHIKSRM RQLKWNMCLLHLTQFLELTTEAYADSLDEESFASVRRSEALEQVLGVADRSRLTELGL GEVQLARWADVAYSLQSMAVDYEPDGSVEVIDIFHAVPLKVAAATREEWMRDHLSRWS EFCLTDPRFHEVEGAHYTMLAPDHVVSFAARLAAALRARGI LY89DRAFT_774272 MARPAPKDSLEAYKHLSPDSGFPTIIHPHFNPKIASHVPPDPIR EEITPPKDRAFFADPAKASLFSVAKPVDLSESIGTLLEGMQLSQLDGKQLDELAALVD ERGVVFFRDQDLDTEGQVKLFEHFGTLDKHPAQKDQKHVTINGSIVDWRNVSNYTLWL NAEFHADTSFEINPPSYSLLRMEEHPPVGGDTAWVSQYGLYDALSDHLKKFVGGLHAV HTSRLQYDTIIDSWGGEPNRPPIDTHHPAVRTHPVTGLKALNVNPGFVTGFAELKKEE SDQLLQFFKLHIHSADDHYVRWKWAVGSVAMWDNRVTIHRVIPGNYPASSRTGIRTTV FGEKPYFDRQSESREQRISRLAAEKAKKSNENGVEHTSELSIHASNGVNLGAS LY89DRAFT_613422 MADDAELSSSSKHPPRSPSPSAFPRPTLTRLPSTSDLSIHSGDG LWAPDAAVALAGVHPPEISMEPEHSGHRRRKSSLMNSLDTSTKAKIRRSPQSPTKRRN STPEEPKLERPSDESTSEDVELDELSDNGLQDDEETGLTGKDKSKRKRRRRRNTLMDQ RVAAEVKITEEERKEADQFVMRNLLLNGTLIGLWYLFSLSISIYNKWMFDPEHLNFHF PLFTTCFHMIVQFSLSALVLFFLPQFRPRYDSLTNPNYTHVSDADQLQHQADSKKPLM TRTFYFTRIGPCGLATGLDIGLGNMSLKFITLTFYTMCKSSSLAFVLLFAFVFQLESP SWRLVAIITTMTAGVIMMVAGEVAFSALGFVLVISAAFFSGFRWALTQILLLRNPATA NPFSSIFYLAPIMFVALFLIAIPVEGFPALFEGIKILIEHKGPILGPLLLLFPGTIAF CMTASEFALLKRTSVVTLSIAGIFKEVVTISAAGIVFGDNLTPINISGLVVTIGAIAA YNYIKITKMRAEAQKEAHQNHMGARDDASDGDDEESEEEDWNTVEGTYVTMDGDILPN PEAFKIKTPKKGENPRPQTNGHATASVEPSRAEIAALAASKKELSD LY89DRAFT_732043 MGLYSQISFYHECGHAEECLWFSPDIARAVPTEPSFSIVDFLRI VDPTSDFKSSLREMHYQLGTCIHCAGQGEDPQPPIPLSDEEKAAKREMWHRFVYKPNL IWSTAGMKIISLFPELVGRQKQLNYELSASAQMHHGEVMDEILDEYHALHEEWKCASS RGIYLSDWTERHYQDVLAGVPLADREHLWVPGLDTDLFAVVDPHTLDEDAECSICLEG YSRISRIRRLPCKHVYHLNCIKSWFHGGVWGEGEDACPYCRTEFNIVDVPGI LY89DRAFT_683454 MLDKVGQGGEELHSSGGRITIVTMLCLEAAANSFAKLLGKRPAL TKSSDATDRRIFLSLKAFQVQLEVCFLNCRSQPGLPFSSYHSLSSSTYRSYSKSMGAP TAVQTSRRNQTGYLPIENYGLIGNMRTCALVGIDGSVDFMCWPDFDSPSIFCRLLDKD KGGYFSISPPADKTYTTKQQYLPSSNILQTRYIHEDGVVDLVDFFPRPKDSRVVMKAP KQMPFREAITVQDELKKWLVRRVECIRGEVDLEVEIFPAFDYARGKHTTKILLPEHPP GCLDSKTVSFQGGEINLQLDVTIDHGEEHAASCPAVTFRKEKKPKMLGEGVVAHIHLL EGQAISFVLRDDIPNHVTRDVTTEVLDSQQHDTQTFWFNWISKSKYKGRWREIVNRSL LILKLLTYEPTGAIIAAPTFSIPEDIGGVRNWDYRFCWVRDSSFTIYILLRMGFVEEA DAYMDFISERFRKSRSAEGALPIMFTIRGETDIPEIEFDHLSGYRDSKPVRIGNGAAF HLQFDIYGELMDGIYLYNKYGKPVTWEQWVAIREILDYVMTIWKEPDMSIWEVRSRKQ NYTYSKIMLWVAFDRGLRLAEKRCLPCPNREKWLAIRDTIYEDIMEKGYSHDIRCFIQ SYESGDRLDSSILIAPLVFFISPNDPRFLRTMDRILLPPEKGGLTSTGLVYRYDTELS EDGVGGREGAFSMCTFWLVEAMTRAGVYDKKYLPRAINIFENMLSFSNHLGMFSEEIS RSGEQLGNTPQAFSHLALISAAFNLDRATEFKR LY89DRAFT_497192 MAESIEEEYEIIEAHDEGFEDEGTGGSDAGSSLTSLQSSIVRGV TENGRTYAAYGKEEYGMPMDEQEMDRIDMSHAKYFMLLERKRFLAPIPDHPQKILELG CGTGIWSIDIADDYPSAEVIGVDIAPIQPRWVAPNCRFEIDDIEQPWTWRPSSFDFIF ARDLLLSIRDFPKLISQCYTHLKPGGHIEFESIYGVVKCDDDSLPPDSDFITFNNHVQ NAANAMGCPLTDCAKFVEWFEEAGFECVVEKKFKIPSNPWPKDPRLRLIGAFELEMFL NGLEGMSLRLFERGMGWTAEETTVFLTGVRKDIRNQRFHAYYPFYVIHARKPETAE LY89DRAFT_732046 MAAAPGPNAELIKAADEFAAAVKNFDGDAMAQMKLLKEADRLRF LLESPFDRMMKQWESTSVISALHLLVETGALEKMPQEGSVTPKEIAALINIEESAIAR ALRLCVMEGVAVETAPSTFAHNAKSLAYATPGMREFFRITIEQLKAYVKLPDYFKSHT QEDLFDLKKSPFAWAFGLEGLTYYEAISHNPDRFNMFNQTMTQMEKQVPILGMFPFSS MQAEVEAEPERPFVVDIGGNRGHCLVAIKSEAPNGFGAKMILQDREDVIGSLTKEDIP GIETMVYDFFTPQPVKNAHIYYLRRILHDFYEPVCVKLLKNIASAMGPTSRLIIADMI LPDRTDMAGDMTIYWMDFSMMMLNGKEKTKAEFEEILSEAGMEIVKVWPFAFGTQANI ECRLKRG LY89DRAFT_717110 MTTHKVLISDGASLHVKVLGGDSPTKPLLIALHGAPGLSTHAEP EATFNFLQSKFRIIIYDARGSGYSSLQPPYTNERWVADVDELRIWAGADKFVLAGGSY GGFVSLDYALKFQGRLLALILRDTWAWGTRGMMRALKTVLTSDKVKVDPERQFRVWTG ILKDDQDFADAVAEIGPLYAPPGAESEEPEWKSPASRPATYAATQNAAFSQEMPKFDV RSRLKDIKVPTLVIVGRHDLITPVSDSEEISNGIPNSQLAIFENSGHTPGNDEPEAFR ERVRQFLSSVESD LY89DRAFT_717111 MATTEQQYDTIQGPYDYIRTASIALIERENIQETVAPFVKNARI LELACGTGFYTYSFLEWGASSVVGVDISSVMINEARRAGKEVSFIQADCSIPKAYDGG PFDVVFAAWLLNYALDQAALVSMFRNIAINLKEGGRFVSITVPPSSNPMDSINAEIQA RPLSAGGSGYLVYEPINDVDDGVYFRVNYTRRRREKRD LY89DRAFT_667581 MGLPRTFFRFEELPILVQLQIWNDVIYGRSPGWLNAKANISLRV RMQPYLNNQNRLSFVFAAARPNSAHLDALMNTSSEAQAMVLRRFPDSIRLGNGRVLRF RASSDRIVLDPATLFALFMYMTPRSIRGSRSRPQAINYGPTIRNRSMRGFGQIQRIGI TPLNRVPNNAEGLGLLLDNLLTGVLQAGLVRSAVTMAVPRDEPTARTLFEQTLRDFQV TLNQADSEFMETAIRELLIDWTEFWDNGTNPGAITDRLTSTAIPPRFALFQNLPPELR STILFMAAGLDPDAHRIVLRSTMTPSLSRGAGITTVRCDVRVFQPGYPLGTERDARIV SQINQESRQTFTQRYPNALRIGNVFRRIYFHPQRDHIFVDLDSLFALSQWVRGADAVW MAQHVSGFENVQRFVVPHPNPMALDGLVLNPSLGMLIGLMPAGAVQGENSGLPLINGR QAGVHAAILNINRALLALGDIMNDFAFSRVYAIEWLSLAIDAFFATT LY89DRAFT_732051 MEGFSGFNNTWTCKAHSELDCSVCRPLRQWFEVLGGSLVCRLHR KQACSACPIATNFWVGSTQVGSTNLRFWKMKATDFKSFTIPELALPLTSLLQPSLPTP LLPSISLDNLGNAPHKMNRIRLRARAHDNSMMVYIGGYGKPKSGFAAAAFIYDRHHTD QDTKSGIALKLEKLGPYGELSTQCSVGASLRAAIAVLQYKNWADEFEGEASLVITTCD KDVSAARKRISEWREKIKVSKGTVHPTSCRGLWQLFAWEIEAYKARNMTVRMLPVTKS EISHVIRLAKSHLESYTTHQEEDFRALRGYMPSENAKGKGGDVRESQLQEREGEGSGI NSEEEKLTFGSEEEHGGEYNKGVSGEEGNYGEQNELEDGDREIS LY89DRAFT_497527 MHNEARDEIIEDPRPHLRWDSALAADALQYAQKLAQSNRMAHSS PKERIRNGQICGENIAWNAWGRFTLVDATRLWIQEKSKYRGQKIGSGGDSTWGHYTEI ICPNVTRVGLGLTRTRSGETYVVARYNACQTHGSTPYDSRKGNIFKNRRWQPITGGRG HRSGSGAGRERRDLPQGGHQFEIMGLDDFFRDLKAFKDQLRR LY89DRAFT_552873 YQQSSYNGYSAPQQQEPAYGAVPQIRNPFAPPGQNSAQSYQEDP EMAAQIAQWQSAYAGKDSQSSSGGYTGAVRRYPPTGEGTGAATSANAAPLGGRDGSSM TSAAMTSAAHNDTGVATVVSDAKTNTKTVVRSGGGTTWTDSSLLEWDPAHFRLFVGNL AGEVTDESLHKAFARWPSVQKARVIRDKRTTKSKGYGFVSFSDGDDFFQAAREMQGKY IGSHPVLLRRSTTEIKAVTPKDNRNKSKHKGKRDDKDKTGAGVQKAGSKTKGGLRVLG LY89DRAFT_683463 MSGPTGDFGLIGLAVMGQNLILNAADHGFNVVAFNRTVSKVDHF LANEAKGKSVQGAHSIEEFVSKLKKPRRMMLLVMAGKPVDDFIETLLPYCEKGDIIID GGNSHFPDTNRRTKYLAAKGIRFVGTGVSGGEEGARYGPSLMPGGNEEAWPYIKDVFQ SIAAKSDGEACCEWVGDEGAGHYVKMVHNGIEYGDMQLICEAYDIMKRGLGMQDKEIG DVLTKWNKGVLDSFLIEITRDIMYFNDDDGTPLLEKILDSAGQKGTGKWTAINALDLG MPVSLIAEAVLARCLSSIKGERTRASKVLEFVGRSNKFEGNKEQFLEDLEQALYASKI ISYAQGFMLMQEAAKEYGWKLNKPSIALMWRGGCIIRSVFLKDITAAYRANNDLENLL FNDFFNKAIHKAQPGWRDVVAKAALLGIPTPAFSTALSWFDGYRTKDLPANLLQAQRD YFGAHTFKIKPEFANAKYKDGENIHVNWTGRGGNVSASTYQA LY89DRAFT_642842 MQDPSQGIQRDLLPHVHLLSTYRFPLLPQLHPDKVAEWLLGAPK ITHANPFYWTYLDRPADGTILLLWQSPTLGNEYASDGYVWPPQETAFQVEVSGGYILE MHQHKSGYGPNEPVAAHARRRYRLLPPRNSNNAGASPDPSLWIVHYTQAEPQERIPSN VIPIDMRTQNMMQTRSYLHSQGQIIQKEFVLHDRASWPQIAFPRGPPRGPPMYGGNVP PARVPQTMAYPPAHAAGPPAKRARTQANANQAAANSAAAVLDADEEEDTSRGDLFDHV SPRDISMNRYKQNHEWMEEVLSSPYSLNQIIPADLGLGLRGELSSLTDGIFDAPQDPE TDVEKHLYVGRLDPEKAEEFRKRANEQIAQTNKDIEKAKAKHAKRLAKFQKGSLITIS EKALRTAVNDPTDTGPEYWRLEGRIDEDDGEEGKTVSRIPSKVDDIVAQVEASVGRHA AAVKELIRIQDGGYEEAPAAPSPQAAAESTPRVTPPGSNNGSQHSGVLVGDVDMDMSN SAAGLLDQYHTGLSSNATPGSNFATPQPHLQAHSSTSTPNLNVPSPQPNVQQPENDVP MGDAAPSEQTASGDASGTGDWVVVPPGGVSPGPTSAQDEAPATSTPLPSENVPAPATS AISHPSPLPTTTAPTSSANTPGLPDFHTSPNDFADLGDLDTAGDALASYGDDISGEHE DLGDMGLDMDVGMDDSAFGDAFHGVEQRGPEDGEGLGEGL LY89DRAFT_780563 MRRDHRKTRTGCNQCKRRRVKCDEGKPSCANCIRNSLQCSLEFL VPLKPRKNSPTIRKASSIETAHPNLDLRLRSSSLDTKSFELLNHYTTHGSLDFGQTSN TKMWQVVIPQMALAHDFLMHGVLAISALHLAKRQPPRRVELVQSAMRSENLALPAFRK SLATQNSRDTHAVFAFAGFVVPYMLAISGSYGIQDWIPTLDGTHPHWFYSLRGVIALL GKTWGELAQGPFSVWLARSIPPEDYSINPDDVHFAKVHEILESTASSSVADEKELESC RKALDELRRTAALPYAPCKTVNKVSAAWIWPGTISQHYMELLNRRKPEALVVLAYYCV QLKRINSCWYFEGVGQNMLEAIDEQLSEEWKPCIEWALRQPVE LY89DRAFT_683466 MDTLVARYSQPMFEKEYSEEDQLELCQPTPSLSLKFAMPPIAQP SAWLRAATDDHANPNCPIKIAHGTTTLAFRFQGGIIVATDSRATAGNWIASQTVKKVI EINNCLLGTMAGGAADCQYWLAYLGMQCRLHELRHKRRISVAAASKILANLVYSYKGM GLSMGTMCAGVTPQEGPALYYIDSDGTRLSGNLFCVGSGQTFAYGVLDAEYRYDLTEK EALELGSRSILAATHRDAYSGGFINLYHVKEDGWVKHGFSDTNPIFWKTKLEKGEFSN VTSELV LY89DRAFT_732058 MEQRILHEERSVSDDTLCPDACNCPQHRMNNSAQGRYEDFHEAY FHTERAIDNLRNQWDDLENALFTERTRVSRQDDELEMLRDENFNIRRELEEQQIIAAM QDDELDALRDENFNIRRELEEQQFIAAMQDEETETLTSENVNAYQALEDDRNLIDREM ENVATLGGTLGRLVADRDNRIAALEETNRVLLTRIEHHEQLNSRYINIVRELCEQLNE QLRRIQGLSAV LY89DRAFT_667589 MAFNSGQGQAPSFPQDMPLYSTDVSAYRNLQEVITLSETTKNDL DTSFRVHTQEQKKVADFVVDHIKELNHGIRQAGNNMKSAEDACNTEMLISEDYDYVTL KRQLGLLQTFSTGLQRMFDNTASEIRVADNKVGETIKVMVQEIKQRDAEIEQLKATIA RQAALLESSLQSMRAQIQTTQALTNQSRQIYSKAPRPGHLATKRSHSQMSLDLRNCQT DLSTGSSQEPGMEFSGSGPRLR LY89DRAFT_717116 MDLMHAGAGPEPGSTAKPFIYFSTRSRHQWNVAEYGRSSESSLL FRWSGTSSTCVGIKNSNFSRKFFFLPLINTTTPPSQPEHQQAMDVAKIKAASASSTVV RSVKGLAQKVLGVKTSSAGGAILPNPNSQSNHPLAASANDTASPFTTHDQAVTAGTMF SPTHAEFTLGKGKGKEDNVERLVMGGGQALTRDEQRARAREHVRLSMDTYQPLNAKAE KDNLHSKPSTSSLRSLAGSGVRHLMDQFAGPEFQPLQNDLKTVANHVAALETGQSAQI DYLERANFDLHYDIQTLQHQVNVLKESNNKAERQILDLSAALSDSSANSAAFFTAARE NSKLTVEKVLRDIKIKSAIDLLKKSGEDSEIVKAALAILGEVVGVPAPVGHQNPTVED APDSDDH LY89DRAFT_667591 MTSSNIVWLTNDDVQEASQEIGKLKTTIETLEFEFGGAESHDKL KKDSYRVRDLFHEHWDVIAQLNILVHIISMREGMGYETDYYLPENSISEHKSFLAIHA AISALRTNVEDTTTFFRDPEKYSLKIQIAGLHEEQQKLESQLAHLRTETETLKAEVEL SHIREKATDMRFTELEVNYKAASTTVQRLKTTNAALDLIKTSYGWDKTTLQHRLNQAD LSTQAQIGQFTTNMEKKNADISQLQQEVLSLKDTQGTLETELEDLRTTALRRSEHLAA QASQVSGLNSEKEALENQCRHQEDELRRLRRDNRDLVTQNEQMRASFGAITTAAEKWS TGTQPMSSNASNNERTTGDRVPRAEHEDRDDSDRPTRPCSPQNNPIPNERREGYHLVD QHWRRNPVRKQTQSSVRIWYAATRVSLSTSTREVTTSFSYCDAITNERDDLLEVLKHQ KETQQSCEKRARDDRADFVKLKKKLTDDKKTIEEECAKEKVNGLELCAKIQKIEGDNL EWEQRGKIIINLENRIATITEELQQSESTKAQILHKIAAVSSVAASLVLQKEALAKEQ KILQTEHTQLEERYRDIERVKLAAEKEIGRLMELVTQHQASRTDLQQGIADRDADIQD LKSRLHQGATFMANLPSFEHNGPSPKRIRTSQGHHSSPYSVQPRDKLTVSWDDTQDGL VQHGLPSPDRSVGGHRQSTPACIVSNSGRFTKGGFMEVSAARN LY89DRAFT_732062 MREPGEDISVGHMSTELAQRDKQLKYLSEQLAEKDKELQAQANK LTAQQAQLKNQSFEPAQLMWHDNPAAPLATEKLKDEIEDHKVYNRKLAQANKILKTQN ETLDAEKEDLQNQLKTSNNNEDLLLLINRCRELKDEAETLRAENQLLTRAANNARFEA DVQAEEERNIDQLFEGGIEQFDNNRIEMLMSRNRELKAANRGFKLELKARRSSMGAAF SGDLETDDEDDDELEMTGATGGSSTLHRQSQSSATRARPLGPAPPIPRTLPEGVVPVR AHLRHMHKRK LY89DRAFT_732063 MSSYHRILAVFDRSVQAKSLECDYDIHPWEILINEERWPGKIRL VGFVDVFFLICYSSDARFEQGIIIYKDDEAIRSTLHNAGVDSKDINLDIVNKVERQKD NKILERYFEFKSGTIDYTITSLGHDIGLKAEYPKSSLKKYVIKLRKSSNTMMKKRIRR GVNQHSLLDLMQDSIRLGVVTHAEMTAKLMSEFFVGTATDDAMKKYLETFASMNRPDT VALSNDRA LY89DRAFT_642850 MAAFDITISRNPAKMRALLNLWFAADFKPTFISDRAPQLEGFDD VELLGPSTTETRVPMRMFDLDTKNLVDYPDVGGLGQYCMLSHRWMDREVDYAFISNAR LLDFNRAMLDLKARDEGGPRSHEHGQEARQNDVQMIKAQCDVEIEEHEQTIKSLINPS LDELGMRSSPDIIAELLLRRTKVKAAKQMLYQAKKDVDEKLSKERYAELEEEVFRDLM KEMGIAEEDASEIKELAEMESKETEGTESETSSETTAQQIDPRTADDIGFFKHHSRVR EAIDKMVTLLQQRKSAIKIEKSIEQAKDILDKKLFPKGQKRYLWLDTCCINKSNDREF TESLSLMGDWYANADFCLVYLDKTNAAQQWVEEWQHFKTKSAPTANITSFRDIFGSEP EWSTRGWTLQELVMSKMTYYVNSLWEPLGRPIENIGAFYYFCPFIQIYCNGDVNNPYA EALDVIENVETLTNLLHESKVEVRILVHENVSDEGAQPEVNFQTEKTKVQIAQTLITM LEVLGVQIPKNIDMDTAKPQITQSIHFASTKLVSAQTAGKPATDLLLALKTSVEACIS EKLRTDRLELRHAKQLINLLLRCLVKVTEALIKEDRRYIAEFGNVQDLRTWQDGTARS GFSTHKVMSLACKRRCFVPTDRAYSLMGLLGVRYPVFHAEGLNKAMVRLLDEVVISSN DVSVFNWTGKHLGSPIKGRSLYPSTLEAYAAQKNEMRQMVKNKKLAEMLQIQRYEVTE VFVGITSMLRDAITFVKDSKEKALPLGWLKEILLVVKDADYAALTPHLDNLAKILMWI QQTFSPQPTLDEKATGDPAKSESEKIEKATSSSSSSLPFGLHAPQYPSFDTASLRTKL GDKRTELQSSISSQLTKRSLSTKATPEDLTKKWNDEVIAYIKNIDPPKDDTTTSIPVP VPTAESSNSDQVTLKPATAPELPQEFQQVLADIKTREFIQPNTKPEEMETMISPNPII VNSSGIEGVFDIQRVVVTMLQPEKLRRQIDSAVTPHQKITGWCTISTGFALVMVGFCC EKRILEQELNVINVVEHKVLKEVKKEEQGRNRLARRTTTSSDMTKAMGTPTLSSPTSP LLGGTLSPQTEEMKVDQKDDNDDIEEANQVTRMIKFVQEPDLNSIAGEWVLARFSGVP GAKWFLCHVELGSTHNFYGHRIPTDEINFYDASPEMGLVKYWDVYMMRKKTKLCGIFE ALLQSKDMGNTKAQMAEALGDLGHSVEGGEPDSDTESKPASSYFDQKLEQGGMLAMKV GAGLFQSLWELQADQLEKNLNAAVLKKIPAHLQAAIESLNENKDLLPAMFHSARRIHM F LY89DRAFT_498084 MAPRKPKKKPLPTKEETFLTNARTLRGKFEMLLSKYYTLDAENV ENPTFKPALATVDIKGEETFHEINQRIRAFVSRLEGPPVGKMVREAIMMDREAELEYE RFSTEYEEMSSKVVRLTARLEGREIEEEETKEEETKEEETKEEATKGVLAVGQVEKES EINKSERYGSSGGGTKPYFKPAAEPIAKPNAGKKPAGSNTSVKPHPPTVHQPIMALTS NTDRVKFYSQNPGRSSNAISQQIAHTLISPASLVHQTFGNGAFVTPQQIWEARFGNNL ASGEPKVNKK LY89DRAFT_717121 MAGLDFAKGLSVAWQVPLLGVNHMQAHALTPRLVNALNATDQTS KQLTPAFPFLSLLVSGGHTMLVHSRSLCDHEIIANTTDIAIGDMIDKCARDILPPPYL ASAKDVMYGKLLETFVFPQQPSRYDYTPPSSFTRTRTSTDPTHDWVINPPYQAPGNQG SIGHAHSFTYSGIGSSTRRVLERKPDMDYGERQSLARVAMEVAFEHLASRVLFALDRP DIKNIKALVVSGGVASNHYLKEILRQNLNANGYGSDQIELLFPPPQFCTDNAAMIAWT GMEMYEAGWRTKLDALVTRKWAIDPRCSDGGILGLDGWKNVKS LY89DRAFT_582089 MIGSHGSTSKIPYSGGASNLDNECGLKARVKRKAKHILHIDSSS GAEEDEEYQAALDELDNSPAFNTSKFLNRTRIGNSGIKGKALGLVQEAAAAIVHPKEA IKTRATKKTAGTLAKSRPYLSRKADLDFLEAHDDLEQAEGRRDDSDDEGAFDRKNEGI NQCEERIENMETARQNMRVAWITARHIQRVRVVDAIPPLPFPDDNFFEQEDDCGVLEF NWGKWIAYKLLHGSHAFSAQYIDDFQELPFDIDTLRKHVERLIIVSAPVQTLLLDIRR IYRWEKPWRTGKIMALYFILWYMSHIMTFLYGYILYSTIMNYYYPRSLEALRQGITRS LDRGATAFKVGELMDKHGSDDWLGPLMEELGAFIQVQIADLASFFEATYNFYHFRSPP ATFATLCLIASLFLVSVLTDSRFALRLFWFFIGLTFFICWPISSLYPRYRFLVSPLKW ALWEVPNHSEWCFRFLQDRAAVVRQAILRHEAGDIHDEQLSCVPGTGSDADSFATCRS ISLDEQRDILSFGCTYCHMPGQFIISTHGIRFATSLAKSLPHESFDKPFADLVEMSKR QTRSSVLSPLAKVTTGMDKLELRFRGKGGGAGMHGMGEQEDAEIVLLENMRRRDKAFN AVVAFSGVRWQHLQQRPSKLRPEEKKGDSLTDK LY89DRAFT_498174 MCANNTMQADLAICVQSNCNATEQILAATVAQDDICEGIPTPSR SRAIIQCVIVISAITFPIIALRCLSRYLISRHLWWDDWMILLCAVLLVPMAVIPILNA NRGFGKHFYNIPPQNIPSLRELYYISQIFYAIVHPAAKISILLLYLRIFPDKTFQLIT KIAIGIMVVHILAFVFVVIFQCVPIKSIWDTSIQGRCLNLNLIAYSGGIVSIVEDLIV ILLPIRQLTELSFTTKKKIALAFMLSLGSFACITSMVRLKYIVQFNTSIDQTWDDVDS TIWSIIEVYTAVICSCLISLRPLIVKYIPELFSTSQRWKSNISNRVRSSWFGELAWRD RQRGERRLWYEDKPLPNLPITKTTELVVVWMRESQIGLVAPQRTKTMTTIHSNRSFF LY89DRAFT_667601 MASSQSARKLRVGIVGAGDVAQVVHLPTLALLNHLYSVISICDI SQQTVDHAAARFNVSHKTINPSETISHPDVDLVMILAADEYHEPLCISALKAGKLVFI EKPITLSMPSVQRILEAEKATGGNKIFVGYMRRYAPSFTEAFLREVASIPRIMYARSR DIIGQNEHFVNQSGTSPRKYTDFPANAAQDRKVHLDKLFAEAFPGRAVSEKDIQYCRF LGSLGSHDLSLMREALGFPESVTGVSANEPFYSAMFNYRNKSGEPFSVTYESGIDHVP RFDAHLAVYGERKTVSIQYDTPFVKGLPIKVKVDEFNEAGESSSSEILSSFEDPYTVE LKEVYECFVNGKAIKTTAADASMELQLYDMMYKQLARQG LY89DRAFT_706296 MVRITLLAILAFAMTVLAITPNNAGAKNVGNGAGAQFITGGCVA DADCSSACCANASGVGVCSAEAAAFQNGKDGCGFVDPNAAATIAAAKAQVAKQGF LY89DRAFT_498195 MVNTGKPSSGYHMCRKRRIKCDEAKPHCMRCQKSKRICPGYRDS AQLQRFGGSKPTKKQRHGSDKIHNLSFDELIDSVILSTATSLDSPDYSIDSTTLAPSR ESSRTPYEKTTLTLFHFQIPIEEQTTCFFTTDFVLTPRKASGIGFLSFVLPFSALAKT NSPFHQALSASSLASFGSRFKRKSLLPKAHEQYIECVKGIKKSLLEPRAAKDDSILAS ILLLTIFEQVTLVETSLNGWSSHIEGAIALVRHRGEESFKTPLSRNLFNGVRELMTIH FMAKAKPIDRSIDWLYHTPDDIFEHRYATLNMRLVDLRAINNTVTVPGPRTTSKTVKV VELLHKARTLEKEYREWFESLSSSYSAMAWLDSETTTTTNIATSISHPGRIDQYEELY QAYEYNVARSSQILIWTIILRCIAWLREDTDYRISTEYRDAARRCRELIQDIVSSIPY CFLWDQDCSTMMPDKVRFSCEHVEMKGVSAVYLMWPLYVAGNSDFATSSQRLFAKGKL AYIAGSVGICQASLILQISAPHPSTLIALDKGMLPTQFTQRQKGCH LY89DRAFT_717126 MPMFPKLEIDDNRLVILLSVANEVNRGDPFCCNIELGTIKQEVL SSVQRCTSRALRFTGTQQSLEPKASSHDCGEQPEPDLNLYEVTTSFEVNLNLLTASRY TERYSYTPLSARQIRLLHLAPGKNPDPLKISFTASSIDNLPDYQALSYTWDSPAVKHY VLCDAKIISVTCNLMSALCQLRHESKEQVLWTDALCIDQNDAAERGSQVALMRQIYKD ARVVIWLGEDDSHTWEALELLQQLAGLEVYSQRPAPNEQASSNPDTFTMNSILPFAKH PSWKYLEEIFWKPWFFRAWIIQETVLAKTLTIRIGKFTVPWKHFELATKLLGKSVARQ SHKIYIGDEWSIVTSPFTNLNDLRVSLLQSQGGSLEKLLVLTNASCATLPVDKVYAIQ GLVSERDAPILMPDYSATVEQVFTTVAQSLLHSSLDVLSQVVGPYLRRQTSLPTWVPD WSTSFRAKPFLHLESSKSLFRACGNTTHRASFSSGDKLVAKGILIDKIKMVGLPFVPN KKATRVTPLQRAYRAFDRMSSGMELLRIQMTLFLCHLHGWEDVVQSLSSYPTGESVNN AFARTLIAGASIVAEFRNPTARVVVEDAYNYFKYLLTQSISNMQPANMGDVNIKWQEW DDAVVSASKQYMSFLEEAAWGRVFFITERGYMGLGPMSAFAGDEVAVLCGGKTPYILS RDANQKSSNFRLIGEVYIHGLMKGEAFQENKELREIVLI LY89DRAFT_569398 PFRTTFASVSLHRSDRVRLLGFPQSDIQSIRGVIQNSYSKGISK EQLYGKSHEFKLNGNPWFGQSSDAVISRVLIREILAHLFSIGWILHASTDVSKKEMDK DTLFFRKQQARPPASDFISISFNQSDRLRLIGAPTQLVQDFKSLLSGMGLLQQDLGWK DATLRAWEFKLNGYPWRATGEETMSTRLLLLKMLECLESHGWSLYASVDQSTGGENSS ETDSWYCVKDRSWVEGTAVFHR LY89DRAFT_683475 MTTIDLANNGNTLYAIWNAEFQMKIPGQEGTMEGNGILRVVLKD GKVAVWTFFEDPTPFVAMAMKGQMG LY89DRAFT_683476 MADNNIVGRLALITGASSGIGAACARDLAGRGVHLALTYSKNKE SMEKIVADIRVSSPEAAKLRLSIHKVDVGVVDEMKNMFKEIQEQHKTHVDILVSNAGY GKRIVDVWDIPLEEFEYTLNVNLRASFVLVKGVVEGMKAQKWGRIIFMSSIAASGGGI NGCHYAASKGGLTGMMKNLAAKLALLNISVNDVAPALIGETGMISGPDIIPGGVGTIP LGRLGSPQEVANVVSMLATTGFMTGQSLLIAGGLK LY89DRAFT_774360 MSRMMKGMQEELWEEIKESVHKEGRDEWELVARFLSNRCPSGMI FDLLAEQYFRILFEDLVKGVVAKRNGLAVPTLTLVQELKDSRRVEDELSPEYVSWAIS VLDHTELAKQCKDWPRDQTIKDFRSMFKSCMQEFVEDLDDTSSDSTASLILLKGNKLV NIQPVAIEDLRRMGWHRQKLHGGFWRYQRKLVKVSTAWQKYLGMDAEVFGGKYCFDVI LKVRMDGEVIGISTPDGVLNWADDWNMLCDSLQDLDPSDFSWAV LY89DRAFT_667608 MKFEQLQAHMSELRAGPNMSSIGSEAQIQLRGLLSLSEDVCQVI SQQRILKGLAFEDMHGSEDEWSSEDESEADSENGTGDSSEGANDFSNNKDPASIEIDE QSSIEESDEEYSGRAVIRDRDSQELGLGGRRDSICSKPFVDWLASGNRFFHVCGKLGS GKSTLMKFLCDHKRTQEELEKWAGDDRKLVFAKFFFWRPGSMLQRSISGLLRSLLHDT LKVCPDLISAVLPDQWNNVKSIPWQMQHEPEFGNQETRSAFTRLIENRNMTRHHRFCF FIDGLDEYEETRQEDYKDLLDLLSKWTEAAPDDVKLCVSSREDNVFVNNFSSEQRIRL QDLTKMIYITLFERGWQLPPPKNTTTW LY89DRAFT_498298 MQEDDPWIEGKGRPPNFYYTQQRILHSAAEKEGWEWVVTYPNDV IGVARGNFMNLSTSLGIYAAVSKELNNGELEFPGSETFYNMFDCFTSSRLHAAFNLWA ALEPGCRNQAFNVVNGDAETWANLWPKVARRFGCKVPARQFERETPDASEMKLAEVPP FEDLAAVNGMKGKVPQGKVSQRIDLVRWCQKKDVKDAWAKIAEREGVEKGALEKATWG FLGFVLGREYNIVISMSKARKFGWTGYVDTWESFEETFDELEKEKVIPEAK LY89DRAFT_717131 MYKKIRLPINCLQVGLCVAGAFPDYFTDLWGRGYSDTPLDCRHD VRLFASQILLALMSSPLSWMGNSNTFFIVAFSLGGLISLAFTGAFPESVRSLVLLGPA GLIRKRPDGYDDNYMHQPKLAPSLESLRENVRQILGAIPCGPALNMQTDKKRVVSSEQ GPPRVEKSFDIGGIIQWQFDHHQGHIHSFQDTVRYGPLQKREDLWGKVCDIIAGRTRP DSALHNTKLLVFFGRDDDVVVGEETTEDILKLLGRIPTRWAWVPLYPNSEKITQTILS FQRSKLSEA LY89DRAFT_774366 MVLFLEYGIFPGLSLPTQIWDQSLAIWHEIILSRVFVDFNVYHK LFGEMIEAYLRRGADSNLELYIPEESCAEIMEKISVGKLFRNTFDFEVRTGNNVYEAS KRRSIIRVTREFHFIFKRGGKASLRDLIEFWAFENEEAILRMMDKNLQQLELEPTTMP QDEQEQAEESSTMQPLERITPKPKDPSQLQLEFDSTEDKQQKEAGKFEVVISELARVS KPPTGLFDLLQGWMTLLAAFFSGILVAVLLPRLMNFWNGDVIW LY89DRAFT_683479 MEPPDFPPKVDILSEIYQPLPEVIDNVDWGGLDASKSTQQVIRD LSSALEQGSTPLANLFLSHGSYWKDTLAITSHLRTFKEREVIALALREQNQHRRIYEI TITPGSAQVVVASETLKWLECFFTFKTTEPQASCGGRVMLLPQVSESGLVRWNIWTLS TWLSDFDLYPEDEQLLRAPFKPLPSSEEDHMTTDVLIIGGGNAGVILAARLKALGVDY LIIDQNENVGDNWTLRYDCLRFHVGKSFCELPYLPYPKDATNGLTREELAAQIKRFVD EFHLHVLHCTTVNATTFDNRSKSWTIKLLRNTVEKTVTCKHLVLATGVGFQGPYMPKL PGIEKYGGINIHSTSYKNASLLAEGGVKSVLVIGSANTAFDVMEDCYDAGLKTTMIQR SPTYVIPMSSLLHPNGLGVFDYVPAEVGDVITQAGPLAVGGPLLGLTHATLAAAEPER YANLAKAGFQVTDCTQSDLVQHLLERCGGHFVDIGTGVELLATKKVGIKSGVSPTSYT DNGLEFTDGSTLDADAIIWCTGFKGIDIRQGLPEILGEGAEVIKNKMEATWGVDAEGE VRGLWKRHEYVDNFWIFCGGAAQHRWYSKVVALQIKGMLEGILPDAYRDVPASNFQ LY89DRAFT_642881 MNKAREWLGKCKHSHNRCSEDVERSLPTRLLHFKEGVLKLVSTT KLDTKTPYAALSHCWGKIKILRLLKENFETFHTSVPISELSRTFQDSLKVLTELGLEY IWIDSLCIIQDDAQDWEREAVKMSAVYGCSEITLAASSSEDGSQGLFYDRQLPAPRLC QLYIGNSTTFDVIPFQHWSVALSRLVLSTRAWAYQERRLSPRVLFFTSTHLAWQCQTW GAEEWKTEGVDKVSSFFNIQSSPHADTFWDETIKEYAPCKLTFWSDKLIAISGVAKRR QITVGGEYVAGLWREGIERQLCWITVSRGRK LY89DRAFT_581983 MPSLTTTAGAIAATAISLSHDGDKSPPPDNGECRLLGPFAIFVQ GALGLLALLALVYKRWRERPQRPLKIWFFDVSKQVVGSVLVHIANLLMSMLSSGQFSI ALDVATVSTRGIQRRMVDSQGNYKPNPCSFYLLNLAIDTTIGIPILIFLLRILTALFA MTPVGNPRESIESGNYGNPPRTWWWCKQSMIYFIGLMGMKICVLIIFLLLPWISRIGD WALRWTEGDEVLQVVFVMLVFPVIMNATQYYIIDSFIKNQKPDHERIPDVDRDDSFDQ GHEGRYEDPTSGSSDEIHSGDEDDEVLAKADELKTKAKASAKTKRGNSSSSRPGTGKS HSKKVNKDYDPMFDGESSPTVVGSASTSERERLALKEADSEDESEQQRLRS LY89DRAFT_683482 MHGSDCPKCGATGQSDKTCSSCGAVCTPLIRFAFSHVQLKPPWL EQLLIRSFYANKFI LY89DRAFT_683484 MSRGGKLAPEVNRALFVKNLSYNVTPEELFDLFGKFGPIRQIRQ GIANNTKGTAFVVYEDVMDAKQACDKLNGFNFQNRYLVVLYHQPDKMVRSKEDLESRK ENLEQLKKQHGIE LY89DRAFT_683486 MSQRSVHDQRRAPSQSKNKTTSSSSQATYLPSAEPPPPISYVRI GSLVAVARADNLNKPYEFSEEAIAEIEEEWGMMVGDALARFDNRLTCGNCSQIHMKDQ VFRMLSALKLEMEGAGVEDIDEGLVRGFQRDFEEIWRVYLDGAKDSDCDDWS LY89DRAFT_498657 MGLDQFNLARFVARQDRDLLAPGIGVQLFGNYDQARRQVEGGTR TTQWMWWIYPFHLGNANSATAREFGITSLAEARAYLNHPVLGPRLVEMLEALENTPAA TIQELLGGPTPIWQLHASLTLFLRADPDAQFFDFQAVLDQFYNGALSARAVRVLDEEE EADASV LY89DRAFT_498666 MGKFEDIWVLLLPEAEELRRQALTPQEICTRLAVVGFGNKDKYN TVKGWYKRKFKDWPEDNDEVQSMGSRRRYSDIPPPLEAYHLWQMRSRYPQSSQTYSHN SQNILEEQQADATSQPTDNLPPRGTGISLEWTQNAPTYGLPEELVLYESQAASRRPSR QELENNQMNNSHPGTFSNQSFQPHQPWETQYESTYGSIAQPSFENDSSQHRDDLRSSR VVYPPQKFLERRDETTQQYARESRSHQEGISFQQVIYTDLDQSVNGFSTNFPICDTQW SPGPGDFENLLSTDSDADIVQHDDTTVLMRASATSCESMTSGSYVPHTPPMPSVPPTS GSVLRPSDISLRKDEVDHSIDQQHEPNRMSTKHHRISSTFSDPTMPPQYSLPPDPPRV PAVVRRRADQTVPTPWKPVYVEDSKIVAVDPQEFPTAHPRKLVKRQLRDSIGIYHDQR ETPSIAPTSTTDLSGTAPDTSRKPLRDQPVNPSNASIRSSIRDSGYISSVESVWMESM RNSFSSLQLEDPKFKTTFSEDDDGYVHFKFKAKCSVRKSYIARLEENQGQVNI LY89DRAFT_717139 MAFGEENGAQAACNIPFLRKAVLMESGLTRHVMNNLTAYSQLTE LSLRLGLDFHQWNNAAIPLKKLKWMFLIDIAEDGSTTDCWNTATTILNLASTVFPDLE HLDISNCKRKKSDTTTQYICRRVLDDRQTGFLPRLQSFRYQGEVIGDLELESVLEFVR RNGDTLTSLDLAFEFGFLDGIMMDYLLQGVVTAPKLRSLAMPGRYRR LY89DRAFT_498739 MPLPSEPLVLRGGCNCTAVRWRMSLPEASKRVANPYHTPGTDIG DVRLPTAVLCHCDGCRAAMGSLGAYGFTSDMALLELSILPRTSVPEKEKGKDDDTRPP YVPATSLMDDPAVISSSDLWLTHYESSPKRDRLFCGRCGSQIAVAASKDAIPPEWGWP RVVNIWAGTLDRDMLENDWCRPDHIMDCSIAIPWIRDHVKNGARDAQEHPFIMIDWHM TDDFRPHIEMLKQMGVTLDVTMWK LY89DRAFT_498740 MLKHIEDTQVLLEIVVIGGQVDHGRENEEGDMLLSKAAAGGHRR AVRALLYGSHDDINTRDKMGRTPLFWAAEGGHLDVVRELVKEGAEVSFEDQDNFTAKD LAYRRGHSEIVSLLEPLMQRDDEKGKKLSVFAMSITPSSWKSDAAYHSASCTSPPTTP LYPKRRQTGSNILKECHKDGGRRRLKSFQTSCWRIRVGRVSGIVLAASVVTWLMKGEE IMDP LY89DRAFT_498742 MHLRLNLFVSDLLKGTLLSCSNNPSHTKLHDSISHSSKTTQIVS KNETNNCRTLRPQTPLVTERTFRETSKRKVPYFAAQLPKVSAPRMHSHLGKLSRRQPR KCQPTSNAELSSSPLRFPIPPNTSHSRNNALRNMSKANVIMRLMLTARGSALPSSSCP EDRHDAKTASYHHCQHTRLLTVFVG LY89DRAFT_683491 MHLSILLPIILPLVSAITVSYDTGYDDASRSLSTVACSDGSNGL LTKGYTTQSSLPSFPNIGGASVIAGWNSASCGTCWSLTYNGNTINILAIDSAVEGFNI GETAMNSLTGGQAVALGRVDAGYVEVDASSCGL LY89DRAFT_732094 MKFSILKSFAVAGLLTSAIANPIAVRQDSSALTILNDLFTTVQT YTGAINSTLATLTSTSSAVDKAAALTSIGTEFTDLTAAITSATTSIKGLTIDPVSKRS DEIETRQLGELALLGAAISLLLLEVFATIAAAVAALGLAGLLVFLSPLTGALGALILA VEVLVDFLLLDVTVLLDTLLTGLALALGGL LY89DRAFT_732095 MKAIFNSIACCILLFSSGSFANNVFGRQVASSSVVVALNTTSSV PPASTPTVAPSVNGSEHDLPLHFCRLWRHASVYAEGKIYIDSGNTYIPNGNTTFYNTA VGDFIQGMNDVLVVLDLSRNFTNNDTSVYSVIDKGPGVPNALIESSLWYSKATRKIYQ LGGWFSYNNEDDPGYAPDSQIPASSIWEFDIDLKSWAQSALSYINTGMKVDRPGAAAH CDAPSLNKSFLFEGYVQHRSDPDYLGFTVASMFKFLEGMLLLDTNTFPPTLTNISVPN YIGPRMNGAMVHIPVGEQGIIVQIGGQTTTSPTPFGVPIVNANEYNSMINNTMVDIYD VQTGYWFQQATFGIPDIPYARSDICTVVVVAPDNSSYNIFMIGGVQAYTSVIPFEEMW VLTMPTFQWVKVYSRPNGLWGHTCHAVGENLLVIGGMQMTSNGGNDNNCSAQMPADIF NLVQMDYTGQFDLAGASRPAPIPADVVALIGGNATGGALVTTPASWSDPYVQYIFNQS LVRPAYTPTYTLANTTASPTARSTTTASPTPLGANKAVSHIGAIVGAVIGGLIILALL IATIVILRKRRSANKASMKSAEARPEMVQSPGSELPGYEFNDPNSAEVAAAHTSYAST GQPESRLDREEAAEMDAE LY89DRAFT_582099 MLWSSSIAVVAALSNTASAQLNDAYSPPSYPSPWMRGGNGWADA YTKAQAFVSQLTLLEKVNLTTGVGWEGESCVGNTGAIPRLNFPGLCLQDSPLGVRDTD FNSAFPSGMNVAATWSTRLFKSRGNAMGSEHGGKGVDVQLGPVAGPIGRVPAGGRNWE GFSPDPVLTGVAMAQTIQGIQDAGVIACAKHYIGNEQEHNRQAAAPYNFAYSANIDDV TMHELYLWPFADSVRAGVGAIMCSYNQVNNSYASQNSYTLNYLLKNELDFQGFVTSDW WAQLTGAASALAGLDMTMAGDQGLASGDTFWGSNLTAAVLNGTIPQWRLDDMVTRIMS AYYKVGRDTHKVPVNFNSWNLSTYGYQHPEANEDFTQINWHVNVQDDHAALIREIGGA STVLLKNTNNALPLNKPKYIAVIGEDAHDNPAGVNSCSDRNCDIGTLAMGWGSGTANF PYLIAPNTALKAQAASDGSKYTNVSDNYDFDAVTAAVTGADIAIVFGNADSGEDYITI DGNQGDRNNLTLWGNADALIAHVASINPNTIVVLHTVGPVIVEAYKNHPNVTAILWAG LPGQESGNAITDVIYGKVNPQAKSVFTWGKQREDWGVDVVYTPTSDPPQLNFNEGVFI DYRHFDAAGIEPSYEFGFGLSYTNFSYSNLVIQKQNPGPYEPTTGETSAAPTFGTIDY NAADNEFPPGWHAVKDYVYPYLDGPVPTGLPQDWPAGAQDGSPQPKLPAGGSGGGNRQ LWDTMYTVSATVTNTGGVKGTEIPQLYISLGAPTDPKVVLRGFDDLILDPGESETFTY EVTRRDISNWDTASQNWVVSTYPKTVYVGSSSRNLPLSGALA LY89DRAFT_498775 MQYSSTLLCVAFAATSVYSHGVVTEVQGANNVNMPALSVIDGTP RDCPSPLCGAEADTSILVPGEASTMPVGRNSKGTVVAATMINSYMGGAANNTARDLHE ASTLYRRQLGGSTGATTAGVATPAGTNEQGVAAAAGQGSTSGLPTTDDSGIVSMNIHQ VNQDGAGPYSAMVDPTSGGTDATAFKAATVTQNVPGIGIAGISTAQVMDFPVKMQMPA GMTCSGTVGSATNVCVAKMSNGPPQFFGGSIAFTQSPAAKKRAIEYNLKMRRNAKARR GAE LY89DRAFT_780596 MRFAPVFMAGLAAASAIAGKRDTAAASSEQEKASTLNVFSTATY CGGYSYAGCSNACYDLGYLFYACYSTYCYCYD LY89DRAFT_780597 MEYILVEQALPFTIPISPCNNFVDEVDSLPPSAPPNTGEQSSAE WVRLIFHDFITADVVAGTGGLDASIGFESERAENIGKHFINDTLTFFDVFVNAYVSLA DMIALGAIMSLRTCSGLNAETELKVGRIDATKAGPSGVPQAFTDLTTTLATFETAGFN QSEAITAVACGHSLGGVHYVNFLSIVGEDVVTINNTDGVSTFDSTPDVFDNIVIKEYL AGTGKCGGPLVIGSNVTTRSDLRLFQSDNNLTIKSLLSPDDFHTKCGYIFQRMIDTVP TAVTLSEPVVPQKWKITTYDYQLRQDGTLSISGNIRYLCDLGLNCPAPSTLSYTFATL NEPTGTFSTLPLPTVQGRVPGDTCGSFFSTDAPFFGNFSSYCFSNNITSSTTAIIVQN EYSYPLFPSVFVAQSDSSIAQTITIINDTSFVLNTTLKLSAAALTWIVKDAKTLDVVF YQKGPGPACQQSVSNIFNMECTGITESEGSLERLGTVGEYTIFTGTQTWVGGAGLQAV QVGYQGVWSMSVATLGLVLNTTVV LY89DRAFT_667627 MDTLVAPELDSTTTSQLPQPEDIREIALHNTTKEAESKSKSAIV SSRIPRENGYGDFCFGRAMFNDRLAGHHDSEHFDYHYLNSVVTPQRRIRILRYLLGPY FKIDSAAQAEHLEVTLRPSQRPKVCKGGFDSTLYHYFKSMEQYVFENGLKNRLSLLIG VEGKSIDDWWDSRGTWAPIIAWFTKVKKAYLHDAKKHPTRSRQYEINVDILKFITKQG GQNQDWPMVELAWTLSNVSPRFRQEVGEVLWKHTKINVRFDEDKEWDPTAFFCERPAI LLGIKSLRIALDTYVESDEEIDKMSGFCLWITQHPEIELFDLQIDLHCASSILDLLIE DEDQNHLCPAQLIKVTKSFEITWTYDVYYGYRNRAEVIAELEEKRKKVLPQLTSMKKQ STWRIDFSSLGLLVLVSLEEAVHAMAKRFKSCQIVGSDDKPYPGPHGAGQSPQYK LY89DRAFT_780598 MDDNPSESAMPIPEEEGARLASTHGTSSELRHPSSPTAGAANHS NSSEVIGLLISSSEVQLKANNLMATTARVPYNNGYGDFCLGRMPIAERRKSSFCYMKG TFPWYDLPIELRTKILRYLLGSYFHVDASTDMELVE LY89DRAFT_732103 METPEAHVKKPMQDLELQAVDIVGAGEVQLLEIPPSTSRIPYNN GYGDFVFGRLIDGKKGNKGQTDFVTGSNAVPFVELNGFQRSGIFPFNDLPIEVRQAII GMMIKPMFSYRGVQRTPYFEIKLSRKRFQPDLAHPDRQHGELHPESRPCRSLYAWSGV DRRDHLFVDWVRQISNTSNQFRKELGDVLWKTCAIEASGDQSDFHEIKYFLESRPAIH KGIRIVSFGINHRIDLNHREFEACCSSMALLPRLYKLEITLDISENDLHRLGQGLDGF VYLTASRNLQLLRVFHIRLDISMDYELVDDEDDDDEGSNGTGYDRDREEELQSKYLPI VEDLMLPAHLKSSRQPSGPTNQSSASS LY89DRAFT_667630 MDEAEDPVGKEDLHAAVSSVLGEDFLSSATLIASREVTEEPGAV LENRTEQDSASRKISSFPSHAAATRIRADSPAGGEEQRNLEFATADKNGSSSSVESVL EIEKEPICQTTVANSFKVPYNNGYGDFCFGRFIDRYDKSAARNDNSLVAPELDGFQRT GLFRYFDLPREIRQRIFQFLLWPLFTTCDKTGGRYIQIQLERNPYAIAESQYSRSEYS GDFNYYYLSHGKSWLLKAEMEDGPAALKIMEEDFLIQKEIYSHKRKIHPGRAGCVYNV LIDPWEVTVRGGGPNRVFHEWIRQISNTSSVFRQELGDVFWQNTEVELINEECFHEFL KDRPAVAKGIRRISLFASLLEGNAGLFAEVCSAIGMLPDLRSLRLDIVLYSEDLEHIL AVTDSFAGMTSSRTLRVKEVFHVNLAIIPMIGSGPDYGPCLDGCESKEKDLCPSCKAV PLIREIMMPDSLRAKPLLTKMDAYLHHRSETATSSSIDQAEQGN LY89DRAFT_732105 MATPETPPVTSCAVPGIDQSVSQEIPGTIENLTMKSEIGIPAGD VECKKRNFIRRIPYNNGYGNFVFHRWLEDPVDCVRPNFNLWRDGSYGNSVKELDGFER SGSFAFFKLPDLIRKMVFLLLLRPLFEYDKDSEKSFVQFIFGESSAWVGNELMLLTKK ATPKPYWAGWRMGIDWHFLESIRQASNVNTTFRKELADTLWSRTGIATYGNNSWLAIP EILQQRPSICAGIKYLYIGLDLSRERNTDSFEDWCESLSQRLILERLTVKVLMEKEDI PRVLSEWFPNLAAAETLNVTQSFTVSVSIRLGGEKPGQILDESGSGINEQYRKELVEL MMPKSLRPKVFLSATETYLESRHHITSNNPPTMSSAPCIFCVEIQEPFPGIDSFDKIF LLRATYLERAKEHKGT LY89DRAFT_780599 MTYGDMKDDKSFPSLNSDLDLNNLFTANSTILPSKTSHKLPRNT QNKIYSMASIKSPKDTGQEPNLQTMNTNTNNPPPESEANGQTEVERDKLDDEKSSSEQ LMPARIPYNNGYGDFCFGRWALRRRVCDWTGTVQDQEFWEKGSNGVVVPELDGFKRDG PFPFFSLPLLVRDMIYRLLLGPLYEYDENDKTSYIRLYVEQPEKSHADRYEHGYEHFI GMERKASAVELDDPNSSTKPETTIEEYEQQLIVHRHKYAVHPSRSTSYQIGLFPTWQG GSLKEERTDSWYLEWLRKLSNVSNKFRHELGHVFWTRIAIDASLPTMRGPYSVLQLLH DRSSIHAGIKDITVKVDLEAETQGLVMTAALVNFKELCDFIGDRLVLETFCVQLEMEE DSLSDIFRSEYLMDYLQPGRQLQVRKRFSVQSAIYLNNNHKSHSSEEWDDFTENLRMK YEKFITDVMLPDTLRWKPATDEMNVYLQSRSDSLVVPPSSLP LY89DRAFT_683495 MSALSILLVGASLLGSSVAAGSYSISAATASSEASELTVSSHVL ELSRKTSAKGYNARSAAFLLGMSRASKITVSNHTSILESLFIGEEFATNITFGTETFE SIVDTGSSDTWVVESGFECVDVETSAPETEAYCDFGPVYNVTDSFQQIPDENFNITYG DGEFLTGVVGYETVTLAGITVNQTVALVNYAAWEGDNTTSGLIGLAYPALTSAYAGTD PTLDNSATGEQIQYSPIFKTMYTDGLVSPLFSLAILRDISGDSGYLALGGLPPVNISG DFTSTPILITNIEGYLETYDFYTINIDGITIDGRTERGSSGATQYIVDSGTTLNYFPT RIADAVNDAFVPPAIYDEDEGAYIVDCSATPPSLGIVINGTTFYTNPLDMILLAGTDE AGDDVCITGIDDGGSDAAEDVYILGDTFQKNVVTVFDVGAAELQFAAREYYPSNDPVK A LY89DRAFT_582628 QVGGMISIVCSPNSTWMIGSLVGASEGVMYEQLPRAQVEKASKH SKPSRAECPASKVREVAFHVVTTHLSKCIDKPRTLS LY89DRAFT_780601 MRHTAILPLLLSSLILTTNAKFGKRVTCFDNNATPKLTLPWGTY EGKPYGADGETVLFNNVRFAKPPVGNLRFAAPEYPDAIPDSSKIQDSSWGPNCIQAAP SKSKHIFEDFPEPPSNETQSEDCLFLDVYVPAWVLDPEAGEKIPVVVWIFGGAYIFGG KNTTFDVEDGPPYNAYDGAGVRQYTDNGVIWVTGNYRLGAYGFLAGEYMEENAQPNAG LHDQRLLLDWVQKYIGQIGGDKNAVNVWGLSAGAGSILHHLTAYGGTKNEAPLFERAA LWSTAFQWSYDRRGTLQETFDSFADAAGCSDSDNTLQCLRDAENKTLATANQHIVSDR LVQGMFPFGPAVDGDLVPDLPANLLKQGKHISISSMMLAHDFNEAQMFLADWVQTEQQ FSEFVGYAFPGNALAIVRKLIELQYPSSAYDDQQARTRVLLRDSTFVCNNYQLYGAYK GSSQIYSSRYEVPPALHGSELLLLVWNREANIADLLKGIASNLPKYLIDLLGAILVPI ANKYQTYFAGHALAGDPNYLLGGRFVNWEVTSDDEEGLKDVMRIGLQFINPTFFRLGA DTQVSKGNCEFWNTMAQLVADAVKTDSVRGEEGSVAFKTQNSERLKVAVQVEL LY89DRAFT_732109 MSPTSIASPILFEYRKLWLISVLVFFLSTGVFVAQYPEEMKTYG PFTLAFATFLGFAAYSSARTPLMDLVPWLPMIVWLFVLLTVYFLPRLRELFAQPLDPE DAMEQGRHHPVHRIPLDDVRIQPNVNRPGNDPMELEEWLRGMDRNSVPGLPDDLSTQG SDIELPQHSEAMAVAWRRAVRMGRQGLMTGGLSQTSSDTPYPHNLNVDVHGGHFQNSD DRFNSPSLSPAASSLPSIGAESDTPLLG LY89DRAFT_498857 MGSVFYLTVEPSSRTTKFSRRLHGSSAESYSSDLVVELRRNRCP HGGIATCWELLFAFYCSLICSAYTLRYEDRNTILPDSVPQVQLPDPGDGLSSLILDPP PTLMLGACLLLGCSVSSFIYRRQEEDRYQKLIFSVTLTAATLFGMAQKVNANLIMLGL IPWGLCLAMIFSVATHWLVKRCSKRGAYSRVGCCESGEKGMHAPL LY89DRAFT_499004 MSTRLSNALITESSDSVNSSPSLDTESRDPTPMSSISPDAMNLD IVDAPIPNQIPGLMVISRQHSGSTPSMHTVEPLALPDDKFMCPRCPREFKSLARARQH IRTFRHRHSCTVPGCRWSFEAPKDLERHLPTHDAGSREVLTCPVAGCRSRRPGTFSRH DLLERHMRNFHP LY89DRAFT_683501 MSSSAEHKTSHSLFTKAPVPPQAFVNHKPSIFFISTSLSTFSLN QVTMRYFLALALAMATAVIAAPAPQSGREINKRQDIDWAWCVLSTANWDTCCAEYPDQ CEGSPFPICIEDDNGDEICP LY89DRAFT_499034 MAARMFLRQRAAPVATGALIAGIALFPKTSLQAEAPDSRKPIYD DFESTPTPPTPTLPSSEPTRTPTKSTSPTPTDRLAVQIGKTRLFLQAHVAAAEEKVNQ FMDSALHLEESFTSTIASLAPSPQSGEKLMPGSLYVLVAAMTGSIVSRNRNIFLRATV PVAVGIGAGWVVLPVTMRNVSDLIWKYEQRFPAVADGHVRAREGVERAWRMARIHTQQ VVNVVDEKVGAARGGVEDWVKKGK LY89DRAFT_499062 MFKKSISRPWVCSRCLQRQLPRQIRGNHAQAAPKVPDNLGFTAS FRQRHVDTAPPGVQHDDRKLRQIFDSPGFWKEYSQSSKSGRNAGLFQNRYLTESKGFE EFANTTLERAKRIVERVLEASSPEQYRYVVRDLDRLSDLLCRVIDLSDFVRATHPDPR IQQAATQAYGIMFEYMNVLNTTTGLAKQLDIAIEQCSESGVWDEEEKMVAHILKKDFA KSAIDLPRGDRERFVSLSQEISEVGTEFVDYMSPEKEYLSFPSSRMKGMDPMLVRQLT QWGKVALPTIGGPASAALRSVEDASVREDIFMASRTASKATLSRLQSLLQKRAQLARL SRFDSYAHMNLEDKMAKSPESVNGFLRELSKDNSSFVKSELEHLLKAKAMHMHTSDPS LQPWDKEYYMSRILASAQSRTRNADFLSSYFSLGRVMQGLSRLFSRLYGIRFVPHETL PGETWNPDVRRLDVVSEIEGHVAVLYCDLFSRPGKSPNPAHFTLRCSRLIRNEEIEEA ATTPNSLFTSAEEAANDGMAISPSTSSGVMQLPTIALICDFATTPGSLKPSLLSFSEV VTLFHEMGHAIHSILGRTNFQNVSGTRCATDFAELPSVLMEHFAADPSVLALFATHYE TDQPLPYKMVAEKLVLDKKFEGADAENQIILSMLDQAYHSSLPLDTDFDTTQVYHELQ RNHGILPSDPPGTSWQGFFGHLFGYGGTYYSYLFDRVLAKQVWQEVFRSGNQGGSVTR ENGELFKGEVLQFGGSRDPWTCLSRVLKDERLEHGGEKAMGLVGSWGIEGRREASSGK L LY89DRAFT_582380 MGDAGECPVRFSNVGGGGTRNRDWWPNALKLNILRQHTSVTNPL NKDFDYAAAFKTLDYEGLKKDLHALMTDSQEWWPADFGHYGGLFIRMAWHSAGTYRVF DGRGGASEGQQRFAPLNSWPDNVSLDKARRLLWPVKRKYGNKISWADLLLLTGNVAIE SMGLPTFGFAGGRPDTWEADESVYWGGETTWLGNDVRYSDGNPGPEGHGVVDGDESKK GHSDIHSRDLEKPLGAVHMGLIYVNPEGPDGIPDPVASARDIRTTFGRMAMNDEETVA LIAGGHSFGKTHGAGPSENVGKEPAAADIAEQGFGWKNAYKSGKGPDTITSGLEVIWT KTPTKWSNNYLEYLFKYDWELTKSPAGANQWVAKNAEAFIPDAYDSNKKHLPTMLTSD LALRFDPEYEKISRRFAENPQQLADAFTRAWFKLLHRDMGPRARWLGPEIPSEELIWE DPIPAPSHPVIDEKDIAALKKEILATGIDGTKLLSTAWASASTFRGSDKRGGANGARI RLAPQKDWKINNPAQLKEVLGALEKVQSNFSSGNKKVSLADLIVLAGVAALEKASGVS VPFTPGRSDATQEQTDVESFSHLEPQVDGFRNYGKGTNRAKTEQFLIDRAHLLTLTAP ELTVLIGGLRTLNLNYDSSNIGVFTKTPGKLTNDFFVNLLDMSTEWKATGPETFEGVS RKTGEKKWVGSRNDLVFGSHAELRAISEVYGSSDAHEKFVRDFVAAWDKVMNLDRFDV KGAGAQGRARL LY89DRAFT_683504 MRLLCTTSLNQTLFLFLFSLLLSPSFLFQQPSHPSTPSPITHFL FLFFVHLAHKTLRNCQKAETKDLEISEIRYLHSVVRTRYLIINYFYTPSSIPSNQNSQ SAFANIKSEVKTQSGPVRR LY89DRAFT_613518 MASKLSPEDSIALIKANLAEVLNPEIIDNVILKEKRPLKVYWGS ATTGRPHCGYFVPMMKVAELLAAGCHVKILLADIHGYLDNMKAPIDLVEFRAKYYERV IKALLKAVGVDIARLEFVLGSSYQLNKDYTMDRFKLEGITRVEVAKKAGAEVVKQSAD PTLGGLIYPLMQALDEQYLDVDAQFGGVDQRKIFAFAMENLPKIGYSVRAHLMNSMVP GLGEAAKMSASDPDSKIDLLDDPAAVEKKLKKAKCPPKEVEGNGVIAFVEHVIFRAIA LKVDHEPIFKVERRDEEPLLYTSIQKLKEDYAADILTPQLLKSALTHHLNELLKPIVA EYEASPDWQAISLQAYPPEKAAVKVKKVKDKGDPAKRAAAAAARKNVVAQPDGHVEGE DAEKVTVGPSTEETLQKLKVSEPES LY89DRAFT_732116 MGFTCGLAQRFLRKREPHKYAPLDEVAHEIRLVTLHPARRFKDP VRISLSIAPFTSGRVPQFEALSYAWGAHEHTSTIFVGEKGFETLSVTENLGIALPYLR YKDKPRVLWIDAICVNQRDPEERSKQVKRMADIYSSAARVIAWLGPSSETTATAIGCF RTIAAHVVAHWAKWEMQPLSADGSWADAKVPPPFSRAACLAMLEFISHDWFERLWIYQ EIRLASQDSILLCGHQVAEWSHFRTAIFFLDQKKHLLDCPEDVFGELKNRIDMTWRIC RYGGYIPLEELLELTNMSKCSDPRDRVFALISLLDEDSRECGIEADYSKTTQEVYKDV VKKIVTGNFDLRLLSSYEMDEFEEQTPSWIPDWSVPKITQLLPEFSAAGWSECVVDFE EEDIMKVQGKMVDSLLWVEKFELGSGASDMRIYRELLRVLSRLGLENLETSHIGVRSL AKILCANNFPERFIPGIANLRSIRHVERELALVLNEPPEAWEERSLELWGELLNFCKG RSMFKTKNGYVGLAPRATRVGDLVTVLLGSPTPTILRPAPDRGFRFIGEAYCGGLMHG EAFVGPLPTPFEAILAWHEEDTCYRDGFLNTETGAFQIADPRMGNIPLPPGWKIREHR KKDWRQLFFNETTQEDNGHFDPRLTPKSLKERGVDIQTFDLV LY89DRAFT_642918 MAQATTVLITGANRGIGKVLLETYLARPNHTVIAAVRDPQHSTS QSLPSLSTASGTKLVLVKIDSNIDTDAPLAIKTLTQDHKITRLDLVIANAGLCDWFGP TISLPVEQLTKTFQVNTIAPLLLFQATYPLLQKSSNPKFVFVSSSVGSVTNAHVKLPF NCAQYGASKAALNYLARKLHSEVQDVTIFPAHPGWLNTEMGVGSAKPVGLESALTDVE EGVKGLVGQIDKATREETSGEFVMYDGSVTPW LY89DRAFT_582257 MSDFDSPADTPQPSGHRRSTKRSRYDDSEASTPRDSSPDELADH QPYRSRLSTRRDSGPRRRAHSSDGSVDELDHTYHERNRDRNRDRSSSSSTRDSSHSSP RPPSPPTYRPLKLNYQLKFILKGHRKGVAQVRFSPDGRWIASCSADGTIKIWDAATGK HMRTLEGHLAGVSTIAWSPDSNTIASGSDDKTIRLWHRTTGKAYPATLAGHHNYVYSL AFSPKGNMLVSGSYDEAVFLWDLRARRLMRSLPAHSDPVGGVDFIRDGTLVCSCSTDG LTRVWDTATGQCLRTLVHEDNAPVTTVRFSPNGRYILAFTLDSCVRLWDYVSGTVKKT YQGHVNKKYSLGGAFGVSGSDGFIVSGSEDGDIVFWDVRTKDVVQRAEKAHEGVVCWV DTSPGSDATVVSGGLDGTVKIWVNIPEGGEVVDGMNGVKLEQDGVDADMVDVKIEDRD GYDDTLRDDASMDGRRSPNRGRGRNGNSRSHDRMDED LY89DRAFT_667644 MDPPLLTEINVISLNCWGLKYISKFRQERMLEIGKRIAAADPAP QIVGLQECWTQHDYKAIRAETKHILPYGKFYYSGIFGGGLAILSKWPIEESNMFRYPL NGRPTAFFRGDWYVGKGVASARIRYGSGEKDVIEVFTTHLHAPYEREPHDSYICHRTA QAWEIAKLMRGAAERGHLVLGLGDFNMIPQSLAHRLISTHSPVKDVWLLLHPDSSVGA AVDPVEKARRRPIPTADINIHENGATCDSVLNTWRWNKGQQKRLGPNKPAIDVPMDRI DPRAKRLDYIFASTGFDASRPDRGGWIIQDVKVGMLMRHPELQCSLSDHFSVEAKLVH SRALQTDTDKDEDIAVADGVYLQSPATSEFRRSYHSQFRRASNTHLPVQTYDEILAMV HKYNGRERKQRRWRLAHFVGQVVISIGCLIAVWWSPRNFVAFLLMLLSTLGLGAGVID GLIGGLFVGSEIRALKEFEWEISNARMAAGGEPFAMSEEGIKDW LY89DRAFT_717156 MMEGSMCAIDSYICSSKVEAWILNYGGAGMTPPSPESGNQGGQD SYGSSGNSDSYGGSNNNQDQDSYGSSNSSGGGNQDSYGSSGNSDSYGGGNSGNQNSYG SSGNQDDGSSDQSSGGYGGQSGGQQGGQQQQGQGQSGQQGGQQGGQQQGSSGGGGYIS QGLNFLEQKTGHNLSQQQNEELTSGAKSAYQQVTGFVHPLMLSFFRIFCPLGFR LY89DRAFT_683511 MAAPIVPGLRHLIPVLSSTASVAFCFTEYWTLLPFRRSDIPPES LSHFFDDYFYNTIPGWAGFGLISSISGYLCFRNTTGLARTLYGWGTVLTLGHYAFGPP ISKVIKGMIYGPHDKTKGLLKDWLKIHTVRTLMMDIPAMACFVAALSYLL LY89DRAFT_717157 MDSTARKKESSFRNQERRGGEGTMKPSSINDLSESSFSFKNHVA ASSSEDFILDITRYIPVGCLRVDRGECDIPAVDWSSCRGWAAFPHEKDISSRRGGSYL SKELQSLFFNNSLVKPYLGLHYAGWIRLEFKSNDSDHGQIRVYILPDDSARAVVDRES IVLRRALHTLLTQLDISLTTWNGDCSAWFHVDPSLDRSSKEEPSLFEVFNTLPSPKPN PSIVPDEDIRYTMDMLLKSEVPGLKTTMHNYQCRSAALMLQRESFPGQINDPRLIPQL DQNGASWYCDLDRGMCFRDPKTYEAVRGGILAETMGLGKTLICLALILATRQFSSQIP QECSIGSIPVRKTTGSLLEMVAAQIGRTGIPWKDEFSQLEASEGSHLEKCRDALEKGA GHYFLPPPEPRRLSRHIGNVPARKLWLCTTTIVVCPPNLVQQWRSEIEKHTTGLKVLY LRAPSDRLPTARELTDYDIILFSKVRFEAEGKENFDGYQSPLKDLHFKRLIVDEGHSF GNSSTKTMADIAVESLRISARWIVSGTPTQGLGALGRTGRLPSVRVPSNNDSNDEYDD FNDQGTNGSDSPSKTSEQQSELFKQERRDLEKLGQIATSFLQARPWANSVFGNDHASW SQLVMQPRHGTKSRGNMHSLKVTLKGLIVKHKPEDITDDVTLPPLIQKVVYLDGSIQN KLSLNTFTMMIITNAVTSEHKDADYFFHPKQRKHLLQLVSNLRQASFFWSGFDHEHVQ NTIKHAKDFLEERKVPVTADDEALLLQAIDMGEVILKNHVWQAISKGHEMPMCVENNF SDDVRSIWSLTNNASNPTLMGATMVHEVQKFVDAHITEEDTVDGLFEAGEEVMKSASN ALHPAPRAWTKTQKEKKNLTATKKSKEEAPELAGGVTVGVAGSPKKRIRAAAVSSTKT DAAVTKPVLANVEGRLKASQSSAEDLNEEEDMPSNDGTLFQTYASALRDLTGSEQTAF VDLTESKEMPSTDPPVSAQLVSTASAKLSYLMDRILRHQSQEKILVFYEADNVAFYIA QALECLGIKHLIYAKTLSSARRAQYVVTFNQSEKFRVLLMDVSQAAFGLDISSASRVY FVNPVFSPQVEAQAVKRAHRIGQTKPVYVESLVLKGSIEEVIVKRREAMNNEEHTKCK SILDDQTVYDWIRNARFRSFPSNEISVQDQMAKLAVPQQLFGRGYSGKLYPDTDFIID DTSSERAGNEDSNPGFEIVSASPLLRRPTQGGKREVIVISDDDEADNDQNDKDGLSPK PRRKRAKTTNSEPEFVPLEVPQEAESSRSNAQSEGGDDDDDDDWLAHADSDEELDI LY89DRAFT_706314 MPNTSSSTPPSSSPATTRSSSTSQETLLSNPPNTKPTIVILGTG WAGWTLAQDLGRSTSLLSTHNLIILSPTRTMALTPLLASAACSIFDFRIAEEPVRRLS LGKNVVKYQVWCTAVDFESRVVKCKAAIGSNGDEKLGPREEFEVRYDKLILAPGAEVN TFGTPGVEEHCLFMKSVADAMKLRERILDCFEMASLPTFSVEQKREILHFVIVGGGPT GVELAAEIDELVHGHLLGVYKELEGLVTVSVYDIADRMLGQFGEKLSEYAMEKFRRRD VRICMGKHIQGFEKGVMNVKEDGEVKFGTAVWCTGNKAGGLVEDLEVKKDESGQRMLT DRWLRVLSKKKGVVDSVFALGDAADIEGGELPTTAEVAVQKAKWLAKYLIAGEEGKKF EYTQKAVVAYIGRHDGVVEGKSDWTGAGAWLAWRSGSLEWTRNWRRRAMIILYWVMNK LDGREIARR LY89DRAFT_613538 MISGRGRGKIRPPRRAGVAGHVETSEFDAQWDILRSALKEIHTK NASKLSFEQIYRASYKIVLKKQGDRLYDRVREFEEQWFGTEVMPKIRLLITPNLVNIT LSGVSGVTANERRITGEEFLRGLKLAWEDHIITMNMTTDVLMYMDRVYCTDNRKASIF TTSMGLFRDHILRASLTGSDLNTFDILNSVLLDQISMDRDGDVINKTLIRSCAFMLEG LYETDEENDLDKLYLTSFEPEFLRASRLFYQAECNNLLQNSDASSWLRQTQKRFGEEE SRCQTTITALTKSKIAQVVEAELITAHLSEFLAMEGSGIKAMIENDRYEDLTLLYQLI SRVDPSKEPLKLALQARVVELGSEINRTIQNIDFTGPSEPAENGDAADGGEKQPKAKQ QSPSAKMTAAAIRWVDDVLRLKDKFDTMWKKCLDEDLILHTALTKSFSDFINLFPRCS EYVSLFIDDNLKRGIKGKTEAEIDEVLDKATTLLKYIQDRDMFERYYKKHLARRLLHG KSESADVEKQMISRMKLEIGNSFTSKLEGMFKDMTMSDDLTAGYRTHISNLGDMDRRQ IDLGVNVLTTNYWPMESMGGNASRQDDGTQIPCNWPSEISSLQESFKAFYLKERNGRK LTWLGFLGSADIRCVFPKIPGREGVLSRERRHEVNMPTYGMVVVLLFNDLADNESLSF EEIQQRTNIPGHELSKILHTLAVNPKAKVLRKEPDNKEVKPGDKFFFNTTFTSKTMKI KAPVMVGAVNKVEGDEERKETEVRNDEHRGNVIDTVIVRIMKARKIYTHQNLLMEVIS QLSSRFKPNVDMMKRRIESLIEREYMERVEDAAVPTYNYLA LY89DRAFT_683515 MSLSTISSAAFRSMTRVSRPTSKFIPLSTRRPGQISRLQPLSNR FFSSEPPPSANTPAPTPEPRPAHAPPLPKQTSNTNTTYPFPSKPEYEMTFTCKPCSTR SSHRVSKQGYHYGSVLITCPECRNRHVISDHLNIFGDKHMTIEDLMREQGQLVKRGTL SEDGNVEFWVDGSVTKRGEGAGDAVTVKDSAEETAKGS LY89DRAFT_696127 MPVNTSGRIVKTRKVKKGTAHQKNHRWESFTTKISKLSALDPIR RVRRHDIDAEDLSTTTSYLKAGLEKWWDLNMSDTFATFSRDISQMSDSLPQIIHFEDK IMDTFVAYMEKKEKSCLEPLLELITDFAHDLGARFEKHYSKALEMVTSIAGTPQDVEV IEWSFTCLAFLFKYLSKLLVPDLRPTYDLMSPLLGKHRQRPHIARFAAEAMSFLVKKA AAPAHKEKALPLIVKHAKQDLEIIQETKEFGLYFHGLMTLFAEAMKGNGLAVHTSGPA IFQCLVDALTDEDLAAKEPSPWAMVVCGVLTSIIHHSNLDTLKDIVEVVQNQVSATMG SAKDQSIDLSIPCLAFFAKLIGVIAGVRKGSRVSNWPLLLKSMSDILQFFSKYCQTRL KSDEWHKWNSVVVSTAIIIQYAPMDALITFVSPFMEALTKDPFAPYFLTFCAFLSEGE SERFQSIVLPYFRRFVVAHWSDADNGDTLSVLLPKMVSSGALPSKYNKEGFSLPQSWQ DQIVSKFERLEVSPFPEQSSPYDRSPTTWHDRCLPKYNALLEVLDSTVVHPSTNARIA EILLRKLKLALRPSSSLAEEEANFIVGRGFSAFSIMSKAAGETDRSLEPLLRAAAPRY ARLPNFLEAFLDYEMSLKSSPIHKTGSPSKDPETDSDADLLVTSLVSNLSTASHELRL LSLRLLDYIHTTDLGSKSEALAIMLMVEQTPLDLQTARSASMHIRKLATLFQSQKSAT WLQPAIASFCFGMLTVKFAQVWEDATSSLKQIAGSKSGEEIVAKLAFDWLETSSVAWD GATKSVDQNQRNDLTDFECSNMIKLNLAAEAAELSVLKARDTMLKQFAEAQELITAKP SSARAQALRVLSALPHIAERRSRQLVPMFLSWAIKQHVNDHGSEEEESSLSDWTRKDQ KAQLDLFCLFTNPKSLYKSEDVHSALLSLVANGDIEIQKSAVKALFTWKDPNIRPYEE NLMNLLDEARLKDEITVFLQGDALIQPEHRSTLMPLLLRLLYGRSISRKGAASGRQGM EARRLTILRSLNIVDMGAFLDIALGDLKDVKVIDNGQLRESVLERETLSVRKQVGFIH MIEGMLKELATKALPHAQKLVDAVLYCTVFACRNLQDDHEEIEEATPQASQSSLLKVI RQTGLKCLNLLFVHTPDFEWTPYCKVINEEIVSPRLANLPIETAQGVSAIMRLFSAWS TSPKLVLFLGSNEQILPKVAECLTPQKSKDEVKLFALNVLRNIVKLCQESAENDVSDR VRDRVRDELLAPNMDHFLIHIGGVLRGDPSKDLLECCVETVSELAPFVTTSTQAKNLV EVSIFLLDQPSRRVSPKTKSGLLLVLENFVPLYELHKDHELKDKVYSTITSLFGFFKD RTSREDAVLADVAKLCVDLNSFVEGRLDEPDYDRRRNAFSFIEASRVPPFTARQWTPH DEEFAILSSHSSDGICCFLKCAAEVEEEVEKAQFRTMISSILVPALFAGAREPSEVIR REYLKVMGTLVRWFPEWIEVSDMYGLLAGDDELESSFFNNILAVGVGRRSSALGQISA AAEKGELSAKNISHFFIPIIEHFIFDRAEGSDAHNLAAEATTAVAILAKSLEWPQYRA MLRRFIGYISSKPELEKQIIRLLGKVIDSLATCVPEIVQADDAMVVDSENNKKSTLSA TVPSQQKLGEDLASNIIPPLTAYLHDKDESTVSLRVPVAVIVVRLLKLLPEDKLKECL PAVLTDICHILRSKAQTSRDMTRDTLVKICVLLGPSCFGFVLKELRSALATGSQIHVL SYTMHSILVACIPEYGPGDLDYCLPPIVQIIMDDIFGAAGQEKDAEEYTSKMKEVKST TLSRLTDLVRPIRLLLKEKLNLKMVRKIDELLNRITNGLLRNSAADNRDSLIFCYIVI QDVHNGEKPQESAPIDRKLKKYLLQKGAKRAGDRGGMTIYTHKLVRFAFDVMRAVLKK YDALRTGSNIAGFIPILGDAVVQGEDEVKVAAFRLLATLVKVPLQNASDGTDLYRIAA AEARKAISANSSTASDISQAALKLVSVVLRDRHDVPVKDTTVDELLSRLKDDITEPER RHVTFNFLRAVLDSKVETAVVYDTLDYVGTVMVTNEDKDTRDLARGAYFQFLRDYPQT KKRWSKQLDFITANLTYEREGGRLSILEVIHLLLSKSSEDYVQEVARRCFLPLIFTLA DESEKCRLSAGEVIKNIFKKADKERTNGFLTSLRSWVNSPKPNVVYITLQTYGFYYEN QTTDDSDVSMLQERILAILQTVDDPDSDWQQIYGALELALILVQKFPATLLSSKSKVF WAATRVGLSYPNAWVKLSAARLASEYFTDFARANAESGLENLPLKGSQGLKLTGDDIT ELIRRVANIFKTPNLLQPLADETVKNLVFLGRCAGANDLKWRSATDHESDEEEGEEDE KDGRTALEYLFGRLSFILRREIPPPPRAPMLVPKTASLQLLQVLASKLEPSSLAPCLQ TILLPLHNLTDPSISAPFSTDELFLTGYDALKTASEEIMEMLKKRMGTSVYTEALLRV REGVKERRLQRTSKRKIDAVKEPEKYGEVKRKKGERKKERRKEKGAEHRSRRHEY LY89DRAFT_667651 MASKLIVLGAVNGQLQLTFNKLSTLHAKNKFSLAIVVGNLFADD DEAVSELLAGNITVPLPTYFTVGTSSLPPRIIEKIEKDEEVTQAFIICPNLHFLGKRS TTKTSEGVKIVALGGQLDETIVGGISQEQHLPFHTVADAKALYGANTTDILLTTSWPA SIRNGSKVELPAGTTAPTGQEYISDLCVKLRPRYHLSLSPDFFYEREPFFHSATQEEP DIRPITRFISLAPYGNPTKQKAIYAFSLRLSPDRSAPFPAGTTSSPFSPPAGPRKRAA LGPKPYSRYGQDNDYRNKRQKGRRGERRPPPGPGECFFCLSNPTLATHLISSIGDDAY LTIAKGPLTTADTNAALGIDFPAHALIIPLTHSPTIAIITEEDNARQKTFTEMTKFKD ALQSMIAKRSEMNLGAVTYEISKANGVHTHWQFVPMPVETIQKGLVEAAFRVEAENLS YPEFQVRDPGIGQDDGDFFRVWVWTPPTEEGAEGTTKCLTMPFDDNLRFSLQFGRTVL AKLLDLEKRIQWRDCAQSEDEEKKDIEAFKTAFKEFDFTL LY89DRAFT_667652 MDYPVLNHTQHESRRLPRTRAMDLDDPPMLQKQDRKDEESDIYL PAAAASTSHQPTIADDSMTLPPILHRAIRRPKTLPRLPALNRHLSATSSTSQQPTIPN ESMTLPPILHQAIKRTKTLPRLPALDTKPFPPPNMISHPLLPRVPDIHASTVIAPAEY FINEHGVVLLEGTLRTVWIWNGTTNIPPPKWEERRVGLGAEDNGKMKS LY89DRAFT_642946 MDEEHNRPWTRRVVDSFKRDPHAQIVYAVEPHAGFDHKGAAERT ANSGLSRKLKSRHMQMIAIGGAIGTGLFVTSGEALSTGGPAALIIAFGLIGILVFCTV QALGELAVLFPVAGSFSAYSTRFLDPAWGFAMGWNYAIQWLVVLPLELVAASITLTYW PGAANTNEAAWVVIFLVVIIAINLFGVRGYGEAEFVFAIIKVTAVIGFIILGIVLDCG GAGGGYIGGSYWYPNTVKPNYAGYQQGQPAGSILSGAFADGFKGLCAVFVTAAFSFGG TELVGLAAAEASNPRKTLPTAIKQVFWRICLFYMVSLTLVSLLVPYGDSRLLSAGSAG VNAKASPFVISIENAGISVLPSIMNAVILISVLSVGNSSIYGSSRTLAALADQGQAPR ILGYVDRKGRPLVAIGVASLIGCLCFVVAGGEEKAETALNWLYSLSGLASIFTWGSIC LAHIRFRRAWKLQGHEVSELAFTSQVGVLGSWVGFILNCLVLIAQFWTAVWPIGYGAN DPTPDDRAQAFFLSYLAMPFVIILYVGYKVWFRTPFMRARDMDLVTGRREIDTAVLIE QDRIERERMPKWKRFYKIFC LY89DRAFT_717164 MPPAWGKDEIKDLQDFRKQKDDIRWLVGQMNNRPSKDRIYTAST IRNQLENIDFDDWLSRQAGQAGESSRARETSQAGYGGQSGYTAQAGNPASSGGQSDYA NQGEQSGYGELGEQRQRQYAWQPPQPDGPPKSWGPGPC LY89DRAFT_499413 MIIDSISELEIFMRPVCFVLLLLPSIYASMTNLLPGLERLSLSR SNVRIGFIVTQSKQECFLKHCSLSQSCLLTLKTTYIFSDHIFLPLMTSYIRIYHDILV LPLAPQSFKTHQTSSFHGNVNI LY89DRAFT_642948 MNNSQFRKLVLDTPARQANASSPPAEGQSIRNGVSATPTALGSR MRSSIPMTPRSIAGRTPHNDFARQLAERNSSTSQSQKKFRSSAAPKGAKLPQGYVDRA KQRSGGDEEEENDKVKRVKALEEMMKLQQIDEETFVKLREEILGDDVGAERAGLVKGL DWSLLERVRRGEVDVGDVLEPKAKPAAEEQVDEGEEVGNGEDMDDEFEKLEDKEVEAV VHEKAKKKGEMAPPALTGKKRNRDQILAGMKAARQAAKEAAQPSLGSRFKKVGEKRAE SRIERDSKGREVLITIDEDGNEKRKVRKLQLVEKEPEEKGKGLLMPDKDAKPLGMEVP DVPPAVEEEDIDIFDDVGDDYDPLAGLDEDASEEQGEDGEVTETTKSEPETKSEPGSM GPPPRPKPAARNYFGNSKSSAEEDGSKPAALSDPVFMAALKKASSLTPIEKASKSEEE LTKEARRKKMLQQDDRDAQDMDMGFGSSRFEDEEDFEEKKVKLSVWGGGDDDGDDEKG EGEGKRKRGPKKRKGDSNSAADVLKVMERRKAES LY89DRAFT_683518 MMYDAFAILMSAYHPSLNLLGITTVHGNSSLPHTTHNALSLLTA IGAPSIPVYPGSANGLTRPAVHAPAIHGASGLDGTTLLPTPINTHATEPFIEAMSTAL LSTPKGTAWLIATGALTNIALLFEQHPEVAEHIKGLSLMGGAIGGGFTNAPMGKVDDR ERIGNWSAWAEFNILVDPEAAQALFSNPVLRTKMVMIPLDVTHLVLATGEVQSLLLHG KGGGAASVLEDGKVGEGKSTLRKMLVELLLFFAKTYKDVFGIEEGPPLHDPLAVAVIL DGIAGAEIPFYDFEDGKDRKERYDVHVVTEGSHEEAQNGAETGRTIVKLLPEGEEGVK IPRNLDVKRFWGVLEDSLERANAVNRANGVS LY89DRAFT_683519 MPSVPTPSKAGIIETNSGERHIPASVRPDGSQRKEIKIRPGYKP PEDVEVYKNRTAESWKTRGSGGVPGAEGLKDEKEGSAASNKNAKRREARKKAKAAEEG GEKAKDGAEVVDKQEAMVVEDPEAEKEKKARALKKKLKQAKDLKEKKEGGGALLPEQF AKVIKINELIRELDALGFDAEGEPKAVAEEKK LY89DRAFT_582119 YSFLVRRNYMFLGVIFAGAFGFEMAFDNASDKIWDGLNKGRQWK DIRAKYIQSEDDDE LY89DRAFT_780625 MMESMSTQERQLCEFLKTQPRVHKNRYTQHAENTLLQSLFWSLA CGRSDYLRLFFPDNTRPLQNATWKLRKAQGGADGDEFTEAARGKACGHIFKAGEATYR CKTCSQDDTCVLCSRCYDSSDHTGHMVYVSVSLGNSGCCDCGDPEAWRLPVHCSIHTP HDDELQGKGKGKAPSLPNDLVEAIRMTIGRVFDYICDVISCSPEQLRLPKSKANILLD EEQSRLTSQFYDGDIAEDPCEFALLLWNDEKHTVNEVRDQVARACKVTSREGLQRAHE TDDIGRSIVKYSFDVDELLAVSEVIEQIKVTVTIRSARDTFREQMCGTIIEWLSDIAG CSVGSDHNILRQVVCEEMLKPWSLGSEASNKDVGMKGIDDHEIEERVNYREAMLMQQA RIIRAAALAANAESGSDDDDDNDAASNPEEPDDDDDGDVVFQIEGGTVDVDDADESEF GDGPATMMEYLVQVPANMVPASASQRTDADGDVDMDQNDRENSPMEVSEATMAGYPPP PPPPPPAPVRPLREPIRDRDLTPSDSDTAEMQPLIAPPIYAKAGLDIPKTPRGVAKLQ DAPRPPKYWLETPPGFREAMPVAIHENLFKRLRLDWMILFDLRMWKKVRIDLRDLYIS TVVTIPEFKRILGLRFAGLYTTLAQLYLIADREPDHSIINISLQMLTTPTITAEIIER GNFLTNLMAILYTFLTTRQVGHPHEINPNATLAFDSGSVTNRRMYHFFMDLRYLFSSD HVQEKLRVDDRYMLQFLDLVKLHQGICPNVRAVGEHVEYETDAWISASLITREINRLC RQFSESFKWSPGEDHTYISNAIRLTAKAVILNSLGTERRRFVQAEIKDEIKFKKVGDY EFDTTERSGKFLQHHVVKFVVESQPISFHHALHYTLSWLIECGKNMSRENLQHLLSFT TADLLQKPKSMGQRTMPSQEYTPEDHLMAAFDYPLRVCAWLAQMKAGMWVRNGMSLRH QMGTYRGVSQRDVSHHRDIFLLQTAMVVCPPARVLVSIIDRFGLEHWMKGIYEQKADS LEDGQVLDVVEDLIHLLIVLISDRTSLVTSEEDQSPHVLAMRRDITHVLCFKPLSFSE ICSKLPDKFQDQEECQDILDEMTTFKPPEGLSDVGTFELKEQFLEDIDPYIAHYNKNQ REESENAYKIWMAKKTGRAATDIVFEPKLKVIESGAFADLAAFTRTGIFGQIVYYALM YSVQAQAVAPTVPMTRVEAFLQVVLHLVLIAIAEDKTDEDEMSEESLQSFVYIALTAP ARTNFLKQSPPSKTIAALLEMLSRKDEYKACHTKIALVLKRMKQKQPRNFEASFARLG VPVDRISTASPANNNVLEDREKKKQAAMERQAKVMAQFQQQQRNFIDNQGDIDWGEDD ISDDNLEVEGEDQKTYWQYPSGTCILCQEETKDGRLYGTFALVTPSTILRQTDFQDPD FVREVAKTPSSLDRSAESIRPCGVAGENREQVHKVTASGVEIVTERQFIGKGFPSGST RNGPVSVGCGHIMHYKCFEVYYEASNRRHQHQIARHHPERLDMNEFVCPLCKALGNSF LPIIWAPKEELSINRLQPKTNFNDWIKSVTVQSDSVPLAFPNSGERERAFFMQQNNSV LLNSLNARTSDLLSEAWNPLVPAVTPTAMGLLPLGPLPPGPTPGHTIRLDHSSSNSSN SPTHNSSLMTELVGIYRRLRDTMQKNRLPTRHRILPSQQNDGPLDDLCANDTLAQSLG FSISAVEIHQRGVASQSVMTFLEGVPQQALVHLRVLAETASSYVSVGGVRMGSRIAQD FTTDYEDQFQQLFLPSSLKQTTGQESTYRDSTAECLLEKDIFVFLTECSLCLAAMDDE IDIMHVIKLCYLAELIKVVMKMSRNKGKSSFLSWINYDFEPSNPQGLDAFKYFCCQVR SWDLSNGSHRKEPPSSVMEQPCFDGAESCRSFAKKYALVFLRKVALLLHVRYGVAFHN HVSSDPSADELDRLTEALRLPTFDEMCASIYGKSPLSPLAQGWVLRAGCHPQTSESPS KISLSHPAIFELIGLPKNYDTLMEETMKRRCPTTGKDVSDPMLCLFCGVITCGQSICC LKDGPRSPTGRVQQIGGAQQHMLKCQQNIGLFINIRKCTVFYLYHTSGSWMVAPYIDK YGEVDPGLRHSRQLFLNQKRYDALLRTVWLGHGVPSVISRKLEMDINNGGWETI LY89DRAFT_774406 MERPWWEPLPIALEPHNPSWATEFNTAAQMLRTLLSGLPITSIE HIGSTAIPDLLAKPILDIDIVISGPDFPAILQRMKEGGYTHLGECHLPGRHAFWQPGA NAKTGEPTRWVEVEGVGSVRERRRNTYVCFERSLSLRNHRDLKRVLMEDEELRKEYGE RKSYLVHTLLIDDVEEYCFGKNAVVQKILKKAGWSDEDLAVVCKTGWYRV LY89DRAFT_780627 MSVSEDLIDFDIIENQKENIQSLPGGRSARALASMFSPSPLHKL ATPTPSDTKNLNDAMRNEYENELLTISESDDPLDIYDRYVRWTLDAYPSAQATPASQL LPLLERATKAFLNSSQYKNDPRYLKLWISYIRFFSDTPRETFAFLARHNIGESLALFY EEFAAWLEGAGRWTQAEEVYTMGIEKEARPAARLLRKYNEFQQRFAQRPDDTNEPSSP ALPTVRPALAAKIDPFAAAAPRDPQAPRPNSGVGGGTSKSGRQKLTIFSDADSAAPAV ASVETKGWESIGSLADRKKENMVEPKPWVGETLKAGGKKSSTKMMVFKDESLPQFAYP QVTDPAQQQVTVNQKGRTERIHVNLEAIYHSPDVVGSELSLEELRAGYRGWLSKVWEP EITKPILDEPSLLDEIPKQSPANIDTLNQAVKEKLVIARDPVLDENGAAKEPSRGGRG RRMKIKEVNETQIIKAKLSSPSGPKMTKRKASKEQTMTLHTRAATDDIYDLFNQPLKS AEDEEEEESSSDDDDGDMTDGDYTSGGESTGTGRLLTTSEAGDDETSDVKSVSEWSEF TARKHIPNLDDDNENTQASRFTESNEDLEVIEAEIPDDPEEEELVTPISPELPTTSRT SFVPIPPEDYEPPTRPYRDPVQVSQNRLPFMTPIAEKTESSLGLPTMRQEKDYFSSKT PSKENGSRKPPVRPIDGLGSSPFKEIVNEAVPVDRIPQPLLNKSLKTNKTAAIAAKVQ ASGGPLAKEIANKGPIIKDAQCNPVDDFTRNMIFEGLQPPLSTYEGFFDHKDETLGRS AEIRKYAKAASKIHKNASDKTATNLTMPPILRFPGTNRHYMLKRELGAGAFAPVYLLE NIDGEEDEQQDENQPPKMGKGTFDAYGRRNLEALKMEDPPSAWEFYMMRQAKRRLGVS RAAESVIDVYEMHLYKDECYLIEEFRDQGTLLDVINISRAESTAAGPGVMDETVVMFF TIELFRTIEALHSKGILHGDLKADNCLVRLDAIPDSDVWTTKYRKDGTGGWNKKGFSL IDFGRGIDMKVFRPDVQFIADWKTGPQDCAEMRELRPWTYQIDYHGLAGIIHSMLFGK YIDTVADKGAGIGAGAKKSWRIKENLKRYWQTEIWSRAFDLLLNPGTHVEGEEGSRLP VLKGMRGVRESMEVWLEGNCERGVGLQASVRKLEAQLGARRK LY89DRAFT_774412 MSAMLPWQIPAPPAPIIPQYDPRKLALLVETRPLPHLPALFTHM TTIIPPEWTFKFMGSPAALSFMRSSPVISRFEDSGKLQLLPIPGNYSLKDRETISQMF TDIHLYRDLLAPAEHLLVWQPDSIFCANAAKTLNDYLEWDWIGAPWSKTAQYGGNGGL SLRKVSKILEVLRSKQRKVGDGALEDLWLTNNLHELPGSHMPNAAVSKTFSVESVWDD APLGYHVGWLGVHHEQIWDDKGQVDHIMKYCPEVKMILGMKLDNDKPEAIRQSENPSQ LY89DRAFT_696137 MAHLYHHNPHDPTNQGIIGVPHGPETGDNHVHMEGPVPTDGEDV LKSMIFPHDSYNSEGVYWADLPLGKQLAFNASVDNAESRKELRAIWAMMKEDPLSPIG WYFRHAILPGAGLGLEGYVLFSIGNLTPLFSAVWPNCWSKYKTCNETWVAAVQYLEVC GIIVGQILVGALGDGIGRRWGLIQDVVIMFLGLCMLVASWGTTLNGWVICYAWSLFFY GIGVGGEYPMTATAAMENATGAGKISTREDRLHRGRKVTMAFLMQGWGQFFNQIILIL LLLIFHHGQGSPPYSERVAQWTYRVSFAIPAVGTLWLVYYRTWKMPLASKVLNTAKKK AAVTGYDVQSLKLTFSVFGGRLIATAGAWYCNDIFFYGNKLFQGQFISVLNPGNKSVL TGWLWNMVNVGVSLCGYYLASFLIDNKFVGRKRMQQLGFFMDFILFVVPAFHYNFYAL NKAHIPAFQAMYFLSSFFNQFGPNSTTFLVAAEVYPTPVRASAHGFSAAVGKLGALTA AVMYNYISTQQKFYVVPWFGLAGMLITALFLPDTTGLDLKEAERRFHYIRNGRESDYH GIAVHPHHLSLWERLRGAGKYYDPKLDYESKVQDLRDEWMEKLNSEEDLDIAGEEIPR EVHEYFARTTGLKGEKKGGNARSWDAALRLSAWQRWNQSTSIS LY89DRAFT_717173 MAAAGTTTAAPALSTEINNGTVTSWLPLTTAWPSLADCSSQIYT WDGTIMVFDPTFREDQDNTDLYCQPSQMTESWTQSHQTPQLTTTSLEGLACPDMYTTV WSSPVNTASEYVVCCPKSFTPANPKIRAPATDSAFCLSTLTSGQAMSIYINSSSGSDS WFVHSTTTFKSGGTVSAPQINGYAFLTTSSSSSTDTGSVTSSISSSSTSTSATGGSSS GLSTGAKAGIGVGVAVGALGAMALAGALFLLRRYRKRQSAPGTAGTAAQDGQGETVMK MAAPDGSGAPYYTSVKTELPGESNIGGGNGGVHELGPQQPVYEMADER LY89DRAFT_732142 MPEALVPPPNLTSTSTLNPNFPLNHSQSSSVNQSKSDHMSQITS LCRAISKAASHPNVMVYRAQLVQAEKDFSKGYLGNGTKDALRVGGAVDEGKGKGKRKE GLDGGDEEMKEEGLLRDGEVEREKDPGCDGEKNGESEGT LY89DRAFT_774428 VRRICVIGAGPSGLAAAKFLRAENSFSEIDVYERRSTPGGLWNH SAETHGDGFEDRIPSTSPHVPLEHPAAGGESSGHYVSPVDDFMASNIPKTLMRYSDLK FSNDLQIFPSHEEILDCLQTYAEDVRGLIHYNTQVTNVELLSTSTRDKSNEMIFHKVY DAVVVASGYYSIPQVPHISGLGQWKQTYPDTVIHSKYYRRPEPYAGKRILIVGSGASG QEIATQISKVAANTYISARSNKPSLDSRITVFPSILQFDALEWSITFEDGLKLLDLDS IVFCNGYIYAYPFLESIVPSPITENGSGLKGTYHHCFFTPHPSLSFLTLPRGILYWPE SLPSTAEMQKWEEDLLAATPTGQDFHSLEPPPRDGNHLNFLYDWAIQADKKQGLDMVG LGNCQRIGV LY89DRAFT_780634 MSFSCSESCGFDFCCFPRARRGRRRGYRARHIYEEYELSPTPSY DSCPYSHRRSYFNPYNLFRRRPQIVLPPNPFLLASPALEVLPDIIHDIAGLDVFRLYR LGYYLDGNVFRRNRQALEPQIICAPPIFPPRALFRHTIANRVLLPPPPPLKLFPELQL PDPVLLNPLPKLLRETSIEHQHRHYSPKLLAPVVNEINQINLVSKPPLFNPVPVPTPI VQPPAVVKVSVPIPAEVVQHPVVVKVPVPIPIPVPIPVPVPVPVAGPVNQIINQIKST NIFLIVCVRPVRPRRGIRPLLTDPNQATNIDPLATDEMVGIGGRLMRDEELEDILANT ISNCFGSDWFSRNTNTRLGPLRSLAGYTSSERGLQEGMITDMIRKHNLAVQLANNMVS INSMVSQIHPKVIDSGPDAGRFPRDFELPQKIEWFGRLNDTTLDSILTAYDITPRSLS ETLTNPLRGLSWYENGLLEMNRVRDELFEIKLLFLFEFLGVDFSELARDGDRSGLGLS GRFDDGGLLGRRNGRLGGGRLLGMGGDGRWGISELQTGIAILYRTFEDASAQRDSNSS LQDEK LY89DRAFT_696138 MPPRAARKSKNQGDTDQSTSTPKKGRKAAVKIETTEVVAKSPAR SSKATKKVYKEESDSEVDDIELPEKTHSTKVKINRVTAKAVVEEKPRPKSAKRKSQAD GEDKDEVDADDKKVKKKRKTKEEKEAEAMPLAARTVIGTLKHAMHIGAHVSASGGVQN SINNSLHIGGNSFALFLKSQRKWTSPPLAPEARDQFKAFCLEHKYDAAKHVLPHGSYL VNLAQADAEKADQAYTCFLDDLQRCEALGIMLYNFHPGSTGGNPRPEAIARIAAQLNK AHKATKTVVTVLENMAGAGNVIGSTWEDLRDIIDLIEDKSRVGVCIDTCHTFAAGYDL RSPEAFKKTMDSFSEIVGISYLKALHLNDSKTPLSSNRDLHANIGTGFLGLRAFHNVV NFASFQDLPMVLETPIEKKGPDGKTIEDKNIWASEIKLLESLVGADADTKTFQEEEQR LQDIGAEERKKFQGQVDKKKQKTLDSMFKKASPKKKKSKKDDVADADESEGGCSH LY89DRAFT_683526 MAPPAAMFNEPAIDSVPLKSTFDVKTFPVQNGKTNTLAELADKW EHGFTFAPIRESQVSRAMTRRYFKDLDTYAESDIVIVGAGSCGLSTAYMLGKSRPDLK IAIIEASVSPGGGAWLGGQLFSAMVMRKPAEAFLTDLGVPFEDEGDFVVVKHAALFTS TLLSKVLSFPNIKLFNATAVEDLITRPTANGGVRIAGVVTNWTLVTMHHDDQSCMDPN TINAPLVISTTGHDGPFGAFSVKRLVSMQQIEKLGGMRGLDMNTAEDAIVKGTREVVP GLIVGGMELSEVDGANRMGPTFGAMALSGVKAAEEALKVFEERKMENAY LY89DRAFT_774430 MIGQKERPSAVIHLKHTIQILNERFRDFSQEGTGDSTIAAVALL ALTEQSSENHENWRTHMRGIKRMVELRGGLSGFEGNPILDDILYRADIWGSVYGLSKP YLQVDGTTLLKSPLKTDTTLPPGFKALHNQNSFSPAFLEILNTLQTITHNLNRPNPPK SELIPLQLRHRIQSIQYSLLHTYRCISNPLLKACYLGILLYVGIIQNEFWIPSISDQI LGQLKACLSFVSGALRLWLLFLAGLVGGERVWVVCEVVTMAEEMGMEGWGDVRAVLEG FGWVGYIMDEDGRKLWDDVVRIRSALL LY89DRAFT_683528 MDLSTLPKDWVVTSGQFTRKAHTNVYPAINPTQPSNSLKGKIVV VTGASRGIGARGIAPAFVKAGVKAIVLIATNASKLAGVEQELKKINPEIETLALGADI SSVEQVSKAWTEINARYPKVHVLVNNAGVECTESEKQMHEQDASIFFKNFVSHLPSIL N LY89DRAFT_780639 MMDPITAIGAVASIWQIAQAALSLSKTLYTLGAAVGSASDDIQI LADDLKTFSQSLSLLSRLLEDSKSWYSDDIYLLTAKIIKDCAELYVKIDRILVKLGSN GKSAWKLRVKFVYKESQIQKLLSRLRDMKGTLATILMSLQVDLQLSLLNISSTSKLQR PPEKPLQPDTLRILQEAQKSIMAGGILTKYTVQSESIVQVSSSEVYISSTHSEGSQSE VVSVNPNEASSSPISTMLRHQDHSSNMFHAKRKFMVLPQNVNALSPILTRGAKSSDNR GAGDGACTKVESHSPAEASPRLSNHETRTSRSLKSSSSSESFKSAISIQEQDLEIARK VKAVQSVLHAFRTALEILGNLIERRIPKFDSSLLDAARVLEASLNKGNTDIDHHHTRH FKKHNREYIEMFDTDYSSKIQNASSDLLKDVVMKLHEYSADHEDLHESQFNQLSWVSN YTAGRVIGYLDRLAKQAAKNSKLSDFETKHTTNRENFSLPSRSIPPSEKGEGRGAWFG AFQATSPADRLQPQKTPQADHVPLPSASQLLEHREMSLPFVGYTFKRFENSADRGNLS LNCEVEELPSPVSQVRSKIRKDRRRKRAYPDLMEMPTSLMSMSNDSDIDVSHNASVQS KDPTYDATPSQRKKAKVTEILHDSVPDAQSPGEKAVSQPFSGSAPENTQMNELGETPQ MPMQGSHVRPSQQMGPGAPSNSQTPTAQSITKSPQPGIAVNMNAQEQLILQQKIATLR SRLESPARNPPSQRFRDRRALKVAEAALQQSITKTQSEERSPPADENLLAGTQQQNTS NIVAAQTSSPDCEVLNVFDYLVSSTSTQPDHSTPGKYRSPPQPKERTRHHHVLSSPSE SLDTSTGQTPFPGTETERSPAMKPDELQDYQKQLLATEPHYKHDHMAQSQNQPIELSP AQIENQVEAARKYRLSLMPGHDPDSEESKEAHRKALKDYQMSLMVLDCGKHNTRRLQM RRISDEDEPPKDTNFSSPANGIVCLQPGPSDVLQDFDFDAFLKQDDFHFDTAADPRYF TGSSKSPPDASDVLHDFDFDSFLNQDDDGSFNFDSAPVAERSREGDKTPKQDQVEDVK KDKNSAALKLDEKLDHASGSEVASWDNSRNAMSSSTTGEDDVLYINAKQFHRILKRRT ARQRFADQAGKLKSRHDPHSTAVTFDDTEAMSPTMAKDSKIDSPGISPPSPIVDPDET QDQIELAWTCPALSPTQTSKLWWQAYISLVSSSCNESAVFMQIKDCLESELGILASRH VPDDIICRRIGNNVRRLGTALHVDKPQLVDLLEVRSNILRILTTVGEQLGFEIATLGW SCVCVLLSLYEKILTTSSSSSQVDATILLGSLTHLQDIVAIIARYAVMENLYQQSNGG LSLKPEYHSALLSLCTTVLEYFAHASGCAEAELECIQNEGGSDEVTMISVKDRKRVHL QKCEFLVERIKQKDIACQGFRVVVDTKEESSYESGSETVDDVSDEDWERVESEDAGVA VMENM LY89DRAFT_706332 MGQKRKRLAHDTSAAVSTPKKQQKTNPQTKKPAPKPAVVPLQLD TSPFVDNPKGAELKREVELYDLLSSEDDAQRLDAAIAIVSGLLDGDGVEESTLQRHLE RRLFRGLASGRKAARLGFSVALTEILDQLYGTKDIAAQKYPGLTFDKVLGFLVAKTKP DGDLSGQEEKDHHLGLLFGLESFVGAKILFGDDQRWNTVLRKLLELAKKKPWTREQCG WAIMKALPQMSQVQAEYTLVELHDAGLALSPEGVGIWLSARSRFPDMKFPSKPWGQSG NPLEHLKALGKALKESSSNEESQQAKQTGNWNAKLHFVWEVVLAEYAAAAKSKTHNVK SDFENFWKVTVDENLFSSTASRERKFWGFLLFQKIIDDAESFNKLLPSVFSHNLVRCL INHVQEEDRFLHRAADKSLKVLIQAAEAKPKLLETFLPQLISGNGNYNFDRITKTKTI DRLLTLVNGKTAASVIKILVQPAQSITGDSDNAKEAELRRQLLGDYLLNIIRKAYVAD ESGSIDWISERALPTLSRFAYREDEECQPPLSEKTRTLFRNRLMSAFGHLLSDIKGYS YPCDLVLSFAPDAVQMDSEISAAKDTAISAMEKILKKVKKADVDGKAPLQALSLLYSL VLFQLYNGEAEAVSILDELKLCYDKLIRRKDVDDSDVDASEVLVELLLSFISKPSALL RKVTLHVFGAFMSDMTAGGLKLMTDVLESGESLRGQQALFDQESEDGEAMDVDDDELD SDVEVVDMDADEGHLNGHLNEEEESNDDEDESESGDEEDQDAKKLDDALAAALGTHRL DQDAEAESDSDADMTDSEMMALDSKLVEIFSQRKKVPNKKQEQKDAKETMVNFKTRVL DLLDLYVKKQASNPLAFGLLLPLLQLMRTTKTKQLAEKAHNIIMSFAKAAKKGEENSE VNLAEQIKLLKAIHLEASKDPSHLFAKAASTASLLVASSIYRVDKGNFKKIANVYRDS QVAWVDGNVKMQAAFFSEFVNWCQSHANS LY89DRAFT_499801 MMYSIYGTHQLSSILEDQPLELEGKVGFQLWKSPRYCTLFGTRL TTISFLAQLLYSMNKALHSISMVPMPGMRLDLQTRTISRLNQSWLISPFSQVSSLMSY RPCFKFTLIRLSQVCSISTTWGFRREHRLCASSDAFPGWLLQLIACSQESILSTVVHD EFRGVSHTSTGQEAKSLRRSLAVQPQCLTVLGNAWKRLVHSWWSASKACPLLSVVPQA GMGDIAVGDGPALFLETCLSECWAGGLDAPQR LY89DRAFT_696142 MANQMAIMGGGGGDPRLSMGEGQVPIPAPVRHKLHDPNVQIEEY FYYARIQRAQERQGLGPVERGRIANGEDVSTTGSEVIVGGDEKTDEKRPDLPRTATNI VTPQEWENASRAARNATWPSIVYLITTDILGPSNAPWAISQFGYVGGVMTYTFMGIMA FYAGWMIWRMFLKLDSDKYPMRTFGDMGFRIYGKETRHGMNILQSIQLLFNVGVIIIV NGQSLSQMSKFKLCFMVCILIWPICGLIVGQIRTLAKFGWIANFAIWLNVIWIFMTIG VAANSPPNYAAALATFNTPQGPIQHTVWIPSGSTFDSQLGAAMQIVYAYGGAMLYCEF MAEMRRPFDFIKAQFVAEIFIYVCYLMFGLVLYSQQGQFTYNPANQGLSPYTWQTVTN AINLVSGLIAGVLYGNIGIKVIYQNIVQDLLGGPELTTKRGKMLWVGMVPIYWAIAFI IGSAIPQFTNVSSMVAATCIMQFTYTFPAILYVGIKVQEDAIHPDETFDPATGQVHRI DSWRQWSRWRRGIFGKQWYLKTFNFLFFLASLTTAALGMYASGTGLREDFETGTSTSF SCKSPLQ LY89DRAFT_706335 MFSLLATRNSNFSTMSRSATPTEPVTTPSEPESPVTSDTPPNLF SIEGLQNDEQRRVLDMIVQIRKCGLEGNLSLPQIVVCGDQSAGKSSVLEAITRVPFPR SDGLCTRYATEISLRRANSSSLTISILPDEDRPPVEQEQIEAFVQTIDNFDELPRVMD LAKAVMGISNRGDDGASTRAFTSDVLRIEISGPTQPQLTLVDIPGLIATSTRGVTKDD VDMVAKITDYYIKQPRTICLAVVCATNDYANQKILEKVRDVDPEGNRTLGIITKPDGL PEGSISQKNFIDLANNEDIFFKLGWHVLKNRSYEESDFTIEERDEAEATFFRTHSWNS LSPDCLGVNTLRTRLCNLLFEHIKRELPQLHDELEAQLQKNRDELAILGVKRSTATEC KAYLSKMSLDFHSICKAAVNGQYEGSYFLNDIDPDFSLESSSTLSRTRAVIQDLNSRF EEGIRLNGHKYQIEMSATSDNKEEEEFQWPRAQGRFSFGPTGSIPKVLNKVDSVAWIG KVLKRTRGRELVGNFNPLLIGALFWEQSSGWEKYASSHLELVSSICRRFLKKLLHDTC PQDVETRLWALRIEPELKKRHQSAVKELNMLLDELKEYPINYNHYYTDSIAKRRQQRQ QVILEKAMKDASSSQTGGKVFNDNTGVWERPEIQVVDTAAAIANFTSASQPDMNEFAC EEVLDCLFAIYKVLQKTFVANVTTQVIERHIVRGLENIFSPVTVNDLEPAQAEALALE PAAAGRHRAYLEDLIKKLEEGHEIFQSVM LY89DRAFT_667676 MSSVGAGPGIGENLYLLLIGDDLRLLYSQILESTTPRDFEGALR HFLTTLASSLRKEASNKKERGAAKFLQWGIRNLAHRVAALMGIKIDDQSSTIPVSAAA DAVDDDERSDMDDSDDDDNSNESDVQALENFILQSIAFKDFKASLLGLIPLAAEVNKH LPEYPDGAKRSDEVDEQVNFVKALQDGTQTPDLPWRKEVEISWQCSCGTIFQEYVIEY KKGAAQELANSFDTRASVDIEREAGAESYSESRTSDRSGRHSRLDVKTEEQHTMGSST YRRKKEFILMCQESGKDTRLYHADISETLCDYSSYYVLHAQYYGRLKGSWTWLTLKEI SSVKFVKFSLYWTTNVSIDSNDFGELPPYPSEDYSFAADTNPPVPRTALQHFIENPSH APKHPRHRPRIPKKASGPLLLNKDLDLLPGYGIYIQERICWKKLVLIETAFATACIIF AVVWCIVKQGGIQDGFAIAGTGIAYATIFLGASQVVPRSHW LY89DRAFT_499912 MIWGALSWDYKSPLVFLEKLPERKGICSKAYLQQVLQPIIFPLF DDLGPEYIFMEDGSKVYKGHAKLPRLQHNIRGFNWPPSSPDLNPIEKV LY89DRAFT_550845 MCYYDQYQMTCGDWKWGNFRKQCSKEYRTGDTCGMKLIMEAYQL DEMCKYCKKVEIKSAKIRKEQDRIKRWCNEGNRRASIEAAEENIAAIEREIMDLMDQT SR LY89DRAFT_683536 MRSSITTLGLLALFSLSSAAPTPIELAPRCGTTVFPTFLQQLTE TSPSTVQANTLSTTGDFHVSQTVTNGVVSNRIYQVVAFENIPSTAYGCQLNVVFPASY PITSTGTPTLNVTTLYNGSPSSITYPNNWSWSTFYPPTSPPFGQGLFDTVTFAPGQSA AINSEVCGQNLAFVFEVASWVGVSSSVEFNEYVNLLNGAGLAGVYLTYGC LY89DRAFT_499919 MGSTADEVLTEDGHEVTVLVTGFGPFRTQNPINPSWEIARLLPP FLPPLPATSLSSQLSLLTPPPVRILVHPEPIRVSYTTVRNIVPELWKDRKIDYMIHIG MASGRKFYSIERRGHRDGYYMKDVDNQFLNDEALHKKMGKDWVWEGMPSELLSTIDVD DVWKRWRVNLTGEDKEERDVRVSEDAGRYLCDFIYFSSLAELEKKGEDKRVLFLHVPV EADEVSVKKGVEITTELIRAVVVSGMMKEVKKVAAEMQADELR LY89DRAFT_499920 MQSTDQKMRVSSGLPYRLPLSTARAVKMLWNMAKMIKDAGICNP HLSVENSTGFKALVPSLSFHNCSSQATKLRVCLAIFINSASVAGNACQNLLHNTTIFF LAYPPADSFCSNAFGLCNLILKIAESQISHLLCREASRKSVGLVVCPVYVFVTYYRLN NHCV LY89DRAFT_732158 MVIPFDTGDAPLTAIWAIHEDDAKAPQNAPWRTIVAHWSKIDYA AEKKKGPGTHPQPDFMGDVVDPRSTQKFPGNLLLNATVEGRLLETNFQEKPWKQDSKM TGDKIQFMIDSDAKEGDMPKYAQGQRAFLCGFASHAPPSGKGSELIKPIYRDDFCTWG HIEEGGKRWKILPMYFIPHGGGIEALCQNVSKYKFNASKGPHIAARMAQKVPELVSSD LVVSEENPQGDGDAAKTNGDVDPDSVVVGGGDIKFDTPEEEPADDEAVDATEDALLKE PLKEANFGEEAERADEAAVEHGDDW LY89DRAFT_717189 MRESLKRQRQDPQVSGVEPVVRARSDPLHPVQAPSSIPPSSSTV SMHPIIPLSSSPLAPEFPELFVSSSSPPPRPFPKQASRGEPPAMTKDIPFIETMGVQT VKILANNKSQQRKVYSAHRNLIIAASSIMKEWLEDPEFQDELYFEQDALIDVQDFVNL LYCDRKRIIDRERTHEDYLRLYRFADKYKVDGLRDIAMDGLQDKLKAKGAFLSSISDM GKAFSCTSKGPQNKLYRFYTAMLAHTHLGVGLKDTARVVRSMRVFPDLLEDWLEFQHC EHEKQPALRLFGRDPRKRVEKADDTTGFPICYFHVHPPEQECPTKANHGAGGRG LY89DRAFT_642992 MGSTATPQNTAAPNAPYFTPIQSPPAGTALSDNPPTLFSPLKIR DVTFQNRIWVAPMCMYSADKGHLTDFHLVHLGAFAYRGASLTIVEATSVLANGGITPQ DAGLWTDSQIAPLKRVADFIHSQNQKIGIQLAHAGRKASCIAPWLVERGKALTATEDV GGWPDDVMGASAIKWGEGYPSPREMTSQDIKDVINGFRDSARRSVEAGIDVIEIHAAH GYLLSSFLSPTSNKRTDAYGGNFENRIRILIEIITSIRAVIPEGMPLLVRVSATEWLE ESDEPESWKVEDTIRLAKLLPALGVDLLDVSSGGNNEKQRITPFNDFQVGIAGQVREA LFAAGVKDLLIGAVGMITEAEAAKAIVENGKALREAGSGMGDSEGTEGAGKVIEIEDE QGAKAKADIVLVARQFLREPEWALRVAYRLGVKVQWPQQYHRGQFVKGSRI LY89DRAFT_642993 MCMKATCANCQKTSWYGCGNHVPSVMDSIPAEERCTCEPKVEKD GKQYPPKAASA LY89DRAFT_581910 MVVVAVAGGTDAVGRSIVESLMAQGKHEVLILSRTANPMKEKET GARLVAVDYEEVAALTKILEDNNAHTIISTLAMMPNDAGSLEQNLIQAANTSKMTKRF VPSEFGFPQRAEDGHIFPTIPMKQASRSALEASSLEWTVVYNGYFMDYFGMPQLASRL APYVVLLDIPGNAAAIPGDGNKLVTFTHTSDVGRFVTALIDFEEWDRVSFIIGDKMTL NEAVKLAEVAKGSKFKVDYDDLDKLKRGEVTELPSQISSYSVIPREFVQAINSAFGIW VDRGDFDFDEKTSLNTNFPDIKPMKLKELLEKAWKN LY89DRAFT_774453 NSGSPQDRDQLYKMAEPSEALVETTPISGPLVEVDADDADSTYG DDSESYTTSLSSSIKNHTYENGSRYHAYRAGSYYAPNDEAENDRLDMHHHLATLLLKG KLHVAPIGSNPQRILDVGCGTGIWAIDMGPYFLFGGVIGVDLSPTQPTMTPPNVQFEV DDVEGEWTYNTPFDLIHVRFMFSASIMNWPKLVKQVFTHLEPDGWCEFKDWDFTLHSN DNSLPADSYILKYHRLLYEATDRIGRDWKPGAHLKKWVEEAGFENIQEQVLIVPLGTW PKEKHYKEIGTWHHIVKSEGLEAVSLRLFTNVLGWTQEEVLAFLTKVRAELADKKIHV HYN LY89DRAFT_500033 MVPEQMPDAAMVSEQSRPQHVTRTYQLFSGCYTYKECKKKCDGV YTFHEVSGTRKCSTCIRVGICCDLTEPEWAHDPIQREMYQRQRMALVKLGKRKSRGES ESWGAATRDQSVMVGVAGGRQPKVYRSIETPVMTLGGDPE LY89DRAFT_774460 MRMNLIMTLEKHLNDVFEDFLSRVLAHVLDNAKRKYSISLQNHK IELVLAFPAGWSDRVHSTVARIGARALQKGIADYDLKNISFGVENVYTVSETLCGVKE WLRETVAEASSIDFEAQSTNLDELNL LY89DRAFT_717194 MTTEILEIGPTKPMASKENPNKRGRGQACNSCRKNRVRCVPSEA SEAKAGACGTCLDAGLKCSSSASGSGKAKRAIEAIGHTLNRESIESNRTISSTTVSLH LQDSSMDPLPKKTRKSKTTQPPQKQDKSPNACGICLQDHVSSGETKGDKLMLRCDIKS CSKWYHSSCVQFKNRGNQIFHSFETGGKKSTFFCSECYNPKRKDLLNAVAQAESDFKE TMERIKQTIITDGAWPPKTLVLSTEREQDNSPTFQRDASSLEILPGPKSNFDPAREDK YQSFQMDCIESLLRNIEQAVYDYNREIGCANDLFLDQHEVFKLTPLKFWHQIDPGCLF TSGKAWFQVDGTANNLSVGHAPITAALRRMLGTPDDQSLHISLDLEELSFSHVHTALI NWFVVDILGSELNIYQFPNMKPLRATQAAIANFGDARWKGNGQSVLREIKLRAWHKNQ FREEVIEKVGWQGELIRRFEGFLAPMTKNSWRIDPRSESRANIIKHTIELWSYLDAAH GTLEIIHPSIGAAFDGRTCESTDEEHQSRSVGEKMIKWVLRRGFRFEELGSGGRPMAA KAIVISQ LY89DRAFT_667688 MRQLRILNELVNRRDPGTHVQDALEHCDSKVQLLLSIVREFEPD FTKHSGRVRLWKAFKAARKEKKLKRFRDSLQETKTTLLLALCRIQMPPAYVEEDQVRN KPAKDNKDDEKKSHVVVEVEEVSDPDLPPIFKDQDLTQDGDDDGAELPKYRPTTKPNF RKMATDFPEAELQASLGNALQLAAENYFRGGAFEKAMSETIHRVATIQTTYHYGGKDK TPNSENEDTDAAINHNSDTSSLDLIHSGSKRRASQSRICQRTSATGVVLGTIWLRTTS VQVNSHTGKSVDIVSSFTFSPSWWLTKVGVKYGVEANLFATATGWQFNFNPIRAVPDD SPIFNACRKGNLSTVRFLLSEGDASVRDTNSKGWTPLHFASLAESETSVDICEFLISE GADKTALVFEGPSENALSPVTIFTATGKKRPAEMKIKMLRLFEDCIDMSEPDSDGWTI IADLVSAFNLENVPQTSNSITWFLRSLKPQSMVAFGPKTLWHGLQHAVRSFIDMEQKW MGVRKRLNSIVGGGFPESYGITIAYWIALLVIGKNLMPMLLIAGSMLHIEGYDYDPDS AIDPNLLAKQLPFLYEAWAKALTDSIETMDEVLNSEFDITLEVTGWSEDILRGLKSRS RQSKSTKADSQHCCSVCRDDYSLLGHGLVEPRWITFIECLETKHRNHCSCQELLEYYK RLGYLRNVSVPESDNTDDSDSECEVFHDAESDLGDNKKDSTPEESSWMVECEHLVQVM KDGNGKNPFQDVAAHLYRCHARVWLGSYQAGEMFCGSCFLQSEGYIDEQFKEDWDLSS SMPASFVS LY89DRAFT_561334 SSRTFLTTDQFQEVIKLGVLGVPIWILSIACIKISVVCLLLRLS HGTIWAKFLYALLGIIISTSVAFFLFDLLQCIPLAAAWDFSIKGRCVSSHTYQIVSNA TTDVNIATDVVLSLFPFTFLRQMRRPLMEKVLVGVLMAMGLAAAAASITKAVYVRAWV HAEDTFAIGFTISNLTCVEMFIGIIAACLPSFKPPLQRLLVSLGM LY89DRAFT_706339 MAKVFITWALFASLGLATTQVDLGYSIYQGVKNSTTGLTTFLGV RYAAPPIGSLRWQAPQSPAVNRTVMSASSFGPICPNSPLSLGPFGSVGAIASTEDCLF LNVYAPSNAKNLPVLVYIHGGGYGAGNGQQDLSSIINGNGNSFIGVAIQYRLGAFGFL SSAEVKRKGVLNAGILDQHFSLEWVQKYIACFGGDPNRVTISGESAGAGSVMLHDIAY GGTLGTSLFINSITASPYTPEQYEYSDPVPTALYDKFAIAAGCPTGPTSFECLVAQNS TTLQEASFNGFTPMTDGTYIQQRPSQALLQKKVNGLKQLSGNNANEGNLFVISGITTE SDLVAYVNETFFMLTSTEQNTLLNYYSFIADPQEAAYEIYGDATLVCPSYWLAEAYSA KDSLKGYKYQYSVPVALHGTDTAAYFGPPTVNQGPDFVKAFQTIWGNFVTKNDPSISN SIANGAASPSPHAPNPASHWPPYSRAAPEFINLNETGGTLANVTSPFGTIVLEYIEPG LKNNITLLNAYTFENDRGVRCDYWRSISANVPE LY89DRAFT_780655 MADPVSLSTLSSLLTATITAANFVFALHNTPKDVRACAELVTRI YDDLQYLVTLRNAQHEYLETVPYERKRVDGIIAGATKSLLAIGSKLEACRAEANGGKV PMIGRMKWVLGDSASFVLHSRNLGVQQAAINTEISWLRSHKTSRGNATERDHGEDEKA GFENLELLRLSSKRSMIFRDAAAGLPAPPPYEENSPQRSSTHGASSSTNLSEETRSHW NTYLEPTMSQPSRNQPEEFLASSLSAQQPYVEATNTFGNNYRSPSTGESSVDRRIGSQ QLSPDTSLQARQQLERKRSYSNLELNSHSPQISLAQLQPQITSTPAFPYMNQHRSNTS NSQPSRTYQDQRSSTPASNYRPASAISFELSPMSTVNPNLSFDTSYSVVSECQPPQPS PKPPRKSTASRNRSTTSLSTMADSYQDFALSELSGNSEWSPTPEISFQLPYMAGSMPV PVSKPPGETTYSDEKIALTYTPFSYKATPYSHMFPEPVHSTPIQNSIEDSDKIIVSSN EHPTPRFSPMDDCDKESMASYSYAPTPILSPAYQDEKESVTYSSYAPTPIMSPVSFAD RRTVSALSFRKPPDTGQYARAGNMQIFGNEESLKEDDTEDAFFQDLKAQEIERRQRRV VRGSEGAWRSQSAS LY89DRAFT_717199 MGHNGVAVNDVAKVKRGVSTVIYTVQTTGTFYYDGTYTLITAAP TNFEVSETTATNSLSSTTASSTSSSSTTSFSSASLSSTSSSPLSSISVARSRPSHSST SSSGISAGETAGISIGTFIAGLALALLGFWFCMNRRKHSQGHRSRKSHDNNTYDGDKQ GISQTSTREETLLDPVDDSTLKSTMAKLNSFIDQHVLSHYHEDPIQISQRQLAQAIID RRSKNALGDMSPDELASLMIAPATRLHAIRHFVAWVILSHTGLDAQPDACLLPRYIVT FYNAFPPIERQAGCEEAFECALSQWKHLSAFLLVPNRSFREDPKVDETQVRSAIDVNL KAFNHVLAPFVINGPVNNHDWEKNLRAIVFAGAELGLTLFSQQSAWVFDWKHHGRSEA VVVFPALLEKVKGRSKLRIVSEAVMA LY89DRAFT_732170 MDPSPDLVIGIDFGMTYTGVAWTNLLTPEIFVIKDWPGLGQDAH ETKVPSKIIYARNQAVRKWGFLCDSQDEFEEHEQVFEWFKIYLDQGNVDRAKRVGLAD TPQSVEEAKKLTTDYLREVYNQTKRVIEMMSGPWSNKRVEFIFSMPTTWTNQVILNEF KAVIKESGFGREEMHTAKLELTEAEAVAVYTVKTAQIRFEKGDIFLVCDAGGGTTDLG LVEIAQANPDVPVLKQVAAVQGVGIGSTVIDRAFQALVQRRIDQYPDVRHLLENIAFT LSRSQSFRSIKHNFGTAAGDQSTFYLPIDVNRDLVHPGLGINRGRMAFSKSEIQGLFD PQINQIITRINEQFNWMQGNRGSEIVKYLVLSGGLGGSEYVRSKVEQRYKSNPHASAP NLYVFKSQEPRLAVAKGLVMDRRQKLVTGASALKTRIARASYGILCREPYNEHVHIGE EVEFDQYIKGKRWAINQIEWFIRKGDVIDTDTALERRFERKLKPGVGSNNRIWDSTVV ISHNERAVLPRGLRQAGAKKLCVVKSDLRAVEEREFEEKNKRCWQGRRYYLAKFTIKV MIAPADLKFELWFKGTKYSRSHDPVTIQWDPAGASEKPPERHVDGFVDDVLEVPAYRQ SQGMNGWVG LY89DRAFT_732171 MAVGFFKNYRVYILTSVAYMGSLLFGYDTGVMSSVLSLASFKKD FGLPTGSTGFDSKTNAHISQNVVSLLTAGCFFGAIFASVINDKFGRRISLLGFTIVFM LGAIIQVTSLHHISQMYGGRFVAGLGIGGMSSITPIFVAENCPPQVRGRITGLFQEFL VIGSTFAYWLNYGVSLHVKPSTSQWRIPVGIQLIPGGMLFIGLLFLKESPRWLAKQGR YEEATASLAYMRRDDVNDPEILKEIADIRVSIEEELQLTEGVTWKECLIPGNRNRFAV AFTIFLCQQFSGTNSIGYYAPQIFQTVGVSKTNASLFATGVYGTVKVCATGLFLIVGI DKIGRRNSLLGGALWMMTMMFILGGVLHTHPPKNVNVVSPASIAMVVMIYLYVIGYSA SWGPIPWVYVSEIFPTRLRSYGVGLAAATQWLFNFAITYMTPSAIYHIGWRIFLMFGI FCLANFAFVFFFIKETKGRTLEDMDVLFGTVDAEIRAADIERVLHKGEHDDHEMGEVG TKAPVPETSDVENVATGDEKTVS LY89DRAFT_559278 KTLKRRCKEAGYYSCICCQKPYLTKTQANTRWLWGIAHMFWTIW EWSQILYSDESGAFTQTDYLAQVLKPYIQDFLAAFAAVLGPGKTPQFMEDGNSAHGHK TTSNICATWRTSMGITLFPHPAVSPDMNPIEKCWRRIKQALHRRLRQPTTEVQMVVAV LEEWDKIPQEWINGLI LY89DRAFT_732174 MAEHTFTFDTAGDLTLVVGTELEGVEQQTFLICSKDLSRSSPVF KVMLYGPFKEAQNSTSACPWTVGLPEDNPVAFKTFLHIMHSQFEEVPDVLGLKDIRDL LELSNKYDMVHLLRPWAKTWFQPHVTTQQVID LY89DRAFT_706342 MGRGLYPHCMVLAISKNIYSGRLVLLKRAAAVEPTLTSTPPSTD TSSVALADSPTVSINHYGTVRGNRSSYINSVYNFKGIPYAGAPTGAYRWKHPPHPAVW NDTRDATTFSPACPQPGVANYSEDCLYLNVWTPDNATLNDYYADPSGGAGVLPYPNAT IYPVYVWIYGGRFAGGASSDPLYDGAGLAAKGVVVITMNYRLGALGFLAHPELSANSS SGTSGNYGLVDQQASLHWTIENCAAFGGDPTRITIGGQPAGAASVIEHLNSPLADGLF TQAIAESGVRYPSDPLVGSLAESYRQLSEAEAQGTAYIESLNVSSINEARDLPVEAFL SSGMMSDTTYVGTVFENNSNYMEPPLFRPVLDGYVLPATYASLLASGNHSIVPVLTGN NKDESGASPDPGLNVSSYTSTSELDFGSVGLADEYFALYPAGNTSASANNASNAFYRD QSRTGTWLWANEYVSGSQNFFANGNFTTYTYYWTHAPPNQTRGAYHMSEINYAFNNLY ATDSPWTAEDYAIAEMSSYWANYIRSENPNGKGLATWPANSASSAVTMELGDAFEVVE VANSSNIAFMKEWFSKWPVY LY89DRAFT_581854 MHNISEISAETLTNPEFTPDKLSIMKLRDSSFLNSRDYLSAPTT PTGIRKSFDFSNGLDYSKSDSMARSSRQISSSTRLSNSFRQSQGGLKVSMELTRQAEG KFFALMDLVSNASREATSLKEIWSALIQERESLTRERENLLETITEVTETLERTESQQ HSHGREHEQRKNQVEKLLVELSLALNSIAEHEKKGTSRDHELLRARNELQNLRDSVSR STITHDKLKSDFQDIESRIRIVEDERDHAKRHADKYQEESRTLNREHTDLKSKFIETT SKLESARKEILSFTDRLKISEMDRDNYLVDKERLQELLRKANLKNEETSLELIELTEK HEHHLRDINKSRETIRDLESDVDKFSTTVDHLRREIKTKTISYDEAEARAAELSLKFE HLKRENNMTKDKLSILEVERSEQQEIIDRIREESRLALVEKNTLRDELEMWRHRTGDH QRTISQLQESLRKAESSLVEVRSEVHTLSDRLTIAERERSEARDKHGHHSGEISHLKE KLVIVQAELRSMSESRDRLREELHEAKLRYEEVTETMTEYRDSSGGFEFEIEHLRSML RESREQKERAITARNAADRERDEYISRYEEKCREMERFEQSASSQFHSRMSSGGGRTS SRVVSRTNTVNTSGTAVHSGNGNGNGFGQGSEFRSGEQQSGSME LY89DRAFT_780661 MISSISPRAWYFGKLTVILLSILLTTSYLISHSFSSTPSVKDLY ALTTKGKKALFIENFLSTEIDGPFDNKTLVALCNAAKWQPGLIFECEVAAEGVVNVRN VILNCVRYTILAGATSFILPTLLTTTTPPTTLLMSHLFDSTHFTAALTSSCPQITLIP NKNDLYNLPSTAHPIALSPTSISTSPLLLSSILTSPQTWPADLAVHINKTHPSPFSPQ KPLLITLQTPLLEFPLSYDEKDLVSNFGKLIRPNEDIRRVAAAVLFALYEKYRLDLVF SPSGGVQAAKFMGVHLRVGKDAEKAGWTSAEVQTSNALSLAATSELGLIYLSSFDPEL FVTTAPISSIPPAAARPNSNSSTQQSPPPPPTTETATSLLSGPLAPGTFLPPPTPGFE SEWAALRALEWQQALLVDYEVLLRSSIFAGTWESSFSWNVALRRSLVGGNGGKEGSWE GVGNGNGKGVVVEKVGRDGVGKGKGRRGKKGKVGEVCWKDERSTVFGPGGEGGRVRGA LWG LY89DRAFT_774492 MVDGRCALYFREIEGEWQSEWFMLGEDGGGEAGEPPMDDCIQIH SVRRARPRPELDWRVLHFYPPLRLSFLPPSKVHLHLHPSASNRDPSKTRPAPAAPAPA KVKLKLIHGHPSSSQRDLELCIFLQTARLPDYQTFAYKVQVVPTRPKRGLYPPSSHRS AVASHRIASHRIIDRHCHLPFALLLLLLLLHSYLGPSHLQPNEKRRTNSKRNTLPTSK KRPCREKDQIEHIQIQDQIQVRVSESRTDIIRCLSIQSQSAGPVEIFTSRPAAHPQTR QSRFSSVSPFHSFTPPPTLSRISSPRPRFHPTPKTPISQSQFIPSRSFLSNPNPRRSS SSVGPTTTTLFNSFDLLRRQTFLFPPFLGIRSTFIHPSCNE LY89DRAFT_548687 NGSATLPRGFRFHHEEGPKTPEPVSVNETRQPSPPRQRFKVRRR NASNLQAPTAQFLASVAAADVPIPTIEIPQMHLGDSEMVDREEIETNIHLLGPQPYPF RFASPPKTPLPTLSMDDVVSRRPDWSMGTPSPAAEDYFQRPTSSHSNASSNSDDSFYS GSRASRPSEDGSCTSPESEAGDPFQFPISKGKGKAMSYEPVEQEPFEQRLPLNTNLRS KTRKNAIWTQAQSAHLWATYNVYLQDPTVTPFRIGASSIPPEGVCHRVAREAKRSWKG PKSTAPKVLTRVDSSSSRESEKSGSATPTGEAPKVYAQWPHASSASRNRLREMCKSNS TNVSRHRHLQSRSPTPFTKLNRLQTPEPPRKPVSAFNTKDIALSLTTSTAESMQPDGP LAKLGGDLLVTPTPATFSSLGEPLSVPKSHSLGNGINLERGPRRLGSPFVARTYGPSS SRSLHPYDSRPSPPRTQSDIQPLRSPVRFDHNHNTRSLNNTQKRRAAHDLEEELSPNG AIVRPSILNEQLFGTPFAQRRVRSRGFSLGDEALRHRAPGIFQPSPIVELVVPPKIQS PVPQPASSAAPQLLPAANLAPPRLGSPFSASGPSHTFPRRLTSDGSSTVRRSQFATMH QTRRSIESFDFGEGPSLQSRLEQLDQKLQQIREREAASRRQ LY89DRAFT_581992 MSLDHDSSSSPPADPEKRGKGDEIGTGGAFTVPDDNDGFVVETN KLHRNLKGRHMQMIAIGGAIGAGLFVATNSAFQTGGPGAVLIGFMIIGGMIYLMMQAL AELSVMYPINGAFTMYICRFIDPSWGFACGWEYGIAWLTVLPFEISAACNIIHYWTSV ENVNDAAWIVPLLVALIVIQFFGVRGYGEVEFVLSTMKVIGCIGFMLLGIIIDCGGVP TDNRGYIGARYWHSPYSAFLNGFHGFCTVFVTASFSFSGTELTGLAAAEAEDPKKEIP KATKQVVWRICLFYIINLFLVGLIVPENSPLYSGTGAESRHSPFVIAIELAGIKALPS IFNAMILISVMSVANSCTFGSTRTFQALAHNGMGPPQFAYVDKKGRPVVVVILQVLFG CLAFINLDTSGGGNVFTWLLSLSGLSSFFIFGSIAVAHIRFRQAWKLNGHSTDELPFR AAFGIWGSYVCAVMNFLCLAAQFYVALYPVGGPNLSATIFFQDYLAGPFIVFLYIIWK TYSWFKVPAHRPLYVKISDIDIYTGMREEQRGISGLNADPEYRRQSIAQFQSEKVKRG PLAWIKAFVLAIF LY89DRAFT_780664 MKWTTFLFLALTPLTCALLRFQCSQLVVQRLDPLVEPGLIPSSH VHQIVGGNSFNATMDPKKDMPGESTCTTCSMAEDFSNYWTAVLYFRARNGTYKRVPQL SQITGGVGGITVYYMSDALYDTAQKSKVTAFKPGFRMFIGDVTAKTKADAERFRQLTY TCMQNSGTRAPESLSLPNTTCPYGIMANVRFPTCWDGVNLDSPDHMAHMSYPSSGTFE SQGPCPATHPVRMPQVLFEVVWDTSKFNNKAEWPTDGSQPFAWSFGDATGYANHADYV FGWKGDALQKLMDTPCVVNCPTAKTQGNAAMNKCTQKAVVDENIDGWLPSLPGGHQAY YS LY89DRAFT_732181 MRFSLGVFAVFIIPALADGPCDVNSDSCRAVINASACFNEFMSG GNKASILNCLAGTDGAASPKDKMCACTGCVAPVMTAWLTKNNVCT LY89DRAFT_732182 MPHACKGVQHHCPGAKRPVDGGGVHIPAGGCRYKTVYCNPGQGR YCETHEYYCAKHDWIYMKNATCRACDKEKIKRIAELKKQKEADDEENKRGPSKKKKGK GKEKDKTKNTKKTINKQEKNKKKAADAKKAATTVKVLNLWLSGEKTIDLEQLKNSHSH IVFTDGFNSFSLEPIVVLNAIAPFLYDQEGLNLAQVISNKTMLKTKPQALSRYNLRSC CSDGSDTDTEEDNSSDDDFQSATDGIDGEDATTTV LY89DRAFT_582436 MDISDILQDLNTRHLDRDPFAENGDDYGEDEQSGQADLQALTRA WVNERGAAELLPWPKDGLVERATNRIKQQIELVETMTGDMDPKTNFSLIIIQTEVERW KFLIRSYLRARIAKIDKHTLHYLSTPALMERLSKTEVAYATRYQQLLHGHYLSSFLGS FPANLQNLNDTAGGISMIGGPDEEAGVFVRGLGSREVGGEGSVAVRGRGRDGDGEIDV QRGEVVIARWSDVRDLVSKGEMELV LY89DRAFT_683549 MSRPTSATEVEPTNSSVAPSDYNHNARRGSVASRMVRSAGETFA QSRPPVGMFHAFGSVGSNIPTLNDIQSGKFGDDGWSGPGQRRHSNARRDSDLHVLALH RPQTLEPIPEPKKTAAVVDEKKEGGQIETIFSTQTEDNSILAPHDPNVPYANGYQFPP KHTKKQALIIGAKGAWKFVTTPFGFLLTIYALNIVAWGGMLFLILIRATPAMAHPNYN SWQSGAKTWLEIDSQILNALFCVTGLGLIPWRFRDFYYLLKWRYGKDPNALRRLAGIH NNWFRLEGSQNLDVLFDPTKDPIPEGVDEMCLALPVALSPGPPLTGERASPSTYWKLD FVIWAFVWNTLLQIVLCGLMWGLNKYNRPSWSVGLFISLACIVASAGGWVIFKDGKKV KKVEGVPVSEDDKKILEEMRKRERDSGSV LY89DRAFT_667709 MLPTNLAASYVRYKTDTNTFVSWLNATAISCGHKTPFVADENEP ITITKAKVEPIAATGKLKGKARKEAQAKGEANAKAEKEAKLEAKTKQRQVISTAQVLK QAKIIVASPMKISVPLYIQTLLRQAIQARKRCTQWFTTVVAEDKHSVGDLGKRNQSHE HFIRVLEEAFDILKPCFEMPKITKTRNASVADEMADNINSQFEKIDADADLPLTVFCF YEDIHVLRGIIVETWILVADGKLNYKVAALITNVALELVAKAERELVQQFPSVGGCTY TSITSTIYPVRFFETRSPAKVPSSAEAMKDVEEGLGKVLNTGGDEDSAGNGEGPGTSL DRRPETQESTMTMDDFVLTYIFHSLEKCHTMITFTGNLSPMVMPIMFFYGKEPELIPM GSNTSIEDERLSLIMLDIEINKVPVARRKAMEDARRSDTLDQSKLQECRSEPVHDEIT KALDEAREPGELKISQVVAARALLDILDVVGYDRGHEFYEDLLTTASRADARLGITWT TDVENSQSRIEQDDHELLATWGHVDAVNAAVLLSWRVNTVIKRPDLVLYKHIERRESF SEDFDNWSPDVMAYLEELGVEPKKLVPSPDPLFLFRHQPVYSGLESLRMDVELHQIGT NLANDFLSFTTMAHLYNALQLSKKVYSRWPLMDQAIGANISRVFNGSLPTTLKQCATR FLVLLGVPLKYLSPTNRHNPNFLAIVRKQKVSIEALDMSKHLQGYFAGHDSGEKLLFN VRRSQKSEVTKRSDPNHGELLIDLRDRMQESIAALQFDMISLVRSCNALFQTIRSNSK SLAGAPQQELEEIGGGVIPICNHIIVLEILDELQTMQAHKGWTANSTEGIRKKPVFVV ASETIEDFLQNGNREAKVEPFDVLASMED LY89DRAFT_774505 MLPGNLFSTYERYKGDTDSFISYLYDTAVKCGYQYIDPALAITV DVSINTPLGGRKKGKARKEAKQKDNPDVLPQHPLPIIELVKQAKAIANSDKHIKVPLA TQRHLQEAIDARKTCTAWFRERVDTLQNRKSAVDIEKSNSNHEYFIKVLDRIHDILKP SFEVMKPSPASLVEEAQDELDKNIINRFHGLEVEDTNMQEYDALPSVGVGTSSQSQSQ TQEVAIDQDANMQFRVYCFFQDLHTLSYFLKQTWKDVTEAKLDQRTACMITNICMERV QTAEQVLIDLDPERFGKAPYHDIVSMIHPVRSFKLQPDEVGFGNDSNTNKNDNATVKE HERQGTNDSTVLSSEPDDYFFTNMFLILDKARRFMNNTVGFASVPFLNWRFHSEPHLK PENKWWPLEDVAISNIMLDLKLKITLEETEKMTQAARKSGYLEKVSDSQPPFADNTTT CLQSVLSESRVTISAVYQARILMDLKYLLAENFVLGAKPYAELLHSAAATISSLQMDW KGDSRLSKQELQVEFLKVREKQQLTFINDDIWDKANKLRRHLKNNIEAPFFVNTKQLW IDDPSTLDKCQNVPSTPRPVWWNQTQIPSDPTPDSIYTCLPMYCSLEELKLKVDMNKL GIGVANTHASILTIAHVYNAFKQNGLVDQAWPLLDRVIEAHISELFNGSLPTSNEQIF RRWQLRTGTPATAFAPGSRKDSPSLAQMQKFLCSLLKPNYASTQLSDYFNNNISSEIL LHRVWGHEQANKKSSRTKDPISTLRDSVEKMLPLLDLDMIDLTRKCTTLLADIRKECN INLGIEEGSNKGRYHFVFSIYTGNKLFSGLQDELEIFDVAGKSHEMITHTAKMLQEFI KHAASPQQVVPLDVISNVKEAANRPPVKSIKKNMEKIAALKR LY89DRAFT_667711 MIFKGLKESFRTRRSRSRPPSALPTFNMERASEGDRFEREERID RLQETMNVFSRLGHSISSKNIKLPPIPSFKPLNLSNLDIFKSKDDPVSDVPAEIRRKP CPVNPEAFDTATLSPSMTPAEILHHKFRAFDPCAPVTNPLLHMRAREALKRRYESKFT IDFDPPAGITAREYNFMWCDEGELDLKVLQDIVWVRERYAQARKGEPTDEDVIRWYDA ERFDVGTESVTEGSFR LY89DRAFT_696161 MAAFAPPPTPKTGLGFYRNLSPTASVRVSPLCLGAMNFGDAWAH MGTCTEHEAILDYFYANGGNFIDTANNYQKGRSERILGEWMEKRGVRDQMVLATKYTT PYRTGYGDKEIIVNTGGNGTKSLKSSVELSLKNLRTDYIDLLYLHWWDHTTSIPEVMQ SLNDLIVAGKVHYLGVSDCPAWIVSKANQYARDHGLRQFCVYQGNWSAATRDFERDII PMTIAGHFKTDEQRAATKKDGRNFMPPTEHILSVSRALEAIAKKKNTEITSIALAYVV QKRPYVFPIVGGRTMAHLKSNVDALSLELTKEDMDEIEKASPIDLGFPHSMISLAIAP RKL LY89DRAFT_706350 MPRQRSKKLSDSRKAKTGIAADITKENTQTHGDSESDNESLEIF DKDAEEEELDRLVLGDGFGFKTQYGADTEMQYGEAVDEDEDVVERDSEGEAGLEDVDD ADLFFLDSGPSAVDASALVPASDNEAPAWEDSDDDRLAISLAGNSRLRKLRVNEDEDI VSGKEYTRRLRRQYERLNPVPEWAHHSSRPAKRRRRSSAGSESSSSSNDMDVDDADLS ALPLARILQNAGSLTKTAQTGAKRAKLRPEVLDIQQTRAIPLVQPSSITSLSFHPEYP VLLSSGPASTLYLHHIDAAAHPTPHPLLTSVHIKHTPIHTSAFLYPKGDTIVFSGRRR YFHTWDLPSGTIQKVTRVYGQKDEQKSMERLKLSPCGRYMALVGTSKKGGGIINILDA STTQWVAAMRVEGANGVADFAWWGDGEGLTVVSKGGEVAEWSVETRSYVARWIDEGFA PTVLTLGGPNGPTPLGGDRWVAIGSQSGIVNIYDRRSFVNSQNKVEILERPSPKRSFD QFTKPTSHLEISPDGQLLAFSSKWKKDALRLVHLPSCTVYRNWPTEKTPLGKITAVAF GKESNTLAVANEAGKIRMWDIRS LY89DRAFT_643035 MLGKRLLPHVVDHIASTNPNLIIGMVAKASAGEKTPYDFTEVNM FQFANAVNYTAHWLDSILGPGSQQTIGFVGLQDFRYAIMEVAAIKTRNVLLLPSPRNA LSNTIHLLNATECGSLFYSGTGSPIENHVKGLQDSMGTDKLKLHAIPSFEDMVSTPAP HYSYTKTYKEAKNDVVLILHTSGSTGRPKPIRITNAYIKRADSEQLTPTIPNRIHADL RNLQSPMYNGSPFFHLSGVIVMLRALFSGVNVVIGPPDVPPTPKVACNIARSIELKTV MAAPHVVDSLFLEHGEELKERFSKLEHVIWFGGPLAHTTGDWIINHLPHVHLWQFYGS TEMAWFPMLVAPKTHWSYMEFHPHLGPHLEPVPDTDLHELVIRHREDPTHAWSTPIFD IFPDEPEWRSRDLFKRCQDPGMQNLWKFESRLDDIMILNNALKVNPLHIEVKLQSHAL LNGAMVFGEGRMKCGILLEPKQGIEKELLLRIVWGDIERANGDVPEHARVERHLVLVA DKEKPFVKSAKGTVVRAATGKLYEREIEEVYLKAIEV LY89DRAFT_549756 LSQLCSDTKWQPGLWLHCHSHCGVNRTSVCGGLNNARNRVQTCI RMAIDAGAGLIIPSATARDEKDLLNTNDKIMCADVFWNMQFLEKKLGKYCPQLELRMC DDRRGIERVIESPRREYLEEPFVKGAFRETIAMVVSGKQTNLRNMSTAHQVVFDFGDS YIGWNYRASNELDTIRKALFKTLTFNRQLLDLSTQISHDRRLKHDAYIGVHLRGESDW PAGFGSADDQMRLYTKEIERIQSLSPGGTNTIYVSCGDQSVIQRFRDLLSPLGYTVLD KNLILSSQPATLKQLEALPFDRKAIVEYQVLVNAKFFMGVIMSSMSSLIAYARTVDDP KDYFDTYVFPGTERNGLERIY LY89DRAFT_780673 MANIRSTTQHLYKGSQYEAISLVRQALGLPSSKISDKKYNVKDA VFVSIDFENVQKLIQDPDEPYLKTQMGVCILDTQKISTSPADTVLQTYNFTTGLWTDR DDHFSFGHTELSTIRQLTSELENLIDRKRNIILLAHSISCELTILRKLGFDLDTGISA IIDTQQFMREIIPTEDGLVKTLESLLDSLDIKHKNLHVGGNDANFTMKALMLLVVSSF KDDLKKNNILDENVRERLQHIGNIGKAKIPSEKVSSPRLATKQSPLQASLEKTAAVLQ HKITQASFPSDAAILDHKTKLLKQLQNLQKIPGQQPQGQQPLPTYQQYPVQSCHVQVP YGYQNLGMHAAYQQMQYQQVMYVYQYAI LY89DRAFT_643041 MSSLQKTEHNVKSNDIKASQEPIELQVRNLAIEGVTEEPTSPTN ITDAENISPPLPLLKLLSSGVSFLVAGINDGSLGALIPYMLSTYHISTSMISLIYFTT FLGWLVCALTNTHLTKLFPLGTLLAIGALIQVIPHTLRAWTPPFALYVVTFFMSGLGQ AYQDSHANTFVSTVKGAHRWLGFIHACYGLGLLISPFVATSIAVKTQHENGKWMLFYL FPLGLSVTNLALVLVAFRDSVHLSQIIDQEGLSDPEREGRSRAAASEVKQMLKIRDLW VFCLFFFFYLGVCTTSGGWIVEFLVRVRHGELSEMGYVPSGSYGGIFLGRLLLAEPTH RYGERRMLLLYAGICFALQLVFWLVPNLISSIAMFSIMGFFLGPFFAAGVSVASKIFP KHLQPAALGMIFVMAQAGGAIFPSLIGVIASHAGVKVLPPIVAGLIVVMSVTWAFVPK VDEHRE LY89DRAFT_706352 MCFDGVHNVIAGLGLAFIALLSECSLSFAHIDAWTEIFQGRPGH PQYQKDPVWWGKQPGQAESLLSAGPRDHSRMKKILSHGLTQRALKLQEPTVQKYVALL IDKLKDQVAESPAGAVVDMVPWFNYTTFDIFGDLAYGESFDCLQHSKYHPWITILFKS VKAASFIIAARFYPLIDFLLMKCIPPSLKQMAKDHISLIEHKHNKDKGGMTLEEIQAT LVFLTTAGSETTATALSGTLNYLAAHPDKMQILVEEIREAFKKEEQINCQTTQTLEYL NAVLNEGLRLCPPIPVMLPRVVPNHGDTICGAWLPGGTSISLQQWTLFRDPTYFHESG SFIPERWLPASTEKASPFFHGQRQAVQAFSVGPRSCMGKHLAWAEMRLILCRILWTFD VEAAGKAVKWEDLKTFLLVEKIPIEFKPRVRHNSV LY89DRAFT_643046 MQSFFKLTAAVLLAGTVLAVPLGPGQHAINYNVDVGVRPYYIIN NMTDGALKETLTACENNKKEITQFTIGHRGGGTLQFPEETVESTMAGARMGAGILECD VSFTKDRGLVCRHSLCDLHTTTNILLHPDLAQKCVVPFTPANGTSPANAVCCTSDITT AEYLTLCGKQDGFNASAKNVKDYQYGTPTWRTELYDTCGTVMTLDSYITLVESLPGYR NFTPELKTPPAPYVIMPFNGYTQEQYARDMLNTFINRGIDPSRVYAQSFNPPDIFQWI KEYPDFAKQAIYLDEDGDTPATLTNATARLSSLAAQGVKIIGPPFGYLLNTTADNTTI VPSDYAKVAKQSGLDIIAWTFERSGPLANVAATGEYYYSDIAGAIHYDGQLYEVLDVL GRQIGIRAMFTDWAATVTYYANCMGL LY89DRAFT_732198 MRPSTVILPIFAFASGALAQGTCPEGTTPVGECPLGIPCPQPNT ICVSTTCCQSN LY89DRAFT_732199 MSSEGLYYVYAKYTNQKATGYDYANSSYGRYLCVFRNRWEADEF WRFMATWQYSKGTNFFSYLNRNGPQFWQFNGTNAQDSFMSILQYAQPQGQNIMCTRIT TYTNEAGCLALPQQATVDWTNGCAVFIRNKLNPAEYWHLDGSSIQVTNSTYRTKFVIR GTNFAAGDTTVLNRSDPITIELASNAGGSNPQYLGLVTADARLVTTSSPYNWSFGDFF VSFGQKTLNNDAGTAEHMVVRDQAGKAVS LY89DRAFT_500845 MSTPHPSRDTEPSPSNHSAAPPQRPEPTALQGAQGPSTSNATLR PEVSGPGIPKKRRNHRAGKKKRARRQSFAASTEEGHGLPENTQSYRASGTGNAARASF YRLQGRNLSNTSIESEALLDHRDQQSMRPRRSSVMGQSAFGGSMYETPTSYRPQYQNP FTSDADTRRPRSSRKDNNEQDEAPDDERAPLISSSARSKSSAAALGYGGMDGSQVSLP KSRDNRRASSKSSSRSNKKRTIFDRQSPAFPHESEQYNVNYPPSMPGSPRLSASRDMS FGDMMVRDDFEPNPDLDRDDANENAIDERSLPGSPRKDSAYDGRRHTIALQAEEDVCF PIEGMSELADEDMHRREGEPYRRDNGPRRRRSKWPDLAMLDEWSRFEKEGRSEERRAK KITEPQLIGGRLRPIHRGWHRAEDDAPYRFTYFNEEFQSTIHSSTISELIQPGQSFRE LFVPDPPELTDSSESEEEEGPPRADDAYLRHFPGANGDSRVPTRQGSVIDQPGRPESR RGGSVTGGDGKINSRESSGGVTPNRGIKSPPPASAGPNMQAEKTKRYGERPTWWLDVL SPTDAEMKVLSKTFGIHPLTAEDIMMQEAREKVELFRNYYFVNYRSFEQDMNNEDFLE PVNMYVVVFREGVISFHFSMTPHPANVRRRIRQLKDYLLLSSDWISYAIIDDITDVFA PLIQSIEDEVDDIDDAILKLHSPSAEEKHDNKDNEKRSEGGDGTSGESGGDMLRRVGD CRKKVMGLYRLLGNKADVIKGFAKRCNEHWEVAPRSEIGLYLGDIQDHIITMTGNLSH YEKILARSHANYLAQINIRMNERQEQTADVLGKLTVLGTIVLPMNIITGLWGMNVWVP GQEYEGDLTWFWCLAAGLLVFGISCFFIAKIAYRIV LY89DRAFT_667722 MSFTDLEDVEIQQESTRRALISSRPFWLTMSRVLQLLLAFTNLI LTGYAVSIFGGDFFHTFGISFLAFVWTVVFMLYIFITPERAPKLYFYRVHIILEIITT AFWIVTLALLAWECQTWDAAEDVVNDSLTEAEAALVNSLPNQWSGVTAFRVALAFATM ETILFSTTMFIIRRLLIQSSAE LY89DRAFT_717223 MKALGVSTFNGPSSLEVLTLPDPKIQGPDDLIVHVHALGLNRSD PMRISGWSRIVETISLPLVMGADFSGTVKETGDNVQEYKIGDRVYGFSFWVHGAGAQY LHLTPETRHFISKMPDGMSFEEMAGTSSICTAICALFQAEAKFGGPGCLKGKTVFVVG GLGGVGNVAVSLAKGVFGAGKVITTVSSGKVGMVEEVFGEGIVDQVVDYGKQDVVKSV GKGKVDFLFDTVGTGMAYVEVVKEGGVLLSLLSKSSETLKRELLTAPVWMCWIVDAML GVQWWRAGRWGVQYDGTSTNFDGRFANAVEKWGREGKLKPLVGRVIDLGNGEGLKEVK AVLEMIGSTKGTLGKTVVKMDGLSDN LY89DRAFT_582648 MSPPPLLPRLDEEALFNWYLERIRHNILGHRETDTQHTVLPSRV LDLEWGQSTSLSLEADVRLIETRGENGEYATLSYCWGSYRGCITEQASYKDRSECIKF ADLPKLFQEAVYVTRKLRIKYLWIDGLCIIQDSDADWQKEAAKMGEIYRNGQIRIAAT VAKDPTESFYPLKLIVTSVRTK LY89DRAFT_582156 MVKLLALEGDPSKFSLAQAFAAIPPNISGDDHFLVVQTLLRGGA AGPEVDEALHAAVLSHRKAHRLIEVLVQFEANVTEETLLAAISQGSTKILDILLTGNI PASICAKRITTAMKLPMTAARFNIIKRLLGPATISNPEVPEVSQAVIDILKNCPDDMD LLDLLCRQGKANLNFEDGLAVLLATKNPNFTVLQILLNSGTFLPSSATIEKALECAIN LPLTDVNRHKKVKALLWKVRPQEAINKALIQEIDSALKSGQYSPVIAILLDVGADVNA EDGMPLRLAVSDPNLTDLLLSKRPSVKSLSLTFPVALGMKDPTRLLLCEKLLRAGAVG EEINKALCAIVEEGPAAISLLRLVLPHADINYNDGEALKLAVQSGFVEAEDLLLNSRT SAASAATRAIAFQEAMKSENREERCSMAKRLLKAGIDRDIVSNALVDAVKLEDFQLSE ILLQNGGSVEYKGGEAVCSAARAGNVELLKLLVSSKPSLPTLFVGFGGALSLRGETYF QILQVLLEAGMRGEAVDDALIETVKQGDSSLRMTELLCKNGASVEWKEGEAIVTAAGS AMLQTLDLLLERSLSQAVLGRAYTAASTLSKEQHIQVIERLLKAGKEIDTDVTRSLTV ATMEVPSDRQMIKMLLARGIFDEGQALAHAAQALDLRTLTMLVNSPKAADYISVAFEA VIINDELWRSATGLTVVKLLLDKGASGPGVAEALYQAVASLENITEETETLANDFIDA CLKHGADVNYQRGLALQRATLQANMPLVTKLLPRASPESKAMALPYIFSVCEDKANLL TTLAAFVESFDDDGESLDVMFRHPDENLEPVLFLALQRFPRDTQILSYLLEMGYTANQ WQFCASEEDLGPERWPILCWALEQPEKRISTSVIEMLIDAGANVNYTSKTGVSPLWLA IRNQRVEIVSKLIDRGVNVSIEDSEGITPLALASSMNNIALMECLLKSDAEVDDGSLH DVTRELKMDKMRILVQYRHKPNYPSDRHDGRSALAELCLKAVDHDPTVKQLEKAIQFL IINNAKITLRNVSGKTIFHYALDSSNPVLILTAMLKILWEHVNDDAFLYVAKDYTYSL TKYVEKDLFLGPPHQKPEILALLRKKQATDRFWATDIMAAQPDDYCNGPPHIEEEVIR QKARLKRQAEMREEAAYALRLKKMAAEGDVEVLQIAARGEYARMQEKARVEKELLEAA SITQLQISEDAWNQAARLQEEARVQDIRHQRQLGDVQISVARRIKEEAAEQDRSRNMM QIEYLDKKIELENGGLRGRLMIEEQGAESLSRVNMKEHEREVARLKMQKQLLGQQQSF ASSIRGSVSGQSGGYPGQRQIGFISEVPN LY89DRAFT_501180 MATQHSLILIAVIVLTFSISQHFISKHVKRISQRIQCNSSWLQL YMKFFVFCGPSHLCRCDGPILGPTLHLGAFGLKVNGLRLCQRAAAAWSEILMPAVGSI TMMINEPHMHNRQPCL LY89DRAFT_501176 MGTATSVLECQTRYEWLGPINCTLDSVPFGQFGSNASITGIGTD ISFVLTAGLSLITCLLVQVWCDRLRHHSWRKLLEPLVVSFGDQQLLRGLSLSIATLFF SSACTIDAYRYNVLAYLLMMAIVSHMSSVLVLRSYVNGQIVLSCVRFVLVAAQMIFAG YLYSSRVSNTFPTGIPSPNSGHNTTMVLPAVCFEHPFSEPYSALEDIPKSHTKDSAAL TMYIMLFVFYGINLIITAAHVITYLRFPQWTWERQHQKEERAAAGSWWWWMGSLRGLV LLGAMIMYIWAVIKIGRLDSC LY89DRAFT_696167 MSHNNTESTKKLAELASETRFAGPLWLREEVGALYAKGEVHTGP FSMPLLRMANFDKLSLPSENRNLRMLDLCCGSGVTTHELQVLLQQQGLEDKVDLVCGD FSAGQLEYLDKRIQRMGWRNTVTRQMNAERNGEPDMSFDHVVCAQGLMIIPDSQAALQ DCYRVLKPGGTFALSVWYTENWILDVRDAVAQLPGLPNWPQTSLELTNSWAQGPWEDP HYVKSMLHRRGFVDIDVQTKIIMIPLKDAEDFYEVYDAFIEWVADRYWTEGERKRCKP LLRDAVVGFMERKYGRGRPFSIEKVCILGTARRPGCRTERASALSDAECSPKVEFADQ KYEYKIWQIEYITSVGL LY89DRAFT_780684 MEEPTIAPSPEGHFSHGKEKKNGLDPEIAPAQHIEDDDDLKKDH MNYDRVDKEVAKYASDVAINISPEESDRLKKLIDRRVLAIMIFTYFLQVLYKGTLSFS SIMGIRTDTHLHGQQFAWLTTCIYIAILVVEYPTNWLIQRLPIAKYLGVSIILWGTTL ALHAKATKFIHLLVLRTLLGIFEAVCQPSFVYLSSMWYKREEQAVTVSYWYMMNGGQH IVGGLLAYCFTLIKSPPSSLKSWQAIFVAYGGFSVLWGLFVLWFMPDSPMRAKCFTEE DKKLMIERVRSNQTDPQMYCYCLIAICTTLPTSGLGAFANIIIAGFHFTVLQTQLLAM VLGVYIIIVLLSSMWLVRKTKQNLLVMGLYVIPSFIGTIVLMTVQNTNSATQSGLLFS YYIVLSFWAAQTLSMTLITRNIAGQTKKTVVIAANFIAWATGNAIGPQVFLTWDSPRY LIAFSMHMGCYALLVFVIIFLRWYLMSQNKKKDRLQAELAAAGAGVVDERLTHAFDDL TDKENANFRYVF LY89DRAFT_582771 MPKVYSGIAAQDVHSTIKLLINQLVNIKDETGEFLLRLEDGRVI DTKGWAGWEWTHGVGLYGQWNYYTLTGSPEALAIIEQWFADRFEEGGTTKNINTMAAM LTLAYLYEKTGSQPCVAWLESWADWAMNELPRTKYGGMSHMTYNSLNSQELWDDTLMM TVLPLAKIGKLLNRPEYVEEAKRQFLLHIKYLFDTKTGLFYHGWTFDGNHNFAEALWA RGNSWLTIAIPEMLELLDLPPNDAFRVHLIDTLEAQCRALILFQEPGGLWRTLINHPS HEGSYVESSATAGFAFGMLKALRLRYIKGEEFRASALQAIKAVLAKVTKEGELTEVSF GTAMGHTLQHYMDIDRTSMPYGQAMAIMALGEFLRVFI LY89DRAFT_501241 MLIKVRTLTGKEIELDIEPDYRVAQIKEKVEEKEGIPPVQQRLI YGGKQMSDEKTATDYALEGGATLHLVLALRGGSF LY89DRAFT_549259 KMPAPSLNDSCVRACIRNIRSLNDVGSFEYWKIKPVLARITSPE QLHTIELNSPQIRGEDAELWQAFIARDIPNWKQKGYVPKNPLKWYEVYCKYKKEQRME VQRDEELLKERMMGLQKARQSHVSKVVDLRTLPKVPKDPYMMANNGGVPLKKNPWGKK EPSGFLNFSSGSRTKLTDAKSVLTRARREAKEIGQMSKLSRPTHQLSGKIGQVKKAPA GMSKEYQIAAQPALRILSHRHVQLKNESATRGPSLEEREARLKAAMSSVTRGYASNDV RETLVGSSDDDLNDYDEEDGDDLFDEPAEK LY89DRAFT_683567 MTMLLKFGEEDELHKARLLNVEEKPFKRITKRLLAPGSLLNNPL KLPTPPPETGSAEDTSEDDPTLKQQAEERQQLRQEIIFDFDAFDSSIARIQFLRNSNE NERDRYTADKQRILDTMQEVRVSTAQLRIQLEESKKTLEQRKKFDELAEKITGNRLLR PREDQEVNLRKLEEECRELEKESLTYGETWKERREQFGKIVEEGMQLRRLIRDEKEEV ERREGMDGGEEDGEVGEGSRGGQTPKHSSFSGNATPRPDGESQSMSGSGLKPRPLNSG TFSRPGSTTGSRAASPAGSEREREELVEGEDSMILDDGPKVEESDIVAATPLADTVDM AIVSTPQVVVDEPSPMVAVEGVEPSEEEGEEGEAEDKMDTT LY89DRAFT_501267 MAPPSALTIATSSVQRLMKEEASYHKELKSQESRLEKLLASKSE DENAEYSLKQERTAIEETKAVFPPLTERLEDAVHKLEDKLDAERDNGASAEEVSKAEG VITDAKKVIADARAAAESK LY89DRAFT_501279 MTHSQHIKQSNVSTMMDKATLRRLIGEMFRELRDNAINGLGDKA RYLKWNSKPGSNNEEDSFNLTQMVTDYGVNGGLPEDKWDDSVLEKLYRGLADSRVEVE ILREDSASSKHSSLAPNGKNPRVHDILRDRLLQTIEKATGRPIISSRSSKIADPRRSD PRPPNSYRWQFSKQYPSFPTTKGGISRWPAEHIRQCHEALDKGFLVAVDLATNPAKDK PSTSHQNNFNKRGDTDERQHGQDEHLDERIEIGEEEEEAEHDQQHERDESNEIIQESD EALPESDLDDDTLPCPPNNTAESTNHVNVDPGLLLSRNPFKEELEDFDVESTNTFGLK RFLVDFHHRMYEDTLHMALKKYAEELKWKWKVGYTAKKQELRSEIDSLNARLETKILE VEEAQREIARLDSERASLVEQLSKTDDESTIKLDKAREAGEELGRRKAMDDVNTAMES DLLALRRSNLEQISKVRAESYKEGFEAGRKAALQTTSTENAALHKLPRTSDDSRPTSP ALNQSNRNSVDIQALMKEFEEERISTLELGRRQGRETAYQELALSEGNRVNVLVKNDS GLNKNRKRTQNHSTELGRHPSDRASCLHAP LY89DRAFT_683569 MTTESSKVDWSLADGQGYILDRDRSRSHIAACRLNLQHYLWKEA LKFNIHPSILLPKNNTIADVATGTAMWPIDVARQFPDAQIHGFDNDIRQAPHRDWLPL NLSIRYWNIFEELPNDLVGKYDYVHVRLLVLVIQEGNPRSVLRKLWKMLKPGGFLQWD ELDCVNMHVKKVDPSLQSPALDEIREMSWANGRHDWTIELPRFMTEEGFQDAKIEYFG DGPELSRAFNEQHLLTMEEFASSLIKIGKVEAGLKFHRLIEDGYQESTIGAALCIPRV VCVAKKPL LY89DRAFT_683570 MPPSAQKSHTNGASTQVTKEISKPATSTVETFEVQRNGASNSDA RKITILRDAVQRVVANYRSKFHNSTDEFLGSCTTIDKFFDYVAGIRLRQIPHHSSRWD KVLKWAEFFAAQVYGYSDEVGHFLASSDRAASIIWASCRSLLELGRENIDVLEKVFGV FYSCGLTLGVFLRQHELFHETEEFQLILGNCYAELLKLVTGINLFYSRKESSGNFSVR IFSERFSRSINSFYSHSDSFTDAIWSTKIGTSSTSGDISVELIREFLIPHDRVTRLLT TTRTTRTTRADFTCEWFDRYLTDFMRSGKERLIVSGKPRTGKSVLAEWTIERLQTLSG RRASEVISYSIDPDLKNELTTLSVVKALLLQMLQLNVGDNDLYNSLVQAYELSRKGSP SSHVENALWTALQAGFASGRNHTIIIDGIDQLKSGESDVNKLLGRLDSIVARHSKTKV ILFSRPLSNTITNATQKHAHFAITPQHTRQDIRHFAEFLLSSSTSHTVLNQEDRATAI TKLADISDGSFGWVVQAVDILKTETTSGGTLKKLDSLPKTLDAIISQVISTVDLKQRD AKSLLAWLLVSQRPFLLTETKQLFEIDQANSTRAPRNTRVEDDVIKALGPLIVIRDGF VRFANLVIKQELVHRAATVTDFKNTGAFPFHIQEAHYDLTIRSLAYIKMSLTRPAHPT TSPLGDYELDELFNQYDLLQYATRYWAWHFEASPMHEPTVQHKITQGFKTVFPHTTML PIIEGSCFQYQSTYQDAVDHHLLGLSLRRLVIGENTESVLQTLLNLAVLKELTLQSTE VNEYYYEAWKIAYTLKLASIATTCAHRYIERTSTIKITSKSTTAIRRSEMLEYIIMIY RETKVSQTEILTYLEILVTLYVTIGETEKVSKLRREIYELHVKIYGRSAPQTLRAHGV LVTTISTSSKEEEVQEITESNYREVSRTLPATDPKRLALTWSMIDIYTKRKDTRRVEE LLVSLWQSWTRHAHTHKDSKTQQQRIDIALRYVEFLKIHDRKAEAENILRGVATDLEQ SDTEDEEIIKRTRVIGNELTVLGSVAAARGIFQRIWAFYVKTGKTNTSEAKSVSTQLE ETTNHSITETTTETITLREIFDTVITKTTTKTIDTRTVHTSITLIETYWNEQRWEEVI KVSTVTLTRLWAGWTSTELRTPLPTNYLSETVTIIRRLYTSYWKLRQLENAETTLKRL FYAVLSTPKSSDDLLFSTKKDLIDFYETNGMFEKSVIIYRDVYPEIEKRKGKTDTLTI KTLYALGDTSKRVNDLKNAEFAYRTIHTNLGSEVCHRDSIRAAEALIAIYEQHRQYSD AQKIYHSIWQMFLKHGKEYDFKPDWSESLYEKYVRSFKQDPKVEYTTLRQLAIEYRKA LVRFYGLDHESTIKATLGLAEIDEEKPEHREESIAMYEDADKHSREAPNGQISEFTLN RVGTNRRRLPHLYSISQLSTSPKAIPLYEQEWYTYHTKHGYSHGDSLKWLSLLAIAFA KQNNAESKTRATSSFHTSVTEILKKEKNSQRLWDSGSGLANVYLKAGMKSEGEQLLQQ IRSQVIFGDSSLAQSLGVTSSNNLDRRTWVFLVSFQTTLHGTRQMYTAIMADLINEVF LYDSYRRIVSQKAPFLDSFAHGARLLQFMRDVHDEPSHARVEKELLEYFSTNLSAPKT INSSVLREFFEIALVEIHHQDMDISLLKAGTTSVVNYFDKGKYAEAHDMAFLVDRFQQ FVGGYGSVEKIDYGLQIALAVAGYKNTKVPDAKLKTAMLELSGKIVKQLVQETRAGHV LLADIPLKDLNAASGLLGELGYLDELEYILTVLWKARTFQLLWPASTIVSLGRRLVET EFARSHHASAIHLCEDMCYNLRRVWGALDATTLDMHILLSEFYTSSEQYRKAMQVHED VLRDTVSDKGEEINQAEAAAIAVRHLELLRRAYQRLGGWDKDPQVYVDLYQQLAHVFG SEENWKKAQIQGVEKWSTKGVDSVGVWVRPVSFEWMESEGMRKHANYLRRSLRSGAEW KMAHSNGGRLLRSYSGRSLVA LY89DRAFT_667737 MFSLSSDESFHFELLRALALSRYHGTDINEVLVAASKITPGDFE SFSSVFTALASRTLDRAISLDSKKYQVSARDAFFAASSYFRSADFYLHGNKSDPRIDE LWKKQTFAFDQAIRLLPTPGERKLLKADGFDVPLIFYAAEGAGRKPTLILGNGFDGAQ EEMLHSCGFAALERGWNVCTYEGPGQCTVVRDQGLGFINEWEKVVSPVVDYLETREDV DVKKVGLVGFSMAGYLCVRAAAFEHRFAAVMAVDGVYDVGEAFTKMAGPLVKVADEGG DVDSTAREWLKDPGVPSTVKWGVGHGLWSFQTDSAMDFLERTKKMTLKGIESKVQCPI WVGLAKDDIFFGGQPEKMKEVFGDQATLTELTAEDGAGEHCHVGAFAFMNQKVMDWFQ DVIERS LY89DRAFT_732218 MESRILNRGLIVELSQAIDRGCSQVRDKIRRAAFEELKRLKGEE LVIIMTDCISNDNRELRRFEEHANIARARNVPLFTINLHCDAEGWLLYGKTDLINVDV LARLRQNFEYIDAEKIHEYPDVKIFHLNLDISEMWEEEAAEKIRDFLQSPF LY89DRAFT_501319 MQLGMQLNCTQTLYPIECLRADCSQSCVIPPVESGTAPFLAGLD LLGEESIVDIVALCSGPCCSVKNELEFVMAVGQVADNTCWHIKGSYIRSRRRGRVTVQ TAMKLLMKHSDVVGVSELVSLQGSRQMIGVSRNRAALFRVSWNFNSPRSQSHLFLIVV RDVST LY89DRAFT_732219 MAAPYERQWITPPKITAPSTPEVEKTVTKPPEPTTSPILPPKTP RKFVPALPSNPRPKRRPSEDLRDPPQDFQAPLPSRAASTAARVETVRRPSILKSPKAA RSFNTKSPVSPPPIKLPSPVRRDSRGWQEAVRSPVKVPLPVRKDSLESQNDATSPVQV PSPVRKDSYNSPTKNVESPKSWAPQAVDSPVQSPLNAITPNSQLQEPLYLRVIDIPSS QRSSDTMPVGYSLEATNVANNVLSASPIAMTPGGLLESLGAADASPPSSGDESDPKTP LTPLIEVRPSLQPAPLNLKAQATIETVVIEPSALTPPAKTPSPPPETALPPIPEPRPT QPAHKRALSVKFKAQTTTDPSRRKTSNPSSLGSLAPRSLSTHLLSLGNAPRKLYLATH SPFLRSVSTCKANTALLSQYLARERLYLHSTLRLLSLLLANVILPTRPSGLVSRRRGG IRDKMRQEIEKAERERKAQAQKGQKDFGPGMGANLGVEVASGVANLGMGMVLGGIVGI GTGVGMKTASGSAGVGLSNLGNSATDPPEEEERISEVVENVHRDVGERAVGFLVASIA RTRKTLDLFEEVSEDISGIDLDGSEAEHGEGGEMTALTMFTRLFGEIGGAVEKRERSV LAGLVMLFAREKAHLDAWLEVKATLSTRPQTRPASVSISQKRKSEPDRGAIRVYLLPE FTSDESVSYVEELGKLVDDLWADKYIKRDRDSRFLERKDLLEAKKGRMRGGGWEDDIK GLEEFFEMVLDIQGRFWPVC LY89DRAFT_643070 MSTINTSLEQASVVAAPSKSHNYRIASIPADGIGPEVVSAAIEV VNKLAQTMKTFKIEFTHIPWGTDYYKKHGRYVDENVLDVLRGYDASLFGSVGAPDVPD HISLWGLLLAIRGPLQLYANVRPVRTFPGTQSPLRSAKASDIDWLLVRENSEGEYAGH GGRSHVGQPWETATEVAIFTRVGIERIMRFAFTAASTRPKRHLTVVTKSNSLRYGLVL WDEIALSVSKDFPSVTWDKMLVDAMTIRMVQNPASLDVIVGTNLHMDILSDLAAALAG SIGVAPSSNLDPTRKNPSLFEPVHGSAFDITGKGVANPVATFWSAAEMLGWLGEEEAK EKLMRAVENVCEKGILTKDLGGTAMTKDVTKAVCDEIERLG LY89DRAFT_643071 MLEGFVSFTYTIDPGVAIHGVRGGAGPPLLLLHGFPQTHRIWDR VAAQLKNDFAIIAIDLRGYGASSKPSGVEHYAKSAMARDAARIMAMFGHLSYFVCAHD RGARVAHKLCVNYPERVRKAIFLDICPTLAMYTKTDFDFAKSYFHWFFLIQKSPLPET LISGNPRKFLEMFTGPRTDRSTFLDEAWEEYVKALEDPAAVHSMCEDYRASASVDLDE ARKDIEDGRKIQCHLRVLWGKKGVIEKCFDALAEWRSVCEGEVSGHVVDSGHYIPEEK PDVVIENIREFFLGQ LY89DRAFT_774610 MADSHNGISEHIDERGPTYYPGQFLKQSERFDRLDAANKELVTS LVNHAASDDRGLQAQISALSTLLDRAEVVIATQEDANKRIIVDVFQRFADSSSVEESN PLLAQVRTADQEIQNLIPTETLGSLRFQSMSERFEAVDESHATTFDWIFRPFQNTVQY AEGRRWGDFGAWLESGTGLYWINGKAGSGKSTLMKYIVNHNKTSSLLRRWAENLKLCT SAFFFWNSGSKQQCSQAGLFRSLLYEILGQHPELIPTVLPAQWGARYSAKCQARQCPL ESWQLSTLKMAFKTLIAQKTVPLKLCLFIDGLDEYEGDDEEIADLFGKASMSDNVKIC CSSRPHQAFEDTFVTRPGLRLQDLTIPDMRKYVHDRLEKNARMQHLSEEEPEATKELI EEIGTAASGVFLWVRLVVSSLLSGLGKHDDISYLQMRLRELPPELDDLYEHMVFKVDK VYQKETAQLFQLIGTAFRDQTDDFFSWRETKQLSVLLLSFASERDATLALEAKSGFMT KEKITTRCKNMEIHLRTRCGGLLEIQYGNEDPYTTTVSPDMTVAYIHRTIKDYLELWG TREKLADRSGSQQNNP LY89DRAFT_780695 MASATLVLNTPKWGNIQQVQVGIAPADLVATLSAAKSAWGWIGG LDGIRHILTHLPRVFGEKQLIKMGVKMKINPLRWHIITSEGTKTIEDLDKKSAFGGNP ATQLIGLTICALSFELGEYRAIKAFMENLAPNILIDAEAGASEALYSQLIDNFKKIVN EGAARGLERAFSNAIRSHNLPSSLGPCEGFDETDYMFVVGLLRWVIEGGQKSYLTRSA ATARVAVGLKEIGYSIGPILVWNGKGEPPSSWKGVTLVTGGSCKTDALLAENEEDMLM IIPPIQHYHFDTVGPMLFNLMRLPNGPGPELLQADFNNVRHYIQTNLHFTWELSQEIN DNYVRAVAHWKPTRGRPHTINLTLASICFGASATNFAGCYSDISNEQTLSIIKKHNNT NQAASNPEVLRFRAITTAILISVAETLSGPLFGQLSHITSVDLRGFSGLDGLSRGLGT VLASGIPYWKAVAFVSVFHSCADTQLFCGDSYTDRGIVGFRERDYAVLPALLFNLSPT PDALGIYCVDEFYSLPVQEDNTIRSHEGDCWSPESWNRPEDENPGIKSTYEAATGVPS TTEPDIPIYISIERDPTLPKPFICLAGRVDGKLIGATGITSILKTLALSFRIPETCPE GGHQVPHIVRHTKASQWSKHRVRKPTDQVKFSNYIAVAGHPLWTVFLAGQAAQTSTGG LVVFGCFECAQNTMKSMAFERQQKWSEAGNLFVGYGKTHDGYGQYQRGLITHA LY89DRAFT_613685 MPLMEEDYENLRAEADAEPERYRSWDTQGQFRREYASLHPENQQ VEKPENGNNSPLDENEIERLPTVVSTSSSGADSPQYEGIRARPATSRARSSSYAHDTD LHRSETHRINGQLVRNPTALDRINTHRSQHFGTVGSRASTKKDKPLPNFGGGKPYPPP LPAQEEYVVEFDGHDDPTHAQNWSLKKKLIISLIAIWASLAATFGSSIFSAASVGVER EFHVGIEVATLGTSLFVLGYAFGPIIWAPMSELYGRRLPIVISSFGFGIFAIGTATAA NIQTVMICRFFDGLFGSCPLAVVAAIFADIWSNETRGMAVACFSATVFMGPLLAPFVG GFITESYLGWRWTMYISSFMGFSSFILSLFFLEETYPPLILVTKAAELRRRTKNWGIH AKQEEIEVDVKELIVKNVGRPLRILFTEPIVLLISIYMAFVYGLLYTFLTAYALVFQG VYHMNAGVGGLTYFGLVIGELIAFVMVILMNSSYVRKLKANNNIPVPEWRLPIVMIGG PVFAAGLFWFGWTGYTDKIPWIVPTLSGLFTGFGIFAIFLQLLNYIIDAYLMFAASAI AGNTFMRSLFGAIFPLFARYMYEGIGINYGQTLIGAVAAVLVPLPFIFYYYGRKIRGR STFAPAPDIAQDKRRDEESSGESAQIDEGAQSEEKKAEES LY89DRAFT_501835 MAATNESKNGEIHFEIPKTCKAGVVVNEGPDFRVEVQDVPVPEP GPDEVLLKLNATGLCMSDIHFMMNDWAMPKMSTFGVQCAGHEGAGVVVKVGANVKNWK VGDRGGVKPLWDVCGKCEMCWEGRENYCQKGIHTGLVATGTYQQYITSPAKYTSPIPD GVSDYIAGPIMCSASTMHRALIDSGLKVTQWVVFPGGGGGVGIQGVQLAKAMGMRPIV IDTGDAKRKLSLEMGAEAFIDFKEVPNVAEEVKKVAGGVGAHGVIVTAYQAYKDAISY IGDRISGVVVCVGLPPKDLMTIGADPSYFAFRNLKIMGSLIGTMQDTAMALEYAQRGL LKQICEVRGLSAFPESVQQLRRGEIAGRVVIDFEKP LY89DRAFT_683580 MERTIGLLGGGQLGQMLCEAANPLGIKIVILDAEKSPAKQVNAK NDHIDGSFIDAEKIRELARQVDILTVEIEHVDTVVLEEIAERGVEILGADGKKTVKKV EVQPSWRTIRTIQDKFLQKDHLAENGVRTAISKAVQSTEEDLQAFGREMGYPFMLKAR KDAYDGRGNYPVKSASDIKDALMALKGRGLYAEKWANFKMELAVMVVKTEDGTSTNGT ATVAYPAVETIHEDSICKLVYAPARGVSQRIQREAQELAKKAVGSLWGKGVFGVELFL MEDGELIVNEIAPRPHNSGHYTIEACPTFSQYKSQLLSILGLMPSFPDSRIPAMFPAT IMLNILGGASKPAHNEIMKHAIGIPRAALHMYGKESKPARKIGHITIVADSMAQGESL VQPLLSMTDAMRAERKGLPTPKPSTAISSKPSASRQPLVAVTMGSDSDLPVLKPGLAL LTTLDIPFFVTITSAHRTPMKMHEFASTAASKGFKVIIAAAGGAAHLPGMIASATPLP VVGVPVKGSTLDGMDSLLSIVQMPRGVPVSCVAINNSINAALTAARILGTSDPVIQER LVKYAKDMEHEVIGKAERLEKVGFTEY LY89DRAFT_667746 MSKLPTHLKMLRRPRSPREAYEMWRKEGGGYQIGVRLNDASSKI ITEFRLGISKAAGCPPVKVAQQNVVFLFAEVPASGLEFYEDTLATVAKHRSPFRVIFE DPFVSGQDKESPSVLALEIVGLEIHSVYDELWEHLGAVPRMRAQKSGKRRLKMNILSS APSEKVKSGLLLAGAELRKANLSATAIGLCLRFVPVYAPRERFYRANTVLPDWQVIPF QGSKRISALEDGDQPDSSS LY89DRAFT_501941 MQLLPPLAFTLLSLYLQIMTGELSCRAGVLGQSRWIVASQPHNI QHRFFVLAIPYPTFVLHTEYLTITHTISLQIPWNQFHRNILFFETLQFRTENLDHQSR GLLSEFRVNSNMPTLPAHLRAIRVPQSRLEAWKLCKTHGGKCRIGLRFDAHQANRLTV IRDKMSASFSSNKPHRKSPQITMPMFF LY89DRAFT_643085 MSKPPSKSVFVGNIPYGLTEEQIIRIFSSAGKVLNFRLVYDRET GKPKGFGFVEFPDSDSAASAVRNLNDYEIMNRKLRVDFSNDGGEEETQPAPTSYRPPP PSNGVSSSLPPINPNSSIPPLPAGADLPAGLTCPDAISRTLNTLPAEQLLDVLSQMKT LATTDAAKATELLHQAPQLSYAIFQALLLMGLVSTEALSSVVEQASAPPPLLTPQQQQ AYQAPTPSNYPPGYPPPPPHMSGQMGIQMGTPPVQNQGLYPPPPQQRAPPPQQQAPQQ NLADTDALMQQVLAMPQEVIDSLPPADRAQLLALRASFGR LY89DRAFT_643087 MSNPEQIWKNLQRQMTAAGRGGGGGPSPRMVSGLGGLILLGGAA VLANNSLFNVDGGHRAIKYTRIGGVGNQIYAEGTHLRIPWFETPIDYDVRAKPRNVAS LTGTKDLQMVNITCRVLSRPRIDALPQIYRTLGTDYDERVLPSIVNEVLKSVVAQFNA SQLITQREMVARLVRENLSKRAARFNIMLDDVSLTHLAFSPEFTAAVEAKQVAQQEAQ RAAFVVDKARQEKQAMVVRAQGEARSAELIGDAIKKSRSYVDLKRIENARAIATILQE AGGRNKLYLDSEGLGLNVTEASEDKSKK LY89DRAFT_706369 MAVSILSPLAIVFLLLSGLFAVNATNSTKFTPEAMLSAPRRGTA LPNSEGTLALYTLSTHSFETHKNAHGLYLMDILNGTSWLFTNSSAIGEATWLGDGNTI LWTVSEDDGTMSLLVGDATAPSAEPISAGSVPGSISGIKLVEISNGTFGVAFSGTAAP NGTLYNSALAETPVSTGRMYTQIFVRHWDTYLTPQRNSIWYTTLSATTTNGTAGYTLG DPVNALNGTGMESPTPPFGGTDESYDISTYGLAFIAKDITLNQATTTKSDVYFVPLTT FQEPAPEPQIVSTPGIEGASDGVVFSPCAPSIAFVRQKGISYESDKNRIFLVSDVTKD LTATEFYSSEDGLGAWDRSPGAVFWSQDGKTIYAEAEDYARVRLFSLPADPREATELP NLIFKDGAVSDVRFLGNDKLLISSTSFIDNSLYFAVDPTASAATNASSGINLMSANLG NGTNYGLSKSQVSEAFYKGDGDYLVHTWIIRPSFFNENETYPLAFYVHGGPQGSTDDA WSTRWNMMVYAEQGYVVAAFNPTGSFGFGQNLTDGIQNQWGGRPYNDLVLGWDYISEN IPYVDTNRSMALGASYGGYMMYWIQGHDLGRKMKAIFAHDGSFNTLSQYSSEELWFMQ HDFNGTLWENWDNYARWNPANHTDQWATPQLIVHNELDYRLPIAEGLAAFNILQTKGV PSKFLSFPDENHWVLNAENSLVWHKTVLDWLNGYVGLPKYSQPGDEDFRATLMNGPWI Y LY89DRAFT_501932 MAGPNPPDPYPFNTSTFLTKPRQFAWLDRSSTWPDLPATQWTPV KVLAATGSGAVGLFKHVGSNPRVPSHMVVKQVFAEGVNELANESRLLRMLTGTGTKHV VKLYKAFERVMGTGATGEDTFDALPFDEDDGEVDDARMVGRMYIEYVEGGDLAGWKDK YREGDENRRKVIPEEVLWRMMGCLVRAVLVLETGSEFVTVPNISWGKKIIHFDLKLEN VLVGPKDQAYHQRFPMLKLTDFGMSQFEPSTRAQRAMNVWIGNAVDRATPGWFAPEQR YPEHQNRDMSAKLNMWGIGSIIYRLICRKNTPDPDMPTFLHSFNGKPLEVVGDPKLLL SPIQCPYSAKFITTLCALLIWNPAQRMRAATLSGICDQMIDLYDGLNSVPPRGPTPKP PPGTIPPIPPPGPGGEALLGPNVLPGGGTGPNALQAFVMPNTTLRARDPELRAYQYYE DFPAMSPDPRSGGLLFRRGRRQRPPVQGNYFSEVGGEGEMVNRMGMRDVWEVVDPLAF PGEPIPSPRFLGEEPVTPEPSYESSNSGEDVDMT LY89DRAFT_502071 METCLFTSWRARIARCHGMGDRRLTARVELEFRHHQLRWNISLF YSKLEHLKASFLHSFHLPTHTLSSHFHFPSLIISSPSDSNRCPAITLPVKSPLPCMQQ QSPRLVSAPSKQLQKRNPGRNLTTHSLPFKSRPGQGSFFPRTPPTELYQRR LY89DRAFT_582573 MYTSHPHAHLSGSAFGPGAFNFRDLSMKRPSKPDYFQMKPVRGS SPTASLAADLSQNFHIDMSPQLPTPRRSLFTSNLFGTIDLRESVTTPPLPSSSPGPLN EMMDISPLPHKQPFFAQIDIQSPTPGHTPTEEIVMPSSPPRPAFLEAPKPSYGAERRK STLIRPTLSRTKGYSTNTLPSRNPDQLPPFRFGAGSSKLSTSTSMSLGECFMESPPQE RRPQSANSPSVSNLPPKPSRPFGSMNGVSMRNGSPIGSHTRRPSNPLIRPRKQFRRSL SMFEHPGDVMKGKKDEQPCSTLNTVMDVDELHQPVLPHFFQEGQPDSIPRITRETLLQ VLDGNFDNQFDQRMVIDCRFEYEFEGGHIDGAVNYNDKELLAGQLFEASLPGKTLLIF HCEYSAHRAPIMARHVRKQDRTTNAEHYPKLTYPEVYILDGGYSAFFAEHRGRCFPQN YVEMDAKEHAYTCEREMGKLRHNRNKLSRAQTFAFGQGQIDDSPTAPNRSKTTGSDLM MTGMTSPMLGYDRAQARRMVSY LY89DRAFT_502079 MHVKIAGTDEKGEKSLDLPSSPSRTNDSRKHRERCSKKVLQYHP DTTSYSYKNCLLFCLLISVFSSSSHLSPHHPSINNTNLYSTSQHQYTQTINSLHCISF PPLLYLRICLFFLPIHSPASIFLFSFPLILHSKVKDARPNCNFNAKARKQRNPNARRS QGLRRRCIGGSSYKESKVVRFEEC LY89DRAFT_502146 MCGKGVDQTTRSPQHYQVMAPELLEKHVMYYDENKRYDFELEES FFTEKMDFDIWGALARPVLPIKKVVPKNDADDYPWRISLGLFDLLSNELIDMVIDNIS TSDDDLIALGLTCQGFWDSIVHRVQRRCIDNVAPWAGQKIALLGSWSTSLPPPLEAND LALKLVDDADYHVNRHTSRSLFCLFFDEGATLQTTKSRQEVWLKLVDKHLPDSGVPDW RWEDLREQFRELDLFPIDRDWILRNLTTHEYVSASFVSAGDRDSKIRLVDILLSQICW TNVPSLWGGHLEGLHEGAWAGHAFDIVTVDVLVKEGGKMAWKDVTDDVVEKVELLS LY89DRAFT_717248 MPMHNGWLPREGLTGDPIGRFIKRTALNPALTLAVILLARYTKK GSDLSILHETAFGRVKTLFYLGLARMVSGYFDRGVLDNWSADTYDWDKEIVLITGGAG GIGGHVVKLLAEKGIKVVVLDVIPMTFETSSNVYYYNCDITSPSTISSVAAEVRKDVG DPTVLINNAGVARGKQILDATEKDVRFTFDVNTLSHYWMAKEFIPSMVKKNHGMVVTI ASLAAYVTVPGMVDYAASKAAALSFHEGLTAELKTKYNAPKVRTVVVNQGYTKTPLFQ GYQNDSPFLMPTLEPETVAEAIVKQVLSGHSGQVIAPGFGTLLTFFKGFPHWYQIRTR AAGENIMTKWHGRQVIDVDKWKGADKEKEGGESASTVLVPPAEQ LY89DRAFT_706372 MMAVLFRFSLVLAVFAGCLNAQQIVNGQIYTPGIALVDSPQPNT PEGGDFLQVALDVTSDGQLALPPYPTNPNSAIWNITIFLSSYTTGYNFTISNGTATAN NASLGEIMAQEPSSTVKHVNWVWPDCLVGDGASSDNSSARGAYNISIRQNFRLNGTNM YTIFDLPIKVTNSIPAESNRPACDSLNNPLLDQATLNASANNFTRIVGTAIQGNSGSG SSSNGLGGAGMLDWKTSVHAIWILAFVFMVLL LY89DRAFT_732237 MKLLHPFSRSLRSFSSTAKRTTQIRRLPSKNDASVYPLRISDDV KNATRSGQPVVALESTIYTHGFPYPDNISLALDLEKIVRDHGALPATIGVIDGVACVG LTEKEIRTLASAAGNPETMKVSRRDLPFILGMGLAGKKLHGGTTVAATMLLASRAGIA VFGTGGLGGVHRGAENTMDISADLTELGRTPVAVISSGCKSFLDIPKTLEYLETQGVT VCTFADGRTGKIDFPAFYTRESGVQSPMVIQSPQEAAAIIRAQKLTIPQKSSRCGLLF ANPIPEEYSIPKLEIDEAINQAVREATEQGFHGHANTPFILSKIKELTKGNSIPANRA LIESNVAMAAQVAVELSKISIRTRHHPILDTDEKSHESVPASAAGMAKLSRLLEGTKF AREGKNEIQMENNVFDPAYDSFFHFSPRILVIGSVAVDLSCNYAPQKKDHTALNVMPE MHTSNIATITPSIGGVGYNVALAAYIASGKSKVSFHSFVGDDLAGSSITSALETARAN QSGFHQRIGTLAKSRVRGVLQAERRTAQYIAINDANKNLVMAMADMSIFDEFSDASSL SVSKILLEDSFSRDLWIVVDANWSPSILKSLVKSRPPNSRFIFEPVSVPKAGRIFGSP KDSGQPLQTFPGNEIYLSTPNQYELAAMHATAKKNEYFETQRWWEIIDALGIPSSGAR DRFVSITNAKMTDEGIPLQTIQLLPYIPKILTKLGAEGVLLTELLEPDDPRLTDPDSA PFILSRTANGSKEVGGVYIRLFPPVEVVDDIVSVNGVGDTFLGVLVAGLEKGCKLDEK LIHIAQRGAVMTLKRKESVSPNLAELLPDLMDLAVSQSGGIDKWVSSRRHQL LY89DRAFT_683590 MRLLHASTISLEEISENKIPPYAILSHTWENDEVSFQDMEGGSP TEKEGYSKVKFACQQALSESLEYVWVDTCCIDKSSSSELSEAINSMFTWYRNAESCYA YLVDVPSTDDPRAMNSAFRASRWFTRGWTLQELIAPLNVRFYSKDWSYLGSKGALSPV ITEITDIDSVSLSGGDLRRSSIAKRMSWASKRVTTRKEDMAYCLLGIFDVNMSMLYGE GEKAFIRLQEEIIKESDDQSIFAWELDKPQANRDLGVLARTPAYFASSGDILPCKPWN TAFPPSMTSKGLRIELPIYYEKSDPEQNEPYGILSCHLENDLFRLMALPLCKAQTSNH EFTRSGSGNLIQVLERTSKSLELNTIYIHKLPPRFEDNRRDSFVIRSLSGFVRGNRYR LVEVYPPQIWNESRRVISTRSSYGDLIETFALMHFSSDSEPDFLVVVEYMPREYYGSI GSVDIEDPRAHCHLKSKPKGVTLAQLHKSQLFYVSKDGDVEPLISTKLPTPEVVKGIG LTLKKEEVMGVEMFVLDIELESSSRTSSRANSGNVHTSWPVLTTD LY89DRAFT_706374 MYLSSSFPTPGATWQIQIDTQVNPNHDVQVFDIDLFENQAADVA NLHSMGKYVICYFSAGTREYQRSDDAVFATTTIGKNYSDSNGLDKEETWFNTKEDAVR QGMMGRIRRAKDMGCDAVDPDNVDTYDNDTGFNTGDLETDKANAIDYMNFLYNYTSTY NGGMDIGLKNGAAMISSLIDKVAFEVNENCANLTECASFRDFINKGKPVFEIEYPVYL QNNAPVDNAEWTRLCRDNLENGASQQNAHFSTVLKRFGLGDFTDPCGANPVTPTGTT LY89DRAFT_683592 MSSLKCGPAELAMLKPQNTMIEHVEEFLQDDDEAQLHVTHSQPN KNSQSESNSSSAVAITAQSSTKRSRIHLLDLPIEILELLCTDYLDTVTCTCFGLACKA FFEITEHLYPWQVNLHMRSRDKKCLGHLLTDWMAPKYSFDHAWGKFLLKKHYLSDEKE IERQWKKKESWRRIRIVVDKFGAAVAYESTRKGF LY89DRAFT_774718 MKMVRFVEPEASASGSRTRRGRSPPAPPPAPPNTPQRGLQRTEG FQGSEESRSNAQVSTPQLVPSFQAYKIPHHITTMVRELLQSAKGSQPSKLLEFLEKDL PDSAHESLAFTSAEEIEVQLTFASLTLEGSLPNSTTKAIQSTPLEIFFAVLDNLDEAS ATTLGLTCKALWAIHKIRYPHKNSLLEKSVRFPSSESIFLYQMLKQWMGEDLYYFAFA IGNHQVSGKFLTKKQCMDKVIANNKGVEPKDKRGCFGIWEEGQEVTYAPYQTVVFKLC HEKVKIPTKPDGFQYKTTMFTPWRPCPNSRPPWWDPRDDKDGKCV LY89DRAFT_774721 MAPADSDLPDSEVDTYKSAGGQRAWSLSDAIELAKKIQSERDRD QERPIDKSKIGNIPTEIQLLIFSYLPEGNSACLGLTCKKLYAIHHDNYPKTHSRARCE DSIGRSGHLRSYLIGFMGYSWCRRQLLAHMAALQQELIDMGLQCSY LY89DRAFT_683593 MAAGVPLTDDDRAGWLANLAAAGIEALSESSLVVVACSALKYKY REVFRTAVDKANNVEDRSVVLADTGNIQLDFIFLYMSQKKAKKLVRARALSTGHFMPA SLVSSQFDILEMPNEKEPDCHIFDSNVGVEEVKDGTLRILDALLAHA LY89DRAFT_732244 MASSSIPFRLKSYQTALAIVAPPQFRTEIDSLRKIHDKAYERWD PHINVLYPFVDPDQLGDAISAIRQRIADKDVGSFGLGLARPDKFVQKKAATVHLKPDL ETEEKISLLRADLVSALGRDPKEGTHDGIFRAHMTVGQAGLIGPTLERLVEKVEKLAP SQWECRSLTVLKRQPSGKMVPVDDIWLQGGRREVLNRTEECGPPEYHWNSCNEYSSSV GWQPVLKDKVSRGQSDTTSTKVTIATYNLMTDSRAPAFTTRLTAIVDAIESATVQHSN VSILCLQEVNDEMLPLLLADRKICGLYPYSTHLPSSLLPSDRNLVTMASVPFHHNTIQ FTERHKLALVIEVMELNVKVVNVHLSAGLSDDAVAAKKRQMDYLTEFCSNIITSREVV LSGDFNLTTSSSTIDMALALDLISTKTAQLVRNMINLDLWEDAFLASENMQTDGVIDD KSGATFDRIGNTLAAMSTSPINDHPQRYDRVLYRRNGRLKTEYVQLFGLADERGICGS DHYGLSATLHLDPMQNTVRDSLHLEISNVEKTIVIEDNTDLGHLLEHLLPTEDDRAER RHAIRLIEEGLSSGNGLTGLVLAPLGSYLLDTYFPESDVDLLAIGAVAPQVFFELAAS RLQHLDSGRQEGVKGVHLVNSLVSIAELSVLGIKFDLQYCQAPLLLERCHSASQSTPL NELAFDKTLVSSLSPSSLRPFNTYRDTAYILNTVSDITAYRMAHRFLSLYLKGHGLYS AKFGYLGGIHLSLMLNRVVKLMEASRPSESGDQPRCSPATIVRTFFSYYANFDWALES VSDPTLTNEGQPARSPRDAVFIPAIHVPTARPNVASSCTPLRAQIFREEFNATSERLS REGWSLLLRPGVGVHDFLKSFPAYICLSLDVWGVDEIGGGKGREIVGALESKFPRLML ALGRLDGMYARVWPARFRNNEEKSLESDGTQFKGYYLIGVRMTGEHADLDAKKILRGK VIGVVRDFESIVKVSREFESGSCWLNTEFLAGKKIRDLNLVVDDRDWSAETGTDTIST DSNDHGTDMENVGPPDFVSRPNAEQPRRPNTTPLRMPHDIINRIKHDTAHFSAEKFLI GYEDRFEEKPREVELLKWKLEQMDEEFISLHRAVHIRRKDENGGEIVWDRRRRLDLIF GSGKKGERKGQS LY89DRAFT_643111 MHIQTPLLTLTTSLALSSASKSVLPTPSMGFNNWARFECALNES LFTATADAMVSKGLLAAGYNRLNIDDCWPLHSRASNGSLQWDPEKFPHGLPWLGQYLK DRGFNFGIYSDAGNSTCGGYPGSLGYEEIDAETFKSWGIDYLKLDGCYVDPSTEARYK QIYGHWHQILSAMSEPLIFSESAPAYFSGEDNLTDWYTVMDWVPKYGELARHSDDIAT FDDPDAWSSILTNYGYEVLLARHQAAGYFNDPDFLIVDHGNLTLDEKKSHFALWASFG APLIISAWIPGLEAEEIEYLTNKNLIEVDQDALGLQATLVSQDGTWDVLTRSLANGDR LLTVLNRGAEEGTLNVSMVRAGYADSQGQEFEVKDLWTGDVSTVTDEIEVTVPSHGTK VFIISASEGCESSLVPTGMVFNTKSLHCLTAGSAGAVGWTNCTGADAQVWQVNDGRTV SSLGNTSECLTAVNDEKGSVAMEACKSSTTQKWGYMVTGNIWSLGAKGCLTEGASGSV TLSACGFERNDQVFEMPSGSAGH LY89DRAFT_780715 MFYSETLLSKTGPLARVWLSANLERKLSKNHILQASVKDSVDAI VTPNQAPMALRLSGQLLLGVVRIYSRKARYLLDDCNEALIKIKMAFRLSGNNDIPAGL HMPSRDALMLPDVLTEGDNLEMPPMPDASFLFSQLEDDSHQRKRRAGSRDINLQEDFT GSQFLQNSIENQNDDDLVLEPMDDLDLGLDFGLDIDDFNPKADRTMEVGRDAPAARPA EEDLLSELDIQIPAKDNYDAGDRDTSLNLDFGGGDDDGIRMADDDGDVDMFNMGDQDV TLPPAPLVRPERISESPLSDMDETLAAEVEAEHQRNLNSALYEPEDETEQSVFRNPAQ RARRQRFLHADAETVIANHIIKEQQQSHDKILKPQSFLPRDPELLALMEMQKNGGFVS NIMGDGRSLGWAPELRGMLSLDAVRRTGELKRKRDSGIADMESGDDQNPSKSPRLDLG EEDDLAAGLGDVGIDANTTVGPDGTMMEMAADDGFMMNNDDEHDPSAGLDAVSPGPNF DETTAPLVHPADSGPVSLGTKHAVHLLRDRFGPEAANSPDKRKKASVLFQDLLPEATT TRADATKMFFEVLVLATKDAVKVEQQEALLGGPIRVRGKRGLWGDWAEREAGGEIAEE EAGPSSTLERSAVVAVAA LY89DRAFT_613722 MAWPRISRSASPGGSLLPMTNLPVVDTPNSEPSTRRRSIDRSRS RSRHARTSSSIAKTVADEFASLTPNETAERLQTSLTTGLSPAEALARLHDQGPNELPH EEPEPLWLRFLKQFKEPLILLLLCSAGASVVVGNKDDAISIAVAVTIVVSVGFIQEYR SEKSIEALSHLVPNHAHLIRGDQARTANPRTPSWPPSAGEAPERETLMDSNSSEDDEV KLEAASSKVMAAQLVPGDLVLFTTGDRIPADIRVTKASDLSIDESNLTGENEPVRITA DAISRIAYLPRVTSNSLEPPGSPSYASAGSGTVGADTRLNGTTNIAFMGTLVRSGHGQ GIVYATGGSTHFGTIAASVTETESPRTPLQLSMDALGSQLSQASFVIIAIISLVGWYQ GKALLEIFTISISLAVAAIPEGLPIIVTVTLALGVHRMARHNAIVRKMPSVETLGSVN VVCSDKTGTLTMNHMTTTKLWYFDAESPIAVDSDDEATEGKPDAVTLRILRIGNIANN ARLNRRYAHTQTASSMAVLSSTGTDNHALRSRWVGQPTDVAMLDLLDRFKEHDVRDRL GHRLGETPFSSERKWMGVSVGDLNSDGSSSSKEVAYIKGAVDRILSRCDTYLTKDGRE VVLDAARRKEALDAADNLAQEGLRVLGFASGAVPKHAKSLGHSISRSGTPTTKTAEPL VSHNEDVYRGLTFAGLVGMSDPPRAGVTKSIRRLMRGGVKVIMITGDAETTALAIGKK LGMTIATPREHTASSVAVKPVLSGHEIEEMSDEELEAAIANTSIFARTSPDHKMKIIR ALQARGDIVAMTGDGVNDAPALKKADIGISMGLQGTDVAKEAADMILTDDDFSTILRA IEEGKGIFNNIQNFLTFQLSTSAAALTLVFFCTCLGFKNPLNAMQILWINIIMDGPPA QSLGVEPVDPDVMTRPPRKRNAPVLTWPLIRRVITSATIIMCGTMGVYYKEMLTDGEV TKRDTTMTFTCFVLFDMFNALNCRSESKSVLRGEVGLFSNTLFNWAVSLSLGGQILVI YFPWLQEVFQTEALGPFDLIGLVGLASSVFWVDEARKYWKFREKTSRMLGTRYSQVV LY89DRAFT_683599 MRVSIVLSGLLGFGRLVSSAYTNASVAFTYNRTSFLLHGESYQM IGGQMDPHRIPYQYWEKRLYAARAMGLNTIFSYIFWDQVQPSQDTWDFSGRNNIAEYF RLAQEIGLHIVLRAGPYVCGEHEWGGYPYWLSNIPGMVVRSNNAPFLNASKVYLDRLA EEIRPMLVQNGGPILMAQIENEYGSYGADHVYMEALRDLFYGAFGDDMVLYTNDGGYS EDILNGQIEGILAETDGGPQSGFLARDQYAYASSLGPQLDGEYYITWLDLWASNSTYD TDAGDTTAIESIVSDLSWTLNNGSSFSLYMFHGGTNWGFQNGADWAKALTPVITSYDY GAPLTESGGITDIYLALREMIVDYLGNETLPAVPKNETPIAIPEIALKPVVKLFDILP EPVVADYPTNMEKLGQSHGFILYRHTVTSSVSGILVPGDYPRDRVLVYVNQTRVGIID SIYQAPAKVNLTLEAGDVLDILVENMGRVNYGPRIPDQIKGIVGNVTMGESILKGWEM YNLPLELPSASSSMSTLELASSSLSNSGPLFYAGMFDVEGEIADTFLHLANWTKGVVW VNGENLGRYWTVGPQQTLYLPGCYLKKEGNEIVVLNLEPSEEMGAVNGVVNRLWGNNP DPDAP LY89DRAFT_774731 MRERRNKLNASSLRIDFRPFIRLLLNAERSLVVSPAQPQPHAKP CLLCFNFLRVVDLGVINGSQHARKVKAAPKRESSLEDKILDPLTALGLASNIVQTVQF TSDLISKSREYHVDGSLVKQLELEAITVNLRTLSQDLVVPGSGGVRAAKTERQLRELC IGCQEVSDELLVTVQSLKVRGFHNTWTSFRQALKSVWKEEKIKTLEERLERYRRQIDT TLLISIREGIDRSINSLSKSERDCKQIAFAPDSGNGVKQWQSELLNKVHQSDWNLHAE RRMIQFSTRLSASAMKDRDDLIKQQILARLHFDSINDRAERIPKAHQNTFDWIFHAPE SSHDLASHVSGNLTSDMGTTQPSSARSAVYPLWAGW LY89DRAFT_774733 MEEGYQVRNARLTTFWEEKRVILICFFIGFAQFQYGYDSAAVAG FQSMAGFLRIFGYPDPEITIGYNITTKVQRLIQSLMNLGGLTASIGIYALGPRASRRV GLWIACAIGVIAISIQIGPTTLGALYAGRFLLGISNGFFMVFCVTYMSEIAPAHLRGS VVGLVTFHTSFGALIGILIENYTSTSLARKSYQIPLAIMYVVPICMAIILLFLPDTPR YYISRGKHDSAVASIRKTRGINDMDRILAEVADIQNTWDQEQELHKDARIMDMFRGCD LRRTLITFGCAVGQTATGVTFLAGYSVYFYVQARIGSPFVWVMAGLAIALSGNLAAFP AMRFFGRRPLLIGCSIWSSIMMFGMAIVYTKAANGSPNAGKALVAFSIIFTWTYGLGQ GPVLWAITSEIPSQRLRSQTVGIANGINFIFGWLVAFCTPYFINPTSLNWGPKYGYIW GASNLILAVWVFFFIPETKGRSLEQLDELFEKRVPTWKFASYKTEHHIVDADKVFEDP SGGEAKVCEKSFVVYARAVQSSLSYRQFPTVMITSIPNIG LY89DRAFT_557500 APRGPPTVNLVLATLAAKPHHWTESLTIPSLAIIPYIADDPNAQ YHPPANKGNEAISYLTYLHDFYDILPEISIFIHGSDSSWHIDGVLAHSTKEALNTLDL EEVRRRGYLNLRTSWANACPVWINTSIQIGDERYDEKLREEEPFMRAAIEAIFPNKKV PMALASPCCSQFAVTKERIRRIPKEQYKRAIDWLMSTELESKVSGRIWEHLWHWLFLG KDIDCPSEWRALCVWYHLCFEDEKD LY89DRAFT_582166 MPPNGLQNGNPISATYGETPTASNIFESSSLASIRASLSALHSR DAAITSRLQTLISSQADLSRELGRLDLLRAHLGTQVIHTRDISNGMLDSAAGTASHLS SKVKELDLEKKRVEETLGVVEQVSELKACVQGVVGSMGAPQDWEAAAGYIARASKIPT PIVEGNFAARIVPSVEVPDAPGITIENAKESLCGLFLREFEKAAEDGDGTKVTRFFKL FPLIGREETGLEVYGRYVCQGVAARARTSLREGTKGSAGKDGFFYANALTRLFEHIAQ IVEGHGGLVERHYGEGKMVKVIERLQVEADVQGGIILDMWGDERHVDRRLMDVKSYPF SFLVQSFTAAPRSTGVLRTSSPAVGGGTNGRVSEDEGVDMKEIDGLLSETAVMLGRWS LYSRFLGGKCREKDPKPEEPLLMPELLVKSALGRKIAARLTSPFNEMTTFFLRRSVEK AFQLDESPSGLSLDPHKPLGGNPPFIISAVDDVMYIVNTVLQRSLSTSSRDVVASVIP TTGRVLGSEFIGMIQRKMRDESYPKAIVQGGLPPENKIIEFLVLINSLDVANDYVSRI VTARITPPEPPHGGKAPNSLVEMFPFNSDATFVTHALQNLQISFASKTSELLSDGLHV MFDRVIKLRLRPVIVEIFRDVDYSLTEEDLAEIARNNDTDDDPETLNDLVERRFEHSW EALMKPVQRIMTAKTFSSLLDQTAKYLSRNFEKRVWNFAGRLNGLGAQRMERDFSGII GVIARGGRYGVRDAFARVAQICMLVNMEDEEWEALNEAEQEGEEEMAWVLSEDERRRA RALVRG LY89DRAFT_774743 MRTKEFLAVAGSLGLAGAQAPPVSTLSTTMSGVLPYLPVSTGFT GVETIEGAIVYDGPIVDGFTGPGGNATIQTNNPAATYMATLPMTQFDDATSTTIMGTV TGMANSNGTGVMFYIDFSGFPAESEYGPFVYHIHNMPVPADGNCTATLGHLDPTDRGE YHPCEDTQPETCQAGDLAGKHGNITSSTFNSSYLELYLSTTPGSPYFFGDKSVVIHTS NTTRLTCANFAMVSSTSANSTSTMSGTATSTSAPATYTGAADKIVGSGVLGLIFAALG AVIL LY89DRAFT_502401 MHLSSTLSLVFILPLIYAFPFRTQQIGRAAQDVRPGNYILRYHD YVSDADVLKHEAIIQAKLGIGCTTIYNFNTFKGCHLETDPAGLQAVASPLILSSEPDS LFSISPRPAPLPPVTVRPNSSISTSSHDMSTETGAPWGLGRISHKKAGNSSYVFDASA CAGTTIYVIDTGIRITHVEFGGRARYGASFVTGVVLPADDHGHGTHVSGTAAGSSVGV CHGAEVVAVKVLDSTGFGYNTWIIAGIQWAVNVALSRSNTTRQSVISISIGGGYSPTL NAAVTAAVAAGLPVSVAAGNNGEDSSLFSPSSAVNAMIVGATDISDNKAYFSNYDKGV SIWVPGVDVLSAFNTCDQCYFWYSGTSQATPHVAGLAAYLMTLDDLSTPSKILSKIRS LATNRLIVGSLGGSSNKLAYNGNGA LY89DRAFT_732255 MDDYRPQDEYFEWDDIMVYKGPTGDYDNLHDSKISGYPKTLGRS FDVDSSDSVGEDFAWSDVSSLSTTPSSLSSTDSLSLCSLKEDKTDAEIDFPSYDDATT CQSCVTISIDAALALTAPPSPILEPVSDPLTGALEDGEPLSRTVSRGEIHVGARDDFA LNIQPFRNVNYLTHDWCEEDIPSTWRYVVSRRSDWAETATRRKSIWKPFNNIPRYENA LWRSWAQRRFQLKTVSPDVINWMKSSDETTLYGPFMMTADEFAPATSVLADCGRKTKL RSKKASKPLLKRPAMSEILLRGSIPTFLSTRRSKGETHQTAFQAKPSYDPSVACPGIS ASQFQEMTSVARGKLGLLRAKKSVRFLNEVEQYEIIKVRVSSKNRRTLKKVASTTLDK AAYNLPAPIKTVNHWNPDSLWSYNPDNSPEKEISDEPWMGIIGEDEDATLCPAPIRIH KQFPAVPDPPPRSKHHARKAAISSIGHGSLEKHLSNLKYEEEVEDELDYLIAIASPQG SLSPPTSDSSSSNSSSSEESLEFVSDEDEFPFFGTGDIADEKARMGIRGIGVRIVNGE ENEVERELYREMEEYDLVLG LY89DRAFT_502645 MPAGVGIAWVSIPVASYLYGRVLRQTEKGVWERRRHVTTSELGL HMMEDFVRCVYSSRCWKEKMLRSLSRVGRMGGDCDSR LY89DRAFT_502820 MSEATATRKPELLYSVDDASLLTMQSRFDMSKERLLGDDNDYLP PKSFAAAKRKKCHRAFNQIAMVVLGITICCAVYFGFNEAFNFGHVVDCTEEPSNVFQF SVVLGNYTMTQAKFLDVGFNVGGRVVQTIMAYVSYLSITAMLMRTMERTPVSFALYST LTIQPCDVQTLWITMQAFFYLPGLRPRLIMLWAFLSAAFVIAIPTLVDLMSGYVPKQE PYIAFPDDTLIKYTLNIDTLQALELLHGNLSDVYAPPTFCVHTQDHSYQWGVSAL LY89DRAFT_502650 MEVGENGENGTNGEMQEHEVAVGPAEEIELGREEKRDVNSEDYL NSKLKFEDDKLLDGDANGVMMAWETGIMRKTVDLLIPDLKPGARVLNIGFGMGIVDRM FRDTKPKSHHIVEAHPAVLEKLSEEGSDFGKAWEESAPEGGKYTVHAGKWQDVCPKLL EEGEVFDAIYFDTFGEDYSQLKHFFTELVPGLLDGDGRFGFFNGLGADRRVCYDVYCR VAELDLCDAGMDVDWTDVEVPVEGMEKEGEGEWKGVRRRYWTLDKYRLPICTFLG LY89DRAFT_502572 MNPTWCMAFPTGYETGTYLALDMGGTNLRVCEIVLTDEKSEFDI IQSKYRMPEELKSGTSEELWEYIADCLQQFIESHHAGQKLESLPLGFTFSYPATQDYI DHGVLQRWTKGFDIDGVEGKNVVPMFEAAIAKRGAPIKLTALINDTTGTLIASAYTDT KMKIGCIFGTGCNAAYMENCGSIPKLAHMNLPPDTPMAINCEWGAFDNEHKVLPRTPY DIIIDKDSPRPGQQAFEKMIAGLYLGELFRLVLVDLHDNKEVHIFEGQNIDKLRKAYT LDSSFLSLVEEDPFENLSETGDLFQNKLGITTTHPELELIRRLAELIGTRAARLSSCG VAAISKKKGYETCHVGADGSVFNKYPHFKQRGAQALREILDWPAKKSSKEEDPIEILA AEDGSGVGAALIAALTLKRVKEGNMAGILHPENYK LY89DRAFT_696199 MKLLATAAAVLSFASTVLCAEKGNLTKPLTSRLILPSNFKPPQN FKNVNLVHIINLEKSYPKEQINVLIENTAKDAQDEYFIPFTSQQMATIGGLEVKDRKD PDAGLFDAEAVEFDSESDTQFYRIRLPKPLAPKTQQTLGISYSYLSALEPLPKHVGQQ ESQYLVYTFSAYCPSTYVTLKQKTEVKFPSTNVPEYTIVPGSKGESESPQKQGAKFTY GSFGELPAGAVEPVKVRYEFTKPVIHVSRLERDIEVSHWGGNIAFEDRFTLHNHAANL SKNFDRVQWASQQYYSPPSTAIKELKFPLRVGSQDPYFTDVIGNVSTSRFRSNKREAN LEIKPRYPIFGGWNYPFRVGWNADLKKFLRKLKTGQGYVLNVPFLEGPKQPEGVSYEH IELRVILPEGAENVQYSTTVPLVGAEVTLHRTFMDTTGRTALTLTAINIVDDFRDREL IVTYDYPFLAGFRKPLVIFTAFVGVFCAAWAIGGLDVGIHGKKK LY89DRAFT_717266 MHHSILAIVALIASTSHFTYAQQLFATQCYYKADNQERTSTGGP CGIVTPNGNFSSCCAVGDTCLADGLCQYSHAKPAAPNVVSTYYVGGCNDQSLTAQACN PACNDLDLTDIVYNQTTKTWHCCGVTNGIIHCDSPTQETFKAPPPRSLTTTYTVPATA VTGAVTSTSSTAATSATSTPSSTTTAAKSGGLSNGAEAGIGVGCAIAGLLVIGFIVWF LMRRRRQRREATTGRHSEAPKAGPFTDYKAGGGVGPMNGYGNASPSYQSAYTPQTPAP QYASPQGYEYNSVSPLTAGAMAGGGVYKNVSPAEGMSARLAGGRIDGVGANGTPVQEK MSENVASTQPQEMEGGEETNGIRRGRSVRSCNRRFKLTF LY89DRAFT_667779 MGLLLRQIAILVRKDLLLLFSGPKSRLSTLIRALWIPIIYSLYM SVIIKVYWPKEKYGVGNLHQLLSLQYAMKTATGGRDTVVFVNNVSLGGDIDRVINNIA TPIRASAKMQSFSTIHRIYFSTGGAVSLPSTVNEYPFTSVTQKACTARITTDIQRATT NHLSVVWYIGFVGIMYQLVGLMAKEREIGMSDLVESMMPNVRRWECQFARLIGHFLAF SIVYAPGWIVMGVIAKHGLFLKTSGSIVVIFFILSGLALISFSILGASFFRKAQLSGI TTVVLALCLGIIAQIESKSLDSATVVILGLLFTPMTFVFFLSAALRFGFNQEAAKLGE SAPGTPWTLHIGVLWALLVMQIIAYPILSMYVERWLYGTAAQRSKRNVSWGGIESSTP VRLTNFKKIYQKSGIVRFAARMFGIRLTPVIAINDLSLTALQGQILALVGANGCGKTS ALNAIAGLNSITDGSITVDGSGGIGLCPQQNVLWNGLTIEQHAKIFHKLKTTSAATSN DEVCGLIKKCGLEGKRKSRARTLSGGQKSKLQLIMMLIGGSRVCCLDEVSEGLDPPSW RRIWDILLAERGHRTFILTTHFLDEAEYLADHISIITKGHLKAEGSTSELKARLGSGY RVLVSPGTDGDANGNLAELSRKNEDQNVQVSRDQEFPITGPTIEEVFMKMAIDTSDDS GTDKVEHIEILHDGHHEKIATDIDATDETALLTGHRIGAFQQIFTLFRKRVTVLRRSW VGTFATIVIPIAGAGLVSMLIKNFRNPGCNFADQISVSDIETLSMSLTPQIVIGPQSA LTLQKLELFSRVLPNITQGINKSAIIQGIHVVNSLDEFLNFTNSNYSTIVPGGIFLGA QGSVPTYSYRSNLGVLGVYAAIFIQNAMNVLLSNQTIATQYGLAAYPAFFTLYPTAES LRGVRALQYSNGVRSLPLWSAYLTFDGVIVLFISVIVTLIFATAAPHAWFSLGTIFLC LFLYGITSILLSYVISLVARSQLSAFAFSAGGQAGSPPVKATTPGPLPLFGAPIIYLI CQSLSLFGILVWSDHGFSFPSFRAGSVEIDFEDTSTKEPEVSDEINRVAKSDDGLRVL HTSKTLKTRASGKTKVVDDLTFGIKRGEVFALVGPNGAGKSTTNSMIRGEIKPDHGNG QIFVENTPVAKDRDAARSHLGVCPQHDPLDKMTVLEHLCFYAGIRGLSDIEQNVDNLV KAVGLAPFRDRMASKLSGGNKRKLGNPDVLLLDEPSSGMDPLAKRSMWTTLSRFVPHR SILLTTHSMEDADALASRVDILARHMLDLGTTQHLRAKHGYGFHVHLVLKSAPTTGLD EMENLKEWVEGKFKGSEM LY89DRAFT_780730 MNTNAENHTMISNIVTVGASTKNGLLPFDIWSMVIDQLWGKARE YKEDSKGGETMWVQDPLFQTWLRELRTVSKYFNKKITTLVYEKVVFTNDKLVRYDPMS LQEKFKADTIQYAKHSSFAPHLEESYMTEVIDVISKGKHVVEVQWNIGIQHTDVSLMC IQASLHAFLKRTVRCEVRSRRFSLVPGGQDYDNHQVRFIATDLSGKLMKRKTLYQDSR KLEDPPQLGLDSSVFDPLAIAPVLKLHIWCVWMMPIFITSHACPIFRQLPAVSKLNLK NYHWDHTAENYGQIWDFSDLQDLCLHGIVLTKFFLTVSLEALAKLRRLRLTAANYPTL FGNNLNDLQAAIRKLLGEYKQLEKLKLRVKRWQEIFPPLLICEFGQSLVYLSLRGWSY AGVHLSLQELRRIRTACTNLHTLGVDLPKLKDTHEHFLQNIGRFDTATTIYLYVVGGF EANGVEAGSTDPDYDQAMVLLPYLKSCKYGNSLQCWQVEAEGCWQVQRDGQYLEKRGY WLGERCFTCNVNLKGDTYTTGSKRIDEFIDHEEHPSDDDSDDEVPGEGQDDEDLSDDG TDLEATDIVEGGAAGVETTAELGGN LY89DRAFT_732264 MEGRSTPRRVAVHMPKKDVLTFDIWHLVFKEIWIEATTIGNYWK TDCIEDDLRLWLQNLRTVSKDFNKIITPHVHHTVRISESHIIRDDPENIYRLFKANVL LFSNKIILTPSRNVLVLSEMVQIVSASRKLVTTRWDLKGNDINAMSRCISNSLQEIMK RAVHCSIDYRDKCFYIEEVGQNGIPIERKSSYTNSQLAQQILPSTSISETGEEESSTI QTLTLKDACLNWESESKKILYNPMFQRILPMTELSLTVEGSDLYCFLKTIPAEDLKDL YTFEIVSDEHNWDIQGSKAPLVKLFGKFERLENLKFNHERWQELLPPSSICRLGANLK RLELRDMTWQDVPLTVQELDHIRVHCPDLLFLAVNWASDSGEKQNFLEILCRFEHVCE LVLVTSNGVQLSNSNQRSTDPDDDGATIIFDFMRKNKYGIPITSCMVEVERALHVRAT GEYEDGFMLDGIRVFKFSVRASGHTERSGDVRFDTFAVSGDGEDGDNLANEEDLDEDM DGVEGDESEADETEGDEEDSDEEDEDAVDSINF LY89DRAFT_643143 MDKDKKNYEVNTAPSTSSYVGVSEAMPQKVPLGKQILYHLWDAD QQLKSPQERALVRKLDFGILICSTLRWSMKYIDQSNLTNAYVSGMKEDLNIEVNEYTY MLMCYTIAFAIMQIPSNMIALKVSSRICLVVCEFGWTAFTFAQAAAQNSTHMYAFRFM IGIFEFGFSPIIIFLLGCWYTKTELAKRIAIWHITGFFGQATSGFLQAGIYSSLNGHL GLAGWRWMYIICGCMSVPVAGSVWFLLPDYPHNTKAWYLTEDDKRIALERAAKQGKAE VTGVMDLKLVKRMFGSWRWWVLVLMYIFYGNSCQANNYFAIYLKAEGYSVTQRNVIPA CANIVSMVTDFAWGFMSDLTQNRAWWITGPLLFTTVIGSSTLTAWPAADAARVAAFFF VSRGYVTAVTWTWANEINVGNAQERALTISSMNGIFYATNSFLPILIFPQTEGPKFLK GFPSILAFALLAIILVFLADYLHKRQLKQEVEAALASPPSEATDRDVSNEG LY89DRAFT_683614 MSSLHVDQVALAHEVCRPNVVKHLMLENKLAHSFGLRVAFSTEI PLIAKRAGYSGVLMNLEHMAMSIESMKDIAVSCLNVGITPMVVVPTCSSEWISRCLDS GAQAIIVPHVNNVEQAKLCVNASKFPPLGHRSVTMVTAMTQYTTQLSYAAIAEVENEE VMIMPMIETKEGVENVEDIAATPGIDAMLIGCADLSMELGIPGQYDSELFHSAVAKIA TAAEKASVNGRKVFVGLGGLEPRPDLLEGFAKKYSLVRYAMAGRDLAILLAGVNKQAA ATNEISTRL LY89DRAFT_774811 MPRSKGTLTESNRGALSASKPSSNAALMEKSLPNEDAGSFPAKN HSGMKRKREERALEKSVPISGSKKKRARDTTKMKEGSRFATKDNSKLQALLSDRGLST KGTRKDWIKRLESSTMDHASLSTNKLGQMMQQRKMQAATSYSRANRIERLKLNDEYYR DTGKPYDLLLEYANQDETLDDPNAREKLCGMQYLYEHEEVLETKCVERKMVGSGPKSA MVKFLDTGVVEYGDLYAESLQKMCKERGIHSRTKSPRRVLL LY89DRAFT_503129 MATDLCGPMATSGLNEQMGECRYISVLTDIYDINDPDTVLKHLL IQASLEEGTHFYPRFGTRTEKMAACAPMNCKLGHIPMSLMAWRYIGDGTSLSIQVAGR VDLARMPHGHPQIFHPTSSNVVNRVLTIQKSPESPQNLCQVQKSESKAPNRSSEITIR QNRMLPWAIFVVSFLLSLFVGVFYTVSPSHQRSMGDAFTLAGWVANIGSLSLTALAMS SALHLLFPNEKKRICSALQT LY89DRAFT_780734 MSSPSHRRSQSGTPRRTSQRNAIPSSPPDLDPAAAQLQNEAASS QSNGTPRRNVPLSSPMNYRSSPAEIARMNRERDVSSPLRQMSNTQTTQDGERTPRAST TGLLGESSPIRYASSSVNGSDAPRQQSILPDIRSDSSGLFVRSSRSAGPGSSINNSRR GDINSENINTPHSRRRIYMDESGRVVREVPGDVSEAPTFSNMDPTTSDAQAMGGNSTL CIWGTNVSINDTLSVFKEFLRNFTRKYRMWGDGLSEEETREDPDSETKEYVQMMQNML TLGITSLNLDFRNLKAYPPTKKLWQQAQDYPQDIVTLMDQGIKDVMYELAEAEMARQR QSQTPAQASQRSRVMSSEPPVPSSDREEPEAQSPRGQESNELDLCQEVQKRSYRVRPF GLDSTVNMRELNPSDVDKIVAIKGLVIRTTPIIPDMKDAFFRCQVCNHTVKVDIDRGK IAEPTKCPRPICASPNSMQIVHNRSGFMDKQVIKLQETPDSVPPGQTPHSVSMCAYDE LVDLCKAGDRVEITGIFKASPVRVNPRQRIQKSIFKTYIDVLHIQKVDKKRMGIDTTT VEQELSEELSSNIEEVRKVSEEEEEKIKATAARPDIYDLLSRSLAPSIYEMDDVKKGI LLQLFGGTNKSFEKGGSPKYRGDINILLCGDPSTAKSQILQYVHKIAPRGVYTSGKGS SAVGLTAYVTRDPETRQLVLESGALVLSDGGVCCIDEFDKMSDATRSVLHEVMEQQTV SIAKAGIITTLNARTSILASANPIGSKYNPNLPVPQNIDLPPTLLSRFDLVYLILDRI DETNDRRMARHLLSMYLDDKPQNASTQEILPIEFLTSYISYARQQCQPRISSEASEEL VTSYVEMRKLGEDVRAAERRITATTRQLESMIRLAEAHAKMRLSEIVTRDDVKEAVRL IKSALKQAATDARTGLIDMSLLTEGTSASERRRKQDLKTAVLALLDDMTRQGQAARYS EVVKQLGEQSSMPVEGNEFAETIRMLEQEGSIMVVGEGARRAIRRVTGVA LY89DRAFT_732270 MLIVQDLRTKQRYWKTSEPGKNDFGPGQIIMKFGEQLRTSVIKE YQWYYIAYDELKQQLKTDWATPPSKSNPKGKRKEWTEDDESKFIGRLEQELDKVHTKQ KVKAIEISRRIAASEKEVGDVVARLDNRGPVGRDGNAQNDDAPTEEEFMMLEEDLSDI IADVHDLAKFVSLNYTGFQKIIKKHDKQTKWMLKPVFATRLKAKPFFKDNYDADIVKL SKLYDIVRTRGNPVKGDSAAGGSQGNFIRNTTKYWVHPDNITEVKLIILKHLPVLVFN ANKEFEPKDSAITSIYYDNPETWDLYEGRLKKSEGAEAIRMRWYGDVDTETIFVERKT HREDWTGEKSVKARFNIKEKNMNAYLKGDLLPEALFEKARKDGKKSAKQIDEDERLAK EIQYSVIQKGYKPVCRSFYNRTAFQLPADARVRISLDTELTMVREDNLDGRQRSGDNW RRMDIGIDYPFSQLPAEDIERFPYAVLEVKLQTQAGQEPPEWVRELIASHLVEAVPKF SKFIHGTATLFPTRINLLPFWMPQMDVDIRKPVTQHFGIRRPGHSAQSSTSDDDEDDE DSDDEQYVEEGEGSQSSTALGSSDENLNRLREAREIENRAPGNELDIEEQMAAQPLDD EDEPLYDSDDEDNESDKIAEARHSGSWMYYPLLMKKHARMAGQHIIRTVDSMRKPNPV PRNGMISALGNGKITSKKFKAPKGKKIHVPVRVEPKVYFAAERTYLSWIEISVFIASA ATLLLNFDHQPTVGALIGSGMFTLSAIASLIYCMAIYVIRSRAIRSRKAIKYHDSVGP SVIAFLFLAAFVINVIVQAHARGYIQMSWLD LY89DRAFT_503172 MSSLVFIISLIIDVSLSVLAHQISAPAPRNHSTLLVKPSSALSS SLDQLRIALLLCQSLSNTFVFGVSTPYSFLLFRWFAVLLDLRVFRKLRGLRGLRGLCR LYRLCGLCVVQAARVCI LY89DRAFT_582181 MGIVQVVLLVIVVGSIALAVLESFSKDGYWKDCEVGEEKYFRTN PIAKGEAVHVHVVRDLEIRQESNSAASFISITTDSSTISATAAAATPQRVFQVDAPVL GAGGFVFDGDNVTTSTVGASADGTTGQACSVTLMEFSFAESFGKPFVGNYTPPACMGN SNTVMMNFSVTSKGTQFDRLAIMYFGDTEAFRTSTAEPKRNGITWEYVKDMSHMMYFW KTPQKVIFDLPNQTTANLTGAYNTTLTATFFTAKQAVSPATMILPISARLGINASQPS DFSLPASNATNTISDFPRNANRAIVTLSTTGQGNEEFWWSNALQSDVLTYNASGGTLF GFSPFREVQLHIDGQMAGVQWPFPIIFTGGVVPAFWSPMVGIDAFDLKEAEVEISPWL PMLCDGNPHTFSINVVGLDDNGSTSATMSKSVGSSWLVTGKIFIWQDDANSITTGSMP TVSASDPTVAVSQSLAKNSTGANDTLQYTVSVSRKFSVSSTLTTQNSSVTSSWSQTLS HTDNGTFLAGGNIQTNFITTTGRDVATLGAATPYTCEYSFPMFANSTAVQLPNGTTTF NATIQRTKIMDTNGRGVAPSGLQPFAVIPQSADLVLTLAGTTRVDSQNGTAFLFLDNN NGNNSFSFGNTVQSLRFGGKSAAGALGMEPDVELYFRSVEVSNGSTVSDTERLVGKST VGNKVVSNGVVRRVDAGVGRNAQGVLMPGFGGDDG LY89DRAFT_774826 MKKLAEVDRVEWTVSHSFFANMGGFVGVSNSPIHLSSPRLAPEN EQKPPVVVEMDAVHPGNDCAITIEPSPDRCPPSTRIEEFRQVLFGECLFEMREKGYIH RLPDVTKDEIMDKSKDSVFVKLLTFLQGCWNILQVLLRIFDGVEVPLSPINYSGIAPN LPEHERQKYCKDWIAKQNSSSTKSFREILPDRLRNQSLEHFEWTHVPNDIYDEKFKYR AIYGLAFGAAVSGGFHVAGWDLEFAKPIEQTLWQNASIFITISITLWAIVYASFLARE FINLRGRDMQRQIQKLDFVVAICYVVARLILLVEYFRGLFFLSPEAYISTWVTNIPHI D LY89DRAFT_667789 MYIHQSNPQMLSQSTSITMSNTTIVIAPAKQLSEIDIINVDGNG VQPLIVTGVMLVLALVVICIWNGYHIWKRGRSSSRNYTFTSTDPYSDVWSFDEEVGVG SGVFSDMVNDLPVITEDIELENFGGGSQ LY89DRAFT_667790 MSAEEPSPDEHAVTVISDAIIEANRIQNQTSSNDTTDNIPDDQE VHEIADAIIQATEILNQNASVDVTNLNVTDNSLNCNNCSMRCIPAHKSPLRDTAWYWY LIIGLLLCLVAMGAGLGTWIFLDERKTRKVKKKRAERRASLAGPTDR LY89DRAFT_683617 MAEQQFSSLPGTTHNFASCINKIIRNIDTVSNVPKPLAIFLLLG LLFLLICHIVITLYLVIFLTFRTRHELKKQIRGIQTSLGLRDAGKEV LY89DRAFT_717275 MLPPPTLTFTIPSIQDNLVLQCRVYHPTCLAPTSVSQLEEWQKK AAIVAHPYAPLGGCMDDPVVDVIATLLLKQGFVVGTFNFRGAGTSKGRTSWQGKSEQQ DYISFIGFMVYYLHQLSPPTLPHDMPNFTLSDPELRDLSPIPSQIVSPTRKRSFPNFT TSEPHNENHNPNLPSIALDREATPEPSTKPVLLLAGYSYGALITSLLPPILTSLLTPF QTSSPGSAYAEIRLRSSSLAASQNLYIKSTISSLLSTHRRGRSLYADSLASPKLRKSS GGVRMGGEEDLRRASHESHRSRSSFAVEAEEIVRKSVDRVRSIGKHHRNDSYEKRFSP RRQNTQGSVTSQKSVGGESVFSNETDGGGGGEEEDRQVEKCKPIPALGQDIQTAYLLV SPLQGFVHGLATMFSAKLCAEHEVKLTVDPTLAIFGDDDVFVSIKKLRAWAKRLNGSG SGKEESRFRFVEVGGAGHFWHDRGAVRILQDEVKGFLKAL LY89DRAFT_503368 MATAMDHLSSGSERIAWLSNLNTEFKPTKNYRRTSIICTIGPKT NSVEALNKLRTAGLNVVRMNFSHGSYEYHQSVIDNTREAEKVQKGRQVAIALDTKGPE IRTGNTTGDADLPISAGDEMNITTDEKYITSCDTKNMYVDYKNITKVIEPGRTIYVDD GVLAFDVLSIDDEKTIRVRARNNGKISSRKGVNLPNTDVDLPALSEKDKKDLRFGVKN NVDMVFASFIRTGQDIKHIREVLGEDGKHIQIIAKIENRQGLNNFADILKETDGVMVA RGDLGIEIPAAEVFAAQKKMIAMCNIAGKPVICATQMLESMIYNPRPTRAEISDVGNA VTDGVDSVMLSGETAKGNYPTEAVKEMHEVCLKAENTIAYVSHFEELCNLAERPVSIV ESVAMAAVRASLDMNAGAIIVLSTSGDSARLLSKYRPVCPIFMVTRNASASRYGHLYR GVYPFYFPEQKPDFDKVNWQEDVDRRIKWGISEAMKLKVLSQGETVVVVQGWKGGMGN TNTLRVIKAEADLGIAKLEG LY89DRAFT_582117 LGKVAHYAFDAVLISTILAGMKRSTGLTPSFKKDSVSENKDVQV WIDKYLGVGEWVMDQSVALAGSSSWFERKR LY89DRAFT_780739 MAHNYILQVTAGSSYDIKHHQIVPVNQPTPITITSPHLIASLNV RIAQYRGLPPTSPATSPYFTQHPKDQYSISFAFTLNEDVNGDDLVFGNDFDHPIRDRL PPGFGTAFKIVKWVVDPGLDGDVYADKPYLYGAAGSSVNALWVGGKSDEKGEKEGEDG GEEKVWEEGGSEEGMEVRHEKGVPDSEAGRKKWFLGEENRKSWTWEQGRCYGVDFFNP YLDFNGISLSHSFPCPLPSRNPEIKKGKNTDFALRLPGFTLPIMKYWDGQGLRYVLKN RKTDEVLLVVLFTLYLKEDVGEDGVVKDGVEGGKPIALLGEGERERHRRAIFGTDGEG EESSGEEEEEDERSDGDEGKEKKVEVGGNGDDDVD LY89DRAFT_503558 MASASSSSSEDPYPMDNDYEWLHGRVDAGIEDSINLVESVPVQM PAIEELQQRNLINVSREGTPLPSSPSCPLPGSVKPESNRPSYLLALPLELIDHILSFL SPLDVVATSATCRRLASSSKSDLVWQRHVQENVPGVKLTSPSPCSTFRELYMSHDPHW FLTKYKIWFSDYFLTGKIIITRFNPNGRSGNPDTRGCIEGYQLIAERDPPSFVPWEID DEVLIHSFTPECRLHKDVPVLQLNARRPLPKTSENRFNRKIRMGLNEAPDISGVFSEF SLTSPVVPTSNMVLWPPSTIPARHRVRNANQESVAGVDSRPTTRSQVSNQAFRIRKWM EMGPRSRNPGMHIGEEVYTYATLDPKLYTPTEEKPFRGIWVGDYSGHGCEFLLMNQPD NETPFDESSVVRGEDETVEEWESRKREERIYRGSLEAIKLTGDPNVPRGEYTFIADDI SKKGLVRKANDARFKGARIVQSRGHIAVRMFRNDKYIESQLIMISHDRLAQYWVGFGH ISFYERVDLDKFIDPANDPLPTPKPVNK LY89DRAFT_503574 MDNLQPPDLQISIIPRHPDVQYPHYRKQKKKNFSSLLFSCCITH HITSHTSSPSDIATPPPAQPSPLLAVDRRALLPGTRVGLPTNLHLSICKSVSTERWTV SGTSWPWAGNRILFFFFLVFAPLIFFGLFCGLDPHI LY89DRAFT_582240 MQPPVHVTKSSELDAGTGGQTEGMLRMGAIVGRSDKICASVMLA KPHTSSAVHHHGEQDTIVYAASGHGSIVSEAERKGKKRQPLSPGDFALIPAWTEHQEI NDSDSDVTWIITRSGGEPVVVNLEGWGGGVKIMKS LY89DRAFT_613780 MSTSNLVNAHFDDSEDEDDNFNPAPADISDNEDAGGSDHDDDAG AQIRNEAAKRRVDDESDDEMSNSKSRLVEEDGDEDDEDAEGEGEDTAPGAHDDDDEED EDDEEEEITGHRRKRRRERRNQFLDVEAEVDEDEDENDDDEDELNEIKDNFIADTHPD DLADLPEGGERDDRRHRELDRRREMEASLDAEKQAEILRQRYAPKSRMGRAMGDSAVV PKRLLLPSVDDPSIWAVRCKEGKEREVVFSIMKRIEERMGTKEEVAITSAFERGGTTS TMKGFVYVEAQRQADVMAALDGMMNVYPRTKCLLVEIKEMPDLLRVTKTPSLEPGAYV RLKRPPKYAGDLAQVIDVADSGLEVRVRYVPRLDYGLHDDANAPQDAFGAKRKRATAG PRPPQRLFSEVEAKKRHAKYLQGRSDTKVWNYMGDDYVNGFMEKEVKIQQLATKDVNP TLEEVTRFASGAEDGTENLDLNALAASLKASTANASYLPGDIIEVYEGEQKGVMGKAV SVQGDIVTMAVSEGDLRGQTIEVPVKGLRKRFKEGDHVKVIGGSRFRDEVGMVVKISD DRVTLLTDQGNTEVTVFSKDLREASDSGGSGSLGQYELWDLVQLDPSTVACVVKVDRE SLVVLDQNSQTRTVLPSQISNKLEKRKHAVATDKNGSEIRLDDVVKEQGGESRSGKII HIHRAYLFLRNAAQSENAGVFVTRTTTVATVSAKGGRLVTATGPDLNSMNPAMKRNTG TNGDMAPPKTFGRDRSIGQTVTIRKGPYKGLLGIVKETTDTNARVELHTKSKTVNVPK DALGFKDRLTGQSIDPLSRGGHGGSRGGSYTPRGGRGGLGSATPGGWDGGRTPMPASG TERTPAWGTSRTPAAGANGGRTPAWKASGDGGRTPGWAADGSRTVNPYDGSRTAYGAG NRTPAWSSGAKTPAYGLQQQQDGFNAGSKTPGYTGSGDAWGSGAKTPAYQPQAASNDN WNAPPPANNWGSNAYDAPTPGAHMSAPTPAAMNAPTPGAYSAPTPAPYNAPTPAAAPT PAPGWGGGWGAPTPQAMDAPTPGAQQYYAAPTPGAYGMPETPAANWQDDGPRYVD LY89DRAFT_683624 MFVHKTLLQPTLFTLNILPIRSQQLTTHQARGKHQNNLTLTKPP FILGLEFSGTVLSSPPSSPFRPGDLVLGNAQGSFASHISVPPSSLHHIPPTFSLLSAA GLAATAPVSYRALVLRGKLKKGETVLVHAAAGALGLMAVQIATAVGCRVIATAGSKEK VDVAKRFGADEGLDYVEDGDEWWERVLELTGREGVDVVYDPVGLVDKSLKCLKQRGRI LIIGFAGTEGNIEKIAMNRVLLRQAQVIGYRFGMTDRIDPAETAKIWDGLNEMCEQGL LRPTVYEKEEYVGLESVVPAMKDLASRKVWGKAVIRLDGGERPKL LY89DRAFT_643179 MPPFNFHHHSHSHTASQPENAHLPQGTASGLLQAAQNLGSFGAP SGNLNALSSPNADRVTTASTSTSNTNAGGHLGAPSSFPLQHSNSTATAPSAGGLMNQS QLYETPRRRHPDELHLTSNTTNMASQRGALSPRDYTPAGPRISLEQSTPETSQYQNAG QLPGSLQPGRPGPLSANTAPVVPTVPLAMAQEQYSSPSRSSALGLSHNYTRSSPAAGF DSQGYAPFTPTTPSGDQGHFASPTNQKYTPQNSQRTVSNTPLGLADIRPRADSGLSDG LPGANPYSYDGANAVPTNSNYLAPWAIYAFDWCKWPAQNHDAGKVAVGSYLEDGHNFI QILDSHITPTPPESYVPGAPKYGLEFVKIAEATHSYPVTRLLWEPPSSQKQSTDLLAT SGDHLRLWSLPSETPVASPGNSITRSANHGRDAPASKLTPLALLSNSKTPEHTAPLTS LDWNTVSPSLIITSSIDTTCTIWDIPTLTAKTQLIAHDKEVFDVRFCANSVDVFVSCG ADGSVRMFDLRSLEHSTIIYEPTAKDDKDGSPGGRISPTLAQQTMSYAPPLLRLAASP HDTHLLATFSQDSNVIRILDVRQPGQALLELRGHAANVNCIEWSPSRRGTLASGADDS LVLVWDLLNQNSALNTGQSMNGAPVPDNTRGPTASWQCDYEVGNLSWAPHSALNNDGG EWLGVSGGRGVCIISQIT LY89DRAFT_503669 MSHRSHSLLLLPPPPSPVSSASLSAAYRPAITATLTSLKSISTA TELLVVLPCPALHRPGQTPRSEIYVEAQKLLGGVYSLICIVCAKLGVDVETSTPGSVD VRLLLLDHDLSQTYANNSETAFNPSISGPIIDLPTFAYTRRHWNIIYSVDGEEGQALL AQYTNLANRNSPPLSGHMEIVGGGVSLVQKQPVLDTPDRLVTSIPHHVIAVGGTFDHL HAGHKLLLTATALLLQPRGSSSQPEGRIIVGITGDELLKNKKHAEYLNSWKQRQEDVV DFLLSVLFFTRSNLKDGMSTQSFDKPVVNGRAIHTKLVASSITIECVEIQDPFGPTIT DESITALVVSGETRSGGQAVNDKRLEKGWKALEVFEVDVLDAEDVENSGSNVDDFSSK ISSTEIRRRLAEAARLSSL LY89DRAFT_732284 MLKHLVPYDWRKLLDDIFYRILMHLSEANLPPHTPPRTNFLICS LDPRTRPSIPEHLLRACPSIPRKTLAEFSILNRGIKLKYEKKFSPITKLSNEFLMMIL DRLSSHSRELVKPDHRASLSVESFAPIPPQIVYDAPSIDHFSRTCWKFLDLGRQHLFV RISIRFSSEGFEKLRNIAIRPLFALNVKKFSYKIPRFYSQDRVQFERLLREFREPVQT ASIQNLERGRNQQRQESRLTSEDHNTIIETMLRAVDQREVIESSMDETYLESALAKFR NLQQVRLMRVQDKVDTGWARFLNRHPRYSDEFGAEDWAVSCEHAAKTLSSVLMKTNNR QLARFSSRFMEPQFPLVITEPLRLTITAFAKRLRYLELEFVDERSHRQERMSELSELF ETVFNAAINLQCLHIGFRYRTSAPLRLLLHEVRFRELKHIGLHMWTLDSDELIELLRR HQGLRSIRLRHISLKQSLDEKNWTKVLQFIRSTFPNLKWISLRGIGYNLNTSATTHPM GGLNFAPAFQPHPLTGNNDSDNDSVLSNFATNEIGESDEDETTSQQHEDSDHESASDQ HDTDGPGETEADDNHDDNDSDLEEEHHDLSFEHGFTAEESLLANYPPRTESETSLQCE CWEYGWDLLDDDGVSVTEDQWRKWQKWVEKSCAIHDTRPHT LY89DRAFT_582420 MAPSATSTGKTDRDDLTSRVLPEMVFSTGDSSSMTAPPEPAPSH LTPAQRAQLRFSVTGNAIVTGGAGTLGFEAAKALLEHGLQNLMIFDVNPTQAQPKLDA LSAEFPSSKIHAMKVDITDDVAVSHAVESTAEILGSVDILLCFAGVVGCYHALEMTSQ QFRKTIDVNTTGNFLVAQAAAKQMVKQGTRGSITFVASISGHMVNYPQPQAAYNVSKA AVLTLKNCLATEWARYGIRVNSISPGYMDTILNEGDGIEKSKQMWKERNPSGRLGVPS ELTGAVILLSSNAGTYINGVCFSFRFV LY89DRAFT_503937 MSRSSFFRPASHDQNPLLPQYLDSEPASSSSDPKAISISMRDLS PVSPEPLFQSTYHDESERAASPSPPPSFYRAQNDDTEHSSANLRTTASLSPSPSRTKA RRIQFSAPPPPIASTVLLPPRSPSNSSSQGTKSGNGRESVHGTTSGILASRRARVGAP VDPLLALERREKAIQDELQELLDAQSAGLIMGFGGGGGETHEGGSDAGSSTPTTRSLA RSGNTGKGGGIVPVRQPKRKVVGLRGARRALLRDMRALVQVKNEEMEILSEEIEKRQV VLARTDGYEKRIKENRHQLSGYSGAGGDDSGEGEGGGEEAMEIAELRTEERAVENEIR EMEDRLAQMKARHRWLGDRIREGINRREARLSSYRGALREVETEAKEFLKRPPISVSI VMGNEEGFYALPANRRTLGMAKEWWNKEITLLAAREDEVDKEKSALEEGAQTWEESIK VVMAFEDDLRTQMSSNDANNVDMLRTQIEKMGNVIQRLSDTLQTAEGRGWNLLICAIG AELEAFKQGEAILQGALAASRAENGDSHDEQDDGPSSSLTNGLDEMAAQPLRIESLER EEGEDDGPNLAELMVDKTVDDDTS LY89DRAFT_696217 MQSNGAGTPRTDRLSILIEVYGDKLNGPSMIKAVDSSNNPEENI LDDSSPIIYHYLTFETPLPFPSTSISTKSTSEPPPPQPDLHKFISPFQWPESRKRFMI WLSCIATCITAYTAGSYSPAAAQMSAEWHVSETAIVVGITTFCSGFAIAPMVLAPFSE INGRYPVFVGAGILYLICQICCAVTHSYPGMLVARFFVGCGSSVFSTMVGGVVSDLYH AEGRNTPMALFSGSALVGTGLGPLVSGFIAQHTNWRWVFWVQVITVGILIAAVTAFFH ETRGSILLSRKAQTLNQWMEEREAAAYLGFDMSISSDGKTRTESQRIRWKVKSDEERE SITKMIGISVYRPFHLLVTEPVVFFFSLWVAFAWAVLYLTFGSVELVFTTSHHFTLEQ ANAVFAAMIVGATLSTIISIYQDRILAHYLSTSAAKNPDAPNRLHRALHLTSPEGRLY FACIESAALPIGLFWFGWTQFSSIPWIVPALAVGCATMGIYSIYLATFNYLADTYHRY ASSALAAQSFCRNMLGGVFPLVTVQMFKGLTFQGAASLLGGLAALLTAVPWVLVFYGP RIRARSKFAGEIM LY89DRAFT_696219 MRLIETSTFELSEFIGSHIPFYAILSHRLRGCCAQAAKDGFQWV WIDSCCIDKSSSAELSEAINSMFKWYEGAQVCYAYLYDVTSSIDNLSNVYAEFRRSEW FTRGWTLQELLAPQCVEFYNRDWVEIGTKSSLAEMIKKITGINRLFNYELACVAQKMS WMARRETTREEDIAYCLMGLFGVNMPTLYGEGSKAFLRLQQEILSKSEDESIFAWEGP LGEFHESGLLAHSPKWFGDCAHRRRVLETNLNLLAD LY89DRAFT_643198 MTDKLTLRPQGSLESKFSDHSDSAAEEEVSPERKDFFTGVRRGI KKLGSISGPHNRPSNIQVGERSSPDPTPGSADSERARRKKHAPSTSDLSREKPLPKTP LSPPVELLLQNPFSPPDTPKPFASMSRPAVGGSRTSTMDSTTSMTATQMYASGYKPAS STYLADMNSSQGSIASSRQEGEDDTRDIMTPRSNGPPSAGPSPAQPSRSAGGNVVGTS SGGSSQHLSGLMCNVHRTTGREPHPLVGATTTILGDKLYVFGGRILSRTRPALTSDLY ELDLIRRHWTKIETGGDIPPPRYFHSVCALGDTKLVCYGGMSPAPTQGQVQPVAAAQN AQDAQPEVVVMSDIYIYDAPTKMWSFIPTQDDKTPQGRYAHCATLLPSSATFSSTNAA ASAIQHNPAGSNPNQGTLGVNLDGTGGAEMVVVGGQDSANHYIEQISVFNLRSLKWTS TQQLGKSCGAYRSVVAPLPSSISTRLGRTSPTKPDVGNISQDAKEPGYSMLIYSNYNF LDVKLELQIRSSDGTLSEKPMHGTFSPPGLRFPNGGVIDTNFVVSGTYLTSSKQEYAL WALDLRTLTWSRIDAGGSVFSQGSWNRGVLWNRRNTFVILGNRKRSLVEDYNHRRINF SNVCMVELEAFGLYDNPRKATPMSGFVSASSPYSAPALNIGTKAGWTAGGRSFSKAAE ELGQTALSLRELADMDILCVGGERIPVNSRVVARRWGPYFVQLLREGAATQDGNDAAT LRPENIFRHNPSRNSSVTITPSIGGQSSNLSTSSTLNNTGTSSLMTGVQSGDYSIQTQ PPDSSTLSPTSRPRTLYLPHTHLTLQSLLHYLYTSSLPPSTSPLCTPQILCSLLQIAR PYKIDGLLEAVVERLHSVLDSRNAAAVFNASAMAAGGGRSTSGAENWLSGDFNDIPIR GVSGPPVLQIGSMGSDISLRGQGQQQQGSQPLRINTSFNSTGSSAPDEESMSATSSAA SEYSESEMGSSLAGESNARAGSGEVWKGDLSAVIGLQKRGLRGLMEGRRLRERGASVG QSTMRVGLGIGGAG LY89DRAFT_503857 MRASAGPRNPWCYTAQHSIKLKQRPDQNYISTTYPHLNKIPYQK NNKTLSSSFIPEGRLPFFCGQRHVGIERFGRTAHANWVGAPRSDCLSTAQARELQRRT GLNGLLREVRCVFAGCLLFERTELDQRAEKTTLHPSPPRPHTTEIVR LY89DRAFT_683631 MATEERPASLRLGSIAPNFKAETTQGPIDFHEFIGDKWVVLFSH PEDYTPVCTTELGAFAKLEPEFTKRGVKLIGLSANTIESHGGWIKDIDEISGSKLSFP IIGDKQRKVAYAYDMLDHQDTTNVDSKGIAFTIRSVFIIDPKKTIRLILSYPASTGRN TAEVLRVVDSLQTGDKHRITTPINWIPGDDVIVHPSVKNEEAKTLFPDFRIVKPYLRF TPLPKEKTSAAV LY89DRAFT_503672 MIGISKAEFYFIRSCIIGLHYLAPLCLLYCAFVVALYGLKTAVT SPVPLVIESLAVAESLFFLFVYIPYRYYLQKDAIHPPAPTREERRELFRRCDENIPDP ELYLQKWFLGCDSREIKRENFKEFLLWAFFNRGGPPGDDDEELEEYVVATEKLLGRPI EAGRGKAVCLRLTLDRVEMLHRSLTWYWCVGFVDFLTYMRLLFHGFHFHRTKLSRFFY LFPFRFQSLFTTYRSPAKHTTYWHRPHTSKTKLPVVFIHGIGIGLYPYTKFLNELNST AGIESSDPNDQVGIIAIEIMPVSFRICHHALSRTEMCSEIDEILLKHFDPEQKFVLVS HSYGTVITTHLLKTPSIAKRIGPIVLIDPVSILLHLPDVAYNFTRRQPKRANEHQLYY FASMDMGVSHTLSRHFFWNENVLWKEDFKDRRVTVSLGGRDLIVDTESVGTYLALESG NGLTNESSNGHANGHANGNGTLIDLGEDDANDSTVEMDEESVVSETTTDEATWKSRSW TGSGIDILWFKNLDHAQVFDKAATRKMLISAIRTYCEET LY89DRAFT_582116 HDHILNPITALEFYQSPDGPLLLLAGEGSFLKIFEAENSKLLGQ CKVFDGQAIHGIIAAKNAQDDDVHILIWGGSFLTLLRTHDFEQILAQSLTRIAVAAAE ASDWILDAALSPLVPGCCFLITAHNTVVRATLDKTSSFIEFETVASPSRSILYSAHIL AEPSGEILVAAGTVFGEIIIWSCSSSGTTQVLFTFTGHEGSIFGVNISPPLTLACKRR GRLLASCSDDRTIRVWDLTTDSPTRTVNDQVGLVRETGFGPNSDDQAQSENRCIATVM AHASRIWRVKFLVDQGCLSPSLVSLLSFGEDSSTQQWSLNIDSCTASNPKADHTSETL RLMHVSTFAFHSGKHIWSTALHYCNPLAAILATGGADGKISSRAVSLLEEESSRASCH DELGPSYGISSPGNTDVQCNSWDLEEDILKHLSLIPSVDESIIKGLDSEAIEIKKPKK IVKDAFNKYDFISENQLLLTTTFGRVLLSKIGVSHQWEELELPKTAEYDLKSYSVVQG IPECGLAYIAGANGKIYCYVGGAILLEAGNVNGKVADMFKIFNPATKGFELLVTTLGT GMATLFSIGSTATGLIHLFATFTLPPKFMVTIAGRIDDLLVLGSRTGSLAVLDREDRA VLHGVGRGMTSTQDAITTIIPLPHQASENSMASYFLTTGRDGVYSIFAIDKSDNGAIR CVHVGSLPFGPIVEAAWLDGAELLLYGFKGKNFIVWNETKQLEVMSVECGGAHRSYAY SPLRKSSGGHFAYSKASKLYIYSQQAPSHTIVKRSGHGREIKACAVSPNGEYVATGAE DTAIRIWSYDDDGKPLEHRLHCHAIMQNHSAGIQHLQWHGLNYLFSSGGNEEFFLWSI ERIPGFGLGVVCEAACPDQSADRDLRIMSLDVSDVDGDNLLVSLAYSDSTMRTYTYSK QNRFCLVAKGIYTSSCLTQIRHLRVSPKEVHIITAATDGNISLWRIDLSPPKTICGSS PSEFTFLGTRKIHQNTIKSLDFVKYTDAFLVVTGGDDNALGVSIYSINQLPSEAAVTK PLSYILRSAHAAAITGLCIVPIDEVSPSMDIVKIVSSSNDQRIKEWHVQLSTSTAGDL SIVNIQNPSDEFTSVADIGDVVFLRARNNHVHESQEQKVLIVGNGMEIWKLPGCSR LY89DRAFT_780753 MNASSTVEEKFDVLVRRQQFLNQDGKQAIKEILRTKKKAKIFWG TAPTGKPHIGYLVPLAKIVDFLRAGLDVTVLYADIYSFLINYLHPQPRELVEHRRRYY HLLVTAILQSLGVQTSRIHFVQESSYAYTKSFVSDFSRCCSLMTQQDTPDTMDEEGRT KTLSPLLCCVHQYLADIHLDTDIQFGGEDQRPIFQHASKIIPQLGYRKRQYLMNAMAP GLKGGKMSSSDPASAKIEFLDDARTVTDKIMEIPIGESHGGYSTDNAVLGILRTILIP LSELRLEHHKDEFANDAFVSADAPEGTLFTIEVAIWCFGECVRKHYKSYDEIEEDFRC WRMGEEDLKLAVARSLNCVLEPVRRRLGSSEEWKELELLAYP LY89DRAFT_504150 MTTSPLQEFALFIVVFFPLLALVVVCLRTYSRISTGQFGWDDGL IIVAMAMAIGESYVTWMYIKTNFVGIHIYDIPADVDPVPGLKYNYAVQVLYNPVLAIV KNSILMFLLRLTGTRKAVRYTILGLIAVNTALMIAIFITVIFQCLPIAYNWDTTIKGG HCVAQGAFYVATTTLTLFTDILVLALPFWIVMGLKMERKTKIAVIGIFFLGFIVTVIG VIRMVLIIQVFFLPAGPDPTYTIGFCTSAIEINVAIMTASAAAMKPLFKRWFPRFFSA LSNSGPYSDGPYAGGTGRYTRNGTNGTKHKSVLKGGHGGFELKGMRGERGLTEIQSQN RDGSEEEIMTFDGIVKTTNVSVKYAERDVDSVRAGQHSRKTSDYGMRTSVESL LY89DRAFT_706407 MGVSSYARVAASLLTVSSVIAQSSTITVDNQTVAVNASTLSPAV AIVSDEDLSAPETLQLTDAVVANLTSIDLANASLFAFDDNATSIDARSLGCKVFPGDQ AYPSTLVWYIFDLLLGQSLIKTVPLAAPCYNDWPSEENAALCTTITNNWTDSYLHEED PTSIMWPLYQGRTCMPTDDPTANCTLGAYPSYAVNVSSVAQIQLAVNFARNANLRLVV KNTGHDFNGKSAGAGALSIWTHHLRDITYIPEYNSTIYTGKAVKMGAGVRAFEIYTAA QSLGVTVVGGEGRTVGVGGGFLAGGGHSPLSSLYGMAADQVLSIEVVTPDGRFVTASL EENPDLFWGLRGGGGSTFGVVVSVTARVYPVLPVTVMTFSFATSTNLTSTTFWEGVRT YFDYFVEYTDAGIYAYFSTILTGADSYSFGMAPFFAPNKTVSEVEALVAPWFDRLAAL GITITPVINEYSDFYDAWWANFPLETVGITNIKTASRLFPKSNWANDTSLNATFDAIK TTVELGGSFLAFNIAAAENDNSDNSVNPAWRGTCLHAILATLWDSSANDTTIVEASET LTYDWMQKWRDVSPNAGAYMSEADISEPNFQQAFYGTNYDRLYALKQQYDPKSLFYTP TGVGSENWYITGQLTGLPTQNGRLCPV LY89DRAFT_667811 MAQQQHRPGHHDPEFTTNPLWAAVNEYTMSHLHPSSRPNGAVLD STLESIKSNGTPSGSTGTVQSKFLELQCRILKIKHVLEIGTLGGYTSIRIATENPRCH VTSIEVSEKHAAVARENITKAGVADRVEVLVGPALEILPTLLADIEAGKRERFGLTYI DADKLNSVNYFDFGVKMGYSGGLVVVDNMVSRGNLVVEDKRDHEHVKGGRLVVETVGK DTRVDAVVMQTVAKKNYDGFLFAAIK LY89DRAFT_696228 MSFALPPDLITYLQNLDKFIEDKITPLQNSNDNNRFFDHRRENS RTDWAAGGLPTTEWEDLLSQARQLADEAGFYRFCLPSEYGGQNGGNLWMAVIRMHLAK KGLGLFNDLQNEHSVVGNFPDVLMVREFGTEEQKEIFIRGRLEGKVGFAFGLTERGHG SDATHMSTYAERKRKGEQEGWCINGGKMWISGMHRATHCIVFARTGGKAGDALGITAF FVPRDADGFEVESYEWTFNMPTDHATLSFSDVWIPDSAVLGKVGFGLPIAQTFVHENR LRQAASSLGAAMYCIEESVKYARKRKPFGKELSSNQGIQFPLVELATQAEMLRLLILR TASEMDKMTQREIERDLGDKVSMCNYWGNRLCTQAADRAMQVHGGIGYSRHKPFEHIY RHHRRYRITEGSEEIQMRKIAAYLFGYVGPRKAELSKL LY89DRAFT_553590 MDFNGSNPFPEGVISFLDTDLYKLTMQCAVLKYFPDVQVSYAFK NRTPEKKLSRAAFQWLQIQINKLGNIALSNDELRFLQTTCTYLNKAYLEFLKEFRLNP REQVTASFVAINDTGSEDDIGEVDLVIKGKWVDTILYEIPLLALTSEAYFRFMDTDWT YDGQEEKAYDKGMRLLEAGCIVSEFGTRRRRDYHTQALVFRGLVKAKKDGQKKGLPGQ ISGTSNVHLAMRFGIPPIGTVAHEWFMGVAAITGDYDNATRNALSYWVGCFGEGVLGI ALTDTFGTPVFLKAFNEPIQKVTDGKQDGVATGTLESEEKPRTFAEVFTGVRQDSGDP ANFVKLMREFYDKVGIKEKKTIVFSDSLNIDLCIEYKKISDEAGFNCTFGVGTFFTND FVHQTTGKKSVPLNIVIKLSSAAGNQAIKISDNIGKNTGDRETVEKVKMQLGYVEEDW KLGDEAKRWGTE LY89DRAFT_582796 MASQTPMEDIMRSKLTAALSPTKLEIHNDSHKHAHHQAMANSTS AETHFRVLITSEAFKGKMQPARHRMVYALLKEEMAREAGIHALQLRTKTVEEDEKALV REKEEEEGKKDAGVMVEGNS LY89DRAFT_683638 MASTLPRLPIFEAIAAHDPKSTVVVHSASGRSLTYGELLSDVAE RKERLLVESGRSDGIQGERIAFLVENSYDYVVTLLSILGTHSIALPLSPAFPPHELQY IMDQSQASMLLSSSKFEKQALDVYKEGLQGSPKHVKLEKKLGGGDYEEVKLEGPTEGA GGMMLYTSGTTNRPKGVLLPTAAMTAQAKSLITAWDYRPTDYLLHVLPLHHIHGTINA IFAPLFAGSTIEFLFPFNATAVWNRFAAPFLPTTNGHSQTNGTTLTNGHSTSPSPSPS PITFFTVVPTVYNRLLATFPTLLPHTQTAAQKAISPSHLRLNISGSAALPTPTKKAWE TLSNGNILLERYGMTEVGMALSCGLPFSTRVDGSVGWPLPGVEARLYESESNSIILPG EEIGPNGKERDGEIQLRGPGLFAEYWGNEAATKKEHIEGEDGKGRWFRTGDVAVRRFV EGVSDTTGKGPMYFIHGRLSSDIIKSGGEKVSALEVERELLSLPQVLECAIVAVSSGN WGQKVGCVIVLRDPVTGGRKEGVWGPLDMRRALKGRLAGYKIPQVMKVVSGIERNAMG KVNKKVLVRKLFRDEMSGDEMSGDEKDL LY89DRAFT_613837 MAAAVDSQVDLSTIPISPEGTNGQDENKNPNGDSDEQITVFHDK DNFNVKHPLMNKWTLWFTKPPSGKGDNWNDLLKEVITFNSVEEFWGVYNNIAPVSELA LKSDYHLFKQGVRPEWEDPQNKHGGKWSYQFKEKRNVPIDDLWLHVMLSAIGETLEEE EDGELMGVVVNVRKGFYRIGVWTRTIGKSIPGGGEADVAGGKGRSLEKGKEILMNIGR KFKDVLKIPASEQVEFSGHTESAHSGSTRAKAKYTV LY89DRAFT_504347 MIWFQSIEEKLYCTTILSMVNLALLDIQSIFEYIYLKHWALRNP GNAPLRRKASTCTYVLVRKSVTASVNGDTATTLHD LY89DRAFT_613842 MLRWYQRKLASSPILTQSITTAVLFATGDTMAQQLVEKRGLKNH DIARSGRMALYGGAVFGPAATTWFKFLQNKVVLKNKNVEIVARVAADQTIFASTNLFC FLSSMAIMEGTSPKDKLEKSYWTALKSNWMVWPFIQVVNFKFIPLHHRVLVVNVISLG WNCYLSWINSQ LY89DRAFT_696234 MPGVKRHHDGAEKPKNTDAATSPFIGMFEVFRSELDEHHDRRER IIKASRDITAASKKIIFALQRVRTLNKAVPPKIAAEVLEKTTTMSTQFMAIVPDLVGI NAWRYQRQISGGIQEYMEAISFQHYLQHQKLTTLEEASKLLPEGVNLTGDDYILGIFD LVGELMRFGITTMATTGSLPGSKEVEGSEEKRDLLMDLRLLRTSFEALDTTSCGGTGL GKDVEKKMEVMKTCVEKVETAVYGMIIRGRERPKGWVPDLADDRAPVESY LY89DRAFT_613847 MSKFFSSPFLVFSSAIALRIGLFFYGLWQDANSPMKYTDIDYYV FTDAARFTAHGHSPYDRETYRYTPLLAWMLLPTTWSSTWFSFGKVLFAVGDIVAGWLI IMVLRSSNKMSMERALKFASIWLLNPMVATISTRGSSEGLLGVIVVALLWAITQKKIV LAGFLLGFGVHFKIYPFIYAPSIIWWLDDEQLGRSKPAKEGEGSDLVSLVKNFINGPR ITLTISSLLTFLSLNTLMYIIYDYPFLQHTYLHHVTRIDHRHNFSPYNTLLYLSSSIP DPSPTAIKLESLAFIPQLFLSAVALPILLAKKDLASTMLAQTFAFVAFNKVCTSQYFL WYTIFLPFYLPTSTLLSRPYLGLSAAGLWILTQALWLQQGYQLEFLGNSTFVPGLWVS SLLFFGVNVWILGIICSDIEAGTAPVITKPEQRPLRKKKEVKVKAKGKGKVSVLDIGI CR LY89DRAFT_582210 MTTASTPATPGPSTPTTVRTLPLDQSKLDHQFDDIVPPDRPKST PFPCQDLTGKVQSLQLSSHSDNSDEVLTPVADGEKPTSTNTSPLTGLPTEIQESILDY LCGIRLPASPRTTSAGKSKVLRGWGTALRHSRRREVSELARVSKLWRKLVQERLYRHL KIKGTTDSVNASAKWFELHPHLCEFVRHIEIWFPVFQQKNPAFDRTLRIPSTTPDRSS LVQSLSTQLQDTATPITYQAPSNNCTLEEVFMFVRLTFAKASILTLEGGERKKPPMVQ HFQHPELRGLPVIESVVTLVCKGQWNLIRSNEDFQNIATALPNLNEWHGSYAKPKSKS YLSMATILPKLPRHLIHLNICLEADYRREIICPDFFKKVGTHAHYCVEMAKAIPTLEH LAYTGRVCHAFFEEAAKLTNARESRLKSIDLIVKNCCRPTFLWNNGSGITDMAFIMAF ESLVVSGVKALDKLVALEFLRIRFIDLDSQVPSLNPYFQLLKNQCTGLWSETIIDTLA RTRPAASFIEKPENLDDVGFKDGQLLTAPSFSKTRPLSIKVSSYISLSGGITIT LY89DRAFT_732306 MLLTATPFQPPKNHGQSLFNLNINKSPLSANTLAEAYHFTVLNT FDDMPTSRSGTGCWTCRLRKKKCDDARPVCGPCSFRDITCHGYGPKPDFLCSTEDQKR EIAKIQRAVSDSFKARRAFRVSKKASRPSQIRPVVPATTGKQDETVDLTEAYKTQLNL DAAGPSSLYDQNEGRATALPFSELWPEVSQSSFPSGLLLQANSALSSDPKAYQLIQDR EEYPRTFLANAYPAQNAEELSLIISYLERSFPLQFYFYQPTGPERGRGWLLALILRSK PSYYTILAFSVLQQIRFGSENNIDQEHNLYEDLDRYHSLALIELQKQLEYLPTVSGSE HLAIGVEILACTMQLCSIEVFRQSKLYQGWKGDWEIHLNAAGSLLSIIGTELINSSEA SAAPITADEKTPPESTSDNIGGHRLLDEIAGLDFFTTTYVWADIVRCTSDLEHWKLGL EDRLDVPMLYRRASEIEARLDLGLETIPKDLSSSKFYKESHLVTEIYALSALVYLAIV VSGNSPLTPKVRLSVPKVLDKIKHLPAHLLMRVSWPYCVAGCMAAESEKDDFRAIMLD ATTNGQSSGTLLNSLQLMEEFWTMREILEKQVHAKGRSNTPWAIAMER LY89DRAFT_780766 MDLSQLPDDFFVTLSQFTKTTYRDVYPVVDPTSPALSQQGKVVI ITGTSQGLGRHGFAQAFAKAGVKALVLVARNADGLEAAAREVKAVNPKIQVLTQAMDI RSEDEVKKLFSRIESEFGMADVLVNNAASGKSALPVRDVDPNDFWYDFDVNVKGTLLM SQGFLKLVGKEKPATIINISSAGGIAVIPSTSSYSLSKLVQIQIQRFIAAENPNITAI SMHPGTVLTPITKPQFEKFSKDTYALAGD LY89DRAFT_696238 MRAFTCLSLLFAASLSDALSNPHEKAAKYAKRSNKPALTRDAPR TRSTSMFLTNSTAKFAVNGSALPEVDFNIGESYAGTLSISSNPTDINALWFWFFPSTN PAASKEITIWLNGGPGCSSLDGLFQENGPFLWQSGTYAPFANPYSWTNLTNVVYIDQP VGTGLSSTGNITVNNEHDVAEQFKGFWKNFITTFSMQGYKVYITGESYAGQYIPYIAS GMLDENDTTYFNVKGVQINDPSINHDAVMLQAPVVPALQYFQSVIRLNDTYMANITAR ADSCGYTDFFNKWTTSFPPPGPIPAAPNSSLPGCDLYDDIYNAIYYVNPCFNIYHLTD YCPYLWDELGFPSLGAGPNNYFNRSDVQAVIHAPPTNYFICESPPNLFPNGDQSVPSA LGPLPSVIERTNNTMIGHGLLDFLLFANGSLITIQNMTWNGAQGFQTAPSATDNFFVP YHQSLGYILNVANSALSGLAPVTDTAGAGFQGMWHTERGLTFVTVNLAGHEIPEYTPG AAYRQLEFLLGRIDNLGVLGDYTTQTGNFTGVSAPLRRGL LY89DRAFT_504380 MDLGCSRLFQLANTSAGQQNFSNITTSPGSDPEDEMTRCTRSHI RAKTSPSLPAFFKYKINTMPAFASPWQALDKHSPCFPIIRQLACELCLNPVGRALMFA AVPLPCPSNRSKNLPGTLQRHFSKINITASASSFPI LY89DRAFT_706421 MSSCQIVNTLGDTGSILSMNHQYRDVADLLIPGRVPPISKAAVV VHNGEIEWAGVQSSIPIKHKGLDFIHVPVLMPGLWDCHVHYFGSPPNDSGGGYEQILG PIALFGARTVRDLERTLLASFTSVRELGGYGGEIAAGVEEGNFIGPHIYSSIAPLSIT AGHGDIHRLPLRTVLDGCAHGLPFAVSDGVPECIKTVRQIIRRGAKIIKVCVSGGVVS VLDDPEDAEFSPEELKAIVEEAGRSKRALNAGVKTIEHGSYLDEECIALMKKKNAILV PTASIIEGGWASRDYFPPETYKKFHQIYSSWKGAYSLAIASGVKIALGTDQANSQEGT FNSHGSNGKEIYFAVQAGMSPLAAIEACTATAPETLGEHIAPKSGQILEGYDADLIAL SQNPLNDVSVLSDPDNVTHVWKMGNCSNRLSCCGRVLLFGFLLSVIKTRVQKVPR LY89DRAFT_696240 MRAQRGAVRAAVSFRSCASRPRFLCLNASRTPVAGRGRIGGDGK RWFSETRLLRAGAAEAVLKQAAADPSALTQEAIIDNLDSAERGRLSRLRNIGIAAHID SGKTTATERVLFYTGRINSIHEVRGKDAVGAKMDSMELEREKGITIQSAATFCDWVKK ENRKDETYHINLIDTPGHIDFTIEVERALRVLDGAVMILCAVSGVQSQTITVDRQMRR YNIPRISFVNKMDRMGANPWKAVEQINQKLRIPAAALQVPIGSEDTFNGVVDLIRMKA IYNDGPKGEIIRESDEIPADIKAFAEEKRGVLIETLADVDDEIAEIFLDERTPTPEQM KAAIRRATISLKFTPVMMGSALADKSVQPMLDAVCDYLPNPSEVENLALDKRREEAPV KLVSYNSLPFVGLAFKLEESNYGQLTYIRVYQGTLKKGMNVFNARTDKKVKIPRIVRM HSNEMEDVADIGAGEICAVFGVDCASGDTFTDGGLPYSMTSMFVPDPVISLSIKPKTA KDGNNFSKAMNRFQREDPTFRVHVDAESQETIISGMGELHLDIYIERMRREYKVEVET GKPQVAYRETITQPVKFDHTLKKQTGGAGDFARVVGYMEPLEPDANGYTQSKFREEVT GGSISEKYLFACEKGFLASCEKGPLIGHPVLGALMVINDGATHMTDSSEMAFKNATQQ AFRKAFKEAKPQVLEPLMKTTITAPNEFQGNIVGLLNKRNAIINDTEIGPEDFTLQAD CSLNAMFGFSSQLRAATQGKGEFGMEFSHYSPAPGQLQKELVAKYEKFQADRNKK LY89DRAFT_504491 MASQEIVVTLLYPATEKFDMNYYLTSHMATVEKQFTPTGLTKWQ VVKAAPDTGYSTVCHLWFKSKEAADECFASAGNIMADIPNYTDVKPVTIGGQVVGGN LY89DRAFT_683648 MAASSSADVFAYLVAIFIPPLAVFLKTGCNADFLINICLTILGW IPGVIHAWWVISKHDPAKYT LY89DRAFT_557121 KPCTLCQQPRNVLIRCQIDATRQWHFVCPGKCWRSVSGGTPDGD ADHRDYRYGGTWKNKHEYVSAKIKGKAKDENKTG LY89DRAFT_732314 MSFMSDTPLPLRPTPRRPCPRCPHRLSDLASHVEPAELSAEEEV KTIEGHATSESEEIPATTSEFETWRDLLEELKGKRVPACDDDQNNGKQPATDEAQTDP PIYNERKKDILVDMSLEHLDEKAEAADKDSSGVAAIKNKDVPIRKGILIRARTKSDGL QKKKSVRFHKGCKGDDSFEDESRGWSPVPCEYHVEMDKEPEGNEEGERKPVEEEEEEN KA LY89DRAFT_780774 MAPQLEPILPPMGCIFSRHDVNTDHEPDQRSGQGFQNAPPPHFQ EAMQQPQYREITFRDLQEGQIQVLRPKRQASMSPILSTRELGREVEVTRQQRRTRALD QRPRSPHQPQYCTNCAHCINTRRFNITPPRCPYTGDDIRSERQIEREYRRRHSGKAIE AADVIYRRAEVRRALRDEIRSDVHGESKEEMPDVIEGGFSRRGGTGGVREMTREERKR VKKWIEGCRWAGCAGFGDGEWETTESEGSTQCDASIPVVYVEIERERRR LY89DRAFT_732316 MIANFMMPSISLASDFEEWMAGCALSFGGKRHSGEPIPNREFLP GNAWKLFEQEPRPTPAPESPISLRQPFINQLTPPKGDFSSGSSTPSGSPRARSSSGSS YNSQYPIPPAKADPLFVTEFFEVCKSPKGGYGAFATKDIEVGTVIMSEEPAFRATFGE VFYMYEGLTTEQRVEYRSLHGWSALAHNRILSIFKTNRFELSGTRCGIFLKSSRFNHA CHPHATCTYKWNEELGQMVFTSINPMKAGDEITIQYCANPAKLYDNYGFYCDCPSCPD PTKTAAQDRKRRLGW LY89DRAFT_706423 MSSQFYHKDTPMEVKDAKGLHLLTTSTPNGKKVQIMLEELKETY GTEYTHTLISIPNNTQKEDWFLRLNPNGRIPILVDNTKSPPFPVMETSAVMLYLLKEF DQKDTFGFKDELERNQCLQWLFFWHGSGQPIEGQLNWFGKLASQKDQFAIDRFKKETL RIFGVLELQLSGKYTDEERDYLSGRGRGKYSVADIAAWPWVSGYNFSGQISEDDMKEF PHLLMWIERIGERSAVKKGIAKSWENW LY89DRAFT_774915 MEEVEAIKTPKSALDVDVVTGELVELHPDGNHAVITLGSNIGSG LFIATGKGIANAGPLGAVIAYGLVASCVAAVLQVLGEMTIAFPTSGNFIDYADRFLGW CAVLGSEATFFSLIISTWNSAFPEVAGVTIFIVGAIVLFSLPNRWFAWFEYFTSILKV IAITLFLILDFAIIFGAGPKGKVYHGETWNAGPVFKNGFNGFSTSSLLALWAMSDQVF ITIMVGEAESPRYAMSRAAKTVPVRVALLYLMTITFSSLLISPNDPRLFGGSAITQSP FTIALKDAGIHGLDQFLNVVILISCFGFGAESVYVASRILRALSHQRLIPELIASVDS RGRPIWSLIITGSISIALTYLNFSRTGTTIFNWLVSITSSAFFTVWIIISITSFRFRA ALKKQKDPLFKEVFAFRTCAWPLPTIWLLTCSVFLLVCCIYSGLYPLGSDKVSAYNFF QYMIGVVIVLVFSLAHKVIYRTKLRAASEVDLRSGRRTLQEPEIAMLKRYYDQPAWRR ALTYVKFW LY89DRAFT_774920 MDITKNKSVRMLKAAAEGNYGVPAIVCYNMEQILSAICAAEAKQ SPAMAMLFPHALHQYGLFSYIWLLKHDEQQIKYAASLPFDSIMIDISHYDLVDNLKKT KELTECCHARGIAVEAEAGRIEGGEDGLKDTGVLGNIRTTLQFMNTGIDFLAPSIGNV HGGHPDGPFQFEYDRLTSIKDAVQGQVQLVLHGTTDDLEVRQSVRHGVAKVNLNRHPA IWSRYLRDRGTLLPITGMMDEE LY89DRAFT_504551 MNCTLRPVSTQRKCPGYKKTYTGCWTCRSRKIKCDEARPHCTPC LKGRKICPAYEQKLVWVLGDQRTYRHNGRRFLSPEETWKNRTVLSSSSVDSLIDACNG ATFSHETRPEISQSPFWVFRQQEEEVVFDELDIVGQLYPVDTFDSLDEFPVTYTTFES VFAQLPPDHCSLPEASSLSRNLVTFNHIPADISSLSILKTPRAENRLVEKNSFLLNYY IHHVVPLLVPVHSSQNPWARYPGVALYKSFNDGQNQLLHALMSLAAVRIGNVGGAESD MSAVGMNLYSCAMSELRSSLTDDTADCLYHLMTIMTFLLIELFQGNSKTWRYHLSAAW KLLRRHRSSPTLTKSGDGWYIMQSFHLLRVKYETSLSSTHENPFLDDATYNLDDATSL ELLLDTPLFGWTLGASPSVIECISEINKHCQFSSQMESFTHAASTSLKLSRMLMEDDN VQQWSTDPEVLQEGRTLSSLCNDAESRRLHLRAFKAAVIIYYHQTFYEAVPGKLAIYA SQVFDCIEAFVDISGGNYTLWPLFIAGVEAYMDVHKSKFMTLFESASKVGMGNRVKVK ALIERIWEIRACTAIETGHKEEEIHVNWKRVKQDMGIDVLLV LY89DRAFT_780778 MADCSTFVKADTPGSAPQTSKIDAQSILEEFRHRYTERNALSLA QFKQDAIYMPGANTRSVLHALPFPMVIARGQATTVWSVDGHSYIDFLSEYSAGLYGHS CERIWTAVNSAMKKGWNLGGKNEYEGKLAQMLVERFGNSMDMIRFCNSGTEANLMAIG TAIAYTGKQKILCFLGGYHGSSISFPEASPCYTMNPKYEFVLAPYNDILATDAVVEAL PPNSLAAIIVEGMQGAGGCIPGRPDFMQHLQKLASEHGALFILDEVMTSRLAYGGLQS TLGIKPDITTLGKWPGGGFSFGAFGGRHAVMEMLDPSAKKLVHSGTFNNNTISMAGGI EGCNIFDREAVKSLNDLGDTLRQRIADVLKQRLGHRNTSDRNEQANGAVASASKIYIS GVGSLMNVTFAGANKDILQAIFYHYMLEQGLYVATRGYVALNLETKVEHLDIFVRAIE KFVSDYQDLL LY89DRAFT_504599 MTFDPKQIKGLFFDIYATLISWEDGLYTHLLHLTKQPGSPEIRS RLLRMNAAIEKAVEHEHPDWKYPLILEEVYARIAKELGLQFDRDEQISYGRQIGDWPA FPDTVAAMEILAKHYKLFVLSNVDEDSFGRTCAGPLKGVHWDGIYTAEQIGSYKPDPR NYEYVVDRFQEIGISKGEVVMVAQSLDIDHVTCTALGFKPGVWIERKGAIMGGNRKEL EDQGLLELGAVYASLGDFATVVEKAFAHE LY89DRAFT_683654 MANIQPYTIAVSQAKIDRLHQKLALTDFPDEVENVGWDRGAPLA DVKRLTEYWQEKYDWRATEAKLNELPNYTADIQAEGFETLKIHFVHQPSKVKGAIPLL FVHGWPGSFDEVVKILPELVEPKNSEHPAFHVVAPSIPGYGFSEGSRKPGFRVKQTGE ICHKLMLALGYDEYVSQGGDIGCFVTRQMGKQYAQSIKASHINMPRPNPPSPHGDVEL YMQHMQTPLTDKEKEGLKRWQWFTKEGSGYNLQHGTKPQTIGYSVTDSPVGLLAWIYE KLHDWTDSYPWTEDEVLTWVSIYWFSTAGPAASQRIYYEMYHDPDKPRVSSFEYIPDV LLGTANFPMELSVTPKLWNKTLGPLVLASEWDKGGHFAAYERPDAIIQDLRDMFGKKG GAYAVVKGQTGY LY89DRAFT_504603 MSYYGNISHDETRRKKLHTRRPHRKTKTGCATCKKRKRKCDEQR PECENCIKHSLNCDYAPSQPTLLPAVSLATSPAPLLNLFDLELLHHFCTSTAISLKED VTMHTLWSTNVPKLGFEYDYVMHGILAVAALHLAHSSPHRKDACIAHSRLHHHAGLQK GTPALSTFSDESASAIYIFSAMTTLYNFATTRIDSVSTFDEGVAQWIVLCRQSYGIVR IAEKVLYEGPIG LY89DRAFT_504606 MRPQTPPSSNLTSKPPIINHATTLILLLNLTLLLSLVLSSLNAS YFYASRTAGELDCLATHFSSQSLSANPFPYSSHAQVPPTSSPNPATFEAGKLFIGSKT MEKALEYKCRYPCYSTFGLWIGNKGIGAQGWEANGCERVHNICKAPLMRGKVGLWAAV EDWWFGL LY89DRAFT_504571 MVKIEPFDVEKWMDKYEVTPGVLNIAETCAASISIDDLVKLCED PNVPKPLSTSTKLTYGPIRGSLALRERLASLYSARVSTPLPTDNILITPGAIAANFLV FYTLIGPSDHVICVHPTYQQLYDVPKSLGAEVSLWKLRKEKKYVPDLEDLKELVKDNT KMIIINNPNNPTGATIPKSVLQGLVKFARERDIIVLSDEVYRPLFHGISPVDEDFPPS LVSLGYAKTIITGSMSKAYSLAGIRLGWIASRDPEIIEAIATARDYTTISVSQLDDQV ATYALSASVLHALLGRNIQLAKTNCALLERFIDQWSDVCSWVKPNGGTTALVRFEKDG KPVEDVQFCIDVIEQTKVMFLPASKCFGQDFKGYVRIGFVCETEVLKEALKQLSLYVR KHLI LY89DRAFT_613884 MRRLLGTAAVAALSLSWSASAFNYSSVDMMRAQLALLDDRPADC PPCFNCLLPAFTCGQYSECNQFNGKCNCPPGFGGDDCFAPVCGSLADGQDRPMRTGDY CECEPGWTGINCNVCTDNKGCNRMMPEGEGGVCYQNAEVVHENHQMCDVTNKKIVDIL DGRRPQVTFTCNAEGGNETCAFEFWVEHKESFYCSLNTCESTTDNTYDQNSTKYRCEN VECKCIPDRMLCGEGGSVDITDFLDEEIKGPATFSCLQEEGGVNNCKFKEPAMDNLIQ MMFGDDSILLTCQGGECLYETDVPGFERPVKRINTPLIAGVIAGASIFVVLVILTVWY LGRRQFKYGPIHLDDSDDESTKLMVDHKPASLFFENVSYNLNGKQILTNVRGVAHPGE IMAIMGASGAGKTTFLDILARKNKRGAVSGDFYVNGEKVNDNDYKNVVGFVDQEDTML PTLTVHETIMTSALLRLPRDMGRAAKEQRVYEVEKQLGIFAIKDSLIGSEEGKGRGIS GGEKRRVGIACELVTSPSILFLDEPTSGLDAYNAYNVIECLVTLAKTYKRTVIFTIHQ PRSNIVALFDRLLLLAKGKTVYSGEFASCQEYFEHIGYTCPPGFNIADYLVDLTMHVG TPATPIDEGIPGLDTSSVGPSSTRAVKSIASISGGSVEDGLSTSPGGNSLLRPKGKRR DSVKTKQERELYTRKKSGADTPGSQPDEAPFDPNATSSQQWLKLQKQNAGHIPEQLLE EPDNLPPAAVGTTDFDVLIAAYEYSDIASNIHDEIHVAISSASAANGQANGNAQGNGH VNGGTMGRGYARIGYLRQFAILSQRTWRNLYRNPMLMLTHYAIAILLAVLSGFLFYGL TDDIPGFQNRLGLFFFVLALFGFSTLSSLTVFAAERMLFVRERANGYYSPITYFLAKV IFDIVPLRIIPPVIMGSIVYPMTGLVADGPHFFKFILVLVLFNLAAAGICLFIGIVCK DNGVANLIGSLVMLFSLLFAGLLLNHDAIPASALWLQTLSIFHYGFEALIVNEVTFLT LIDKKYGLDITVPGATILSSFGFDTQALWPDVVSLGIFAGVFIVLAYIAMHVLLVERR LY89DRAFT_504870 MCLVGKQFFHLEVPILHRTAVITPEKLRKHTCSEMDGLRSNLRR RIVDFARDLTIRGSGFDWEFLEKLLAEMKQLDIVHWSSWEESIPKTVLDCLSTNHPEC AIYIENLELGDTPYMTSPRDGLSLLDDLSGCKSIRFIELNIDYNERESTGRIKEVLMS CPNLEILHLRDPSATYSMDSLEHGTHDICVEVGNQLPRVRELVYESHSKHRTLIPNSF LY89DRAFT_717320 MTKSMMKILAVFLTLITMVLAASLPGPVSRTVDVFNDGNNGVLR FKGPIHGVYVELNGTADEIYDQFSELYPEVVANVIIPDSVATHLAARDSNVELVPRSK TNMLCYPVNAQNWGPAWIPYLYDAINKLSVLGDGDCYVDGGPRWCVMLTCSRGDGIWL CNDNMYPISPNCGYLASYAWDMVFQCTHNDLTGGQEFDSDGYNVVVRNHSC LY89DRAFT_505055 MVKEGDHLSMCVALDDLLATEGHCPFPSCQALLDNAEIRNGGKV CPECGRWALSTPPPTTKSVHQKLPLLDYEYIEPHDLREDLDNTEDVEIYRQIYVQARA ITKENIKSGIDAEQRGRGSVPSENTRTIRIVSPPREKPEEKPIKGILRRPRDKFPEDP APIREGVAPLKDGKKDIPPDARWTKISRKLVNPAALEAGKERFEARDDFVIVLRVLSR EEIQAYADVTQRIRATKGSSDKKPATRANVGDRDERQPRSSRHRHKQERQHRQYPERQ YRSTIDDANSIRLALEQKQSRLSVPVRLPDYMRDSRASTTSATRHDSTGYRADEDTDY DGIQYTNPSDLVRDDLERTAEHSNHPLRARRSEVSIRTKIVTETGRDSKI LY89DRAFT_504931 MGLASQLLYYSVHPNQLRSILQWKLWHDPVHTRNPDKESPTLRE CFKYLNLTSRSFSSVIQELNPELLVPVALFYLILRGLDTIEDDMTIPLENKEPHLRNF QNILEQDGWTYTENGPNEKDRELLVHFDVVVTEFKLVKPAYKAIIKDITDKMGNGMAD YANNAEHNVNGVNTIKDYELYCHYVAGLVGDGLTRLFVEANLANQALLKRPELSESMG QFLQKTNIIRDIREDFDDKRRFWPKEIWSKHVTNFEDLFEPKNLQVALNCSSEMVLNS LKHADECLFYMAAIKDQSVFNFVAIPQAMAIATLELVFQNPEVFKKNVKITKGDACQL MMESSQNLKTVSEIFKRYVRRIHKKNNPKDPNFLEISIACGKVEQFIESIFPTQDPKA LALLHKGEIAPEDARKKAESAEANKDVMYLMLAVFGTLLVISALMIGAAYLAGARFDV AVNELKKGKIFPKFDKGSEEAQGIAQQYDHGEL LY89DRAFT_582323 MGLLAEERRNSSPPASKEGGKPQKGPWGKVIDGFCICLNIASTV ILVFLNNWILKDKQLKNMQILFAMWHFTCTSIVLWVASRSPFNLFVPIRLPFLQMIPL CAFFAGFLVLGNLSLAYNSIGFYQLAKIMTTPCVAVLQYFFLGKSISGATIGALASLC IGVALTNSGATGTTALGVSIAVAAFTVTAFYQVWIGKKMKDFAVSSPQLLLNQAPISV LLLAFLVPFFDTVPDFSIIPNDTLIALFLSGLAAAALNLSQFLIIGRMSALTFNVASN VKTIIILTYGWMSEGRMLTAKDALGIILALGGATLYSQLSQR LY89DRAFT_582396 MASTIGIVFTNKAIFNDPNFKMMQTSFACFHFICTGLTLYVVSR PRIGAFVPKRAGIVEMLPLAFSMCLNVVLPNLSLAFSTVTVYQLCRVLLTPMTAIINF VFYHATIPVNAALALIPVCLGVGITSYYDIKPSSEPTTVENTSLTGVIFALSGVLASS AYTVLIGAYHKKLSMSSSQLLLNQAPISSVMLMFAIPIVDKIPDLTIVPEYRWAMIVM SGGFASLINISQFFIVAGSGPVSSTVVGHLKTVSIVGIGWAVSGKSVTDMSALGVLMT IGGIVV LY89DRAFT_582723 MSSENVFLIWGGEGWVAGHLKTLLESQGKKVYSTTVRMQNREAV IAELEKYKPTHVLNAAGSTGRPNVDWCEDHKEETIRNNVIGTINLADCCYEKGIHITV FATGCIYAYDDKHPIGGPGFLETDKANFDGSFYSETKAHVEEIMKNYSNCLILRLRMP VSDDLHSRNFVTKIAKYERVVDIPNSNTILHDLLPASILMAEHKDTGIYNFTNPGAIS HNEVLSLFKEFVRPDFTWKNFTLEEQAKVIKAGRSNCKLDTTKLIKKLKEYNFEVPEV HEAYKGCFQRMHAAGVK LY89DRAFT_504942 MVVDTTYYDALGVSPNASELDIKKAYRKLAIVTHPDKNPGDETA HARFQEIGEAYQVLSNTDLRKAYDKYGKDQALPSEGFADPAEFFGTIFGGEAFVDLIG EISLMKDLTKTMDITMQEQEGEGAEEFPGEAEAKKASLAEEKARQEQAASVSGEGSSK VNIGGGVPAPSVAETVDSEKFSTASTLAPEKGASSPTPSASASGTSTPRRQGIPIRQA IMDKTEEDAQLNAAGLTEEEKELRKKEKKKGGLSKAQREELAAYEKERARVRQERVDT LAKKLVDRISVWTETGKEADVTKAFQEKTRLEVENLKMESFGLDILHAIGTTYMQKAT ALLKSQKFLGIGGFFSRLKDKGTLAKETWNTISSAIDAQMTMEEMAKAEEKGGEEWTD EKKSEYERRVTGKILTAAWRGSKFEIQSVLRDVCDEVLNDKKVSLHKRLERAQALVII GEIFQKAQRNPEEEGDYMAFEQLVAEAAAKKETKKKSKDKKGADVGHAAEKTAADAPN VPRS LY89DRAFT_717327 MNSSYDTLIEEADGGLPSGGQPTSQLSPSFQPLYSAQAPVLKNF NNNNPHNQFTHPVIGIPICAYPENITSLQMEGSDTMMPVSGLHQSMQAMFGPLVESQP SLSLDQTRLIDVNDQDLTCDQNSGSDLNFQMGLSNPLMQIVELPQPPQAWSGPSAHLF GQLNTSHLDQSTFGLLTLPQNALGLGATPSSLVTPHQLEAESHAQQSFGAAQPAPRKR APKASTMSAEKWEPAESRIRQLFLDEERSYKEVMDIVNQEFGFTATERQYKAKVLQMK LKRNVEASKRRVIVRHIQHRKQSSGKVTKLVRVQGHRKSEAIIQKWMKEYPELNRPIH CIPPSPLPSQISLRTGSHVASPNLSICSFSNRNIRSAESPWLHAAVGTPVERLLSCAQ GSNTMLKSEQCGAILNDFQHILSSGSDISQCPEHDSWSSVVEEDLINIRNRMNMTNEI RLENGYKDSSQLEPRSHRNLLSGSSKIIRGFGSRRTPYGRVTAVFWQNKSSLQDDSVK ENQSTQSSIAAQIAIHPNGETSSPFRIVLDLDTRLNPLARITYQAMIPNNSEIFTIIE HGELKDLTRALESRMASLSDRDENGRSLLNYAITFSRVNMLKFLLETGFDPDAIEPNY CGDLLPLSLVKARVTAETSDEFELDARSECFRLMLQADADIHATCNEDISIFEYMLWG ETSVSSNDYPRAFSVPTNVQTTTLKYAIRLGGPLVHPRMLISDSDTRHGGAKCSPLMI LASESGYRATDILHILEKAIILLKWGVEISCRDLNGNTVLHRVLCCHRRYTICHGLRP FLEPGELLKIFIAAGADIYALNNAGRSASRTARNFGREKEWSEALQFCGFDPEEVMAQ TMPKYKPYMGPRHTPTLTFGEYCRTWDEEKWAEKMSWDHEADSGKNEDGAYEPGLKEY YWEKGQRWGRRERKQRKMIMKREAKARSKAEAGSSHAIGGDCCCNEHDFPKAPDNMED EDESENEDSTDDFTEYSSDYPLETWGYSSGDDSGDDLAGGHYYEQECPSEARDDTDHS IAMDSAEHITSASTASKVTDYGSINNDTQWQPMDPPLYADEAQSRAGSWRYTTMEGRR NEDEASMNGVNFFQKVEAPFDGAFQTEFDAFMTVDQSTFQYESSQY LY89DRAFT_683666 MRAALLATVSASRSKNLENNNLNNLNDSNVATPTRRPPTRPPAR SPLQSRDGNAMMRVPPKAPLASKPGNANSKMPFDKQQRPIMPTLSASAKTSNRAPLTP RVAGATPSSATTTPLSRRTIRPDNNTPITASREDIYLSNNITPRSGSRKSRVDSPNNG TALDYASPNEPRVAQSGPVYNGALNVNGIDKDIPKRPTVSFSPALSEVGTSRVQSQNA PPESKFFFASDAKTVTQPSRPPLQSKASSSTFLYANGEVAPLTQASTTPAGSVVGEER AQPKFFHANGTPDLPPANYPPRPSSVLSSSSRASPRVGTASPGPISPLQRPASPSKLN QYASISSLRSTPSLPSPVLPRPQPTGRGQSANSIVANRRTSFEGQRMTSHGRSSSLGS TDVKVLPARKISGGSQVELSAPNSPPNQILKGLPVSTPEEVTQEEDNDDTNSGLRSPI KTGKTLEELNELAANARRERKVLDLEITNSSLEAINRTLEREMRKQTAELRRYRRLSR SGRLSINTVASSRISTDTLSIMDGEAGTMLSDMSEEEDEDPEDESEFSEEEFSDEASL SPSAMAESDARHRKRDEKRLQLDLSKHQQLLIDSQKMNQSLKRCLGWTEVLINEGKKA LEYHVKVSDVELGGRVLALDEIEERQREQENEGMSEIGAQMLREARERQAAVAKQIND DLNAAAAWGGEGRDDRDSGIELDTSQREQYAELQ LY89DRAFT_706434 MLLGISILVASVSYLCYRHPPSSWSILSWLIRPSIQEISTKKEA DAPAAPRHNNDDPSTPKMIPLLQEEKIPVLATTMTIDTDKQASDRKAMPPPPLPISNA APPPPPPTFTLNNNDSSSSSDEEQENTLPPPSFPALNSAQRASAPSSRGPPRLNPLPT TGLMLPPPRPSSSSLPNRNPPSLKTATTTLSPPPTHTQPPLKPRKKVLLTPGHSPLDW ARLSSSPTANLRGLPLSTPYLKVPPSLLSLHNGKFVKPPSFSVDGERVRNDAWTVLGG KVYNITPYLPYHPGGEGELLRCAGRDGTRLFGEVHPWVNWEGMLEGCLVGVAVTEEDV VGGGGLEDMD LY89DRAFT_706435 MWVLDTEGDAFKGKRLWLRPGKRFLIGRTQSEDGQFLVVDKTIS RKHLVVEVDEVPPADCANPKLRSKITLTDLKTKIGTLVNGEQIRGREYVLDQPENIVT IGKYPYHFRFNWVPVTLSYTLTAKELKANPWTALYERLGPLDIKVLQDFQAGVTTHVV AKKRNTSKGLQALIGGKHIVHNDSFVQALVAAATPGASGAAPLEEDFEANFPDPLDYL PPKGEEPTQRELSAYAPDPARQDMFEGYTFVFYERRQHDNLFKPISEGGGKVSLMEVK PESTTVEDFVRHVKGLAGEKGLGEFQDGTEGKGVIVVRFNPVKGEGTEWFAEFGRNVA LHLDHRLIEQNEFLDAILGNDASVLRKPLEVEMSGVVAPPPTDVTNVSFQAPPSSAQM QNATQLSSMAPPSPPRRGRSRRTVKKNFGFDDDDFSGPIASSIPEPQPESMPVDSSAA VESQPHSQGLFVTQDPNMEIDREESPPRSPGKRKRDPSPIFEDSEEENLLDQLAPAAA AFKKQKLARGESIARPAPVIPKPEPRKPPAPTKVKQEINVVEIARQKQEEARALAVAE RESLMEQLEGMDVDQMRNLAIIEEVPVVRKPPPIRTSRADESDRWDDKWNGRKDFKRF RRRGATGNRKNERVIVPLEEAKNKDFGIGDDYWGGEIDSQKKKKKGKETQSQNESGGS APKSRAANRARQILASEAEEEFGSPEPEPEPANIASSSDVEIVPPPKSKAKAAATTTT RGSRSQKSVTSQESTTQSRGKRAAESSASSSKQPPAKKQKQLSLMKGRQQSEDEDEDS DDDLKFKFRRKK LY89DRAFT_717331 MTAVIKISENGTLSAIQVGPAELVAALNAATSAWGWLGGLSGVQ RLFSYVRKKSGTKTIDTLVKRMRIEPITCQVLTSYGIVRLDDLDTRHAFGGTFETQLL GQTICALAHECGGRQAVHLFMQCLAPKLFPGEEEMAGLREALHAQLNDNHEKILNEGA GRSLTQRFNNAIWASNLPFCVMNPAKDKRPAYEGRKLESYMVGGLLQWLGQEKVKIYH TRSALVLRVAVCLREIGYMVGELTCWSGDGDEPQISRGVILVLGGTSPTDTMMLSENS PYCETVFFHHYRFTTIGSVFLNGLPHVDERHHPEPFQTIFDKVLRWVTENLSFDWKVV HKDANVGLQSVPRWTKHPEKPSSEARRLASFHFTNSGDHLAHCYSYVGIANERHIDAV LLSMQGANKGKPLTKEVANFRVLTASILFAVSSLLGGKDFSKLQHVTSVDLSFSAFGW MSVALELLDEGLIDSLPLGRAIDIVAGVHAGAIPVRSPQSAIENYSIGYRNGIYGVLP SLLFAMKPIPEALGLRCVDQFYGTIPVHPDGYIRSIGSARLSYDTSTQTPPANTYSTQ AWIGPLEVVAPDVPLHLSIERSAEDIYPNTSLAGRVNGQLVGYVTIQDVIEALAENLE VKSIDSTTHAGNRNFFNVQPSFWAEKWSIKPHIQGYQTHVSAGSDPCWAIFLAGQAAS FGVCMALELPEWSNALVTDTTSVIVSYKEKDIGENQHDIANSKH LY89DRAFT_780799 MHRFVFFITLLKIFAFVESNDCSIPPLVLRIDNNTLSGDGIALN RVIAANFRNQIEGLRLDFTRNNTSIRNSLDCTSSSQSTQSQCIGASGGVFDPTNGTSF EAATSESWSVTSIDPAPDDAYVKYGYGVVTFGSDNTVSKFPVRSMERHDEFEQEQSRP GEEFIRTVFPLGVRQDTISRVRRLLWQQKHGLPYRRMATSYLDQPCPLQVFLKDVRVT TSDGKSNSLMTDGEAIVPACINPVENGFQFSPAMYQVWANVTQHPSNPPSDGSHNYIS QTYPFSNEPLMNELIMELEGGYQVTIPHYELISLERGNDAQANAITTAQSTSTIVSTC QASSASASSTQKLSTGNIIGIAIGSFAVGVVTGVACSYFWRLSREKGPNQANPLGSAS GEADNEPDSEERARSAAAATPAPSAAPPPAAVPRQPSPLVDRLPGVVTLK LY89DRAFT_613904 MSTQDSSQTSTGPPPLLALGLRSRRGSLASISGSTPIDREQLAQ ALDKIHNTASHSETLTTFNEFASPPPPAASTESKGLVNDIMPNGLSGLYSRFRGAVTG GKEKSGPSSNKGDNDSIENGSTKSQSATTPTSKGSIAPTREDSGVTATSQISASSSRL QSPTASSFLGHSSESQSMMKSSKGSMGSTAATTPGTAKSGTSSKPSMTPITKATISTP TTATVAPISVTAFKEGDNNRSNTEMCVAEARTLSPTTTHDQSNTFGFGEPQQTRRPMV DGSAIDDSEASDDEAGDEASIATNPDSAQDREYTGGDGSLEIGKKNGIAKTATSALSS LRDSTRASKQDGPKRPAAIERITQSHLPGYQASRASSTDRSTAETSPVNTSAHNSVRH GSFSVDEGPQYGYMGKRRIPGTISTEGASEVVGARLEQMRKQVLSKEFWMADEICKEC FLCGDTFTAFRRKHHCRTCGCIFDSKCTSIISGQRFGVQGSLRVCKTCLDIINRRHES SGSDDSADDESALPTFFQSQQAKYESSTKAGSSEPIEHAQEGEIIGGSDRTRPLTTPM MAIPAARRIGDSTNRRSAVLEIDAPPQLSRPSSSRSLKALSTSARPPSSGHKRHHSKH NLFGRFKSTAEERAPFHRGLKDDLNKRSHLPAFHDDNIIDPDLAPYMSDEGSSADEHL SIFSTMNSGSVASPVFENDRSGFGSLLSAGKKHRSRAGEKSVSGVSFTSRGLDDGGST TVVGFSRPNRRRNMSAASNNYNHSRPSPRQQTPAFGDLDEQVVDTEASSAPSNSGPSR MTRSASMRDARAPAIELNNASLHHVRRLLHQLLEDANIPNVSSWEKALIPILLQCTDD VNPDVRAGDDIDIRHYVKLKKIPGGKPGDTSYVSGVVFTKNLALKSMPRSISNPRIVI ISFAIEYQRHQHHFMSLEPVIAQEKEFLRNMVNRIASLRPQLLLVQKNISGLALQYLA EANIAVAYNVKQSVIEAVSRCAQTEIISSIDMVALKPVHIGKSAGFDVKTFVHSDIPG KKKTYFYLSGCPKELGCTIALRGASMDILHKMKRITEFMVYVVYNLKLETCLMRDEFV LIPSVAENSGNISPNRLQAAQSRVVSPMTSKDTIAAASDKLTAVAEDARKLRDARNLN DGPKPVISTRPIAIDGTAAVLSQSPEDQKPESQTEAARLVSAHESHAHGSQEDLLPED IPMPTFYSDMVAKHRTKILSASPFVKFVQPYLLMTAREQERRLVYLRRLRDLDTYEEQ TETEKAKPQKFQLIKPEMVHETVKRAPRQIMEVLHAVHDAEYDKALHVYQTQKRQWEN YIQGNLNLFDPYAHQNITVLYTVVCTATTIPCAGPDLLALAFYNEHAEFEPDCTLGQY VEDLCLSVNTVCTSNGCERKMSEHHRTYVHGEARITVFVEKSPCKIKGLQDSILMWSY CKICQKETQVMPMSESTWKYSLGKYLELSFWSSELHLRAGFCPHDIHRDHLRYFGFRN VAIRVHYDPIDLLEIIVPRTRVTWKVDNDLRLKNDLFTKIEERWNRFMASVHSRIQGI NIDSVAPEKAEACKAEVEGLAKRAQEEHAGMIRKLQEKYMTSKYYEIIPLNRAVRAMQ EKVAEWDDAFSDFDTNFFPSEKDIRKLAALQLKKMFIDRDDAATSISTEPTDLTSDVD EKSPSASLELTRNNSNISAEEAREVLTSVVEEQLGIANPATSEPPVPEIPHGPPEASE LDREGIDHLDLAYAKKEEEPEPTPTETPFATDLSSIATPSESDATSSQLVETPLESTL SEKIEKLRRANMASPEPGSVSEVSGIPRPSERGTSRPGGSASSPPLIRTQSQPAGTMR RIHPSSRTHSRQGDHKAVGEVLTPTLEGLKPSATEPIAKATDKKLAERFGLGSMKARK GQSYIPRSTGSHAKRKDSKVTTLAKHFEQLSREFEKERLRDKKQRAARVTQSRGAFPK ASSKPIVEVYKDANEAVEERGPTDEQLQAAQPTNTNTESISTIEGLAEMNKDDTAGDT APHSPTDGGVTADETATETDDNHNNVSQTGSDDDGAGSDADHSLLDDIPGVAEIAESL RSSKLSTDTQEDIPKHEKSSLMKVLTTFWAERSASGWTPLDYPTNAGDHIFADMDVIV REDEPSSLIAFALQTQDYKDKLADIRNQGQAHSRKEPRPTSNQGGPPDICEDGIDQAE VETSLLRATGTHLAYSFVDGSARMQCKIFFAEQFDAVRRKCGVADRIVESLSRCLKWD SKGGKTKSVFLKTLDDRLVIKSLSPIETQAFLKFAPAYFNIMAEALFHDLPTVIAKML GFYQIIIKNPITGTEIKWDVLVMENLFYDRSPTRIFDLKGSMRNRKIQSTGEQNEVLL DENMVEFIYESPLFAREHSKKLLRAAIFNDTLFLQRNDVMDYSLMVAVDEARKELVVG IIDVVRTYTWDKKLESWIKDRGFAGGGRNKPTVTSPKEYKSRFREAMNRYILQAPNSW HQWQQVETRPHARIEARPESRVDNEQ LY89DRAFT_780801 MSRVMRPWIARVVKSELLKLQENNKFNVNEPTLKIPITKVGLVQ IIDLGSDSVHGICDEETIIKAEFDPACVESFKKSSSRSFFELKGAVIAISDYDIILKL QSGLPACPRLFVRAFKLKGSEGSAPFGKPIDIMQDQALQRIVERVSQAFQDDRQDQES VVSCSPSSIHSQEDTPAARFGLSLNPLGTQQLMTQMATQIPNHQEESGKPKEVSKAPN EKLLEMLLKKPRPAGKASNPVAEGVEGRSTGALAFDAKASSSHSILRPISERRAKEMI TARETRANRQETLFTTQSSFSDLSRPSSQPQAKKPRVAEIEPPLSDDSEKENSQEILQ RARREASEKANALTEPIVSERADSNPSVLFVDPFQDSNPFEGLKRVPRKFVRIPKAQQ ALLEGNGSWFTPQTDGRISYARLPPQVQQEMDVFLKRQQESSIEEPHDENNDEEEEGA EDEDSEEYSEEDSEEDSEEDGESGQSTRLISTRSQIHEEADQKLASPSKLNGAALQSP ILPQIPNFNSSLGSDATARPVSPYDDPEANPENIGVNEELSGDESFLWSPSPVRDIRE LEVLPTAAKCQLENLGEPQSIRGPISQASKEDEFHTSPMSENAEASCSDFEEQELPSA ERKTHTKSPTRIQSVRPRFQLADIRSSSPAEEDELDLAVPYGVGDMVEGSQEQHEGPE TSQELPCTGPFKGEQIQVEKTPFAHIHATKNCPLSPKMSKVATANTRPTSSDSSSNLV IPATFPPCTPLAMDALSSLPDLEPSTSSIVQIPATGRRSKQLSPRVSSLGASHTVEIA PEFVSLEDKSSKSSKPLKPDFGRGKPKEMARARRNSFLEKLHAGKIDPVISRSPLLPS PEKRNHAVNPTAYAEVRISSTYVEGSLGDTSTAHQPIAEAPDALLSASKVVLDLRSPS PEHNASFPALSADVHLSQVAPNRIMSTTSSPPLVFYDEFRETYPEYDGSEVEFTKALV YLEWLKTERGTTIPHCDDFIRVMNSDHRKHVNETVATERLTGLDFYSRHVSKAIYRYD IVSSQNIEAVLLTLDSETVAKFRRKFFGEPDTVNLSSPGASQIIASIETEESGMVLDD VVTFPSKECSSMQKGVAHDMPAVPRKATTSKKPFFETASQQVNLAKQIAETPNRVSHS TSNGGSSVKSRKTTSSLKDIMAKHQLRVDTPSRASQASSSRSDDKSTKRKSLPWVPIN SQVSSYGSSDKSKKRKTLPWITDNSRASSYGSGQGYKERRANPWLTDKGTKSTASTPT RTGLHLKPNNSFLGLSLPDRGEKMVSNDVMVEEEEEPCARGYPESPILGSLRDLSPKP RESKRKIPSDSPFDDLEDPFAGSKAGRRSTMPAKTTVPWGDAPRESKRKRTSEISPLG GLEDPFVAASNTSKAARRVTMPAKNLESIDRAKEWVKTTPLKKMNPFEAKVDRMRLSG WVPRSARIPRLTGKTYCITKKAEPSDEPTTQAWSF LY89DRAFT_643298 MATDTPIDEHAVKEHDTLKYSLLGPSLTKSGQDNVDQQKVSEII YNASKGSKYFNNEESRDKNLTQKIDRILTKKKQLEKLDLASDRRKADDYIAELELTRD LSQWIVHIDCDAFYAAVEELDRPELKDVPFSVGKGVLTTCNYHARKFGCRSGMAGFVA KKLCPQLIQIPLDFDKYTAKAQEVREIIVDYDPRFESASIDEAYLNITEYCQRNEIGP EEAIEQLRREVHEKTKITISAGIAANAKLAKICSNKNKPNGQFRLANDRSEIMAFMRD LPTRKVNGIGRVFERELDAIGVKTCGDIYGYRQFLSKLFGEKAFAFLMSCYLGLGRTT VQPAEEYERKSVGTESTFGDMSDPVELRDKLRATAEELEKDMVRTQFKGRTLCLKVKL HTYEVFTRQVIPPKAVYVADDLYKYSVPMLSKLEQEFPGLRLRLMGLRCTHLVSMKKP DTMAFFGFKKRPNESGEPDSPSSTAKRRADTLEDDDGWEAIPEELLFEDAERQEREDE LNELESLSQEIDKRRHHGKEIVPNPKKQENQEEEEWWDCPICSRPQAANEKEFNEHID LCLSRQTIRDAVQETSDLRSREPTPAPKKVKTSNDRSKGVPGDPKQRRLFFG LY89DRAFT_683672 MADSRDAVIDETPISPSRPDHIRQNSLEKHLQHRPDPQELKDRH ILLDTNAAPSLQSAAMELERQRASDSLKKGLERRPQKEDLIERNILPSSSAAPAIQGQ QRELEKHMRADSLNEKIAHRPQREELIKEGILTEEPISSEEADRLYEERIEDEYAKRE GGA LY89DRAFT_717337 MSYNNQPQAYYGGGYSQPQQQVQGYPPPNYYPQSPPNQSARPPS GLPPLDSYHNPQAPAGPPPPGSYQNQQQPSGPPQSAYQYQAPRPPNGPPPPTSYHTPT PPHGPPSPQGYQEAPPSFDAPHQPVTQSQPYQSAPYSGAPPEHDAYRGQQQYQQHASH VQNGYQAPPPLNHYQTYPQYPQHSQQTAPISQQHAPPAKEEKKRGAVSSFMHSKKGKV MMGLGGTLFAGVIGAEVLSDMNGGDSDSGGGGWFGGDSGGGGSGGNSPSGNGGGYGGN DDSYGGGGDSGNGFGGGGNSPSGSGGGYGGNDDSYGGGGYGGGDSGGSYADAQAALDA QAEENVALQAGFDDMDSAIF LY89DRAFT_683674 MLLVFHTLFLISITHAYTALSDSFLQSITSPDADFNPENGTLLS PILRPRVPGTAGHSAVQHHFVDWFSDELPRWRMEWYNSTSTTAAGAEVPISNLVFQRE PPWTKTGQANWLTLAAHYDSKNVPEGFVGAMDSAVPCAILMHVARSIDRYVTQMHDEM DALGEGGTVPMDMGIQIMFLDGKDSFDGEAPALYGSRALTKNWEMKSNLMPLRYPNAL SQVSMFVLLDVLGSANPTIPSYFPTTHWLHRNIANLETRMRKINVLESRPSSAFIPQS NDILPQSEPVDDYVPFMERGVPCFHLMPSSLPSNRHTINDDGEHLDMPTVRDWAKIVT GLVMEWLDMMEVWPE LY89DRAFT_780806 MQFTQLLVLALTVAVQAAPIDGEVGLEARHHKGATGATGAAGAK DAGAGGLAALFGGAAKAGAAKRDEELEARHHKGATTAATGAAKGAGAGGLAALFGGDK AGAAARDLEELEARHHKGATAKGAKKAGVAARDLEELEARHHKGAKKAGAAARDVEEL EDRAAARDVEELEDRDLEELEARHHKGATAKGAKKAGAVARDVEELEARHHKGAKKAG AAARDVEELEARHHKGATTAATGAAKGAGAGGLAALFGGAKAGAA LY89DRAFT_706441 MAGQLKYENLQLDDHDDSSITEVDESLMGDEKHMGYKRKSRRPT WWSILKGSLDTVLLLVIVALLLQYQSPKGTPKTSENEVGGDLTGVGPHFSSQVTTFHI NQTFAPYNTADFFKDEVLTAWNQLMPVGMGFQWVNDTHRYHDLPHPIMWPDKTVFTTS MTHQLHCLFAVVQTYSGLKSNTTLPEDHHWHMIHCFDYMRQAIMCSADMALEGLETTF PDHNGGSDGWDSKHICKDYGQVIDYLESVRAYDDQQIY LY89DRAFT_780808 MGCIPRLFKSKRKLDLPPPPYSPQACQTREQLRSEKVGHGKQVF FGRVVHSKSLKHLEIFNKAALGVDETGIICFLDSTVKSAAAACKKYSGFENAQCTTLK SLEFLFPGMIDTHMHAPQWPNMAIGMEGNLQEWVEGYTDPIEHSYKDTDKARRVYDDM VQKLLENGSTTVAYNSSPHWEATNVLADMCLKYGQRAIIGKLCIDCNATHGNIEKSPE ISLEDEWKAVEHIRKIDPDEKLISPCIQPRNGSFVTPPLMAGLGRMSNGEPGSKNIHI QAHMCETLFDIRKMKTVHPGFENYSEMYDHYGFLHEKTILAHCIHLSDRDIELLVERK AGVAHNGNSNTCLTDGECRVRELLNAGVKVGLGTDCSAGYGVSILESMRAASNVSRHL VIHKDDPSLKLNFEEIVFLATMGGAQVCAMDDKIGNFEQGKLFDALVIDVGQKDNINI SGWEEDDLALVKKWVFMGDDRSIRKVFVNGKLVAGKDM LY89DRAFT_643313 MVGASERSAADIESGAVVTFIEAPGTVVLENSQSKLKHGQDGTL VLYPQPSEDPNDPLNWFYFRKIVNFSLVAFYVLMVFAFQDIPVIVFQDYVDQLGVTYG QLNNTFGLNCAGLALGCLMFIPFSIKYGRRPVYIVTTFVMFFTTIWQARLRTYVSMMG VNLVMGLAGAVADTIVQMTINDLFYLHQRGTMNAIYLIFVNVGVYLAPVAAGYSAQSQ GWPWIYWWCTIFFGIVMVIFLFIYEETKYMVMSEGKEVPTSEVLIGEGEKGVQGNEKQ PVENATAAHSTRQIDASIPLKSYRQRMTLITKTPGTFKDFLRHFYNPLLMLAIPGVAY TALQYGAFLSWISAVATTESDFFSSDPYDFSTSGIGLLNLAPFIGAVVAAPYSGPLSD WSIIQLAKRNDGIYEPEMRLYLAIFPALVGPAGLFLYGFALAHDAHWIAPMAGVALYG FSQASIQALSLTYLMDSYEEASNIPIFGVCFVRNAIAACIVFAVSPWIEGMGMYNTFV LLGCVALFVMLLCVPIIIWGKKLRILCKGRYAAYAKVQPARRG LY89DRAFT_683678 MPFPVIDISNIDDPQHQLTIAQQITDACKQWGFLLIKGHPIPPS DIQEMFSLAKDFFSLLDNEKDPWPINKNQIGYMGSFRDKNNDDKMSMWFGGLPGALEN NSEALPPFWHTKTQRIEAFKHECHALVLKLLVCFAIAMDLPDNDFFAKAHRENIGRGN SLRMLMYPARDKKPKDVGSRMGQHTDSGSVTLLFQQAAGLEVLAPSGEWVKAPCERDC ILINLGDALSFWSGNQLKATLHRVTFDGLPHDKERQSMAYFGAANPDTVLQPLLSGVT MGKYYSNGLCVEPGITVGELNKQIMTSIYGPAVAV LY89DRAFT_643319 MASPTLPAQVTKTTERTPLLGDPLLDEANAPAVNPSEKPQYGSE ILLLFRASVPIALSFALQNIVQASSILIAGSLGTYELGVVSYGYMFASSTGSMIGLGG ATALDTLCSQAITAVKSEEKAFVLRNYLQRGILILAAIYTIVIVPLWWFSGRLFVGLG QDEDFAMDTGLFLRVLLPGGLFQVMAECLKKFLQVQGHSFQTGWAIGIAAIIGFGANL LLMRVLDLGFLGAPLAHTVYHLSTVVCLLVYTTTIPESVPCWGGFRKGRLTDWTRFAN LAIIGIVTQAAESFSFEILALMAARLDQVAIGAQGIVMASDLILYTIPIGISVASSHR IGNLMGAGDVSGVKFALRMPYILSLIFGIVEFILIMLVRNSFGYLFSDEEAVVRLAAH VLPVIALFQVLDLSNNGACGILRGAGKVHLVGISNILGYYGVGMTTAWFFCFKLDLGL AGLWGGLVIGSAVLLIVQTICILLINWEKEVEAVSQQDHGH LY89DRAFT_505325 MAALVGEDSLLRQLTSWQILFIAIGGTVGIGFATTSGEVLAISG PGGLLIAFAVVGLVTIFVMEGISEMIVLWPIPNAMMEFVAAFVDRDLAVIVGIAYWYT NAVTLAAIIIGAADLLDYWDISFPILNVVFVAFLLGVLGINAFGVKVFGWVELAGGII KVLLVTTIFIIMICINAGVLGTGQRIGAEYFADGVRNNPEVAHSHIEAVLMCIPLAIF SYIGVELITVTAFEARTNRELQFPSKHIAVIIFVIYMVSIGGFAANIEWFNQNLPQFL SQDRINVTAIPAANLNSTLGHFPRSWQVLPNLHNITAAPVVAVMEAGVPVLPGLLIGF LIYSGMSAANTALYVSSRTLYGLTRQLRQDDDRFVKRTIAKLNTVSPKTRVPIWALIF SCFIFAGWLPFAHFQSSFTQEELKQTLVAIGSVSCVLVWASQCLAFIQYNKWLWKHKQ RLRDHQTMNRFDRWHPRGFSTYLVSLQPFPAYAGLISCLIIVVVLNAISMINGEKLLF KALVNYLGPAILITIFTILKIVRRRTPGWVTLGDFAQLRETLLRLDGLIEGGRPITPP EEQGGNVPQLNYNPPYGGNMGPPPVNYGSGYQNNGYAVPPYQNGTVIPPRPNPSPAAG FAMPPTAAQIERQGQGQNSQNHPSYQSLDPRSQSPNADYELHEFGSPVSPVGQDTYSE VHSESATSDVRHGSMVSTMDERLTPGLGYARNDAFLDAGYPRQPQRGELS LY89DRAFT_780813 MSTDGEFEKVEADWLRYPASLKDFDSIAVDSSACMEQIDRLSDV LFSKGTKVEVLESSISSGFLSRNSIWQANSLSPLRISKSSLLKILTRYEINPQLLDVV CAFGDKQISSDEVSEVGLYNTFDGMSYETSYQLRYVERNERAHGDPWSVRQTGVYHKI SGVGTTGLTNMWMLLHPMPNSKAYRRLQESTSEDDAGEAVDADPLRLHLLVFSSYIDN WRLYLHDMTRQFLELEDNLMTSELRDRSEYTMLNFETLQQLRHLAGKLIPIPTILQAS IKTLRSIAEMSSTLDLGFQTSPSSNIMLRKSKSSYHLRAFQNRLESYLESCYVLQSRI ENMTKFLADGLNLQNQEISAESNGHLVTLTKDTVDDSATVRAITFVTLIYLPATFISG LLGSNLFAFESGTSDFVISHQFWLYFALTIPLTILTVGYWKYRTWKQAKKRAEDAALQ HLNFV LY89DRAFT_505370 MLIRCSILVRWTYNAQSTSVGQWLIHDFGTSKIKESVEETGPLA PGDFLTRFSLTKAQRSPSTFQAPEVQNSTDRTTGRESDMWSFGCMIALALAFALGGPR DATELLNVNYDSTITDRVPNDWFYTKVDGKPVTKEATSRWLNKRSAERPEDRWIVRII DLVLEMLVIKPTSRLKAEEVQDQLHFICSEESQRMAKVCRWARPPSPPHVDARRVARS KDPDSGLHPVEAHIGYRNSNTPSSWPQRQDYYPTLSSTPGPWQPTSNTSRQSMPYSPI YGSSSPPRGQSTHGQSFPSLSSPHLADNRYRQSPAPPWSPNYSSLGSDIANDPNLSVA PAGRKPSIIRSDTNSVSQVYTPSSGPTVPRSTSMSNRSDESSSLDKAGALVGKRSSSS GNVTFTNLAFPDGSSKSVVCGISNRVAYMSATSVLVHNFRYHNSWVPKKPPKSPDSAT FGFYAPRPIECNPGFGWDLISLCGDWLILRANNGADCRILRYHCSRRNLDNVGVALEF WTETPFSTNKYGMPAPDAVNAIAIRVLEIKVNVQGDVIFMLDDGLCLYSASMGLHSLV IEGQLRRACFSCDGSHVFAWSRSSDRTYGYQNRWYIWHINSTATPLLTPTSQWTTAKH PGQGKDTLIFPFPRYFAAFEIPDRLYLIGKDRESPVVQLQSPVDSISAGISYDVTYEP ESPDSTSQRLILVQQDSSPRILSLPLLPQVRSSTPSKLQELQLAFDAKTHGVAVTRDE KGTYVVISHPKGVIERRKILI LY89DRAFT_505360 MRAFHWNNATRREDLAKAIRYELDAALKCRNKDSHEFICLDDIE KIWTLQRIQSLSKGLPWDKPALHERALADYRLVLSVLVWIHWDGWSDFGKVFLEHVDR RRIFDREDRYLPFDATTELLTQQNHNNEFLKRQYIFIPIELAEEGSNQHATNKDDYEA LYRMPFLDTKVIGTGATGDVFKALIAPKYFLYEQGHQNLKPMWVAIKRIQRSDEVKVK NFTAEQKALRVFKQCLQKHENIMHSFLSFTHGAHFVIISPLADLDLAAFFSGVYDGFE ARQRSFTPFDLFQEAACLAGALHFLHNGLELRGSKIACAHLDFKPDNREASRTRIYCK RKLLRQLPAPGSQLPF LY89DRAFT_696265 MSIAVPSILERGFASQDIITRDVVIIGGGATGTYAAVRLREDFN KSVVVIEKTGRLGGHTETYFDPVTGYPVDYGVQAWVDGPIVRNFTGRFNISLVPAVSP PFATDYIDFKTGKHVIPPFTNATIIGQALGTYFQLILQWPFLAEGYNLPSPIPADLLL TFGDFVNKYGIQAAVPTIWTFSQSVGDILNTPTIYIVQTFGISEVQAVLENGFVVPAD HFNSELYLKASALLGADVLYGTTTIDVARHDVGLQQITVQTPTGQKLIKAKKILVTIP PTADNMKPFTLNHHESSLFNQWQYTTYYTGIIRNGVPDDVNVINTQSGTEFDLPTLPF VQEFSFSGVPGLHTFHTVSTKSQTDADVESLILSDLTAMSSAGTFPASSPSIEVLSNH TPLGLRVTADSIAAGFYANLYALQGLKGTFYTGLAWAGDYTSVLWTFTDALLPGIAAA AT LY89DRAFT_696282 MPSFFNHLRGGRDALLHGRASDESSPPDSKSSEQGKIIAQDQTV EPAILEGNVDNYTTSESRRQIGWISAVFLIFNRMVGTGIFATPSEILSLSGSVGLALF MWVVGMLICFAGMLVYMEFGTGIPRNGGEKNYLEYVYRKPKFLVTAMYAGYVVLLGWA GSNSVIFGEYILNAAQVEVNRWNQRGIGLACITTAFLIHGLALNWGLRLQNFLGIVKL LILALIIISGFVALGGHLQIEKPDNFSNAFAGTTGSAYGVVTALYNVIWSYIGYSNAN YALSETKDAVRTLKKAAPAAIILVSILYMLVNIAYFAAVPKADIVSSGRILAASFFHN MFGPRAERVLSVFVALSAFGNVLSVIFSQGRLVQEIGREGILPFSKLWASNKPRNAPF MGLFEHWLVSVIIMLAPPPGDAYNFLLNVISYPLAVVNVFVAGGLIHLYLRPFSIHRN PTTWAPPFRATLPIAIFFLLSNIYLVVAPFVPPSAGQNVYEHLPYYLHCVVGIGILLA GAVYWSIWAIILPRIGGYDLIRESKVMDDGWTRNVFARRPKTL LY89DRAFT_505460 MAEDLHILIIGAGITGLLIAQGLKLAGIKHTIFESEITQGIRTR EWNLGVHNADQDLRSLLPSNLYSRLREAYSDPHHVAGTQEKTPMYNSATGELLHAIPR PDTINVSRKRLRRLCSEGIDVQYGKMFTGASFEEGGVVAHFMGGESVKGDLLVGADGT RSLVREVLLGAEKATRVLCELEVITAIARYRDPEVARRVRSGHPEVCFGYHPEGMFNM IAISNVPNPEDPTTWEFYVANSVLEKSPGNIGNEEIMAQVKSKCQYLAEPFKSSFMEI SEDAKLFNDKLYYWAPQPWDNHGGRITLAGDSAHPMPPYRGQGLAQSIRDVANLVKAL KSLKESRAKSELPGLIKEYEEEMIKRGGAEVEMSIRSMNMVHDWEKLMQSPLMKIGGQ KIYEAPKTE LY89DRAFT_732362 MSFPMEALPRIACFHGGGSTGAIFEMQCEQVRDQFASTFELVFF DAPFYRDAGPGVLPFFVPEKWGPYRTWFKGNILLGTENGDGRDVDGKGEGGIERVLRL IADKGWGGDWVGCMGFSQGSRIVGGLLLDQQRRKELGLPKAEGDIDFQFGVLCMGAGP PMVSDISYMAEDDGVINTPTFHLHGTKDAQYENGKKQMKTYYDPNSVKSMDIDYHHAM PWHRADLVKFCDAVRQIYKDTKPKLER LY89DRAFT_505447 MEDKEGTRTMLAQVRENFRLLEVASEQLNAFAAFIEAFFLKTTL SNENARNFQNFTYHSRPTVCEIIEIFGAQAQAAGDKADRLESDIQFMKRVMLSEVVSI MQQDLRAVSELRVTLQHVLSQWKLSDLDQIEKGLESANSQGLISICENIANRRHEQRY VLHHFHKVITSGLLSTQLEFDFLSAETSSCTGDQVTYENILRAVDWTRGKVELPDIEP IIKSFLRYSLKAAFEDFAQHRHKLDQFLKKSRIPSLVTDIQKVAASSVVYLGPYPMFK TLNDDIMKLSCIAALSCKKETEEERPKKRLKTTNENDDPKPAVKILIKTTATNKHDAT KEISGGPLRLVQKRGIRPEIRTLEIPTKFR LY89DRAFT_683685 MPPPSGPPPPMNQQDVPEGWTAHWDPQYQTFFYENNYTNASVWV KPTEPAFPPGRGPPSSPPPQNDPTASLTNSMASVSISHQNSYQQPAQQLAYGQTTPQS PSQNMYNPAPPPSGSYQPYNSTPPPSQYQQSPPPQQTPYGQPAYQSAAPAQAHQRAPS GQTQYGAPPAAPYTSTPPPNVAGRAPPGSQPQQPYGATSPPPAQRQMGQQPPTRGPGP QSPYNPAQSPNSQPQSPPAGYNNQRPMSGGPPQQSPAGYPNSNPNPGAAGQGAARGYF DQASQPGNRPPQGTPGSQPAYGSPIPPGAQPGQQGARGYPGQPQSPPAQGGRPGKQPP YGSPQPGKYPPQAPYGQQQPPRPGQSPYPAQPAYGQPPSAAIPPAPEAKKGFLGNLSS KVGGKGGAILGVGAGLLAGGILKHEWDEHEEKEKEKYQHHQPQHSHSGSGSYSGYGGY EQQPSQSSGHGGIGSFLGGGAAGVAAPVIFEQFMPGGGGAPTKEVVEPTYYENDTYVV DNNTYVDNTYVDSTYVDNTYVDNTVVDNNVYVDNTVVDTNYTQVDTYQQDNYVDTSYQ QDSYAQVDTYQQDSSYTQVDDSYQQTDTYQETDTYQATDTFQETDSSSFQETDTYQQD DTYTQDDTYAQSDSASFTEVDTSYQETDTTYDASW LY89DRAFT_505628 MAAPDDPTTTIVDEDTKGGHHNRRSSHSEHEVTDHEKVLLAAYE GHDADIPSNEGYILDEQGEFKRKQSIAASHRRASLGHNKRDVEKTKAQVSAEDSDEAN IVWWDGDDDPQNPLNFSKWLKVLNITIVSAICFVTPLGSSMFAPGVPQLMTEFRSDNI ELASFVVSVYVLGFAVGPLFFAPLSELYGRMPVYHLCNLGFLSFTIACALATNLNMLI GFRFLAGVFGSAPLTNGGGTIADLVTQEKRGKAMSGFVMGPIIGPIIGPVAGGYLSQA KGWRWTFWVLAMLSGTFGLLGLAFMRETYAYAILDRKTKRLRKETGNMELRSKLDSGL SAKDYFLHSIVRPTKMLFYSPIVLGTAVFVGVVYGYLYLLFTTFTPVFEETYHFSSGT VGLTFLGLGIGSLAGVGFFAWATDHVLKMRRAAEEATASGEASEGHAGALLPEFRLKL LLPAYCLIPCGLFIYGWTAKYHVHWIVPILATVLIGIGNMAVFMCISLYLIDAFSIYA ASALAANTVIRSIMGAVLPLAGQQMYKTLGLGWGNSLLAFVALGILPVPWAFLRWGER LRTRFEIKNL LY89DRAFT_780822 MAAISSSTSGPSTTQTNPLPLTFDQIRRIRYYRLHGQTLDSEDN IFVPCFNDKYEGRHVGNDHRFAGEMLNSIVRTQRDEWLFIPCSDPNARNGVFKILVCD LIRQLYNPARNYNEALKRGAVFGADNHQRVEDLVFGEESADVAVGEPAMPPIVRTEST SRVAFKVTPAVWHWILQNVGDEWQPAFQKVWEMSMPKFLDERWTICEACNPKESKSGE RWTVLPELKRSDLLKDDTWEKFYKEHPELRF LY89DRAFT_505694 MFIHLCLSWAGSLPVCILWSKRLILCIASFASCYGKVPYLPKKS SFVSTIPICTSHSPYNTSVCSSLGQLRQSHFRECPKKSQFFWLSHCANTFGTIGTFPT HCKNL LY89DRAFT_683688 MPPSLSRSSMSCLSRCRCPNSSIAIRSFTTTPSLTAIGPENPKF IEVPIAPQPQAHPKIDIKGTLPPPRNLFPRRAGNKTSREYLAAVTPEPKHESEPKTEL EAWKRRMAATRRTNLREGLVELHKRKRKEDAIIAGRSRARSEAREARLYAPQREDDRL TNPTITAANSTLQTGHLPDPDRAARIAEKAARVEAIATEKEEARRDALHTLYMHARSF ITTEEQLDQRIEAIFTDTPFEHNNRNDNIWEVAGAPHTVQDMLSEINKTEKSAVQYHS GPSQITGKRMKKIAEELTGGKMD LY89DRAFT_683689 MGTGKKEANRKIKQGKVGDGMANVKTKGENFYRDAKKVKSLKRL TEGKARHNAAGDIVQAAKFQSKTLPSARVEPNRKWFTNSRVISQDALASFREAMAERA SDPYSVLLKTNKLPMSLIRDGQGKNGLKEHQAKMAVEASPFGEVFGPKAQRKRVKIGA SSLEDLAEHSVKSHDTYLDRLEQAKLLSGNSIETEAVGEAAEIDDGQITSAREAIFSK GQSKRIWNELYKVIDSSDVVIHVLDARDPLGTRCRSVEKYIREEAPHKHLIFVLNKCD LVPTGVAASWVRFLSKDYPTLAFHASITNSFGKGSLIQLLRQFSSLHSDRKQISVGFI GYPNTGKSSIINTLRKKKVCTVAPIPGETKVWQYITLMKRIYLIDCPGVVPPSSTDTP QDILLRGVVRVENVENPEQYIPAVLAKTKPQHMERTYQIKAYTTHIEFLELLARKGGR LLHGGEPDVDGVAKMVLNDFLRGKIPWFTAPPIVEGAEEKGVEGRQGRLGEMGRKRKR DDESVADTSIADVSTLGGDDAQDAEFEGFSDDGGAELDDVVGDVDEAFGLDSEDEESD VELDGEEDSESDGDGGPSEQLQEELHDRIDDSKQDSRKPSKKKRQKT LY89DRAFT_696272 MASTTYAATKRTSKIKQACDACHLRKVRCDGDKPCANCLGTDLQ CTYLAVPKKKGPKGKRTAKNPGPKPTNLPYLLNQIPRPVPPPRDQSFGVKETLGFNAG SLPRQPEPVPAQTIHLQPPVHLGVVEDARAQEKSAFRPSSIVTKDLLKTCLDAFFTHK YPIMPILDQEAVYLTLSVIGDNPEQYALMTALCSVIVLQPEILKSATGEGQPDQMRAI SWPSSEFLMKETLRARQFCDHFETLFCLGKDNSAWFYIRESMTMLQILRLHEENAYSN CSGIQYATYCRRTFWLLFITERAYALQRHRPLTLQRTINLPTVDPGPEATILSGFLDL VSLFQNFDDTFLSLWNLSETDSPAPTQSLVQLQDILKFAIPNVSERTEIQQADLLVSR QWLKTMVWQLCVRKGLLSSASTNESMSFHYPVTIARDVVLVSRLLPPKAFEANGVGIL EKVFDIGCSLADVLSLHPNFANISALEVGPRDYLMELVRILGTAPGGNKYLRLLASKA DECLGVRIRGSLSDSEVGLSSWN LY89DRAFT_505711 MEFSRFRPTPHFIAPHSWMNDPCGAVYIPETREYILCYQWNPGT AEGGNCAWGMAKSKDLVTWEDCSPALRNGPTYDRLGVFSGSIVSRVIDGQRVLFLFYT SVSAVPIHWSKDYIEDCESQSVAFSKDFGVSWHRYEHNPLMRNPPRESRTTGWRDPFV SPWKGLSELLGVDPKTDYMMIASGVKGHGSELHLYQSNNLLDWKPVSTILSVKAGSRV SSKSNLRFGMNFECASFFSIGQRHYIIVGVEEDEGSKYHNGHYLMWLSGQLILEDGLP KFEITSHGLLDHGISYAAHIFRDSEGRLIQLGWADETTKQNIRNKQGWAGCLAHPREL FEISRPITEDGKDLDIWDVDEEKGTMTTLGVRPAPQLTNLQQNTKPTSSLESISTIRS KNYRVEATFKGLSGHERFIFRVRVSISEVTKIIVDLKAGQIIVDRSQSSFEKLGTDSP DSGFFTLLAREDLRINIFVDNSIIEVYANDRFALTSRIYPCLETSIGASYDFGGFDER NIELLCWEKLKSVWPLRNDGEGSLDMKCTEKKTVDETLKAKPIVMEAAIFA LY89DRAFT_551198 TVTCYPSVGGIIMTKHAHSVELDYIGVDHFYTTYRSYNTTEEDE FCMKLRKIGGKWWHSIQDRDDAIDSGLRPVYPDEIEVLFLGWPADGGVWILRLESWYQ VNWVLGPIFNALNMEERCKAIELCGGTFVQDPEDNE LY89DRAFT_732372 MSLLHRIVFLFSFFCLITVTFSDVVVQSEALQRFANCSDPQKIQ IRTAWNEAVQIATWVNARMDFPSPHAELASSGNRNTIKINKMILGVNKYFRVGVFANL LALITQASIYGLAWGWNPSHWSVNISCVDWKGRCAPPGKKGAVAYTTNPETRDGKEGI WMDTMTFCAEFFDEKTQYMGSCDALLVEVQNIYNRYETFGNLDLYRCWGYVVLHELFH LNSLSRVADTGHVWDRKVWYYAPGADIPERKVVYGVILSKVLALLQDRRTGIQETCDD NPEFCSKPINFLGSEPFDVSPANWTLPLPDPVVTVSSAGPFTMPLDPNLLCYEGLYMD ASIQPSVSVTFAVLSMETFCQDIDTVILDPNVTTDVPVPYDPGYDDDTLLWIRAGYQV GDPTCTGEVSLLSDECNLQLSTVLTECGSSGLSPQSYGGSRVWGCINWHLRLLLPSLT KAHTAVCLMTICFQAPAFVDTQLRQDLQKYIR LY89DRAFT_717359 MNIKVGKDPHVLVIGAGITGLLIAQGLKKNGIKCSIFETESSAS YRSREWTMGIHWAVPLLQPLLPDNIWQRLNTTQPDPDYTPKPTDTFSLYNGATGELLK TLPTGGMRRVSRSRMRALFSEGIEVNYGKTLSSISFNENGNGVFAHFSDGTSTSGDVL IGTDRPRSKVRDLIVREGSDASSSGVIMADVRSKYTAAQALELRQHAALTTVAFHPNG SYLAVFAHDLRSSDPQDWEFRTVYSSMPQDFSGGEAERLRLFKMKAAEYVGAWKNAIE WTPEGTPVEFNNLVYWKTVDFDNRGGRETLAGDAAHPMTPQRGQGLNHAVCDAVNLVS ALSKVQKGEMNLKEAVTEYDTEMIKRGGDEMEAALLNTKMVHDWKSLLQSPLVSKSVA RGN LY89DRAFT_683692 MKLRKVGGKWWESPYDFEKAVEFKMRKMYPDEREVLYLGWPQEG GVWVLRFQS LY89DRAFT_706460 MTSPQLQWELNRSLDSSLSVARGVIQASTSDNVQTLALLACERF GATLAICPETCRKVEAQIILLQTPTSAVVSFLSAVIGYSKDDCASQLARSLAGVQFIA LATVLLTIGSSYHGGEALAHMLRTSAADKTLLPPARQLKDLLASIEHKCVPLKFPDMV SGWSKILLDFATTTPEDRTFWKTNHFVPNAEGIGGLVDAFRQLSRVGNAASITLKIAG CTAWVAAFTQWCLGVAPSIYLDNGRAIFVQPKSNVTIIAIKDTKSCPDLEINFRMLSI EAYGQLLLCQCDLDFGSAHRAITHSLPYAMKQIVSMLRLSVLKSFDRAVELRDWQHKQ KRAIYDEVSEEATRFAAYPFPKDVTISNILCRMLGLSDSHVSNKLPPLEEGLMVTDLP LVNFHVESLRRTCRCRTCSSTGLMFEACKTALFMGQLSEFAADVLLLSLFESPEALLV YNGSHRRSDFIHAIRLILTTGKPNTVPLTEVLRAALSLVGHEVTDDLNRDVWVLSCYK GQATYPKLFETRCLQDSGYLTICWAPGLLRYDGEVYSKVIGDRGAGQTLERLRPNDMA TKAVNLPIYLVPDQRLVWRIVRDDGFLRINVGIEPTSH LY89DRAFT_706461 MAQKLAFTAFAALIPLITAQQIGTDTPEVNLPLPTWKCTTSGGC VQQNTSIVLDWNFHWIHQVNSSASCATSTGAINSTLCPDEATCAKNCAIEGIPNYASS GVATSGDALTLHQYTQSNGVTSNASPRVYLLGADGNYEMLQLLGQELRFDADVSTLVC GENGALYLSEMDATGGRSQYNPGGASYGSGYCDAQCPVQTWINGTLNTNSQGACCNEM DIWEANANATALTPHPCEGDTCDKSGCGFNPYAQGVHSYYGNGGTVDTLKPVTVITQF YTSDNTTTGSLSEIRRLYMQDGKLIQNAVSTSTAGLDSITASWCTSSDASAASLGGLT TMGQALGRGMVLIFSIWNDGGQFMNWLDSGSNGPCSSTAGNPASIEAQTPGTYATFSN IRWGDIGSTFEESGASSPSSTAMSTSTSTTTVAKSPAQSQYGQCGGNGWAGPTACAAP HTCTLLNPYYSQCL LY89DRAFT_683693 MVRFSPLLGLAAVVIAAPSRRWDNSTTPSKQMVQIPLDAYFNNK GFGLVPGEANFDELNNSYPAANLPTGGSYTSTKTNITYLFPGYQANKSDNVVMSGQQI DVPAASYFSLQMLISTESAGTSGNMSFEYTDGTTTLAEVRTNPYSSFLSILKGEIVMP SYFTINTTNFNTSHIFEYIGAVDPSKTLSSITLPDTSNDTARIHLFSASLYKQTGVQV QFLRPTQKHDIERVQTVEVVIDNAGPDWISGAGVEVSITGPGIETITPGYIKRMRPGD QKKINVGVIGCGNVTTTVHLTGSMNATYTIENVKFGLEEYTSELSSLSNHESPEWFND AKYGIFIHWGPYCVPGWGNSTPWEIYAEWYWWYGHHRNADKADVYDHELDTYGPYVVY DDFFSNFTAENWDAKSWVDLIADAGAQYFVLTTKHHDGFAMFDTKETTHRNSLHYGPK RDILGELFAAAKEYQPQLKRGTYFSLPEWFNPLFGPYGFSQTSDPASTSWPGIIATNP YTGLEEPYTGALPQNDFIADLMVPQMEILAYGYETDVMWCDCGAANGTAEFAAAWFNQ AESQGRQVTMNNRCGIAAGADFDTPEYSTFSSVSERKWESNQGLDPYSYGYNRATPSS LYMNASTLVYNLVDMVSKNGNLLLDFGPMADGTIDATEVAHLLEAGLWIKANAEAIFN TTYYFIAAEVDDVRFTQTKDAFYILSLSEPSETFVVDAKLPIVDGDSITMIGAGNGTK LDWTFSDESLTITVPPALVAAGKYCWVLKIEYS LY89DRAFT_505834 MVVMFSLYAVFALLVGFALAISDELAAELGYPAGVDVWCGKAYR ATNASFEPGGWLEAPTLSSTPLMDLSIRPRTNLYLASEKYASFLIDAPISYMHGSAYT NASFNKETNITSAFTDLFIDISVVESGLDLISGSNVTVNTTNNELGFSLSGLTARFEP YEIVITGASGDGAQFYTSTTQLYYIPERTDGGSVTKVDNLYGGLLVQDYLTNSTAWTP LFPYTYYTSWDGWLELSTDNIKIFKDYGYNIIHIVPNEGLANEAFNFTELDTFLDIMD ELELWLMFDMRWTYKNLTSVEMQVNRLKARKSMLLYYTGDEPDGQVDALNATKITYDL IKSLDPWHPVSLCLNCHNYYFANYTSGADIIMTDPYPIAVNTSFSVQYDTVCNTTYGC CGCDDCSGDFEDVSERLDLIKLYQEYLGLPQKPQWGVPQAFGNETFWARYPTEQEEIV MNMLFVNHGAKGIAMWDFPTEPGIANITGQLSKVLTSSAISSFLLGSFEQAMDVSGLQ RVDAASWTVGNNTLVSVVSKNYVGTAANISIALPTPASGVSQVLWGSGWTVSGNTLTK VGMDALEVDIFVIS LY89DRAFT_643356 MASTSETPAPVSGITEEASSEAVPAPQTSTSPRSPRSPRAPASP SQSHPQSPTTNPQEASSAEVPEPNVIGVDQEWVDDADSALGDDVSTYSASLTSEVWKH SYEYGRRYHAYKDSSEYIRPNDEVEVDRLDMMHHIFKVMKGGKLFEATVPKTVQRVLD VGCGTGIWTMDFADEYPSAEVLGVDISPIQPNLVPPNVKFEIDDIEEEFAHPQPFDYI HCRYMAYAIKDWPRLVQQIYNHTAPGGIAEFTDYDLTYRSDDGTLDGTTLKAWGDDMP RAGRMIGREPCPGLHLETWMREAGFTDIMHKVYKIPIGPWASDKHHKLLGAYNFVMLN QGAEAFSLRLFINVLGWSYEEVQVLLAKVKRDLKDRTIHAYTEMHCVWGQRPV LY89DRAFT_613966 MPDTFNDTMSQDFLVQDKLALNAAENTIPGAVCWAIDSTGNPVL PPDTLGHRSILPDAQPMSKDTVFFLASTTKLVTAVAAMQCVERGLISLDDPVGKHLPE IDNAKVIEGWRQDENGKEEPILRQATSQVTLRQLLCHTSGVSASIFDPVYRKYNDWKG WPQRNPTTPELMAHPLRFDPGTKWIYGFGIDWAGILVGRLNNCTLGEYFQTNIFDPLK LTSTTLRPTKHPKIQDRLASITVRDKLGQLQPEPFNIWLKDSEEVEMDSGGYGLFSTA EDYISFLHTFILDDNPLLSKESMDEMFKPQLPSSEWLKANAVDSKGIVISGNIMFPES PVNHGLGFILAEEDLPTGCTAWAAEGGGLTNTFWWIDRKAGIAGVVFLNMLPYMDTEA VQLWKKFQAKTYSKLKER LY89DRAFT_506010 MGIAGPTRHTPPSCLVSNGCQSGCVNASEFESSSSSSLTTLSST SSSTVSLHTSSTLTSSTTHLSSTSTTAKPTSTSTSDSHHLSKGAKAGAIAGGVIGGIA FIIILLLLWRCWWGWRNKRSVAYHQGANTSGIARVRHEG LY89DRAFT_717366 MDALSEAQRRRQASRTFTPPVPPPDQPRSNTPRGSERGTPVRGD VEERRLVIAIDYGTTYTGVAIATPARHRASLEEVDIIQDWGHGMDNHEKIPSVISYSL APGGEQQWGTNLSPEAIAMVHTKLQLDVAGPSEELELILQALKGMHKLDFKYIMEASG APKYPCKGPEDIVTDYLTRVFENLLQALKKEPFTITDSLRRTMPVDIIATVPAKWGYR AKDSTFRALQRAGFNSDTFPRLSEMLLISEPEAAAIYTARYEKEKKGIEFLGKDESFV LCDAGGGTVDVVSYQVKQLQPTFEIEPVTLPTGKKCGSIFINLKFKEWLKWHLGEELY QELDQAEESEQEQGQRTTKISSHDSEGMRMRELMKRFDIQKRRFRNDPDKEPILINLP EPFEDLNLDDRVWGGQVTIPYKVMKSFFEPSANDIVQLIDGQREQIEKRRTRLRHVFL VGGFAESVYLQETIRRSLDMRRLDLCIPETSWTAVVRGAAIFGIEKSTNKTLTKMSAC GRSYGISHNASFSEISHDTDDHYKDPLTGAVMARDQLVWLIKKDDLILSDKPKKDSFS FEVNFPKVGSRARSLPIYTYGGPRDHLPDRLSNAENELSVFHTIDYDLKDIPLQDFTA SKSGLLSRTFYVAPMKLSITLTPQMVHLELHMNEKSVYSKRVMLDAQAEA LY89DRAFT_732380 MSEIHRDLLHVQKNLPIIRLRREIGDRCSLEDAADGLYTMIKAF RAMSQDMKDLKIDLGRAEEFSKFLQADFEGKKKSYEEDISTIRKESEKKINFLEGKIS KLNERAQRHEDKLEGLRNENQNKLNLLSAQHRTALNEHQDKIDLMNAQHRSALDEKNR SIEALKIDHSRMTAGMVQSYTDKENKLLRAHEREKKSMRDEMHARQTQHKQELAEKDM ELQSSNLKHEEEKDRMTQDFQRELSDRVNELMHVIEDLKGDMVKRDHFKGMSDKILAD KFQNIKSEVEQFACIGWDEGMDTRWPVSRITLRNSANERRTKQYILQNALWITLYQRI FRTPFRVFGPEGKSMEVTWVGVFGKDRTADAGSGMALCPPLTKDSEKWRYENMKVCAD ATKRPEVDWDFNLKRDYETYLEETSRELCQELEKVAMISNSQRGKVTRLVNEAAKLWV EVGLQRCRIFLLMSNRNVEPEKVSRTSFDDHTGLVVQPELHRRGNVQGERLETDEVVM DCKGDFIIVNLS LY89DRAFT_506039 MKMGWTDKLSIRNPLHRRSESQSTFSSSSTSVNFTASNGKPLPK PKPSVLSPGDKPPPISRNYDQEELALQIRDLNELILKRYALDIEIYSLRDCSSRDRKI VEDKMRRSDAALQKIMITVQSWDNRKKWKSPADYDKIRVIRDMLDEGGKRVWAGNPPW EE LY89DRAFT_732382 MAGKSIVEKVNALDLSNSTSSTQGNNASIHEQLIDRKPGAHSDI TIARSTITRNEWRRVTKYGRNGAYTSHVEQFNAAAYSVPCDYFGKMSTAVKAGFLKNK YICIELNKKSLKSTDQEASVLQQLALVAPFLKEMQNVTFNYNAFLCQIVTEINKFESL TYVCVLFTCPRELWGGYLSALEPYYFPFCQLRVNQGNWIPKIKTPKNKKVFVMNKWDS EYLYGKFWQQ LY89DRAFT_506051 MWGQTGMKIAPPSRMEVLKGSFETPQALSRPIITYVNGDVSWLV SFPRPASAKSTNGKIYYHVVVDPWFGLPSVFMNPLVLEMRLGRDPALSSRAELDAAIV EIELAAGNSLVPTDSDPAVDAIFIMGLAEHCHKESLLQFSISTPVFAVPGSASNITSW SHFDTVVTLAPCDPSKTPWEEGHPGSPLPAWLTIFSPAVKNFNNFGLVLITSANALET EMILMAPHGISSDEPFIKGLLATTVKMLALVAPLKNSYSFGIKTVLGVDEALILTQAA GTRYYVRNGDFVSLKYKGIIGWSVRDEPHDLQWGIDQVGKKPGAEKIIEPPIMVEVSN GGSYVLM LY89DRAFT_506064 MPSLPSAREIIRIRITSILSRDDFHFQPAHTIADLRNLCKVHMA KYLNNLVKSLNVSVSESIVRRFSVYDKQHFSIEQMVTICVTHNTQDWMALIWLNHGKD LGHSSTGPWKVLQWSSRTPKNLAVLPTIQYRSRIALKREPNHASPDHPAAEQNPLSLP HNAACLASDYGRSLRPEIMECDAFYALDELFRFAIASDSQFLEMMKHKIKAPTITGGG GRIEDLDAALDLIEDHHQYISENLETVRAGGHPNWPKAPEKLRKRASAARERLEGDYK YLLNDAERLAQKCMEGITIMMNDAMLR LY89DRAFT_706466 MDEIFLDPTTGLPSKDGLRSFVVCGMGGLGKTEIAKEYMFSRKD RFDAIFWLNADTPQKLNLGFAQISRALGLENGLNVKGDEIASREIVKGWLMKPIIAAN QGTPRADEEASWLIIFDNVEDRDIILESCRKIVELLGGLPLAITQMGGIIRRRHLSLE DFLIYYEKDAKRLHEMQVPGQNPTYNQTVSSVWMLDALSKEATSLLQVLSFFDPDRIS EEILLGNTKHVDVPHCPKTRIVYFDARIELIQSSLILRDIKNNELSIHRLVQEVVRKK LTDEKWYVVFGSVIALLSNCWPSINFDQRNMVDRLIKCESLFPHVERIRVLFEDAIKS KKFKPSNRCAALFNEVAWYRLERAYDDEAGIYASLALEILNQIPKEDIEKSEELNKML SESHQYFAVSYIFTDTSGSLDEIQAVIDLVIDRIKKWNREEDAIQLAESYNVCGMALG RSKEYDRAIQSWWESYDAFGNITSDNKLLRQEWPAIHLAIMYSLRNEGKKGEDILLPV LKAREEGFGKDDKTSMVTGKALHALGDARRAQGSLDDAVDLFQRALVIFRATIGDNHF ITADTCYRLAEQFIRTGQSQEANQLLEQSIKVYRDIRWYKPQAARSSFMKGRLLKAIG NIVEGDAQLRSAMELRREIVPADNRTVDQLTDQDFDELVWYYSR LY89DRAFT_780838 MVTKVRNSGKLKLVFHLKEHVVSDEGIDIVIIPAAWTLLTIEQQ KQSCSWLKPLVAATQNDTRVLFFSYELDVNGTSLWNQLLDQSSSLLEVLHDLRRDAQG YSGSIEHYIEQ LY89DRAFT_732386 MDILNPAEFPLAKPASRNSRAWFLDELDIYQTEKPYRLRFESPD PSIARTNTQSTLTTEDAADEQKVKQIYLQSLKPVLRDFFRTPNVVILEYVIRKREESF PISHGGDHETNQPVLVAHVDWTPRHVESRVRRFMGAAKAEKILSGRYQYVDVWKPLKG LVRDWPLALRDLSSIDPDKDLEMADTVFDIGEYEVQQNYQMNHRPQHRWFYLSEQKTD EITIFRQYDSEIGHGSGVPHVALPDPSVDSSAELRGSIEVQCLVYWDSV LY89DRAFT_732387 MSSKINLNGNDGNVDETSAEHTRATPPARSTSPSLLTHAKIVEE CTITLRAVIAGLLIGAMICFANLYFRLQVGLNNSMPLPSALLGYIVFQPISKYLRTPY SPMENALVFYFMPSIAKVPIFGIPAARDWAWAFNPSLAWVGHGMIIRPMVSAHMLLGT VIGWGILSPIAKKKGWAPGPVDQLQDGSQGWIIWTP LY89DRAFT_667898 MASSIEPITAIPDGFFPSLMLQERSVMTLIPLKLNPRHYWAEAT VFLNQKLREGVHPARVGIIVSSALTFTDLGAYDVLFLQSVMRMLSTLHQKGKLAKLPE NGVYAFFSNTFTRSATRQRVAFLIDMAGRFEDVNQFSVDVLDPYVHQILYEAPELDGW CVQTLAFMVQKEQEGLREYVEGLEYEQLKLSKIPLTPVIDTHTAASLETPPSEPYSED SMSAYDSSEKSSIDTMSSTPPNVQASCDDESDPFWSPSSAANTSAELRPFSPSMWSKN KEETLPITNCPKYDQLAGQFTSQDQGHFGIRSGNLSYSRRRQINIQVMSRVRGQSPSR MSKNQSEPMLDLMTDLAVKKCTLQSSSSVNLAEPKKVERRLFVEDMEVLASKRRQELE RKWQQELERRQREAEVVHVQPRKLIVIDWDLTDL LY89DRAFT_667899 MSYYGNEYDYEYARQSELEREYEYERALRADRKYYEQVHRNEVD NHRADMRRREEYAHKYTKAAWMEEQRDRVAKINPDCFYRGGDRFHNHLNDELEDAYRL GMAYNPEPSRRPRSSSPRRAAPARRNASATRSGYPPFNKTASRYDPASRDESGARGRY PPLYKPASRHDPASVHDTALPIAYYESSSESDSSSSSEEDLTKYNDDYSKGCKGTCYM PLNGASKPPEKPFIEARNHFKGRCTMKKNFTDEKQYTDYNQYWEDEAMAIATDPKNLN WGDALGDWKNEYTEYGEDWEKEATEKAMKSKGDEDWDKGTDVWDGEEEN LY89DRAFT_732390 MSDYTAMTGYTQRAKWYQERLEYYREAYPRCSTEALHEKVRMLE FTTPDPSVQGSQDNASEADRRATRAEEFRRRRVVHEEQEEMRQMRLKSMNSAHWPGFA MRCVKRSDVMRSTIEDLSAKRLTTLPLRSATIAVVAMEASLLNLYHLTCDLIIPTAST PKTYSQDDLVTIETLVIILLETKTYRDLFILNTDPEAVMLASCLLDDTEIESIDREIM NLDTNLHHEDLVIPSLVLATEHGGSTDWFSGDVKTDRDGHGHGTTERD LY89DRAFT_506174 MGLKSSAAKPKAGSLLASDKARSPKARLGKMRGVLTRWKHKLDR SDSNRLKMPLRRSSRASLRSLESTRNSSQTLLGRLPGARSSSFSFSSRSTTLPVRALR PIEEEYTIPEFDQETDLKVIVDDLPLQADLDLALERLIPSLEYKDPGKACPSKDRDCP YGYCPCEPYPTQKIDLSSPMFPWFKLPLKIKQRIYELCFPHEDRRISLMRPSLTEAAF PADYFASPWDVLDPVWGLLECCYAWRTEVLAYFWSQFHFHVTLNEFTGPLTCQLSHVW LVENLSMVQRLTIERDYTRLAGSAKKNADQLSFKVAAKVRATVQTYVKELLKRADGMH MAELHVMARGYVGCRPGTESRYVPEAIEDNVAPILELSKILKRCRMSGFSRTFSNSVL QSLFEDRIGRVVPSVPFDNAWPSLMACTLEGLYTPSIMSTSELEQGVKWSIKTKEILK KKFIKKSSKAEKNGAKGSDKNAETRLFTPPAPTSIPQLGSTFAHIEVLPQNSITKNGR SPTVAHDPGAAINFRYEACPTKHWHSLCTTDTSHECY LY89DRAFT_582024 MSVAAPANFNAEEADNLEDIEKQFAVKAVQHLTTYWAILEKVRG SSLRLTKMDDDIYEHLKTDFPEFDPAVPLNEDEIKSKTGKERWRKFMMAYEKKIDDYS FGTIVRTSPKTEYDQEGTIFVPRMQFYAIEIARNKNGLNDWIYEDHQKELAKAKEAKS LY89DRAFT_613975 MFGELQAKSLRLQMFEGMLDKNMEWYDLRQDGVGALMIRIQTQI RELQLAVSQPLGFLTYEVIGVGAALGLAFYFSWKLTLVIISTFPIAGALLYFVSLKLG PAIESQKRELTQASKYANTAITNINTVKAYNGQDQEVWQYESTIKRVAISYMIQARTN ALQHAIIKFMMIALFVEGFWFGLYLVNRGVDPGHVLTTFYACLNALQAVEVVLPQWLV LTKGMSAGATLETIMIQMRNGRKITTMTGSCKPKSCLGDIEINKVSFTYPVNPQQTIL NKANFFFPASETTFIVGSSGSGKSTLGSLLMKYYEPSRGEILLDGNPLHILDPDWLRQ NVSLVQQKSVLFNETILQNIAFGRELAPTTDDIVEACQAADLEDTLKALPLGLETVAM SGGQALSGGQQQRIVIARARLRNSPILILDEATSALDQTSRERVMTEIRKWRKGKTTI IITHDVSQIHDNEYVYVLQAGTVVQEGYRSNLADRQFGAFAALVAAAQTPLIPFADQR RSSAPTSPATPSKSSFDELLHLNWNHVSRPFGMPNLSPRTGTSVNVRNSLLLSVGATQ ADSTWNKNIWSSPVISDEGSFSPRWSTSTRFASPESLSSRFSRAFPTISNTIDSTFND LEGANKSLSQPEGAVNPNPKGNRGEGFKHLPELELPRLELPDLDRPEHEPPFPVDLSP PLLPLKKPASLSLIFQTVWPNLNWRDRSCLVLGFLAALIVGASTPAFAYVFTQLLEVY YLPSNRGMEALKWALILLGIGVVDAVSVFSMHYALEHSGQAWVNKLRVEALKRILRQP RSWFDKERNSAANLNAYLDRNAEEMRNLIGRFAGPIFTTFWMLGISLVWSMVISWKLT LVAVACGPAMYVLIQVFNLVSGKWEEKCNKASEHTGSIFTETFSNIRVVRALTLESYF KRKYENAAVDTYKIGMSRAIYTGAFFGLGDTMSYLLTASVFYYGAVIITSGQLDVTTV LQVVNLLMFGIANAMAMLMLVPQLSSSRTAATYMLHLANLPLHNTPETMGKKRLKSPF PIAFSNLSFTYPLQRKPILQNISLNFQPGTCTGIVGPSGSGKSTISSLLLGLYTPNPA PVDKLAPLSFAGVPITQCNMASLRNQISIVAQQPLLFPCSVLSNIIYGLPEGSSYANL NSAMQAARDAGIHDFIMSLPNCYATIVGEGGMSVSSGQAQRIAIARALVRRPRILILD EATSALDAISAEAIRQTVRLLIQRGKDARDGGVAVIIITHAVEMMRICDQIVMIENGK MVESGGFDELRSKDGAFAGLIGSKSATKGDAKGLGIERIASPWKARTRQNWFRQTSY LY89DRAFT_506245 MSETKMRRLAISMKEFNVYKHELSSLALDGIMIYLAPGIKNWDE GRVGKYGLPRGCECGCCDKVPPSKFAITLEDRKKCHKATASAAKRRVALEKGNNSFIP RVPKAQYDDTVDTVHETAQELFDQFKDSKWKVSGGPKFTVKILEIDKSVQYDAYEAKR AT LY89DRAFT_506244 MAYHGNGSMAESSEQSQSANEVVPVTQPDSEVASVNVPSVELVS NSDAITGLDHKYTIPGPPSAETVGSSTLIANKSDDDVTTLDRPEKLLNTSLPSLRNMT PRTSESDGEDTCIVATDFVIFSQLPLEIRQMIWKEACFNDPRVIDIFLVQGPENYGGA FGTDICVTYVSEDEDVSDEEEKDVEESGDEEGGDEEGGDEEGWRRRGWRRRGWRRI LY89DRAFT_582517 MNGQTKRWINDTTTTDSSCATPGAQSIRASAFNVWSSLTDVEAA SVAAWLFSQTAFNLTGTEDAGEWDNSLLMIELMEPNKTDVLNYLDYGGPEPERYAHVI IDHRADVDPYYQDLLVPLPIQNGTTEAEFLTYPYTRKTNGQVRNLDASLDADWSTWMY NVTASILDITLDLWSGSALGLDNDTLDVWGIDPLMQDDGIIRWDTFWNLPTSEMDSGT LLPLGLFFKSNVTGRDPSLWTFDGWLYNDIFYPTTDASRAAYYSPGFEKLPANIDGSW GWTDQMGTPPPLDTVYPPLAIASSGSRYFTDADQQYVKWMDFEFYISFSRDTGMRLHN IKYKGERVIYELGLQEALAHYAGNDPVQSGTSYLDTFYGFGTYAFELVKGYDCPTYAT YLNSTFYTSETTHTHIDSICLFETEGNYPIQRHSTSQYVAATKNIYFTIRNVCTVGNY DYMFSYEFYIDGSINVDVRASGYIQSAYFAKNQDYRYHIHDALSGSMHDHVLNFKIDF DILGTANTMTTTTNVPVSEVYPWSNGKARDTMKLQRTEITNEDEGKLDWNGNGATQFT VVNKDVTNAFGEYRGYRILPSSPTIHLTVLNSSNLVNAANWAKHDLFVTRQHDTEPRS SHPYQSQDVANPPINFDEFFDGENLEQEDIVVWANVGMHHVPHTGDLPTTLFTIAHSG LAITPLNYFETSPVKETVNMVRVDYGGGNTSNVVTFGQGVPACIVGDVESLVPDLSEY LGDSVVRKFPYDPNEPYYETDSIE LY89DRAFT_506280 MRPLPIFREAWKYRQWLDFLDWDDFHSWGFLSSGFSVPGLCLTL ATEVSSCAVPEFPKAYNLEMQRLCIDGQAYPCYLLSQLFPYFQCPVPH LY89DRAFT_506284 MARIDLARVMGHNGDEEKGSMLSGVRQSCTSRPSRKTLWTFAAM VVVLQGLLVLKWFFYDQEVVVTPPYDVNETVGLVAAYYELLRDMRYLGRDSIAYPPHV GDKAINRTLAIDILGLDAKVYETLLLLPYITPYEDAWDTDKGRLLAEEELADKHASEA SWWGGWMGQTRTIFWRNGHFVDYRSDGHLLLSRDPLLETNFRPNKSMDTLLPDYGALP KSAIPLSFLRFQRFGLVLVLDTASNRIVVLDTQSSGSKDPFFDRFKSNKWPLHYKIPK TKFYGQEMHGRLAPDLLREFIHLTSHLEPGYIPGSIRTDELYTPELSPPKWERWIKDL YKQYGWPSAEPLEECQFLSLRRQNHTCDHDPMKNFQAPAFSAAMAKLRHEISVKYLRD WYCPVPRKQEIIDKMKAQNLLTDEQLAYAKSDEPVIPLNLESWGGNLVFMQSGPD LY89DRAFT_506262 MSKLLVIVGVTGNQGRGVAETFLKDPTWKIRGTSRDPTKESSQD LAAKGIEIVYGDVDDVDSLKKAFKGANLIFGVTAFDNMRIMFPSEADLASLKPNQTIR ELPFEVEVQQGKNIADAVATVGDSLELFVFSALSNVKKWSKGKYTGVYHFDSKATVVE YINEKYPEVAKKMSVLQMGFFFQNWKFGQVGMPWEKRPGGSMLLRIPGDGNMPVPIVH PSDAGVFVQALAKLPPGKNLIAYANLITWEEYVKLWSKVTGVPAAFEKATIADHDKIV PGGLGEEVGEMFAYAQEFGYWGGDDKSVTFTKDLGVEVRVTKAEDYIKNEDWSELLNR PVPNN LY89DRAFT_683706 MDRFLYHVPDMQYSWGYSEGWQSRDIERVEIKGHGNPSVNGVYY GFKCFNFDLPRNKHIEWGVWGDACIAKMSEERYAGRGVRVFKMNGEDVMDFKNEFSQY ANYDDIDEDIIKTGLWKGILERLSKV LY89DRAFT_683707 MRDLNRLQELARGANAYTKERLPTVEILRRDSIVTSPVDQVDAK EKLVFQRTSSVYYKDPNKQKRNILRSKEKKSALANTEGWSYNKAERYAVLDLEIRNGG PAGLAQAVLAFDMRDPLDVNVTYVADDNGHVTSTGKQNGWLELAAGRNARNDVAYIRL LSNFGASQASRNRALKIALDRKAMETAQELLRNGADPNSDGVPEHFLAAIRDQNQRLY TMFLTSIEPLNTFYINQALIKAVGQDSDLVALLIAHGADGMSNDGQALCVAVNIKSLE EAAMILTNPEGELSARALNLAANTACAIVDENMKVRLLDMLFSAGAEVNTSRIQDELL EAVRKDQISLVNLLINHGTSPDRNNAEGLRLAIISSQTELVQILLQGPVPEVSTSRAL DEANGLEDPDAFEEIVRALVEKGVSRPSLAKCLADAVEKGCTALAPMLIERGATLEYS NARCVRTALKRNDFGLFGTLLKAPCQPSILCDALPDAMNVQPPSERFDIMIRLLNKGV SGKQLHISLQTVAASAKDPADYSLIEALMRYHASVDFVDKNGNCICTAAAQQDEKSLD LLCQGNPSPETVSDAIRVLHVSFAAAEAAEYEQQVGMMSTLLEKGAYGIPVAEMLIKA VRDDHREKALTALIRFGADANYQHGKAIEEALKLPRISALDSILKDGKIAKNTFAAQL PNALNSLDFDFDKASMLVHASQDYEYEELLDKPLLDEIETNGARKSVVELLLNLGASV NYQHGGALQHAVNAGNVEICCLLLSAGVQHANIALAFPATGRIVDRATRYSLMKALLE AGQFDIGQDQALVQAAHEAINCDLTHVELLLEHHASANFNDGAAIIESITTKNLPLLK RFVPTELNHKSLSRAFALARKIECLEDERYDMFETLLQVFAGQDQISSALIETVLHDV TDIKTSSLLLSHGASLEPDNARAMREVSFKGSLDLLRVFLATNPTQFARDAGFHSATR SHLAPEQRNPIYRNLLETGITQELVSQALLIATITEAIDHSLLNMLIGFNASLDFDAG TALHGVVNKGDLSTLDVLLTGDILQKQTLDRSFSEAMKLDGSNRLEIAKVLLEKDPGV NQATVSHHLGQIVQENDHDLLSLMMDYEPDPAYNRGESLILSARAGDSKSAELLARAE IPKETVNEAFEQLLNARAIRSNLTGGLKTAEILLTLGIEQHLVDRALLDSFDDKIDDL TSKLVELLIPYKPDVNGGDGKLFVDMATIGNEELFRRLASQKPNLNIVIPALIRSIEN EDELIHFLGQLEECAERESVPLEDFVIFRALEQFPEGHLLVKHLLNNGCRANSKTEDI LNSATGTETMTVLIWALSRLEPLVSEAVVVEILEDGHVGLQEEHNAEVSFETSLTRTS ATILASAAGQNSTLERLIKLKFDLTHRDWEDHSPLFFASRNGHLETARILIEAGAAGN DGSLHEAAREAHPELITLLLANGHRDDYPSSVHADGDFGRTPLEELCQNATSGTENWP KRVHASIAQLFSAQVANIPKSGGKTMLHLALENRNSPIDVTRELLSFPSVWEKINDPV YLYTDEQGYVYSPTKYVELLFSLEDPEKCTALTKLLKARKCKDRFYAHTVDQPPGAVG LPEEVAEAVNKQKRADHEQREELKRREEVAARQRALDDVDHVRNQASLREKHQLTMRQ LKEQEDTERQVAQDKQILAVRHAQELQRKRQEALAAENRIRVEGVAEEAAHRRANTDR EQASEISHRRALDSMERSAQQAKYAAEQSLISIRDSASREEFRRQKELCDIKDASARY QAQQRAQHSQY LY89DRAFT_717380 MDTEVPGSAEFVVSRLTIRGRLCPHNPKLASSTSSGVPSCLGFF YRKSNLILLLKTSCLCKTCYNTAVPNGYNAYCTPSEQFASRDPPGMDSGVQWWQFRPV GLEIYGLSDLIRLPLSTSSDDHQALELFAKQYRPFYMTLFHTLGKYVPKGLIDRDVNI ASSQKNVPGEPGLGTTRQDKPATSEPADWYSTRPTTVGGSKPSSNPNLSSNTNRPPNL VSLASGVRSNQQVQSSSSVPAYVGRSSEQNDVRLASEMAAKPPQSFYIVNQRPLPDRT QLTAMKPKVSSTNIPGRFPTPASLKSPSQAAPTNERKDLQAPNHFSGNPASSSGAGPM SRFPPYTPLPQMELLSAEPNLNSKTSGSGVEISLLKRGSGSINQPKQSRAAATTQGAQ ISSQMPNLASKGSGMIRVPTFNPQKTPRNDGLFSMNDFRPSISTTSSNTLKQPTNRER LIPQNARLQAQVPFRGSQRDMLARSSMLRTAPDLARISGMGSRLDTRPNVVPSSLGRT FRGVPHSRFDGTQGSTRSFPHPKPHYEPGHGHKDRSNNESQERDEETRELKDELRSLE EDIELKEDVAKLNKDIDELDEALELRSEQGHAAEDLVDFPGDLPGRLEEPLISFDTPD HPLVENSDNLSRDNPDEFSTDSFKMRPFDENDESSIDKSESLQDPKDSLKSTVNTTTT DNRSRRLDEDSPDINDEARRHEGDNTSRDVADLAMGVLGGALLGDFLNEEKELEDPLL RDSTDKQDLFNDRILDHGEDPLQEQSGAEQDDDDPLPPRDLSQDGLYDIGDGLEVNGN GGTNLVHPNDEEEEESQATIFPQHCEDDDALTGNSGIFSSDEPAFSGFGEDENGDLGE TERGWEFDQHEHENAALSSEMDHLFEERDSETVFDDQNIVFPEPDLGTEDRDLNEGNE FHNIFRDTAGQNEYENEARECEGLGDTFDEDEGQEGHEKDFGIFDGHDGLADDQLDPD NAFNKNDEDQDQAQMDAFMDPDTDLQLEDEIDHSQNPIEDEAAFGFGLDQIDAEDENL DDLIEPDDENNSVDLENPFAIDNEDDLEGDSPRFQSDDGELGDKDNNLECLDEIGDGN TFLDLDDGFERDKEGETFDFDPETEDPLAGHECDDGIFDNELKPESYDDPTANHDFDG DMEERGYESDPTIGDPLSDKFEDRTDNDDLTYNKDIYGAMEENDLGLEPNNDFSNDFE NPLGNDELYEENSLDTNDDFDGLLPSDNLQNDVGDASAETYNDFDGAGMDFYDGGQLE DYGGDAEFAGTGVDYDEGENAGYGGGYVEGEVYE LY89DRAFT_506342 MASPRQERPPAGFSRMASISTTSSGQRGQSSLARSTTMSTVSSL YAAETPRSEFQDQLSEMAVGAGVPLTLPRSLLSLPNQRAAPSNPSDKSGAEEFLMSLR PKRAFIGKSSKGFAKEEVLLALDKAIVGKKSVLLIEGLIQLAETAGANAGNSSSPFTT DKKGHAIPTLDYLFTQAEHSHSIDVWRLFLNRVSQRFLDASLAGMLKDRPDDIERIKS LLEFGANPELCQDRILDLTGSGSEELVEIVLLSPLLKNSEFLNQGLVKAAANGSLRNT SMLLLRGANGNYGQSSALKNSVSMQRYDLTLAIVTMTKKPISSSNLDDATGMISSWSR EAQKPFLKVLLYAGASGSRTSKTLVPFIAAHDQDITSILTECLAFRHSTFPAPKLFQY AVETGKFPLALDVLRSSHNRSFSDYASTGVHLQLVRGYSEDPEETHKVLSELLTLGIS GDYTSQMLVRCCAPEQIEDSHIVTLIDLLITTAGAKVNYSDGAALMLAIEAASPAIVG TLAGTKPAKKILNLAVVHTSSSLGDDNPAKLEIWSTLLDAGASGPSVDQELFSAIDRT PQSLKKVKVLLKGASLDHSEGKALVKAVQLERLDLLEAMLAQKTPQFLTFASVWKQTR KLFALAESGDGPLPYSLPYMQNIYEVFHAAAKGATPVDDLLVDATKCSSNEIALSLTR LFLRWGGSPNVALGAPLQACIKRSDTQTLAALLEGETSKTSLKYGFVEALALRHDERH AMLETIIGAGLERASLDAALPQVLREDPYDVPTVHLIVGAGAILHSSFGENLVPPSLN LDLPVVEMLLPSIGDKNSILLPLKAVLSSRKDWQSPDGESLPMVKMLVNHCGKGTWAD GSFISGVKACNLHFASIFEKHLTSDSVFSDALQQLLVVDHPSFDRDRLSMTQYLLQNG ARGNVIDKFFLHAARTLELEWITALYPYISDRSVALSAFGLVQNTKEAGNATSGNRLE IIQFLLKQGLDGPMVDSAFVHAASTADVKGMNEYMAFVTSTSPFSQALDILAQNGKLL VSREGMAAVDLLISKGASNVSVANAAKTAAKAHNLATSKLIIGMTQTHIVIHAAFQGL MEHPKPLNYAESRNILFYLLESGLGDEDTEVVARLAGSSFDLAVVKALSPLDNSEYLY DCALDAIPLADNTWLSTKGLEFVDYLLGKGVSPRVINKLIEAASEALSLAALHILLPA CDDMGKAVELSFGSVVSDNARWTSTQGLHVVDFLLEYGAKGHAVEQAAAHAAETLKHD ALDVLLKSPAAGIVIPAAFKALTRSKSGRLSSEQLTIASTLVKQGVSTENLEIAAIEF AKILDIEGLKVLSGSPRFRQVTDNVLRALLLDETLWRTLEGNRIIQFLIEKGASTKMI EASASKAAAALDIDALHNVLGTDHLFSVVEAAFTSMTELEKGWLCPEGLRIAEYLLQR DPSEGNINKAFVQASQYLYFDAVKLLEPYVSDTAVFNEALNRAVKTDSKWLSQLHLIE LLLESGVEGDAVELALTKGAQALHLTSLELLAPKIDRSEIYTKAFAAAIENTQEWRRS LDVIHFLLQHGASGDPVHKAYLSACAALDLPAVTLLHPHISNSDVHSHAFRRAASNEA WSSPNYLEVLKFLYTEDIASDVIGVALVAAAEELNVAAVQLLSRNADQKVCTEAFATA TGNGQQWTSEQGTEIVQILAEKGSRGDSVNEAFINSARLFRLDLINVLAHNVDRENVC VSLALGALLSTRESGDSWVSNTDALEILNILVSMGASGDSTDGALVLAAQAGNTSAVN ILSEVVNDPQTFTAAFNAMTTSSTIWLDSSYFDLVGLLLSRGAADEGVHAALVSALFY VIDGLASQELLQLLLFHGADVDFDDGKSLQVAAQNARQDLFEMLLEKNPDSHSLYMAL KAVLSNGLDEATVLALFKSVTDNKAVQARPNVNNNSELGLPLIFYCLNSYPTSARLVQ EICDLGADLSATIVWDLYENEYDDPITDRLTPLLLSLDKKCSDEVIGVLLGCGAEINY ISEESRATALMLAAGKGRMSVVSTLIDRGASVHQKDVRDRTPLCYASCNGDISVMKSI LKKNPPRNDGSLHEAARELNADAVKLLIKAGHDIHFPSAKHGGRSPLCELCYACRGSK DSVALQRTLTELANANAQPLRKSRGRTAIFMAMENAHPGPVVTALIEGLLWKDLNDPQ NIYEEGDHFYSATMYIKKGIIRQAESVANDLLERLVDFSAVDRYYAKERMRQPRDAVG MPQRIMDMDHKKWIRSSRLEEEQEDFERKLRRQDEEMANRQLLSQRQHLMVMEQRENL GQQQSAHVLDSHLLSMKLRDREHGMELRHQEENFDYRLGEMAAANQMKLHIEAGQFAN KIGMQEQSRTAELKHYTQTQDTKLNYLGEEQTMRYNISEAQQQLRLGGIEKELGYKRE LQSDEIEYREKLSNAERAELDRKLQFANQMNTGRVQLNRDLGDIDYASRQNKLQVENQ NRQAQLQYQKETDRERVETMDTMNQQVFARNQNAINTQRAQGKIELDTQAGLSQIETE TMQDKIQMTQQDRGHKIAAESRLGQVQNQNLYDRYQITQEDRNNLLETETRMGQIQSH NLQKKVITQLDFIQATNREKLGYQQATDQQRLGFQQNYDYQKLQTLNSEGRIQNSTLQ DKNQLNLQFQYQSGNMRLGQQAQSRDIDLNYQQRSGNLEMRKQGFLHGAKMEEMRGQD YLNTRRVQGSMVTQQAQIDAARAKQRDTLQYRALGGSEDS LY89DRAFT_717382 MATSQSGQPDESPYPSYKELRRNQLSVNSTDAVNCLFWHFDGVF PAAISVMTTPRTPNSLKPYFQPEAGGSGSGTWHQIARLPITEPKVSSIEISVSDLESW RNSWLEQHKEHPEGEYVTYGDLSDDERPYPSEEGGWESDSDTPYLVRCCGEDRPPNET AKLVVTPSAGNHFVTVQDYVSTVHPWLMSLREDILQAKKVVNYYPQPMPTDFMVIGMV PDNIRIEDKQYWIEGFRPGGRLPRNAHEASIIDRLRRPR LY89DRAFT_732403 MEHLTIQEISSPPEFTFPQSDVRLRITYKGAPAYAHVCSHAMIL ASDVWKVFLFPPWSNQATAEPVQDLDFTEDNAEALLVLLCVVHLRFGDIMYDSPPKQV LIDLAFLCDQYFCHHLIRPWAGNWIDRQFGFDIAENSASKPDYFEKGVYWLFNENRVY NLPEFHRDWPLPKGLMRTIMIARNEAIDALLAVIHEYQADLDEREAICSKHHQKCKRF SKSGLYHQKTAADSISVAQITRTKFNVARQYHGEVIRDRELRLHDEVKEKINTIRNDH WKTPWETVKPLARPDVRKGDNRLRIENITGEWRKGDPSNAKHFTGATARYRTT LY89DRAFT_506373 MGFGRFVCVGLPFGLTLASLVCIMIAMLAGISNKSLDLFEVKTQ NLSISSSDLQNLENLVKREDHFSALTIAALGNAAATASTSVNITAADLGLADSYKVSL WNYCAVTGSKTNCTSAKFNWAATALNTTTITNLASSTTGTTVTLPKSLTSALHTFTIF SKWTEVVYIIAAITCAIEIVLSLFAFCSRAGSCITFLISGLSTTTVIAASIMATVEAS IVTGAIDSVAKAYGAKSSINTSFLATTWLAVVFSIASGFFWMFTICCCAADHHSKKSR RSRNGEDAEKLIPSGAYQRVEETGYHGGQQSGIYNAQQYATPAHNVKAERGGAYEPYS HAGI LY89DRAFT_683711 MAPAFVCMTLLIPSYVTQQTALLSCKSDAPILLLNGQIAGQLVS IHIDSNILNIPDNLRCLMCGG LY89DRAFT_506393 MGNQPSKGSGANSPKGGASQASLGDNLQSYPSFSKADTKESTRS FRSSLGSKIRGKTDSPRNSTSALSNGETIVDRSDVASVKSGKSSRSARRPDAEDSPIS APTSPGAESPDGGATLDDPEPPPSPIQSASMKAGHHDVDAAQRSGEVDHVSDQPPSGG TNPHTHLQAAGASILVKRANTINPITQDPVETSTPDQDGAPGSSVAMGDLKDSDIDDY IKRLLDAGYAGKSTKGVVLRNAEITSICSRVREILLEQPPLIELEAPVKIVGDIHGQY SDLIRMFEMCGFPPSSNFLFLGDYVDRGKHSLETILLLMCYKIKYPENFFLLRGNHEC ANVTRVYGFYDECKRRCNVKIWKTFVDTFNCLPIAAIVAGKIFCVHGGLSPALSHMDD IRNIARPTDVPDYGLLNDLLWSDPADQEKDWETSERGVSYSFGKKVITDFLSRHDFDL VCRAHMVVEDGYEFFEDRILVTVFSAPNYCGEFDNYGAVMSVSTELLCSFELLKPLDS SALKSQMKKSRNKRNSMLNSPPPSGFIPQSV LY89DRAFT_696295 MPVSKKMKVQREHRKAEAAGTRAPVKANGLPVKAPKPTSLCANC KKEIVNTNLKQLEVHAETHDQKVWTKEKCWPDIFKGETAAAT LY89DRAFT_732407 MPPLRTPSGMIVEDTDVTPADMVAFSISQRIRDSEPGELMAPDP RELRSQLRDTQKELEFAKKREDIMRSVITVLEARSGSDPSLDVRSLQIQLERANDKLE NLAATSSSRISELELQISNTPSIGLNNDLTEQLEVTRLELAAKKASISDLEERADMSD NTIETLRSTQSALEEQFRCSRLEIETLTEINASLVKSTAEDKKDHKKAEQKHNKAMLS IKREYTKNIDRITEEHSSEISKLTQDAVNLRQLSDTAHTKLIEVEERLRAKETHNLAL TEQIHDVHAKAAEAMKQKSGNYIRQLKEQLATKTATEIETAGKISTLNAQLEKSMKKN QKHDEQVQIAGDKYRTMKKDLIAVKEENRMIQEGLDTSDKMCQAQKQDNIALQDKIKT LEDQLAEHHQVTLTLRANEAMSKDRTEKAVAAAVSDKDALIADLQKKVDSLSDEVVAR NLRIEELEARNVGYNAADAATRNTNAELANSFVASAQEKIRALEQLMKNLSVVLPSTG SAEPKPTGEKS LY89DRAFT_613994 MASRYRFAARVFCLVAITCLLYGFYHGTIWAFSRGAPSKEVLNS LNLSEDECRATFPYLMKEIDDAVSRGPFKLDKEPDDYQGLVQARIKNGKLYIISAGSR LSRDMLYEREAILHQIYRALITSPEPIPDTIFTFSILDTVRENVWCFARSNDPKIQGS YWVMPHFSFWSWPKSFIGTVDEALAKTDVIERDIPWSKKIDKVVWRGTAWFNSIGNNA LRPNLIAATKGKDWADVQILEWGTNADSAKNALNIEDFCKYKYIIYTEGITYSGRLPY HQACASIIITPPPSYLMHNTHLMRPLFSSSLSLSPGSKTNKQPNTDARWLKSYKASQA NVVFVDPEWKDLEQTVMWLRAHPDVAEGIANRQRKMIAEAGYLSPASEVCYWRSLIRG WSQVVEIDEEVWGKWDSQGVENGEGIRWETFSLTGKADWS LY89DRAFT_683717 MEDLIKAIYTTPIIDNHAHPLLVPPAVNTYPLLSITTEAHGDAI KATTTSLSHIRAVKQLSDILGCPPTWEDVVNALNVENAKPHHAWAKRCFEGIETVLVD DGLGNKDEIYDYGWHDRLTRSECKKIVRIEKVAEEIIDTLMKNTELSPDDIFSGTREA FEMVIKDAIEDPDVVGFKSVICYRTGLDIPPHLSISEVREAFTDHITSLQEDGVTHFT RVDGQPMNAYLVNKTAHLIANSDGPKKPFQFHTGLGDNDITLTKSSPSHLQQFIRKYP EVPIVLLHASYPWTKEAGYLASVYENVYADIGEVFPFVSKDGQEKVVREILELCPTEK LCWSTDGHWFPETYLLAIIQMREAFQKVFTEYVQDGALTVPQAIRAVEDILFTTSNNL YDLGLEMKPLPITTDLPSRLLEAPKSDAQVLTKFLTDNPTVKFLRIQYLDYTATSRLR VLPVREATAMLKKSPDLQLSATPALLGLLQHDVIIPGVTASGEYILHPVLSSLKPGPY KGYAFAQSEFRNVDGSEVPLCPRTALRRIVTQAASQNLTFLLGFEIEIVFMSRSATDG SLSPLKNSAGHAYGSSRTLHGNEILDLLEDIYDTLEAADIHLQQWHSEAAQGQYEFVL PPSPPLEAVDMLIQAREIITTVAANYSIRATMFPKPFPMMAGTATHAHMSISSPGGDE KQVWEPFWAGVLKHYRAIIAFTYSNPASYDRMVDSCWAGGRWVCWGTQNKEAPLRRVE GSHFEMKTLDGLANPYFAIAAIIAAGLIGVEGKEPLLLGDAEKDPGQLTAEERKELGI TEMFPPDLPAALEALVANKEMVNLLGSELVERYVNVKKAEMEMQGKMETDKRRNWLLE RY LY89DRAFT_780856 MLLLYRYIFLPSLLVPSVLGYTTFTPNCSSLAEPVNFVFSTSSR STLDILWSCLFTLIACTWTILHLNVPEQRGDQDPGWIGDCKWFLKGIWMKTKWMILTM LAPELLLSISLGALVHAKEVNELLKKFIEEDGVEWSLTHGMFLNMGGFVIQAVENDSI SSIQPIYTGPPGFVTPEGSGGLKRRQTSPATITSDGSHEELQDTARTSYRNNQWTALQ DIDPIASPNQDRPSTDVGTQRASENWSQDSRGMDPRTESGLEVRYSDEPSEFLAPECY HLTADQLYMLRDKKILKRLPAITKEELNARSKSDAFSKAIAMGQIFWMAFQVIVRAAR HLAISQLELAVAAFSVCGIFIYASSWNKPKDVQIPLPILRYPAAVPADLVRQLGEYAE VEYSAFSMSEFVPLPKWVRTPQYDGSIVSNSTVWDGKESYTGYMGTEMGLTLGGVIFG VLHVLAWNFHFPSEIERNLWRASSVICTCLPVLFLCITYLFSRALEVELGARILGRIE DIIRAELATSIAFVFFVVYSLARLYILIEIFRTLCFLPVDAYTATWAASIPHVS LY89DRAFT_696299 MATRPGEDLAATLFADVHYYYGPPNAKPPHHRFDKSSYIYLFEN ASQRRARIEVANNAGTPEQDAFNGYLDNAHVHYSYKHSTLVTVTVDGTGQPSAVQNTQ EWHLPTFDPRNENKYMYRLHTVDLYFWAKEDAIVFVNGIRRVLPPQQITVQDEPTAPP PHQEEMSPVVQQLENIAISDPSYQQGRTRDSRTTSSSVSGPPISATPQGQEASNFASM AYNPAAPAAPEAIRHREKTPPPEDGAGNPLMAAATTDQGQAFGAPPYGQQGFSGPPGQ PGPYFPSALSGPAPPSQFAQQQQTQPGPQSPFAQHFQNTFAPPPTADTRNAPYAQPPP SAPPAYQAHIPVTQQFAQYPGSPGLSSPGMNTPGIYSPGLTSPNAQFMPAQDPVPGIS HSVTAPPGGFSQYNYQQTSNTKPLMTDYSIHQQVYRPTDTEAKGHSKGKELAPPRGKL EAGAGSLERSVTGLLKKLEKKIG LY89DRAFT_506652 MILSSWFPNIYLLMVSSKKRSRQSALSSPAPSHTRSTSPTVTPL TNNTSPYDATSYSSLKNTQSHLGTPPNTSPYAHTSHTQYNTSSSPQHLSRAHPIPTPS YNYTTHPHDTTNFSMQRFEEPSPFPYHRYTPYFPPTTSFTSSSPYNNTSQSSTSTTAS TIHSRNYTVQAQAGSFTSDPYRQTRRESHPQPPSNTTYSGTWGQDDLSGVGGQFGYQD EGYGDMTYSDVEDELEL LY89DRAFT_732413 MTKHWEPWKDEITRLYLTKSKTLEEVQGILRSRGFDASIRAYRM KLDAWGIRKNTSTRTNKKRRRECSQPSEMRSGYHDNHASSSTSLASSIQKDTGDQLGS SALYLQPSLDANNTSTTSDFDARVHRILALHNCVLPAGPMDANQLMDILGSTSYGRFG SDAILEILLLKWQADGEYLRNALDWVAWMDGTPLAHIEGNLFKILDAKLRLKERVPLA KACLIRLKESGPISRAVVGDWRWLLNVLNETKTWAVRKRILDPRKYHVQREDMGQCFI DCVPIVFAEDSLASLMNSWEDMMYNGKTKVDNEKLKRMRSEYMDILDDFSDATLYLDL DKSYYKFALLLSKWYEEERRSEEAAKTLDDSRGVNEFLSTKHSEIQLLVSSRGEQVEQ ESSESSEVVLQMEVSTVSTVDSGTTEVSSFSRPAIEDNSVLAQTQEVFDNLYQTWVMK LDLGELPLIPLTLDRSNSPSSHRLGINRLFGLISLDVPLEDRVPFTKAILDSFGGDPR FHGLLSYQFVTSWFTLYEATTWYDYKREHDQVAGWHWDPSEVSKENLRTILDYTTVLV GKRLLGNLDREFKSWSTQAFVSSRVYRDSKREFDGVIEWFTNHLDLLEADERLWLRHF GISFSSNDP LY89DRAFT_717393 MATDTESMGAPAASENAEATPTTSTKRGRGESENETSDGELEVN SSRKANRASKKVKGNVEKRSTLVADTANTLTSYEEGKTFEQQPAAIQQELKRVLELGN RYRQAIEGLPEYARRLLIQQESTVALLKPFTTVGLREEMSYVIIEAMRHIDEGGEMKR GEERKKAAIPFAQQAFSGMFDARGNKRQARPAGSVAGLSLKEAPKHGDDEEFDNFISN CGKYIEATGPPRNYSIKRTDAWKVYHPFHCMNIRKMMSRMLLELEGAWSQAIQSGQAT NDGEGNDIKTYSTSSAGVAILDVNINDTSSLQGAFRQSARNVKMSQLQHAYLQMAMAK HLRDCYDEYKKKADNNGTEKLPQNRKEEKDQGLPTTDWIEEVRVGNRWRRWSEILCGQ EDEFGVLLTLGFFTMPTGGPDFSFLHRKINNDSMEYAEAYIEMYTPELKTLCESLNVE APAFLRNGFISPGSIAALQSAVDDIIPVDAPAAEGSDSDAIGSGMELMA LY89DRAFT_780861 MSLVHLSHVCSHLQNASRARLGLTSVPSTNQILTLCLSLQSAGF LSSVTRGGLTPPPLDNLSSYSPEPVTQQNVATRRLWLGMKYWDNEPVLKKMEMVSKPT KRIWMDCSDLGRIVRGREAGQVRGLTGVGECLFVSTDRGVMEARECVERRVGGMLLCR VI LY89DRAFT_614007 MAARTLRIGLIPGDGIGKEVIPAGRRLLEALPSSLNLKFEFVDL KAGFETFEQTGAALPDKTVEILKTECDGALFGAVSSPSTAVKGYSSPIVALRKRLDLY ANVRPVKSVMTAKNPIDMVIVRENTEDLYVKEEKTFDGPDGKVAEAIKRISYKASFRI AKMAGEIALRRQKIRDSGAPSIHKSPMVTVTHKSNVLSQTDGLFRATAREALADPRFK TVDVEEQIVDSMVYKLFRQPETYDVIVAPNLYGDILSDGAAALVGSLGLVPSANVGEG FAIGEPCHGSAPDIMGKNIANPIATLRSVALMLEFMDEEAAAAKIYAAVDGNLEEGKL LSPDLGGSATTTQVLEDILGRL LY89DRAFT_506709 MSGGVRNLLAMFEQNKDTSPPDRGRSPGESAGGASNGTSPRPLS QKVRTSFVTVERSGQVGLGLRRDTSGDPGRARRTSISIDEEEHPKETAERKQSIATEL EARKNSTMISETIPETAVETPPIAEPQKTLDGASSSAPVKEAPKVEKAKVEEKKAAVK ATNGHTNGTTKPAAAKSVEKHTSKPTTRPAPISTAKTMVLSKVSPKKSPLPKTPTTPS SRSQPQTKAPEKKVEKKVEKKVEKEPAKASSSTQAKPASKPPTTTASSAAAKTRIPAS PPQTGFVKPRPKSPTRPVKLPASLTAHTASSGSKTAAGGPPPSRQTLSRASGNAQPAN SLQAHHALSRSPSRATAGSTKTTTSSLARKPSTLKSSTSTRPSLGPPPKELKKQSSRQ SLPAPADESFLARMMRPTTASASKTAEKPPATPPKRSQSVKRPTTRDGSSKPASSLGS PAAKPVAKSPVKAEEAEAEAPSPSKPETTVLLSQSDPAPVKDVEFAKPAPEVNQPAPV EPEVAVVGTKHVEEFIPETVQEEPVAEEPPVEEEKPIEAKEEAPVAEAPEPEKEATPT IEETKEEPTIPEPEPIKEEPLETKTAEPEPIVHQEVTKPELIEDPEDVKAREEIAKLN AEVMKAAEEETY LY89DRAFT_506727 MANITKPMIVPASHTSSQPLEQFENPNRGNCTWHTIFSQPQTPT DSMCSGIGTCQPRNGRLCPHRHKQAEIYYIISGKGIVRIDEKNYEVEAGSSVFIPGDA EHGVFNLGEEDLKWFYVFPTGAFKDILYRFSDEVEGKALPTVAQLKAKL LY89DRAFT_683726 MSLVENLSALLADRQKDRLTIPFEILSLYLATELCSKLFSRFIN RFDTRPALHQRQLSIIPPIIGFKLVIIALFLSRQPSITSSSLDASSIDELFNIINHTA IGYLFEILYRPSPPLLQGHHILLQSLTYYFILYLRFQSSYMLISQFTSIFIIFGMGLT DSFVDLMVLVYRLAPEGSEWSSFLVKLFGRGSEVARAAEWVMLCGFIVVKFQDLSANL SDFEMIGWALALALWVWTEVDDFITIRAMTSKFGIHVKH LY89DRAFT_775060 MDITPEIISEIPSNEPMEIYQEDQKSYPRLAPKSKSESWCYVHF RASDKAGVLDKAIVRRRPATQRTSANLLRATPTRTELEADGELEVEAEIMELFAKPPP LGRIRNKCWVDLRERYANSAQKQWVGKDRWNVKEVDAYSKPNSDFLFFELFPAEIQMR IFEELASYDRFIEIERQYSDLDPIPYWRSHSTRIEGTSGWHRDVGARFHQYFRVSQKS LRPPLFFNISQASRSMFKKLYSQMRFDNLDPRSEAAKSSRIIWFNPKTDIILFWVNTC TTTLKEFCQFTHKADIEVPRIALKRNSLCCHWSQDFDQEHADFAIVYGEYPEPGMEAI EFLHGIDPDEFLPEPAWTASTVMTSAQQLEMKRTFPGCKGFRELLMLGTTDIFGPPRA GMVDENTVARVNHDKVHNYCDMLTLKFAKRQQRRIENKKRLTRLTETVPRWTALEDPP KIRVMQLAQRNLDFPPNWNYRVDQYLRKDVKLIVPSNDLTFLKIIAVNNNCQIQVQTA VGERGDPDEGLINYMEIGIIGPTEKATSKASRDISDLKICSRHFSTLSPSRTHSLFPF PVLETGKVRFDTNSFDFEKLISTQIMQITVLNISRSEGDRDDDQTAGNARMKNKSIDE KGYTVPNTFHCFPTLPSELRLKIWSMVANQRRIIEFRHTGNRPRVCSRSSKPPAVLHT NYESRTEGLKFYEPREFDKRQLPKKCYYNPKADILLIGRDSDTAAIYYTFQRYRRDGP IPRVAVMLTDICEKGGTVWNPTKRTYEKLSILQAIHGFAEDIPGVGSQRRGGCVGLKE ISFIVDSSLWPVAAGKIDSSTTFRPAIREALGRRDALLKLRLCNEISGLVKNLGLDGK NTWVGKDKPTLKFVSFAPTSVSGEVYDGLAVDLENMSLLEKNDWAVIKQLESSTGCKF EIPGEEYLGVSEIGLFGERKNVSAAKREILKLLEDTPSFSKLSLSS LY89DRAFT_732423 MHLWNGVGLQARQVGSGPDSSPGGPPSSSVSLNMTNTPTATASP TASATSTTSSNGGGSSSVIIPIIAAVLGVLLALSVYILLRRTRMRHKNPKYVPTPFLK KLWEKWEPNPIKYRLPDTNDSLEPISNGRTTGLRPPGRSTAPPSSFDTATREAVAAAE ANTAAAGVDRNTSVRSVMTLPAYHQNAMNNEQVLGREGDRGGIDVVIEFPETVDEEEL RREEEMEALYQVRLARRRENEEREERRRLRREARERGDYVALREIAARARAASSTSAS QTVEDLRAEHERIKKERQRAVSSVSYADLGVARHDGTRLRANSQDSERQGLLGDAASI AASSHYHRRDRSASSVLSLDTMNDDLPSPRLTRSRANSGAASVGRSSHQAERPGTAGT RAGSSPEMIEHDDIPPNSPPGYENISLDTPHDEIPRNPLEPPPDYSSPVLARGDPHPT IESELPTSEFNTRRDSRRQSTASSRRESRRSSTHIAQLISDSRTSSNASSGSHRSGVG GVPQLPSLRLAQLPSILVDPGSPRVGRVQEENFGDEERDLTDQREHHQ LY89DRAFT_554216 VKILIVGLWRTGTSSLLQALQTLGYHNTYPRDSPSPNTWNSLSY NQAWNRVIDSKFLDGNAIPRETFDELMGDCMVISGVPCQLFLDDLLAAYPDAKVILTA RDMEKWYPSIINSFEYISTRSIFRVAALFNTFVRERSGYLDRVKYWGYYDNLPVFAKL VHKNHVEKVRLLVEAGRIRKEDYLELEVGHGWRPLCDFSSAEMQEGEYPRVND LY89DRAFT_667935 MVVKAGKRSRKGRRSEREKYAKKFRFEGVERGDYVKGFIFDGVV RGTYPKKSSSGNLDLEATQRIAAQNTVPPTNNLNPNTTMLPNDEESASHVARILELLR QAPGPKSSAPVLPISGENEQIVSRILFLLKQAKSLPSNVNNPSPVVRDPNVPVSDAPV CDTKADNGSQNASPTALVAYSQELTTTESTQELDTMCLARDLGYGTGKLDISMFYDQF ESTFGCKLIRNEVLEDLRGWCSPVTEVGFLGSRSAIEEAKAGVTRQQYFQAVLGGKWH KR LY89DRAFT_643409 MSVGDVIAVVTILINVGTDLYNRIDSVKQAADDLLLLTVHLQVL SKVFKGSENDIIMAYSSEFMRMLGILKSIQESYNKCAKVLGVESAGTTTATQKTAING KSFAKRVVIFTRIPSILAEIRYKAEQLQKISSILSVSFLSDVRKHQKKSSRKEPLNSP TAKNTTLHDNLLNLDLSTGFASIDRMVENLMNECKHLEHQLQETTLFPDTSAVQIYQA QNPEGASFWKDRFQKGKLYASALRYETFYVSWARFVHEVETSFVLKMIPTGIFESENL DRVRLQGSRYSINQSGTRRLSTIRPLWLPALRSALDPLHKGYVKPDDYFKLIHDCSLS DTLRRLVLESAGYGTFVECERASGDLALPAAIESPSGHIGWISAQIVAVPTPDELGIV TVQEVTESSSDAIFAHFNGTAGDVHVYVRYLETGQIERKSLSKQVRPVGGISVGAALS IRHELDSGEHAWSCDLRITEFKAFQGGEPLKASSDIMLYGNDNSASFTIPEFDCTLLG PSKVFINPPKVGEKVQIEYDGFWYDSRVTAVDGDEIEFVDWENLPKKGETNITQARHL GEADEKEDGNLFFPEEQLIQLGKGTRRLWRPWRRNTNRYDVRPYRCFHIGDTTEAPVM YPDFRFHYHVTDNSQLYLPARIVDVQGDQYVIEFSPAFSVHSWWPGRMPQGEQIDLVP GSDIKMENPFDFNRVTVAMDRVRPFIAGPRPVLGVQSAMPSGWSSFQGIHLCDLEDLL EGSLWNNDLDNERVGG LY89DRAFT_696305 MESWLKQYGASGLDDLELADFSNTGRGVRTLRRFEEGGKILTIP HGVLWTVKHAYADPVLGPALLSARPPLSVDDTLATYILFVRSRGSGYDGLGSHVAALP ASYTSSIFFAEAELEICEGTSLYTTTKQLDRQIEADYKELVVRLFGRHQELFPCDKFT IEDYKWALCTVWSRAMDFKLGNGDSIRLLAPFADMLNHSPKVEQCHIYDVLSGNLSIL AGKDYEPGDQVLINYGPVPNNRLLRLYGFVVPSNPNDSYDLVLATHPMAPFFEQKHKL WVSAGLDSTSTVSLTLTDPLPSSILRYLRIQRLNESDLAGMVSHKSDAAFEKVSDSNE VEVLRFLVESISSLLDGFKNQLEKLEDQLAEGFYTPEGNAWSAAHVSLGEQRVLRLTR KTAEDLLAAVETGSGNKRRLLSTPAQCAKCKKVSVHLMRCGRCNEVIYCGRACQVAHF KEHKAICRATAAKNGSKKD LY89DRAFT_582416 LADQWRQLVLRPLLKLGGNDTYPSYVMIIDALDECDDINDMRII LQLLAQARSLKIRLRVLITSRSEVPIRTGFCKISDAEHHAFILHDMETAIVDHDIFVF LEHHIGLIGQEWCLGASWPSEQALRRLVIEFEHSH LY89DRAFT_507162 MHVTCDLYIVRIPTSTRRTSPRKLPEIRYYRTPARMSAQRSSIE DVAPQDDVPSLRPSIEGHTHTLTPGAPSRTPQTSPLRQPLSADIVDDDPEFLALIQAA RQQLIRDRTTRASTITDNSPAMNSDLRQARPVSNAALDDSLRDSSSDSDDLVNP LY89DRAFT_732428 MRTTNTPGLVDQYVHMICKYVNKARDPPVLKSPSCLMFTSNQFE ETRRSRRPPETAEAHAKAAMRKLGFKNMAMFRELDFLFIPYIKYITHSVLVGIAPKQR FAFVIDSGPEKYNISHDLSRSISALILTLSPDIKWARDNKRPQQGEWRMYGEWSHRSQ TTDDGPNAAQQDDEYNCGVFTATSAMCLAFGFRLNCFVEGDLDKRKKPRMAAEFANGG FFGPGFDYDLLDLPQIGVQPPPSPKAPAYTAPKPHATPTAQPSTAPKRKQSILRRFFS KKRKHDGSGGDREQRGLGEDDSSESEGSDGESDDEDSLPIPKEPLYTPEQVFYALNLT PKTTSKKRKNAVAYPPQFDSKVHQQAILIYDIPEEFNLQDRNYSKRELKQACRDFRVV DWKPWSFERQGFFMKFVMSEIGAIMARRRGDEIQPFPGIGEVPELIPATAPIRVQPRR SVKRSRQEMEYHETRVHKRRG LY89DRAFT_546571 LRDLVKADQSKQTRPRTATSYSDADTLVGSESPPSLPSPTEEKG RIRMLPATPPHVTQQYEQVVAELDHNIGLKICADLLTNELASALYRHHPAELDERASG LQILLMIEAYESIQQHVRQMLYDAHATGGDDKHVKMVDEILEHWLHVLFSVYDRAQEK LY89DRAFT_507259 MVSSPAPSGYVYVGCYPDSVVRLLDGASVAMSNLTIAACANYCN TISSTRFYPLIGVEDKDQCFCGTNFTRDPGTANEFGCNDPCNGNANLTCGGQGYINVY NATSVPAGLNPLPVPTTTTSSVLGGITIIPVDTAPAISTTPTPSPTPIPSTKPSRALV ALSISAGVLMAFLLAALDELPGEIADSEYGIYGSSLHSTPHIKLPVNWGGW LY89DRAFT_507242 MHQLPSWTRKAIYRSRSRRHICTSLMTTAFNSLNKVPLTFTPFA FLTSMSLFRLDNVLRTKRRRKVKAPP LY89DRAFT_780871 MFGHNKRHKPVALPSQGCHRLPEISRQEGHPAAKPYVPLMDVVV VFLSLMCLVLAVVTIDNQDLAVRLRYTGQIIIIGFLLSVMSQCHQRIIPFTLLLLEAR FGSSSLQNYDGILRWSPFATQLHPLWRTVIFMCIAMPILLAIGYKSFTGGVSIAQYGA SIQYFGPTAPPGLQQNVAGLSIMANATIPFLAASFDNYPVPTQAADNPPTYGFNLVLL SNGSAAALDGPAPSYVISLQRQLDVGSEWRLSAAVRATVSQYNRIAEQHRNGTDAWWR SYLSLVSLKWQTLDNGYFFGWLNVGVATSDAASAWDESWALLGVFPAPINQSMQANTT WMDDAFSRTAIGFDLKRHQCQGTWRITTSSIDLISGTCDAEPLDPVHQYFTNSQLNLG DFYLPLLAEYLGAFGTTRNTSRWILPSFAVSMASMYSSRIAGSLGWVPLQPEGLLYNE TNVVSFPNQLYYENYTSIVTLELHVPTLIARPALYALLALQPLLTVVSFILAFAMYGT PIGRGFGMITVLAGVKRQSLDRLTGAAFSRDVREKIGLRIKVRRDYRAEHENRVEYVL GKDGITGEIIRGQKY LY89DRAFT_717406 MVYSYWSLFCALAFASYSSASATTSTRNIIWVDGPDQGTNQTDS GTPDNITQLVTENTRVTHVILSSAHLNNPTDAPPYVNFWSDLPINSSKTAFIWPQVKQ LQQNGVKVLLSFGGADDGSWGHLLDNFAKFYAPLLQALKDYEFDGIDLDIETDYTTAG ALQLLEKLNDDMGSDFILTMSPVQDCLSESGDCSFGSINYQYLDNNGSYPDRANSKLV SWYNGQFYAGGGDGANSPSEYETVVDAGFDASRVVFGVADCSVDGSGWYPLSTYNSTV TQLREKYGSDFGGAFGWDWNNAGSCDGLAHPWQWRVLFCNL LY89DRAFT_706484 MNSTLSPLLSPLPAGFSTNTSGSIWGWYNTKNLAVLPGNFNRTS KVEHIISFPPDTNHEAACFVEATNQLFFAAWGFDHSWQYVLDVDTNELHNITTDPPTW NAHGCVYYNGSLHVATDGGGAGAGYHASIVKIDPKTLKAETLLNNFYQQPFLGFNDLD IDPNGNIWITDSISAWWVFQTKGNANGIAFAEDGTLYVDSTGISSGRPNVKDPFKTRA LLAYDTRDGQPQLRNERLFSNSISYYCDGVRVSRNGLVFCGAGDGIDVIEPKNGITLG RIRTGGGDPIDAAVNLAFDGHTLWVVGHGGVWKASGINELLTRKW LY89DRAFT_696307 MESSANQQSSSETLHNNDQIIEATKALRRHIGLAEDPGEESTLP KPSPEPLFFIEVAPPSNRGARCKLHCPKNIMPGEYRIAVDPGQEEYYGRGGNPGKCSK FPKWSSALLIQPSIDYYHIHCFEKTADFSQASFLDRVMPLTRNVTRLKNLKGSSILDG HYLLDAGAERLGLQWMVTMGKLVDKRDGVGIADPEGDDKVTYLMDLINKAGSSSYKPK MPSGMSTFYDGPDDSEEWNLFQEYLAVSEEHDESLDNRHSLSQTLDAWRFDVTLATSE NLSDRGKELKAELSPKAIRAIKRLDVTPMPDVQSAFLGRFQ LY89DRAFT_775108 MIMTGSQQVDMQQIEIVEQTKHELLVANIFDKALANNIDDGHDQ VATAVSEIIQLFPSSGSTEEMESYLWNLWGTLIQKAQEVPRHDERQRTLVRIVTTLRD QTPVGDSNSITVWGSNYTLWTDLPLLGPCMREAWIAPPGFFRGQIISATSLEDEDDAG ERDLSHNEDNTTKEIASEDEDNTAEGIAPDEDHTEEELESWINLNAFAAQLLEHRTML WANLGLWQLRTALERNGDFVESRIIVASEWIRHAGLQIFDLCFYDVADEDSRNAMAPG PLISQEKGGFTRFRWNYWNGRFWKASEFGGSRSRSATEAATEAATEAATTMQLIPSSR IQGPNLLGHDPNLMLRIRSD LY89DRAFT_706486 MSPLDIFLSAPPISRTLAAVVFSFSVLIYTRVIPYDRFVLSLPS LTQLPPELWRVKTCLLITGPNLGIFFDTYFLYVYGAKLEKGPLRFSQRADFVTYISFN GGIIVILNLLITSGQRLASALALSFITTSTRDSWDEPMTLVILKMPSQYLPYALLLLT LILDSPQAAMIQATGLAAAYLYDLLTGLYPNFGIKRNLITTPGWVKKMFGTQNVVERP YGTASTPAAGETAWGLDLSWKRFGPGRTLGGEGSSEVRQRPRGCILAVLVTGAFLVIC GLLGWFFFHGAQSGPLSIFGVDKLLSGKPPLGGADPTSTTPH LY89DRAFT_683738 MLASVIAICLATLVSSAPLAVLPRQTTCYSGVYVIGARGSEEAA GYGSVASVVSGVLSAIPNSGGVALDYPASVLDPLYDDSVTDGINAMISLIETYANDCG GKIVLVGFSQGGNVITDVLAGGVDKPTPLAPSYAAYLSAVTVFGDPTFTHGQSFDAGT DTTTDGIFARSAGGSSLALLNTYASKIQSYCDNGDVYCASGDDTTAHSQEVPTWGTDA VDFIVSLSS LY89DRAFT_683739 MQFLTTATLLSLLFLSTSALPTALDERAAAVAQIDPSLVPIFSI KTGSGVGVNGVAIPKTCPPARAAFISKLSTNVAAGNVLGTPITFNTNPKVNDTKTNEA RATAMIITLQSFTGVKGVGCPGASTPELLSQQKTGVMSPS LY89DRAFT_507512 MESPKPTAQDEPKNQDHKVSPLAEEPKEFYQSSRVWYAATVFPL AAACFGPLASALNICALVEEWRVIIPAGDVESTEIAVGDPSWLVAINAISLAIAILAN LSLLLSFAHRLPFHAAQAATIVGWYISSIMLLVIIILTPTHLQTGTLYSYGQPFVYAC LSAVLYFIFATLLLITTFGAIKGYYHPDFSATLTLPQRTLMAQSTCFVAYLICGAAVF AHIEGWIFVDGVYWATVTLLTIGYGDVVPKTHLGRSLIIPYATSGIIMIGLVAGSIGS LVIDQAQKKMVSRLTVKQRELLQADFDQEARNDQNHESEHIRERAEFNLMRRIQSRAR SRQLWLLTGIAAVALVLLWFIGAVVFWKTESTPTSFQSAPWSYFDAVYFVFISTVTIG YGDFYVESNIGRPIFVFWGLLAVPTMTILIASMGATVLVIIKGIIAQIDRFVVLEHEG GGRERHSFARKAFHMGKLHLKHRRGSNKDGHHGGMERHGEHGFRDRKTGKIMNIHDET GHIPHPDHDHDEAVTMRQYLIAKEIRSLLEDVSASPPRTYTFEEWARFLKLLEIKHRE KDTSAPSQNSNGGNGEIDENGWSWLQDDGPLFGTQTETEWLLQKLSKQLEDSLTPTI LY89DRAFT_507394 MASSYKRSVVKYQANSEKEISYISAGPSEGQLLIFLHGWPGIAY TWKPQIETFAALGFLVVAPDMPGYGESTSTKVQEDYSHENIIPGLLALLEATTRTEAV WLAHDWGAGVLSTLMATHPEVIKASCLMAVPYRTLELGLEEVVKLVNREMYPEDKFPF GQWDYQNFYEQSFDKATEWFDANVEPWLKVSFSAVSKGRGALGYGKPAFTATVTKDGG WFGGIPKALPEWNNVPTEGAMLGQDVLEELIKAMKKTGFYGPDSYYMNHKRNREFNLS KQKNGGHFEKPLLFIEPQWDSVCDTANSRLADPMRQHASKLTWTSIAAGHWVSAEAPQ ETNAAIAKWLATEVADYWPYFYKNAQIKNY LY89DRAFT_775114 TSTTSIRSSIYENIEENGRTYHHFKQGKYNLPNDEVDHLSAPNT LLDLQHTLFYLTLHEKLYLAPIGVEPHNVRQVLDIATGTGIWAIEFSHLFPSAQIVGT DLSAIQPLYVPPNCRFEIDDAEDEWNFAEKFDYVHGRALLSCFKDPKHVISEAFKSLA PGGYLELQDAVFPFNYIGEPPVDSDLYRWNKLCVEGSTKIGRPWTNVLNYKRWLTEVG FEDVVEKSFYWPTNKWVKGKHNKQIAAFFQADMLNGIEGMSLKVIGQLGWTADEIRSF LVGVKNDLKDTSIPAYLSIKVVYGKKPQLTEA LY89DRAFT_780882 MELFLVNILCFLLSFVHLAQCSTSTSDGPTATVVNGTYVGKNVP EWQQDQFLGIPYAIPPLGALRFARPRSLNSSFAGTKNATQYGYSCMQYGTNFSLSEDC LTVNVIRPAGTASSDKLPVLVWIYGGGLYAGSSADPQYNVSGIAHVGQEIGKPVIVAS INYRLGVWGFLQSPQILAEGSSNAGLLDQRLALRWIKENIAAFGGDPERITIWGESAG AQSIGLHLHSYDGRDDGLFHAAIMESGSQIGAFLQPLAYYTSPVENLTRTTHCYTASN QLACLRNLTQDQLFYAQVNGDFLTAYPSTLSAEGKFIHIPLLIGANSDEGTSFGVTGL DNDTAIFNNLLIYRNYAISPPTARKLLELYPNDPAHEPPYYITNATIFPSKGLQWRRD CAIAGDVVMISGRRKVCEEYTKGGLDVFSYRFDTPLWNAAVTAGAQHFVNVVFSFQNI SGALGPLPKYQNYTDLSHNIGKAYISFVNDLDPNTSRGNSTLPYWPKYDLDAPQNMVL NSNLTYVEDDTFRKEGIAFINTIDRELLA LY89DRAFT_717416 MMNKAILLALWIGAGMVIANTPIDPSVFVDDPLTAVIAAAPLWH FDEKTCFPSTATEADGSQTPSLPADDCAVLKALNAGCPVQAAQTQQEQLSTAFPTYYA IQQCSSDNSWRIAYDVFFQKDTGHPYDWEWAVVKFLPNADGQYIRDGIWLEEDGNHPY TSWSSIPNTFDNDTDKFQYGNLNRDHPKAFFGKWKHNVAVVYNDDYANDCLGAIIEKK DYHSDDYQYYAADNLLIDTTVPASYNYGDADSTPQSFEPGGAYDLCGSVFS LY89DRAFT_732445 MLESLFPGPQDVLPPTPSWYQHAAVGICILSIFLPPGPIRLSTG LLLLILIIHATFWTYAPTYNEYTVSTTSMTTLFAWIDFVACHDLEHDFWKVEKDAEGH VVEKRVPNGVWEKLKWSTLFWFGYRKIGWNIQVSSISPAVPSDYPRSRFLRQMFLKTI KMYLSLDLASTSLRLLSHSRNNADFFKEPLFTQVLSGWATASRAYFGMSFIYHIAAFI AVLLSLTPLSSWPPINGSFRQNFFSVRQTWGRLWHQFIRRYCTSAGRAVTRICHFKKG GFASRYTQLWIGFVVSALMHAPPAVMWSDRGFWQAMAFLSQPAAIMVEDLVIHCGKVV GLRDNGFIRSVGYLWTFLWFSYSLRFWVATVPAQYAEGDELPSLIKYVIKRFEVKSVY GVEY LY89DRAFT_667957 MGDIQSEAPTKQSLPSETLPNGSPISSPPVGTPSSEASSIDEPS IATLPDDSSDQEMSEKKECELANLLGSFRAGRWDPYCCPTAYELVYNRVYRRIFEEYS SSVEALSHVNVTFGPLGAYFCTVKDGLFYRDIPPSLVSKLEAVEVEPRQVALGRGNAW VAIWKDGTFSYNLGAHYSDLADALNERFNENAEIAFIALNPYDNDSWFMVDCNGFCSW NFKNMKRDQIAEIRKRTLSYLQRRSRRTGTSYTSVATTGTKTVSIKVTPETSYDEPAK SLLQRAQRLADFRIQTGNGHSIGLPQALKRPAVAAGCVAGVSTTVLGRAFGLRLGSAL NLGVAAGAIACTVVSQNMNR LY89DRAFT_775126 MANEKPGTMFGEMSGIHDACFIITICMAQILALAGLGQGFTPLY IVGDSFSVTNDGELSWYLAAFSLTVGTFILPSDRLGDMYGHKKIFLVGTIWYGIWSVI AGFSVYSGTSRFSVCRGLQGIGPALMVPNALAIAGRSFEGKKKNYVFACFGASAPGGS VLGGVFAAIFSELVWRPWTYWTISMILPPDEHEAKNDGKTPTFDFAGTITGVTGLVLF NFAWNQAGVVGWTVPYTFILLIVGILFFGAFVYVEVHIAEYPLVPIKMLSKEAMFALS ILACGWASFGIWVYYLWQLVENLRHHSVLSSAAQQSPVAISGLIASLAVAMACFLTGQ ILIATTLVSQTYWAQTFVSVVIMLWGMDMSFPAGTIILSNGMPREHQGIAASLVNTVV NYSISLSLGIAGTIIRQTNEGGGNSLGSYRNAWYFAIGLDSLGMVIALWFFWVSVVQG KTVS LY89DRAFT_780886 MATKLILNVLFLLFFAVIEASSPGKYARVVRSSIVSNTTYDFVI IGGGIGGLTVADRLTEDPNVTVLVIEYGPFDKGEDSVLIPGAYNPFPYLKQDLFSVPQ PGLTNEPEFIPVGSVVGGGSTVNAMFFIRCTSEDYDSVASFGNPGWGSSDLLPYFKKS ENFTAPDPTFAKERNITFEPSFHGTLGPVHASYSPFDYPGGQNFWDASLSLGIPELED PDSGESNGLFWLLRALNPDTETRSYARIAHYDRVIATRPNYHLMPETAAGKLLFEGKK AVGVQYINRTSGVVTNVTATKEVILAAGAVHSPQILILSGVGPKKTLDQFNISLVHDL PGVGTNFQDHLDYAITYNFSANLFPNADDMVDNATYIAEQRVVYDTTRRGPFTLILTT GNDFVTLPLRNATSDWESVLASAQSINPASILPNGTDPTVLAGYIVQRENLLARFAGY KTPIGSISWNTGSTTTLYMIKPLSRGSVTIGSTDPLTNPVVDFGAVKDPTDLDLMLAL FLKNREIMQQPSMQVLGPTEVVPGANVTSDEALKAAFRATIVPTNGHACCTLPMVPLA LGGVVNDVLQVHGLESLSIVDNSIWPIILSGAPSATVYAEAEKAADIIKKRYGLTSG LY89DRAFT_614045 MRRRTQRALIQLVFFGSVLFLVIFLTRSGSSSKTFAWTKIRYRT TAATLPEARGICAGLAKSSRPALVVSRVTADDSKWLEKLGKKYHQCVYTADAPADLKS TNLQVPANRGHEAMAYLTFLIDNYDHIPAAGAVFVHGSRWAWHNDAPDYDNAALLAQL NITAALEISGYHNLRCDWSISTCPASTKAQGSIEMTMQAVLVPYDERAVSDAMLPRAL ANIFGGNEPALAKPGRGDAVRSQCCAQFVVARESIWQHSRDEYVALRQWLLDGTSTGQ QVQRTPAAPPDDRIAGRILSYVWHILYIKQDAADAQNPASAGLGLERLNALACPSAQE CYCRLYGRCNLDGCQAGKCSGQYRLPKDLKLPADWATTHS LY89DRAFT_582268 EAFPAPKMAAFMVTRSALLSWMRKGKVAGKDCVLVDVRRTDFEG GTIHGSINLPAQSLHPTIPSLYTLFSAAGITTVIWYCGNSRGRGPRSVAWFADYIQDQ KDTTKDVEMMDGYNADAWGFDSD LY89DRAFT_732451 MQYLKTLIIPLGLAASTLAKPFPISSDGYSASVKKNVTEQIAIW QSAIDTVNNFVDTVLIFANDSTEVSKMAVVAFAAAQEESSSNTILSDEVQIDASGEAA SKALVPGFNIIGPAINDTIYQPQNVKKNVDTVNEERCAPPRGKGAISLEGDVQQAAAS AVGIIVPPPQTPVACYLPAATGW LY89DRAFT_507637 MPDFRASLPIALTIPAAGILIATYLYNRPLPLPKERKISVTEEL SHSFASGPSPKSLGIVNPRNHIQLTDSRSINLLRSEISRSHLRDGDAMVSDEEILARF LVGFFGGWSFTPERGLLAALRRMGKKFIEAKYTEMPSSGHPIDTLGGFSKTKLPPKGT ILFGGNFMVLDTRVFFPPGSPSSASSLATREVPSYIDIGFGDPVISYVDVAFGADQKN FSGLHRFEIQYHPTKKEGEELTVWYSSLSCNPSLNRAPFPKWTVAFHRWYAMCLFRDG IEGVIRG LY89DRAFT_507703 MKLWPLDGAASKWRNWFLNKSTRLPIPPEDANQPKKEGEFHVFL KWYGYRSPYLVNPKTTCRELKIIANKRSWVNFPDFMYIFNHEDRKPVPDEAVLFERGV REGSVLEVLYPIWRDKPKIDENLIMATIKSQISPWRLG LY89DRAFT_553410 MSFGYSIGDALSLLNLAFQTLQNTRRACGEHDDLTREVSSLHRV LHRLHLELLNPHSVLNRADDDRHAELNELGESCEQILRLMNSIVTKYNALWDGSIWTN VDGDEKMFWRELRRGLVKEGYRSSVLQRHKHLIQDYIKEL LY89DRAFT_775143 MSVTYTRMSKVPTHLTAVFVAKGKPFELQSRPTPKAGPSKLLIA VKLVALSPEDHIMRSQGFFVPSYPAILGFDISDLVLEVGDDVPTGTTDDGTGLFFQPG ITRVAAYSVGFWKFGDQDYGSLPRTVLCSLAACCDKDSSFAAVYATAGLANAKYVASL GADRVFDYRDLQVVDAIVSAAMGDGVVIRQCFLAMGELAPCQAVLKAFLGGDGHGEKK KAKIGSVSSILPGAEVVIGVEAIFVQP LY89DRAFT_507773 MRWFRRKVPRLLLTGICQVIYPDFLFRIHNVALEAVNAVIFGVL EDLSGHTSDWKAIVIQHCKTPISTDELLCAFSVPTYQVREVSAIGLLLVLLAYTLTSI VL LY89DRAFT_507783 MGSSTNYTCPTSTVTDPAQSPIVGSLSFHQLITIISGACTVFAC VLSFYLIFRHATHYSLPKEQRHIIRIIFMIPVFAILSFLSVIWYDGAEYLKPIESAYE AFALASFFLLLCAYVQEDDNERQTFLQTSGTMKQYTAATIGSFQFPVVMIVLLVVTEI TQATGSYCETSNSIHFAHIWVTVLSALSTVVAISSVLRYYKALKPTIKHRKPLSKLVG FKAIVFLNFVQTIIFSLLTSNNDLKPTNHITYNDLSIGLPNLILCLEMVIFSIAFLFI YRTQEYIFKASAESAVPLGHGGYQGGFMGFRAYGQAINFLDIIQGIVSVPGLLMSKRT AKKGGKAWVTGPQVSRV LY89DRAFT_507830 MPPSWNLTSGPLEKFEFFPRLPVEIQLQIFRHASCVPRIIEVEK LCCNNLRHRRGNGYRIAAKSRKIPPILQVSRASRAEAEKFYAKRFVSQKRKQQTQYIW FNNDFDILLFGKDTDALMMTHVFRDEVGFSNVAYLIGDQDVDPRYVFPSSIMGALHGC LQHDGLLGRPYFQPGCQGLKNVFLVLRSDVWDVPHGEVDANVTFRTPRLEGRSENAIA TRSRFESILKECTSGNGLDHWARSNGYRLSAEQEKTGIMVENKWTSADEMPQFHFVNF APMTTIQRPEILDGVVLCRYFYDCLIRNLDETA LY89DRAFT_507796 MVIVSLVAAGVGRAIDAYSDRKARRGERRRSSDSQIDGEIAVVS EDVEQQRRELEAFKELQNYANFQDDLSSKVLDDLSRENASIAYSDQSLPRQDSTSYSP PRPVISRIPSRPKLQWPIIIPQGNTQNGNSCWVRAYPRPLLELGIDQSTFLSFLDSFD VHMKLSTRLEAVNVASTSSGIGWRDVPGSFSRSIPAAVQVMKAHQRERKSNSFLNHVN TLTFRPRGLYAIIITSRSDSPLQVITVEVPPPKPVQYFDTDVEMWTGDDSSKLPEEDS MIATSDTVSSMLSYRQSDGYSAMSLDSAQRKRSLQQVPNAYSPSEEAFEGISDAEQSA PRSPFASLLSSVAKHRERKNEVVTKRLRKDTRPFTRPSIALTNALDNVLNRPISNSHN VTPTWEKMNMEADALYLVIVNDPEEEMPQPAKSMGMYKQPMSTNKQNPSKRNVSRQIK GGMMRQPQSSESSSSNRFVYDPVYDTPSSAPPAYSEKQ LY89DRAFT_582774 MVSTSEAIAYAEICVYIPTFILTLFVVFRHGFKRQAGWIYLAIF SLIRVAGAGFKIAQSHNPTNKTDIEWAAILQSVGLSPLLLASMGLLKRVNVAANSGIA NIITKRATAHSRRSRVIQIAALPTMIALALAIIGGTDEADSDASDISNGKKYMKIAVI MFFCIYLLLCALTVITMTDVGNAPRGEKRIYIAVLAALPLLAVRLLYSILAAFVNNND FSIFGGKPLIQLFMAIIEEFVIVLFYTLVGLTSNKSEY LY89DRAFT_582364 MFRDESQGLSARRQRLKDSSGQRAALVELDGQKAHVSKSVVVSG SRSPSPPLALPVLTINPSFSAEEQATCFFFENYVVGNDIFATGSFEFLPDVYFTTDVG SALSDSLTAIGLVGLAHFYKASSLMLNATFKYNSAMRTLSSQLRSMEQAKSDQTFIAI MLLAYYEVNTCNSRQSMDTWSKHMDGASALMQLRGREALRTPVGYQLFKQLRTQVIIN CMHRQAAVPAFITEWSNELDFESVEQAYGTTLSLLVIRYANLRASMSCWKDYSDPQRL ISAAYELECDLAAWAKSCPLHYIYQTVNLNERVDEVFSDHYHLYANVWVATTWNHYRC ARLLTNELILDQLGHLYETEPTSPLLLSHKCYSESQMLESNANLMHLCEDICASVPYF LGIDFEAGEGSIRQLPKAMYANLLIWPLYTAGATWLVSDVMINWVAGRLEWIADVMGI RQAGAHALFLRKKKHLLSWDEKSKIGEDHVLQAGDAGFESSSLDFESGSENQLVDLPY RSVED LY89DRAFT_507868 MHQRPVGYIFRPKLINTQRPTSSLKHGIIPNTSRHLTQRLLSLN PKSNLPPSRINRPPDLRDSTLRRRRHRNRFSTLNLSPHGPTRRHFSIQHLRHSGQDLG SLELCPDTRLANLRAEHLWRGHRSCHDEGKQHRSYDDEGPGGKHVCDVSSCVFLAEQQ VRLYVPLMELISMSKKR LY89DRAFT_507862 MAYFLLHKLAIALLFWSCSSTAANRLVLPPPLGAHPVGTRRVQV VDGNRLDPFAPTCQHRAPVLTLWYPLQSNESLSPAPYLPAKTAAAENPVYGVPEGTLE SVVTQTFINGSAIFPLITNRTLPVLVFSPGSQGLSADYTTILSSLASHGYLVIGVDHP YDSWPLERPNGELVIFGNTTDNISFASIVRRDDLLFFGRQLEVSDIAEWLETSSLDNV DTLRLGVFGHSLGGNAANLAMQDESSSYVAGASLDGSFFYPVNVTGFYGPSLYIAASG AEARIHDMLIEEWPLIKGWKLAIETNASVHMSFSDLVVLKPQRSEWDDVDVGSIGGER ITKILVDYLKAFWEWTLLDKEQSALLRKESAVYPEVSFMELEREGTIRDGYLKVQARS SS LY89DRAFT_507884 MHGRGGMKEKIYHIVHLRLGTRSASATIEARKRNQSSIFAAPKV LLKCFLLLLRTQSLRSAMGRPEDYVQDGYFLSLSYKRPNRHAARAVLNKLVASDCDIQ QKSPLFRLRPSEVRGVIFSLVFQPTVHQATAEATKASLEGVFKRELQGNRVERTHATV DTALFSRVD LY89DRAFT_582316 MKEAFEPSATSANPIASPNDVEKSPIKFKDAVGRKFSFPFHLCK KWEDMEELIKHAFLHVDAIGPDVQEGCYDLIGPDGEIILRQDWETRLEPGWSITMRMW STAESVSTAPKPSSLLGGASTSKPTQPRAPRQPKPTQPRAPGQSKPNSRLRHILKQMQ EYTGPDKGV LY89DRAFT_696318 MAALDDKVHAILNIHTKCEILRQLRDININPSSFYSGDLNYDVY FSFYTDQCQKALYDGGRHASVRSHRDILEIARHVKNNVDRISIRDMISLKLSVPKPQN EDELSYGSIDLVARLLTMVAVGRLQYSFTGRGHVAWTASALKNSIYSHFNAPIALHGE TVKLEKVFNARNLKRIGGIEIEWTKNLADHLSFDDFDQKVSIFYHASFLECHRQSPLY PPGLIDETIQTLKLLFPGTDTGTRKWFRKLSSRNNLDKKLLRCGRLRAEDRRIENFHF WHDRLIILKQIFDEAEPSTIQQWWCDRRKRVQWYTFWVAALVLALTILFGVIQCVEGA LQVYKAFNP LY89DRAFT_581954 RTLIMYAYAESPNARENLEFFIAKGIHGLADFLFVFNGETDADS LLPVGKHNIKIVKRENKCYDLGTIGEVLAKDALWKGYKRFITLNASIRGPFLPTYSSE NCWSDIFLSRITDHVKLVGTSMNCKPYQHVQSMLLATDDIGMGILLSPSLADSVEVND VFGSASDPTGFTPCYAAMGQAIHGEMGLTELIRKQGYEVDVLLTAFHAETPTTYCEAN AHPEDILYEGKYYGSNVHPYELVFIKANRNIDPILLASMTRWHLKQKDSAWDVCGGRG KKRVDGM LY89DRAFT_582689 PVEPEAHQTLITYVYSESYESMQNLMFFLEHGLHAKADFVFIFN GETNHTQLIPNKPNIKIVQRDNSCYDLGAHGEVLTKDDLWLKYKKFIMMNASVRGPFI PHWAEACWSDRLLSKITTDVKLVGISINCWPTPHVQSMVWATDRMGMSLLLQPPKSSP RHDGWMEVLFAHPAQFPRPDDYKTADPSWVEVGLNKCFANRQQAVEAEVAATGIMFGA AKKVDVMLMKFQTDPDYNAHCSRMGAWDPQGNGAYDGINIHPFETMFIKTNRGIDPRA LALHTGWMDRSSFTSYDYC LY89DRAFT_667976 MYMRSFPQIEQLMKSKLDWSYKTYNHRFRDWLFPVDRIERQQVV IDLYNEVSDTDVTTAVETPPATLPRLAALRPALQTSSPQPQQPTSVPVDFFFQPHPQR TPSGSHTPDRMSIATMETWTSGSTQSAYTHNDDTFSVSGFSIMTSASSISDAGKSSQI SPSDYEAEQTIQIPKPLRLPKHDPKAGLWNDILRVVPCGIDHTALQWHESFPSCEGCG YSQWHALMIYARHMDMGNFITAMSQLPGFDKMDFAGNYPIHYLMCAGVSMEYFGHFSQ YAGNTCRQNVFGQNPIHVLNPQDLGDQLMSLLDWFRHNRHPPGLLLTQRDIYCRTPLH ALLQRPLERTLYRQILKVFPFAEHQLRSLDTSGNSTIKMMNKSSNKIKLESAPDFAKI QAGITEVRLFLDEADQSQVGRVSNYGFHDIARGARGTSYYGFFECRICLQTNAHSNSY LDQIRCACQNNRDRNAPDETGMTPAHLIVMRDRSSGDYGKQESAAETSALFRTLIPSH DATLREALHALDKEGNSLIFNIATRGFDEILSYALEMEDPGRRASMVNSFGRRPDGGE WSVLSAVFFRLRKAIDDYKMAHPLKDASLRYQHYEMYTRLAKCKDILKANGAKESPGK VERWRVWV LY89DRAFT_507998 MVRPSLISRKARRAHTRHQEDLRQQGLPPCKGCHLCSLADIHEI KKKARERDSDAPFTPQQQQRENKPCSRSTIRKNLRNKLFQGAVVVPPRHVAHTEAMSE GDVEPGRLVFWTDGSRADDGSCGIGVAYRSSPEAAWTERSWSAPRSTQTHVLEVYAIS KALEMAWDRCRDDMGMEQRPRPSSVCIYSDCAGALEYFTRFRHTLTGLKELPYGEELV GPGIIAAERLSVLNVAVKLQYVPGHSSIQGNTHAHRAARKGAKFIVEKRRAGRIMTAV GAKGARNQQII LY89DRAFT_667979 MSYLSARSRSYRATRNDPRASISSRNSFDTRPAGRTSGPSGSAQ QVVDLTHSDDEAVPTPASTSTGVIDLMSPSPPPRTSPFAPPRAPPANLRKDIPEASGS ESIASASASRLSADQPSNDTSTVASPTNSPAPAALRKETETAQRTPTPQIKESPRSSV QKKSQVQPPPQTSSKGKTPEMLPPSDPSVQKAPQVNSASRSTRFRSQATPPVKESSQT RSSKQTAPVSQPESESDQNHVSEPEHQASSPSTSSEEEEQEEIATVSPSRNLRTSPTP SRKSARSTRESDKSTINSSSPLIPRLKARSARAKENSLAVEAESAPSGSQDVSIEEPS REEQLSQQEQQSQEEQPSQEENPKFEWITASLQSLQQDMSDDHSESVVWLLRDAREGI QSTPDLAVDKVSPFASLKSVQIAAKEKIPNGFVAEALDSFVYPKSSKITKSKSTQLAK AICGETPRVPRYSSHTNVTRNILSPDSVMLKYVPYLGDATAPTNAAKGDKDTNYRRLL KELEEAYSHGRTVPTRQSERASRIRAYLEVFLAENDIQCNMDIVIRYMVNKEEAQNLG LRDTEREMILESFGGPLSEEAESGAKLFWQAFDKVFEIGLPNVMLPAILLKDMVDGLK KAKTSPENQVMAPGERLGTYAELTCLICGAVDCQTHADYTHEEIAPIGGFDDEDQEPE YLDHRQSLRLPYNDMLRRYDVRKKADAASQPEFDLPRRPSRNQHPCSPECYRIHDQDD ESSEEVVWSDKDIYALQEMLISMTDKNHRACHIAFALSLPCWQTQSAIEEYEEHNDTT RTVPEPLGRPKRPDWYDGRKKTLHNNFADMTKAHLHQERSQANPCTHEGHCTSKCPCY GANILCESFCGCADDCPRKFTGCSCLGNGLTCVAESCICIQMNRECGPQCISCGAHAR INPANKHDDALFTHGCQNVPLQRGVHKTTVVGESQLVGFGLYLAEPVRKGEFISEYTG EVISSQEAERRGITYDRKFQSFLFDLNRDYVIDAAHLGNNTRFINHSEYDKNGLNCEA KIILVNGEHRIKFVALRDVEVGEELLFNYGKKFAEKHGLSKTLPKAKEGRKGVIVGEE ALDALDGVADRKKSARVKAKAARGRPRGSRGRANKMRKMAAPARVEVVEEPEVEEDLY AAEMEEDGDEEEGNGEDEEEEAVVEEGRRKRKIIRPARYTR LY89DRAFT_560613 IKFKDAVGRKFSFPFLFCATWGGMEELIKQAFLHVDLIGPHVFE GHYDLIGPNGEIILPQVWESIIEP LY89DRAFT_696320 MGVTGAGKSSFISLCSDETVEVGHDLTAHTSRVDVYSFKYNSST TVYLIDTPGFDDTSRSDTEVLQDLAAFLTRSYVQKVKLNGIIYLHRITDVRMQGSAKK NLMMFKKLCGKDALKNVILATTMWSLVDREKGAEREAQLLSTPEFWGWMKDQGSTVMR HSGDRRSAVEIIDHFVEQNVPMTLDIQDQMVNQNRDLDQTSAGQELQSELIRERERFQ AELRQVQEDMQEAIKERDALAEEALKEVRDEYMSKIGELSQQGEELKISMQKLHEANY KELRDHMIEQVESHRRRMHHPIQR LY89DRAFT_508045 MHRTYSMRQSRAPTASQLQNPPPPSSSTKSGRLFGKGGIGHALR RQTAGQFGPDLAKKLSQLVKMEKNVMRSMELVGRERMEVAQQLSIWGEACDDDVSDVT DKLGVLIYEIGELEDQYIDRYDQYRVTIKSIRNIEASVQPSRDRKQKITDQIAQLKYK EPNSPKIVVLEQELVRAEAESLVAEAQLSNITREKLKAAYTYQFDALREHCEKLAIIA GYGKHLLELIDDTPVTPGETRAAYDGYEASKAIIQDCEDALTNWVTANAAVSSKLSTR ARTLSQRRRNNIQRNPEGHDLTGQDAPMNDRESGLWVPASAHKGGEDYEDEEEEEEEE VAAPSIQDSALNGEARGRQETVAA LY89DRAFT_780906 MAQTKTQAESYLASLLGKTLRVTTTDTRMFLGQFKCTDSDQNII LSQTFEYRLPPPPKEPGTTTQDLTSRYLGLVVVPGEYITKVEVEAFESQLSREERERF GVGSGKKENERVGGSEGEGCALG LY89DRAFT_683768 MGVTGLWPILAPSARPTPLPTLNRKRLAVDASIWIYQFLKAVRD KEGNALRNSHIVGFFRRICKLLYFGIKPVFVFDGGAPALKRQTVLGRKRRREGRREDA VRTAGKLLAVQMKRRGEEEEEKRKQDRERARDGLPSIEDEEEAIPEDLVYVGEMGMSA AERQQTRKFKKTDQYHLPDLTNGIEGMGQPNDPRIMSAEELEEYARQFHDGEDVNLYD FSKIDFNGEFFLSLPPGDRYNILNAARLRSRLRMGLSKEQLEEMFPDRMEFSKFQIAR VKERNELTQRLMNLNGMNDMAGVGGGRIAGERGREYVLVKNDGVEGGWALGVVSTDKT VGQREKPIDVDALHPTVQNLVSDEDEDDFEDVPIEGLNRLPKAKTYPRAQEFDEERQK FYESRNGRQTREVFEDPVSLFVDDNPMEESSNGNGYTFDEEDEELNRAIAMSLQQEDV DVGSHVDEEQDHINQAIALSLQKNHRQEESDEEEEFEDIMMPEYQQKAVQEPKPITSS GGRMVAHIVNNRANAAVPKRKAVDISSDSDEEMDLQAALAKARRQKAPDSRPKKPAPV VSNLKNPFDGPLPFEKLDFKTSIFGKKNQMSDAVAEGGEGEEEDGMAGGFDNDLEDDA PRPLPPWLASGGDIRSQVEIQKKRDQELNAEDREVAEEEERQFRRQNAPIQIDSSDEE SDVEVVDKPPTPKAKPNLESETFGEVAENIRAEPPVSEIPQNRQPSVEQENEPAQDSE AEEIDWSESNYGDATTKSAKVIDTMTSSGDRTDIVASKSTSPEPDFEDVELPVDDSEV RQASSTNTAARKSPSPTFEDFNMPDSTAAFPSDHAAASGVPGLPTDESLERQRAEEDA EFDLSDPDDEEMLAQMALETEEHARFESTLNHKSERENQEAYERDIKALRNQQKKDRR DADEVTNQMITECQMLLRLFGLPYVTAPMEAEAQCAELVSLGLVDGVVTDDCDIFLFG GTRVYKNLFNSNKLVECYLSNDIEKELSLNRDQLIAFAHLLGSDYTEGLAGIGPVTAV EILSEFPSTKGLEEFKEWWLSAQHSSKPLNTEPSTFRKKFRRSQATKLFLPPGFPSPA VTEAYLKPDVDANPEPFQWGVPDLDRLRDFLMATIGWTQERTDEVLVPVIRDMNRREQ EGTQSNITRFFEGAVGAGAGGVVGKERAVGSKRMKDAVNKLKAKKSAREGSVLTRTYS DEAREWAAKNDIGWTEKEQAKHARKSGKRKEKKKKDVTAAVERILAAGESDGEDESTS GDEYRKEGIRSNGKGKGKAARKRAKV LY89DRAFT_696323 MSTNGELVASIEALLAEAKSYNEEQPDRVVRAKMLGMVEALHYR LESPAEAMFRQLTNYSETSAVRTLRQMGVLDKIPRQEPITAKQLAIVTGKNEEVLSRL MRILTSTGILKSHGADTFSHTPLSLAYLDTPEVEFWDLCVDEIAPVAYSLPGYMTTHK PDSILNPRLSPYSWANNSEGKVFYEVLLDHPDRLKRFNTAMTTQENALPVLGMFPFAS LLDKSADHDRPFIVDVAGGKGQSLLQIKKELEAAGGDMGRGRVILQDRKAVLDAVPDE QLLGIEKMIIDFFEPQPVKNAQIYYLRRIMHNWQDAECLTILHNIASAMAPDSRLLIG EMVVPEKPEGVDKTVYWMDLCMLIIGGKERSQKEFSELLDSAGLRLVKIWRQMVGSQT VIECLLK LY89DRAFT_780909 MKHFSTFALSALGFVSVGKAQPSVWWLNQNWTDMAIPFVDYSAE DSTDYFAYPGTLLGSSGAESTYGVFCAAESTSQCFGDSGWTSMTLVTSPKTMEIIFEE VGAYMTTGCTLTGTPFPTTGTCSETFTTSNGTSVSDSSTTYLVPSTSTDPIDGFVLSK NIYTATVAVIDATVTPSSGSSSTASSTGGKTGASSSPIGSGSSTSGSGTATHNAAMIT GASSWMLLAGAIAGAAVGV LY89DRAFT_780910 MSLIRSSSRAHPLYQKISQSVLPSGQAFELETTAASFHSLTPLE QVLQISNTNFQARKAGFTIDTFSTFWYNTTTDFYINEWNTSIFVPNARGLVFPNHSET SPEGIFSYTQAVLAIELQDGVAWDWNFTLFKDITIVSSTKDLSWCAKPQLNGPPLTGW NTSMTNLQGSFGKDEGTSKCTIDFFEFFSPLEVIQQTSE LY89DRAFT_696324 MPQTSSGEDYYMVLEVVQTATPEQINRSYKRLALKLHPDRNTKH DATEAFQLLGRAYETLKDESKRRSYDLIYPSLARNRPTPQTTQTPRPTPTSNSQPGAL SEAAQIAALRKSKQERAARWQTKKTAFDSSLFELRKDIRRLEQQIKNLDSITAAEAAE EAQKNSWGTWLLSPIYKKAEVGEEEKACNSILRQERRIEKDMKERRLGLKKADLEKEE NRVKTAKGEIDAADSVDERKIRVIEERIKAREMQEKERVERERQAKIWKQQQEERERQ AKIWKQQQEQQKKREQEAAEALRKQQAEQRAAEQKRQEEQARMWQKILDDQAKHSRER YPHLNSDGSFTSSRKASTSTFRHDGWWPKMSEFDTAEEAA LY89DRAFT_643487 MSDILSAVELLRTKRNDFLPKEPRSSLSISHPVLDSNAPEGRKS VSASFSQLPVDVEDGTLKQGRVILIIIVLTGVNFLGSLCNGFITIGLPRMASDLSLSQ NLILWPSAVYYLTSSSCLLLAGSIADVIGSKRVNLLGCFLTSIFILACGLARTGIELI MFRAMQGIAVSLCLPTSVAIVANAAPSGRKRNIGFSCLGFVQPIGFSMGMVLEGVILD TVGWRFSYYLCGSLSLALFAISLWALPKDAAIEGSILSKLKKIDWIGALIASTSLALF SYILASLSSSVSYISRPANIALLIISVALIPVFIIWEAHRERLRLPALIPNSLWRNMV FTSVCLSVLFSNAVANAMEVFCSLFFQEVQHTSALGASLRILPSLVVGFLIQLTTGLL IHRTSPYLLVVLALVLSAGSPLLMAIISSHWPYWYAAFPAQLLSPLSCDILFTIGLLA VSEEFPANTQALAGAVFSTVAQFGTSLGLTLMSVVAASITEHEAEKGIGKEEGLLAGY RAGFWTAFASMGVACIVGAFGLRRMGKVGVKRD LY89DRAFT_667987 MTDFKPSQGPNVSVSETESMLSPPESFGSPLKRRRSQSLSSCTD SLEVDKDLLPRKKKPPSFQDLDRLNDHTGLEDFGQSLQKAANAIFPANQTSRYNKVDV ILLSWEEEDPKLPVSLEIQELADIFEFVYGYDVDRWLIPAKNCHNRLQGKILQFLAAD EVNHLKIVYYGGHGRLTNHGQLAWTSFRDSHKDRCPTIRWSGIQSTLEESQSDVLILL DCCAAGVCTTDEGNGVTEMLAACAFNVIANGVGQFSFTHALNEKLRLLSTLPGFTIGY LYNAIFSYIQNWRLEDSRFKKPPVHLVLSQNPKLPPSIRLGKLSKARNDEHEEQFRKP SLPSGQGASNSSSVGLASMPSPAPSITLSSQAALNIDSTPETSLSPENQLPDWPRLLL SIRFSETVKPSELSTELFAEWLRSVPALANAVRVEAGFASDSTLMLVSIPAGLLAHLA FDRAINLIGTVRSRNLLVSHTEDVQRISTTTSSQDKVDTKASSQGKSGSDGRMKLSSA STVVSNPATIKPESRFLLPLAPPTYGGEDFREFEYRELIAGAMRASVIPIDKLYNFYQ ENATSEQPPWEDMLIPRGRTLKQCKDAFNSLQQASASRKRKPDSSTFDYPSPPLMKRR QIGLETIPVARDIRPKPPNGGSMVSSTPYTHLKKRGRPSKTEVERRNVETMAKGLVPP VQTVYASAFSSPMMSNTAPGLGSSSPQPTTQIYDAPPTGAKGKERRLSMDSNMTDITA SEPPASVFSPASTENWDDIGNEGDEDGEQDSAMQDSGYGN LY89DRAFT_780914 MLSYVHPPKYVQAAILISLGGILFGLDTGTIGPLTTMQQFTQTF GPLSSTVHGLVVSTILIPAAISSFFGGHVANSLGRLKTVALGAAIFGLGAGIEAGSVR LGMLIAGRAIKGIGEGLFLSTSVVYITEISPPRSRGTLASIPQFMTTIGVCAGYFTCY GSVSLTSSVSWRLPFALQSLIAYTYTLSTLLFLPESPRWLTANGHHASSLLIWQQLGI EATEREKLEEASRGELSGKVRIGDILAVFGKDCWRQTGLGVFLMAMQQASGIDGVLYY APLLFSRAGLASSTAAFLASGISALLIFLVTIPAFLFADSWGRKTSAVFGGLVQVGCM FVIGSLYAAGVVKGGEGAARWVVIAAIYIFALGFSGTWGVCFRVYVSEIQSSKTRAGA SSLALSANWTVNWIIAFTTPIFLARSSFGVYFLFGSTALLTVVVCIFWMPETRGRTLE DIDASFRKKSGKTLDVELRGEGLLVGELEGNASGTESERQNVGIKGGATSSVSVI LY89DRAFT_717444 MGSIERPSVDFDVIIIGAGISGINAGYRVQTELPGYTYTILEAR DAIGGTWDLFRYPGIRSDSDLHTFGFPWRPWSKPKAIADGTSIRNYIKESAEINGIDR KILFHHKLLAADWSSQDQQWSLSVDNHGERKKFRGRFIIMSTGYYDYNKPLKTVIPGL DNFQGTIIHPQFWPEDLDYAGKRMVIIGSGATAITLLPNLAEKAAHVTMLQRSPTYIL ALPAVDPSGDWMRRWLPAWMAHTLVRWKFLILPFIFFKFCRGFPNAARRIIRRNTEKQ LPKDMPHDPNFNPSYGPWEQRLCVCPDGDFFKALQKGNADVVTDTIRTVTETSIKTNS GKTINTDIIVTATGLRIQLAGGARVSLDGKAVNFSEKYMWKGTMLQDLPNAAVVLGYT NASWTLGADSTARLVCRLLKQMDRDGQTSVVPTLESSKGMKDCSVLNLNSTYIDKAKG ELPKASNVGPWQPRSNYFADYWASVYGGLSSGLQYTKALKKVN LY89DRAFT_508399 MASEYDFIIVGGGTAGLVLANRLSEDPNIQVLVLEAGENLIDDT KIKVPGAMATNLGGRADWGFKTTPQQELNSRQISLNQGKALGGSSAINVMMYVPPSKT VTDAWKTLGNDRWGWDAMKPYFAKTFSVENVPNSTKQQLGITWPDKSFSGPIQTSFYY TPESLVAKAWQETLDSKGLRMNSDPFSGAGSGAFPALRSIDPATGKRSYSASAYYATV ATRQNLHVLTGCLVEKIIFENNDSEVVATSVQFSQKDRNVIIQASKEVILAAGALQSP KILELSGVGNKDLLESHGIKLLVDNPHVGEHLQDHLMNRFVIADEDPGEETLFDTDLS PIDAALASYIKQNPGHTVIEGISSIAYLPLVDLLNPAGATTLKSLFDAYPAVSDPNFP LAKQYYDIARSCLETEGEASGAYINAIFKTIGLDPKKAVAISFTLSQPLSRGSVHISS PNPKDDPIIDPKYFSHPLDLELYARHLSWLSSLLTSPSPINSCITAINTASGKHNLEH YFTDLNVAKEYVRRTGTSMWHPTSTCAMLPREKGGVVDERLRVYGVKGLRVVDASVMP LITRGNTQATVYAVAEKAADLVKEDWGLLSRAV LY89DRAFT_667991 MFGTMSNRPRVICPIAGCTKTFSRPADRVRHVDEVHGDLNQCPV EDYGWQKAKRKGRLEAHMRKKHPEICSVPLDMPAHTLSVQNADTAAFASYDSGQSMQA SASYTQAPLEYYCPPTIQQETYSKSNQREDQTYPQSSYQWPIDQNVDTEHDSVYPSRP STRKSTSQQPESSAYELSRLVALANRPSRAKKQIARLDEIMDSTSTQLSEPDPGPSMT IVPVEHSFYDEEIKGESHKGSSIGLSDEEPDTGSVSDLHEIFDERIKSLWESEPELAR RITYHVVPKLYFLGPLFTGDAFSSLIDGDAANEDGADQGSHPLSSSLFQNTGSSSHST ESNDGSSSKRSREQGNDGGGGKKPRRHDKSAPSRASKDKCVVAKLKCVFYAKCFVTHC FKDGRVSKTSGFSECHYMLDHIKDYHTTVRCQRCHQPFEGDGAISSKNEHQKICNAQA RTITDDVIDCDTKAKLNENLNRLVTRAWSLENEDHEMKDWISVYIQAFTGITKPTAEL NDTELKRLRKGQRELAKCLFGCVATSQAEADSPPPPDFQGQTQFFQRCLAITLDLLSN PASNTASSPDSNIAQQLETFLKQNMMSAVTSGSDNQAMNNYGLATATLCHESHGPTDT SEVSAEQAQGLTPASQQTFPLTREAAVEAPTMPLPDLEGTLPEAVPENTEMSTKTSSL LSNASECQLCNGSGWVLSWMSYSPDQWDICPCTVYVV LY89DRAFT_683776 MQFSNRTSAALLSAAKLFQLPTFSLNHTSASTTMSPAPVIAVCH GGGPMPVMNDPGHAALIKSMETKVPSLLGLGTDSAPRAIVLITAHWRERRPTISNGKS HKLYYDYGGFPPETYKLKYDAPGSPEVASEVYGLLEKAGLNPKMDGERGWDHGVFIPM LLINPKADIPIVQVSIMSSDSPEQHYAMGRALAPLRDSGIAIIGSGMPTFHNLRLMFS GAANDAGFQRRNKEWSDRLTATVTKEDAVERGKLLESWRDWMGAEEAHPRGGEEHFLP LVVSAGAGGQGKGEGYGDDLMGTKHYTYYWK LY89DRAFT_683777 MTTSKPTIILLPGAWHPPTVFAPLINKLTRHGYKCIPLPLQATD QSEAVPPLQKDLDALHQAASRCINDGEDVMVVAHSWSGLIAGGGLDGLGKVEREKEGK KNGVVRIAYLCAFCPVENVSLIDAFGGKEPEFYNVQKPWVKVTGPENLFYNDLPPDQQ AYWASQLLPHSYATKFQGTKTVAWKKVPCSYLICENDNAIPSFVQEAMVKKCQEEGAE MEAERIKSGHSPFLSKVDETADFLRRAAGEKL LY89DRAFT_582443 MALACSFFYHIFKDAEDFITQHVLVSEIDLGVLPEAIAVLGSSH LGSSTREAILKLISTNLEPRRLPQRAWKLSDALRLSKIHTHVRSFTETYAIEIPRCCL DEGGDYPATSSEVARIQRAFYRFELYCNLFRDYENPPLSLAEQKEFFFNKFAPWENEQ LTCIHNYLFELVSEVYNEMVEHDVTFGYYRIPRADISEPGEIETILARGLASLHSIIL AKTYNEKVQVLYPDLIESTDKFLHQALTAANDTNDNIWLEDYTEGDKIQKIRSPFFQD PDTGPSDVWFWANQEETRAHFINCEMRKSLREWGYVLWDRARLDALNVLSTPWEEATD LVTIDEYGWRYSRQQKSLERRHEIYVKGGRGWWSAEDESKIVWPAGREVEP LY89DRAFT_667995 MTASLEDPEAVAYNQRVIIASTIVVFLVSNGSYIARLVARKKSG GRFQAEDWVMGLALPFSYIPAACLLYGLTVGFGKHVADVSKADLRKFNISLFVLQRGN PPCLLCVKTSILLLYSRLFPTRTFKRVAFGVWLFTLGWAVAAFFSNLLQCLPVAFFWD KTIPGGKCLPNALINIGMTNGVLSFVGDLIILSLPIPMIWKLQINARRKAALSGMFLL GGFVCLTSILRFVALAKINIKDITFTQVSPGIWTYIELGIGITSGNLPLLRPLFGRFF SGNSRNASSATPYGGQGSKSYPLSRVTDRSHNGEGFHRMDKGTLDVDVESVGESGSEI ELNVKNKGSSNAEVASGGHSSKDGGFLGGGINVRTDVDLRIEEVRAEIAKETLKVQQR AR LY89DRAFT_508562 MVPAGSNWKNRPCIATERMDHLGVGCSNLWAEYVPKDLSIEDPF VTTFRTKTIKRATRPGIVLLKYYSSRNKRNFNLAEASTRYEDASRPLIRWWLAYVPAS PEARVSPMVYTKKILQRCCVRLALDLESSRRSLLLVLNSCLVGYNRDSLSNFRQPRTQ CLEYIGDVCQVCRSVSIV LY89DRAFT_582288 MPGTPDAKHEHVQNGVTLIPRPSDDPRDPLNWPMSKKLGIVAVL CFAVFAGFVAPLAGQLNVKSQSTLYKQSTVHIAWQNSAASAGLATGGFFFAPVSFKLG RSSTIFWTLVACLLTQVWAAEMTHKNQFNSFIVSRFFSGFFGGVTGVLGPRILVDLFF LHQRGRAFTAFHWCLNFGSVAGPTLSAFISSNGSWTLEFWWTVGLLGFTVILCFLFMH ETSWVREPEAVNAPAPEGFVANRIATFFPGNKVTPKSTWRQTGRTAAIPFLVAVSPVT LILSVFTLISFGFYIAMNAVTPVFLQKPEKIGGYGFTTIQNAYFSFVHWIGILIALAY GHFVSDRLPLYIAARNGGIWKPEYRLHALWIPALIFNPIGLGIFGAALEHHMHWIVIA VSQVFVTFGSLAMIPITVNYICESFVKHPAEASITGNCLRLLFGLSVAFYINEWIADV NVGWTYGMMAFFDVFSFGFIVLLMWKGHQIREWTVAGLNDTEEGEKVVETAKSIDS LY89DRAFT_732486 MGDISEPQDTPVLRAKLEEKKLYSFDDVIRERAEDLEQVPLIAY PKTTDGVDDYEFFTGKELNRLVDGAAKALIGMGVQPVYQDTVAAIYGPSTLSYILTIF ALGRLGYTTFILSPRLPVSACVSLLSNAKATLLFHAPQYLSLATKTSREFPLTLFPIL TRSQYDTPSSIQTPEFRRDNIDGEAEKKKILILMHSSGSTGTPKPIDYRNARLFATFR TAQKLTAFQSVPLFHAHGFISFIQAIFVRKCIFMFNGLMPQTNETVTKAIKGAVLDGQ AAEVIWTVPYVLKLLCEGGKDGEGVKVLKRCKLVSCSGSRTPDELGDLLVSEGIKFGT VFGATEVAMILTSLNRPPGDNAWNYLRPPPHIAPFILMRPLPSQPPSLPAHEVVHECV VLDGHVGKMKSNSNDPPNSYHTSDLFIPHPTISNAWKPIGRLDDRITLLNGEKVLPLA IEGRITQHPFVREAVVFGVDRSVPGLLLFRGANARGLSDEEFLDRVWLVIEDANSKAE GFSQISREMIVVLGEEVECPVTDKSSIKRAAVYRDFASVIEEVYVRLECSQEGSLVLG VEEMEDWIMKIFEDMGIVLESKEMDFFSGGVDSLKAIQMRSVIIKSLDLGGNAAKCPS MVVYDSKNVSGLAKALISLRTGSEVEEKDAIKEMEELIQRFSVFEKRGVTFEKEGGSC VVLLTGATGSLGSHILNQLISNPAVSKIICPIRTTSSETYLSRLSTSLSARGFPHLST SPKILPVPNDITNLPSSLTLQITHIIHCAWPVNFALPLSIFAPHLSTLHSLINLSLTT SSKLLFCSSVGVAISTPSGSIPEHPIPSLHHASATGYARSKLIGERILEVAAREYGAD AHILRIGQIVPSMREGEGSMLWNESEMIPLMVRSALVTGVLPDLAGERCSWVDLGTLG RAVVEIAGLDGEGEERLVYNLVSPRSLGWKEDFLKGLKEAGLGFEVVGREEWLKRLKE SEADVERNPSRKLLGFWEVQGSGEKRDVVFETRETEKRSKALREMGQLIEGDYVANLL KAWREVW LY89DRAFT_643510 MAPKPTIYLIRHGEKPPKLPSGKDADGLSAEGLERAQGLKRVFG PGSGFDIGCIVAERPKKDGSRDRPYETIEPLAEELGVKVDKSIERDDAAEAAEKAKAY RGEGNVLVCWEHGVLGKIVEALGVPGGATYPGDRFDVIWSVSEPYDTLVWVGSEGIPG LDDGSAGESGSVGGVGPVVPGTE LY89DRAFT_683782 MYATTALLVLSQAIVPTWAALHEKLAAVPAGWTQASLPAADTAI SLTIGLTQQNIEQLQAKLLAVSTPGNAEYGQHMDADEVNAFFAPDPAGVLAVQKWLKS SGVTQISEDGHFITFATTVQNAGSLLNTTFATFTSGSVSKIRTMQYSIPDELVKYIDL VSPTTYFGKTVANAPKYVRATETEKTYPPSSSVTVDASCQTSITPTCIKELYNIGNYT PDPHSGSHVGFGSFLNQSALYADLFQYEALYNIPQQNFSVVLINGATNDQNATTAQIG EADLDVQNIIGVSHPLPVTEFITGGSPPFIPNLDEPTAADNSNEPYLPYYQYLLSQPN SALPQVISNSYGDDEQTVPYSYAVRVCNMIGFLGLRGITVLESAGDTGVGAPCLSNDG KNTTQFTPQFPGTCPYITAVGGTQAATPEVAWVDGSGGFSNYFPTAWYQVAAVENYLE NYISPVTKKYYEPYTNFKGRGFPDVSAHSLTPWYQVIYAGQPSASGGTSAAAPVTAGI IGLLNDARLRAGKSQLGFINPALYAFGYKALNDITGGGSVGCNGVNGQTGAPIVGGGV ILWASWNATVGWDPVTGLGTPDFGKLVELVLAW LY89DRAFT_780925 MRNLDFTLLFLFFIVFSLQTAAQTDILQYVDQLIGTNNGGNVFA GATLPYGMAKAVADVDGQNTGGFSTDGSNVTGFSHMHDSGTGGNPSLGNFPLFPQLCA EDQVDNCEFLIEGRATHYVNESVVATPGYFALDLVSGVSAEMTVSRHAALYRFTFPAD QKASNGSVLSPLILLDLTDLSASRQNAAVILDEKTGRMMGNGTFIPSFGSGSFVLHFC ADFEGATVKDNGIWVNNRAGTEPKELFVTRGINLFYIQAGAWIRFEATETNEISARVG VSFVSPEQACQNAETEIPGPNWDFDGLQSSAEDAWREKLGGVTIEAGGQDDSFLTNFY SAMYRTMMSPQNYTGENPLWKSTEPYYDSFYCIWDAFRSQLPFLTIIDPDTLSEMVRS LIDTYVHLGWLPDCRMSLCKGFTQGGSNADVVLTDAHVKNLTGPTPINWNLALQAVIN DAENEPLDWSNEGRGGLQSWKTLNYIPYLDYDYLGFGTNSRSISRTLEYSYNDFCIST FSKSMGLSNYTTYLSRSSNWQNLYKSDTKSFINGNDTGFTGFLQPKYLNGTWGYQDPI LCSPLDSFCSLTDNPQETFEDSIWEYQFFVPHDIATLIKQWGGVDAFISRLNFLHTSG LTDIGNEPSFLTVFLYHYAGRPALSADRVRSYIPSQFNATTTGLPGNDDTGAMASFTA FCLSGLFPNPGQNVYFITPPFFESISFTNPQNGKVATIRNVGFVANASLYVQSATLNG VGYNKSWIGHEFFSEGWTLELMLGDEESEWGTKEEDLPPSVSTGVGGMMG LY89DRAFT_717455 MGSTMESLNKSCEENLALQTTEETTAGSAATTRNQSTKSSTDAS ISVSELPGPAPEQYEQEGQDLVYFHQFAKLPVELRLKIWRETFPPGRHVNLETGYGVD GAFVESVFDLVGRDHEAFQFPDRFARKYIQLIQRPMPIALSVNHESRKEALSQYVVLF QSDVPEIKAGRAKVQDRPFCFAPARDSLIIAPHYLYNSWMNKWLAYIDQELPGGLASI RSLQVVEQSFAQYVSDLSTLWGGRQGAEKGGLEWFLNLRRLQISTEILTPDTKYTVLE LRIKKWYEAQGSKLHIPTIVVDRNFGCTQRSFGLWNGRKPRDPGMASD LY89DRAFT_732491 MAVVKQSLRQDLLQHVPAEIPTAGLVVSTILSMFTFAVLAVCLT RRIQSTKDWSKIPLTRWLVLAIYTDSMVFIFTTAILEHGFGLNSTQAICSSAILLCLV CYMSTKVLIYYFLVEKVYIIRRVQTPRLKSKLYCFNCFAMLLPYCIVVVLNFVYRIAY FHADGTCLIGMEARAMLPLIIFDAIVNLYLTLLFVLPLRTLYSYKNSPNSLLRTIAIR SFVGSLATLTSSVVNLTVLMVLKGEAAWICLMCCNADILLSVLVLHWVTSKNSNGSGS SAGHSASLQPVNGNRGLAASALDPLSPHSLVDKLHTFEMYSGANSKDGQVTTHISARD VDDDLYRLDSGSGGGLKKARGAERTTNPRLRLRRWLRWKVGRGQWMTEMTSRML LY89DRAFT_508604 MFSFLFAGAVLLEHQVPGYQVLTFLVPECYSIGCLHDARSCFPH VINYIKDSTVISIISELRMTESPRYFDPIR LY89DRAFT_508641 MSFEVTWNGNDDPNDPYNWPFARCMAMTTLISVGGLVSTMSTSM MAPALTQISTDLGLGASATQLALSIYLLAFVFGPFIIAPFSEMYGRKPAWLFCHVWYI FWNALCPVNKSKGILIAGRFLSGFGGSVGIAIASATTADLWRPSQRGVSLSIVTFAPF LGAAIGPILGGTITQHVGWPWLFWTVSLFDSSILFLSLFLVHESYAPVLLSRKAAKLR KSTGNPLYSTQFERSHPTLGKKLMVSFTRPIKVLVTRPIIQFMSLLLAYNFGIYCLAL ATFANIFADKYHQTESQRGLNYIAIALGSTIATQVGGPVTDRVWAHLKGKASGKVVPE YRVPLMIPGTLLTPIGLFLYGWSAERHWHWIVTDIGAAIFSGGIMMGSNAMYAYLIDE FAEHAASANAAARSWTNVMGFVFPIFAPSLYQRFGYGWGNSLLAFIYLGLGIPAPLIL WRYGERLRALGKPVEMMLNGSAETVDTMQTTQGLEKADEKR LY89DRAFT_683786 MDEAKHDFKSSHGKFYAMPGRVERVEGSSLQAMFLPKLQPEGRR LINACYRDQFVRGQLKHYGVEVDENKMSGNGALLLKEVLQAGKCAKVPDHIIAVREQL HARWLSRQTPQQLSRSPEFVMDKYFLSFGHPDRTKTTAVVGIPLDRNSSYCWADQMRE AASKVADLYHETGFGPETQTIFMGWDPVAVRKAAESHAAKEAKPLRAKKDEREYKRKA LHSEYMDTLKLRKASENPETFSPVGSYMVDCKEVEKGFLVQPTDLSIDICETKEPGMF KACFDFGVLVGVMIISVDKNALEQYISRLDRESESDGNDNREYDDDDDDDDEDEYAID SDRKSTIPAKRKAETLRGRGRPPKKPKTGVAQPRVYQIRLKCRETEGMIHATAERGSI RFKDDIFSGFIGRANLPGVDDGVRFSARKISDTPARRRAGEGSWADYSERAYGYAYVN RWH LY89DRAFT_582205 MRNQALLCLAALFSAASAHTIFVQLESAGTTYPINYGIRDPTYD GPITDVTTNDVACNGGPNPTTASPYVINVNAGDTVQATWRHTLTSTAANDAVYVIDPS HKGPVMAYMKKVTNATSDVGYGDGWFKISEAGLNVATQDWATTDLIANAGVQNIQIPS CIENGQYLLRAELIALHAASSYPGAQLYMECAQINVSGGSGTATPSTVSFPGTYAGTD PGILINIYQTLTTYQIPGPTPLVCGAGGAPASSSSSPASSSKAASSSAKASTTLATST KVSSTPVASATGATAPLYGQCGGTGWTGATTCASGTCKASGAYYSECWSVVEGLRGY LY89DRAFT_643530 MDIYWKDEDLNTSFAKHSLSKLISSYLEPVNPHDAITKTIRLVH LLLLSNHIPQAHELLTAVYKHAPAIVPPTPHQDPPLKYTPLTLEYLWQTHQETCPRPE NLPPFRRSCSHKCASDEAHIAHGQWGKYRECTRTGWMLEHCHLPEPEDPHLWKESDDP RMLAMCARLLAKDKTQGEYPPEERLREALEAAKKLYAQPQVCVSEWDYKEARAEGKYR HSALLYRRLVVEVAIRVGELETAAEMMGLGLRIDGFATGSELDVYLMMPGIYDVLPLL AERGKEGNPFLIGEEVADEMVREIVATLELRATKGRQWSQAKVGWEELLNRLAQGAWK VNRKEYKQMEVKSAEGILYEPASEEEIQRAEKKCGELPEDFKDMIRVANGFKGGYHLF GGGLLGLDGMHVAHGDDITDCRLRDQYPAGSMLQLESGNEDCDGFTHYIITPAAYKAR AIEQGQEIEEGEYMYWHWAYWQGGGANCWKSVRDFVASCVEEVEGMVERGERANDEDD EDDEDQDSDSDEEDEEDEDEESDEDSGWTARRRVISDLT LY89DRAFT_717460 YSSVNNSSPIQTGNGLPWGGIGGGIGSLIICCIFGYCVAKACGG GRRSGSYPSSSGHSRRDNTSNGVDDDREWRRQQADDLFRQTREDEDRRRREQDAQDAR DRQDEINRQNQQYN LY89DRAFT_581933 MFYARFLVTALAAATVSAKSTAYLIRHGEKPSDGSDGLSAQGMQ RAQCLRTVFGASSSYDIAYIIAEQPKASGARDRPLMTVQPLATDLGLTVDTSCDRDDQ KCVAALVDVYTGSGNILICWEHGQLTNIVDALGDNNAPTYPDGSFNIIWTDPSPYSSI TSMTSEDCPGLDSS LY89DRAFT_780934 MAKPVCFSAEALEGRHARVERFKIKATLFDTGISYRQFSNINCS LNFISQDAMLWFKRSPRFKQLSAEEDSESLISDTQETKDYAEPPGYCPVARPWHLLFF VLLTAALTYLYSLFTGGWSINARNQWLDHISNYSPLLEDFRIEYSTVRFNGSLLHENI YRQPASPAVDQAWEDLGVDYRAAIVPPHLAAKSGLLESQVQVSDKYGGGYPANVEGLH HLHCLNLLRQSLYFNYEYYRSKSEGAFINSEDILRFHVTHCLDILRQQLICTVDIGVL GQVWWNKESPSAYPDFDTEHKCRNFDAVRRWAFEHQAPEVVPDDYLSPPRGEWEVYES LP LY89DRAFT_732499 MKISNVLALVLLPAAALATPIPLEDREASPGYASYGSYPPPAGG YGKYSGYGSYKERRDAAGYGAYSGYGSYPPPAGGYGKYSGYGSYKRVADWVKSLWE LY89DRAFT_683789 MARVHPFLSVNDLIMPRLSQAILSSPVLRSRISCAYRVQSLSRS LQRGIASKSISPKVAKTRTQFPERLLIYHAGTGRTVFLGCLKVTTIFIFSFFSLVFAP THFYAEEQPVWVAGGVLLSGIIPMLSVAYMTSPFVTYIHLRIPQFARNSRDMLMRYSK NLSKDAELDITTMNFIGKPRVARVKVAELYPCNKRFGLANYERDTEKANSKRPFWMGK AVGLFGVHNTKSNVKEGQVWENVKAAIEKNRT LY89DRAFT_508859 MTVLLSFFFGLCAVLGVIGYTPLSDETLRNLPAAGADFDIKTGS ILAPILIPRVPGTPGSLAVQQHFVNWFSTNLPKWNVEFQNSTSTTPVTGERHVPFANL IITRDPPWTKPGDVGRLALVAHYDSKLSPVGFIGATDSAAPCAMIMHAARSVDEALTK KWEAMQAEGTNGLDEEKGVQILFLDGEEAFLSWTDSDSLYGARSLAEQWDTTPHAAMS TYHTVLSSITLFLLLDLLGTAKPRIPSYFKTTHWAYQHLSGIESRLRSLGIMQSAADA NFLPEAGKTANQFYQGGIQDDHIPFMARGVEVLHIIPSPFPHVWHTLADDGEHLDGAT VEDWARMVTAFVGEWMDLEGYFPTPPKALHERQTMTERKTELGDYIVLA LY89DRAFT_780937 MDAFTPQQTVELVSRIGTKKAHMRLDKLFFNSVMAGPLLGFGCA VMLSTETAPWYQEYAPGLIRSVGALLFPIGLVMIVLTGADLFTSNIMFMTTAFLHRRV SIKDVLISWVVSYFGNLAGMLFFMAIIIGYGGVLTDIPAYKTETINFSVMKAVTPGWH QIFLRAIGANWLVCMAVFLSISARDIGGKIAAIWFPTATFVALALDHVIANMFFIPIG IWNGAPFGVGYYIWKSLIPTTIGNMLGGGVFVGGIYWYLYLTGEDVEVSFDLGGLGSA MEAGGPMGRTTRSDVINGVPSRGEEGDEVKIAAPGMGGHMVGDHLPHSGGPLQSSVGK ELSAEKYGKRKGSDESEKTAV LY89DRAFT_508869 MCKYCTKLSPLIRGYDTGLKEPVFRALAALHQNIEPNLGDCYQW RHEKTSAVRRRLGAVEKVLRLDAVREIMQELDHEIIELKESMVEHPPSRKGGLSREDI MVMDVTPSQSASMEKKGRDVERRAAEQALDAKKVGEVVREIESC LY89DRAFT_508875 MVSLSSSTFLFAPCDSCPGVWPSSRAGGLLDLQELSAPLSSACA PHSFSVHRRWIRQPHCGMTGHSGTAGRASNATELVVEWPGGRQLGLAETWLFQHDDVG DCCQSPSPLRTLAGLGQSTDMLLESLEQRVLQNIRRC LY89DRAFT_643546 MVKQENATPARPPPASLKKASSSSQQRSIQSFFSKAPSSTPNSS NTSSNGVLKANDTSEKVNAMAKPKASVKKPAFRKSAVKSMTPVPSSDTRELSSSQENK NGGVPEEVEESGLPSPSTPSKRVQVFNGMALTPSRKAKKAVSYVESDDEDDEEDVFEP ARNSRKRGGSKPKVVESDSEDDFVGGLDGAVDDDDEMDDFVVDDDSDAPSKPSKKRKR PTSTATSRKRPEIAPPTPFEKDDDEEDEDEDLDIPGPSTAQQWKYDPENTAPLQPRSA NISKTPASNKKPIKEKACKTEPEKRYPWLASLQDMDRNPIGHPDYDPRTLYIPPNAWA KFSPFEKQYWEIKQKFWDTVVFFKKGKFYELYEIDATIGHQLFDLKLTDRVNMRMVGV PEMSLDHWANQFVAKGYKIARVDQCESALGKEMRETEEKTSKGKKDKIIRRELACVLT RGTLVEGSMLQDDMATYCVAIKESTVDELPAFGIAFVDTATGQFFLSDFVDDLDLTKF ETFIAQTRPQELLLEKGCVSTKALRILKNNTSPTTIWNHFKPGKEFWPAEIARRELDC SGYFVSQEAGGAEVWPEKLEEARDKDLVMSATGALVQYLRTLKLERDLLTQGNFTWYS PIQKGTTLVLDGQTLINLEIFANTFDGSSDGTLFTLLNRCVTPFGKRLFRQWVCHPLA DAERINERLDAVDMLNKDRSLSDQFTASMTRMPDLERLISRIHAGSCRPEDFVKVIDG FGQIEYTMDLLSAFQGGDGIVDRLIASMPDLKEPLEFWKTAFDHEKAREEKLLVPASG VEEEFDDSQDKIKQLEDDLKDLLKRKRKDLGGSAKIQFKNIGKEVYQIEVPTAIKAPN GWQVMSTAAGFKRYYFPELKGLIRQLQEAQETHGQIMKQVAGRLYARFDQDYMTWLQA VKVISQLDCLISLAAASAALGEPSCRPTFVESERSVVEFEELRHPCMLPNVTDFIPND VKLGGDVPNIDLLTGANAAGKSTILRMTCVAVIMAQIGCYVPCVSATMTPCDRIMSRL GANDNIFAAQSTFFVELSETKKILSEATSRSLVILDELGRGTSSYDGVAVAQSVLHHV ASHIGCIGFFATHYHSIATEFAGHPEVAPKRMQIHVDDENRQVTFLYKLEDGVAEGSF GMHCAAMCGIPNKVIDRAEVAAREWEHTSRLKESLEKARSGCYIPLGVQSDVSWILKE ALAEDGIEERGLNVLMKAIANL LY89DRAFT_668014 MLHHDEPYIIHPEGKHTHTLILIHGTSTSGPEFAKGFLDFEFNL QNPPRKTTLRNEFRKGGVLDGVRVVFPSGSLKKITALEGREGHAWFNVHQWGDRTVGE DEQVVGMRESLVYLKGLVEAEEKLVGVRKRIVLGGFSQGSAMGVIAVLSGELGGIGGF VGLSGWLPFRRQIDEVMGEEKEGLRAESEVVEKGERGWKKPWRLMWDFVLSCAAKVSW MFSWILRRRSRKVVTSEQEKQVTRRRGLAVQYVRTLLSLPSRILDIETTMPILLGHGD QDLKVRYEWALQMRHSLLGMGLNLKSKTYDGVKHWYCEPEMKDLILILQKVWKLT LY89DRAFT_508903 MTETFISQADGNEVFQAQYIPKQKGPPSSDDPSMKAFISTYPSL GQVTQIEKNTSVFTALLEVDESRASDPWHISLWHSDGGEWREVPMDPVLGEHGRPTDL QASNSSLTRLYFTTPLAIHLPTNFTVKFRNSSDQPWKWVKDHQGTQDGVVLLKTVTSQ QAISSELGDYVQGLNPALKSKNYRSQSPGTTLWSVEAPIEAAHGAKSTFKDVKFGLPW GAGKFSRWFALIRIWSPWLAPRQGKDHFGLDKEAVMCSFLSTGGKHLVLLAISGVDDI MTMFTSDSEGNVVIRIRNDSDKDGTAKVLVGLGDDFESANAAVMYHARGIVAMAETAS GEQQKEMAALKEGNEFTKVWAENWYDGLTYCTWNALGQRLTEEKVLKAVTTLAENKIN ITNFIIDDNWQSIDYRGHGQFQHGWVEFEAEREAFPKGLKHLVNLIREKHPSIQHIAV WHALLGYWGGLSPDGKLAKTYKTVEVIREDAERRNLPLGGKMTVVAKEDVFKFYDDFY RFLSSCGIDAVKTDAQFMTDTFVSAEARRELIPAYLDAWTVSTLRHFSVKAISCMSQT PQILFHSQMPQNRSPYLVRNSDDFFPEIPTSHPWHVFVNAHNALFTQHLNLIPDWDMF QTVHDYSGFHAAARCVSGGPIYITDVPGQHDLDLINQMTGPTPRGKTVIFRPDVVGKS LDQYNGYDDDHLLLVGTYHGSAVTGTGIVGIFNVSQRPLTELIPLSKFPGVVEAQYYV VRAHSSGLVSKPMQVVDRNALTPISLGIRGYEILSSYPLRGFVDKKEETTWIAALGLL GKMAGAAAITNSRMTKLENGRILVDTNVKALGTLGLYISTLPTISFKDDMMITIQGKV IPVHTVQTSKVDPHVLEIDVAAAWKELNLDAGWSNEVEVKTFINSS LY89DRAFT_508940 MASRSFLRASSRPEAYVCPRCTLQASNASGKTIRRWIGTKYLAK MADAEMAWSQKASSIKSGKEKSMLTILEERGLVQTFTGKRVHVDQMMTDRRLGAYVGI DPTASSLHVGHMIPFMALFWMHIHGYHTVSLLGGATAKIGDPTDRLTSREKQHSSVGT ANMANMHLQLKKLWKNVEITAAKYGYKSEWAWRRELINNNAWWNTLPMLQFLQLLGPG MRLGSMLARETVKNKMSRGDGMSFAEFTYPLMQAWDWWHMFHTKGIQIQIGGSDQYGN ITAGIDAIKYISTHHPNPTVKDEAAAVGEPFGFTVPLLTSSSGQKFGKSAGNAVWLDE EQTSSFELYKYFLGTADADVEKYLQMFTFMPLEDIHALVSEHMKSPEQRKAQHKLAKE FVELVHGKLEAESAEKEHRLLHLKPGAILPPRAEDPSAQIGVSSLNKKPTVNVKLPRH VIFQKSISKILYAVGLATSTSEGRRLIDAGGAYIGSSPNEKHEPMNDGHLSWATIRAW KPEETKKYLIHDDLLLFRRSKKDIRIVQVIPDEEYAMSGLTYPGMLRAWRVGILKAMH VKTTITKEEMENIAKLLEEDESFLDRQAQEGLKGAAKEPLEHDPSLSTPSKVNKSDLP KIDFVKKPKNINDDDPASWIDRL LY89DRAFT_582310 MFFKITLLSLLCSLTFTYAGDFWTVNCGTLSTQRSDPIISPGVP SGHVHAISGGTAFNRTMLGIDAAVDAKATTCDKYTDHSNYWAPQLYNMKNGMFQMVPY TGANMYYKRYTCSYQAGVRYCPSPTDAKPFPPGLRMVAGDPTRSRTQNMSDQTNQAIL YETGNNGEVYGFPKTLVGDLTLHVHFPSCWDGVNVDSPDHKSHMSYPDPSKGDTQGGM CPSSHPVALIHIGAEFGFDTGSLGIVDSSTVVWSMGDTTGFGGHGDFIQGWEDLDALG ESFDNCNGIGTACAWNSFGTPNGEMGTKNNLSPEIMPPYENIGLQGPISALPGGNTVY SGGAGGGTQTSSATSSVPTTMATVTRGSSSMSRTSKITTTATGVSTTPGMIKGSSSMS IATTISMQLPPTTTSSSSPASTSEASSGDDGDDGDDGDDGDDGDDGDDGDDSGNDDDG DGHDACEL LY89DRAFT_581896 MQFVLDFDWESTELGAVDSWSPELRRMANILMTDPRPAAMYWGK KRTMLYNEPYVVVTGQRHPGMMGKTFSEAWAEVAGDFISAFDTAYETGKAYVVDDARF YIQRHGYLEETYYSLSIIPFSLERGVVGFYNPVFDTTRQVIADRRMAFLLRLGQFIAS SREPKDFWQQLLLGLQADHLDLPFGILYSAGGDINETLSESSEQSQNLKNWALEGLVR VPETCPSIPTRITTEREMEDFLPDFYDLIRSESATLLTTEEGTLPPWMTKEIRVNNGE HACESAIFLPIRSTADNILGFLMLGVNPRKRFDDDYKVFIELLSRQLATSMASAVLFE EEIRRGRVAAEQAAQDRTLLSKRLAIQTHEALETETRFRRMADLAPVGMFHIDPTGIL VYANNDYYTMTGHPRDVHYPMSWYNVIAEIDHPVMDKEWAKLLAGEPVNFELRLRPQF VADDLVAGEKVEGSTWIIAAAYPEQAEDGTVTGILGCLTDISRQKWMEGFRTRKMLEA VELKRQQENFIDMTSHEMRNPLSAIIQCADYIATSLSEFEGDSKNVTLPREVADGYAD AAQTVVLCAQHQKRIIDDILTLSKLDSDLLLITPIEVQPISVVQSALKMFDSEVQKSD MELRFRVDPSYNTLAVDWVKLDPSRLLQVLINLTTNAIKFTQSGPNRKITVNMAASTE HPLDKHGIQYLPRSANRKDLSLGSAWGSGEMVYLYIEVQDSGRGLDDQERQALFKRFS QASPRTHVQYGGSGLGLFISRELTELQGGQIGVSSQAGVGSTFAFFIRARRCNPPETP QPIIAAHSIDMKAQSKIISPAALARIQSGGGPAPLSERKAPQMPVAPKHVLIVEDNIV NQKVLSKQLRSAGCIVHVANHGLEALSFLSITRYWTGLESSGTELSIVLMDLEMPVMD GLTCVKEIRKLQQEGKIVGHIPVIAVTANARSEQISMAKEAGMDSVVTKPFRIPELLP EMERQVRRAGERAEDRPGDLLRSASAPPF LY89DRAFT_668019 MMRVCGPRLSTANFITTCTPATWSYLGPGVIDAYLTAGLSGFYF ASISEVSKMNTDIALFEKVLAGSTFSNSAASESYMTSLYYALKSEREFLWGSAGDAAV NFQDLMTDGCLFTTCTNQYSSESLSFTSAGDSGLFYYSASTPCCGQCTIYAEGVQLSY WPTPAPTPPVTKLVDSQNHTFISPLVYASFTGVYGWNECGQVGGMYDVTGTYLPDYLR TTSINRNLTTIDSQQWTTIDYADFGPQCTCTTNCQTDPSLGVITNLCTPQLSLPNGFT SLLPAWSTCVMGFLGVFDPPVALTVQPGLTVPKTTSAPPIPPPTTPSPQPVQTPTQPQ GTPTAQSEITSPPVETSSTSNVATIIATSSIPVSNAPPPVHSTSKPPVQAPSITPTMI VIGTQTMTPPSARTTILPPLTIGGETITANSQGHYVIGTQTITAGGGPITIVGQTITP NAQGDYIIGSQTITAGNGQLVIPPPITINGETITANAQGDYVVGSQTITPGSGPITVA EPPLVIAGQIITANSQGDYVVGSQTLIPGGTAITVSGSTISLASGASDIVVFGTGVTS TQNLASVILSIIGGVPTSTTSGAPVQYTGAATRGADRVRLELVGVVVGLAGASLLF LY89DRAFT_582571 MQDCCNDHQDCAQKETRVPTRVLDIGSKTIKLLSSTGKAGRYCA LSHCWGSASHSPPRTTKANLESNQSKIEESSLSKTFRDAIVLARHFSIQYIWIDSLCI IQDDKEDWAKESSNMASIYENAYFVIAATQAEHGGIGCFSPRPPPSVSLCLNVAQANG EFAPIYIREKNDHRPFNPLAAYKARADQRYPLLSRAWCLQERLLATRLIHFSREELFW ECRTTTLCECRSLISHEESSYENQIGFKRRWAMNRGLRELFDLWHKTLQLYSSLDITY ESDRLPALLGLANQLQERGCGEYIHGLWKENLFADLIWRTSTRGTRPKEWKAPSWSWA LY89DRAFT_732512 MSSFISLLVLTLSHLPFGSAETPPTCYYPDGSIPTDYLWEPCTG DKYSSCCVPSEGDVCQKDGLCYYPDEDLVYRGTCTDQTWQDSACHVGTCVTGFETTWQ WVGQCVASGSTSTVCGSMSDSAHVTPTCNGNAVLNTLFVTTTSMSSAHVYTAPPSINT ALFSVETDTYTTTITANAQSTTLTSTYENTKTKTLSQTATDTGGGASATTTGTATDGA AGTGETIQKSKTVTVSIGMLVGIVAGLVVAIALVIGIIFFIRRKKRAFKKSEAIKLDN SDYPPPNGPVGADQVYPYEAPVNEITSPVKKYMYTSPKIDAYEMDDRTATMREKRGNG VMELHADDGGLRSPAPAYHEAMMPVELDGTSSLRHVSRR LY89DRAFT_732513 MSQLLNSYHKLRVLPTRSNNSTKTEDNVYSTFTCFEKLPIELRL KIWRVACFRSRNVDIWITRIRLFSTVDLYHTDAGYDKMSRLSYFKSGSPPPVVLHCCQ ESRQEGLKHYKLSFGFSHTVKGGLTFAKDPRIYINWEADRLCLMNPDTYDVKSSENFF DLLKHEGLTDLAIAVDLRSSLNGRCRRSTLRSLPLSETIKPWLCGILDLILFPSIHWD ENDKDWPSSVTAKTLIEHGPGITMHWKPFAKEFAVTNIMTVVENSRDKMYAEITMARN LQELIPSRLVTSNPGPEITVSNHSFIHDEVDNGIDLDERLDQHEMLWLWLTTLPPVVL LLCNGIPLHIAYKETFSNKVIQHIFAKFLISTSLSEY LY89DRAFT_717476 MSTNPTSEDVTPNQLIESPNMVLAFTLFPNLPVELRLKIWKEAA HITRNVDIWIRRAKLISDDWLVPNLDHLGYPRSYFYFISASPIPSILHVSHESRSEAL IHYQLDFATSREIHRSITSINDKRRDQSFEAHTYINWKVDRVCFMNISRGNRAAMDTF MAKCLDNKVRTTALGVQMAVTSQPNGDIAFLNWMYNLRANWPLKHMMRLRNLLHGSLE ELILFHSFEQGAVSLPWKVPAEQDQRFLRDGASTIDLKDTFAGI LY89DRAFT_581946 MLLVLSLLLVPVLAQRPSNASLCDYYAIQLFGANTTSSQFSLVQ NIVALAFAGGSGVANASSALTGNFNPGSFLNPVTSQDINVDLRPWFNGSIDSTNVNGD PSTVNWLDGGGQAPLTAYLSGKTSSIVLGNTTNEYQLFNHWLSAFSHIFGCTIPPPLP PSTGPILNLAYVHKFMDLNQTDIGYFIDQLALSAAHFGFSQQDSSSLSTQLNAKYNVR CSPPVGTQLYSLCQDETCPLDPSPDCAAYVDLGPSGVVSSGPAPSSTSAQFTPSTVAT PSATHSPTTTATSSPTSTQTSAPPSSSTLTSGAIAGIAIGGGALIFAFILALVYLLKK KNKVEASVYEPSPSNPSYITPARTDPHSSYHSNQIVQGWNGPPIPEMESPGSPDPYQH FSP LY89DRAFT_683801 MRKEIEVAPRRPDSQVTVHTSLLFDSKHKKLVSETSIVIDTQTG LITKVFKREKQLPSHNISSSNTIDLRGLTVLPGLVDAHTHIFLHSYSETPSINQERDE SFIERIVRATNHAKSALDAGYTTYRDLGTEGLRDADVHFRDTINRGIIPGPRMFVATE CITSSGGYEIRQENGMPGGTTVPRLSDAAEGVDGVRAAVRRRLGAGADIVKFYADYRK RQLRFPPAAWPGAKEIMFPPSGNFFSGDRNPSLLQFTQEEMNEMVAEAKRGKAPIAAH ALSPEGVIMAAKAGVTSVEHGLAYDGHKPSEEALQALKENGTIFVPTLSVFDAELHRG GGDKDAERDLKVVLDHAKAAFDKGVKLATGGDTGAIAHGENAREIELMVEAGIPILDV LQNATLHGWDSCGGDLCGRKFGLVEEGWAADLIALDGNPTEDIGAIRKVKFVMKDGKV YK LY89DRAFT_581937 MAFLDRRLLELSATSSSNDWAAGARVLQAACYFWHGGTKAQRSL SGLLQSLIYQLCLKRPEALLEVISKQRRDYFLLQAAQERMWTQTVPWTLEELKTCLNS LLQNRQTLTRRASDNHFRFMLLIDGLDEFDGTDQDRQNLNELLVSLSQRSNVKFCLSS RLWVIYKVAFEGYPQLRLEDLTHKDIEVFVTGSLRKNKYFEQMELRSPNLISNVTTEI VRKANGVFLWVRLVNTILKVVTDGCKTPQLLKILDTLPPDLDDLFTRMVRSVNPLYQD EASIIMQIAL LY89DRAFT_614176 MGPIDKPLPTLQNIHRDEADKIIQAIIEDGACIIKSFASVGAVE RVNADTHPYLEVDKPWKGDLFPPETRRCTRLIARSATAREWLVDPLVTKLLSIFVDKT THNYYGETRHTYTSNAILNTGLTMRIGPGGKAQRLHRDDKNFHMEHPDQTKTGYKIGT DVELSFLVPGIATTPENGATLVIPGSHLWDSERIPKVEEAGYGIMDVGDCFVMLGGFY HAGGHNLTKDQYRPVHDLSFIRGYLRQEENAYLSFTKEEVLSWPFEVKMRMGYTVSSP NLGFVDFIQPYKYLAGDYDPNQPGDLDSSQELNKAA LY89DRAFT_696355 MASKIHDVIVVGAGLSGLQAAYDIQKAGLSCIVLEARNRVGGKT WSVPLANGNGTVDIGAAWTNDTNQALIYAYTQKFGIELIKQNTEGNCIFQDKDGETHA FPYGTSPKFTGANVTDLERIRDLVHDLSVAYGSRTGSVEDYDQMSLEQFVISKGGSQK TVDMVRIWSRVMLGVEATEMSAQYFIEYNGKGGGLKTLRSDEKHGGQYLRFREGTQSV SLNLATLLRPKSIHLSQPVVSITDTPGLVTVTTSTGLTFQSRKLILSVPTPLYQDITF SPPLSGGKLTVTTSTTLGYYSKVILCYSSPWWTSLPSGLNACGLAISYQSPVCVLRDT STSIDGQYSLTCFITGLPGTIWSKLPQHERRAQVLSQVYRLFAGTQSKDDDKEKVKEE VYNPVEVFEQEWTKEEWSKGAPCPVMGPGVLSSVGKALKEKVGNLHFVGTETSDVWAG YMEGAVRSGVRGAKEVIDVLGGERVGAKL LY89DRAFT_643576 MYTQAVILSALVAITEARFGQEQVPIPAIQALSNFGSSGQAATL AGQSISFLLAAANPCGKLQQADLIVSTLGTDAQVIAAARGLAGAEQNFNPFVVSVPSI CSDPTLPTTAALRGVVPLVDPSVTGSDVENANAATSLTTPFTADGLSVAEVMVAQGFS NFTTKALDGTVGAASGSSASASVAVSSASIVASSVSQAVEATSSASVVACGGVASTTF VTMTRSASSTSASVAAEAAASTASVFASGTVASSAAAASGAARAASSDAVAGVFPGFV ASTISGLDFGQCVPTMKFEAGLDGRADTESTFQAIDPIVNKGQEEALNPNIITNRICD QLTNVCGANAAAKTACTAAKAQISALATKDATTADAWNTALGFAGTNTNPDNAPQTGL VGHD LY89DRAFT_643579 MSASSVASSTLTRSRAIILTVATIATCVAGYGLYRTLAYDHERS SMPGSGLHRSNAVHHRRRRRNTLGNDAPTSIFVDDTIEDENDNDGERDIVARMLADGD TVVDDQGQDDLPWVEIPQAFQEPYQRNGQNIVQLLFRVSEDATRRNAYVHRGCACNSC GTVPIRGIRYRCANCADYDLCESCESQGMHIKTHIFYKIKVPAPSFGPRHIQPVWYSG DPDSVPRILPKEILTKLSRETGFERPELDAYWEQWTFLANTDWRDDPDDICLAMDRKT FERCLVPSGGYRHSAPSLIFDRMFAFYDTNKDDLIGFPEFLHGIAYRKKKDKWSKIFQ GYDIDGDGFVDRKDFLRMFRSYYVLYRQMHRDMLEGMEEQQMSSTDAHRLVNSRQPLS SAFGQDGRYPRAPDPRTGEGKVKQSNGDLEIVDGKGVINESSNDTGNRDEVFRRDMPG LFGSNWDRDSRRNTAYWETMLNPPENLRQMPRENDDETDDSYDGESNPESDRGWPPTF IGITDEDAEVIEGPGSRVENVQRANRRAVIAQAIFREGAHREVYERWQRRHFYTDEEE GAMPPADWKDDDDILANTGVAGESSKVSRPIHSRSSSKVRFAEDMDDFDTRSNPSTSS RSVPERWGGMEIPDAEKDAGKEILYQVTQQAFNELLDPLFKDREDLAIESAASQIERD QYRDMYSTPEFEAWALEQEAKEAKKQEERAARNSGDTFTPIWPGFPEVELEEVRERPL QELLAAAGYQVEINDRNKSDSESGPSEYRDPTMPQFRPNTIERQSSSENTPRRRILPW MNGSTERSTTGYHLWRCERAAKDAETRGGWAKLSLSEFESLVKRFVKEGKGNQMDYLG SWIEFCIP LY89DRAFT_582313 MASLASLATSLLLASSVSANTISFNIARNAGAESAQLERRQLSL STAPLLKRAGTVNAQLTNAEVEGLYFANVSVGTPGQNLALQIDTGSSDVWVPASTASL CSNAKEGGCPNGAFTSGKSKTFDIVEQNGFNISYVDGTGSTGDYFQDTFSIGGASLNN FQMGLATDTTIGTGIMGIGYNTSEANLDTTDGGNGTEYPNLPLAMVNAGAINSAAYSL WLNDLQATSGSILFGGVDTDKYTGDLISVKVYPDSQGGQTTSFTVAFTSLSATSSSGT DQLTPANYAEAAILDSGTTITLLPDDVATAVFEELGATVSQELGAVVVPCSLAENTGY LTYGFGGTGGPQIKVQVSQLVLPLTLTNGRTPTYTNGETACQLGVQAADGLPVLFGDT FLRSAYVVYDLENNRIALAQTDFNSTSSNVVSFASLGAPIPSATTAANEDQVTQTATG IPKVETSGTATGSAAATYNPTATGFSAASGFAATSTSTSTSSSKKSAAGSGPEPFAWS RVVVAGVSLALAGVGGGVFALL LY89DRAFT_780956 MSNPSAPSIPTSPPPGKDPPPPSATTSEPFPPLTTETPPPTLSK PEPPIRPTITTQPPSRSTTFHASTSEEPHPVRPPFSPFFTLINDVKEKESESSTYHPS RIHYLFSDDDASEVLSAALLRGLDNQPIPPPSINSSIKAEERESTTGSSSSATFKEKK SHGKKNSGDKGTREERIIIVDMNDTGDSIKSISSLSERWQVLNAHIDNAPTWDGSSVG EGEEAAAGGLMLRIEGVSSEGRGGVQMGESEKVGVGEEEMQGLLEGFDRKMAVLRRVV GQQGDVSGHRRSGSGGSGESAAPG LY89DRAFT_668033 MGRDEYANRVAQNVEMEPLTKNGSDEFNRQDPNAILNACREIDR GIDEIKASLATLQSAQRNYLNDASGNPGAYQQLEQMNTSTMALYRNLVGKVKNIKQKP ESGSPRNAPQVGKVDRMLKTTINEYQQVDRDFRKKLDDQAARQYRIVRPEATEEEVRE AIQEPNQQMFAQALMQGNRRGQAVDVQDAVRQRSEAIRKIESQIIELAELFQDMDNLV MQQEAAVVNIEQKGEEVVENMDKGTEQIGVAIQSARNARKWKWWCLGIVVLIIAIIVI VILIYKFVIQNNGSSKRKRFVLTDIISADQISAAGHRVISGQAWTPTSGKMVVPGASW SPETETVGKAVVRKMKTFQA LY89DRAFT_509337 MNPSLWKSLRVGSRKNELSPTAKEGGLESSTDGNSQRTPQVSTA PANIVDIETLGKDASQDQLAVLQSSIPRSITPVLKDQYTLYPLHTSSNANSVPCEGHY AIDFVAVHGIDGGSHKTWTHGNGYYWLQDSIPNDFPGARVYSFGYPAEVLFTRGKSDI SDFASALLEEVKATRSTKEDQRRPLIFICHSMGGIVVKQAMNISVFEKDRFGTIREAV FAIMFFGTPHRGSDYAKFFTNLARVVNVPLMSTSRFTGKTRVDLIKSLNRHSKTLSEN SKQFRLQTNNFKIHSFLEQDSTPPLNEKVVDDMSACLGVEKETLHPMLGCDHRTLCRF SGRDDLHYKRVFNVLQEIATEATTKFEAELTAEDEPCLHSLSFQTMTSRLQETTLSAP HPKSCTWILTHPSFHSWTKSNENRLLCIKGHPGTGKSTLMSFLHHHHLIHAPADTIIL SFFFHGNGSSLQKSELGMFRSLLHQLYKQSFHARKQILAFYQQRVKESGVCGNDWEWQ APELREVFTSILTSQSSIEAGVRSTKEVLIFIDALDEAVAGGGSKAAKELLDYFNDLL EKGRVRGIKICTSNRHYPVISPLLAKILVINVEGENRHDIAAFVSHSLYHGVESWASE DEEEKRELEEALVSKAASVFLWAKLRVEKVVKGLNDGVFGVRDVSAMVKRMRSLVKRS GMGR LY89DRAFT_732525 MAGEKNDGVMAHWKCLLACTLVSMCPFQYGVDFGIIGGLQAMPG FLEVFGEKAPDTPIGWNISPGRQQLISSLMILGAFIASGAGGPIALFIGRKTSIWLAC GMCVVANVVMMTTTSIGGLYAGRFVIGLANGLFMTFAQLYLQECAPARYRGLTIGAFQ SWTSLGSLVGTVVDNFTVKIGGKQSYIVSLGIVYIVPGIIALGLLFVPESPRWLLQMN KEDKARKSLTWLRPFPERVEAELQEMKLAIDAEKALAHNSEIIDIWRNPIDRRRTLLA IGAVSLQAASGAMYMISYGTYFFEMAGVGNAFQNACILTASGVIIILINSAIITRYGR RRIFLCCGLIICGFCQLIVAAVYTAKPGTSSTGKVIVGISVLYILGYNGMVASYAWLC GGEFPSQRLRSYTFGLAAAIGFFGAWLTTFTAPYFINPSALNWGPKYGYIWTPSCFIG ALWVWFYLPEVKNRTFEEIDEMFMAEIPARKFRSYKCIGAAALAVDEKEPRVSVEEQV ENVVWSNPKAVAETATYVE LY89DRAFT_775279 MAVTITPEDAPAQPTGINVIVVGAGFGGLTAAIECHRQGHTVSI YESFPELKVLGDIISFGPNAGRIFYRWSHGKIAAKMRALSIDLSSYGFKIHKYDTGEV VAHQKTPPGDPTAPMFNGHRGELHKVVFEYARDELGIPIHLGQKVTKYFEDEKEASIE LEDGTKVTGDIVVGSDGVRSRARTLVLGYEDKPKSSGYAVWRAWFSNKDMIADPRTAE FCNNGDTFNGWIGPDVHFLFSTIKNGSDCCWVLTHRDEHDIDESWSFPGKLEDVFKVL EGWDPMCKAIIEKTPSIVDWKLVYRDPLPTWTSKNGRICLLGDAAHPFLPTSAQGATQ ALEDGVTLAVTLKRAGKENVPAGVRAYQEIRYDRVRAVQKTGETTRDMWHKADWDKVK KDPKSIELPREDWIFQHDAQKHAEQVYDDVVKKFT LY89DRAFT_509554 MGIIKHSLNPGLEAYRDIKTEPPAFAQENKAISQGRAGCEDTEC FSEKLKKMDHQRPAPCVRLEPAPMIDTAFVQPVISSSQQKVKHALGNSDQTQEPVPPA QSPLRMIPRPRILREPRQRSFLISDPLNSNRAQIMISADSQAMEPLIAHYSDDRQSPF DAPRISNQGPFLGLDDLNDFSTFVQPPQTMYDYDNHKFCSATEDISRGGTDVYVDSHD FEGLLSFESSLPDANGPIKHVRDMNKGLKGRYEFDGSM LY89DRAFT_509576 MTSSGHIAMSHDGLQATERKDSNTSSQNLFEQQKQVNPPHNGSR VDPPTHVHEWLWGCCNCGKSGGLTSFILFCPECQHDLCTECPWEPAQIDLKETLFNDH ELALHNTMTLSHPILTAPPDSHSFPEQSSNVRDNNQVQQQNLKLESRRKRGRRQLSTS KSRVDSGRTFACHFSKRCPQHYNPWTGGDKYLKCLYPNPCDLRHILDHLRHHTLPYYR CQQCFAKFQEESDLLQHKKSSQPCTPMWPPPSDGIDKHQWIRIGDSISTVKNPGRSKE ERWFDIWEIIFPGVQQPQSPL LY89DRAFT_643593 MMDRSGYHALRGNEDDDTFLKDPNKVSDRPHLHSRVLHDTSSAS RDLRKDDTEVVDADIDDEIDTLESVDSTFQFSSIMTMLWSSTALISDGYNMAIIGNVT LFLEKATSVDMLTEMRGQISNSFLVGQIIGLLGFGILIDKMGRNVGALFTTLILIVGI AISTAAYGTTPLGLVWILIVGRGIAGVGAGGEYPVCTTTAAEAADDQHATAPWRGFIT AASTILSIDLGFVLASLIPMVLLLFSDGKHYELIWRLSIGLGAILPLSILYYRILART SSTYEQYGQSTISLPYKLIFSKYWMTFIGTAGTWSMYNLVAVPFAIFASIIASQLNPD GSLVKDFGYSTLIQTFCLPGGLVGGWCADRFGRKRTLVVGFALQACLGFVIGGTIRQI QTMPSLFLILYGIFLFLGEAGPGSTVFVISAEAYPTVIRGVMIGLSAAVAKTAAATST QVFNPILTYWEGDYVKAQQVVFLVGSIFCVFGAILARIFVEEIPLGTDLSRAEEIYES FQREGAEARILK LY89DRAFT_509611 MTTGRNSKSGKGAYHKSHSAHGPQTHTELFWSCCNCELSALPNP SWHDQCPKCAKVRCDSCLVEWIKVRTDLSDKYSGTGARDSTFPSSGILSPSYKLSTES GTGIDTLSVVNTEGKLFKLSLTSTSTSLCIDQPLVDKGNPLSTSTGTIGSGFAQNLIS ASTLSPASSKSLDVFTDNNNTPNTITDNSERSYSSDDDTEVDSDELWKSRFIRTLDHC VLAACSHDLKLAALLIRKIHTIVGNWSRNGIWSHTPGASGGEGSGASGSMIGSGFSSG GPFNHHSEKRPLDGENVSDRPTKQSKISSGTEELEMNTSPKSPTFACHFYKKDPRKFN FRTNSKYKNCPHPSIPPNALRRITDHFKNHLLSQCDRCYAIFRDARALVEHRQPCEKQ SSTLKEGIDDGQWDRIKEIVKKSTRKGRQEFEKWYDIWEVLFPGITPPATPCKD LY89DRAFT_509625 MLYIVILAAAHYVAAQVDYSQFVNPLIGSEGPIPGYAFGGGDIF VGGALPFGVAKVGIDTYEDNITLSTLNGGYTPMGKVTAFSMMHESGTGGYAKYGVIPQ MPLTTVASPVNLLDNQTYSQARVGNDTARVGYFKTQLENGVTAELSAARHSGIMQYSF PPGEKHVLVDVSHYLPSQSGGYSVQVYVGGEIQLQENGTYTGYGTYGGGWNEGAPFTV YFCGDFDTPPDTSQTFRGRNTDPMQRYHTFSDAATPQAILGNQSEYAGPLNDRLGALF TWSNSSTSQIRSRVGISFISSDKACNFKNIEVPSYDLNATVSAAVQEWNEDVFSKVQV ATDSSVNQTNLALLYSSLYFLHLMPSDRTGENPLWESDEPSWDDFYTLWDIFRCTVSL YHILQPTYYESMIRSLIDIWRYEGFMPDGRSGNYNGLVQGGSNADNVLADAYVKGLRG EINWTAGYEAMKKDAEVQPYNTFSHDDPTASVKEGRGALYDWIPLGYVSADRSTRAVS RTVEYALNDFALSQVARGEAPEDVEKYMNRSAQWQNLWAHNVTSLNFTGFLAPRFADG TFNLTGYNPALCGGCEWQAISYEATPFGTSLQHFSRFPANALIEYSFVVPHDAETLIQ FMGGSTAFESRLDYIFQPNTSQQNLGANGAGITTIMNIGNEPDFATPYLYNYLNKQWK SVQQSRSLANQYFHDANYGVPGNSDAGALNSWLIWQMLGLYPVVTQTVYLIGSPWFDD LNMTINGNATLRITAALSADQNSTSASAAGGSSFGQEDYYVQSVKINGASWTKNWFDH EDIMVNGGTIEFELGSEPAMWETGDVPPSPGHLIL LY89DRAFT_643599 MKLSYVCFLALGSFAEAICRGSCKLSVDASDLAADTCTINSGQK GECILTSTCSSQGGTSQVGHCPGAADIQCCTYGSCTVNGEEGTCQPTGTCSGTKTAGH CPGPSDIQCCTSSKGGCTAPSVNAATISLIKSFEGFVASPGSDPIGLPTVGYGHLCET KGCAEVPYPFPLTTTTASELLASDLKKFEQCVSEDIDSSIHLNANQYGALVSWAYNVG CDNVASSTLIKRLNAKDTPDTVASEELPKWDMAGGQVEPGLQRRRAAEVKLFETASGV AALPAC LY89DRAFT_509659 MATLDSVEQDWREIMASKASKWNKRSRRLSHLTISTHSPPPRSR SPVDDMDEVEMDDSSWSETESSVSTGFSRSVRSRATTLSVYSGISCSSEEDLDLDGRD GNFFTSLDDDDDVSTTSEVPEPLYDPPPSALNSAQYKKWVEDDLGLRSFPTKHVDYLS HDWREVDIWASWRHLMSKKTSYNNEKRLENACWRVWGKKRYDLKTVSPESLNWLKDCD VTWLYGPLQPGPIESLKALSLEDVPAPSDRREGREDMLDLKPILKRRSVSEKMLVRSL LSASLLKQATAAVEAERSARARSLPVLPILETAEYHKSVRPTTPALSRSSSTASLRPL LSHGMPDKKRIHFQEQVDQCIALENSESESDSRGGASESDSDSDGGVKVARRTPFSRT NSRSSRSAERRKRIIAKLPSTTLHYSDEDAELVAKSWQRSKASPPPSPSPEALSPSLP ATNFMLDIEGNEDVMGWSLDGPSLGAEDRADKDEMYILQQILPSKNDLHFPCLVCKDS SRMYPSQGRIFESRNDWLVHLWTVHQDPLTWAPTTCLWEGCNSDHKFRTAELWLGHVR TLHSGRQHKRQKTMPFEDDSDTFSPFENDKHTEPHGGLELGRKVFGALTTAKDIGSFL LHSAGDPLAAHVEWLRRSKATNELEEDSRVEAPQSSNMQYFRNLARKILGIPIDNQAT RDQGENPDQEALVERLAETLSLYEVDISHIDDISDLEGPTDSTSDSAVPEKEGKARMS ALYSMLVAIRQSLIQITPPSEVTNGGVGSEELPSSSDSPEGSDTTIVPSESLLLGRDT PLSMVLPIRNTMTPEGKDDHTETEEEETTDYDSSELEDLPSDASACGDLSDASEENIA PHGESLSLALEPMRQALVDRVMDEFWILFNQNFDSGFRAHAGGSRNSSSPSNASLITS AATTPQSSQPRKRQRDDEMGSDDEDGNMSRKPKRNSGPSDELGDRKRFACPFRKHDSR RYSTYSYRVCALSHWDSIARVKEHIYRCHRMPTHCKRCWKPFKNQEQLDTHLTVDIAA MCELVPGHPPEGITTDQERRLRSRKKSSRDQSDEGRWREMYQLLFPGEEVPSPYFEPI RDEMPGSPDSRDLANYEDYMRRELPRLVRTNIEDVVRRDMQPLEAALIGNLVGIIQDC QDRLFRGYRQMRGDGAEASASPVLTNVPSFTGSFGETGASLTDQQSQLLQAAFQPPPP APSDLGNQIPGIHLDRTTSLHHQPSLDMILSDSGYASELPHFCDCPGPECTCSGGTLN SYTRDGLSNLNSNDIFGFEEGTTNMQWDGWDVFPNWDSLNSVGGGFNS LY89DRAFT_706539 MVPTWTKAPPMQAVSEIEDIGEQNTENPFLDQEVAQHWAEVYEK SKYEGRHVFEPTMTWEKDEEKKLVRKLDWHVCLWACIMYFAVQLDRGNLSQAVSDNLL KDLHMNTNAYNYGNMIFAGSFLASEIPSQLIAKRIGPDKWIPVQVICWSIAAASQSAI TGKKGFLALRCILGLLEGGFTAEVILWLSYFYTSHELPIRLSFFFTGMSATSIIASLW AYGILHMRGVLGWAGWRWLFLIEGLMTLVAGLASIFMMRASPVQTKTLFQPKGWLNER ETRIAINRLLRDDPSKGDMNNRQAISFRGLWNAACDYNLWPLYALGVVAHIPTSPPSK YLTLTLKHLGFSTYNTNLLTIPHSVGHITTMLLLTWFSERVNQRAFVAMLQPLWTLPC ILALRFWTGAQKAKDPWQTYALMTVLLSYPYCQAIIVGWCSKNSNNVATRSMSAVFFS MCVQVGSILADNIYREDDKPKYHRGNRNLIIINLLVLVLFLFAKGYYVFQNKKRDKIW HAMTAEEQVKYTVETKVTGAKRLDFRFAH LY89DRAFT_509737 MRLHNLHAPKWSIIDDFWLAIDANTEETLTHSKFHVSSLLVLPF CVLSQVATLTSLYFAVMFPNLFPSRGCSVVLRMPTIIFIFAS LY89DRAFT_614211 MAALFRDINYHVSTSHYAFTSPSSPSAPTLIIDRPTGDVRLNDG TSLGGKRVSSIAGILGMIKLRLDKYIIVITKAQPMGRLKGHMVYKIIATEFLPLRERP LHDQDEDMYLALLKRLIKSGPMYFSYSFDITNTFQRQSKSDPSTPMWKRADDRFFWNK FIQSDLIDFRTSGARHQHGQQPGADPYILPVIFGMLEIVQTRIKSTPLTMVLITRRSR HRAGTRYFSRGIDEQGHVANFNETEQIIILNDSMSGLGGFAGGGGMQNGKVGGSGGKE VQIMSYVQTRGSVPVYWAEVNTLHYTPRLQIRGVETAVSAARAHFDEQIKLYGDNYLV NLVNQKGREKRVKEAYEQMIKMLVSSPTEGTQQDQVSDEKFRIVEPDGRRSEMDQLHY VYFDFHNETKGLQWHRAQLLLDQLHDALERQQYFRGVDMPADLDGRLEVRNSQTSVVR TNCMDCLDRTNVVQSMLARWALNRQLTDLGVLSRGETFTSDNDFEILFRKLWADNADV VSKSYSGTGALKTDFTRTGQRTKAGALQDLNNSISRYAKNNFADGPRQDAFDLFLGTY LPSTSSNLVFADRRPILVQSIPYVLAFAVFLVSVGTLSRRPPNSLVWPIRIFILAWVL VAAWCFNFIYSNGMLYVNWPKLNPRPWATEGVNEALGKVRKDKVVGSFVGAHERGLST ARFLNAEEGKKRIE LY89DRAFT_683819 MPPRFAQFKLVLLGESAVGKSSLVLRFVKDQFDDFRESTIGAAF LTQTISLDDQTTVKFEIWDTAGQERYKSLAPMYYRNANCAVVVYDITQASSLDKAKQW VKELQRQANENIIIALAGNKLDLVTAQPDKRAISTADAEAYAREAGLLFFETSAKTSE NVRELFTSIAKKLPLDQAGPRNPRSGGRGGVELRPETPSQNNGPCAC LY89DRAFT_582305 STLGFAGGVYYSRVNDNFHDFFTEYVPFGEDAVLYFEEREFRKR FPKIAGRGSRPRDTGASVNIPSQSGVSWKVAEESKPGSTGRHADATKPDAAKPKEALR DPTETKPAEKVKAVEDVKKSDTPAPSKSIPPAGKSPESQPPAKPGHPVKAEQTATKGP APVPFVPPEVDQPSKFPPEVTMIDPINIRDADEPVVQDLVKMLNDIITAVNADNANQR YSGTIVKAKGELTKVGSKIKGLKAAIQKEAEAKIRAEKEDFDRAAKELIRRVEAEMQH QQSEWQEEYQTERQKIVQNYEQKLKAEIEKANEVNEQRLRNSLLEQAIEMKRKFIQEV KDKVEEERGARLGKLSVLSNTVNELEKLTTDWNSVVDANLKTQHLHVAVEAVRSNLEK SEIPRPFVRELAALKEIASDDPVVNAAIASINPTAYQRGVPSSAHLIDRFRRVASEVR KASLLPEEAGVASHASSYLLSKLLFKKKGLATGDDVESILTRTETFLEEGDLDNAARE MNGLQGWAKTLSRDWLGEVRKVLEVQQALDVIATEARLQSLRVE LY89DRAFT_732536 MDIPGQGAGQQRLLHFCNQTTVDIIPIAFLNVFPAQGNGYPGDN LGNQCWGAPYVFSGPGNNTSLNQLQSECPQIVEDIPVCQSTYGKKIIPSLGGATATYQ LTGAAEGVAFADFLWGAFGPQTASWLASGLPRPFDGPNNLAVEVDGFDFDIEYAPTDG SAGYIAMIQRLRRLFQSASKTYLITGAPQCVVPDANMGAMISQTQFDIIWVQYYNTPQ CSARNWANANPNYGSTGLELPSGFSYNTWETFLVGTASANAKLYIGVPGGPTSDANYL NVTEIANLAKAYFCKPNFGGIMIWEATVAEANPSGPYYSTVKKILTGYQNSLCTTFST TSVSSKSSTLLTSTTSSSKVLLKSSTSNVKTSMTSSSKVLLVSSSNSVKTSTVSSSKT SAKSSPSSVKTSTTPSSKTSFKTSTSSVNTGTSSPIHLSTTKSTSASSSSKAQSAQSS SSTTLCTTTKQITTLVSRTVYIPASSIKSSSSSKTSLSSSKTTVSSQTPSPTVVVPAG ACGPVYNVACASGYCCSQYGYCGTSSAFCGTGCQAAFGTCS LY89DRAFT_581841 MSTRVASHAGSWYTSNPKKLQSELDAWLQGVSIDGADLPVAGAR VIIAPHAGYSYSGETAAWAYKTLDLSKCKRIFLLGPSHAYYLSGCALSRHAKYATPLG DFVIDKEIVAELKETGKFDTMSTQNDETEHSLEMHLPYIYRMISKTFDDPTKYPTLVP ILVGNTDPSKEQQFGKLLSKYLADPTNVFVVSSDFCHWGTRFQYTYYLPQSAIQAKSS KGQSLHRREAAPTDPPIYESINELDKQAMDAIESGKHSAFLHNLEQTGNTVCGRHPIG VVMAAIEVLEKEGKASGTSGKFKFVRYARSSEVEDITDSSVSYASAYAVL LY89DRAFT_510039 MREVMKMGHQKYWLPLLEKKIANEPITKEELDEFLGDFAGVSDI PAAIFAPEFMDAYPEAKIVLTTRDEEKWFESMKATIWHAKNSPFGQTMSDYLWGNDRE GEGKMRFLRHNEKVRSAAKERGREVLEFEVKEGWKPLCSFLGPEERNREFPRSDDWAA YKKETQGKESSQQ LY89DRAFT_582737 MASRIPTSTSVTESAVIEAKFSAVWHLIKLENFGHFWSALKNSE SVKGASPDTDIVKWTFKDGTVLEVKQEEHSAIDHYITYSVISAQPQLTYSSVLSTVRC FPITSGKHEGHTFIQWTGSFSSDADAGVIEDAKYKRREALADLAKAAAKL LY89DRAFT_696371 MIDRTSRGIGSLPNEILISILSLFPTRTLLPMATTSRRIHNVIL SIIHRRLLAAASVADRQLILECYHPSAKFSTPYYNCEYLGTDALDGESCGIEKETCSD LGRLRGLYSHFRPAQPEGDRKIWTPRPTGGWLQATLDQVTSQKEGLVCQNVDLESYEL FCQLQSVVNIVKPGPNGYFSSSTKISEGLMRVWRDWLAERSQSPLSTTSTSSESREEQ KKRLLWSSVKEDIGLRLRVVERTDLRPPLLRGRDEDPNVGYTLQYEELVVRTSQLLLK LEAALERERSHEGKAIVIVS LY89DRAFT_510444 MLPTKIWMEQTLCGVFHPILLSIANPSYRSPPEFTTNVPYRDFV ELPADADVFWDASDLVSSQETSLNFGHLSSSSYAGSWTDASYTDLLSWSPNSSITAPD STRASLASAAPDSTRADVALAPKLPQHDAMDLEQTPAYEQIDPRDVILPLPHSCPMQH YPSLSYPSPSDEASVQPAPAAPTPQNDQSLSCSEDPFMGWASKRASQMFDEYNWVLSH VETL LY89DRAFT_582658 MAFNQLQSIFKFDSPNKSSDAVVTIESQSRGSDEVKPGAERLLE PGELSFAEDTSGGMGRHLGLFSTTFLIIGRIIGTGIFSTPSSIVASVGSVGAALMLWV FGAILSFAGLCVWLEFGCMFPRSGGEKVYLEAVYRRPKLLATIIFSTQAVLLGFTAGG CIIFSSNFLVAIGHKVTAWNERGIAVAVILVVTCVHTFTPKLGVSLMNALGSIKILIL VFIVITGFVVLGGGDEKIPDPHASFRNSFAGSVNSSGPYATALFKVLNSYAGWNNAAY VLNEVKRPVHTLKIAGPLGLGICAVLYLLANVAFFAAATPQEIASSGTTVAGYFALRV FGHKAQQAMSAFVSISALGNVLTVTFAQSRVNQELAKEGVLPFGRFWASSWPKGAPGA GLLLHFIPSFIVIVAIPSGDAYNFILDVEGYPSSIINLFVVIGLFILRYTAPDLPRPF KAWIIVPIFFLAAQCFLLIAPFLRPPGGKGDTSLPYWLYPIVGLVVLVLGGVYWFLWR VVWPKLGKFTWVESKAVLRDGTVVTEWEKKKLE LY89DRAFT_717507 MPFSKQRKLRSCPKQEYPTMPPPPRGDWSLPPEERRPRRYSSTR KRSIASPGTRSHPSYLTGGSQSTARGQHMQQPPILPNGVACSSPAFVNGTHQAFTSTS PPQRPPSMQSMQPGLGQYLPPTHYGSAAPQQLQPAAQTNPSAYNTTTVTSGSAVLVVQ LPVLRYTSQGGVNVVPPPGTPSALTQTYENNTKLNLLAEK LY89DRAFT_510707 MVLLEYLQKTPLLCSDNTRSHPHPQKQLPTSAPIRGFLCNDGNS SPPLNRRSSQTWSILGPAVRILKGGVDVVNSTVLGMRDGLTKEQRVAAARREERRQIL GLRMKNAESMEQWQTAARDLDVLEDNEAWKFNSTSSEFDATMIEARLKQMDNARINCD IKLMLNLVRTSLSRDLGGMENVRLYKHSHIGTKDLIERYIDSALATIRDLVEKSKHTL PDGMETKDILEQVVHARQAFGRSALLLSGGATFGMNHIGVLKALFEAHLLPRIISGAS AGSIVCAVVCTRTDEEIPDVLKKFPFGNLDVFEENGKEDGILERLRRLLTQGAWIDSK HLTRVMQEILGDMTFQEAYNRTRRILNICVSSASVYELPRLLNYITAPNVMIWSAVAA SCSVPLLFSAAPLLVKNPITGENTPWNPTPQRWIDGSVDNDLPMTRLAEMFNVNHFIV SQVNPHVVPFLVKDEEAIAKDANSEGSGPGWVYTLTNLAKDEALHRMHVLAELGIFPN LVTKCRSVLSQKYSGDITILPEIDYKDFPRILKNPTADFMVQACLCGERATWPKLSRI RNHCAVELELDAAVQKLRARVVFSPSQVDLRRMTTAGFTNIPSRVGRKRRESGSNLSE FKISQFDGENEEEAPSKTRKSLSRPPLRTSSTYWHGTRRTLPPSARPGSRTTQGTPLP TPEPGDSHSFKFQPLKAALDLHISIPEISSAGETTLSPPSSDADIDSHTDESSPELDT SDMPSPGLYYRPRGTGNESYEMLDFFSSSQPVTSGTDHVLATSPLSSRPNIGLALSPI SMKLSGISTVKSATAPSSPEARYKRIFHKNPQEKGEK LY89DRAFT_683826 MAVKTLEVRPLPPPHPDSTVDFGAEISNVDLENLSEADFQVIRN ALYNSHVVVLKNQAGLSPRAQYELTKRFDPASDNYGHGKTLDAKRSVLHPDLKTIPHQ PQVQVIGNGFYEEYEGLKNITLKHPHHKTFHKEIIPEEDDLDATRFYRWHIDAALYAL NPPKVTSLLAVKVPAGRRQTLRFDDGTGEEMDVPLGTTAFVSGQNMYNLLSEEDKEFV RNAKVEYAPHPYIWMSPAKSRSDGLGMISEGLELPLSDLPPINEADIKILPMCWKNPN GGLALQIHPSAIKAIHMPNGEVMTDLKEVRELVHRLQRPAISAQHVYPHDWVEGDLVL FNNQGVLHSVVGAFSPEEQRLFRQCNLASSEGVMGPDGKLY LY89DRAFT_510702 MSTLLGDYQLDLAMSRRYRSFFFLPFRLNRVRIRTRRHETLLTT SKMQGKKPVQRLIEEESTTHKRIVRWSFCCSQRIGLSCEQVPYPPPSPCRDGNCFVHN AYTLLGIAQLEDDKESIDELSSLHVCTCSISRERLFRQSVAAHFALKF LY89DRAFT_696375 MRTLLNRVLIGLTIILTCVTAQTVPGYTCSEGNPCTLACCSPYG FCGYGPDFCSPQSNCIAALSQDQTCNLTSPCDPGTYPGWTNTTWGPTYASAETCPLNV CCSPFGFCGTTPDFCGNTTVPQPSSNETASSLSRTIGYYEGWSITRPCDLDLYTHLLY SFLAIDPVTFEVVPATLEELTLFPEFTALKNKKAGLEIWLSIGGWAFNDWPGPTAFTF SELAASTSAQSAFFASLLSFMSQYGFDGVDIDWEYPVTPDRNGSVADFTNYVSFMQNL REAMDAYSPNSRYGLSMTLPSSYWYMQNFDIINFAKIIDWFNVMTYDLHGTWDAFDPY IGNIMLAHTNLTEIIQTMDLLWRNNIDPAQVSMGYGFYGRSFTASDPNCLEAGCQFSS GANAGPCTQSPGILSFLEIEAILNDPTRNPIITLDPIAAVQIVTWDSDQWVSFDNQET IQMKQAYADSRGIGGRVTND LY89DRAFT_643640 MSVAANGNAYAHGSDAFNFTTFKHCINGILTDTKQHRHGVNPTN LQAEPEVPVATKEDVDKAVDAARQAYKTWSRVPYVDRVRAVLAYADAVAECKEEFIRL LTTEQGKPTCQATAEVEAAIEWMRGMAELRFDEEVIEESPERIITTRYLPLGVVAAIV PWNFPTLLATAKIAPAILTGNTIIVKPSPFTPYSGLKLVELAQRFFPPGVVQSLSGDD DLGPWLTSHPGIDKISFTGSTHTGKLVAQSAAKTLKRITLELGGNDAAIVCEDVDIAK VAPKIATFCFLNSGQICLCMKRVYIHKSIYAEFREALIQHVRTLQVGDGFHPETFCGP IQNSMQYDRVKGFFADIEEEGWSVAIGGKVEKSGGYYINPTIIDNPPDDSRIVVEEPF GPIVPILAWEDEQEVIDRANETRMGLGGSVWSSDIHRASRIARQLESGTVWVNNHFDL SPKAPFGGFKESGIGVEWGVNGLKGFCNSQTLMVNKTPV LY89DRAFT_510910 MTGLESWATATSAYSSGDSFSYTAAANSDMSIDISLVNGPETFD DATCAGAPTVSGTDGGFLGCVAQAVAWMTYAVYADGGPVDTTPVRRSSFSSDPQFQYH AEWQPAGNCTTSHCMLMNGSPEGVWVPAGNGTYNGTFHDFHFTRTTNNIVGHRVWPGG FQSASAATDMSMVKPRSGTLAGRQYRWRGQLVGWGASSRVDWYHRSDPAAYMNWYDYD RKGALDVSNTIAAAVEDTVAQTHYPELCVGLAYEGSVVDTGLWNIVANGTTATNDISL AQAETDLTGCSGSSAVIVCYANATAIDTSLATADDESSTAPTITKRMLQFFNLFGRTA TSGSEETYEVTDVVYNTGTLQFVAPTYPNGGNGYYLNQENGHDSAYALLNPGNCLDGT LTDDADTTSANQVTVVTEHVLERVTGRNFLEYVQRPVMDLADGNGDRTSTLTAVPFTT LANWADLPYAQWVNNNLPTGFPTANLPTVGSAFTDFADAFGSTANPDVLTNLEESLNG YKARVYSTTIDPSADDTFDPLTETPTIANTQSALTLLRLGFGVFNYINDDYVSSLASD SYSDMLTTWQTFDELYTLANPSTPSNMAGLWAEFMDRLATRMVNVHRTYMNDRLDQLA APWIDIQAAPASTSQQQIDATNALNLISQMRAQITTIVHYDTSGFSGAGSKA LY89DRAFT_582171 MILLPIVAALLLWSYQAHAKAVFAHFMVGNVESWTVDRWTTDID LAIAAHLDAFALNIAYGDDTVSTSVSYAFQAANAAGFKLFFSFDYAASTGGAWPKDEV LSYLTAYATNGAYFQTESGQPFVSTFEGPANAEDWLDIKSQTGCFFMPDWSSLGAAPA AALGVADGLFSWAAWPWGDQDMDTSVDASYLQVLGNSSDGGQPYMMPVSPMFYTNLPG YDKNWVWRGDDLWYDRWQQPDYVEIISWNDWGECHYIGPLDAAQYDSVFSSDTGDAAY NYVKSFPHDGWRAILPYLIDLYKTGTTTMEEEILVVWSRATLSDACSNDYTSGNTASQ LQFEFEPAAVVQDSVYFTGLLANTADITVNIGGHDVAATWTHSPSSQVAGFYHGSAPF SGNTGEVIVNLARDGASVMTITGNYIDDSCVNSIMNYNAWTASTTNVFDLGLEPNIEG FVCIEGSSVAEFAELCDFTCALGYCPISACVCSNLGPQPTLPDATGVIGNPTINVDYE GLCAFACNYGYCPSEYCSTVEVAVPTPTVSPFTFPACIAGSGSGDFTDLCDWACSYGY CPIAACTCTATGILPDTPASTASVTASFIPVPSVMAYDDLCKWTCSRGHCPNVCSSNY ACVSGTGSGNYEGLCDYSCGRGFCPDPCTCLANGTVSTLDGYMVSLISWYQFISRVLG PWDYSWVSCSSPVYCL LY89DRAFT_510913 MSGPLPAGEPFLASYLRVLRGIDSLPDLVELFPTLEQKVLAAPS TLSEHERRQLLDLADAQDEVANLQQVSSRARAELLSSVLSSPEILTDDEIELVKNRFW SPPTLNEVQQIFNGSLNRIQDFVDRIFAVREAVYLPNESRAFTLASIEFTRREKQRRD EKQSKLGGPNPPAIPAWMNSLSQRFSEEKMLKTWGYIALLDSEAQTMSADRRDLFMSK TQAIFTQTMLNNGARSKLLNKTWKISFINAPSTVSASLEPNADNSELRDAFRTLLDPN KEYNAPQGPDPRSPHDILQKRKGYLSNTFLVINKTCIDSVLASPGYSDDMRILAFEAD FPQPGRTYVEGYQGWTWVRLEQLVDAFYGARMDEELGMDEIWKAAERSKNEVFASLDA EEAGIWTTSTSMTGPLPGSILGKRRRRAP LY89DRAFT_582059 MSDTTPTSAPATAPAPELKTYHGNCHCGAVKYTVTLPEITSVTE CDCSLCLKKGHKSLFPSGGLVFEEGKGEDKLKSYEFAGKTIAHKARTLNEIDDIFGLK VNTYKGTTLEPGYTPHAFTGPEPTATIENAKTYYGSCHCGAITMAFKTAGPLPEGSEK IQQCNCSICCRNGTILTYPHKTQISIHSPTTPPTPLSIYTFGRKFQQHTFCPICGVAI SLQKNRPVDPELFKKEAPAGADQKQWEEKLPVNLRCFEGVEWDRVKVENRDWKNYGGK YEVP LY89DRAFT_775319 RRNAAIDAIATYCHFQEKSATALPRKKSSTRRTSSMLSKKISPQ LTATQAKKQALSDAILLVFTEKRTTTCFLCLGEQSLLFEKRTYKFTSPGDLTKHFKWK HLANIRERDRLECKVYRIEIQH LY89DRAFT_775324 MKSVLGRSLTATSPKLLLFTIEANKQKITGNDSDAKEVLEIELG LLAQRIYTLEHAASWAINPVMPATLNDTAVTKPPFSDADKTLPQSHKHTPSEEQQPPA IELGDVGRRQANRAFQKALREIGHIVTAVAKGDLTRFSRVAREVGTEGILGGQAQIDG IDGTWKELTNNVNVMAQNLTDQVREIATVTTAVAHGDLTRKIERSAQGEIFQLQQTIN TIVDQLRTFAAQVTRVARDVGIEGILGGQVEIEGVKGMDIAVTTAVAKGDLTQKVQAE CKGEIFELKLTINSMVDQLQQFTQEVTKTAREVGTEGRLGGQATVHDVEGTWWDLTEN VNGMAMNLITQVRQIAKITTAVAKGDLSKKIAVEVKGEILDLRNTINTTFASEVSKVA REVGTDGTLGGQAHVDHVEGKWKDLTDNVNTMASNLTSQVRAISTVTQAIANGDMSQK IDVQAAGEILVLKDTVNNMVDQLSIFSNEVQKVAKDVGVYGKMGVQADVAGIRGRWKE ITTDVNTMAMNLTAQVRAFGDITNAATDGDFTKLITVDASGEMDELKQKINQMVFNLR HSIRRHTAAKEAAELANKSKSEFLANMSHEIRTPMNGIIGMTQLALDTKPTHYQWEML SIVHGQANSLMVIINDILDLSKIEANCMLLEEIPYQLRGTVFSALKSLTMKAHEKSLE LRYMVDSSVPDHVIGDPSRLRQVILNLVGNAVKFTERGGISIMIRIAKQVTCSSDECA IKFTISSTGISIQQNKLGLIFDTFQQADESITWKYGGTGLGLSISRRLANLIRRDIRV ESQYGKGSSFVFTCMARLAASDIGSISKHLKPYQGYKVLFIDQGRTRHGKEIALMLTE IGCVPVVVNSVLRIRRPGGQVPEVLYVVIIVDSMVTAKELRSIDDFKNIPILLLAPQD HVSLKPALDLGIASYMTTPCLTIDLGNTVLQALEELAAPPPTNNINSLAILLAEDNVV NQKFAVKVLEKYHVVTVVVNGLEAFEAVKARRYDIVLMDVHMPIMIRDYERSQGAQWI PIIALTAHAMLGDREKCIQAGMDEYLSKPLNQSHLVRKISRCISHAVAPNSS LY89DRAFT_668065 MATSEGKSLLFMLPCILPDARVTILVLLLVFLRGDLLRRVRELG IDHLVWSPGEQRDVPLVFITVEAACTEQFRTYAHKLAATQDLSRIVFDEAHLTITASD YRQAIVDLALIRNVPEQKEELLRMWLASSDQPYIVATAGLALAPSLAPSPEPALQYTG SAAIQEKRRRADLELSRYQEDLLAVQGTCLLCKAFGDAWDHAFPTCWRRFEFFEARNR VKDQKQGGGPWITPYHACY LY89DRAFT_683837 MVPLLGHNPNVSLNLFGILVVSPVVNLKAPNVSCKLSFNDIDRY LPLVSNV LY89DRAFT_732557 MSHVEFRFAWEGVLNEVEQLHQYENPERIQYFAEKRMWEKLFGL SRLFPLDDPASPPLRLAPGYAGDKEEREAALAEAEGYLRDINASIDRNRRSLSFCWRP AHLTRDCKSMQRHVSKAHQQKLALYEKSPLWRGCKLQTFFAENRWVRYFVVEEGEAAA RGSSECSFIAGLDSREADFFTQLDEDAAIVGEDAKAEANTVYGFGSHKSAVVPWLRRT GIEEHTRGLKKDEMHTSFAVPKNAESEPELFLMLEVMDEIFTEAHS LY89DRAFT_732558 MIDSSYAQSAALPATSSLPSVSIDVEEGHPSARLNDVANSNERT QNKVESSTGANQVSSVMPKQGGAFGNAENEVDLSTAQAINPKRDGVDGDGASNAGTIN TEQALDDSQESLPGDSMDLSSDYEGFGRLGSKEDIA LY89DRAFT_28511 MPFPSSQYRPLSQSLPSNLRPSRSQIPADIPAPSPVLCLSVSPV DSILLLRIHDSSSPPNTLSRRHEVENIESRLNVPNIHIVVGLLVVGIPYGVTSANSLL FFLAAVLQTDSAMATRWFCAVLMHMLLGPTTEEWDTMKGNLAEMLLEHCCTGGLRRIT SKTWVRFRFSSNVMAGFPSKVFSLPKAPTILNYRTEIQLRMASRVSG LY89DRAFT_732559 MADAEKISSPEVSVPERKSLGRRKESVAAINANVTGEIKNPLIG IPKEQLIQDVEEFATENNLTEITPWLIKGALVAQSPHHVDNIHELDAEDVRVLHEETT HRWKHPRILYVTIILNSIAAAIQGWDQTGSNGANLTFAIAMNISDDPTYCSTPSICNT NSWIVGFVNSAPYITICLFAAWISDPLNHYLGRRGTIFLAAIFSLLAPIGSGFTQKWG QLVACRVLLGLGMGLKEVTVPVYSAEAAPTNIRGGLVMSWQVWTAFGIFLGTCANLVF ANSGHIAWRLQFASAFVPAVPLILGIWFCPESPRWLLKKKRVGKAYESLLRLRNTPLQ AARDLYFIHAQLVYEDVLLEEKGLSKNSNMVTRFIELFTIPRLRRATQASGVVMIAQQ MCGINIIAFYSSTIFSESGASNIGALLASFGFGLVNFVFAWPAIWTIDTFGRRGLLLF TFPNMCWSLLAAGMCYFIPQSSRAHLGLVTFFIYIYDAFYSPGEGPVPFTYSAEVFPL SHREVGMSWAVATNNFWATVVSLTFPRQLKAFGVSGAFGFYAAMNMIAFGMIFLFLYE TKQRTLEELDYVFGIPIRTHSKYQLTKVLPWWIKRWLLMRKNTPPCEELYKFEDEVWV ESDRDESKGVSSGVETGHKEMVIFYLQLIMQLQSLLLTLLVAGSASAGLNPPAWKFPI FHYAKTSTCKFGNCAAVCPNGAEYDCCVNYLENPCTTPSKRATIEGDTIQI LY89DRAFT_28129 MKLSILFSIISLVAGEIGTGAGPSQDVAIYQTANQHPNATGSVS LRGVNMTNIPDIASPVSWTASLNVTEVADIPGANGSVITNSVISLDSDGAFIANSSWD TCVIVMESVALNATVKGQKDNGNCSATFGDECVLAWTGAISLARAVAAASSNQRSGAC GGMAFPQIPGACMGSLDSGFIATNITTTNNTSGGLFLYTTDELHDPANLTFYETAATR IWPILVVQSPSHDFEGGSGIISPSMRCLRAQNSTEGSTPIGDVPGVGSRFDFGVWKMS IVLMAMALVL LY89DRAFT_732561 MASNPQPGGNNNVSIAANKISTNGWTRKERPCDSCRRRKSRCII PQDAETCIMCQSRGEQCTFVQNPQRRKRRKVEEDSTGSPDTSKPRSPESERNVHAKPP IDDYDSLPGPSLLKQTLGLQNRQHGHYLGQTSEYDTRLINLSPFNGRGEYVSTPGTLR RVSPQTHFIMKSESQTEVDDELANLDLVESIVQPHGRALVDLYFRIIHPSFPIMDKKV FLEKYARTHREFTPPVLAAVYILALNWWSYSPELVNLQKPDIQKLEKLVPQMMSVVLN RPKLSTVQAGLLLLQRPDGDSWALTGQLVAVAQNLGLHLDCSRWRIPEWERSLRKRLA WALFMQDKWGALIHGRPPLIHLDDWSVKPLETRDFPETEDEAEEEGSSDIETGRLTFV HMISLTEILTEILATFFTVRATANLSSEREKAMIVTLEKAKPIQLRLKDWHSKLPQSL AITQTRARKLSPTGSLHLAYFATEVTLHRAIIRFDSTYMDANIRSITRSAAKARFTSA IDLVSKLEPAHLQCFWYFCSRVNLAIIGTFGSLLWATSDNSEEAEFYKAQLAEYRWTL RVSSKAAEFMKFTVGMLDASPVFMKENGSKTTTPSMPRDHSQDSYPSEGHATLIGQTI QDFDQNLSVKNSPSVATSTENMYQYDQDSGFNMSTDHRPSWSTFANNVNFTAGDVQDW SLDQLYNFENFQVAEEMFASRRFIEEYDETGNNFAGF LY89DRAFT_696382 MTEHNMHAAEIHELALEAEVRNDPPRDPEKLNTDIGFSAPRGSA PHGKAIGIRRYSDRTYSETPDDEEPSEEEKTTLRRIGENLPISAWLVAIVELSERFTF YGAQGLFQNYIQRPLDGSLGRGALGQKHQTATALNTFFSLWCYVTPILGAIVADQYLG KYKTIVVFAGVYIMGLLILLLTSLPVSLENGAGLGGFIAAILVIGIGTGGVKANVSPL IADQYTRKRMAVKTLNTGERVIIDPAVTIQRIYLVFYCCINVGSLSLLATPYMERDIG FWSAYLLCLCMFMTGFVCLVLGRKKYIVRPPQGSIITDAFKAIGIMVKYRNMDAPKPS YQEEFGRKHATPWNDLFIDELKRALQACKIFLFYPIYWVVYSQFSGNFVSQAAQMNGH GIPNDLMQNFDPIAILFFAPIMDRLVYPMLQKYHIKFRPITRISFGFVVASMSMLYAA VLQHFIYQAGPCYGHPLQCPAALVDTKVARPNNIHIAIQTPAYMFIGISEIFASVTGL EYAYMKAPPSMKSFVQSMYLLTNAFGYAIGEAFTPLVGDPDILWLFTGLCVGSLFVGC LFWVLFHKYDAMEDAVNSLDAKHDELLNKNALNDATMDDERH LY89DRAFT_732563 MKIALLTIVFTLFSLSIAHVIPVGTQLSPAPVAIRGETSEIAPI SAQEEVEARDSCGSSPYQQPCNAGNKIGSGKVIMGALGVMVAGLLLRTFVRHDEWWND TMKSVGLGQMTGRALWSRNEC LY89DRAFT_696383 MAPNDDDEDYGFSSGDEAELIALSQSASTKRKSSAELPPSKRIA LQYQSANKALTENFGMKEFRLQQKQVISRILSGKSAAVVFPTGGGKSLCFQVPALAFA EEDELAGTRAEGEHGITLVVSPLIALMKDQTDALLRRGIKAATFDSTKSREEYLATCE MLRNGELKLLYCAPERLNNEGFIEQMKHVRGGIRLLAVDEAHCISEWGHAFRPDYLKI ARFAEEICAERVICLTATATPRVATDICETFGIDESSGLFRTSTFRPNLQLLAESGVS KKELHPKLFKFLKSNPGSSIVYVTLQKDTEQLAVELRRKGFNARPFHAGMGAPEKAEL QDDFMRSKDLIIVATIAFGMGIDKASIRNVIHFNIPSSLESYSQEIGRAGRDGKLSKC MFYVCGEDLHLREMFARGDLPTRAAIRGVLQEIFTPMNTSQPIGNQLQFSHYSQERDF DIRSTTLKNIYAQLELTHHLIRATTPIYSKYTWKPGPGFQSTLNSDKSPAGVAIKNHA KYGKSLYSFDMDMAVASSHLPRGDILKKLQTFHDNGDLELKPAGVMSVYKILSRLPKT APEVEILVDVLYATFQKREEEALARTDEMLQLITAKACFSKSLAQHFGDDLLDGKTEC GQCTWCTTRKAVEQRLPPPVPFNHSAWNAVLDEIEGRDDPRLLAKVAFGIGSPKISYE LKLSKHGVFGSMDDHNFLDLLRAAESACSNPKTSRPKPKLAPKTTTQTKSSSSKPAGK TSKSAGRRSTPK LY89DRAFT_732565 MPLGFVDLPVLYTQSSTQNEPYNSYATATNQVQVASNGNTYVGF RNLKSFRFLGIPYANPPQRFVYSTPSTATGQTINATAYGSQCAQASSGSENCLFVNIQ TPYIPKQGDMSDLRPVMFWIHGGGFTGGSGADSLSDGGNLASREDIVTVTINYRLSTL GFLAIPGTNITGNYGIGDQITALQWVVKNIASFGVDPTQITINGESAGAGSVRTLLGS PPAIGMYQGAVAMSNLGGGVDLGINGDYATTYSLYYTVNQSYAVAGQNIFDAAGCNQT ALQAQIACLKAVPALTLVELPSVARYVVQDGYYVNTEELIVNQRNPGTAHVPVIFGNV ENDGASFSTYPKTPVTSELAGIQASLGISAAYAQSIINSGLFPYYDTGNVTLDSFNVS QRVATDIQFRCVDQATMFAASQSGAFQSSYYYQMDRTINGYDPNNLGGAPVTPGYPNG NPELPYFKLHGSDMPWVFGTLSTLRDANDLYSEQLVSGYFAEFVKSGQPNPSLAYLTT RGYTKSIRAIQDTGPWQEVSSMQGPMKLMDYPALTSTFLDIPQCAFLNYSLSYYVDGG K LY89DRAFT_683848 MMTGDKERSLESANLQEEEDAQSSDSLDFAPYHDDPEEPETDSG KKRSPLPKDEDLKVPKSRRTIIYKIVISLVTVILCFLIIFTVLSTTRERRNEQQKQKP TTSPRPPPSRDFALNFPEQYPALDSKTSSAECQEAWQALTEIPCHDAIWTLNWDSGVP QLLGPSLDRFVPLICDARCASALEAAKGNIQSACSKEDTFAVEGYKGRFNLELLESGP LDVLDVLVERQIHTCRKSPAGDAERGFCMTDLSSRWGIVDGFRANATQGLRLFLAGTN SRKTEPAGRHRGRQGSGGWSENYNYWREERKFGPGRGETTCSWCTLEWLNGKLPMWKE HMLSLDGKFMSLPEFLRMWEDAGKRCASDRFMEAYHSAVQAYIDQGVLDPDWETKASG DIPYLMQHGPTSGDYPARDVDSILETMKVYRSRTENINPTANARIKHQATVNQYIGCL ESFNFAVQDLQCYPFLSKDQMSEYVLCDIHTAQRSCSASCLASIDAFRRKMYSDCPGA FTGHRYSQSLKFVGKDVASFVEDFFGRESTISSFETICRPADNWGSRRGPPCAAVFAQ WGRQDWVFQESKPEMKDLIATTRRLVSELPEMPPQLKAWKHPGRKLTVEEEQISKYLI TWRKKMEQGVCSDCMWRNFVEKSTWFHGMLPRGTSEDVAIEWIKTAHHLREECAARGR SLQEFELKAVDEMWKKTYSEEAWDKAFNEGQPLPAWATGREELV LY89DRAFT_582833 SYLCGALISQDITLIPVERWASNVDFVSDIKLFKNPTNGFDTYA NYAVFLCAKCVTYLKNCEGGARETAVTRWTELLDLLDDWYTQRTDEMRPILTIPAVQG EEHSPFPTVLYGNGPAG LY89DRAFT_745783 MLSKIKQIIDHQTRPPNALLSQAYAIIQADADSLDGARDLHPDL KAILFNAEAAKFELKITRLNSEIDTLKQKLHVQSTKGVQTVSEIEARVNRRNQTIAHL QSRITTLATASKNANTDLENSKRDSKEKVVEISKLKEFGAATEKSLSTLRVEHDELRT KASEDRAALELKTAELNTRNASLTDEQARVGRRNATIRALHSDKRDLMRLADKVPSLE SKLTTVESDFKETKEQLVDLQANLREQEDRNVDLEQRIADFEQESSEANDEIEKLNGQ IDDLTEQLRVAVADLGQLKRDSGKEAIDLKEKLNAESRKNETLDNEVARLDKKLKDDV AAHASATSTFTDRITDLEQTVSNLTLEKNRFRDSCEELQKNLKESRALCQLQRYKAWD LKGDLICERAAATYLRSRFDQTTSSLESITLKLSFWCFVRMGTIQHSNLSKSN LY89DRAFT_28634 MIEDFDKTWHMAEILRTVHRRVIEAIIDGTIGYRYHTDPEFGKL FPPEDDLAGIYLNTLVCERDGKGLSTNDWERLQKLMTEYVDGLRERGEHADTCSYMDG EGHKIGPNSSTQQRQAAVDAAKIYYKFCKKRNETWQKILADANNGIRPLVQKSGGRQF CEMMSNRIRKDLDPSGDIRQLQMPVQIGCSESLKKRMSDYSPKSRMTSTPDTWRLTVS CLEFLSIKMVHIGVPIVKVWEQEMLPLGEIFFTLISGSMIQWGGFNPVAGGARRGFDE GRDTSDEKKQAIIDQPWASENLDHSTTVISRRVKALQSAADLLESDEVDTNMERRLED GKAILHRRTSLEKRAEQAVDEVNAATKALQDHNSRLADEVTRKQVYLNFGNDIASWLG GSVMKPVDEANSDVNGSGPES LY89DRAFT_732569 MDLSGIPALPDEDGIDLNLGNGKDEDEYLIDYTDDEGPSLKTLK DAHAPQLDKALDAIIDESAATLDEIFDESEAYFKEKSLDQVIDESKAYFEGQWFTSKG QKLKTPIAEVDCSQCQRKGHTSRHCSAHLSNGHVSRNCCILCNMRSHRTADCPRLRDG SENRVKRSTAYLYLVVSRDGKPPARMPWYPWHLDFERWKRREANGNLLRPQTPNFAME NYDQEEMYQGLDERVSDPFWDREQDDWTVEDYDQHCGPFFELSRYPSGVPRPPLPPLP NPPAPKKPKKMKAADAPLSETGETAPELTKMVNPFVPKAEKVTRGVNKAGKANPFMPK ADNVNHQSRSRYASQGPPRNWFNGDRREDPPFYDADPSRLEPRGRKRDRQDDDSDRNV KRQAQSRNGAPVSDHLRPENLENLENRRLWRRIDPNLRPFLWDVIVGQVEDAKRLKIQ PPNETPSPPRRSDDRSRSSHPRPPYVLVDRSKSSTNATPLGPRGNPSHSRPPPRRRRD SDESRRSRDDLDYGEPPRRSGPGGNGGADAPPRPPYEE LY89DRAFT_780994 MRLWMLQTNTTRIMHRVCVPHRALAYLLPPLYEIYRLELCIATT STLQTTPPRSLQAIISHQLCKRHLLERCKPSHHIYSANNTSSNSTYYIVMNSFVGGGL PWEMENIDQLDAVKQSQFCGWIRSWYGGNWKTTLWVAAIMDQASAFILP LY89DRAFT_706556 MTICAIEFVLKRCTELNDRVLKTFRCLIADLCEQFRGGHPGSAM GMAAIGIALWKYMMKYSPTNPDFFNRDRFVLSNGHTCLFQYTFLHLVGYEHMTFEQLK TYHSSRTDSFCPGHPEIEHPGIELTTGPLGQGVANAVGLAMATKHLAATYNRPEYPVV NNITWCMIGDACLQEGVALEAISLAGHWKLNNLVMIYDNNQITCDGSVDLCNNEDINA KMLACGWNVINVPNGNHDIEGIVRALVSARNSKDKPTLVNIRTVIGIGSKSAGDAKAH GAAFGAEDVASIKRKFGMDETKHFQVSDEVYDFFREAKPRGRQLEAEWNNLVDSYAQT YPELHKEFSLRVAGKCTDDWTKFIPAKSDLPTSPIASRKSSGLVCNPLAQNIKNFMVG TADLSPSVNMIWKGKIDFQHPDRRTSCGIHGDYSGRYIHWGVREHAMASISNGLAAFN KGTIIPVTSSFFMFYTYAAPGIRMGALQRLQQIHIATHDSIGTGEDGPTHQPIELAAL YRAMPNLLYIRPADGEETAGAYIAAMKATDTPSMISLSRQDLEQYPQHSSRDGVQQGA YVLMEKANADVTLIGVGAEMVFAVKTAQLLEDKFGLKARVVSFPCQRLFDQQPIEYKR EVLQYRSNAPRVVVEAYAAQGWERYADAGYSMHSFGHSLPGKDAYRYFRFDENLIAPK VAELVKGVRSNGIESLRAAGHTLSHSICDLEDQSKTVFQ LY89DRAFT_28812 MFHLRAKIFLTIYSRVLSSYSFQRFCHSSIVLSFSCSPWLHQVF CKPFLYFQARDFSSGKEASALREYLGTCAIIGQFSYNMTFRQISSKVLHLPFNFQPPD RYCREFELSQVAIGLMRDTYKGANVAQLQTHTISPLYTKWRLKDTTPIVLTLPPWESL SNLNSPAKLPRIAF LY89DRAFT_28817 MPNLENAAPSNPAWYPPEDDDVVPQLPPFYSPRPIPVSRAISEL DLNSLHITHPAFGQDPRSSNSHADHDSDHESSLQSPLESDHELPGLVSPQFTPPSTPG NGTQTPSHPHQHIPRSVPVDSSIPSGGCGTKKPQLLPQLPDVNCVVRARIPTTIGGSG TEMFLHLYQNSEDNKEHLAIVFGNTIRSKSLDRVREGETEMDRLIRGAYTGRLYPGRT SSKADSANGNGARAAERNDPPLVRIHSECYTGETVWSARCDCGEQLDEAARLMSLPGS GGGVIIYLRQEGRGIGLGEKLKAYNLQDLGNDTVEANLLLRHPADARSYGLATAMLAD LGLQDIRLLTNNPDKVRAVEGPNREIVVKERVAMIPLAWRGAKGGIRSQEVGGYLKTK IEKMGHMLQMNEGS LY89DRAFT_683852 MAEPEHGLARHGSTVEERDEELLAELGYKQELRRDWGLMHNFGI SFSIISVITGITTLFQYGLITGGPGVMSVGWIVVSFFTLIVALGMAEIVSAIPTAGGP YYWAAILAPPKHSAYASWITGWFNFLGQVAVTTGISFGLAGLISTTATVKSSYVPTAG RTIGIYAAILISHGTVNTFGVHILRYLNNTSIALHSLGVTAIAIAVLAKAPTHQSAKF VFASFYDGTGDPGWSVRASPAYLAVCGCLMSQYTLTGFDASAHLSEETRKASWSAPIG VISSVGFSAVFGFFVLISFLFSIQNFDNTVGSSYEQPVLQIFVDVFGDDGAVVLMCLI MICVWHCGLFSMTSNSRMMFAFSRDGGIPHFFHKVDDRFRSPIRTVWLAAFLAFCLAL PSLGSSVAFAAATSIATIGLYISYGIPILIGLIYASDFNARKGPFNLRMLSRPVAFIG VGWIAFITIIFCLPTTNPVTSQTLNYTVVAVGIIAVFSNAVWLLSARKWFVGPRREVE EVEALGGGVDLMEPGALERAEEKMNGKKE LY89DRAFT_717528 MQIPLMRLQCGVNSYDWGKVGKDSAAAKFAAATPADDFSIQEDK PYAELWMGTHPSNPSKDVTTKRTLLDLVQDNQALLSTEIGEKFGHKLPFLFKVLSIGK ALSIQAHPNKKLAEKLHAKDSKNYPDDNHKPEMTIAITPFDGLCGFRPLAEISHFLST VPSLKKLVGEGESDAFISAVKGHDTSDKEEDETANKKALQQAFAGLMNSKKEDVQSAS KELVASAEKEGPEFAGGGVSATPGKELADLVVRLNKQFPEDIGLFVFFFLNYVKLEVG EAMFLKADDIHAYLSGDIIECMAASDNVVRAGFTPKFKDVDTLVDMLTYSYAPIEEQK MDPVDYPYVALNATAYSSASSATLYDPPIEEFSVVKTDLKKKGAKATFEQIAGPSIFI CTSGSGKISVGPKVEEVKAGYVYFVGATAEVVLESESEDFTTFKAFCELQGKEDGKEK L LY89DRAFT_28833 MSSQRSRPGRSNARGGSHDIFSPQALWTDFYRNTFAPLMENESR AKQLGEKIIEMEARMKLNKESGNSLKDIDDLSALYREQVKLSEEQRSKLDEGMRSQLT LMADLTRTEQAAAKESARASSSRTSGMDFDGPSDSPMPSPADNRQIKKLGTSRTGSQP PSRPEGTPSEASERTSKPKIVYAQNEEVAFKRKTPGKPEEQDWILGKVVRVIGEGKSR RYDVEDPFPDTNASSGPVIYKSSASQMVPIPPEGAPLEDYEIGKRVLALYPDTTTFYR AEVKAMLDGGANVQLLFEDEAAGELKVVTRRLVLDHKG LY89DRAFT_683855 MGGQLSKMMGKIFGSKEMRLLMLGLDAAGKTTILYKLKLNQDVT TIPTVGFNVETVTYKNVKFNVWDVGGQDKIRPLWRHYFSGTQGLIFVIDSSDRDRIEE ARQELHRIINDREMKESLLLVFANKQDIAGAMKPQEVTDQLKLTTLKDKVWYVVPSCA TTGEGLLEGLAWLSNNVKSPPAQAKK LY89DRAFT_717530 MLHSSFFFLVDTKMRVLGEEWRWRRKRGLGGYDYCNCTLVRLCN STQRR LY89DRAFT_583276 MATSNYITKVAIVGAAGNSGKFMTEALLKTGKHTITAITRLDSE SKFPDGVIVKKVDYEKPETLVEALIGNEALVITLSGFVRKESQMQLINAAGEAGVPWI LPNEWSPDTANEALVKDAIPQPPSFHKSQTNIPAVTTRRATSDLAKSSYIAVSTGFWY EWSLAMAAAFGIDFANRAVTFFDEGETKISVSTWPQVGRAVAALLSLPVKSDETACLE NFKNKVVYINSFTVNQREMLESALRVTGTKKEDWSVTKEPAKERYASGLEEIKEGKRI GFAKMMYTRVFFPDGCGDFENEKGTLNEVLGLPKEDIDEATKAAIEWSKSSNWVG LY89DRAFT_745805 MFPGRRPPFHPADRGLGPNVGYQSKVRVIDRYKVIGFISSGTYG RVYKAVGRHGQVGEFAIKKFKPDKEGEQIQYTGISQSAVREMALCSELSHPNIIKLIE IILEDKCIFMVFEYAEHDLLQIIHHHTQPTRHPIPPSTVKSIMFQLLNGCQYLHANWV LHRDLKPANIMVTSGGEVKIGDLGLARLFNKPLHSLFSGDKVVVTIWYRAPELLLGSR HYTPAIDMWAVGCIFAELLSLRPIFKGEEAKMDSKKTVPFQRNQMQKIVDIMGLPAKE KWPHLVNMPEYSQLSTLSASTHPKSGSNLEKWYYSTINSHSATSNPSSNASLGAEGYK LLSGLLEYDPERRLTAQQALQHPFFSTGDKVSSNCFEGVKMEYPHRRVSQDDNDIRTS SLPGTKRSGLPDDSRPVKRLKE LY89DRAFT_28898 MPSAGLRQCIHDVTLYLIYLIFISALGPLQFGFHLAELNAPQDV ITCKKTSVAEHVASTPDSKLPQCIPMSEAEFALLSSMFVLGGFIGAVSSGPLSSQHGR LLAMRITSVFFVLGATVETLAGTVPIMAIGRMLSGVGGGASTVIVPIYISEIAPPHER GLFGSTTQVAINIGILITQTMGYYLSKGSLWRIILGAGAGLGLVQGLGMCFMPESPAW LAAHKQSQKAVRVLQRVRGHSYDISEEINDWDIDKDTTEEERLLIDPETRRRESVTSK ASAANTAHVGFFQIANDPFYRPVLIAVIGIMVSQQFTGINSIMMYSVSLLAGVLPITS SLLTIMISIINLITTIICAPLADKIGRKACLLLSIAGMGSMSLCLAISMRLDLKLLSA ISVLAFVAFFATGLGPVPFMMASELVGTEAVGATQSWALGANYVATFIVAQFFPIINT LLNEKLGGKGWAFFIFTGLAALCFLFVSWRVPETKGKRDPDEVWGRERRID LY89DRAFT_717534 MDPATVTAGAYGIETAVEAGVATYILTQPTLPLKARLHHISSPT TIPRSSHSLSVIGDQAYIFGGYSQDEKITSNNVLELHIDTTDNASTASLKTITAIGEN GNVPRSRVDHTATVARNRVFVFGGRDASAIEENGRLWVFDPLDSKWIFLDPPPHTSFP CARYKHASTASEDGSAVFIHGGCAADGSLWKDTWAFYLDEGKWTRLGDAPGAGRSGAS IACCKGKLWRFGGWDGERVIEGLDVLELPKPHSIELAGTLDDSRREWEVVSVEKEKPQ ARSMAALHYVTTGNGRDYLVLALGEGDAQPGEGKLLQDLWAYQLPSSGLSGAGVKDAI REKIPGVSSHEGEWAPVEVTRIEIGEDEKQGGTWTGRSFFGSSMTGTKQFMLWGGLNE LGEGLGDGWWVKIE LY89DRAFT_781005 MAEPPIVLDGGTGFLKVGYAAQNFPEFQYPSIVGRPILRSEEKG NDGLVIKDIMCGDEAAAARTMLQISYPMENGIVKKWDDMQHLWDYTFYEKMKVDTTGR KILLTEPPMNPLKNREQMCEVMFERYQFGGVYVAIQAVLALYAQGLSSGVVVDSGDGV THIVPVYESVVLNHLTRRLDVAGRDVTRNLIALLLRRGYALNRTADFETVRQIKEKLC YVSYDLELDQRLSEDTTVLVESYTLPDGRVIRVGSERFEAPECLFQPHLVDVEQPGIA EFLFNTIQAADVDVRSSLFKAIVLSGGSSMYPGLPSRLEKELKQLWLTKVLGGNPERL SKFKVRIEDPPRRRHMVFLGGAVLANIMADKENMWISKQEWAEQGSKILEKLGPR LY89DRAFT_683865 MAPYIYKMLLINPNSSEEMTENLEPLITEYPSNTIIDSFTAPRS APKSINNEKDALESANIVFPEILQFIKQQKYDGYLVACYSLHPLVDMIREAVPRGVYV TGIFEASITTALTLTPTPHLKADVKQKTTFGIVTTGTAWEKLLAAGVLRVVGLGEMAH ATRFKGVESTGLNADELHTAPKEVVTTKMKDATKRLVRDNDVRVICLGCAGMSGLDRI VTEALIEELGEKDAKEVYVLDGVKAGIGMLENMFRSLPGSKTEWGLE LY89DRAFT_732584 MTVTEAVKSAVGLSSAPAPATREEMREARLPLAYRDSCANLLIP LNRCRYEEYYLPWKCETERHSYEKCQYEEFKKRVAKMDELRAAKGGERSN LY89DRAFT_668092 MATPAATTAPALPKAHPGERLATFFDNLFKTITAISTLGASLTF SKIVQTPVAPWVDYGISKDTAQTYLGLSWLVFVINLGIVSFATAALSLWRYAAIEWFG TEDSQKRRTVMWYATFVSMVLFALIIVAFIFLGLVIAAYAGPVGWSAIGFTTLAGILG FGVIVWQSPIGSKSIGGPPNEDLFRKDSELYGYSPNRKANAYARKLTGDDTYANVYGD GDGYNEKDAMAVKEQYIDDGFGRRNTLDRSPRFADTPEYTNDLRRMRQIRASDEYRYD GRQ LY89DRAFT_29113 MAASPTVSATCAQESPKLLFEISEEAAAWDGGRDSFQIINSMFA PVGASSPAYVAHQMMLLFIKIGREVAEGHRPWSKPKFHDFYMQWLWNLILYIATKLPS NGTEQERLAHLVLEFGKYFHQVPERVPEKYKRPPLSFMRNRLGRLIDQERIPVFDDHL YQEQWDMIRFDWSEFRTTTQSAYEILRRLDDASVNTTNLHGFLARLTRDGSHNFSRVA IFPLRLVLESQSHPGDRLFYHPVALARNLPHERVPEARTYPAFPFQAETTATSQAPAD HTLIMAEGHFKMAVCWIQIAGKELLYRQWSWNSVHGDPVLCPGLAFKKQHNATFLDRW QFWTKRFGEIARSHYANHQIQQMASDCTDRMSNVSVMALLGPQRQMNKMQDQARDQHS TTKNKYTFEKHLEDIRREQWNLRQVRDDASPQHSIEVQTSHASLVPASGRKDPLKQNA EIHRHSGVPIDKDAAARTSRGSSSPSLARKDSTNLPFFDKDQSFAGLPLSAKSKGSSA PLRTKR LY89DRAFT_582902 MTAEIPSVSNDGGSKRKRVVVVGLGMVGITFVEKLMKMDVKRRE YDVIVIGEEPHLAYNRVGLTSFFEHRDVERLYMQTEAWYKAFPEGSLSYHLNSLVTEI DSEKKFVITAKGDTIECDILVLATGSNAILPKSIVGYDATGVFVYRTIEDLQKLIAFS ATKKGKTGIVVGGGLLGLEAAKAMMDLEEFGKIKVIESFPYVLGRQVDPEGGAMVVEQ VRDLGVDLILGKMVNKFLTDENNGVTGVEFEDGHKVDGACVCVAIGVSPRDELARKSG LECGPRGGIIVGDDLQTSVKDIYAIGECANWANMCYGLYAPGIEMAEILAFNLTDAKL RSPRSLTTPDMSTKLKLLGIGVASFGDAFADQFGPQWLPGKRQDKEIEKTLVKVLTYK DPFNHVYKKYLFTKDGKYLLGGMMVGDTNDYVKLLPMSKQHKQLEMAPGELIIGKPGG EDDANDLPDEAQICSCNNVTRGDITKTIKDGTCKTVNEIKICTKAGTGCGGCIPLVTS IFNKTMIDMGQEVKNHLCSHFAYSRAELFHVVMVKKLDSFEAIMKESGVPGSLGCELC KPAVASILASLYNKPIADPGRRGLQDTNDKFLANIQRNGTFSVIPRVAGGEITPKKLA AIASVAQKYNLYCKITGGQRIDMFGARKQDLLDIWTELIEAGLESGHAYAKSLRTVKS CVGSSWCRFGIGDSVGMAIRIEERYKSIRAPHKIKGGVSGCVRECAEAQNKDFGLIAT EKGFNIFVGGNGGAKPRHSELLAIDVPVDEVIPILDRYLIFYIRTADKLQRTARWIES LPGGIKYLRQVILEDKLGICAALEKQMEELVSTFFCEWTEAVKSPERRKQFQQFANTE ENVVDTIEPMVERGQTRPSNWVDESVTKDFKGTKWSALSWQPIVEASKFRDIPTGDSN AVKRGDAQLAIFKVRGKYYCTQQMCPHKRAFVLSDGLIGEEISAEKQKLWVSCPYHKR NFELTGDDAGKCGNDESVNIATFPVEERDDGWVYVKLPPVEELDAVLGTEKWRIKQGD DVKAKELKVKGKKGVLVNGKGREVVIERGVGENGANGIDW LY89DRAFT_643694 MSQRGQQASDSFNGHPTVTFSPNTWQPSPLRPISRTSSRAASRE PVSTTSITNGSAKHANGNGAVKGTPVDGDFSDFDSELDDMEDRRPPLHRPSDGRSHQP LLGKDDDEERGRTGYETSMDGPERPPIFSRRSTMRSRSPDTQAKLATKKKYTYAAFFL GLSLISFVVQTETAVYIQHELGWNKAYCMLYFTHGSWSLLWPFQLLILRLQKWKMPWD TFWRRHKYLVRTTAQMVETQELDVPRNQHSPIPYFIRTTVIITCALTIAGGSWYVAVN MTSPSDLTAIYNCSAFFAYAFSVPILKEKLRIGKSVAVGIAIVGVLVVAYGDAAPTKH GGKSGGSVGGGPADKEASNRLAGNLIIGVGSVLYGFYEVLYKRMACPPEGTSPGRGMV FANTVGTLIGCFTLCVLWIPLPILHYTGLEIFELPRGEAAWLLLISVLANATFSGSFL VLISLTSPVLSSVAALLTIFLVAVVDWLWTGVPLGPAAIVGGLFIIFAFLMLSWSTYR EMAEERRKRQIDISDDDSDKGDD LY89DRAFT_683870 MNVMNRKQIRQRRDYLYRRAVTLRDAEIAEKRSKLRASLASGKS LDPAIANDKKLREDYKYDESKADRTANEELDLDDEYAQLSGIVDPRVLVTTSRDPSTR LSAFAKEIRLLLPTSIRLNRGNLILPNLVQSAQASGLSDILLLHEHRGVPTALTLSHF PHGPTVSFSLHNVVLRHDIPNSSRGTVSESYPHLIFEGFTSKLGLRIVKVLKHIFPPR EAITNKTKLGSRVVTFKNIEDSIEVRHHVFVKTGYQSVELAEVGPRMTMRCFEIRGGT LENKDGDVEWRLNQYTRTSRKKDYL LY89DRAFT_683872 MEGAFTHLGNHLISDSAAAINAGADDLSNIDPDESLLYGQYGGR GGLGPTRRRNDDDDNETEVFDEDDAESMASMPLDGMKTLGLRGVEDEKELPAHACA LY89DRAFT_781014 MSDKLNRAQGPVTRTTRSKMASISKTINATSSESSKCPKLRWTS FGNSAAEQESLPPPQSSQAPQSSPDYANADIPSALAAINLMAIAYAKNNPHLCHELQN LSHQVQVLETNLPGGVEAGWIRSELSEATKRSLTWARVRLIELMVDILQVLDRDEQGS LQRFLSYVKELGNKLK LY89DRAFT_732592 MDNIKRGREDKNREKAARRAANKKEEREKKEREERELEEHKLQK QAQKERKKEKEERARVERETEPRFEIKSIDSAHDTDAQSVPKDNNQHNMVEELSAVSI DTDNGKGNETTHEQSSSPLKTGMFASETLPKSAEIFREMPLIVFMEKTLNIEAAFNTL TDEKKRQYLAMKKKCDCPSEDNCTESDVIKVWNAVALLSFQSPTNASQAQVLEYTSQI NHSCLPNASIFWNGDHEAVLYATQRILKGQQITRNYNPGIVGSTKVRREKLMEMYGFY CMCKACKENRYLSTEEIEKAMSVNTQPKETTQTLNPLSDKEVKTFEAVVAWSLDLTDN LAIFVKDLKEQITQQCVYHFVEKPGDDTRDQSLAQFQRGFKKYFKSFANPYNLNNEVR NQYMDTVCGDLEAFRDEREDFVNRVLGTKVTTLNVKDGSSAAKRALKNDIDKIYDIYK IIHEGMVVSEVVIDEEK LY89DRAFT_683874 MRVFVTGSTGFVGEAVVKELLSAGHTVLGLTRSDKGVEQLKSQG AEAVNGTIEDLEVLKKGASQCDAVIHLAFVHNFTDFVGSCATDRAAITAMGSVLAAAG GDRALVITSGTMMLEKGKLGDEDDGPDMTNPMGAARGASEPVCLHFAKQGVRASVVRL PPTTHGYGQSGFMGPFVSVALQKGVSAYVGDGQNRWCAGHRDDAAKLFRLAMEKAKPG SIFHAVGEESVMLKDIAAEVGKQLNIPVVSISTEKVEDHFGWFVFGAGADNPASSAKT KERLGWTPTGLTVIEDVPNIVKYMKSQEA LY89DRAFT_643704 MVSGDLPVEKKQPCKRKSRFGCRNCKLRKLKCDETKPHCVKCHT YGLQCNFGFAVPDLQLLSEVRTKQEVVRRFELSSPRATISNAIWTNDTSTYFMLDLQD QELFNRFRYRTLYSLGGPEMVAIYENHMLAVCFARPFLMHGTLAVTAVHDRYLGISAT RRRSLRESYHWSQCTVMFNKWLSQPIKEENKDPIWATAGILGILTFSSINACLSEEVW PLGASDSSDLEWLRLGTGKMALWHVVNPLRPESVFRTMSETFSRMRQPLPARGTEGVS VELLQLCGIDESSTGDNNPYFTVAHSLSRLLEVPKNDISLGPVMMILRHMHNDFGSCL KRKDPVALLLLCLWYTRARESKWWIDLRARHELPAICAYLQRNHKDNKAILALIPWRK LY89DRAFT_781018 MSNEFFQIKNHPIADYGKGVFARKTLLPGAIILKEQPLCRAGKS DASKVDAYNALDNSDSARFLTLHGTCCCTDPARCNETRITKIWHINAFAHKNGSYVYH QASNFNHACLPNAFWAIEDEATITITVIRKIEEGEEITIPYFDTIGTTEERIKCTRDL WNFTCGCSACKSGIEITNRMCEEWSKADW LY89DRAFT_683877 MPPPSEDEPQVGVQRFGSESDFEEKNVEKDSDSSPSQSASSSPP HEDFFSLCHPDLKIEIVQRDAAKMLEEVQTILADGETSHGIPTTSDQIERLHQAEGTL NKLLSKTCPSLSSKLNMLALASGVSSCDPVFEIPLGREVNSKATPPPNTPQVSTQDLE DSMASIALSTEEVATTPVQELMDERKRFAVTMQEYAIRLETGRLKGFVEYVTSIVHPM TDVFAEFDRFMRTVEMA LY89DRAFT_732597 MGNDQRCDSAISAVNSDASETRFESDEIEDFRSDRQPGKDASLK RPLRLSNAHRRRVSEASINGKSTNSGPVKSGKPTDASSSNTSTVVADFDVSFDFSPTE YGSATTPLFSPTEVNCICCGIPHPPLSHDDQFPEKCWEHQACLARGGWKKHLERVPHT RCPLSDCVKAGADFKTQEKFLQHWFKRHDEKCWYWGKKKGFFGSETAVWLQWKGR LY89DRAFT_29384 MIDVWSSTGVRLPRQMPTLEEMPLPMDDVGFLSLKDGDPPPPSF ANNTTTASSSLLAEMIKLSRILLEINSLNERTVSGATSGAILENEVMDLSNQLDGWHA SLPPFMHDTLSNVQRFASQGLGRMFVAVYLGYYHFGQLLFYQFLHGDCHSTVLSAHFY ANKCKAYAKSLCEIIYASHSVPNCDVQYTMCGHILVIASTVQIHTLLFSDKEAQIVAA RQRLERNFEILSQLRMYWPTLDICFTRLQAFHKACRNSMDESFRLDQWMLRFLSEFAQ PVDDRIVDGFAPMKVWSLDNIGCSPENLP LY89DRAFT_683879 MRVSTACQACRSRRRKCDTPHFGAPCNYCSERGIQCTRDTSTTL PSSKNNKSIVSSGLQLRDIAAQSSQSFQDALPPLPICLELVNLYFDFIHDQFHSLFHR PSMIEDVEKGVASPVIVLAMLALSARFSTNSFFSSINPRERSDDFARESSRLLNLRDV SLSTVQACVLLGAFSITRGEAHA LY89DRAFT_696404 MASTMHLALVLALFTGQAISVNNGLARTPQMGWNNWNALGCDVS ESLLLDTSKKLVDSGLRDVGYNYVVLDDCWSDGRDEDGFLKDDLEKFPSGMAAVADEI HRQGLLFGMYSSAGEMTCARYEGSLDWEDNDAKSFANWGVDYLKYDNCYHMGRFGTPK ISFDRYKVMWDAINGTGRPMLYSLCNWGEDYTHTWGMSIANSWRMSGDIYDSFTRPDD LCSCTDPSNPLCVAPGSHSWRMNDLDMLEVGNGGMTDEEYKAHFSMWAALKSPLLMGN DLRTLSASALTILNNPAVIAVSQDPEGRSVARVRREVNAAKDKYGVGEIQVWSGSLYG GDQVVILLNAAGEDAHISASLEEIFLHDGPEGSAPQVNGDWEVYDLWANRMDNKLAQK ILDAPVDKLERLFKEANWYNSTEVSYKDGLKRSDPRLLGKNVDKISAGGSFSAKVKRH SAEMYRLRSVGNGGKRKVHAKEEL LY89DRAFT_614318 MEKNFVDLTKEVTPSRLELEDVNLDLKMQGSNLNLAAKDGTDLE HHLAPWQAIKAYPMAIFWAVLVSMCVVMEGYDTILIGNFYAYPTFAKKYGTYFPGVGY QLTAAWQAGLGNASGVGAFFGVLLNGYLVGVFGQKRVLLGSLIALSGLIFITFFAPNI IALTIGEVLCGLPWGVFASAAPAYASEVLPLSLRVYLTSYTNMCFIIGQLIAAGVLDG CLSITNEWSYRIPFAIQWTWPAFLFPILLFAPESPWHLVRKGRLDEAEHSLKRLVRAS SGIDTKHTLAVIVHTNNLEGELSTGTAYVDCFKGPELRRTEIACVAFAGQILSGSSFA YNSTYFFQQVGLNTSTTYKLNTGGTAMALFGTLVAWFALMPYLGRRTIYLWGMFTMSA ILFTIGVLNVKTNEHGIGMTQAVLTLLWTLTFQLSAGQLGWALPAEMGSTRLRQKTVC LARNAYYIISLISGVLEPYFMNPTEWNLKGYTGFIWSATAFATFVWAYYRLPETKGRT FEDLDILFAKKVPARKFKGYQVDAFE LY89DRAFT_696406 MFSLKGRTALVTGGARGCGLAFAEGLAEAGADVAIFDVIPPVEA FFEIEKKFGVKTKHYHVDVSSEASLKSGFADFVKDFDGKLDICVPCAGINRNLGFLDT SFEEHQKLIGVNVMGVYHTAQLAAKQMIANRTKKGSIVLVASIASYMAIRSQMSSAYC GTKGAVRAMCPAIAAELVKYGIRVNSISPGYVRTEMTAPFPHLLESWKDEIMNGRVAE PEDIRGGCVFLASFRDEPVTTNGNGVHHNDMMNGSDENHVLVIGAGPAGLMLASNLVR FGIKTKILDDRPDKTSTGRADGLQPKTIETFKQLGLADPLLRRGARIYDICFWSGSAA SPLRRIGREIHYPPGVVDVQDPFILLVHQGMVEEVFLDDLSVRGVEVMRSSQFTRYST PEKVGVQVEYDDIETGQTKTVKGRYMVGCDGAHSRVRKSMLLSEFEGENTKSAWGVLD GVIDTDFPDLWSKAVIHSETEGSILCIPREKNMTRLYIELHPGTAPLSNEAATEEFVM QRAKEIMKPFKLSWKTVEWFGIYKVGQRVAKRFSDDSERVFIAGDAAHSHSPKAAQGM NVSMHDAFNLSWKLNLVVRGLASPNLLSTYEQERRKIAQDLIDFDYGHANAFAAGDPK LLAENFAKNIRFISGVGAEYSYNLLNLPDKLPRGGLSPGCLLVPAKVSRYVDANPINL QLDIPMLGQFRLFFFTHNVKTASAFLSHVCQEVASERTVLGRASAAAAASYADLAPPA TEIDMFMQPARYTPVSKIFTYAIVTTMPKARVEIADLPQVLQDSRWTFYLDNLMRMPS CTEKWLGPLMESEVAVINVRPDGSFVHISCSTIFEHRF LY89DRAFT_643717 MGSTEPTTSPTAVQPAPLIKEFRCVWGNCTKSFSRAEHLHRHAL NHDESRANLTCERCSAVFKRKDLIDRHMARHREKDEEAGGEGLGRLQTRKRLWRDSNG AVVAKRRPELEKKRRTSSTSRNRNSSTGQTNEGDPGTYTQQQDHVPLSPPGSSQDHQS NGPDSAELPGKSTLPQTEELWPLSMGDVSLLDQAGAMAEPYDFLCNASWGSHFQESAN SDLLYNDLFAPDTASSFNHPFTTMNYYNWLLGNENWPVSTFDASNGVELSKLPMGVST APQPPRSTASLSVSDSSGEYGSSGSYYDVSSHNFKLPAASSNTSSSSYSGEASTPETS AANQILAMSQMAESNFAASLGYQDANIPRQQPQVQTIESPNGMPTPTTSRSSFESGRS KQSRKLPAINEAARQGILELVSRAQPKAPDETAITTEHDLLQLPVLQEYCDLYFKKFN TAYPLLHQATFEPSHVDPLLLASVLLLGATYSDKESHLFAICIHDTMRAQIFGSIAFN TRPELWMLQTILLVECFGKSRAGQRQHDMAHLFHGLLINLIRRSDCQSARCAPFDDND RIDIDRRWRTEVEAEQKRRLALLCFMWDTQHAVLFSQSLCMNAAELKLTLPWNEALWK AETPEEWYAVHAMEKPQPQYLAVLKTYFVPVPEGRALSLNALSRVLMLHGIMSVAWDL NRRDQTSLGLVTTGKEESWQSRISRSYDTWKGDFDAYSKTIMLSLDDNELEKSEFQKF CVATLAVYHASHIILQVEINDLQIYAGASHIIGRPVTKVDRERSKARIERWVKHSSIS AAKAGSHAARILRDGIRKLKDWDAGDYFHYPWCLYLATLTCWAFQMCSKTAEGALAEG NGSDTEEDSDWDARAEMSALVSAMTRSNLEDLWRVAGRYRTGDLPRVMSKHLSTVRWA VVQEGMKVLNGLVGEAR LY89DRAFT_30073 MMDGRLYRDQRSMVGILLKYLSRDMIYEYTYVIQVCVCTAVPTL TVLWLSFDSLVISAEQVLGFFRFIESAQSLFGFLLSFRHWILVNIHCHLTFCYQYIPC CQ LY89DRAFT_30072 MFEHFTFGAQAQTSYIQHENDEAFPSPTDCSFRLATPPPTSFFE GEVEVSSRGGINDLVNKLSTQSLRPDDDEQPQRSIWTSTLPSPDFSTDTDNDMMPDFT PEELSYISTGRGKVTLPSLHSSSVPNLSSITNPRNGTVACRRLQRQLNVQLQTCSSHV KDISALVEDMIETSSQCRLHTSPSTRPFVTEPLELDTEEEDPSPLQQLQQQLPEDEGF AEMEDEDLDEEMTLRRASTPSGIRKWNVVRYRASGECIGGPPELAWMGRRKVRCVPRM RRRKPKTVAE LY89DRAFT_668111 MGDVREPETPRVKTALMQTIRVVNIKKPTPPPKRPYVDEKEPPS TASSSKSSFTFRVPRAPRSAPPIPRIRQSIITFSFGSKAPSLNSSLPPAEQLIFGLRR KQFYAYLGASIVLFLVSVIIGLAVLSGASKPFVPPFAGIAASTLFLPNTTQQTAALFF QDPSTNGLNLRISPDVDSLPFSPPQRLNLMKDEFPTQNLSLAATSFASMNGFGSVFHQ LFYVMDASIMVMNLSCSSISPARCEIISNNMISNSLLPTMAPDSGIAAVYLEAEMGWK VFYHNDAYAISCASYENGTWNTTGIVMGSKAVRGSSIAAAVFRSNIEVLYVDQTMNML FAVESMNGTWMPPTQVTPIQPSTFSPTSSLAISYASKADVLLAYYLGTDSNIYQFVGR EASTLAFPVAISSDFTGRTTPGWTNTPTNVTGGGGGVASIGFEDQLMIFSAEGREVDM SSLNTTSGVFLPSVAI LY89DRAFT_745887 MAPISSDTLSKLKSTFSDIVVLTPESSEFEESLHRWFRPAERNA GAIIYPQSVHDVADILRFAANHEIDIAVCGIGHNGKGNSVEDGLVIDLRKMSSVSVDP IKKTITTEAAVTWSSVYAEAEKHSLAAVGGITPSVGVGGFTLNGGYSWLNGAHGMGCD NLLEVEVVLGDGRIMNCSEHENQDMFWALRGAGSCFGIVTKFVLRAHDQKNMVWAGTL AFEKSQLSGVVDMANTIMSDENNDGKASLCMIWKVLPGTSELGIFAIVWYNGPEDEAR KFFAPLLEMRSKINTAKMVPFSQSGVQAGSAIGRHWRKTSIGASVMAPLDFICLETIL NDMDDFMKKIPDAEQSIIGFEWHNPSATLKVDQTGTAYADRGMHGTMFSVATWTEEEN DEHCRNWCEKIDAFAMKEFQLRQREGGVDETTRTSTGRYPNYDFDGLDPREVYGVNHD RIFALKKKYDPGNWFAVQIEVTSRQQDKATEGTIHRSSRIRTFPKIAKEEVELQLRLQ PFNSYFLCSLHHHDHDKSSSCSRRTQRRHCTLTILCEKFDFVQIHPSETAAPEYPYKR DSQRRPFHCAATQISAVQYEKSENIVVPQSSKHQNQAVDGQQSRQESQSSQRLAPAFL TMQPHHLLFSLLLLTPTMFAEAIPEPDFSPHAEELESAELEERQVAQGGGIAATTLAA TQYPTTSVAGSLFTVDGTTSATWILMTQTFATTALGSWALGPTPGVGSIGLGSIAGTV GKVKSKRAIETAVPVLERDSNIIPGEMEIREG LY89DRAFT_643721 MAKTGIFHHLGTFLIFAAAILLLITTISAPVINDIAILKVMLTN QSDIRNSSVTFGTFGHCVLDVAPASTDQDYCTGKHIGYNPADIMAGIDHTTFTTASTD TTKALTRVMILHPIATGLAFISFLLALGAGFCGAIMAAMVAAVTWLITVVVMACDFAL FGIIKNHVNKDGSGSHAYYSVGMWTILAAMLCLFFGTIVVLFTCLSSRMHRKDNRASK AGDAGYANGTTTTRRRFWQRRSRY LY89DRAFT_745890 MAVELKDEDDVPLAFIFETNEPIFGPNHYIQAITRGEDLKTFRH MFRPPCECEECNQQYKTAINRDTRLPDGQFKQIKRYSSYVADEEAKTQASRAFKKISA DRAYLKEQCYMNGNTILKRWKKLTPSERARHLLLVDNDLYQHQWADVIFSRKFAERLK VFRKAVAEGSVHWHGCIDLTQGRERRPYRNTFLLPYINVEALASDPLRLLNLLHNRTK YSPAEWAPYDTTILAKDWELGTFETAYSSVCIIMHGSEYGKVTRWEESAAHRWDIVGF PRAILTLEAQQKLLAFLRGIVEKLLEGFSRNDTSPQSDRFEKIIGLRSASPGGSSPDE FASTYLNQPFSAPPKFDIEELLSIAQTQLNMHGDNLWLLQTDPRYFRRYAKLLVDGGL ATNLTKTNQYVCTHLELMQRAIQFWSWEGILEATLKLQATYRSFDVEVKVGEALSSQY NHALGSLEALLYDQLQRRIQAIRYTLLHRPGFQSKWNVKYVQRLQSMSVSIGRKVPGE TPADVLYNDRLNFCTFALTDKEDIIIDNHEHTDPRRKDFSMLFAMFDEHLADCHKKKD KLELARLDEILYELFADMSSVHQMLSLVRWHRPHPPTPSIQDLKSSEDGKVWRYIEKQ FFEQSTWRQIEWKDGKWVDHLRPELKECGSKKIAAEQRLATLLKNFMETPKPTGTRFS QTWLDQDASERAALSKFWEGMRARHEGTLRRLGFDASDIHSDLKVLSADRDAEYLAEI EAEKQAILTGIAARETEKEAKKLLKAQGKKVLQTQWGLEQPDPLVTPLSKLKIKRHGV AEQSADQHTTDEAPTAVQLESDATSATEEKICVGVSKRSLLIFRGMFPSNNPEERSRS VDWDAFVNAMGEEPISFVARHSAGGSAYSFEPSVKSKWFGKGKIVFHKPHPVPILDPI ELSTNGKRMAKWFGWSEETFQLREK LY89DRAFT_732611 MGSQPSPLRVLIIGSGSGGLLFGQVLKQAGISCTVFEQDKSFYE RPRDWNFGIYWAQSRLDECLTEDLKPLVKTVQTDPSYVAHEGSVMPVHNGETGELLKN LPAPWSLRLKRKKWLEMISKDVDIKWGKRLKHIETSDDTVTATFEDGTKETGNLLVGC EGAHSFTREYLLGPKEAELLPSPCVASVTITKLSRQASIDLRKLHQRYTITFHPNGTF TWMSIHDCTSEDPGDWTWMLMQTWRSDESTGLEGDNVLPAMYERGKAFGYPFGEVFAT IPPDTKVWHNRLSYWPTKPWEGKGLVTLAGDSAHAMTFHRGQGLNNAISDAADFLVHL RAMKEHTPAELQAAVKKYEAELVPRGNEAVMASYLNTNAVHDWSTMMQSPLFTEGLAK DKKKQEEEAVAAEAAAEAILAEAQA LY89DRAFT_696412 MAQAPQTPASPRITGSELQHPTSSPTVEEQPNEGPTVADDDYED IYDGDSAYGAFSDVTDTASVSSSIMKFREENGRTYHSFGSTEHWGPNDEKAQDQQDIS HHFWSLMLKGELYLAPVENPQRILDVGTGTGIWVIDVAEKHPAADVKGIDVSPIQPMW VPPNARFELDDFNLEWQDEEKYDLIHERELLGSVPDWPKFYERCFRALKPGGWIDCSE PGLYFESFYDTLGPDHPYKLWGTAMLEAGNNAGMSFDVGPYIKQRLEDAGFVNVVEKK VCCTIGKWSNDTWEREVGVWEQLRLETGVQDFCERRFINNLGWTAEEVAVFAAKMRAA VKNNKLLAHHWL LY89DRAFT_732613 MEPSSSAARALTARTVHLKLYPTPRTFPERREVLRVLERFGEVS MFRSLKYNRKNHNPVHNAFLALFTTSSSATDLLNASPLRYRLVTSPSEPSSPDPQTTT FSLNASPTTWDHQAGLTGSQNPLHGPFRPISPRYSYVGSSLERVVPESLWSRGLLDWE TDKGEEVVDGKVDGVRMSVSGEGIGRRVAGLQNWDAGKIGEGKRPEIMKGLRRFLESR EGIRGEKGTEGREG LY89DRAFT_583474 MDRDRHGYSHSLDGSLAEHSEVLDFETGYGVRQRSGAQAGGSRP LQAIELQDKPDPNLPQTTKPSNEKKKHVFSLGGKRHLSLRSQHLKGFSFTRSHKRQAI ARDWSPGRKRFVASVSCLSTALIGIIVGIYAGETPAIQYYIVDFHHYTVLGNVFFFIG LAVPTFLFWPLPLLHGRKPYILGSMSLAMPLLFPQALAVGEFRSPYVAVWRIGLIMPR ALMGFCLGFANMNFKAMLTDLFGASLQSQNPHQEHVDEFDVRRHGGGMGAWLGLWTWC SIGSIGFGFMIGALIINHLPPAWGFYVSIAIIAFVMLLNVLCPEVRRSAFRRSVAEVV KENAVSRRLARGEVKMHMVQSGPKWWGEEFHYGVMLSKNMLRQPGFLIMALYNAWIYG QMVLIISLLGALLSKDYKLKSPLIGASVMSLPIGALIAIPFQKASFFSRARNKVITSD DATLKKRFAWSSHMLRRSIFVLCLPVAGMAYTLSSGGPPIPFALPIIFAGIIGFLSSL ALAECSGIIMETFDTSDLQPGMTGRPRGSSGDKTAAKRTNYSSFPRVQSAFAMCSGFG YLIAAAASGVGGSLTRHLGQQAATGIMAGILLVLSLLLLATLVRFTDIQIIPDSKKDE MEQYHQARRASKIRREEGIEEEEPWRPVIIGNPHHTTRRMCLLELGSMSRFSEIRRKN KLVDEKSLEAKHPNRAAIEDVRQYMHHKEHELRHKVSQSLSRGGSRGSRQSRMSDGPE QGDLGGHREMLNRGGSGKGSRRGAPESGRKGTSTARKVIEEHE LY89DRAFT_696413 MSKLLKKPVKLALIQLASGADKSHNLTHARDKVLSAAQSGAGIV VLPECFNSPYGCDYFPSYAETLLPSPPTPSQSPSFHALSAMAKEAHVYLIGGSIPELD TETKKYYNTSLTFGPSGDLLATHRKVHLFDIDIPGKITFKESEVLSPGNKVTIVEFPE YGKVAIAICYDIRFPELAMIAARKGAFALVYPGAFNTTTGPMHWKLLGQARAVDNQVY VALCSPARDEDASYRAWGHSLVCDPLAEVLVEAGEREETVLVDLSGEKIEESRKGIPV YGQRRFDVYPDVSKGEVRFEDEV LY89DRAFT_582834 MSEITHPTIKDGWFREISDMWPGQAMTLKVKNVLHHEKSKYQDV LIFESTDYGTILVLDNVIQCTERDEFAYQEMITHLAMNSHPAPKKVLVIGGGDGGVLR EVIKHECVEEAVLCDIDEAVIRLSKKYLPGMAISYQHPKVKVHVGDGFKFLEDYKNCF DVIITDSSDPEGPAEALFQKPYFELLHGALTEKGVITTQGSENQWLHLPLITQLKKDC KQVFPNVEYAYTTIPTYPSGQIGFMVCSKDASVDLKTPLRSFSAEEEEKQMRYYNADI HKASFVLPTFARKALR LY89DRAFT_583800 MCFGGRDDSEAKRSREIDALIHRDEKQMQKIVKLLLLGAGESGK STILKQMRLIYTKDGFTKNEKEEWRVIIFNNILDGLRMCIDAMEEFNIGFEYDNTTVH LPVIMQEKDLRPYEPIPTEYLRAFKDMWKDPGIQRAIERGNEFALHDNLSYFFIDLDR LFSKEFIPTDQDVLRARLRTTGITETVFDLGSLQYRMFDVGGQRSERKKWIHCFENVN ALMFLVAISGYDQCLAEDKDGNQMQEALMLWESIANSHWFKNSALILFLNKMDLFKAK VAHSPITKFGFSDFQGDTKDAQQTSKYFMDKFVALNRSPGREVYSHFTNATDTDLLKV TMASVQDMIIQKNLQKLIL LY89DRAFT_706582 MELTSNIQSVFKRSTLDFYLNGTKIELREPHPQWTLLDFIRSQH GLKGTKLGCGEGGCGACTVVLQTLDRGKVKHLAVNACLFPLIGVIGKHVITVEGLGNI DNPHPLQERMAKLHGSQCGFCTPGIVMSLYALIRNSYDPVSKKFNLSENDIEMEGHLD GNLCRCTGYKPVLEAAKTFVTQDLKGQIAIPDGIDVELEPHESSSDWHYRSESNLNAP IVSNVSCGRPGGCCRDPPKTKEKDSSSDESSKLSDASSEVETTSESSVPDDNENEIPI DGASYGKPMKSREKDPANGTTVVGTKATSGLDAPPPKTKEGYPQITFIPYSPDTELIF PPALKKYQHQALLFGNETHVWLRPVTMEQLLLIKDAYPEAKMVGGASEVQVEIRFKNS SFPISVYVNDVEELRGLENNYDVIENLDSIIEVILGANASLTEVERICKDLSLKLGKR GTVLEAARKQLRYFAGRQIRNVASLAGNIATASPISDMNPVLLAAGATLTAQSKANGK MTLPMSTFFTAYRKTTLPPDAVITHIHIPLPAPGVKEITKAYKQAKRKDDDIAIVTAA FRVRIDDEGLVSDVSLAYGGMAPKTIEARATIEVLKGRKWHSSATLQGTMSSLAQEFN LKFGVPGGMATYRRTLAMSMFFRFWHETIAGFGLGKVDPSLVQEIHRSISTGHRDNYN PHEQRVVGKQVPHLSALKQNTGEAQYIDDMPKADRELYGAMVLSSRAHAKLVEVDWSP ALGPGLAVGYVDKHDIAPEANLWGSVVKDEPFFADGEVFSHGQPIGLVFAETALQAQA AARAVKVVYEDLPTILTIDEAIQAKSFFKHGKILKKGIALEDRMDDVWSKCDQVFEGT TRMGGQEHFYLETNSALVIPNREEKSFEVWSSTQNTMETQEFVAQVTGVPSNRVNARV KRLGGAFGGKESRSVQLACLLAVAAKKSNRPIRCMLNRDDDMMTSGQRHPVQARWKVG VKKDGTLIALEADVYDNAGYSQDMSGAVMDRCCTHLENCYEIPHVLIRGHVCKTNTHS NTAFRGFGGPQAMFITESFMSAVAEGLDIPIDDLRWKNLYKEGQLTPFLQKIDEDWHV PMLLEQVRKEVNYDARIAAIEKFNSENIWKKRGISMIPTKFGLSFATALHLNQAGASV KIYADGSILLHHGGTEMGQGLYTKMCQVAAQELNVPLDAIFTQDTTTYQIANASPTAA SSGSDLNGMAVKDACDQLNERLKPLREKYGQDAPMKTLAHAAYLERVNLAANGFWKMP KIGYVWGNYDAKTVKPMYYYFTQGVAVSEVELDVLTGDHTVLRTDIKMDIGRSINPAI DYGQIEGAFVQGQGLFTMEETLWTRDGQLATRGPGTYKIPGFSDIPQEFNVSFLQGVS WHHLRSIQSSKGVGEPPLFLGATVLFALREAVKSARRDNGVEEPLVLDSPATAERLRL AIGDEILKKGMVVPEEGETNFFVAVA LY89DRAFT_781040 MPSRLSPSGFKPLADTAIFTPVQLGSLKLNHRIIQAPLTRMRGV KESEGVWGPGDIAVEYYSQRANKGGLQLTEATNISLLASGYPGIPGVFTPAQIAGWKR VTDAVHAKGGFIYCQIWHVGRASSSKLLGGKQTVSSSDIPIKGNAVDGTDYAADPPRP MTVEEIQEIVKDFGAAAKKCIEAGFDGVEIHGANGYLLEQFLHDNVNKRTDAYGGSVE NRCRFPLEVIKSVTSAIGADKVGIRLSPYNYFQDTKDSNPNVHWAYLCNQIATLPSEN QMAYVHMVEPRFDEVLDEQGKMDALAAYSKDPTEGVEAEVTAKAGINSLVPFQRILAK GGVKFLAAGNFDRDNMETKIASGDADAIVMGRLFIANPDLPKRLAEGLPLNKYDRTTF YGADPPTKGYTDYPAYEAEVAA LY89DRAFT_30609 MPRPVPENSCTTCRQRKIRCDGTLPKCQNCLRSKRQCTKSREVT SKFIIHTTNPELQSSLFERQEKHPTPEAPRDEPDLRRAQSTVSHPPSIALEDPTLATL FRHYIDVLAPWYDLNDAQRLFSILVPLRALNNSVVFKALIAFSACHMNRTTGNLQHFG CVYHASCVEELLDALNDSSPESQEDFLAATCLLRSYEILNGDMRRHQDHLLGAYSFAT NRPIDLTIQGLAQAGTWNYLREEITVALESRRPVRFSAGFHFIPEPAMSDDKCANAIT FILAKTINLCFADASTEDSTNRREPWDEIVGEVSSWRQNLPVSFRPFSAAPKPGNVFP SIWFLQPWHVASEQYYSVTEILLSSCHSSSTSSIPFQADQNRERVVFHALQICGLAYT NDDVSARVNAFGPLAFCGRYLTETIHRHGLLRMLNEFSKPTGWPVAPIIEDLQEHWAE IDKSLTTVTNT LY89DRAFT_706584 MVAFIYSFPHIVKVSPEEKSSGSLSWKNLELATRALHHDGLVVL ENAISHAKLDFLNQKMVQDALKLQSAGENSPYNYNKGNIQQDPPMILEFFDKEIFLNP LATQVTSSVLGPRPRLSFMSGNSALPPTLDSPPQAQPTHSDADFVHPSSPFALVVNVP LIDMTPENGSTEVWLGTHVVGKEAQEGEHGERASGRIKSEFLEKRREERPPSQPVVKK GSIVVRDLRLWHGGMPNYTGDVRVMLAMIHFAPWYRNAMQINFAEELGPGLESFGRDL QVQGKLMPEKDILDKYLKGAYGNAYDFNQQERLDVVF LY89DRAFT_732621 MYDSTINFTLDTICPWTYLAKKRLDEALRRVRSTSASEKVTFTI LYQPYQLYPEATQEGEDKYAWYKKSKYGDSEEKMKMYTTLMSAYGASAGIAYKFGGTV ANTLQAHRVVQYFQESKGPETADKLINSLYRQYFEEERHPSSRETLMRACSEAGIEEE EAKRVVEDEGEGLGETRGKIREQVSNGVDSVPFVVVEGRRRDLTIQGANEVEDYVKAL EQIVKEST LY89DRAFT_732622 MKYTATLIALSAAFASAQFTINSTTDEITCYGNPNGAYCASSSL SSNIIIRCVNGTGQAGNCNDNLSGYFPYGLEGAECWETSTTSGDAACAKNCIVECGSG NCGESFTLPNCTPSATQSASSTLSTVVSSSTSSPVSLSSSSITSSPSASTTPSTSVTI TSTGTSICTTGGTTETITFTTTYCPESTASASSSVVLTTTPISATTYPLSTGTGSPSS STAASNGTATKSGSATPVGPSGTTTASPSTYTGAAMANSAGAGLAAIGLFIAYFL LY89DRAFT_696420 MKTEESNFQPEHHLAAGHETNSATYQRNMEPNASILASDFKDKY TVDWDGPHDPTSPLNWSLTRKWANLAIVSCLAFLTPLASSMFAPSVPVVMANFHSTNT ELASFVVSIFVLGFAIGPIVVGPLSELYGRKPIYITSNILFVIFTLLCAEARTLGMLF AFRFLAGCAGSTPLTVGAGSVADLMAASQRGRAMALFSLGALLGPIVGPIIGGFVAES IGWRWIFRVQTIAAFCVTIPSLFMHETYAPILLERKARHLREKNNDPLFKSKYASKLS HKLVFQHAIIRPLKLLFMSPIVALISLHLSTMFGLLYLLVTTFTYIFEDVYGFSTSSA GLTFLGIGVGNIVGVIILGPAIDKIFNAYVKKSNGIQKPEFRLPVMAYTALFVPIGLF WYGWTARSSIHYIVPVIGCSFIGFGMMGIMLPAQNYLVDAFTLYSASAISAATILRSF FGAFLPLAGQPMYDSLGVGWGNSVLGFIAIAMIPIPWAFWKYGEGIRMRYPINLD LY89DRAFT_668128 MRNRPRRKQFSSCDACRQSRVACDATKRGHQPNQTTWNGACSRC LSRNRKCTFKVQKSSLVSMFLLANHYGTYKWIGKSTSRLGKDESVIPVSVIGDLSDGA PLELGNEASNGRTENHVYETSPSEIVASHEAQLPIPTIESGDTLLAQWSKQIYHHIFS SIFGHCLGRNGCPFVNNPPSDIFLAPTKLFKDLDAYIDKQQAPREQDAQIEQFLDRAI QAFAARWLPVTTPKTPHLQEVIKKNWRALRRDMLRVINHPSYCSVLTLYLFGQTPVPA GVTSEEESDGISSAVCIQTALLHIQQLRHSCQFLNLPPAFVELESRAYWAGVMWDTTN SLLLNIRSSLTSGLKGACLEPAWKLARGFLASFHSKTEIWQKKSFEASEEEAQQVISA ANVCSVYTWKTIASVKEALREGVEESDLMFSWDAFLDALDVFKSTIRPLMSTCQKRLH FLGQVERLKWYHVVLEYYLGILLLVETIEGAGRQDLLSKIVETRTGAELESFNVLKFG LESRYTITEHSTGTELVGKQISVSFIAIDPYPTHVVALVQLMTKAIKRRYQQGDIKQE VHSYLSSTLLKVLEELPPNSCVV LY89DRAFT_683902 MARTKVALAGATGNLGAVVLKALLNANHHVTVLSRINGNSSRLT PHPNIAIAKVDFNSTHSLTTALQGVEVVISCLATLAIGSQNLLIDASVSAGIKRFIPA EFGMDSLNPLCTKLPVCVPKVDTQRYLQEKTLSYPGFSYTGIANGLFLDWGLKVGFIL NMAAHSATLYNGGDVPFSATILVDIAKAVLGVIDNQDETANRIIYVHSVVTTQNKLLE YAKEKDGEGWHTVFKDTEDIRRESLAELANGDIGAAMDGFCICAMWSSDYGCDFSSHL DNDLVGVKMMEEDKLKELVGSFL LY89DRAFT_717573 MKLIKSIFLLAATVSAAALAVTNSSSIIQKRNFADSCSWNFDGR YLTAHCKTYDKQVVFAEMDLDLYIGNLDGTLRHPGDFGHVPRWAAINIGNFIDNIDGL KVWRIWS LY89DRAFT_683903 MSSLLTTLGLRALPATSPPNSVPNLASTFLIWNFLHAYAFMSTR FIKNYYKFDHNSAPREDVEKYGEKMVKDGKLTAGQLAMVKRWEAGHENCVEGYTLFVA GTLLALYAGVPTPTLNGLMAAYSVARMMYGVTYIAIETEQYSPLRTLCWWSGNIACLT MMVKAGNRL LY89DRAFT_706589 MFPTLLILCFSCLAGVLAKSPSIYSPGSTTTSGGTSESFYSSSW TDGEAKAKYTNGAGGEYAVTWTGNKGNFVCGKGWNPGGARTANFSGTFSPNGNAYLSI YGWTTNPLVEYYITEYYGTHVPYDTPNANMKGNLTSDGSNYQIMTKVRKNKPSIQGTA TFSQYWSVRDGGNQSLGSVGGTISTGNHFKAWEKAGLKMGKQSYMIVAVEGQDSNGTA KITVGAAPVET LY89DRAFT_31559 MQYSSAILLTAFAATNVFAHGVIDSVQGANGVTMPGLSVADGTP RDCATPACGAEADTSIIRQNEIGTSKATALGRTNGGGPVDAAKMVSLFMGGNGNTTEA VAAREIHAANVLRRSLGERAASGGTKTPKGTSETGVKAAAGAGAASGMPTTADDGTVN MNFHQVNQDGAGPLTAMVDATSGGTDPTAFKTATVTQNVPGIGIGGLSAASTMDFPVA IQMPAGMTCSGSVGGASNVCVAKLQNAALAGPFGGSVAFTQSTAAKKRAIEYNLSKRR FARALAQEE LY89DRAFT_732629 MPVLSESTASNHGDAPCSSSSSIFSSPAMPHVLAAFVLISCVVI LIRRRTSSNKLPPAQIEHFNDEKKYEYQEPLLRMPRPASPTLAETNLTNVRNLESVPS VSPSTSIVESFEPQQQQLQIDIPRRRSYTKTIGVEKEIEVTGEIVVSPEGWRRHTRVF GGGVCKACEESERRMSA LY89DRAFT_31592 MKGKRVGFSFYSFCRCRMRVRSRLTFLGSISLLDGFPEHEPPPP PQSLPARVTLHYFVHYICIAEQGSASGSTSALPCRHPLFGLFRFFSCCSLFAAVDEEE REMRCAAIMRRDGDASSFLASQHYLLAVYGMLGGGTGLATRFNEGGKGTMADGVYAHL TSLSHLELLPSSASSQRLAAANLNCTERCCTYIHK LY89DRAFT_668134 MESVHGVDVSWMSKHTNSNSNAKVDHNSRPQATRSSTTPPSEKS HSIAHNGNSHPPNEHEPTPPKAIPARPNYSRSASSEKTVTLNGSMQKPGSVSPNTSRR NSWLSSISSKFSSSPGAHNAHVTPSNAVPSTTPSTDPPVPIGPSSPKNAILPHAAKVT GDAPYTPAPPKSTQPSFLQSALRRLSSSGGQLAAPARGVHHGICERKVLNVDHNRERC RITDLDQSKLRRVAFCVDVEIASGPRYYDDDVEDEKRKEKREKKRMEKGEGLALKQPE AIKEEKETDGVVKATGEKLPSPGKEVIETTQEVPDDPPGEKDNTKKKEKKKRSEEERK ARKEKKRKLAEANGTLPVELVRNPSDSSMSNTPTGTGTPKTQASPTTDPVRIYRRCCQ LRETPILKKITEQLTALATRTSTSGLVCKLDLTGYWLQLPDLVTLGDYLAVVPVKELV MENCGLTDEGVRVILAGLLAAKCSDWERRKGHKGKWGEVPQGGVVERVVFKNNTKIGR DGWRYISTFLTMCRSLKSIDLSKVPFPQPIAPPPSPPSHGPGHLTLTRTSTTNSTADT ACVLGRAIGERLGGREFELLNMAECSLTTEQLGNLIDGVIKSGLRRLGIAGNDITPEG MQHVSRFVRDGKCEGLDLGGNDLKDQLGVIADALDGDNQLYALSLANCNLTPDSLWTL FPALAKLKNFRFIDLSQNHGLFDSEPSALSLLRRYLPKMPTLKRIHLTDVSMTSEQAI ALAEILPESPNLAHVNIMENPGLAALADAKDEANQEEACALYASLMAAVRVSKTIICI DIEVPSPESSEIVKALAKQVVAYCLWNMERGPVAEISEAAAAISDPHGGEKEVAVPDV LLHLVGHVEGFHENHDDDEPAPDDDYVIGGTGVVKALGICLRNRGNDSRRPSADRTFS GMSQGGSGSATPSTPVSGGKAKDMSKNLLGSARKIRARLQPALVKEARAADRNNYLRL LFLDQTLEGMIKRFEDEYPETRLPTAVTSPPLPDMSLASPSDSIDLSMGADGQGSISD TEPALVEAPLSDDEDHIRPMLSRHNSDVSLASRALSQEEGRMHRFGQQFRRDILKPEQ EDHEHGTTGREETPRHLQLLRAMVEGLGGEEIKDRVNSGGSDAVLEELNNEASLLRRQ LMEQDPEGWEKFRESQEAAQRNMRLAGMERNVSAIE LY89DRAFT_683912 MQIVTSEITGDKVFCVAYSHELKAYGIEHGLTNWAAAYCTGLLI ARRVLKKLGMDEDFQGVEEADGEYKLTEVSEAERRPFKAFLDVGLARTSTGARVFGAM KGASDGGILIPHSENRFPGYDIETKELDADTLRKYIYAGHVAEYMETLADDDEERYKS QFQGYIDDEIEADGLEELYQEAHKQIREDPFKKPEDAEEKKPKEEYKKESLKYKGRKA TKEEKMERVKAKIAELKED LY89DRAFT_582814 LFDIGGVCVVSPFQAILDYEISHGIPPGWINYTISRTRPSTWHL LERNEIPVSTAFYTSFTRDLTNASLWPTFYALALSRDPSLPPTTPPVPSVDGEELFWK MMDKSRTLDPWMYPALLALKESKKYILAALSNTIIFPEEHPYANPAPEKDVRRLFDVF VSSAHVGLRKPDVRIYELALEMVNDYAQKEGKLGEGKGIEAGDVVFLDDIGENLKTGR MVGFRTIKVKLGRAFEAVDELEEVTGMRLAGDHPRVPVLPVVNKKGREEKL LY89DRAFT_668137 MDPDNLRTASLYINNQLLSRGLLRNGQSIDFARPDRGEGGLEAS MGRIMSVVNDLILRRDRDATQRETLTTTLRTLRADSLRQTTDLERVQTKYAEAQRKLG IQEAAERGFKTQIKSAENAVKVLREEMARMKTLVQQSRNQCAVEVRKRERVIEGLKKH VGDGQRVRGGGKAVGVREISVVGGVGEGEKGGSASTMDEGYDLRSETNEFLTELARGL SEENENLAALVRRTVEDLRTLSGWEKEGRDEVVEVVEMGYESLAGEMDAVIEHVRTLL TNPSFVPLEEVEVREEEIIRLREGWEKMESRWRDAVQMMDGWRKRMARSGQTVNLEEL KMGLLLSPLKTKDENEQHQLSTLMEEAEGEGDTQADIDAMDESEMVEPVEPDFDEDLD DSDSSLFEEEPVDEISEEVSEEASEEQMEEEEPNYTIHTTSSTSPGPAPQLSPLKETS GNKVAILSPKPREQEGFTTIIEENTYDLLQIESSPKRLKSAKSTPQTSTRQQRATPST KLTPPEDEISLLKATNTALPPSRRRERSISPDKSSRATPRLNPNATPRLQRSNESRLP RPREQPPMQSPLTMASIAAKLAASEREADAARVRAKIKAARANRTKTSVDDKKLMPPP PTPQQQAREEPARDMDVDEFDVGKAQITDCAGEGVSRKRKARDVGRSQKASRRRSTLS PWELESLILGNVASPVKGES LY89DRAFT_732634 MIREQKAPSGPRPDFTTSTAQENYDPGARARTGSVREPTLHASS RNNHSLFSFGGYNATDENTSGSYDFLPSPSFDDLQSSIATASNDFNFDRAPVIEPNKS TAGKRPLEPNTTMADARGSVAGRGPTGLRVARADSILRRQSTSTRQSSVSSTTSGASG AMDPPSAPLAMRNRRQSQYPPISGSAAANAVKAPRRSIGPGVVDTDTTRAAQRRRPSL ASSVSAQGLSDAGGVSTRVNIGGGPSYTDGARGLTASRAAKTKSLQPPSRQGQTNAGL KAGTPDHTRSSSFAGKSPGRVNGRGTTTPSSSAKRMSVMPGMPLSTSHATGLGARTVS PTDARRAKRLSILHNAPPMPNTPPTPQPDGNTIRTSSRSPSMLPRKVPTPSSSRTTPD ISNRKSYSSGVSIGSTTSYNTARTSTGSLQPRVPQPASSSRLPTPKPRSVHSSAGNNE EEEVPPVPAIPKAYESPKESPAEPPFFNKRKSSMPFDASSINSTSTNSLSGPGSVREA PKNDRDQRIRKVPATNSDVDQQNHTTPVKKKNLQPLRLPPLNLLPLSTPTSAKIAALQ PDKDPNFTDGQVTPPPRRVATKTPSTPMTASKASFFSRRKNDSKAEKELAHMRSSSSI HLLRSESSSQMGGSSSESTKPIAINGRAPRQTVSPFISSSLPKSGGEHSFMPRSKTSG DIAATDGATEPPRPARLTGPRAQRLASVAKTETPTQISSPEDQPPTPSSGSSLRRKLS LGWKRSTSKSNISVSQAAIERDSEYPPQPPKHDNMPPPRLPASATTGNMGIYAVPSPS PSNKSTNYLDSKRRKSSVSSLSVFGNHDRTRSDSWGVNGSPKKEAADVKSERPAAATR TTSTVVQKMLNSKTSTGTMRTVDPWTMDLDKDDMVAEEEMKKLGMKRKETEQAARQLD ALRKRATPKTRVSCEDALRHATLNIFERGEIIDFKDIYFCGTLDAAKHVGELRSDTAN FGYDDERGDYTIVQGDHLSYRYEIVDVLGKGSFGQVVRCIDHKTGGLVAVKIIRNKKR FHQQALVEVNILQKLREWDPKNKHSMVNFTQSFYFRGHLCISTELLDMNLYEFIKSNS FRGFSLKIVRRFTKQMLSSLLLLKQHKVIHCDLKPENILLAHPLHSEIKVIDFGSSCF ENEKVYTYIQSRFYRSPEVILGMTYGMPIDMWSVGCILAELFTGVPIFPGENEQEQLA CIMEVFGPPEKHLIDKSTRRKLFFDSMGKPRLTVSSKGKRRRPSSRTLQQVLKCDDEP FLDFLTRCLRWDPDRRLKPDEAARHEFITGQKVSIPTGRLSARNDSPIKRMNTIATPT TSSRPLPEPPATSFKNGTAVRQREASGTSPIKPVGAASRRQSAVNGLASTAGMAGNKR TSTGAVLAPGSSGLPRVTRSVSAKHQEMASAGASAAMNRRV LY89DRAFT_583262 MDEFTVDAFVNRDDPIPVISFDPQNDLSDEVEGDLSDSERKRDR LKRHGKNLKENMRKVTGQKSEPGTSMQDRILEKLLQQVIPVEDLSSSRAESSPALDYT SRPSFSLPTMSANFRRFNARIGVVFVFQSKVIRLLSWNTPTHTLSFLAVYTFICLDPY LLTVLPLAILLLALLIPSFIARHPPPTTNAAHATYGYSTAGPPLAPPVTVKPVKELSK DFFRNMRDLQNSMEDFSRVHDQVLSILTPATNFSNEPLSSTLFLFGFLAILVMLTASH LLPWRLIVLGIGWTVTALGHPTIQKQMHSVHKQHIIPREVQAKTLLDTWISQDIILDS APETREVEIFELQKLSSAGEWESWLFSSSPYDPLSESRIRHERPKGTRFFEDVQPPKG WEWSEKKWALDLWSREWVEERIITGVEVETEGERWVYDIRYEYEDSDQGLIYGEDGEG PASPPPKKGKKKALPTWEEGSDAESEGRGRRGEWRRRRWVRMVKRKWQPRRSVSEGSR LY89DRAFT_781056 MDKVTQYASDVERKLTRINHTMSKGVSKLSMNDLIKQARQGNSV KHAIKKGIKSTDKANPSDAEAREFLAQMNRLVDLEVKQMDLTIQSKPQFDKLHCSGFV RRHLEKSEGKGSCLDMANVLIERSAPDLLPELQALQWKEYNKFHEMLAYYSGSAGGEE LVDDERD LY89DRAFT_683917 MSSTSQYPIPLSSLKDPQRLAAQKELTQILKPLKHDLTLNGIFA LCKDGVFRSLTADRSVVDAVALRPELIKAMLDRMPYKPQNEIDYRGVDGTKVPKEQWF HPDKNLLPPPFVPPEERRNFSAEQLEENRKMLENRQGCEPQVRSDYDLGIKSL LY89DRAFT_781058 MIVTSRLFSVLLCLTITWTRATPTESTSSSTLRYIAGDDLNSII QGMTYDDLSVFHLGSDGVLRTFANNLTVLDYHQLDVGQVQKLASEQAAQYQASGSEVP ASLTQLAESPVDGRLTVDINALMNPDDKPNVTPITGTTPRSVDLENLFERQVVCPGVP GCMSLADCTPYNCFACFYPSGPPWGTCFLSGN LY89DRAFT_683920 MLYKSLFVVALALISFSAAQGPQPCYNAADPDGGIGNYCSCADG SCWNINPDGHSCDPTGTDKYPSCP LY89DRAFT_32056 MLKRYPLPRSRSVPSNVHHLATSTFISCSRFSNQFSYPSYQSSQ SWQAPHIRPHPHPRFPRRCLHHNPRLDPPRSSTSSHVVSSEPRAPRRIHLLCPHFRTL QRSTRIVPLPGLTPARHLHLHLHRADLHYRGLGLGKVRLTTRQIGFERVHRQSYGLVE D LY89DRAFT_33335 MADDRVPPERPQTPAPDHPRRRVVPRNISPTPRSMRRTRAGRNP YRLQTASPENESNESDVEEYSPPESKSQEERTHRSMVQISHSFFGRRYSDGSTGEAFR HIAPSERVSRRHKHLVPRSAGGSEDQRLYNLIPIPGVNGPDSDHLLYELKFRAENDAE LTTQQKVLKFEQEFPGLYAAVENIAIQGTQVFVKVAAKDAVPIIIFNSMGSVRKVIWD LI LY89DRAFT_668145 MASGDESDVGGSSTRPNDAESRRPSTTSTQGSTADPEPSQNDRA SGFFGSLRTNRSYNPLSKDDQLMGRDWPPEGPRREVRGTAEPLASMNIRAPRRRRSSA PSSAGRSRQDVEAGATVENDRAGTSDSDTPRRPKGPRDGSQGPPAPPSSPASDADGVA DSDGYPTQSSRENNRSRFRAAALLPGDGDVTDSDDEDDFDDIHSPVALADFGRETSMG EIDFNQQRLPNTSHRRSSDTQQLFGIESIIPSKADGSTGSSILSIRYDDHQRPNSSFP NKGYDMRGLSSNRNNTEQFDDSNSRSPSQHDNSGSLNSSFESRQQPSTRESQTRTSSS TRASNAHTLAFESRERDRFGSNTFNESRINRAPGRAPTQSFAATRSSGSEEQFGGTGR RASNQQSRPPQLRSQATHQGQDIGASGAAESWDREYPTPPMATPPMPPPPIPTPPRVR AFANFLQQHARVARNIEQASEQGQDEQKSSEQKDAGDGGYPWRLPTQETTLPSPLPGS QAAVRQATRALHNITTSNADLALVIAESDKYRRERERRPLDISVIERALGEAFNEFGR TPNSESPEIRVDPPTPQPRASTYGTGPEWTQGTGQHRKRPSSVAFSPASNLQPLREPA PFRAPLEGSGQTQGLGIFDMPSDASQQTGPSIDRAGRFNSSRGPGNGSRQDSLLANTS ERKRHASTQGNRASMTDSIREPESMLRRNAQQRRLSIDTRAAGRAMQSHLSSDDRSSR GSLGRNQDTAPSSRAGSNAHDMPPPPPRGTTGNHGRSTTQAQRQSTTQRRSVPSQEQS STSEASGGPRQSASAPPRQSSTSTTRAGPHQESILLEDESEEE LY89DRAFT_668146 MPPLRLSPTAAMRTLRLSQPIRSQPFSVISRIRQAARGFEPHPF ERYPTSTKAAPADWGRQFRKLGDAAMFALKFGQGNRPSNGGGGKKHWFLTAVLILGWD TCTYIFGETFWDQIGQEEWLDDG LY89DRAFT_33698 MKCFAWIESAVQALANDSKGGQRKDDHKETTSQQTYNEDETKIS QAEGPSPTAASPPSIQTTEKPHGNSTTDIWTDPKPLPSTEISGSAIRPTIRSISEENL PVRTSSKRSSKPPGAMATSKEPLHDVSLAFDYNPAVVAYVSSGRVNIPRTLRRRNMSS TSTIFKNSPLAPITIIVTTPEEQIPSPPPTAALVAEPHNPFNAIRAHRQSVSLPSSRR TSLSSSPHLLIPDSNRLMPPTEQQINSNKLRKAREFREIRKFLINFMNAKGDKFPKKL RVRMMEMYCITEADLSPETVAKFDADRDEGVVLEQLGISEQEGTDLDDLRILQMAFMS QIPVVTPTREQALCRICSVCKKRTFLPSRRSQPSSQQ LY89DRAFT_33551 MGISLDLGLPRSESRPERKITEPELRVSFPNSSASGTMWCNVLD RPLAAAGGRSSTPAKGEDSGVVQEIRLFLRGIQVRSRRREVSKHDLEKAGRSLSNFVL PRCLDAMWLGDVSVPPIPGRKDQSSPQGKLSQPRKMKKKSKEARKKCTKRLSRIISAI TRG LY89DRAFT_745984 MAQQDSPLSIAASITGILTFVAAVVAGFYAHAVSLKNAIDTQAE VSSALEKIDFLETETNMLNNAYLASQIRHPERNKYGSGDFKYFHGLYGQSLERMRIKD RELRKTATLIAGSSRYDKVSRVKSAALWMTARDRVHKAIQERKAESSRIFQIQLAILS AKIDELSYHQNHHNVTCSIIVEELELGGSQTSSQTCERYVSVRSFFLLEIHVSQLHIY ISKSPREKY LY89DRAFT_32108 MRSSRNRSLAWLQWSSDWPKTKVDPRVESVLSFFLTTGQSESKL SRVSKLSDMTQSVTLPRGGIQLRYRRGLMRIRLKCSTNGVAREKAPPTHRPPQFLQTV LKRRKYGHPVHATTIAIPFPYNFDTMPRMQMGRRAHLVGWLLISIGYDNFQLSKI LY89DRAFT_583519 MPTAHPEEHTADSSHSKSSLETADTAVNASDSEPIEVEFVGDVK TDNKLPSLKTLKKIENLPVLDQDGKAIPFKNLYTGPNVARRVLIIFIRHFFCGNCQEY IRTLTSSISTSSLLSLPTPTFIAIIGHGDPSLIPMYQRETSCPFPIYADPTKKLYSEL GMTRTLNLGSRPEYQRKELFSIMLDGFVQSLKMLRGGRALKGGDYHQVGGEFLFEPVE MESPSPLSSPEEQTKRLGEQGMLEMGAVEEKHVTWCHRMRNTRDHAEIPEVREVLGLG DGEELPKGVNEKRWRAALLVRKGTGLSTVSTEGRPSVGLSRKLQELEDDGAGLKTRA LY89DRAFT_643785 MYQSISEKAAEEPLLSPQTPPQTSASTRRRKPPKGLILLWIALN TIILSIYALPRALHTSKLSSSSLEKHAWKSLKHHVDLLSVPPISREEFLTRQRTLAAA LTSAGVDALIAEPSATSSYYANVSSSFELSERPFLIIIDKDGQFSYLAPRFELNRVAS LSMVYSSKKVIEWREEESPYAVLKRETGYGKVMLDEHVRFMIAAGLHGQGIDVVPMSE EIQALRAVKTDAEVVILKAINRFTLQLVRALQRSIKIGMTQEAIFEAAGNLFTRAGVG KGYWAIVLFGEQAANPHGGSKGKVLRDGEFVLIDIGSKLHDYGSDVTRTILPNGASVS EELLGVWNTVKDAQSAAFEHMVVNETCSVVDGVSRKVIVDAGYGEFFTHRLGHGLGLE MHEHPYLNGANGEKLKVGEVVTNEPGIYVTTDQAKKMDKSVGFGVRLEDPILVTETGG VPMTGSRAKSPYEP LY89DRAFT_33744 MWARVRLVLASCHVTTVNACVSNQFTPFDSFSPRCLFVVVCIFL QLLETDN LY89DRAFT_33754 MPPLKPGGPGVYSATYSNIPVYEYQFGEGLKEHVMRRRGDDWIN ATHILKAAGFDKPARTRILEREVQKEKHEKVQGGYGKYQGTWVPLEQGEALAQRNNVY EKLRTIFEFIPGNLSPPPAPKHTTAKPKLPKKPAVPKWGNKPVAAPARAIIDDDYDNI SAQLNDDESMADDVTVASASFMAEDDRYDMSQQSTGHRKRKREEHIENTAQRDHMLYS DELLDYFMLSHNSDQVPKPEPPVNFQPNWVIDTDGHTAMHWAAAMGDVEVMKELKRFG ADLAAQNSRGETPLMRAVLFTNCLDKQSMPSVVKELMTTIDCIDLCQSTALHHAAAMT TSRQKHHCARYYIDIILNKMQETLEPDQVQRIIDARDVEGNTAIHIAAKNKARKCVRA LMGRGASTNIPNHDKVTAEELIQELNENRRTERHPQASSSPYGPDSRSQYEMPEEPHA RAVHHISEAAMSIQGRIAPLMLEKFQDLANSFDEELVEKETSEREAKRILQSTVQELE SVRTQILDITFEKESPELVKQGKERLAHAENKVTSLIEQRQKLQIWSSVEREVSKTNG HMMPNEDDVGERVMLAKMLADEQNKRQNMVAQYRDALSMAGAGEKGEQYRRLISKALG TEAGIMNENLDTLLEQLREDHRGQQGETVLPEEA LY89DRAFT_732647 MLNGIYSPELSLNCDPDAVQLTDYAIQGNAKAIRMQRVSPGMVR IVNVKGRRPNHRLQAKFHMQQIPIAQHDRPRRFDGEARVDRVSPNMIGTVSTQHPICE QNTTPPPAAPEVPLPKEAPLILDVFPLFDCPAHSEETIKAFLSSPAHSKFSSTFLRID EFHDGSRLAIHDATNESLLDFDPGDKEQGELGWIERPMDERIFDLKEMVGGWRRGDAI VVNGILGTVYFFRWKEDVDFIEERLGREGVEVRDFACKGRDEGNGGEGETDGPGSDKI PFDGTEMSRHVVM LY89DRAFT_781067 MVLVKGILAWVLITLVEAIQYGSNSVDPTFALQPNRSSRSITTT IFHQSLPIIQATTTTADLPTPTFLPDLPSSLSNETASTNDTGDEESTQLLQALIEVHD NQRAREDELILHQKRLWAPDWDEPVTTDSTWWPLLGTVALAAITIQLPDENEDRIKRQ RTWTPDDDYISILEDLGAASSSWHQTLNDMDIPLDDTQSVREPLNSATFMYTDETYFD PDKCLSGLTQQRSLHHPSVVTYLSALRNRDLLQEKLNDMLSENTYSKIHSRVLLGVPC TGEEEMESVDEVRLQIERIDEKIEEIAFECTINGINLDGLDDSDGWDEDVESIDIDIQ ERNVLAEEPAPSVQTSAYTSFSDLLPRKTGTEPVFTYHDLTASDDSIRTSDRINQWLL ESLRTSSLEVRRLVDIFESTTLINLDHKLYISKPWQRRVLHHWYNDESDTSFPKFASG SLDHRVEESESIIGDITYSTNSSSLRDEDFAVSNDGINAPSAIAASLPEASSLRRVEG LSRWVNWENTLSSSMTESDEVVDICLQNGEDSDSSSIGGDLDCSNNGNPKGSMEVDNT SFRMEAAIGTPDGLALHAGMMASTNDPLMGEEQYLVDVDDPYWIWSSDEFHLNEFEPF CQDSPSPVHSPSAQEGAAFLDSVLRSPSLTNSDPTSIASIPSPTVAPREVNGYSCEHC SQKYSRPGDLRKHTKYHDKEIRCPVASCETSFATNRDLNRHLKGPHGNGIKEYVCPHE ECQTQNRKFTRKDNLLRHLKEKHGNGKTENRKMVKGRARSQSI LY89DRAFT_683924 MGFVEEDLPKDDTETQCQEIQWNECNLLAPKAGPRKVAVLSGPA NGYFMVCWECYQTTIAPTPLSAFFTTQELPKSRTASCKFAEFWIRFAWRQVLAGKGDV DVMLPPAGLLAYTEQRCPNANLSSDSAVKSAQNRLWFTIVDPETGVLLKQWTICGGCH FQIVKLCGPISEAFQKVSTAPVRATCDLVPKVSSTRSSRCLEELMNCERATQESGEVD MRPLAKFIKRFTGIPACPRDVAPTVPQKFYHLPGASEYPICQDCYLTVIEPEAKEGGV TLAQEVVLSTRPMPGMTCQLYSERMRMIWADAVATSDKAFLLQKLSERRAKETNRQVQ TSRLNQSLIQLQGQYEMLNSLSMHQSQFAMNEWSSNSMAYTAASISNMNIFNPNPRVM PALQKPLDLSQSRNTSNQAMMALQEKGRVYEELNTLEWEWKRYWE LY89DRAFT_614401 MTTMRVVKLLGLALLPVLVHSFVSTDSPQDADAPQSGYLPNHNM DPNSVASNLGVAWTQTYNTNEIFYAKPLVYTPNGAPNEYVIMVSNQNIVRVLNGFTGA LINSRTLDAPFASSDTDCGDIPNTVGITGTPIIDTATDIMYFFSKGYKNGQAGPQGTI LGQYKFYAVQLPGLTDVAGFPVIIDGHYANNDPTRYFVGGTVLNRPGLAMIGNAIVGA FGGHCDNFNYTGMLVTLSKTGGVGVTNIQAMEASPYAPSPQALDIMVQGGGKAGIWQS GMGIAADTTKNRVFFVTGNARGSGQNGGAAGKAASGKTYLSTLEQAAVNMGVDPNTGT LTQQDYFEPYAYDSNNGGDRDFGSGGIALLDPTVFYGNGVARIAVAGGKDGKVYVMNA DNLGGFAGGSAGADAVIQTIVDASALLSGPASYPLEGGYLYLAPSADYLYCYSFSRNG NGNPVFTLAGKSAITLAGQSIPTITSNNGAPGTGIVWLSDSNLGLVAFNAVPVNGVLT RITFPTGTATGGLTKYHRVVFGDGRVYVSRTNVVLGLSGGGQKSKPALTCTPNPVAFG SVQVASSATIQVTCTAGVAITKPSCGISSSIFQCGAATFPASVASGGTFTFPVIFNLS DAELLSYEGASSTGPLPPGSQAGTLNVGATAPSGYLQSTIVPLTGTVVASAGYLTINQ TTVSFGGVYIGGQSPSSASRSVILTNKGSQTLTFKGFAWQDYYANGMPYTNVTSTVVG NGYTSANFPAVGSTLAAGASLTIPIVFLPSKTGISASYLTFWSDGGYTDLLMQGTAAQ GTASSSSSSISLKTSSSSSTVKTSSTIGSSTVKVSTTLSTSTKSTSSPSLHPSTTTTI SSTQITTSITPSGTLNALEPAKISTFQYIGCHVDLIGNVRTLNASAYTNAAMTLEMCA TQCTTYDFFGTEYGNQCYCGYTLDPTTRSTETDCKDKCGGNTTEFCGAANRLSTYQNT FYIPPPAPPAHVQTASGFVWQGCYTEATASRALTGPSFSSVNMTVELCIASCKGYAYS GVEYASQCYCGNTIQAGSVSTPTSGCSMLCSGSSSEYCGGVSKLDMYMYNPAAASSLS SKIVSSTTKASTSSSHTISTTSSVLTKSSSASKATSSSTGSTALPSVTPPAPQLRTYT WSIGWVSASPDGFKRPFIGVNGQWPCPPIIVNMGDTVKIQVTNNLVNETTAIHFHGLY QRNTTYADGPAMVTQCPIQPGGTFVYEFTVAQSGTYWYHAHVGGQYIDGFRGPLISKD VNAPYKVDTEYILTLTDLYHSQAPPLINYYQSVDNANNNNGAEPVPNSILINEAQNVQ FAMVPGKQYLFRIINMGAYVPHYLQFDQHNMTVVEIDGVYTQPYTVSQLFVTAAQRYS VIITAKSTSSKNFAVKSSMYTAMFNPSVIPTTFNTEVSAYLIYNAALALPAPLTITQQ PFDDSVFVPYDQEPELGPVDVELYMTVDFGQNQNGQWRGFMNGLDYIPQQVPTLYTAL SAPANLVNNPVIYGQNSNPTVLPYGSVVELTVSNHDSNAHPFHLHGHNFQVVYRDPGG ANFPINPPPGPPMRRDTVQMMAEGSVTIRFVADNPGITLFHCHIEWHVEAGLTATFIE APTQLQAMKPYIPASHKDVCAAQGILMKGNAAGDYQDYTNVANQTTVANPNLWGSLVN PPTTPAGPYPMT LY89DRAFT_732651 MSDSEKDAKKPAKAKSKKEPPQIQIRKSRTPAQSILDEFWKSYK SEHCGRVSTVLPPSTQVKRSRRKIPSVALRESVSISYDAAVAICKARVETIIRECQRT NQNFIDADFRLESLKNVLFPLAAALDFELISPGAFKRVKDIFDAPKFFIKGATVNDVR QGLLGNCWMASAVCALTNKEGLIEKLCPARNEEIAVYGFVFFRDGEWISTVIDDFLFL KHKDYASNEYPRALLHSVGQADDDQEITAEEKYRQLYQSNSSALLYGTCQDPNETWFP LLEKAFAKAHGASKSLLFYHGHMNTTSGGKSVPCSLLKAQFHPLSILTKIRDYGSLDG GFGFEALEDFTGGVSTITSPNDILDTDAFWKQLLQVNDKFLFCCSSHPEREKVPDNFD VLTTDRGILGSHEYSVIRAVEMEGKRLLLLRNPHGQGEWNGAWKYLDTKFSFTIAKAA LVVIVLTKLDETYFQGLKEGPYSFTTSFRVEKIGEGSTILEIDGLHAIARSILAELEL GAGEYQVSVKIKTLYESSSRSIEQIVRKNIVTRSEKVLQTGLRYDEAHFKAKLEDEEY QREVAKQMKESRKARLVKKLRAEMTKVKRREKHTANKKKRKLLAAKLKKLASARAKTP DPAPTPIPRVLKRTRQSTKKSNSEPAIIEATTTTTIVEIDNDSDSSITSSVSSISTDE VESEIEKLRQEAYAKKIFPLSGPPPTQPQSESPFPDDGSIIPDWNACLVLGLRVYALN SDVELRIIRPEWEPRDESEESGDDELMKEIVQEEQRNGVKVVAENDKLLEMITSL LY89DRAFT_583531 MHPQEVLGSHKRHDFIDSSIFGRRLDTSSVQLPETLPPSYRAGD FDDNDLYQGINTFAHLDFIECMKPVSSGSFDIGIVGHPFDLGVSYRPGARFGPNGARQ GARRMSPSAGWDIDHDLNPYQDWAKVVDCGDIGNNPFDKLVAIHQLEKGMQAINNVKA ANDSASEAVRLITIGGDHTITLPILRALHSTWGRVAVLHFDSHLDTWDPKQLGGGLTK YSEVNHGTMLHIAHEEGLLSNHSNMHLGSRCTIFDEHYDLNNDLRCGFTYIRARELDK LGVDKVVKKIVERVGDEYVYLSVDIDVLDPAFAPATGTIEPGGWTTRELQQIINGLSD AGLKIVGSDVVEFTPIYDNAAETTAIALAQIVYEVLQWMVHVPVKSPKA LY89DRAFT_746000 MRCLMPLAPCFISLITAAPTLEKRASPTVSLDYATIVGSSLLGI DSFKGIPYAQPPVGSLRLKPPQPITSNLGTIQATGTPRACPQFLTSTNTSAIPNDVIT EVLDTGFLQALTDTGEDCLTVNLQRPSSASAGSKLPVVFWMFGGAFEFGSTQTYDASE LITTSVTQGKDIIYVSVNYRLGGFGFLPGAEILKDGSANLGHLDQRLGLKWVADNIAK FGGDPSKVTIWGESAGSISVFNQMSLYNGNNTYKGQPLFRAAVMDSGSVVPADPVDYP KGQSIYDTVVAHAGCGAASDTLACLRTVDYETYLQATTSVPCFTDYQSVALSYLPRPD GVALTQSAEILAQNGQYAKVPFIIGDQEDEGTLFSLVQSNISTTEQLVDYLKTIYFAD ATIEQVQDLVATYPDDPSAGSPFNTGLLNNIYPQYKRIAAILGDLTFTLTRRVFLNIA SSVNPSIPTWSYLASYGYGTPILGTFHASDILTTYGITPDFASATIQSFYLSFFNTMD PNDGTVGLPTWPKWSQGNQLMNFQAVSNTLLADTFRNDSYAFIAAAKSSLHI LY89DRAFT_717597 MASSAEPPTSTPEDAWKHTGVRVIPADSLDSNTAQTPGMQRAAA INFARVGAQKLWAGTVTISPNAKTGAHHHGHLESVIYVLKGKARMRWGEKLEFTAEAG PGDFIFVPPYVPHQEINALEGEALECVLMRSDGEAVAVNLPDLVPAENPEGVKWIDPT HANLPDHHHHEHTHSHGDGDGHTHDHSHS LY89DRAFT_668157 MDDAGDRQRPTRRLIVHALRHTEAEHKVTKTTNIEICNPRLTQR GQEQCETIRQTFKRVLPSKPKIFSSPLYRALETIFRSFPSFIDAETGTIVRLLAELQS LDDGRNGTASSLHKLSEDWGFEVSFKELRIGYNRKDVKETAAWATIPGRRAFLNTLLE QIFEEMTEGIEEVVFGTHSSIIREVFYLDEDDDYRANRRQFLHSFEWDSDNRKLIPLS QKQVAAYRDTRE LY89DRAFT_683928 MLDTRAKTIVVVSAVLGFLATLMVILRFWARYLKRQKFGIDDVL LIVALCLTLGVVTIDIITATWARVGEHEITVPSGPAKGDPLPWEIKRESITLWSIEIL HTCAMPAIKAYTLAFYLRIFHVSRGFRTSVYVMGAYVFCWWISVLLVTIFQCLPVGPV HTLDSKCIDVLKFFRVAAVTNVISDVIIILMPIPVVLKLQLPIGQKIAVVAIFCTSSL VIVAGIGRTVAYFSVAHNLDFSYHDYYTIIWTSIEPCMGVIGACLPSLRPIFLGFSPE SLVGSIRSVLSLRSMGSRDSRGRSKKDSQRLREPSESVDGASGKSFENIHDKFPSEGL SQVQHMDRVVELDERGQVIDV LY89DRAFT_732657 MHLLTQYLFIIALSGHALAAPAAPNLDARAVNARGDSLIEAIFV EGDVVDAEPKLKRGDALIEDIFVEGAVVEAAPKLKRGDSLIEQIFVEGEVVETETKLK RGDSLIEQIFVEGDVVGKAKRGDVLIGRILDEGAVVARE LY89DRAFT_33966 MGPPSPSVDAAWKDLLLGLNVRGTKEELIRGGENFTNIAQLTDG DYVIVPLVYHQIHCLDTFRRMQYIDYYRGIAGPDDDLSIPEGHFDHCLENLRKQVMCH ADLSMLTGEWVKPSTEKDHIELRTMSKSKCVKWEPIESWARSRSLDRGKYSILAGPFG A LY89DRAFT_706602 MNVRIDRHANATVWRPPEGEIPFDVPEAGKPCHTWYKVVGDLNV TSPSIVPLITLHGGPGVCHELLGPLADLNEQYGIPVIFYDQIGNGKSTHIREKAGDEA FWSVELFMRELDNLIDYLGIRDGFDLYGQSWGGLLASQYATLHPKGLRKMILANSPAS VELVLKGEEALRAALPKEVRETIERCEREGTTDSEEYAKALEVYRKRHICRIDPFPEE MNIAMRHMKEDPTVVNTMWGGDKLKPTGSLKDWTIIKDIPKIEVETFVLHGRYDQVQD ISVIPFFELLPRVRWVTLEKSSHLPFFEERERYMELLGGFCGGFPK LY89DRAFT_717602 MAKAKQKKNKGAAPEKVESKPQSPKIRPLSSALVPRTKTHKPRP LSVASPPARVIVHLMRHAEAQKKTDIFGPKLRDPSLSDVGRAQCSTFVEEFKDHQSHV THILCSPMKRAINTAVVAFPDVVGKSLTVYAMPELQSLDRGPGGIGASFSRLSKKYRV KEGEMPKVDVTTFGRKGWNDKEYGAWSPSEAHRRVDFIKGFLRGLLAAATSKIIEVVI ITHRSFLKQLCRSAKTGFMVFSTCALFQDGELRPIGDRELKKLRDGESLSLEVPDEGP KEENG LY89DRAFT_732662 MENATPNIIVHFMRHAEPAHKCGGIDDLTMLDPDISYNGRLQCL AFSSAIAPDLEQHVTHIFSSPMLRALTTTCIALWPLLRKGLTVHALPELQNLDMGPSG TGMNVSDLDKEFTGNFGLLGGKDRKSVVDVKTFMAKDWNSKETGSWSTPEVEWRVDYM RGFLKGLLAGRERVEVVIVSHGSFLRKLVNDVKFQDVVLTSCVFGEDGKFEEISQDKL GDLRKQMKE LY89DRAFT_668165 MQRHVGPAIHVPICANSSRHLTSATGCFLNHFCTSKNPSYTETN PEEIPSLPLSVPMATIPRPEFVIHLVRHAEAVHKLLNQDADYSIRNPKLTRNGQIYCI EQRSEMEPIIRRVQHILCSPLRRTIETALLLFEASIEKGIKLELREELQSFGQHPSSL GDSIAKLKETFGEIAITDGLLEDYNDVRIKESVDSDSEDGRWDDLVERVQELYEQYEG KHVEIAIVTHSSVIHSTLALDCPKLHGGILSYCWDGLKLIKREQLERLREIYGQPGEL RGIKAVNLKDNQWSKEREGWLGKK LY89DRAFT_781079 MSMEDVRAGGPSGRPSLGNRRQTIPRKPVGIERHDTLSVPSGYT FDVRGHEDGASFVSRLSTQSSGGFYGHGFISTFSPDTGYHGNNIGEQDIPLMDITPAT PRHGTSDKDSIPPSRIDPLSDYFEQNPDYLDERPCDWLPAALRWPFMTILLLVSFGLG ILVLALTIVSARNSGLGSDQNTSIFLFGWRFTPTLFAVIYTLLLMAMVGEIKRTEPYA RLSRPQGSSAASSLFFKPRAFWFDPFDSFSKQKNDRIRNWTLFWASIVYILGLLIVSP FSAALLSPAEVLITQDAQFSRLATSAASPMQLSTDDSVFFRTISSIILNTTTSAWLSN NYTVVPFWPSNLHSVPSGAALSANEEQWVGNTTVFQTSLQCDTMNLKQFSNYSLNSTA QYNASTIVTTNLTSFVLESEDGCSFGLSGLTPEYDGTGVIWSTGGGWWAAAPNFSYPL LWGAGNGSAADFTTETPIAINSSSQCGNRTMFFLATPESVQPYQAKGYICSSGYYSAI LPVTVSNTGTSSSFKFDEQQFNRTKTPIPANVLNLTDFENTFLSQNWSTKFQPPDSST NPVMTIRPGIGGPLILVGAQNGFDIPAMIANPNLVDQGRQVKQRLLGESMQPIFQQIG TQQAEDIQGQIGVNEERIVVSLIIGTLLTVVLFMSTFMIGLVVYFTRLRKRPLNLYQN PTSTAAAASLIRSEPSSRSIFEGLDRSSEDAMLRQLDGYVFSLRDGVLYSYHVKDNFQ ESKFDTNSVNESSKPEDWRPKVLRGWLLALLLFLLAALVITLAVLYAKFHTSGIHQSF LVSGIDFKIDNRSLEALAPYSIIPTLIAVGIRLWWGSIDETFRRLQPYVSMAHNQSES MKMTVLSYVSAPLVWAAVKAVPYRHWLLVIVAAGAFLSEIFTIGMSALWDRNPGFRTY NSTLARTVEFRSVPAIFEVPPPSPHGYDASVDTQNAKGALTNVFGNLLTSWLYAAINE GAYNTTPSAWMNDDWAFMPAEISSISISSQSVSSSDNAPVAGSAVNATFDTPAIRGRL ECSPLDMSNTSAWLYTLDFTNKTAWNSTIPSDLKTGYELKLGLAMNESVGDGKYTYWD DDSPYFSFFATDYRLKCCGNETNGTVNEASVGYWSLPADSMHQGIVVKWITGHPYVSQ FNDSTGSDYLSPGEGYGAHLHWAWKDVPKVTALNCTPVFETANASITVDVSTGIVQNY TINDTPRLDPNAWAYNYVQLNVSKGVPYNATMYTGSGYEVKPGSFVNNVTVSYGYLFH DALLGAANSALTGNDPMAASVTAENLSDRTFNFRLPGLNVDFMSYVSLSLANNTASPL LDPNTLGNISSTVFSMFFKHFVHSNVTTNAGMYMNGSWGLQPRGAVIPSDLGPTISSL SKAYLQDNFTASNTPPTITTTISTRIQQLDLSPVAVFLCISILCFLMLTTAIVLGWHR KYLRLLPRDVDTLGSILGFVYASERLLAKSNDKTALETDAGNTEMVRMGWFESRGKRR WGVEIVDRPVGLRKPPKPVKVPKLPKPPRMPKPPRPPKVPSERKRKKDKQKAVERIET GYPMPGMARGDYEEVGSGVDLSAWQLRPARAERWA LY89DRAFT_781080 MPKAFGSTSPWADPAWYSGRPSPYYNARYVKLRDWVRKWTEDNV VGNEEEWEKVGKIPDDVYEKCARDGLLVPIAFGNRIPREWGHYPIAAGIKAEEWNGFH DFILWDELFRGASSISSQFIGLVVGAPPLKQYASPALQKKILPEILSGKKRICLAITE PSAGSDVRNITTTAEKTPDGKHYIVNGEKKWITNGIFSDYFMTTVRTGGAGASGMSML LIPRLPGLKPRKIEIGGSSMGATTYVTFEDVKVPAEYLVGQEGGGFKYTMSNFNHERL WIAFQALRGSRICLEDAMTWCQKREAFGKTLIEQPVVRHKFGHMARQIDGLQSWIESL IYELENMSHAEGSKVLGGATALLKVQAGIVAKYIADESQKLMGGLGLTKTGQGARIES IARAIPTLIVPGGSEDVLLDLGVREALKLSAAAKKAKL LY89DRAFT_732666 MAPCSTHRELLVIFLGLILSFVSITAACDPLYLNPLLVHQDLCS AEFPVSGVFQEHEILPLREAPTEASSSVYDVVPIPGKGFGVVANRKLPRRTKIIIEEA LISVPMPEMVPGQGFRMADMLTNVEDAFHSLSPAQQQEFLDLHEHRFPTEENQSKLLT ILRSNAYNTGDDYVGIFPKIARINHSCRPNSGNFWSMKRNQRVIYAFRDIERGEEVTV SYIPLTKSTKERQARLFQYGFTCGCDACQSVESSRKRVKIADFIEVLEQKVHLDGLSE KSAERMLSKSMSLVDMIEEEELADYLAKAYHFAAVFHEKKGDLKWIRWNLSQRSNMSM ISA LY89DRAFT_683935 MSIVPEPIAACLTAINQKSSSAFITPFTQDAILIDEGRTDKGRD AIQAWCEKALLSHNATIKIQQTITQQDRTIVKVIMDGDFVEDYGITEPFTLYFSFQIK DNKIHHLLITPWDSSRPSMKAVYLDRANANDPISTVKIAPRPQQDPPEGWVRVKIQAV GLNYHDIFTMKGHGMHPLTFPLILGCEGTGTLAEGTPVLLYPCMGSPDFKGDETLDRT RNVFSELTNGTLAEYVNVPERNIVVRPKELEVESAAVLGIAWLAAYRMLVTKSGLRAG QTMLVQGSSGGVSSALIQLGAAAGMRVLCTGRTAAKRELGLKLGAEKAFEAGQELPEK VDAAFDTSGEVTWEHSMNSVKTGGTIVTCGGHGGRTIPVDVSRVFVEQINIRGSYLGT LQEFRYLIDFVVAKSIKPHIGLVVSMDEAEVGFRKMLNGETAGKIVVRM LY89DRAFT_668169 MSSPKTKTRQKSCIACANAKRRCDRRTPICTQCVGKSILCEYKG SVKPRMERPPISEISIDTPVVSRPETNGLSQPLLDYPNSSVPTDFPRQINPMLDVDET FLDMYDMQLLHDPLPLPRIGTMDRPRATWIIKALRSYPTLLLTTTRTPFIHPLLFSPT ISSPLQDAISACSLYLAKNETNGAVVWEVISSMIAKLLQPMPNWAVAEHLSCVQSLVI FQIIRLFDGDVRARGDAEEAEVVLNDWTDRLALRTGIVVEDQDNASFDAGYVIEKSWE SWVFEESVKRTVIVSRMVSAMYSVLKKGYCTYVEKVTELSFTAGKELWDAGSAVHWRL VMEAQKSLDVQRMELDEILGKARLEDVDELGLLMLVTYKGVDGVNEWIVQMGSKSLID PCPVGP LY89DRAFT_732669 MYELLIVRKHGKERQHQIFTTFPDYDEYRTKDLYARHDSEPNLW RHVGRADDTIVFLTGEKVNPVSMEQYIFSQNPEVAGALIAGSQRFQAVLLVELVDKSM LQSTKRSEVVEKIWPSIKYQAFATIPKGTIQRATALKHYAEEFDALYETADEVYVSGE VGTQIGPLDNDAVLLYLTRAAWEITGRVFGQEDNFFVNGMDSLQALLLARDLKTTLAN PTWNVDVIYGYPSISLLAQYLQTDSLARPTSLLNGPENLQHNIVTILKIYEARVDDIE QPALPPRTEPHTKVFLLTGSTGLLGSHLLDDLLQTPEVEHIFCLNRNSASKFQTKRNS SRSLVSQFPAKRVTFLTANYSEPYFGLQPEIYHSLLEDVTNIVHNAWPVNFKLALSSF FPQLDGVINFIKFAACASHSPPILFVSSVSAASEFRGSPIPEAVITDSSAPVTTGYGQ SKYLSERILGYAAQKLSLKTQIARVGQIAGPLYGKGEWNRSEWFPSLVRSSIYLGAIP KSLGPSLDQIDWIPIDILARILVSLTRVPLHAPHDPNGSESASSCAADVYNLLNPSLA EWKELLPFITKAVSFANSENGARQIDKVSFENWLSLVREDIESQESISGNDLRDALDR NPAFQLLDFCREEMKREKSKWITGKSQDASDALRNLGPIKGEWLEKWMKGWE LY89DRAFT_732670 MPDSSATSRMQSSHIRQRLPRRAKANNSPSIDDATVTGPELTER SVNILKHRESMAESNLSRRDVKQFEFVTLTSQPTMITTRQTSKTVRKQAMNDYLRKQN RQATTGIVETVESVQLEEPSRYKGKFKLDTWSHKTKTKAILARRTKLSHDESPSANEE SLPTIGSWAPSSLARVTAWQPIERDDLLPRIFSPSTSSIDPFDTLAIRLGPLSENLLV HYNTEYTMNSVAINAESNFFSFVKTDPALFHSILYLVSLHRDIWYGLVDSPISLYHGS EAFRIINERLENNFIFDDVTIAAVAMLNLNGRYDLSKMHMQGLKQMIQTRGGPPSLSG VFRRIVTWSDFCFANVWNIQPSFPRLPSTPPNSPTSSPSLRILESDPDLYILKPSHLF GSSSPIIQIINNFRTLTRLLPPSQTPSLPKSVRMHASNLIYDTEYSLLALNPPSSTPD SFSLALSNCGFVFESLPLRTALHLYLYLSIRLIPTSSELVQSMLLRLKDSLEQLGIVE WWESDEERRIWLLWIFWVGAVAAKSEERWWFVSQVGRLCAEMNIWDVKRLKETLRRAV WEEEWCGNLAERVWDDFITQTAEVQTYAE LY89DRAFT_683936 MATRTVLILGSGPRIGDAVSEKFAADGYNVALVSRKGTNLINDK GYLSLQADFANTEPSLLASIFDKVKSEFKVAPSVVIYNAGSITPPPDADSALSIPSAR VTNDLNVNVVSPYIAAQEAIKHWETLPAEGKKLFIYTGNICNVAVLPVPLLLNGGMGK AATAYWLGVADGAYTAKGCRFIYADQRQADGKIAGQAVSGPAHAEFYTQLAESGANDV PWHATFVKDKGYVKF LY89DRAFT_34213 MNRSSNGCWTCRLRRKKCDEQRPVCNECLTLHISTCKYGDKPVW MDGGIKQEAMSEQIKNEVKQHAPYRRRGKQESAANLNNNVSISIAESEDQSAARSNIH DFSTPPSGPSDVTSPVDTKRVCKQILQLRPFGQSDSVLLVFYLERILPFLFPFYRPAP LHGGRAWILDLVISSPVFRQAILCQSSYFFALAHGTSTITDDRMWETLLEQTKDAFNM LRGSIRIIESYDKNEHILGSVRAMAAVMQLQRFEIAITCFDNCQAHLSAALTLFKKVV DVVGAASLASSSTTFQTILNLLGLPSWILPAQQAEVSSSEQAAFRFSTALLLFDDIIA STALQERPQLYDYHQSLLCQNDGIDIVPPINLETTMGCQNWVLKQIGEIAALDAWKKR CSAAGNLDVAELVHRAAAIKKTLEAHVSQFETYLVSVPEGSSLLDDMFAAEDHAGQAR TIARQSWLVTRIWAHSAFLYLSVIVSGWQPANVDVRHHVSQVLELLTHQVSPPALLRT MAWPFCVVGCLTESAQKPRIREMIDLLRPASRFSTVRRGFDIIEKVWNDRAMGNAGEF ATYFRSDTTLVLLV LY89DRAFT_34227 MRVRIGTGFLSKSMFELNTTIHASQPDPCLECLLTASWECSHQH DPAFTYLPSTSVSMTPSTGAGIADVVATRQEISGLPSSQEHGGTSELTSTSTRDVTST SRRLKNREKWSSIKNEVHQYYIIEGKTLQETMVNFERKYSFRASLRKWKMQMKEWNFD KNMSKHDMAILVAKAEKRARDADKDTIFYNRGKEISSEKFLHFKKRRITEQIEVASSS AATPIDITYDTPRYDLALNDIEGSNESNSSSGIENNEFDESTVAQPPEPLQKAVYDQN FGPDLYCLGHNQDIIPFSKLQVLALDILECARSGTTMDGRKSQPDGTIMQYFCGISYG LKYGHGNGDAFLPYISDLMRAQPTLDIHIWIAAHVHHNPFEELLELINETYGLTAKVS VDMAALISPSRNSCDIDSQWARSMHFLRTEELTPEVEKSVQQGLLCELEIAVLALTKP PLLLGQKVLAHGLLKFVSKYLSWNKQWTPPSPYEAWLAAPSWDHTLYVTEIFTLNETA KEGGMTRALASSMQCIRDCEKAISLFHTQESTMDIVISLVFCQTAAMCGEGCFAMVQQ IFAKLLIMFEPRLDIPLLKFYTLLYRSVYYAICRRQQLYQEDMIAAQSVIDTLKSWTE RERMRTALSEARRRADKIFRDAIWVL LY89DRAFT_614416 MTDSNILLGPKKAAPPPNPSLLTLAETLLSTGPFKIESTPRRVR ALFGGKFLFDTTSAKHVWEHKYFPHFWVPLSSVVPDVLTKTSSVDDSESAFGGIVTIP GTEKSTDRVLIFEKGPLAGLVKFDFKAMDAWFEEDQQIYDHPKNPYTRIDILASSRKI EVKIAGVTVAESSSSMFLFETGLRPRYYLPKTSVKQEYLTPSSTTTKCPYKGMAQYYN VTIEGKEYKDTIWWYEYPTTESAAIQGYVCFYNEKVDTYVDGVLEEK LY89DRAFT_614419 MATEPEYELVRATASILAWYDSTRPMYIDLVGDYAGKELFLVEG DSLLRECFDDERIDFDGGFQLLHAVYVVERFLENLIKRHCQFHVAFFDENAQLCVPLG KSDAQRARYLLARAVIKRHLMIRLPKSHPSVLVKSFPSLHSSEFSEYLQDSPIHFVMT HDGSSKESRFAVETPEGEEQELRRIPKDEKYTKRLFRGIIWWFNTHKLNVALINRIEF RDSKVFTMIVESFRPSSREKLVMTSSFVDAIKATQDQLNALHPVAEFPFENSDMKRLS DAMGDEEISESYCLSIYGVSRLLKQEDCDEFLASAYILHSIALKHMPISQRRLPLVTF DDDFEEQINQFLTSLSMIIRCAIDSPKWPTFMDHEDIELGAVDIIDGRLFRAVIQAMC DGSLKGVVPRAAQPDWELLSGLIADLTDDELSIDGSPEPTSSKTSATKSDFVVKNENL FVLPFSNPVLDKHLECIHVETDKSLPRRLGALKIYRETTHWHNHRKPLDVKTGPPQKV SKWRNPLRTNQFYMKEMTTYAASLTGAKGKALEPETVTVGPKQLIKFADEVPEKSASK SKKESAEPQNKGGPAKKGTKKGAAGLSKKDQMIADNKERKGGAESDKAFAAWSTVMKD LDAVSDEQDRYLRTIQYLNNLDAAKTTYLETDIYTYVLQSLLNWWASYCKVDKKNQGY HVVALIWTTIRSICTSKAPVSKDTVQHMTKICTLLGIPDSMDSYNPSPSDRTLSFYFK FPPITQDIRITMSQTEFQLDYCGPYMDRMLDAKPDPRVGSFVPDGWQRDVLDQLDANK SVFVVAPTSAGKTFISFYAMEQVLRADNDGVLVYVAPTKALVNQIAAEIQGRFSKKFP VPGKGVWAIHTRDYRVNNPTGCQILVTVPHILQIMLLSPSNAKSWAPKVRRIIFDEIH CIGQAEDGVVWEQLLLLSPCPIVALSATVGNPAQFSDWLTVTQKSSGSELKMIQHGTR YSDLRKYMYQPPQAFRFSGLGTSYGVGLGLDGLDGFSAFHPVASLVEKSRGMPDDLAL EPRDCLSLWKAMVKCQTEKYRVPDSISPSKSLPKCIRKADIFAWEKAIKKVLLQWMGD RNSPFDKVVQELSMLKITGETEPNQPPSSTTSSVTEGDHLDKHDLKSTTLPLLYQLHK RRALPAILFNYDRSQCEDIGCAVLAQLVEAETRWKEGPQWKKKMEGYEKYQQQKDKKS RKPVKPVKKSKDDEEDGGSKLDRMRDESSEGASVYDLFDPEEPQAEFSFANPKQLQKA ELHEYVRALRWKNVREELIKLLRRGIGVHHAGMNRKYRQCVEMLFRKGFLRVVIATGT LSLGINMPCATVVFSGDSVFLTALNFRQAAGRSGRRGFDLLGNVVFQGIPTARASRLL SSRLPEMTGHFPLTTTLVLRLFTLLNDSGNSKYAVQSVNALLSQPRLYMGGQSFKEQV LHHLRFSIEYLRRNDLLGPNGEPVNFTSCVSHLYYTENSSFAFHALLKGGFFHTLCAD IDTKTTIVLYQMMLVLSHLFGRRVVREIDDPEQAEKIKRSSSMVYLPAMPEEAANILR AHNKDTLDVFTTYVKTFAEQHVKEEERRLPLTHVPVGKISSAANGKTSNGHAKANGTA EKKQPDAMDFLPSLPRPHARSAFVALSGLGDTFSTIEDLCSSTPEGVFLEAAVVPHLE LHPDETRSPLNAYLLDFYMHGSVVPLDVANGIRKADVWFLLNDFSMILATITTSLAIY LGLDSGTDPEMLDVMGSGDAAENDADEKVAAETIPDAPVANKTVTPDQTFQPKKNRKV AEDWDAGEDALVAEEDFLKSRVGKEMEGTDDEEYEKLMNVYKAFKKLKTEFDEKFWAI FA LY89DRAFT_668177 MLRARTLSIIVTTGTTLIDASLEPLIYVIAIWIDECVLSEIVEL ADYRKGGPESGGCESTPTISSRMMKPSGSLFENRRIEKDDFQAMVDFIVHLYPLKNDQ KSVEQDEPKWLRLPIISRIPIFVNGPLKAHVVDLHRQALSCAEQKTGLQEIGDRLVGF LDAGCCAEYSEDEPKEIHCCEVQVHE LY89DRAFT_732676 MVYISKLASAVAVACLTGSTFAHPGEHHDHAAIKREVKVRDQMA SAAKRSLDTCSNSLKHREVAARSVKRRAEVARDIRQKRNIQSKPKKFRRDLATLEVYE AINHNETGILDYDTTTAETTVFSANTSCILTPENTDGPYYVTGELIRKNVTEGQAGIP LYLEVQYLDITTCLPVPEIYVDIWNCNSTGYYSGIVATGNDVGWDTTFLRGIQATDSD GVASFETIFPGHYAGRAIHTHLLSHTNVTVLANGTISGGGVSHIGQLFWNEELRSAAE AVYPYNTNTQAITSNAEDMWSIVQAENDYDPFPEFIYLGDSIEDGLLAWIQIGINVTA DQSDSSYYNVAAHYEADGGHATTSTSTGGGGGDFNGTAPDNSTSSTAV LY89DRAFT_668179 MSPLRPTIVIHLMRSTKLRTQTGESIDPVAIERAHEFAETYPHL KHIAHLFSSPTDRDKEVAGTAFAPVLSRGIKHQILPILRANTRLQAMLPTNGVKVELK LY89DRAFT_583232 MGVSEKEKYGSDIEATSPGLVSPADDVEVGEGGLKRNLKGRHMQ MIAIGGSIGAGLFVGSGSALNSGGPASLVIDFIIIGFMLLLTVNALGELAALYPVAGS FYNYSIRFIDPAWGFAMGWNYAMNWLVVLPFELTTAGITIAFWTDPQNTGTPSVNVGV WITIFLVLVCVINIFGVKGYGEVEFVLGCIKVLAVIGFILLGVIIDCGGVPTDTRGYI GAQYWHNPGAFRNGFKGFCSVFVTASFAFGGTELVGLASAEAANPRKSIPKATKQVFW RITLFYVVSLFILGLIVPSDDKNLSNATGGNTRYSPFVLSFQLAGIKVLPSIFNAVIT LSVISVANSCTFASTRTIQALCARGMGPSIGARVDKKGRPYVVLIVVLLFGCLAYINE AKSGGTIFTWLLSLSGLSNFFTWGSICFAHIRFRKAWKMNGRTTDDLPFAAMFGVIGS WIGLALNILCLIAQFYTALFPIGGSPNAEVFFESYLAAPIVILFFIGYKLYYRQWTLG VKLQDINIDEGRRELDLDAFRAEMDAERAEKASWPWWKRTWDFWM LY89DRAFT_717616 MPRCDYGTRLPSRADPTRALAPAQPGSSSSSSSSSSSDSGNEDW KMSLPASLRGASPFLLFRLILSANAWRLEPHVRQQHGLSFAFLCAESIRLLRDRVENE GGDIGVRDETISAVATLAGIEHERGNTKMLRMHLEGLKRMVNIRGGLNAIRENNPMVA NSVFWAFAVALYEAPYPNLDAELPPFFPNEHDLALPSPAVVSIFQDFGPIHEEPPPLD LTESGVNPGIAVIVNSIQHVSQLVPTASAYPTASTSMVILTRMCTLLSHLLSLPRARL YPEIDTNATLAEAALISESVRFATLLHVFTPWRGLPPDGTLAINHILHQLMASLKQLL ANATSRNNTVLLWMLTVGGVSAGQTPERAWFVSHLVELTEELNISNWEGMKSHVRRVI WHERLCENSHQKLWEEVMTKRRELDDGMGVNTT LY89DRAFT_732680 MADTKAEITTLLQTYAKHLNASDTSSIVPLYTKDGVFMAQHFPT ASGTNEVRDAYNKTFSLITLSVEFTIHEIIPTSPSYAIARTSSIGTVTMQSNGGKTNE GNQELFVLQKEDGEWKIARYCFCSVLPPH LY89DRAFT_732681 MSHSTMKAAVIYEAGGPSALKLEQKPTPTPQPGNVLIKIHAFGL NRSELFTRQGHSPNVKFPRVLGIECVGTVASCPGNEFKEGDVVATCMGGLGREFDGGY AEYSSVPVKNVQVIKGGVEGLSDGEGHHGWEVLGACPEMLQTSWGSLFKSLRVKSGER FLVRGGTTSVGLAAASIAKNYGAFVASSTRRKDREQMLRDAGADEVVIDDGSVSKSIE EKYDKVLELIGTTTLEDSLQCVKEGGSVCMVGMVGGKWEMDKFSPMGSIPTAVNLTTY AGGPEDFMATPLNELVGQIRDGKLKIKIGKVFKLDEIVEAHKVMEENSAGGKIVVVT LY89DRAFT_683942 MGILVKLIGSGIGLASEAISHRKASKEEKAAAAAAGLNSNNGES SAAGASRSRSVPDYDEAPPEYVEVPDETAEKLIATGQAVPVDSKDEKHLYREDEEDVS EDDDEELWEMDDAAGALTESNTQEENARSPQSLNQLTDTFMHDHPPPMYTPGTPSFKR NPLPCPVILPQRRPRDKKRGFVRAYAPVLEDCGIDQATFLDFLKTFHASCKEDPWLQV VNMAAMAVGFVPNPIAMGVTIAVQFAVGVAMEVQRRTRTNSFLDRMNNEFFKPRGLYC LIMTYKPESTSSHSRVDITQTISSSMTPAASKNRQTLKNMRLSSGKTYGELELPEAAP LIFPALEDLADDNSQNAEKKKSAMKSSQKFVADYFDRRAQAKYIAENPNSKLAISPGD ENGQKFSSRYADPNHPANSGSLISLLTGGHVNPKARKQQRRIDRRMYKAYRRGEEITP QTGKKRDGLVKKILKKDVLYLMIVNLPSDEELQAAGGLAAVAAEDR LY89DRAFT_34331 MDLLRSACRPISRLFRYLRYLRYSRWSRWSRCSRLLLCYFATCK ALNQFLEMFPERMLFYSSSRDSSQRQVHYVLQ LY89DRAFT_717619 MEEQKRVWFEKHESGGGGKSSGVLQPPEEVLKAHQRFKNKLRKA LRVEKREVHIASTKEDLDKQIKETQDGFFPHLVAQQNLDDATKSQDIYHADRTHGAKR VGRWAQEFANGFSQFVSAYSGIIDVVQNAAGPYGDVAYQTLSIFLIVVVNKSSNDIKI KDLLDDMRKSFPRLENWADIYPTTTMRKLVADAYEQVIEFSRAATEYFTHFLTRFTLA IIAPPSTGVDKIAALIHKTLAEINSEAMYKLHGRSQDIQTQVNKSGQHIEELKAQVTI SGEKIQKLQVYAERMEDTNDWLRRALQEQSDKFDAYKKEVEQRAQQEDEQRLKTLEEE LGVTFPSPETNVEETKRYLKQVFPNSPRYVAHMPETAYVQMNRALLQKTEIYRSWISS TDSCLLFLSGKTAYDGRHYRGWSHCWLSPAAIYITEDLAREDIHVAFFSCHPGLESKV VPTKQIISSIILQILMRKPHILREKAVQFHSAALSDPFRNSANTKTQARAMMKLLGDV LAAVKDLGTTFIVLDRLDQCEGKFKFVMDELVRLVGNPACNVKIAVIAETSIGGGEWD PDMLSEVEYKVDRVFRRQDWNQARLTNLELNRGERPLTWTSEGSTLSLGDVTA LY89DRAFT_643820 MATTMETDLQQRYTQLLEQRIAQLEALVNAPPKSPEVSKVDDKS ENKTEANGVATEKATGTDTKDKGTETDGKKEDETKPTIRYRNILRKWDRSAGSHKDED VSAALLKKSDSKDIAYTFRRVYDPETGEKGAFSELDIEGEELRGILKSVIDNKYPGIN FDGELVTIAAPFSPLVHNWDKLQKKLEDTPESQATKDLAHLLGRVQSAPELEKYFKTR AANISAQITTYETLWTCFAPKTKILVKPFMNIPQIFEVLTSPIPFSNPVESTLDMWAW CWDWNGKKMVRVYYQLKFERFRGTKPINELEYYPIDFDQNKEEVLKDIKARSERYVKC TQVKRGASQMFTYRGDAYGDRRKVIASGDDGEDTEGGGSKRYQNEDLLGKEKYSPTVV PVKGEFICDAAAFLQYGTGSHVLGEWEERFTDEDPREPDGTPINLDIRKALEDDTHQL FPPRLLGYATREKMWGQFSVELTQTAPNRDFKPFKEDLQLDQRYKDMIEALVDSHESS TAELEQQLQVKDVVQGKGRGLVLLLHGPPGVGKTLTAETIATATGKPLFIVSVAEIGL DPSRAERNLEKLFNLASKWEAILLVDEADVFLETRGAASSAGRNALVSVLLRVLEYYQ GIIILTTNRIKSIDVAVISRIHLAIRYTDLTEPQMRKIFAFFLDQLMPQWIDDRSRID DFVNDYAYQFKLNGRQIRNVVSGALASARHGAAKKKGSGLLTFRHLKDACEMTREFEE QLKENTMAQRYNNEAGK LY89DRAFT_781098 MPALAGKRGASYGSDTAPRHAEVDDVWIQEQTRSRRTQTGPEKF VMQYLTLKGLSAKEASKVREVVVVTHGQQLSKLLGKAYREQHFPNAKLRSYMVKTGIA SGSLIHLRTERELDAQRVIQHDWAKEIQQQKEVKEMHARALISRQEKDKAEKAKAAKR EQQKAKQEFRQKKGEHKRKSMHTETETPVTSTEEANNRPLLTAQVGRPNNITSWCEKR SQG LY89DRAFT_683944 MCYPAASSTGPKPKSSDYPPTIIVHIVRHAHATFNNGNDMSASV DYAGERQCDDLARTFSRDNEGITHILCSPYKRCIDTARKSLRSVINNGVKIDLMRSLS GRETDNKDWVDGIKFFEESSLHEMGRWIQRDSKATDLDFVRGWLSLKEMNLAEAQKMK EVVVVTHERFLEKLFRSGSIRYHRFGLAEARTYRLTPGDGTLVAVGPHELKQLRKAQG EWASALALQKAIEEIKRSEALLAAKITADLKAKAEAQGTKLAQDTVRSRLDGVRAATL SC LY89DRAFT_732688 MKFTTLTGLFALLTTAIANPISSELTTNLAKRATEGIHLVNCGN NVYSVVVYCPNDGDCNHDPGAGNGCDHPNGGTFTWEGSQQNCKFDTGTTLTWNIESNA QSQPNFAQVGTGSNGFHNFNIFKDDKHTMFTDGNKNQCNSIYYALDVS LY89DRAFT_717622 MHSEFSGAPAAEPVQPSEVRASATADLITDGSCPISMALDSKSR RWTLQPSLHPSKSHVPPAGILYCTAVTAGGLQTHRFKPRTPAHRVVYPVLPGTDSTSY LRKSPSILCRVCGGSTIIKNHEPANLKTSSSSTKSTTMPTTKPKNGQRYRICGTPSRL GCLTCKIRRVKCGEEKPFCKRCTSTGRKCDGYGPPKPAHNSAVAQRTPSLPKCNPTTT CGENPLEQRLLYFFATATAPSLTGTFSSEFWENKVVQMSMVEPTIQHAVIAIAAIHQD FVNRHHSRGANYDSSLQTFAFRQYTKAISNLHNLMSTRAHQMDLTLISCILFITIDCL LGNHASAIIHLKAGLRILQNIKSQREQKGLCDAEWERNYSPPLIALGVQAATFVNPHL QKERTELWTFLTTAGLHSKPMVFTSLDTARYALDTIVAEIMTDRTSTNSILAHTTPDP SGVAAHKHLAALHSWSQALSHFITSFISLDPSASKPRQGATLLKVHSLVVSIVIGRPE DADEKFEDILALCEYLITTGGCFTSTLTNLNFAADQGVIAPLFFTALRAPDPSAKARA LALLARAPGREGMWDTEDAIRVAEGAQKSDSLHVPITAVPMAPVTDDVVIWLDVAARL KAKVTWPFGERQPVEAGPVPFQGGMGMAMSPGEQAEAPDYMPKYAWLLRRRRGSDVTS LY89DRAFT_34505 MREHESKRERIRQMIRKKCTRYCWISYLVLEFGVDLYPGHGYIP MSSFPMAGCVASYSAGMMEIGCEKVLLRKKVFSDSSRGNTINIHPLK LY89DRAFT_696449 MIHRNVRGGVPSPYPRFLFHGLRTAQLLASIVVSGIMAYFIYYL RMEHFAIPWTFIVLIAVSLATILALLITIIFYNFTYLSPGFNLLLNGSISLFWALGLA LLSWSVSTSHVLAKACTGSVWGGEAEAGVCRDYKALWGMALVGTVSTFAALTLDIATQ RKVTRRGVYKLPEDDKDAQKLSELKSMRVRTQGYEAPREQGGDGVWRDEHLHGEEYHS RYGAEEEVSHGLRGDVVGGYSGVGFRD LY89DRAFT_34616 MFRVNAFKACRLSVGWTGATVVIVFSMSSRSCYLLINVLTAMKS TFGKYVHCRLLEGNTGMKIPQAAVIALIFRFLEKLVNTRLAVISRRWAMVTLVREEAP YQVPNSVTR LY89DRAFT_668190 MSTNSTVHIGVWTNWSGGRVGGSTITLTKGAGNVLIAALAIFIQ LTGARSWSIISFIAHQIRASRQAKDGLYHQQQAILRNNNSDLSTIWQFTRIGWAWRRQ LKTFRKSAILLLTANIHLIAFLAAGLLASHFTKASSEVLLARSSSCGPWPINATGVNE TTLPHVIGYRSYAADAMQSSKEYVESCLAESQSLPECDIYKNPQIPWTETRTDCPFAD GFCLGSANTSLTMTTGLIDSRNDNGINGPNKDRVQWRRTATCIPINTEGYVEIGFSNR TNINGLDGAATLPFNYTALYYGVGNTPAIYLGFADPRLTNATYIYTNFRDIAGDYNEN QAGYDISTQEADGGMGWQAIPELSIMNSSTLLVFASFYGAYINRSEDLWLSAQFRNIT TVEVTSGQASTTIQQYQLDNPISPLGCTEQIQFCNPAAGPNHCTPYLSAGQMTFAQPD IFVQLFKNNTRQIATANSIFTAASNSRIAAVVGELEKPLLAESMMSGMTSLPLSADQW ISEVRNWFNIGLANTQRRIVDYATGPAPQYTQFVPQNQAYKDSALAWLCDSQVIQRND FTNFRTWVVGLVFGFGAIIIGLSLCLETITGRLRARRHRGEWRQRAWWSEETLQMQRR VYQAIGISGWDIGEDGMVPTTFGEKKWMSISAWEENVPTYPEEKRRGAATGDAPSIRG VGKGDVVTKLVSVTPTSPIASDSGSSIRRTRSNSV LY89DRAFT_717624 MAFNGNITLPAGATSHGQPDLLCLPTKWTDIVVFFMGNYVAHAA TIRLEPSTSIVNTVLAIVLALLIPISGVKRGIKGIMSFAKFGKTDLQVAARAGALCQV IQTDMQARSFREQLLTAFDHGSIFAGKIHSRYRLPDGYGFATVPWNSKFQNDDAPAAQ QITLAANYNIVSILVALAQLAFAVATIYRSRGDQITQYGYAAFGLTVTQYAAMSLVNL LGNLVCPQYTSIYLVRSTAMTDAEAEPGANAFFEGVVGTLLEDEIQADEAERRASAAL LRPPKSTWARIGNMLNLRKIQTLDLLLLPVSWMPTAVSVAIIYGLSRFEEGSSTFGQR AATMGWLAMGSWAGALGGWRGNLERDMSRRKIYSGYLLELAISLVSSVPSVAGYIVVA GMLEQYGICSHV LY89DRAFT_746036 MNKNEEQESAFTNMAFHIDNNRNKLSSTSRLPETTLVCARRYPN HQTPNSITNQPSIGSTEQDSHRLLWHPPHLPRHPIPSTISSQLLPLPTPLPLLPLSIP LPSTSYPPSTRPSPPPPSPVSPIFSINPPHLPPQPPNPRADNPQQHQNRNDRRCDLSF IVVGYWFAI LY89DRAFT_34639 MVRIPLPNRLKSYGGNSNNPSRSTSPNPNTHTNHTMKRTNTGEG PDAAKITGLMLKVVCLKARNLAAKDRGGTSDPYLVLTLGDSRNATQSVPKTLNPEWNV TMQMPISGVNSLLLDCVCWDKDRFGKDYLGEFDLALEDIFAGELTEVPPQWFPLRSKR PGGKKSSNVSGEVQLAFTLYDTTALGCPPAAVLDKFRTLAGVVDLGEVGTPTKQLSRT GTDGAGDEDEEYLDEDEEPSDETDDPTKPENVEKRRRKIRLRGLRKKKHQNAYEFTGG SEVVGIVFLEIGKITDLPPERNMTRTSFDMDPFVVASLGKKTYRTRVIRHNLNPIFNE KMIFQVLRHELGYSLSFTVIDRDKLTGNDFIASTSVPLKDITDTAPDAAPETGLYSLK EPPDPSAFPPQPNRSRFKLPLSRSSSSQSLNKLNRPGMQSKNSSQNIPAASLSASPPP SGANTPLIAPTSNPYSGLQPGDTLPLPNAVDDGDGFNGQGDPDLHAFTIPLKLKNAEK WEEKHSPQLYMKAKYVPYPALRQQFWRSMLRQYDTDESGRISKVELTTMLDTLGSTLK ESTIDSFFHRFPHTSASGEETSGDLTFDEAVICLEEQLIEKSKPQTMGDRVRNLMPDS AAVKSHIPTQLGGTSTALSSNTAETGPSVNATAVDQSQLGVEAQSVDELNTPGEEGDL LDRDDLNDKGEEHVVEIRECPICHQPRLNKRSDTDIITHIATCASQDWRQVNNIVMAG FVTSSQAQRKWYSKVITKISYGGYKLGANSANILVQDRITGQINEERMSVYVRLGIRL LYKGLKSKDMENKRIRKLLRGLSFKQGVKYDDPASKAEIQKFVNFHQLDMSEVLLPME QFKNFNQFFYRQLKPDARPCSAPDNPRIIVSPADCRSVVFNRMDEATKIWVKGREFSI GRLLGKAYPEDAERYRNGALGIFRLAPQDYHRFHIPVDGVMGTPKTIEGEYYTVNPMA IRSALDVYGENIRVVIPIDSVAHGRVMVICVGAMMVGSTVITRKPGENVKRAEELGYF KFGGSTILVLFEEGSMKFDDDLVDNSIGALETLVSLFSLPSSRPKLTNI LY89DRAFT_34681 MPAAITPPAVPMAKDLTVPRTSMMQTQNKEMLNTKRKIICFSDF DGTIFMQDTGHILFDAHGCGSKRREVLDEQIKTGERSFREVSEEMWGSLNVPFEDGFE VMEQTLEIDPDFQEFHKFCIANKIPFNVISAGLKPILRKVLDTFLGEEEASHIDIVAN DAEIKEDGSQWKPVWRHDTELGHDKALSITEARAAAHLECEDGTIPLIVFIGDGVSDL PAARQADVLFARRGLRLEEYCVENKIPYIAFDTFADIQREVSKIMVEDEKKTAGKGMP ARYNPRANLWRRVSSQNAVPMYVAATPSKEEKMFLWPNAFSDYQPKQKITEGVAA LY89DRAFT_34672 MDPFSTEGELMNLQNYFYQGQYQEAIDFDTAGLSPENKLPARVL SLRAQIALGQAEDVIADVQGEKDVELVAVGALAELAAGNESKALKIAEKLSTESGDNG AVQVLAGIVLQAAGKSEEALALLSNHQGNLEAVALIVQIHLEQNRTDLAIKEVSAARS WAQDSLLVNLAESWVGMRVGGERYQQGFYVFEELAQAPSTSSTQSLISQAVAEIHLGR LDEAEAALQQALSKEPKNAEAIANSLVLNIIAGKDAKQLTSELQSVAPNHHFLVDLQE KSELFDKAATKYSAKVTA LY89DRAFT_732697 MANMQRPVRQRGELFRQRGIFLPSISTTAPIKRHQHISQQLEYS QDERQQNEAQQVAQETRRRSNHTSNELTMSVPESRASSLEQYQEFFNNRLLRQCLDPR YFVPGPFLRELDDNDPYECTGEALYKKLFKETRYKVSYFEKGGRRPAQVTEFWDGVRE SLQDGDSEQYQDWPPEDEELVPTEQARKHKYKVTAKGPTRWKPNVREDWKKNAEYMKY VRGYLMEKKRSGILGLDARTTLGPML LY89DRAFT_34711 MPSNFEEQQAWRKALPAEFAKLPQTWGIRWKKERSGDYIEYYNL ETGVSTTTHPNLGPLPKNWTVRLCTMTKTGRRVPRYFNKRSRKFYVADPRLRPESIKA DNTSVSKFHKSNDIAQNNIFDVIGLKRKLVKGDKIQDFRRAEIGQKDISDAYEYLHAI DAGGEIGGMNGGVYVVRLKGAPTLFVEKRFLTGATNFKMAQNEIKLMHRVIHGALTFY INGFITPTKCAVWMEFCDLGSLGDIMKRYKEKRAASLLDKPHLPEKFLWHCFIGLCDA LAYLQSGLHYTKDAVKDPNKKAKGWISILHRDIKPDNVLLRSRTTSANRKYCYVTLSD FGLACEDLPAGHPDQDYSQKNGGISGTPTWWAPELCYDPYANQFQQLSGKYPGGQRPS VKSDLWALGTVMYDLAECDAFAHVDNTHRADVPPAVAGYARCLQRNPKVIKTYYSQPL RQSILEATESNVNKRPTPTEMVQQLSRRSDRLFPDGEDDMSDKEKLPVWATRVHDYHS RTPKRAEDFKKKI LY89DRAFT_696453 MGIDAGFDMYPREHPKLPFEGHKFLRFSSKVSGSTAADTKVDSY IDTVTRIAQTCFGSRIKCWHEGADQIGAYDWVEVHESLMSYQQMNLRLRPVLPSFLVA PILSQSWALRLSRSSTSSEKKPLLTVGPMPPDELERFLATKLKAMSRESQRQFLSLHN NFQAKNPFSGIFKTNALPCGSGSPVGSVYPTVCLINHSCMPNAHNNWNSAKEHETIHA IRSIERGAEITISYDHGGTSSERQAFLKESFGFSCTFLDQEFDACAGALVARLYYDAF QISIAHGDQARASIFADRAYQARVICEGEDSPETLRVKSLALKPAVHSSFEAYSRKWK SARDSVPKALDTVDFDKWLFRQEN LY89DRAFT_732700 MAPETNAGFNIIWSDDFSSGSLDMTKWDRFTAAPSNGEQETYPS SGNNCQLTSAQTLLITPENSGGAWTSCRIESLPSFQAKAGGQIIVQSRFKLGSPGANL QGIWPAFWSLGEAVRNGVSWPACGEIDTFENIDGGALGYGTLHCGAACNDPTGLTSGI AFDYGTFHTWAHAIDLRSGDWTQQSITWYMDGQAYHVIHGSDVGNAATWTAVAQSGMF ITLNVAVGGGWPGNAAGNTASGQAAGMEVQYVAVYESA LY89DRAFT_706617 MEPSNKKRRLAPKLAEKPLHEQSQQVPYNNYKPLPPVQDAAPPP ERTDFESFARHLQDAAMLIYAQANRSPYTAVSVLLVRWEDDMTFEQDLLLLQQVFRER FHYRTETYTIPTCPNPSMKLTVQMAQHLEFSRPDHLWVVYYAGYGFVGSDHNLYWACH NREDSCKLKWDGVRCLIEDAQSDILLLLDTCAVADSPSAGSHGVKQAIAAYSPDQSAR EPGGRSFTQNLIEALGKLGTGRPFSIQRLHEEIISMKHNVFLQPPMANGSGKAGAGHE RMPVCFSLTPGALQSISLAPIGQQPPGGSHMVSPLGSPENDISRNHEQAQMMRVSSNS DLTFEEMRALVCTTFLGEPSQEMASFKQWLHNTPVAASKIAVEGMFHGPPTVLLVSMP IAVWNVVAADRTCAFLGYINSHNMTTEYQRLISGIAPIGKAATFKELEDGKILLEARQ AAASTPVMIRHEPSRGESIQQDSMRQEMVMGTPNSVPQVVYPEAGPSNIPEHKDDHLE DSVEMHEAAEQLKALSHVRHLSHDHANSERNNSHIASEDSPGLRSAREESVSSQEAAD SGVEDPMYSSEYNSPASRPKPRRSIQKQGPKQDTRCSLCSHAPFKDSSSLRKHIAAAH TRPFPCAFSFAGCTSTFGSKNEWKRHIASQHLCLTYYRCSSCPQSTVEGKGNEFNRKD LFTQHLRRMHAPFAIKKAIAKGDSKLQLEWEGHVKEMQNTCLVVRRQPPQRSACPKPD CANVFEGAGSWDDWTEHVGRHMEKGEAGRLGVDRMLARWALDEKIIERREDGEYRLVG TERDGAVGAGYYSDGNGGVKPKHEIEEAKGEGDSMLLDG LY89DRAFT_781112 MDSKTIFDEPPPYEPRDSREQANASSSSSDARPQNYQTNNSAQR NEPSMSRQEPRSFDDFRRDPRDQAYNQSYNSDPRYQNYPDDYIRRGEPAMGRPDPRLN NGYRDPRDQAYGPSYNPDPRSQYYQTGNYVEPPMGRRDFGGFGGMRDTRRGDMYDGDD DYSYGRGRHGRRGRREGGRRRRGGGLIGLVGNLIEGATKK LY89DRAFT_781113 MAISDYHTWIGLELTTTFDASAVRNVVTTLFLILNFLIAFTGER LIMRHGQRKLLNKKTVRVTDLSPFLPVISVLKTSWALKRLPGGLFGLLMIASGLFGLS GRFLVNSFIDGELVALNCTFTEGVVTTERIGSSPGYFTPSAAWAATALVRRAQQAAAE NSYTAPNGTCSTGVYSKVHNNVTIFCPTDQDVLGNWNCVAHPPSIVTPLDRTNDSTIS NFASSSTFLYSAQQNEAGRQGPDGGYSDLMAWSANDTLTSLSTLSIRISMAAGLDATQ SVNTSNYQCELDITNPTWSPSGIDTSWVIKEWADTMLGSLLDMDPYYYSFEMSLVLNA VTMVSGSANSLSWQLSDAIDSGAGSTFGCMDYRTVAHPQVFAIILVLILITVVLGAVN LLEMLWNRKSEFQKVVGSLPISLLEWQLALTKKMVNDDAVQERDLKACVYEFDERERV VKCTRAENVGKKRAKVYEKVEMVNGDKIEEKNGNSCTYVWNAEYKTVECRKRSSDEAV TSYSDLSIPKRNTIVTSTPM LY89DRAFT_732704 MADNTHKKIRNVRKRLQGCRFWVKGWMTSREASANKVQTLDLSA KKCSLYLVDEVQRLHNDFESMYTLWKELQVPEDFERDREVAVEYLESRARRNAVVMAL NELIRTHNGASELKRALDDAIRSSRGATKPKDKDEMAIFNNAKTTSISLRASLDLAFR LCEEARDLFLDKEKILRRQYVEFYQRYVHPTWSIHRISMLLYKECDCEDCGKESWWRV AFNGL LY89DRAFT_668203 MSETNSTADLDDYINFFHESKIETCFLKGARCHISRISHCTLAD CVLGACAIIGTKVVNCTIDEKDEDEDEEGESSEEEGCDENESLGGDGLDSTDGFEKVE IQEVPEVKAEAELKEEEGKE LY89DRAFT_746067 MLMLLVPSFRRRLNPLNSSSSADGAGSCSTGIVLDLDDPPSSGE ATWEKGTHKPCQPRTRAPAGVDPFQTSWSGWKVHREEEDEEATRDQQTGTGGRTIAGS VEDPGEDDEGHKGHKGRSRIGVRPVLCSHEWGRPGMGLDGVGLVWMVVMLDSPSQDQC SSRFGTLSILAILEGVGGGGGESAEPYGDVPHGMGMGLDGMGWDWIVWAAARAAAWPR VTLYSVRVWSGKTWTGTGTMDMHRHGSWSRKRSSLHCDHDLGPCASHSHPPHLTTPYQ ARPYRTSILPLKSGSSIICIIVRDPRCAHWPAYGKDDDSLPTLN LY89DRAFT_35229 MFTVTTAALLCSMLLRERAWEADNIVLFPFPMCVAVTPVHQHIC SLPLSARVRRDLNSSIGESSLCERGKRTGMYGSYQWSSWAFRTFEGAAR LY89DRAFT_746068 MEELDGSNRQSGILSLINREKVDRINTERPMMAMATTAVVSPTS SMYSNGPSPYSSGWSGPAAYSVSGLISPPESRRTSDNKTEPPPPIQTSQPHRQSLPSI HEALSNGPKPNPYASPVSASLPVSHQIPYSQPQSAPLPRAYPPSDHAQYPPQLAPSQT RQPSPPHPVHPQPNFSRPEQSASSFPEVSRHPSITSLQAAPGPHNPYAAPRYEPARHE QDPRAQERIPNGYPPHPPPPQQPAYNYGPNPNQIPPPPGQQVSIYNQSRYPPRDPREL ADPWKGEKQEPVSFRQGVKRHLDVWDFENNLAEINISSSALHEWSAHYNAIAQEQQRP VSSLPERMPTLESVEDMLRHSLKISNALSNMQNIIRDQQDSLREQRMRDQGVRGPGEY EDEMSMYGDDMKNHGYGSEGRKRRGRAAPPGRCHSCNRAETPEWRRGPDGARTLCNAC GLHYAKLTRKNTMRQSQGLNGSSLRPRSRDDNSPRPLM LY89DRAFT_35147 MGLLALGTPLDWPEAKKNAHQVREWGVKQLLAIWNKAKGKERDA LLWGDEIEYLVVNYNKDDPNVTLSLRQADILHALAQDEELCDNGGCVPDLQNVKREQG PPLPIFHPEFGRFMLEATPGKPWGIGFKDLLDVEPNMKLRRKIAKEHMNAEEYPITLT TYPRLGSPGTFTSPYYPPSGPRLRSQFVPDEIANPHIRFPTLAANIRSRRGRKVQVNV PVFRDENTPWPWKDPTVNYDLHNWPEDHDVRNGASPDNFIHMDAMAFGMGSCCLQITF QAKNITEGRKMYDQLSPLGPILLALTAATPIYKGFLADTDIRWNQISSAVDDRTPEEL GEKPLKESRWRMPKSRYAPNSTYISEDSRLRKEYLDPNLVIDPDVKQQLMDGGMDSVL ATHFAHLFIRDPIVIFAEDLQELDLSKTDHFENLQSTNWQHIRFKPPPAGNDIGWRVE FRPMEIQVTDFENAAFSVFMVLITRAILSFDLNFYIPIQRTDENMETAHRRDAVLNDK FYFRKNPFPTRAPRPYSANGGSSSGTNTPATISRPPTPTGPVEDEYELMSIDEIMNGS PSSSSNDFPGLIPLVESYLDSMNVDVETRCELAAYLDLIRKRANGTLWTAAKWIRDFV ANHGEYKGDSVVGEKVNHDLIGAVIAIESEKGMGVRNLDRFLGKMVGPCGGGG LY89DRAFT_35296 MVYCGKPSSACNECRRRRTRCDEARPSCKQGVRGCRVCTGYRDL LTLMFRDENENKRLARNGQNRKPAPTREAVLLKWKEMGGELEPDPRTKFREERVGRLE SLLKHSSEEEQGICYVFRNYAYEDPDYGMDFSHAIMKDGGLFAYLPEMLGGSRARLNC LDDAILALGLAGISNTRRDSLMMKKAVARYMRAVSGISALLPKVNEATKDDVLVSVLL LGLYETNCSSKPSSMKYWAQHANGASSLLSLRGDSQLQTPTGRRIFVRVRFQFMKTCV QRNIPIPNSITRLSLLALPHESPDHAAATGLSFIITRFAALRARLQTTSVTEILKAAT EIEQQLEKWATNLPDGYKYQIIPTPETQRQYENDEREIYGDTYHVYTDVLLASAWNSY RCARTLVLELLCTYILLLTPPDPDTDLQIYTWKSRISELNNDILASVLFHFNTHIQLQ NNLWTRPPPRALNGEMLMWNLHRCALSGMVGCDEEGERKKRWICARLRAIAREMGILH AEGLAVVAEMGREVSVWELARSREDEVQGVLGEMGEDVDWGSDGVTRERSPSF LY89DRAFT_643844 MAPKQQPHQTTNVHPFLLTVPDIAQQLGTNLETGLSKRQVTDLQ RDCPPNELEGGGGIAWYKILIKQMSNAMILVLVFAMALSYGVGDYIEGGVLTAVIVLN VSIGFFQEYKAEKKMDSLRALSSPSAAVLRDGRIDVIPSAQVVPGDIVILKTGDTVPA DLRIFEAMNLNCDEKSLTGEAEPVEKRIENDIIVPGSRDKLATEEGQVGIGDRFNMVY STTTVTKGRGRGIVTFTGMQTEVGKIASSTTKKKRKPGRSMNYKKYGKTQPVKGLTRR IYDFVGKFLGLTEGTPLQRKLSKVAYILFGCAILLAIVVFGVNKFNVTDEVAIYAIST GIAIIPESLIAVLTITMVVGMTVMRRANVVIRDLSALEALGGVTNICSDKTGTLTQGA MIVKKVWLPRTGVYTVNNSTDPCDPTQGNVTLGPEAPRRSSIQSSESSSDPEKTDYDQ ERSTAALKFDIPPAKAAKDQAGWTQTPDEVAKLTPQLEEFLRGIALCNLATVSHEKIE SENCESWRATGEPTEIALQVFAHRFNHGKKQLESQGWRQVAEFPFDSNIKRMSVVYTD DDLLTLVYTKGAVERVLDLCTTVRWNHKEEPLTEGFRKHVLNQMNDLAEQGQRVLAVA SGTIYGKYPARYSDEVMRPKIERGLSLIGLVGIYDPPREETKEAIRECSQADIKVHML TGDHPATATAIAKEIGIVPRNLGILPKDVSDAIIQKATDFDAMTDTQIDALPELPLVI ARCAPNTKTRMIEALRRRGLFMAMTGDGVNDAPSLSSADVGIAMGMTGSDVAKGAAKI VLTDDKFNSIVSAIREGRRMFDNIQKFVMHLLTSNVGEVILLIAGLGFQDRSSQSVFP LSPLQILWINMLTSSFPAFGLGREKASSDIMRRPPHSMKFGVFTPQIMVDMLVYGILM GTCTLLTFVIIIYGVYDGRLGRDCNRTYNTDNYQDTCEPVFRARAAVFAELTWLILVS AWEFKSIRRSMFRLNPESESRFPFFKDIFENRFLFWAVVIGALSVFPAVYIPGLNTKV FKHVGISWEWALSAGAVLVFVLGIEAWKACKRYFKLFEIVGVGEEEKRGRGLGLKQGF FTMAKSRTNSIRSLSRRRTGGSEMSATEKLADLSMVSAAPTTRSLLRSDEVRLGGTIP ERT LY89DRAFT_706621 MKTFQNLFMLIGVITVSASPLHKPKPSFNWHNTEFLLAFGDSYT YVQGTAGLQNYSFIGDLQNYSYTPQELLSDEIVQNQIGTSAGGPNWVEYLTGCFSGLP SRCKKQLWDFAFAGSDVSTTYTPLHHNYTVSLENQIIQWDTYAKSVIPVDLSKALAAI FIGINDISDSSKYTFPLDNATDFPSFYTEIINTEFDSIETIYKAGYRNYLFMNLPPLE RTPGNVASTQPLPNSTMVHQYNSIINSTALAFESSHPGTKAMVFDTYAFLSGILNDPA PYGLKNTTGYCPRYDAPDIATNYAAYGCLPIPEYFWYNTGHITWKVHEYLAQAVGTFL EEQTC LY89DRAFT_614462 MAASQQSFGTGSMTEENEKNIPDLEKANNESANEGSDLEKGEER PSASALLDWDSPDDPGNPMNWSKWKKRYHVVPPAVISFSATIGSSIYTPAFPVIQEQW GVSTTVALLPLTTYVLALALGPIIAAPMSETYGRHIVYLVSVPIATLFTLGSGFSQNI WTLCILRFFSGMAFSPALAIGAGTIADMNKNEHRTIPSAFYVLSPFLGPSLGPVIGSF VTVRKGWRWTEWTIIFFAIFSFLLTLFASETYKKTLLARRSKARGLPPPPSPFPSAAA KLKFMFTVTLLRPLHMLFTEPIVAFFSIYVAFNFAVLFSFFAAFPYVFESVYGFDTEQ SGLVFLAIGIGSALAVPTVIACDVYLYQPHVRKAKENGGNGAVAPEYRLYPAMMGSFG LPIGLFWFAWTARSDVSWASPVVSAIPFAWGNLSCFIAAANYLIDTYQALNAASALAA NGLLRYVLGAVFPLFTLQMYRNLGIDWATSLLAFISVALLPVPWVLFIYGKNIRARSQ YDTLK LY89DRAFT_706623 MQNLIFLGIAFAGLFGIRYAFKIFIARRAYVQAMHRNGCKPVRK WLRTDLLFGLDRIRRTKKAMKDGNLLEVTRQDFEACGKTFETCVMGVRTIYTMEWANI HTVQSVEFESWGVRPLRASIAAMMGNGITVSDGPTWSHARKTLRPIFQKSQFKDLEKM AFEKHLSRMLKRMPNNGSAVDLQKLFQRMFINVSLEYIFGETRFSELDDSSPDADQFV ADYNNAVKTIAKMRGFGMNMPYDLSANKRALKKAVEKLQPYVDQQIAAALKKKKERMI DQQSQPNESYVFVEQLVYETEDQGFVRDQLLNIFFPARDASSAGASFIFFILTRHPDV WEKLRTEVLGHEKPMTSRYLSHVINECLRLLAPASRAIRTCIKDCVLPYGGGIDGLSP IYVSAGTNIDLHFGQLHKDKDIWGPDALEFRPERWENLDPKQMLITQYGYLLVRMAKL FQRIENRDEVLEFVEEHSMTITSRNGVKVALIPDSNS LY89DRAFT_668212 MKTPGFLRRRRDSQKEPPPYTEDIASKPTRSIKATDSPQWRWTE AQCQEWFAAVFVRFLEKSPEEAARLSRSIKGWGPSIYMEVGIHPIEEYNMQQNQNLAE SN LY89DRAFT_706624 MTTILRTRIPLETISMSSNQPTGRRRSKRIAAYDEEDGDFVFTR ASKRTKTAPTQPEPVPTPAPAPSAKRGRKPKEPKETKERDNEANTTAKKPRGRKMSFS TPKPEDDAIVVPKKRKTTRSSTGKAADATNNGNATQLEHTDYDKIEMVNGSVTNQTED LNVDPTKHSTVIALPFSDTPIINRNKELRKKGGNGARRSSLGLRGRRASSLIDNGHSA IPHREVETSEFYKHIEAEGLSEPRRMKQLLTWTGERSMGEKPAHGADNGAELAARMIK ETLLKDFANKSEFSDWFNREESVPAAKVIKTPNPRNVELEENLIGLEARLKLLREERD QWKALAKPPPTLPPLFPDETADLEPSRIDASLLDPEQAAILAEISSSSALDLRKQASE RLQALQSGLEFKVDQFSDGVHKLEQYQNTVGKVADKILALSAVRLEERDRKEKQEIGT RDLPMQEVLRSLSRIFPEGSTSR LY89DRAFT_583231 SAPFNAQAATELVDSILPYVEWQSDPDTSYLKAPPSGYLMPAID IKKSLNSIIANITAGTYATEHAFQTDLLKTFQAVHDGHFRFAPDLVSKALQFRRTVQI VSVSRDGVEVPKVYTRQDIAAFAAANTTVMPSAITNINGQNVTTFLQDLAQLGFLQDP DALYNNLFYEMAFDAQYAYNRYTGYFALAGRYGYFYPGPNTTIEFANGTVKTYQNYAD VVGSFNGVVDGPSLYQTFCTGPHQAAVIYNNTMTIQAPTPPPLPPQGKTPPYGYPTPD VVSSDLQISGYFLNDSAYSDVAILSMLSFEPNFPVEFQSTIQTLISDAKAAGKTKIII DLSSNGGGDLLTGYDAFRQFFPNITQDGYSRLRQHDAFKIMSKQISKYAANFTTASNN AEDYFTYESVLNYRYDLNFTNTSFLTYEDKFAPTTFNGDEFTPLIRWNLDDPTTTTNP IWGLGETVTGYGDRQNFTQPFPAENIILLYDGYCASTCTLFSEFMRLQGGVKSIAMGG LPTTSPIQGIGGTKGANNYGFDYVLQLATVALAQGTPAEVANWTSVRAYSDLPMNRSI DTSLNVRDQILAPNLKDGTPAQFINEPADCRLFYEPSMTVDVRAIWKKAADAAWGSAK CVAGSIPHKVETRAERMRRSEEMKVRAKTEGLIPLRSRSMDVMQLREKIGGHSKKVPL LY89DRAFT_614471 MMRIAIAGSGGLARTFVAHILETANICLVLSREPQPDLEGPDCQ VAVVDYDDQDLLRFTLRGVDVVISTVSGNPQINLIDAAAHSGVRRFVPAEFEGPPSRR PRNDPLDRGRAACLERLRHYKHHHRYPMASTVFTCGVFYERFARGGLGAFNIGASSNV FYQGSYLMDVENGTAEVVEQHSDGRPVNVTMTSINDVARFVVAALDLDIDTWQDEFSM SGDRISVAQIVQWAESIKGATFFAEVIAAGDLNAHLEYATFQSDFAKVARMHELIATE QRRYDFRSPNLNALVPIVPETFWDWLRTHWG LY89DRAFT_732717 MALSWPSLIILIFAYTTHAANYTLTPELMNFIPSCAQECFISFL ESNFPYDLCGKTPTLNCLCTHNSTSGYTVGEGAVQCIISEDNIGFCQGNSSKPTVVTN AYAMCSGEPDALPETHGTITATLIVQTSMPSIVLVAPPATTSSSGSSSTLQTTSSGFK TMTTGLPTASAVKTTSMSSTASAEAATGTGAATPTSLTKSQIVGITVASVGGTAVMLG IVICFACWRRRKLRRARESDLIPFQLDPPAHLIEKKKYHYSFRGPVQRIPGGTANGIA AKVPPRAARAAPPVPPRLDTSDPLMFSRRSIRPETIGVAISPDNKGSMAAQQRRSSRL LPEKPTLKLKMPQQEREYTSGLSFSQPVVGPSVMSRQSVATQFEEDIETADTAVNTED SWTRKSTDRILYNSPSNGRTVRPVYPEEDRDEYPWRPGQPADVNGNPDIYVRPLSIAG RKMASFSQPRKPDAYPLTQLAIPESRPLTGSSSVYSGRGSVPGSDAGRNNPARRSYQQ AGPYDSETIELTFAPEEVEPPRTGGMRTGGMKDLSPVVESPASGRSPVSYPKIPESIS PTIMRMNYGPPPPQPDFTKAMGSGQAQNNQPWRAAEIKAQREREQIARQQAQDRARSP PQTMLRRAASARVPRQQNSTGIDTMNFPAPPSQAVTRSPTTQEEIHPALRTNEQEETI EPPPRSQTAPLTDATGGWRYNPYIPTSTRSRSQNQNQRPQPSPYQTQRQNSAQIASTT TSSSTTLPTSLNPLMSPTWQPQIQLQPQQPPPTTYHPPTRSSSALSSHSHTSKTSTSS SLLAKRLGEQKASRLALQTDEGKRRQQAKWRVLGKEEQDRAKEGNWRPMLGRKQGFGM GGGGDIMVQFAGEGEGQGREFERMDLPATPGWLPRLTPTRRGDELFLSVQ LY89DRAFT_683971 MGSKPLDAASSIFTPGAAGSSTSWGDEMASPTVANPNQNPLDQA QVDGSAGENSSGLHDGSYDVEVKLSDLQADSANPLFSVQSFEELGINPEIMKALYAMN FRKPSKIQEKALPLLLANPPQNMIAQSQSGTGKTAAFVITILSRLDYTRPKQPQALVL APARELARQIEDVVRLVGQFVQGLTIQAAVPGAVERNARVESMVIVGTPGTAMDLIKR RQLDGSALKVLCLDEADVMLDTQGLGDQCMRIKAMVPRVQQVLLFSATFPDAVMGFAN QFCPNANEIKLRRDELTVSGITQMYMDCEGDEGKYDVLAALYGLLTVGSSIIFCKRKD TASGIADRLRKAGHEVLAVHSAFDGAERDEIVRKFRDGEGKVLITTNVLARGIDVSTV SMVINYDIPMKGRNDSEPDPETYLHRIGRTGRFGRVGVSISFVFDRSSYQALLQIAQH FGIDLVRLDKDDWEQTETTVKRVIRSSRAGTNLKA LY89DRAFT_706628 MAEVDIAPNFGVELKDGFKPVNSWVLSGITWLDDIQQFYRERSA IEKEYSAKLNGLAKKYYEKKAKKSSSLSVGDTPAMTPGSLESASLTTWTTQLTTLESR AAEHDRYGNECITHLADPLKALATRYEELRKRHAEYADKLEKERDSSYGDLRKMKGKY DASCQEVENKRKKSESAFDYSKSKAQNAYQQQVLDMHNVKNSYLIAINVTNKQKERYY HEYVPDLLDSLQDLSESRTIKLNGIWSLAAQLENGMLTRSTEFVNHLSQEIPRNQPQL DSMMFVRHNVGPWQEPANKVFEPSPVWHDDDLMVVDETAKVFLRNVLGKSKAQLGDLR REVDKKRREVEGAKRIKEQIREGKDKRDEVELVRAIFGLQEELHQVDHKRLTAEVETS TITEAVGDVTLGAKSHNFKSQTFKIPTNCDLCGERIWGLSAKGFDCRDCGYTCHSKCE MKVPATCPGEQTKEEKKTLKAERQAAANSLKSPTSTSGDSIAELPTMSRSNTMNSLSS GYAASAHRSVSGSGPRSPAEETPPERANSVKPNISAPNTLRKNRVVAPPPSAYISELP GSAVTTNGSSGGSNEQKGKMLYSYDANGDGELTVSEGKEVAILEPDDGGWLKVKSGFK EGLVPTAYVEILASAPRPSSIYSNSGSSMNSSVTTKKQGPAVAPKRGAKKLKYVEALY EYTAQSDAEHSMAEGERFVLIKEDPGDGWAEVEKGGVTKSVPANYVQAA LY89DRAFT_35470 MSPRTTSQCPTLCNALRILLLRCRKMPYTLVSQRLTSWLSILSQ LPPVLCFVWAIASACWLRISSRCIV LY89DRAFT_746086 MKTFTVVAALAAAGSVFASPTPTEELVARASSTSLTAITVKGNA FFQGTSRFYIRGVDYQPGGSSKLVDPIADTTSCTRDIAEFQKLGINTVRIYTVDNTAN HDACMSALAAAGIYLVLDVNTPLYSLNRASPAASYNSVYLQNIFATIDAFANYTNTLA FFSGNEVINDDTTTSAAPYVKAVTRDMRQYIGSRGYRQIPVGYSAADVDSNRLEMAEY MNCGTDDERSDFFAFNDYSWCDPSSFQISGWDQKVKNFTGYGLPIFLSEYGCNTNTRK FEEVAALYNTEMTSVYSGGLVYEYSEEGSNYGLVTISSSGTVTEGADFTSLQTAFKGT ANPTGDGGYNSTGGASGCPVQSANWNVTSDALPAIPSGAAALMKKGAGVGAGLTGAGS QNAGGTSTGTATAGSGSITATATSTSKSAATSLQSFDKTPLMMTAFVLGMSFLGAALL LY89DRAFT_732721 MHLLNFLLLPLLAAAAPSLSLKRDNLCNLKTPPLLCTPNANIST AETALRAYRFYRAFVVDGDPKTMFSLIDSVYKQHHAGYADGPDTIWPLFCNGNKLGTE QSTSWCFVEATNMSYAQYSTTDRWRWVGGCAHEHWDQNETIPKSGCYKLNGTVS LY89DRAFT_781130 MEGSSETAESRHYGKRRLNRACAHCKAAKTKCIVDPGSESSCVL ISSSSVWQRYLPFVGEGKTGPRRVSDLEQKLDNIVTLLTNPQQTQNVPRGFDNSVPDL WTSPESQDTSNFEPSPSYGDERGVVIAPELRSGSQPYESQSSTSNLDEPPFPAEPMLP IRTTRIIPTQSALPPFRPHQSMSLDPDELLSYFRNHMTEQFVFVVVPEGVTARNLRQQ KPFLLDSILLVAAKQSVASQKEAGDKLLAFLGERMLLRGEKSLDLLQGLLVYLAWGNY QFHNSAQMSALLQLAVALLAELELGKMLPSPPDPKKSLVHVVGISSTAPAKALAVHTP DEMRAFLGCFYLSSLFSQCYKKLTAMRYTPYIEHCCTALDEAAEYTTDIYLTALVRIQ LFLCKAIESLPLEEADRSSATLRMYVKSMQKELQDFRASLSNSGSYTSRLSYDLLLLH SYSVETSLLEIGLYEPHHTTSQTPNLRHLDQLSSCLTATKTFFDHWFQVPNTIYILLP IVAWSELANVTMAASRLVLLEYPGWDLEHAREVADFANTLDRLAARIEEAMESLFAGN KGADAEGVLLRYAQRLRWVKGWYEARSKEGQVEVLEEVPTMVGGDFMNLDDMFWQDFS GEWRGVYGADIPIG LY89DRAFT_643872 MDKKDAPKEIDTSLTLTLSTDFPNTSSKPEPQPELEKEVRKESA PESPTEPEYPSGLNLALITVALCLAVFLVGLDRTIITTAIPKITNEFNSLSDIGWYGS AYLLTSCSFQLMYGKMYSYISVKWTFLGAIALFEGGSALCGAAPSSKALIIGRALAGF GCAGIISGALVIISLSIPMHKRPIYTGLIGAVNGVASVVGPLLGGVFTDKVSWRWCFY INLPIGAVTLIVITLLFTDPPNEKTAAMTAAERRRQFDLFGTIFFVPAIVCLLLALQW GGEQYPWGSWRIILLFVMFALLSTIWGIIQWKKGALATLPPHIISQRSVAFGVWSTFC LGAAFLLVTYFLPLWFQAIKNTSATGSGVDYLPTAVSVTFTSIGAGFVTTAIGYYVPL MLGSSVLMSVGAGLMTIFTPTTSTGLWIGTQILFGAGAGMGIQQPMMAVQAVLNPIDI PVGITAIIFAQALGGAVFLSIGQSVFQNKLVIKLKEWVPGLDPEIVIANGAWGLRKKV ESLGIQWVSGTLEAYNDAVVITFVVATAMAALSMIGALGMEWKSVKRVDKKEKMEDMI EGEAVV LY89DRAFT_683975 MVHADSSNFKADISKEEAYKQVLEQAEALFEGQRNWEISNLANA ASLLWHAYKSLPSPSKDVNWAGFYVLDPIVSNQLILGPFQGKVACQTIKFGKGVCGTA AERKETVLVEDVEEFPGHIACDGDSKSEIVVPILVGGKTVAIIDVDCAVVKGFDAVDR EALERLAGLIGGACDW LY89DRAFT_717653 MSAGNLLSLAGWTFLPNLVTGWVQSVYYGITIRAGDPKPQPGSP RFLLHRRRIHIIVVSAYLLYTIYEADWDIRRASDYYQDLGIPHNASDRDIKSRFRRLA AIHHPDKVSSSSPTSENYFVHLKLAQDTLLDPAKRFAYERFGPDIINWQRCSGVRDFV LHGLQAILPYYGAAAIFMYVLGLLGYLEWGKYWRWLTLIGLCVFELHTISRPHFPLFA TNFLNPLLTTFTHHPPYLPYQLILLARKMSVTLYIAFAQIGPLLQPPTAVPANANPEL ALKQQLDKLEATAKQADLEATRLVQMELSPFGGDPQALKEVQKSTSEWLVHNTIRNDP EVKDAFGRTLMRRRGDAPAGARGNR LY89DRAFT_643881 MSIEKLTPSTMSPETPKHRSKADKKSKSSTHGEKKRKRDHHHDE DDPKTTKKSKKQRRDKHVSSAHSTVTQAPKTDPSLSPFHLQTSSLYLPLAPVAQKYPL EGLCAEHLSPLLLTYYPPFRGVILSYTNPRLSETAFGSSAPNPNSEEEGNGLLLHNID EYAVSWTWLTADFLLFKPERGVELEGYVNLQNEGHLGVVCWNLFNASVARERMPADWV WKDVSEMQNKEGGGNEGYAEDGQGCWVDGNGEKVEGVVRFRVKEIESSHDRERGFLSI EGTMLGVEEERELVERERVGEGGGRDKAGRRLGGEKALGATILGVVSEESAEKENKGD GKRPRQRY LY89DRAFT_717655 MSVRIQLDNPHAFYTNLDFMSGRIILSLTSDENVSAIVVKLEGE SRTVLMRPMGPQLGQPLYQQRQQRREGVATENHKILYKVAQVFPTVGPNQAPMTGMAY TLRAGQHEYPFRIKIPFNNGCSDPQTQQMQMGAFGGFGLSGLQQMQYRHVKRTLPPSL TGFPGEAEIRYYIKVTVQRPSLFKENRRNAIGFKFLPIEPPRPAKTQNEVYARRPYEF KAGLAGYGKKSAMFKKKPTQMSDTAPKGEVDARLPSPAVLTCNEPLPLRIIARKLNES AEHVFLTSLQVHLFGFTEVRAQDVVRTETSNWVLLSTSGLSIPISGPNDELRKETVLD SSLWDRIPLPNTVAPSFHTCNLTRKYELEVRVGLGYGVPGEIQPQTITLPLRFQIEIY SGISPPEALLSAMASRPTVPVRPQAVPPAQASDPLYPPQAPPSGPAADDAPPSYEDAM AEDISPADGPRREYSGVTDVNAPGLDEKGAAAPKYSAMQGNPGPGPGGPGVGGIV LY89DRAFT_35578 MLEGHSVIARQISRALLVWTLCCQQLSLLQPQTASLAQSKYLLM YDKTNHIAFVFLFHFKVPQYCIAAQLVKGQHLVRMRLHA LY89DRAFT_643887 MGFLGLRRPGQKDSVNDYQGVLVPLAQASRHPTVAAEYARRRSA EGRSSTTDTSTFGANNKIEADGTLVKEEGRGSQDGLSPYTLEGLRAEVHEDVAASGHD SAYDLKSKVINKAIQDIGMGRYNWELFILCGFGWFADNLWLQGVALTLPSLSTEFGVS ETQVRYTTCSLFIGLCIGASFWGIGSDIMGRRLAFNMTLLIAGVFGIAAGAAPTWIGA CGLYASLGVGVGGNLPVDGALFLEFLPNASGGLLTLLSVWWPVGQLVASLIGWAFLGS HYAPDKGWRYFVYTMGTLTFVMFLARFLFFHLYESPKFLLSRGRQSEAVAVVHGMAYK NKTTTWLTEEILNEIGGDPSAKDVHKLSTSEIIRRKLSSFSTERIGPLFHTRQLGMTT VLLWFCWLTIGMGYPLFNAFLPQYLSNGKGSNGESVSNYITYRNYAITSVVGVPGSIL ACWTVDIKYVGRKGTMAIATMLSGIFLFLFTLSANSNYQVAFSSVETFFQNIMYGVLY AYTPEVFPAPNRGTGTGISSFLNRIAGLCAPIIAANIPDANPNAPVFVSGGLILAAFV AMVFLPIETRGKQML LY89DRAFT_35568 MASRPSVEPQGRPEPGQILIVIHDFSARSSDELSLSKGDRVELI ERDDDFGDGWYLGRHLMNGSSGLFPEVYTRIAPRGPPSYPPTSSIPRSNPPIVQPSTG LAAQPQIPPIAEDTTKTTEPATTMPLNGADDVAQTSQHAALEKPNITSPLNTTHISHE PENPMPTISTSLPMGPQKNVLAAAKAHAHGQDSPVMNETLSVIDEHITDMNSPHHSAT PTERHVANDSGSEYSSHIDQRLSYINGEETDEEDENLHTKDEVSVWTPDQVAEYLFTV GVETKHCEVFRDQEITGDVLLGMDQTSVFLKEFELGPVGRRLKTWQKIKVLQDEVNGI SSTRRNTATYGSEVGSDSTGRHGSRSISSSTMLPRIPSMNEGTMSRTNTRLSNQQPFR SEPSPITSPISPKTVQESVRPGAGEKRRPSAASIRDLHHSRQSSVNEYSTPSLMGTIA PDALTASPKVQDGSHKKQPSFDRNWTMGSAVSPSLPSRPLSSSGIKAAARSTMEHASQ NAPASTNLEALDRGYFSGGDVEGRQRNVLKKRGSTATHSRNSSYTDEQRVRSATAHSR HSRFGSVDSIQGGPSPAAQKYYNLSVNGRRRTPSENSINAPPRPLPPPKDVPSPTVTR LEGGSYENSPLPKSPEAVTGRGADWLLPTKSITNRHFGLRAISDAVTGHEKSRVTSPT EPIPSPMKDSPMQSPSRTGSSTPSAGPSFDLDSPDAKSSMTMNSLSSKATTRKKSKKE TSAYTRGLEKKTPQEQMISADYSGWMKKKSPNLMTTWKPRLFILKGRRLSYYYSENDT EEKGLIDISFHRVLPADNDRLTGLHATLTGATNSPTSPAGATTTMAAKDAAAEPESTL SKPSNEQMFIFKLVPPRAGLTKAVTFTKPTIHYFAVPNIKQGRLWMAALMKATIDRDD SKPITTTYQQKTISLEKARRMRHRPPALMNLDEHVDEEAMKTPASDKNGLNIHGIIFD KDDQEGDSGVSGVSNFDSQKPESATGTFLSSMNGTEETTVPEPRTA LY89DRAFT_732729 MRRPPDKDGPPVSDRDVDTSNATLNTLFGGARQKSWMVGVGAPV RPTPRTSASRTSVPQVTSAQIIAATILPSPAPSDEPSPVLPHTTVNGVQAERGRHREE SRRPSSTTIAPSTHIQQPSSTDFDIQLPPTTSFEESLNQAPPAPPLSNSSPPQLNKSF TNSTGVAESPTTMTDNIPPLPSSVLLPSDRQQEVEVLAHTQTPPTQQHNFTGLNRGYP QTNSLPARPGPVRALSQGQQASRPQTQIQEASSRQTQQAQLPSPMQSNIASPQANIGV PSTSAQSGARLYPSSEAFLAQPQMEGRPHHPPPSAAPTNPRKRQRIQPAAMPSLKPRV NLIEQHIKNAGGYMNLNTGLERPRFQLLTDACNREDAFYVALHQVFCCWDVDRNQVVS IIGYPNAQALQVAFRILGQLIRENDALAPNHKKWFSEFPSPLRHLLQSSDPYRRTVTE VGTFLSRLASDWGALSNECSTRGYPPLVDELVQRMGLLSPILQGVVFTASRRNLGIRD EEIGTQMEDMFKRDQREHQALAARYNTARPPTAREVQDRNRALASEYILLHNQLIQQR QSSAVSSGSRIPTPVVPSNDYTQPGVMMSSPIPQPQQTGNQGNWNQNMPSPVAPGHQQ FANALPPRLQRATDGPSNSPMVGSRPPSVGAQRGYTNTPSPTLLQGLSMHSPVQQNFP SPSLGRSNVQMRSQQAGSPQLGAHPIAPYYHNPILQQVDPATQQRVQIIEQQQHMMAQ YQQQNNNQQWAQNNYIQHTPPAQQATQQQQQQQIQQELSRQVLHMNRTVQTRRDSNPV VSQLQQQQQRNNSVISNGHRPQIVHAAPALSSQLLSQAANAELYAYSQKPVLQRSLVP PLHYVHPQQPQNPDVTALHQAHIRSPRLVAAGVPYSSMSQENPATRYYQVVRGFVLAP TRISSSSPLSRFDFAIPAHDLAKAPNDILHGNGQVATREFRQGTLQYRLRCVQARRTD TKYTIADWVVADTVWPESASLAINKKQLEIRRKNHHGKDLPLDITHFVKLSAPNSTNL VTLSILKGRTKMKEFNYFLAVEIIEILRHNQILELCYNNRIPASYTLNKIKKSLVGPS GDDDDVAMVVGDLSIDLADPFTSKVFETPVRGSSCLHRECFDLEVFLMTRNSKAKRPE QPCMVDVWKCPLCGKDARPYSLQIDDFLVSVRATLQEQGELEDVKAILMDATGNWRPK VEKRKPSDDGEDSDYSSDGDGALRRASAAQKVQAKKVVEVISLDD LY89DRAFT_746112 MGFLPTALLSITLLNLTILGILFPFLGISIVSTLFVVGSLLISL YTGVSIVTLVFEILSWVSETGVVWRGVSYSRKVEKKGKKVSWSTEREELDENGRKWTK VDDDAGEWTEDEDEDDCVDYCVD LY89DRAFT_683981 MSFSTCCCRGQRAEKEKKTDSDPRINDIGRAIEDDYATIRQNYA TPKNPIVLAHGLLGFDELRLAGNLLPGVQYWRGITEAMRANGIEVILTTVPASASIEE RAAKLSQDIATKANGKSVNIIAHSMGGLDARYMISRLKPDNVDVLSLTTIATPHRGSY FADYMLRELGPLYLPQIYKFVEGLGYSTGAFSQLTTKYMLEEFNPKTPDKEGVRYFSY GATAHPGFLSAFRKPHKIVESMEGPNDGLVSVESAKWGTYKGTLVEVSHLDLINWTNR LRSFFWSVGGYQKKFNAVAFYLDIADMLAKEGL LY89DRAFT_683982 MSAQNSAGIQTLLDAEREAQKIVQRAREYRTKRVKEARDEAKKE IDAYKKNKDDEFKKFESEHTSGNKKAEEDANKEAEVKMKEIKEAGKKGQDEVVKELLK AVFDINPVVPDRIEVPK LY89DRAFT_781140 MSRTAKLTLAGTTAFAAATIVFVHYGQQAEKAAMHAGVVRDVEQ QRVKKERQLDFDLQRALEEEYKKVQSVHDGGTVLEKPR LY89DRAFT_683984 MAEYEEGYEYDDFADEEEEDTNISAEDCWMVIQSFFESKGLVSQ QLDSFDEFVSTTMQELVEENSQLTLDQNSPPSSDDNPIALRRYEIKFGTVLLSRPAMT EGDGSTQVMLPQEARLRNLTYSSPLYLEMTKKVSVAVERPVPLNELDEEQQEEMQRTN VHPTRLIWEDEDGNGMEGDKDERAPDRVFIGKLPIMLKSKYCILKDLDEDDLYAWNEC PYDQGGYFIINGSEKVLIAQERSAANIVQVFKKSLPSPTPYIAEIRSALEKGSRLISS MTIKLFAKGDGQRGGFGQTIKSTLPYIKSDVPIAIVFRALGVVSDEDILNHICYDRSD SVMLEMLKPCIEEAFVIQDREVALDFIGKRGNSQSLTRDKRIKYARDIMQKELLPHIS QAEGSETRKAFFLGYMVHKMLQCALGRRETDDRDHFGKKRLDLAGPLLAKLFRALFKR LTSDVQKYLARCVENNREFNLTLGVKATTITNGLKYSLATGNWGDQKKAASSTAGVSQ VLNRYTFASTLSHLRRTNTPIGRDGKIAKPRQLHNTHWGLVCPAETPEGQACGLVKNL ALMCYVTVGTPSEPIIEFMIQRNMEVLEEYEPLRSPNATKVFVNGVWVGVHRDPGHLV KTVQHLRRSHLISHEVSLIRDIRDREFKIFTDAGRVCRPLFVVDNDVDSANKGNLVLT KDHIHRLEQDQSTQGMDQASRDSNGYFGFQGLIDNGVVEYVDAEEEETIMIVMTPEDL DISRQLQAGYQFAPEENPDLNKRVKAPMNPTAHIWTHCEIHPSMILGICASIIPFPDH NQSPRNTYQSAMGKQAMGVFLTNFDQRMDTMANILYYPQKPLATTRSMEFLKFRELPA GQNAIVAIACYSGYNQEDSVIMNQSSIDRGLFRSLFFRAYTDQEKRIGMNVVEQFEKP FRSDTLRLKHGTYDKLDDDGIVAPGVRVSGEDIIIGKTAPIPPDAEEMGQRTKAHIKR DASTPLRSTENGIVDQVLITTNAEGLRFVKVRMRTTKIPQIGDKFASRHGQKGTIGIT YRHEDMPFTRQGIVPDLIINPHAIPSRMTIAHLIECQLSKVGTLRGLEGDATPFTEVT VDSVSKLLRAHGYQSRGFEIMYHGHTGRKLMAQVFLGPTYYQRLRHMVDDKIHARARG PLQILTRQPVEGRARDGGLRFGEMERDCMISHGAAAFLKERLFEVSDAFRVHVCDICG LMTPIAKLSTQSFECRPCKNKTKISQIHIPYAAKLLFQELASMNIASRMFTQRSGISV R LY89DRAFT_583501 MAPAKFPASSATERILTLTNHLKESLNPADDIHKAKMAAPYKFQ GWLGLDKDATKGKMVWQEYEPKTWTEDDVDIKITHCGICGSDLHTLRSGWGATLYPCC VGHEVAGTAVKVGKNVKHIKVGDRCGVGAQSGSCLDCEECADGKEQHCRSMIGTYNGK YPDGSKSYGGYADYCRAPGHFTVKIPDGLTLEEAAPMLCGGITTFSPLVNNGAGPGKK VGIVGVGGLGHFGLLWAKALGCDEVVAISRSTSKKEDAMKIGATKFIATEEAGWNKKN SSSLDLIVSTVSSPDMPLAGYLQLLRTNGQFIQVGAPEDNIPAFNAFALIAKGCKMGG SCIGSPKDIANMLDFAVKKQVHPWIQVRPLKDANQAVVDMEAGKARYRYVLQNEAHAA ALKA LY89DRAFT_781143 MSYNTSNTPELQPENISSVSAYISAHKPTTGPYFHPSCLLCLFN IAHDKPHARPLSLVELHQAVTKQRTRLLELDQERKTANDAFQDLVARTLNHHATIKQP LQAELKRIDKKSAKDILELLKAEGRLRRGKIGDSVFRAEVARMDEGKQRREEAKLYIR NHIDALEARFED LY89DRAFT_683986 MVSRSFTTLLALVVAASAGSLTDIKHVVLFMQENRAFDHYFGTM AGVRGFSDPNVQFNPSTGLNTFQQKVNDGLSPLATSLLPFYINYLGGNWTAASQCASG GSNSWFNNHLALNGDLNDQWAWDNTAMSWTHFKRQDLPLHFALAEGWTLADMYQEGVI ASTSPNRVTWMSGSINCPGGPQTPDQGGIVTDNSEGPGCESPGLNCEPLYWQTVPEFH QNAGVSWMVYQDEDNFGDDLLIDSFYQYQNAPADSPLTMYGASYPGLDKFYADAAAGT LPQVSWIVGPAELSEHATYGPQDGAWLQRQVVEAVVNGAAYNETVLMISYDETGGWGD HVTPFHSPNGTAGEWIEDPYGAVNYTYTGPGFRVPLTIISPWTRGGHVFTEHADHTSQ ILFMETWLEALGYEGVQTTEIPAWRRAHMSNLVSAFDFDNPDYSIPSLPVIPTPSTDS SGNYNAASVCEALYGHYGPSIPYGPENEALDPSTLSEEGFKSVRGYLTEGRYLVFEMN GFALTNPSSNATDFTATTSTATHSDIHHRWVIHATGGTATDGGAGAGTFNVSSAFDGR YVKSHTGLGKGTSGAETYTIADLGNGQGYSLMKENGKYLSIDQSGVVDIVGTVPVGWQ IWSVTYQS LY89DRAFT_696478 MILHPEEHNSRFSKTIHLHWNITKGYRSPDGVRKLVYLVNDEFP GPIIEARPGDTLEIVVSNHLEDAEGVAIHWHGLHMRGANEMDGVVGITQDAIPAGEGF TYRYDLSSTQAGTFWYHSHSALQRSDGLYGGLVIHEPISDSTTGFAMSNYDHEELLLI GDWYHRSAIDVQASYLTFMSSGHEPVPDSLLLNGFGAFDCSMAVPSRPVECEHVQRPA LRLRNGRTRLRVVNVGSMAGLNISIPNSKMEVVHIDGGNPINLVIASEVGILYPGERM DLILSSTGIENISIILDPELFTMQNLALTATQTIEIITPANTRSSHESTAADDQEVQW PTTSFDLSTAKGLQQQAMPTTANQTILIYTHIEMLAHYDHVPMAYMNRTNWIPQSPPL ISIPTSKWDDHQFMPEIHGNGEWVDIIVNNLDKDAGHPFHLHGHDFYVLTRHAFPRRA GYRTYNPFDLPSDAALMYPEPNLVNPPLKDTVHIPRRGYVILRIRADNLGIWFFHCHV LWHAGTGMGMGFVVT LY89DRAFT_732740 MASRSRDYSSRGFSAIKDIVSAGVFPSGKVIIYTGILSICKDED GLAAVLGHEIGHVMASHVAEDKSKLLFFLPALIPISPILILALLGFEMRILLYPYTYL LGCIKAASSRRRESEADYIGLVLMAKAGYDVSKAAKFLDKMDGYEKRMLSQKNAQGKP MYKQETSGFLASHPHTGSRAEKVREWLPEVIERTGRAPPLGPSSERGNKVDALDFE LY89DRAFT_683989 MSCPDCFRGGVHEGDPVGSTTTIHGLPVYVSNPPEGATPKGIVV FIPDAFGWEFGNNRLLADKYAKRAGVVVYLPDFMAGSAMPLSGLDLLDKIMTPTSWLN TILYKPFYILQAMTQAIPFIFKNRLSVTKPRVSSFIQALRTSPPPFPTTNLKIGAAGF CWGGKHTMLLAQDPPSSRVSPYSSTSTSLPLSPLIDCAFTAHPSMISVPADIEQITVP TSVAVGDVDMAMGKKDILTMKEILEVKKKGDHEVVLLDGAKHGFAVRTMDDKHQWECA AKAETQAVQWFGRWFA LY89DRAFT_35984 MSPSQISDYALLPITIPPIPSYPHQTTHTLYLRPHAPKIPTPND ARSLFLVNLPIDSTTASLRSLFTSLVGAGKFEDCSFEHERVRKPLPAPANGSKKRKRA DDDTEEEIELPRTWDRDLRRSGSSAVVLLVDEKSVELVLKTVRKLHKKGRGGWPVWES EGSTGSQRYRLHHTLSFPDPKILQANIDTFMSAFNDREESRKREERKGRQVPDEDGFV TVMRGGRTGPARAEEVERKRAEMEERERKKRGEMGDFYRFQMRERRKEVQGEMVRRFE EDRRRVEGMRRERGRFRPES LY89DRAFT_36047 MAGSTCGDEVENDIVDIDEIQSLGVNASDIAKLKSNNIYTVASL VSTPSKRLLKIKGFSDTKVEKIKEAGKKLAVGIRFCVRVLC LY89DRAFT_36067 MQERAGLEMHLLCPITIVFSCLISCPSDYPSLDNSTALPSTFFP PCTTFRYRLPRFQFGVCKEARRQAATTQEKSEKSGALPEVD LY89DRAFT_36150 MPPSSSPNTRRSSSQTSREPPSRLENVRLSVHQLFSGRSRVGTL PRSSTPESPKTPRLALGLGNLPSTRLHIPYLSRTNTISSQRSNSPLSSPRSIRHPYTP LGDRPASVSSLRQEAPQPPAPVAQTETRRNSPRRFVGVDPAELHLAELADTGRRRRRR KSTRTKRKCLPKIKNKKIRAKLLSCFISGLFLTLVLTIYLALALSNRNESQEFHVLLI LIILITTIFFCHALIRLCMMIIKPPDDTDQVTRGLPAMVGPGGYANPSTPIRVALARD EEAAGIESDATKLPPPAYGLWRESVRVDPNRIFWQRNEGAALERINSEPEGPEGRPMT ANRPPSYLSDDGVDYVVEAAPRSIAPTTDVPLPPHPSERGRAWPQGHSVIV LY89DRAFT_683993 MMSAEKRPAGEDFGFSQMVVSKRPNLGKDSKAVAVVNGSGANGA LIQAVPRTTGLQAPVMELTGHSGEVFCAKFDPNGNYIASGSMDRSIMLWRTFGNCENY GTLVGHKGAVLDLHWSRDSRVLFSASADMHLASWDLETGMRIRRHVGHEEVINSMDIS KRGEELLISGSDDGYIGIWDPRTKNAVDFIETEFPVTAVALAEAGNELYSGGIDNDIK VWDMRRKAVVYSMLGHTDTVSSLRISPDSQTLLSNSMDSTVRTWDIRPFAPTERHIRT FDGASAGMEKNLITASWSSDGKRIAAGSADGTATVWNSETSKLLYKLPGHRGTVNTVE LSPSSDPIILSGSSDRRLLLGEL LY89DRAFT_683994 MATKAARIGEEVWKSRVDKVNAELVTLTYGTIVAQLCKDFDSDY VEVNKQLDKMGYNIGLRLIEDYLAKSNTMRRCSNFRETAEMIAKVGFKIFLNITPTIT NWTTDSKQFSLIFDENPLADFVELPDDGRAQDELWYSNIFCGVLRGALEMVQMQVEAH FITDVLRGNDSTEMRISLIRYIDDEMPADDE LY89DRAFT_36186 MLLRCPGDLKAAEVSSYNKLGRPDSTTKGISALFHIFILYPSFT SLHSLCSRLISSNIFFCSGSWFVVLPIRSLSHARWAGAISATQTQERAEKYAHQHDIS SNLPVTESLFSPSTSTEPHDRPLSRYSRRANFFLLGTRMPETPFHPLPLDALACLPSR AYRIPFFFAKRV LY89DRAFT_36187 MNNVNMGGMNAMGGPVGGGIPMGMNNGAAGVRPPMIGNDNQRMQ LNTYIYEYFLRNEMYDCARSLLNSEGISLNLIKDSPGRRRENGAGDEGGDGESKDDAD SKRPSDLPEPSVPKDCPESCFLYEWWCLFWDMFNAQRGKGEGRNVLQYVHHTQSQSRM RQEQQQQMLRGIQRGNMMPGQYEQMMMGQGRQTNGLPINPNELRQKAIQNNRNTTPQQ QLMMAQQQQKQQAMQRDPSDGNNQQRPQSPGSAENAPSPSKRPRLDGTPSFNPQQIPN GRGQVAGMPQQVGDTGPSNALQAAHMQLTNGIEQNNLTAQQFQNLPGGPNASAQQARL AGYQANMVQQQAQQAGAMGAVKPMPNQGGPQNQGSPMMTQGQDGGAIANYYNPGEMGP NGIRGAPGGQPGGSGGNHALQDYQMQLMLLEQQNKKRLMMARQEQDNMMPRPDGQGGP GAPGANGQAFQGSSPQGGPRNSPNPGDQMKRGTPHMNNAGMPSPLPEGQSRGSPSNGM NFNLPGGPMDPTMNPQYFNKMNGMDPNMVAGMGPNGMRPPSSHPGGAFNQMTPQQMMA RQQQQAQQQAVQNQWQTGPNGQMIPQPGAQGPPQQNMGTPQQRNMPPPSVPAGGAAAN GRATSSPQQNAAPPTPSQVNKANPKKKAATESKDSKAKRAAKKGSNANLNAGATPSAD ADAAAAATPTPATPITPQHPSSFKGQNGAAQPATNAQPPAPSANTTVLQQDPVSFMDT NAFDAFGGNVSMDFANLGNGNSDVLQDFDFDSFLHQDEGVGDGSFNFDGFQGLDGGEI GAD LY89DRAFT_36290 MSRVNNFPAFSSIPSGVKRIIPSRSRRVPCPCEKSPGSMIREKR EQSSRCLKISYFYIYIRRFRAIGYGTVMLLLFYVYAWTYL LY89DRAFT_560005 LLQLRTSKMKTMLNLTVKTGIYKEPRTERLFCSSTGLDGDEHDL TFHGGVDKAVHQYYPGHYANWRAEFPDAERREAFTLGGFGENLVSEKMNERNVCIGDK VRVGGVLLQVCLPRQPCFKLNHRFGVKGFAAQTWRKSRTGWYYRVLEEGWMGVGDSIV LVERLHPKWTIERIQEFFHRDDGNLEMLREVTQIPEFGDEMKGGLKQKIDKCEAALLA KDKKKDPEKWEEFTLIEKKPQTPHITSFTFSKPGTGEELDPGFFARLKLPNGLIRPYS IVSGDTCKFQLGIKREADSRGGSKYLHEELNEGDKILVGNMTESVPIKGQASNHIFIA GGIGVTAFLMHADVYGQISFNYTLHYADSGEEEIPFKEEIGKLGDDGRVRIYDKGKRE RMDIGRILRERSWNSYVYVCGPQRMIDETVRQAKMLGIGQDEIHYEAFQMDTTGDPFS VEVKKTGMRLQVEEEKTLLQKLREAGLEVDSSCETGNCGTCRVEVCEGKVEHRGSGLA EEDKGVAMLSCVSRGVGHLVID LY89DRAFT_668249 MISTPDQSTCTCPNRLLTITSFLLSTAIILCASIIIAAIFYATK RIRNSRIDPVDKYNRRMTRFVVEMKALVEIGSASPAAETYDETWGVRDHILFALNHID DIEEGDEPPWVRKDMTVDVWMVELIHGIDVDLESGGRD LY89DRAFT_732750 MTTPKRNSGTAFTGLSMQSSGLASVGSSPAAAAAPKAAPTKKRE DDEFSDGVSEWSAWDFPDKDGDLETMGATDAIPTLPAPLLPLGKFATITERCFVYGHC CRLVESTLAQRAEGGMEWMTDGEYDRFLEAARFQHWGSMENLI LY89DRAFT_732751 MRLRLTVKRHGLPDTPVVWIVDTTPSSSSSPTISQLLEAVNEAI PIESEGDWGLEDYAVELKGANGANYECLHFQHVAAVMKEDDEVIIRPLLTQDLKIRRI SGRRQISAEGKHLFDGLAFGRPLLRRPADRPPITIPPRKRRRVTYDDEEQDADEIPTL MEREEEESDADANKQLVIHADFDDEDSEDDEDFIPGGDDDAEDENIDAEVNGSGNEGS SESDGTESDEEMADEQAADDIDQNRELQKMTDAFELPIETEPIQEPTQDSPRPSEIEK VDESALQSVPEPRRATIRKLHAVFPKSPMAVCKYVLNGTNGDMGEAYEAMARGFRPVK SKSSFTESLEETPDLHVPKTRSKSKVAPATESSSQQIRESEHDEPPNSLIRHYDLGGL LPGSIKSGKALSFMAEALHSTPPRPRSGLRRSASIASNKSVRFTMDEGLSNGLTSIPC IDKQSQAIESSEESDSDSDDSSEEDATSSEDSSSDSEDSDESSHAKGNSTDNVSSSGS ESSSDSSSESSSEEESPEETSSKLPSTTPKFRTSASPSQSSAANSKQAPAGKPGQGTQ ATRKRNERKRLSNALDRYKRKGILPAGTTISELSRLHVNDDTPAEAALAALEELRAGK TENTLAKNSSQSQSDDFEHRRQQLLDSLASGGIEVGADSRGNTTSKPTQDSSASTPAE VTSAIQPEITSTPVVPESTELHPLAKVSELEAMDIDAAKPSNAPEVPKIDSPLASPNP QSTSANSSQPSARRSKIDLGAGRRLLFGALGIKAPRTKKDEEKVRADLMKDVRHLVTP KASDPGEPQGEDVAEDSEAWREKIVYRAVECVQKGIELSEPPFPFVQRWDPQQQRSWS KGGKRKQGELEQPQYHQENSRPAKKQKGRKGKHRYAEEQEYLDASYEPSYQDESMELN YDDSTQLTRPEDEDVEDQISLQLMNDIEGPTGATSQAPDDCIPLPDDPSSLPDLKDGE AKSGMVIAFKKLEFSAATQWQPVVSQYRTAVVISILENGTLELTLAMRDRVHTEKRYD ENTGKRIYDKFETVDDEDMDDTEEDHGKLDLTFGELIEPKILQEPPELADDTMMDEAS PAWEKINTDDSTTLSQQEESAEAPLSHVTETPLHSDAPESFRIEESIEQSDLPVADDH SPEVVVQAVTVVENEPLENTSLAITALTVAKPTDDFTLAPIAKDTSPLDLAESVDGVH EDDSKSDVSPEPVSEDARQHIVHMMKDADFRTDVPSSVLRDIQPESMQSPGEVAELEK LLKDMTETNEKAYSPRFNGLGSSPIKKLRGSSQTPSESRVQVADSSPSRPRKGPSQIS GQERQVSDSPNKQPQSSWETVEPNYQDPTPSPARPTESSWITEESHVQSSSPPSKEPV KAVSKLKSMVRKIPIGRAQALWEQLQPKKQSTDSEDESSKPSPDHTTGLDGVSEREDH ESVQYPKLSVGSSFSQVEDHGRQPDFDFDDSAVISNGSPQATDLDFDPDIAVINFSQP PERQPSHSPAISAVVDKNGFESESEFEPELPAPKIVNEKSTSFKTQPSISADLSSDDD IPAQKTINQKSVRKPAPPVVPEPMSSDDEFPSLEALSQQSATIKREKSRDKSLMPPKN AKDEKPRTVSASSSRAVDLSDDEDQTTPKASQKQRVPSHSQPLQRKGSEIPFSQRRNS GPRASQPAPSQSQKSNPPPGSQVYDLTDDSSDVEPEPRRAAKESSDSDIQPTRFRTYK IDTDDDEEYQEESGWVPKKTSTVGGVETRRLTSGSLKASSQASLNTKNRRKTNT LY89DRAFT_781157 MRSLLLTIIILSLSNLTFASRRVSPRTALLVSPSPSSKSTSIST ATIPTKVPADADEAFLTAAKSTVTPAPAVIIDADGKVQGVEEKWHLTTFTSCHTFDAT FTYCGLHEPVLPGGDEIAGAVRPLDARGGVLRAVVVLLGTLVGLMCF LY89DRAFT_684000 MTSSSFIDGRHQLDRFCRQYLQVLQDLEYPSGENLRNYEFQQDI YNRLFADDAAKHPSPARYRVRVLKELVQRIEASIQDWDNEAVSDDIMSCLSSLIASGA PSEAVSAQQKAYVTCSLAFLSSSSDFVPTITLLEARNLIAAAGTTGLRTWEAALHLGN YLAQKPNLVQGKSVLELGAGTGYISILCARYLGASHIVSTDGSDDVLADLPTNFYLNG LQESSIIEAKELRWGHILIGGEQPEWNGGRKIDLVLGADLTYDTTAVRALVATFGDLF ELFPEIHIIIASPIRNEKTFQTFLETCRRNKYGVEEIEYEIPKPELQEGPFYSDAVPI HISRITKS LY89DRAFT_583155 MADREQPVTLRTRKFIRNPLLGRKQMVVDILHPNRANISKDELR GKLAEMYKANKDQVNVFGLQTQYGGGKTTGFALVYDSPEALKKFEPHYRLVRVGFASK IEKPSRQQRKQRKNRQKTLRGTAKVKGPSKKKEK LY89DRAFT_732755 MASTQQMAEDDHSSNLIDILSWFIKKIEQEKATVAFFQRCIICK GATEFCETCTHELDVLKFDLESFAEMACREIWKLTGGKEGEAYHAGWSFTSFQDIQNA YKDHEELFDRMCEGNDPITCKPRKKVLYADDYQQAIPPLECAIGNWTRVCKAFQSEYE LGMARGWRLPADGVEKERGKVKYDPSAKIKKWDALKTKYAELAATLPPNPKSGELGYD SEEIEYYSTSDSACSEDLDAP LY89DRAFT_36455 MVTRTDYEEDEHSFRRYSYRGHGGYPITYQERDLPSGPGHNVYS RPPHPGSQDSPNGDRSSDIDEIPRTRSRIPVACGRCRKRKIKCSGDNGGPCTNCKSAG NNECIFLRVSSTETHLKSETSNYDFDPGSAGSRVNCRMIPFGPHSYASQGPPLSFGPY PGRNNSMPAYQYGAKQYYSAYGDFADDSVDYGVNLQYQPLLPGEQIGLGTTNFDPSPE ARAWIAAQPQPLKSLPFLDGPTQIYSHGQSSYNGSNYDPRSNISVDLKPIQLTGMSSS LPNLASPGNDRQLPFPANVPVSRPTQLNQYLPPAEGILTGTQTRGPYMDFGTVQTIKS QNNNAVADNNSMPTSSYLPVANDSPQSLNSSQSTYSAPTISLPSPQPEVYTPSQGSND GLLNATDSFSPRSSSSYHSIVNNDSSNDAYNHRGSRNERGSYSRNPSDGLPSMTTGLL ANGQQYSPAPSAFAPNRYPAPPVEMHPQPRRQSGVLA LY89DRAFT_582838 MSVLLETSAGDIVIDLLVDYAPKLCENFLKLCKIKYYNFSPVYN VQKNFSFQTGDPIGPGAPGSDDGSSIWGVLNGPSKRTFVVDIHPKLKHAERGTVSMAT IVSPRDPDERLAGSQFIITLGDDLDYLDGKAAIFGKVVEGFDALEKINDAFCDDKHKP MVDIRIKHTVILDDPYDDPPGLVEPPESPVPTPAQLATVRIGEDDKLDEEGDAEATEK ARREREARAQALTLEMVGDLPFAEVKPPENVLFVCKLNPVTTDEDLELIFSRFGKILS CEVIRDKRTGDSLQYAFIEYEDQASCEQAYFKMQGVLIDDHRIHVDFSQSVSKLSDTW RTATNSKRRHGSGGFGGVSGLEKRRQYKVEGGERRDKYGMVYDEEELRRRHERDEKHR EDEKRDRSRSRSPQRDRDYGSRDDRRGGRGDRGRASGSGRDRFDDRDRDRDRRGRRDD NYRRR LY89DRAFT_36448 MPTYHALGFSVRLHVWDAEQQRAVADERIFPSDPSIGCALEEVS EEYFQGRHGVDFLGQSGAPFYLVNAGRDLFFRQPQSLDGCKDVITREVQGDTGSTVAH TDVAPSKSSSLPELPSIDSLIEDSDSPLSSVPTDFDSSPPGPIVEQDNVRADSASRGW LQKPTKPVLTKDSVQKPIKKSIPVKVQCQFSRKSFPRSLDSINVQDFSINIFYNGEFV MSKTYRAETVRAATAAEKQPNYSGRRLPHGKECPFMVLPLLEGKNKSDGPVETNVCSR WNKINETLLVEADQWGRAGKYGVFRSPIGEYLEDLSKLAMPEGMAKKNNGGRNIGIID VVIALGRTLVLPSSVGLVQPQRKLPSAYCGPARTLFEVPDEPRSGLRKRLIEDLHEKC GTEPSMGDSNITAKTTAVELSSASDQPAGSRRSTRKSSSKQSEAGSSRQSDEGYHIET ISKSGTRLQRVVTTQSFTTSMAPPRISDRPRTSGGPTRSRSNSIASVVSTNKAGSSRD VPKKRGRESSPGNGVEPSPKRAKSIVAVEIPPFQGDPSTYATTAEDANGQPPVRSNQR PLRQRTKKMHFDEKSPTPAPSSKARNSKNYQTPASASALADSPSSRTRSQERMTGKPD HVSNGSTSNYKSRVYFGMDGNFDEPFDFAFGLDGSGSRKSRPSSSNKKASSSKQKSAP KTPNSTSSAINTPSALPNRVETPATHSRSNAGDATASQSRQESALHNNEQYLDASSSL TVLPPNEHKSQRSRPRVSKGELKGLLGTDQPTDEINNNLGSSSADSTTIRASRFGNKK ENNTSNPTNSKIKDSSTNRLKASYHQARLLVVNGLNETDWKTVQRQRELSTKPKATAK GIQEPEPSPKPSPKPRETPEHKDASKPKSTSKSRDTPHSIYSTPIQDKGKKFVDERSK LHDQLLEASKRGQERVVRRPSIATTPSKSSHQAGTGPRQGVFSVVSRSQSHAGESTDN EMSPTSTSASNDFGMSVRQPRRSSSNVPVATLARSLSSMDLKATLSASQRNVIETPIL PPSSIAYRRSLSDTPLKLRRASESANDPNVTLKDAVSTPLNLAPTGGKPKPNLIIQTG KANLVAHSDMSSPLTTRSYVHTKEGRKAPTPKSGTFPDIRKTPTSMTTPTNGEFPLHS FVPPSKLPTQAPKQAPPRQTRRLSEVEMSRPPNSSSVKLTPEETNVTSRSLRARPSGM SSPTPTMGPPPRTMSSTKETAQNPSRFLFQSSTNKNDATTPPILPPPKPYTARMSEAA QSQKSRRSSNDPVQPPVLDTTPTPLPSISRPQPTTASSASASGAPSSIISPPADVVAT KWTPVDLCQDSVLAYATKEQVGKWFGLQFDKENECPSRSTRREREGVFKAQSIMMGVR YVFGADFAEQEDKGKGKEHQEVGVDSTQVTKALQPEGKGKEREADVEMLG LY89DRAFT_706653 MTESSNNEPGGFIVPSYPSPAPSNASVNSIASNLPHPRSHPLKA GSAKEDAARRYVEGRLLHISRRYAKKFQPLSENESSALKGYESMSEVAKDLGEVVDVV WLSGTPSLQIPYLLNIAGSVTSYLTAFPPSPRATFGLLKKLDHAFASLLKGEDDVTGE ILPGFSGGMRAGMSKTDMIRCKSLVEQTRVIVVDVMGKEPILEIDVDESGAETDAEME STGWDAEELKYEMDVARVYEQTIVLLGEKLEGGTFQAITSEG LY89DRAFT_684004 MAPKQQRIQVGKAEVRRPAQKGYLSSTYQTLTSPENASVVRSIA VFGVAVAFFSSSWSEFLLPPL LY89DRAFT_781165 MSTLATKSLRQASRLCLKQPASASNLLRNSATTRVAGIADQKTV KRGYVSETKKDSAQVNIDTAIRADQKAFFAETGKLPENQIISGTNVNADAMMSPMAGV LQQATVMDEGQRPIYLDMQATTPVDPRVLDAMLPYYTGLYGNSSSRTHAYGWETDKAV ETAREHVASLIGADPKEIIFTSGATESNNMSIKGVARFFGRAGKKRHIITSQTEHKCV LDSCRHLQDEGFDVTYLPVQSNGLINMDELEAAIRPDTALVSIMTVNNEIGVIQPIEA IGKLCRSKKVFFHTDGAQAFGKIPMDVNKMNIDLMSLSGHKIYGPKGIGACYVRRRPR VRIDPIISGGGQERGLRSGTLAPALVVGFGEACRIAKEELAYDSKRIKYLSDRLLKGL LSLEHTTQNGDSDSFYPGCVNVSFAYVEGESLLMALKDIALSSGSACTSASLEPSYVL RALGSSDESAHSSIRFGIGRFTTESEIDYVLKAVKERVTFLRELSPLWELVQEGIALD SIEWSQH LY89DRAFT_684006 MSFKGFQKSVIRAPQTFKAKFNIGEHTKDAVYIDAERRFQELET ETKKLHDESKKYFDAINGMMTHQIEFSQAIAEIYKPISGRVSDPDSIVQEGNPEGIRA CEEYEAIVKDLLATLQPELEMIETRVIRPADELLEVVKVIRKTATKRQHKQLDYDRHR ATLKKLQDKKDKSLKDEKAMYKAENDVEQATQEFNYFNDLLKDELPKLFQLEREFIRP LFQSFYYMQLNVFYTLHEKMQGCDIGYFNLQLDVEEGFEAKRGDIQSQAEALSIVKFK TTGAKRPPGRLGAPNSRLAIESGKSSPLSPKAITAGPSSRHSISNDDEKPPPPYSTGL TPGSGETTVARANSTGAAWGAAAKAKGAAPPPPKPKPSRLSGAPAAETVTALYDYEAQ AEGDLSFTTGEVIEIVTRTNNENEWWIGKIKGRQGQFPGNYVKLN LY89DRAFT_668260 MSSLTGLPFEVLSYVIDYLTLQDVYCLGRTNKYFGFIFQDERIC KSILQTKLRYSTEALAAVSLGGGNARNLRRALKRHDALAAASPFIVATIGFCDAYLYC KGVLCYTLDDRIRVLNLHHSGQSELVISIPSLLSHALPDIGENTNGFFQILYYADYIL SCTYRSSGPDSTAWLIAFNLRTRQILVAHELDSTDKIFVRHNKQYLYYGTHSQVGTDG YKKWVIYGFDIKERTWFEQKVHLPDMVGSEIGSTICFELYDGYFYGLSNQTSFEVEEI DWTSFYHCIRFPLSSPCTELLEKTENKSMWRRQHQEGPIDDRWTNLRLVGDESSGELK IVEARKEWYLGSSKSQRTYYTTDLIFPKTANDEDGEGSPNVSFSDSTLQPFVMPSWLP PDPTLSEEYNLSAASTASSTSTASSSSTGSTSSSAPTSMASEDTEIPFFPDEQLRKLV HKDDHPNYIAAPNRRPENTHPGNDGSAQPTITLAKCRLRTYNPSCSTFLDLVDDPHPD DWQGKQRLRLRAGTRNLGPVLRDSTGLLREPSSDLCTALHEMYQVPPITFWPAAQDPN NPDEQLDAVYQLMNPPSHLGNVEGTADERSMVYVTGSHNGPKALIFICFDPSVRLAGL KKWDYWGTSQWGMKGVGEGPHVDGRAAGAELDNHGTRSRKTYIDVGEADRTVSVDRKG KGRECLNTTSMAQVTAGRASVHVQKTSASLIRTRAGSGRGNWAWKERAMYQDINMGFY FGVDQKKKA LY89DRAFT_582965 MGQFANFISGARVDPNSAPPYLLKIRSSKLFILSTICIAVFTDI FLYGAIVPVIPFAIQSRAGVEQSSVQSYVSILLACYGAALLVLSPVVGWYADRSSSRR FPLLIGLLALASATVMLCLARTVALLIVGRLLQGFSAAIVWVVGMALLVDTVGEKEIG ETLGWVSISMSVGILLAPLLGGVVYNKAGYYAVYYMAFGLIGLDIILRLTLIEKKIAR QWLDDEADTENMSDPEQGCQDSQNTEDEKPNVVEPSDGNTHESIPEPAASPTTIPKIR SKWPPVLTLLKSRRLLTALWGCIVQGSQMTAFDSVIPLYVQRTFHWNSIGAGLIFLAV FIPGFAAPLVGWATDKYGPRWPTVIGFTMAIPFWVLLRFVTYDSLGQKVLLCALLALI GVALCLTNPPLMAEITYVVEAKERQEPGRFGANGAYAQAYGLFITAFAAGTLIGPVWS GYVEQAAGWGTMSWSLGLFSISGAIPCFIWTGGLITQNNAKSAEERAAGRPQPVIPGA ESNVV LY89DRAFT_696496 MSSLDDLFKASFRNEIYKSAKLAPNGHAKFNGKQPAVSEPEDED MEAGPTAPPDDDEDYGPDIPDDEEGRFFGGGVTQEENEILDYMEGQESADIAPEKIDT AWLRKLALNFEKKITKNAELRAKFEDDPTKFMGSEADLDTDVKALSILAEHPELYGEF AKLGCVGSLVGLLAHENTDIAIDAIEIVAELTDEDVEAEEVQWRGIVDAMVEADLLDL LVSNFRRFEEGNESDRSGVYHALSVLENLASQVSLSEKIGQDTEVLKWLLQRIQKKES PVTQNKQYSAEVLAILLQSSPLNRRKLCELDGVDILLQLLAAYRKRDPVKGTEEEEFV ENVFDSLTCVVDESEGKQKFVEAEGVELCLIMIREGKMSKPRALRLMDHALAGQAGAE VAEKLVDAAGLKVVFSMFMKKQDGATTEHLLGIFSSLLRALPAGEAGRIRTLAKFVEK DYEKIEKLVKLRRDYAKKVAAVDDEIRSEQTKLDAEEKEEMADEWLSRRLDAGLFCLQ TVDVILAWLVAEDAGAEKRIRTLFADRDETLAVVKATIQEQLDTIVGDTEEETMTKEM LTTLVQFLT LY89DRAFT_36973 MQSTFRREKRRPSLFDILPGELVDIADYAFEIHKREKRAPKLAV NASSWWYVNLTTATVQEIRKRSGPDDNPIERNILLFTLQILSLGFQLHFVFDGTKRLS NSRKLYPGRDPPSELFKDVLTKIGVPWHEAPDAAEAECAKMEMERVVDAVWSEDGDAL ALGCRTLIKSFFKIQSSTAESEKRNKSYAQFTVYNMEKLAGEHPGMDREGFVLNAIFN RMSKNPDEQSYLAPDDVLEAAERGLAKSLCAASGSSKELRQWAKTEFSDYLQSPEIPL DFPRRQHVQDYLKPIVSSREVFLNFQKAREPFDNEDALFSFLTDRLQMTLNQWVKYVL PYRVVRSLLATEEGSESQHDHLKLKCNDILKRKKGQLPQKVVAEFKLCEATSLNVATL HMETGEVETMLWILRRANFNQTPSLKTFFTPSQKGKGIAPGSMPERPRQRHIPEAEMA IATPSSAPASNPNGEVQTGEPSNSRPQKSPPSPTPASRKRKRHIPRQVSKRFSKTTCP PSRTMDSGKAVEKDTGDRDSLMMTPPMSYLYDAETPERHARASSADKKQPLDANLLLT PMDQIADRADAGDGYIVIDSD LY89DRAFT_684012 MVIITPGQKAAISSQAAAYLENIRHPPLPGLLSPFIASPSRIPN LRLTIGQKLAALEEEHIKRYNLSITETTIADIPVMVIEPHVIAPSNESKILINIFGGG FVMGTPRERAALIMAGECGIRVYSIKYSLSPEVRYPIARDECFAVYRKLVEIHDPANI YAMGSSSGATLMITTLLLARKDKLPMPARLFLCTPAVDLTGAGDSMVSNANRDIMPVA LLSAMVRQNYAPADPEFDFKDPLFSPLFASYDATWPPTVITSGTRDISLSSAVRFYWK LRGAGAKAELLVGEGMWHGFNWEVDIPESIALRGAVREFLFSDN LY89DRAFT_732768 MSKQHGYVSDLLIAPESTGMEYDRLGNSGLKVSKIILGAMSYGS KDWVKWVLEEDEALPLLEHAYKAGINTWDTADLYSNGRSEEIIAKAMETYKIPRGHLV IMTKCRFGTSEKGESQLSVYAASVNDGKMVNRVGLSRKHIFAAVQASMERLGTYVDVL QIQRMNPDVPREEIMKALNDVVEKGWVRYLGASSMPTWEFQALQNVAEKHGWHKFISM QNYYNLLYREEEREMIPYCNATGVGLIPWSPNARGLLARPWGSPNTLREEHDATLARL FDKDNKVDEAIVKAVEEVAKARSLSMATVAAAWCLHKGVNPIVGLNSKERIDEIVGAT KVTLTKKEIDKLEAPYVAKSVTGF LY89DRAFT_36969 MVGIPKSKGCQLCRSRSVKCDETRPSCLQCTKGGRECPGYSRET KFVDEALRLRWKVSQPSSGKPTCIKTRGTLKPPSFKSGSLELAQTVSCCISELFPLHH MTLQLSILGSWLWYVPKRLGNNMVLDSAARALSLAHFARLSMQEAATINSLESYSQAL TSLSKALNRPDTRYSSEIVAATMLLGLFESFVGMENTSWIKHAGGTGALLQVRGAHLH ESEFDYYMFLACRGPIISGALMSGTSCYLDSPEWMALSAYNSKLFPSKRKSFQQYGLD PRPNENVFVS LY89DRAFT_696498 MDKCVNVVQALITSDDELVSTVIGLECLVLQGIFHINAGNPRRA WLTFRRALSIGQLMGINKRECSIKGGPDMWYQIVQADRYLALLLGLPAGSEDVSFSAE ETFENPDVDKERLFLRKLCNLSGRIIERNQSENSNAYANTQEIDEALEKLAKSVPPEY WDIPDVIPNDHSKQAAMIFDRVMTQIWFFQIEALTHLPFMLRASNERRFDYSKFSCLK ASREMMARYLALRKAESKSFCCKVVDFGALTACVTLFLGLLDPVSGTESHSERQQREN DKALVQTVLESMEELAERGKDIVATQSVNVIKSLLAVDDASGTNTGNLKLTIPYFGTI SIVRPSRAPDPTTKPPSIPQQQPQRPQKQQQQQQQQPLGPHLMSQTWGSYSLPPESPS QNSINPPMVSFQSSQFPPVLPDAQSIYDWALPEADTLFFDSLLNTDIEGNWIF LY89DRAFT_583698 MAEAGDDNSGSRSADGEPPRKRVRKGTKSCWECKRRKVKCQLST EDVPVCSGCLTRGTTCLSQEYPEERDPSSGTNVGERLGRIEQVLEKLVAKIEQYEEEE NTAQKMLTPDSLSNNDVPTPYSGTANSNTQTDTAPFMHLFDSSMVRINVLKGRKG LY89DRAFT_781173 MTVQGEQASDITKRPQRANGHRLTRRRIPLSCTACRVRKLKCNR EKPCQNCIVRGDATAASCTYAEKAEKKYGQAGIRSDEDMRKRLNRLENSILTMISNDA EHRGSQTDSANHPSPANSTGSPGHTGAQRISSDTRSTHWDAILNDLGAMKEAWIEEND KIEFTNGFEEPVITKPHRPGLFSGLTQPPDRATILATLPIREAADKLVVRFFDYYNPA IPSRYVLHKATFLKQYNQHWVDPSKTKIIWIGMLYSMYCIAMQSWIRGDEVPPEYEGT APALVELYRVRTVQCLIVSDITKPVEFMIETMNLYSQIEYAEEKDGNMGSYVLSGTMF RLALQQGYHRDPSQHPNLTVFQGEMRRRVWSAVSQHDLLFSVHVGLPKSIRYAEGDTL PPRNLHEHELYEDMTELPPARPLTEDTQVSYQVFKWHLMRAYGRVIEFVHLLEPQPYE DVLKIDMALLNLREMIPPHLLLGTLEEMQNDAPGRVMEKYIIQLFYNKAVCLLHRKYW DGVPTSTDKNTWYYSRKTSVMSALTLLEHQVSMHQASKPGGCLGKFKWYNFSITNNDF LLAAMILCLDLMSIRGTAGRPDVPECIINEIDKLNAIKRSRDIWQEIIDDCPDARRAV KVLTGVLAKLSTKKWEDLIPSANSDTNSTPAVSASAQNPNVDSLRYSPYFTDQFGLGC CLRDQPDTVMGESFIDTMSTDLSTADFNWDIWDQFMAGQTQPDHDFTNDIQQQQQQSI S LY89DRAFT_583572 MTANIDTILLLGATSGIGEAFARYFHGKGKRVIAAGRRLERLDA LKSELKGLETFQMDVEDIPAIEPKLNELFKTYPDLDSVFVIAGIMTMGQFKDPSTTST KGIVSEVTTNLIAPMVIARTVVPHLLSLKRPTTFITVTSGLAYMPLPFFPVYNATKAG VHNFTVVLRTQLTGTNVNVIEVAPPYVATDLDVKFREEQNKMQGGKGHPPMPLKEYMD VTTKQLEEGKDKELATGFSAMGVSAWRGAFQPLLDQFGFAG LY89DRAFT_696500 MDQNLDARALRELEQELHTEIYPGTEIMTDVGTHHFVKAAAGQH SVLVPQPSDDQHDPLNWSPWWKASAMICATLVSFSQGFGPLALAPMFGDYIEAFDSNL TDVVQFTGVAILVLGFSNFIWVPLQTCFGRRPVLIASTLICFGSSIWRAKANSYNSFM GACVLNGIGAGPAETAQPTIIADIIFLHDRGKYQTLYFAFYFGSLMVGPIISGPMAQN VGWRNFWWFNTALLGFTTICCIFLFPETKFNRSFMPQNSLVSGSPPLKGSTEEVETTQ HPISTAATDHADPEKTAPIQSKSNGAGNNGLTHVHTHDDPWLGRGTPSKQQWKLFQPY EGNFLMELLLPWKLLFFPIVDFSAFVVSWSASSFLTLNLTQSQVFANPPYNYNSTTIG FFNFAILIGAMIGLFTAGPLSDAIAARLTKRNKGIREPEMRLVAMIPYVILMIIGNVV VAVGYEHQWDWKVIVIIGYACAGIQVAALPSISSTYSIDSYKPVAGSIFVAITVNKNV WGYGFSKFITPWIIKSGYIPPIMTNMSLITLWCLTGIIFWFFGKTFRKWTRNSKVHSM LY89DRAFT_614558 MSSPLRPNMSSANRGLTGGRTGRKRSREPEDDATGLAASSPAGD IGSSPPMYPMQHGGDDDDDVEEDVELDIDDADEMAEDEDGIDLFANNFERDYKSREDD RYDDRDIDDEGDYGDLDVAERRKLEARLNRRDRELARQRRMPAAFLQDEDDEGDLDLT AQPRRRRHHYDEDEDEDMQDDIMEEELSLETLNDIKASSLVEWVSQQAVQRTIKREFK AFLTEYTDENGVSVYGSRIRTLGEVNAESLEVSYDHLSSSKAILAYFLANAPGEILKL FDEVAMEVTLLHYPDYERIHSEIHVRISDLPVHYTLRQLRQTHLNCLVRVSGVVTRRS GVFPQLKYVMFDCNKCGTRLGPFQQESNVEVKISYCQNCQSRGPFNLNSEKTVYRNYQ KLTLQESPGTVPAGRLPRHREVILLWDLIDRAKPGEEIEVTGVYRNNYDAQLNNKNGF PVFATILEANNVVKAHDQLAGFRLTEEDEQQIRSLSRDPQIVDKIINSMAPSIYGHTD IKTAVALSLFGGVAKDRQGKHHIRGDINVLLLGDPGTAKSQVLKYVEKTAHRAVFATG QGASAVGLTASVRKDPLTSEWTLEGGALVLADRGTCLIDEFDKMNDQDRTSIHEAMEQ QTISISKAGIVTTLQARCGIIAAANPIGGRYNSTIPFSQNVELTEPILSRFDILCVVR DTVDPTEDERLARFVVGSHGRSHPSQAGETQNTMDVDQEAAAEQKKEGEIPQELLRKY IMYGRERCSPKLYNIDEEKVSKLFADMRRESLATGAYPITIRHLEAIMRISEAFCRMR LSEYVSAQDVDRAIAVTIDSFVGSQKISCKKALARAFAKYTLARPGGGKKGGVSRNLE RSNRAATATMA LY89DRAFT_781176 MDPPPVPGSSFSELPSSQTSIPSRSQSFSQKSDDSQTAAEFIDS QLQLEADAREALPYKFENCTKTIGKLRQVLFSCLTCNPPPSNPSDPYTPAGVCYSCSI QCHGEHTLVELFNKRNFVCDCGTTRLPATSPCAIRLNPETNTKGGVHSEEPAPDNKYN HNFRNSFCGCECDYDPHQQKGTMFQCLGLGTAETGGCGEDWWHPGCVVGLGPDWFETA SQKNDVKKTQHTGLLTSITEVAENVVDEANAEAAPEVMEEDEDDNPPLPPGFPHEDDF EGFICYKCVDANPWIKRYGGGPGFLAPVFKRSAAPSPERGLLAMTQEVIASHLAPPKK RKAEDDEESVASDRSKRVKSDLPTEASAPEPANATTALPTKTLLVTKAAENAACKLET LPPTPEGQMSLFFKADFRDHLCKCPDCFPLLKPHDQLIEEEINYEPTLSGSEEEAGGS TVGSGSIYERGESALKNVDRVRAIEGVMAFQQLKDKLKPLFQQFAGTGKAISAEDIKA HFAKMRGDDEAIKAAGEDAKTSKKDEDQRREQGGY LY89DRAFT_583320 MTASFDVEEVLSKLNVVEKVSLLAGADWWHTVALPKHGVPAIRV SDGPNGVRGTRFFNGVAAACFPCGTALGATWDVELLHQAGVVMGQESKAKGSHVILGP TINMQRSPLGGRGFESLSEDPVLAGLGAAALVNGIQETGVVATIKHFVCNDQEHERNG TDAIITQRALREIYALPFQLVVKESNPGSFMSSYNKVNGTHVSENPKILGDILREEWG WKGCIMSDWWGTYSTSEAINAGLDLEMPGATKWRGEMLVQAVGVNKVAQHVLDERARN VLTLVNRCSASKIPERAEEKTADTPETAALLRKIGAESIVLMKNEGSILPLKKDKKTL ILGPNAKVATYHGGGSASLLAYYAITPFDGIKSQLTTEPTYTVGCYAHKELPLLGNIL HTASGEPGVSWKAYNEPPSVKDRKCVDELRLTKTEFLLMDYNPPAIKALLWYADIEGY FTAEEDCEFEMGLGVYGTAKLFVDGELFIDNETTQTKGTLFFNCGTVEEKGIVPVKKG QKYHVKVEFASAPTCKLDQGSNVLFGGGAVRIGGAKVIDAEKEIEHAAALAKGAEQVI ICAGLNYDWETEGSDRETMDLPGHMNALITAVSTANPSTIVVTQSGTPVSMPWLSSVP ALIHAWYGGNETGNAIADILFGAVNPSAKLPLSFPRRLQNNPAFLNYRTERGRTLYGE DVYVGYRWYEMLDLEPLFAFGHGLSYTTFSFTDLKISTISTTSLSSSSSSSSSEILKI QLKITNTGPLPGAEIAQLYISQEKPSIKRPKKELKGFTKVFLQKGESKIVSIEVEVKY ATSFWDEVRGAWVSERGKYGVWVGGSSREGKGEGEGEGGVFEVERTGWWTGL LY89DRAFT_37328 MYQSNLSQRGPSSMTHDNLRSPNAIPPRTSSTGQNGLNHVASKP VLKPVPEGDWISQRKRSISNMEAVNPTIQPSGGPDPSKYYKNDMAFNGKGSWSATKEK VLLGPYDYLFAHPGKDIRSQFIVAFNEWLEVPAESLEVITKVVGMLHTASLLVDDVED SSLLRRGLPVAHSIFGTAQTINSANYVYFLALQELTKLKNPKAVSIYTEELLNLHRGQ GMDLFWRDTLTCPSEDDYLEMVGNKTGGLFRLAIKLMQAESGKLKDCVPLVNLMGIIF QIRDDYQNLSSPEYSHNKGLCEDLTEGKFSFPIIHSIRARPENMQLLNILKQKTDDEQ VKRYAVSYMENTGSFEYCRKVLATLLDRARRLVEELDEGEHKGRGVLKILDKMTV LY89DRAFT_614571 MEALLSLSFDNLSSYDQAKIRKGLRQVEGLLAQICLSSSSSTPK TAAEKRRSVIDPGKEPPPRKALAELVNDPAFREFFKLQDGFEWNVAMRLVNCLDRLLG KSHDGQNDLLIIQTLDLIQGTLLLHPPSRTLFSRELYMNHLLDLLEPINCPAIQSATI ITLVCCLIETPQNTRTFENLDGLLTITSLFKSRETSRDVKLKLIEFLYFYLMPETPSI PSANNTASVPAMLQRSPSKLAGAFNRTESGAGRKRADSESEITRCTDEKKQLLSRYLG NVDDLVADLRESTPFGGAL LY89DRAFT_732779 MAPLRLLTAIVSICLTAVIAQWGAMPGPGLSPRYFLGEAAHLVN RQNGDCPEPNEHTCLDVNAGSFCCPNTHYCIVANNTASCCYIGSTCSNPCDANHYECP STTSISGTAVSTTSCCSRSCTSTSQYLCASALGGQCCSYNSGCATDTQCVATATSSNT VATTGSSSTCPTSQSPCANSLGGGCCDNGQTCTVADNTNYCASGTALVATRTGPNGIL ATAPSTLSSGLSTGAKAGIGAGVAVGALVVLGGFIWLYLGHRRRAKQAAIASAPAMSQ GSESGTKRPSNGRSGSDYFGPTAAHGPFTEPHDSAATSPGAHRGVPVSPQSPGDIIVP VEIDSKSSRGHSNVTTPGAFEYVKPPSTTVDPVELP LY89DRAFT_732780 MDSTAFWVWVDAFRRLLQVPLCLFIALMFPKIFYSSFLARILTL AFIAFEAALVFRPHEITNMIILYLTGFGAILFLIWSAIMLLFCNPLQDFRRLRRVAVT RIDAKPAVKYEWEAYPTELGWERASWVLDLLVNLRGVGWNYQKPRYLVPQDVQKLYQD IGIDVSSFKPTRGNTLDISTFYKTQSMLLVTRYLLVDLCINLMDINPFFQGSEPPLNW IFPLSPRNLLLAPYNAFLAAIGVYAVLDLYGTCVALIQASLLGPNILGTWGEPFMYPR LWGPLSAIWDEGIIGFWSTMWHDVFKHAFLTLSRALIPGSTRTSKFLRLNTIFVLSAL CHAAASYMQAAHTYPILTSISFASQGLAISLQSLIVSFLEKRGVSEVTRKTIVLVFAV VWAYFSMYMFLGDLAASRAFTLKLVPVSVFGLVMGRRWPGWLGS LY89DRAFT_583316 MRAASSRGTQDLTTTLLTCLVILCFEAWNGHYDLAVRQIQTGLR LIQAWREDILIDRHRRGITASPCGDDRVVNHQLIATFTKLDVQAISFAEESNPERHSL VLNAELSLLDNMPLSFDTVRQAEPYENAIIRQSMRFFAVQVPLPRPRPPKRAFPINAW WGIRDPDVVAIQQSILKYISAWHSAFEPLWTKLQTEGTDEETLLIASTLRLHIEADTI ALLGVCCTNEEDFDAYTDTFSDMITLSSTILLTLTKTSPTTPKFSFDSHVVIPLHMIA HKCRDPLIRRRAIELLTRYPRREGVWDSALGARIGSWAMAVEEEFGDEEGRVPGWARI HGVVFERDGERRGALLGCEQKVGEGGGWGWRRKIITW LY89DRAFT_643966 MSTEDELESLIGRIPECPSFSPRTPDLRCCCGQDSCAYLKHNCL ALDDLEKEVRTAATLGQALLVRHEQYMHDAERDRLEMNAKIEKLEADKKELENENAKT IEENRGLLDQLESVNGTLTESEAHVKSLEATLHATRLELRRLETLASKTLDLEAQFAA LELEQEVLQKTVTTTQKEERSAVQRWRKAERRLNDLQEQLERIEREATEERERHVEVM GRMERQRAVERELDTAAGRLKGAAAYTAGNGKHGSSVVSHFVKDILQDNANLQMGIVE LREMLMNSNDEVQMLREQLLIHQPVIEDKGDGSGPPTLRAELGPKDPDETGNKVEPQV VSQALHIHHHYHAPKKEEIRRPKKKRTSLNTALFTPPRHMQSPRTSRDVANAILSQTS VTVPSPVTPTNRWSMQSAQMSDFAPSSVPSSPRSYRNSALFDRYDFDSSRPTSPGSSV DPMSPQFQPQFHKKRASEVSTRGFAAPSNFQSHVIHEEEDGDVEDIPDLQTPSMPSLD DETTPSEVSRQSEADSQESNWTSSFQPTLRRTSSHESILSISGIDIHTLKSRPSQMTI TGDSLLFRPRTRLGTPSTIVSMETITGASMITARPTLSRQGHNSTSYLRSTVLGNNSD ARSISSSGSNEGTTPKTGGWLFSRWGVSPVKSVADLRAPPPASPASQQRTVSTPVVDP LKAFMGRSPGINQKGPIPGFIKKVERAPSKVTTGAVDHDALKEVLMEG LY89DRAFT_614577 MSSLVSDFLINPVLRQARRFSRSTSQADPRDPVTNRQLPTQNNH ELAVEDIAERLEDLEGLNNGGESIISGTALTSSPIEENGGLEAELQALEHGRAPATAT AVPHRLAPIRSNSGQVDDDMSDNPDYGIPGRFRTNSATSTTFGSGNGTIVDARMSPAE GPSRRSTLDLARSGSLNRPRNSSLPEDDGMSLLRQQIIRIQSMDIAPEAKARMMHQLL TRDYSRAQDQVHARQQANAPSQAGMISQERPSTPGSLSSFIWQMNGALDPSAPEQQYT FHLSPDDLKRTYAPPDPTEADEDGDVAVAEQEQVLGCQHYKRNVKLQCSTCDRWYTCR LCHDEVEDHILIRKDTKNMLCMICGCAQRAGEFCVGCGERAAWYYCGTCKLWDNDANK SIYHCNDCGICRKGRGLGKDFFHCKICGTCISIAVEKSHKCIERVAESDCPICGEYMH TSPSPVVFMPCMHGIHKKCYEEHMKTAYRCPICNKSAVNMETQFRNLDRAIAEQPMPP QFRDTKAMVSCNDCYAKSAVMYHWLGLKCAICDSYNTTQLSILSDPEVEVPSTENQEN ENTTPPDQNNGQLSSSQYLAPGPGPTRSRRHSSHTHTPPLPELANGRFSPYARPQRIV RSVSPVRAPGFFDTPVLPQNMETDDSGEEDELDFWGREEPRSVTSVENVDEEMEDEDE SDQDSIMDECDEDGDDDNEFDLFGHR LY89DRAFT_781185 MPSEDSKQDKQTSAAQAKQVIDVFQEISTLLNADLDRQTLSICI SLIENGVNPEALAAVIMELRKDAEATKRRLEAGGQ LY89DRAFT_37435 MKSSRSSLTLVDNKPPALTGSCMCGKVTYSSSVLPSDLVNCHCQ TCRRLSGAPFLTFGQFPVSAITWTSATGANAMKKMTYSDIADRTHCAECGSPISMTYK CEPEAISITAGTFDEESIRGQLPRLKSHIFVEEKVKNEWYELPPNDGVPKYSGFPSVF SKKIEEWKKVLKSPGLG LY89DRAFT_684023 MATAFEPSLSTSTMRPPFASADAPSMADSLPSINFGFEELRDRM AKFTAKFDSFIEEGRKRVLEERNQFRMNVTELEEDQRMKKRDIEIMTQKSSTHQQTLA KEAAETNEMKTAIASLSAQRDTHLATKESLKQQIAETQKQIDAKLAAQRAHAQHIDAQ SRFNIPELDFWTSTLCMTIEGAGLSDRLKFIFTHIDDRDWTREAWFELDCSKREYEIP FCKPKLEKEQLERLTHKLNEGRDLRIVFKGIRELFVEAFKG LY89DRAFT_614583 MADHRVTDLSYGHLGKASYHTEGNEWKFSVDLDHTSSLQQLMPF KEWLPPSIREVPQSKEKPSQTLRAQRKWLLKTRPEVCPADELAADLSKADLSQQNEIP VQTGSLLAIGRAVDSDRVSGSRTTQILAVAYGDAGHVLRLIRPRVDARGWGKDSAAKL DLLDSESPEYGHWVGDSGIIRQILVSDTENGSGAWLAVRQDTSMTIFRPQYGRVHDAS TSTGGLLERFPVSQLNPNPIATLTVERTQSRAHADVAFNPWYARQFAVVDDVGLWSIW DLDIAHGKKASQILTAGKRGGIYDSYEPDPLQEPLDLSHSDGWYKIMWICNINTILAC NRRHIALIDLGAGISRLQSGDIVPLSSHDWILDIKRSANNSNHIFVLTTSRIFWVEIV PGEGRVKVVLSYRHFRNADDETMKLMVLKDHTLSVLITSSISPLVQFYCFNETMTPLD VPRSCQGSFSLSHQEDRKIPGSAHSHLAFAPCLLSARAAMTPFGAGHQYLENDVRFFQ VWALGTNLGLNSTLYAVQNQSSSALTNLLFVEPPTYRVRQSSRRFGARVMEDSFVVPD GEAEDDFDHVERGNMQHDKQTMLLGEWQDDLRYRINWRRVYRHVFGLDSEEKVLATTD VPTISELFGRISDHIQHGLEDGELATRTFSEMSGFDTISEDLEAASTALRAFLESLQP DPDSETTSRLVVSNLAPSSEGQIPDLEIPRHPDLSNLYDQMAEYWMASLPPKLSNVAR VARYRVIRQLAMDVCLSSIGISVENTSVSAEGPPRVPEDEAMSLPVFDKDGRISRESS PPTFFSSQLAAIADRESDFRLLTPAKTPSIYSHATSASEVKEDPAITRLRQYAISIRA KPDLGPPSLLSHWPSKPGADPAQYSYEEAQKASIAAESGDESEHRNRKEEARHRRRTE RFLRQERSRAVETAAQSMFMPSGSQPAALSHHAVSSQSVPDLPMTQPEIGAFGSRVVP KGKRKTKKPRTAGFR LY89DRAFT_781189 MSGHCHDEHSGHDHGHDHAEHDHTDDITPALQYSLYQHINFDDI TTLNESEAHSGKAIVKKTWTERLEMEPELESDADEQLLMHIPFTGQVKLHSIMIRTSP DDSAPCTLKVFINRDDLDFSTASDLSATQEFQLSQTSEIQDIPVKRALFGKVQSLTLF VFDNYGEDTTRISYLGFKGDWMQLGRAPTNILYEAAANPNDHTIKGTSINQMGSSLGG RDGM LY89DRAFT_614589 MVYYFTSNVVTPSASLYAGKDKVENEDLIKYGLEEDVWFHADKL SSAHIYLRMKEGESWESINEEVLVDCAQLTKANSIEGNKKDNITVIYTPWSNLKKDGS MAVGQVGFKDPRKVKRILVPQRENPIVNRLNKTKVEKFPDLAMEKEEILKALRKKDQA AKLERKKEEAKIAKERQEKKWQKDHAYDEMFQEADREEANNQDRGEDWEDDFM LY89DRAFT_668284 MAPKLPTTWGAIQLPPSFLLPDILLPLTAKRGVKYGWTTAPARG KPNRFNQKTAGLPVLEHSPAAALKRKDFTLPLRTGALAVKKGMTALYDPETGVRTPCT VLQVDRLQVVAHKTRAKHGYFAVQMGSGWKHPSNVTRPVLGHYAGAGVAPKRQLAEFR VKDERGLLSVGQSVGPEWFLEGQFVDTRSNCRGMGFAGGMKRHGFKGQPASHGNSKNH RTMGSSGPSQGAGSRVHPGKRMPGNMGGQQVTVQNVRVLKVDAEKGLIVVNGCVAGPK GCVVKVQDAIKKPWPVIPSVVEEIKDVLKETAKA LY89DRAFT_583290 MRQHFVQRRTLIAAPKEGDGPLMSRRADRELPDIPENGMKWMRT IPIFLAVLISSTLAIFNYQKSSSSVVSSTMYALRTSPAAREALGDEIYFAHKMPWIWG EMNQLHGRIDIRFEVKGSRGVGTMRFRSFRPTRMGMFETTEWSLEMRGGEKIDLLDGS DPFVGIEVDEEEPKQVGRGSYAPNLSG LY89DRAFT_781193 MNQTTARLRKTFHYPHDNSSSDSLPEALDEEEQENLIHTLTTQN AMTNTLYRHVLLALPLLSILPYLPSLFLPPPQTALLSLLAVTSLLSTAYLLNSLPPES TGFQFLDALNRGTGTGKGRERGRDEGGPVKRYLPWLNLGLCAVVAVAGLGGKGEWVGF GWVPGCVLGVVVVGKWVMGGVDPEGELGGLRYGFKGA LY89DRAFT_37540 MKFGKQIQKRQLEVPEYAASFVNYKALKKLIKKLSATPTLLAQN DVHRPSGIVDSQAALQANKATFFFQLERELEKVNAFYLQKEAELKIRLKTLLDKKKVL QSRSQNTSRRSAKFTTLEEGFQQFGNDLNKLQQFVEINGTAFSKILKKWDKTSKSKTK ELYLSRAVEVQPFFNATVISELSDQATTSLQELGAWSEGDHVSFDRSPDHIVSSQHLL GTDEGDADTLLLEAVISGNLESLKDLLLRLRTAADPNGSRDVALLERITRTFLAAINE GPEQSLQLLLDTGLVDVQSEDDINERNCLHQATIYGNSFVLGVGLSKDVAVSRTDVYG RVPLHYACMHGRLDMVEALLNADQSTIDLIDHDNFTPLIHAIIHGHLECAQRLLARSA RIDPISDADHVPLNLACEHGSVAIVELLLKHGAAILPDAEGLFPQHLVARSGQTPQLL LLLKDYGANLDQIDKLYSWTPLFHAASEGNVPCMQTLLEVGVKTNILDEKELSAMYYA AWEGHLECMRLLSSIPMSSRSSRTGFQSTQIAPMTGSSAPMPMSLDADAIPELELPPP IIPLRRYGHNFLDTKTFIQISFEENGDQPMVFFHDSKYPAARLTISSKLSDLIPKNIL LPFQEDTRLVSFQIDNLDSFAIDFDVFPTYGAKVIAKTVALPSTFRALMSSSGRCCLP LFDPRLRAIGQITFNFQVIKPFQGKPLEITDFETYWKATSQFDHRPSAFITGSSLSGD YVQLFVQHTCDGVPVLWPRWTMDYMDIEFPVSRLTYAQFISAGSRHASKAGDLRELPN HSAGNIAEIHRILASSAVSLQDALALLPKGMHVNLQVLYPSSEEEKTLRLGPTVNINV FGDAILGVVFDHARALRERSPDSMRSIVFSSYNPTVCTALNWKQPNYPVFLCNDLGRE GELAVSACTQSSGRRTTSIKEAVRIAQNNNFMGLICCSRLLDMVPALVEAIKAQGLVL VTDKSAEPASKGQYDDPFPRLPAGIDGVLKANGVLRFNESIDM LY89DRAFT_684028 MSAPTKPTDSPVKSPQGAESPTTARPFEMENDDEDVQESGILSS DAPTAAKHATVEDEAPAKPPRPLSPQQQAENTLKEAFPSIDAAVVKAVLRASGGHVEP AFNALLGMSDPDAVREPTPPPQPPRPQAQRVGSTPQSQLEADEQYARQLAEHYGGGYG SERPYNASRGSQPRRQQTGLRPNENYQQEPERNFIDDDLPVIRENLKKGFLETQSKVN GWITNLKKKIDGEDDNTQQGYQSGPSGQYRRSGDGRQSGDYGRYDADPELLSDDFAGI QMNSDGTPVQKQRSTRPLANPDLFKPTPAAPRPSDGRKVSFQGGPPEEIDIYRTSPKI AAKENAPPAGKQSKWQPLSTVDPSPIGETENDPFSLGDSEDEKESKDRVGGKEIKMDD AERLKKAAAEAMADNIAEPARKPEPAETVGTKDKIADEKLSGKS LY89DRAFT_614601 MDNTLHQRSYLLELTNYARTAPFLTASASCALFLILTRLWTGFV KARRQSNTGPPEKQVPILPYWLPYVGHAISFAWSFDDMLAYGRDITTDGIFGLRIMGS THNFVLMPSLAKQIFLQRPAALTSDDFIHWIHDKYFGDGGAARKIGHDNYHAVHQTLT SLMKEAFLKPATDRTAQLVEERTPHMFTLSTNLASQNEWERCAGAVAMEKSAVEVNLF KLTMNFVGDIAGTVLMGKAFLDNNPGIMQDLWTFDSGFNALLTGVPVITRGLTKATNA RARINSAVHEWNHAVTNMLDGKEVDAKWNDLSDVSETMRLRLRALQKVDADNPFAIAS NVAVYWGLMVNANKVIFWMLLHIISNPDLLETVRKEIKPYAQVTRSGFDSLKLDVEGL LKSCPILKSCFFESMRLYTAGVSYKKVLQNVTLTESAEDAATFGKPRPQTYHIKTGDF LIIPEATLQTDPRLWKDPSVFWPERYLVPDEKDPQKTKVDSLHLFAFGGGPSVCKGRI FAEREVLIFVAALISVWDFTPVGKWTIPKSSYNGTGSANPKSDLRVRMSRRV LY89DRAFT_643995 MMPLKSGPHDVIQEQKPLEVPTVKPEGQQKRWVKRYRTEIAASS ASVLSTFAAFPLDSIKTRMQTYRYDSFTDCVRHTYKTEKLGGFFRGVAAPMASVTLVR TISFSVYQKSKYTYAAWFKQNFGFDPLIHVNTPGTYPTFSTIACFGAAGATAGSFITL VACPFELTKLSAQVSVLMADSTGVSSVSDPLNGKTVAASYQNKGTFKTAKNIVKHRGI AGLYSGFNLHLLRDTLGTAIYFMTYESCKQLLTTFRGDKSPTNPVSVVLAGGLCGVAS WALIYPIDSAKSIYQRNCLTHCKGEKVEKAPKIEFFNKRMYRGLGVSMGRSCVVNSIF FSAFEFIKKQINAIDN LY89DRAFT_614606 MGKKKKVIIATKAVKSISKKGGRPTKEERRAHKLERRKKEKKNY TADDWAAPAPSHLVAKLDLPKVKSKYQSYFEFADNPEKKEKRLEFTVTDDARPPPGFV FIPIGDPILTNKCKELSRERDAMIFIVSTWKEENSKISEHVHRTGYHFRETIVEEARE IIGETVISKPTTTPGFIEPIPESQDEINKQADGAIRDLFPRIPNTDRTMIIEHAFKKG AMFHGEPTVGLQSNIPLSRRVQLAVLAHIRHTHTRYDKLLRETSWMNARKAVEPVCLD VLVKWRGDEETGRDQMDEILREVVIITDSEGEDEDESDSSDDDSSDEEGEVTSASSTE APSLPVSRNQQRSLPPNVQHMPTAGPSTSQVPLRKDGAISSRTRSKADPNAQKDKKAQ RGFKRYQAAWDDAIHRRQERPISRPNLASTTFEEPNIRRSQNALASPVHTLSQQPPHQ YVATRQPNLTHQYRREDSVHYVQPRNTVRPAPYYSQQGPIQGPSFPRDEVIVRSHTDR PIYYEEVLPRVGTPSRFIREQRPSQVVRGSPLKRGPEDMPLPSIETASSDIPTPHKES QDFYPRRAVEQRLQSPAARQVIVINDDSPPVKRRRMVYEDDSGSFRPVPSRDHGLHVS APHSASHLISAPSDQSEDFLVRRPAASSYSTQGLVRREPEFYTDPVTAERLPIYDAPA PVYMGSRPEYTRRMEADPIPGRRVEDRSERPTGSQAYRDNIVDGPFTRIPTSMRSGGE GFQEAERDHSRQVQSGYNYGAQAVRPMSPEYRVSQQSRSLNQAHGSNVPDQNFIHSFS QSRLDGQSSQARDGFTIISERPYQNGVDHGNHTRYEQNSARSVMPGTAPRVLSPVRYI ERPM LY89DRAFT_551373 MGNSPSSHKISAQDKAILDMKNQRDKLHQYQRRITVLTDREKDI AKQMLAKGDKSKALLALRRKKYQESLLAKTDAQLEQLEKLTSSVEFALVQKDVVFGLQ QGTSVLQEIHKEMGGIDHVEKLMGDTADAIAYQQVSRV LY89DRAFT_644003 MMIASRGPKPSLTQALDREIYQVVRKLADEQAQFENGGKRLTVS AVYDSIKRSNSSLKRKSKKLLEDSIDRVLLVMREEQDDSDSLDGDFDGIEEVGPQLKE HNIMNRSITKMWAKSASATITSTEEIQPSSGLLTLKHPLPNGETESPAKVERQANGEP SKKRRKAETRKDMDRSPPTDISLENLGGVDDVIEELNELVAMPMLYPETYIKTGIQPP RGVLLHGPPGCGKTMIANAFAAEIGISFIPLSAPSLVAGMSGESEKKIRDIFDEAKKM APCLVFIDEIDVIMGKRESAQREMEKRIVAQMLTCMDDMALEKTGGKPVIIIAATNRP DSLDPALRRAGRFNKEINLGVPNEIAREKILRALTQKLTLASDFGYRALAKLTPGFVG ADLNDVVSVAGTEAMKRMMAVLKQRTTSAMDVDSSAQDLNIPAALLILRSLVANAGEP TPDGDFSITYDDFVTAISKVQPSAKREGFATIPDTTWAHIGALHDVRDQLEMAIVEPI KRPESFARVGITAPTGVLLWGPPGCGKTLLAKAVANESKANFISIKGPELLNKYVGES ERAVRQTFERARSSIPCILFFDELDALVPKREDSLSEASSKVVNTLLTELDGLSNRAG IYVVAATNRPDMIDPAMLRPGRLGTSVFVDLPTPDERVEILRALYKKALPTAPQEEVD GLASVARDVRCTGYSGADLGNLHQAAAVAALKREKAIGGAELDLRIIRADWDVALGKV KASVKDAGKYRRLKERGM LY89DRAFT_732799 MAVLFRRATTIPSTSAAFTTITTAVLTYTMPEALAVYSPSSTKP WSTIIRLTTVTSSATLTYSEQFIPVATEISAVTTTSILTVLNLITSVPASSISSTASS TTSPTPSAIAKVSPGLASGAKAHIAVGVIAFVFLLIVVFVSLALRKRKRRQKRAGGRS QDPDTHEMATRHNVPEMDEQNKGRGLHTMIVPDIVSSSSNAGSDELYEALGSTIWEQE ISSPNKGQWDPRLQALKELEE LY89DRAFT_706681 MFSFLKPLLATILVVAPIVQAYSSPGACSGACWAHDPAIAKRSD GTYFKFNTGGGIEIATASAIEGPWTLVGYSCLSSNVILNANNTFQAPDVSLVDGVYHL YYAVSTFGSQVSEIGLATSTTLEPGSWTDLGATGVASTSAKPYNAIDPNLILVGSTYL LNFGSFWQDIYQVALNSAATKTAGTSAYNIEYDSGGDHPCEGSFMFYYDGYYYLLWSH GICCNYETTLPAAGAEYMIKMCRSTSATSGFVDKSGTACLSSGGTILLESHGVVYGPG GQGVLYDSSLGYVLYYHYADTNDGLADADYLFGWNVLTWSDGWPVV LY89DRAFT_684033 MAQEYKLKGLSSLDLKPGEKREVEVEGIEEGKVLLCNVGGKTTA LGSKCTHYGAPLVKGVLTGDGRITCPWHGACFNASNGDIENAPAIDALPSFRLSEKDG SVYISGSQESIKGSRRKPNIKISGAAGSEKVVIVGGGSAALGTLEALRENGFKGTITM IGSEGYNPIDRTKLSKALIDDPAKILLRDDAWFKDGAVDVVSDEVTGVDFSAKKVQTK GGSSYQYTKLVLATGGTPKSLPLPGFKDLGNIFLLRTIPHTKAINAAIGEGKKKIVII GSSFIGMEVANAVAKNNDVTVVGMEKAPLERIMGEQVGQIFQKALEKNGVKFQMEAGV EKAVASSSDSSKVGAIELKGGKSLPADLVILGTGVGPATTFLKENSAVQLEKDGSLKT DEHFAVAGLKDVYAIGDIATYPYHGPGGDGSLTRIEHWNVAQNAGRGVATHITTPSSS PKAFIPIFWSALGSQLRYCGNTPNGWDDLVLQEQGEAKFVAYYAKGETVVAVATMGVD PVMVQASELMRRGKMPSKSELKKGVDILSVSVPAQIKI LY89DRAFT_732802 MIDYMSLALYGVPLAYISTKIISYLVWYFRTLNESVRFAAASPI PYVTIYSTRASTIFWLMSPYFAPFFEALPFGWGQWIRYVRMDFDWWYQCPARRELGSD VYWVASPGGLCLMVTDADVISEIAHRWKDFGKMVEPYRTLAVFGQNVVTSEGTTWQRH RKITGPPFNEKNSSLVFADSIAQAKAMLASFTSDADGKRSSPGNEPVVDDLLHWTMTV TLHVLSGAAFSLKMPWPTKSIAASSEDQFNEPHSTFKITEKHTMSFQQSVDSLMDYLP FIIFFPSWLLRNSPLSVMRKMQHCADEFRTYMSELIGDNQDAENASRGDLLGSIVRAG SAEKTTWTEEETIGNIFVFILAGHETTASTLQSAIIMLACHPEYQQQVQDELDSIWSD KKPGEDWVYEDYPKMRCVMALMFETLRRYAPVNLLPKHAREAQSFTYRGQTVHVPAGT DISLAVVSTQHNPLYWGEDVWDFRPSRWLMPPGYFPPPNSSNESPPHENLYCPPKGAF LAFSAGFRACLGKKFAQVEFSTLVAVLFKEYSVELVKESKDETWEEARDKAMAKMHDR TTGIASRMKSKVKVRFVKRGSEKFPPRS LY89DRAFT_684035 MGLFSNSKPTLTEKTIPDQSGKVFIVTGSTSGVGRELAKILYGS NARVYVAARSAEKAKTTIEAIKTESPKSTGDLLFLKLDLGDLSTVKASAEEFLRKESR LDVLWNNAGVMIPPQGSKTAQGYELQLGTNNLAPFLFTNFLAPLMAKTAKEAPSGSVR VVWVSSSAVGMAPTGGVDMSNLDYRVEMGVWQKYAVSKAGNVLHAREFARRHKEDGII SVAVDPGMLKTDLWVNTPAWQMMWWKLVLHEPIYGAYTELYGGLSPEINMGRTGAWIK PWGTIKTMREDLEASCKTKEEGGSGVGLEFWNWCEEQVRSFA LY89DRAFT_684036 MPEVSEYAFGLVLGVLIILLFCLQTLEPHQPNIVQQPSPPPSPP LTPHDPNLPIEPYDEKTIINSITVIYELLLDLKYLERDEIIFPPPSGHVINLDICQTL NLDQRVVSLMQQLPYPKDVQTSLDFDLIDETRSPVYTDDDDIEECRDPENAGTPEDIR LDYLLPTDVALTIGKRYGTNLVLDTKENTIRSVECQDGPPFHESMERPDEQSHYRNYP AEPALEVLRRYAHKYRSLASIPRRYGYPLSRWDRKKVRSFLKLSFLFPFF LY89DRAFT_732805 MGSAGPSLSFNVLRDTIPEDSSLPAFMVSTTRGFLPRSNPIVKL PAEFDILESILSRMPVKTLDGTPGLLATFTLGETVLKELPDLTSAIDKYKDDLPLQNA LYRDYSFLASAYLLEPCHERFLKGEPYGLGRQTLPSVISLPISRCAKLAGFMPFMEYA GSYALFNYQLEDPKAGLAYDNLRLIRAFEHGLDPKSSEAGFVLVHVDMVQNSGPLVDG VVTALDACENNDRAAFNSGMEKVVSSMAKVNKVMDGMWGKSKPGDYISFRTFIFGITS QSMFPHGVVYEGVSEEPLSFRGESGANDSMIPLCDNLLQISMPDTPLTAILQDFRSYR PGNHREFLEYVKNRSLHLSLKSYALSDPSSAALYLLALNQVRDFRWRHWCFTREYILK KTSHPVATGGSPIVTWLPNQLMAVMEGMVEVWEGSEENLGKECGEVMDLLYVQRETLK KEVEKYCKERGVDARKA LY89DRAFT_37933 MGSVVLPHLVTGWHVDQAIMSEDERLVIIRFGRDWDPDCMRQDE VLYRIADRVKNFAVIYVCDLDQVPDFKQMYELYDPLTLMFFFRNKHMMCDFGTGNNNK LNWVLEDKQELIDIIETIYRGAKKGRGLVVSPKDYSTRYRY LY89DRAFT_781207 MRLSRCWLWVAFVATVLSTQGIVDLVARRMPNHVDFFEFQLIGN KTVPAYSASKANDEYMVSSTTDGKILVEGNSLSALASGLHRYLTDVVHVDIWWFIGSQ LDIANETLPILATPLNGFSIVPWRYHFDTVTFSYTTAFWSWDDWELELDWLALHGVNL PLAWVGFEKTLVDVYREISLTDTEILSYFSGPAFQSWNRFGNIQESWGGELPLEWIDD QFELQKNITQRMVDLGMTPVLPSFTGFVPNAISRVLPDAELAQSTSEWNSFSAPYSNV TFLEPTDPNFAMLQKSFISKQTAAYGNITHVYTLDQFNENTPSSGAMDYLRNVSYSTW QSLKAADPEAVWMMQGWLFYSLSSFWTDDKIEAYLSGVKVDSDMIILDLVSETAPQWQ KTNSYYGKPWIWCQLHDFGGNNGLYGQVQNITINPIEALANSSNLIGFGLTPEGQEGN EIVYDLLLDQAWSASPINTETYFANWVSRRYSGNGEIPPETYAAWEILRATVYNNTNS SFLGVTKSILELSPNVTNMLDLPGHHGATSKQLSYNPADLVSAWDLLFNASKTNSDLW NNPGYAHDITDVTRQVLANNFTDNYLSLISTYTSPNASNTTLTSLSANLTSLLTTLDT LLSALPAFSLSTWLASAHARTSNTTLQSFYDYEARNQITLWGPDGEISDYACRQWGGL VGGYYKPRWEVFTSYLVETPMEAYNDTELKRRLRSFEEGWQVAGGNGTVVVSQVEQGG LEAVIGGVVGSWGEVFGL LY89DRAFT_684041 MMAKCDALISGSFALQFFARTVWKESDLDIYIQSSPKDNVKIFG EYLIAHEDYALDDTKHIEDDLGMDCDIINQVDTYVKKEAKGHKSCIHIISTYGTAIEG ILSSYITTALVNVISWQSAYAAFPDTTFKDMKTYQLVGFHECLNPLLENLARRGWTTL EVISAEDERRVRSLWNPWPRRLGDSLAWKVPLEIEGVTPGISGSVIEFSTFKVYKNNG RWLPPTEKDFESYLISFNKFESCALQYEYFFTGPVDDDFWYSIRSKLEDLTVQQLSKL EDHPLSLKTWEHGRLFKYAGDIHENTPEGWTYYDDEIPKWLNEWKLEKARKIAVFFKP EKSNNRGPS LY89DRAFT_614632 MIELSLANGFAVICVGTLTYIISMVFYNLYLHPLSKFPGPKLWA AFQFPYLQAMLAGRVSFQIKELHDLHGPIVRISPNELSIIDPEAWKEIYMNKEFIRPP QFRERPPGVEAHSFISAGVVDHARFRKAIAPAFSEKAVRLQEPIITQYIDLMIKKLRE KVEGKTDLVVIDVVQWINFTTFDIISDLGWGESFHCLEKQDYHPWMTVILQFKALLIG MSINYYPLLKTLVSYITPASALAGLKLVLDTSEQNVKARLARKTNRPDMMSYILSHNE SSPTARMTEDEMIANSMAVIVAGSETLTAALAGTINALLTHTKERETLVQEIRSSFKN EEDITAQSIKPLPYLTAVLQEGMRLYPPLPDNMHRSVPTGGAIIAGHHLPEGVAVGMP CYSTFRSQTNFSQPDEFLPGRWLPEHQEEFPKDKKEAYNPFSLGVHGCLGQQLAWAEL RVILARLVWNFDIAIPEGKKPLVWESQKIFWAWQKDGVDVQLSLAAR LY89DRAFT_732810 MPFKDGDAKKGANLFKTRCAQCHTLGEGEGNKIGPNLHGLFGRH TGSVPGFSYTDANKAKGIEWNTDTLFEYLENPKKYIPGTKMAFGGLKKDKDRNDLITF LREETK LY89DRAFT_684045 MAAFVWSTNFKQNSPFADMLPSWGDFFRHPIASSKTFLEVVKLN ADHNTAETMERRRRKVEDVQKRAAYRKAHGLDTEEGFGGWTAKSEKEVLGPGIPINDV AIAQEGEGEIVPEQQDVRHEKKPLKKWLGIW LY89DRAFT_583400 MAPSATQQVLLSPAELSYLHTSLSLTPPIRPDGRAPSQFRPLIA ETGILPGTNGSARICFADGTEAIVGIKAEMEKSGTGYQQSNNLEEEDARQGSNDWLEV TVEVPGLRDDDSMPIFLAAMLTEALLADGEFTKRLWINRRFHWKLYLDILLLSQALSY PLPLLSLTTHLALLSTRLPKLTSELDEDPLFDDDWEASVYLYPRNSQASHTRPPVTLL VMAVKNNIIFDPSKEELAVAEVVLAVSVGERASGEVDMEVDSKKTRDLRLLAVRTIDP PSRLTPPGIPNALNTATGGTAPTIEQAASQREITQEEGVWQPPRGGAKRKLIAALIQK VLEHGGVAEEVLDGLDGVELG LY89DRAFT_583513 MPSFPRLIYNNKFDLPSLPPPDTFKDQTILITGATGGLGLATAV HFVNLGASTVIITGRTSSKCEAAKTEIESQTGTQGKDMVKIMELDMSTFAGVKVFADQ VKKEVKSIDYVLLNAGMMSTSFRLGKEGFEESIEINTLSTALLALLLLPWMKEVGKGK AHLGVVTSGLHRGELDITPPNFPQTNILTHYNTPQNYPKGTSGIYPINKLLTQYCIRE ISKLALGPDGTPQVIVNPMCPGMVKSDLGREYKTNILTSFLVDSFMTLVSKTTEGGAR TLVLAALTTKEENGMHYTNYMGREEYEKIVQKNVLGPEGQKMQAEVWKEVIAVLGERV PEVKGIVGGA LY89DRAFT_696536 MRPLGPGSGYDNNRCGYCHSRSGSFSYYAKTTSLTPDFYQSLLN RGWRRSGSLLYKPDLRASCCPQYTLRLDSNSFHASKDQRQTLNRFTKFLLGDAYIKDA AKLYPRSREHAKKRDTEFDLVERVHEGEKEYLKSPPEPAHALVVTLEPDTYTDEKYQL FENYQRLVHHEPPSRISKSGFKSFICSSPLPRSKVMINNHERSLGSYHQCYRIDGKLI AIGVLDLLPQCVSAVYFMYHESVHQHGFGKLGGLREIAMAKEEGYKWWYAGFYIHNCV KMKYKGDYTPQHILDPESYNWNILDADVKKKLDKTKYLSLSHEDASLLPSSETEQATT SSDSPTDPMVDEDDEDEDQSVPQPDIPLFSRGMPGVMTKEQILAEVDLDHIKLRVRGT DAEACDLVGWEESELESTYSIKGSIAELAAAVGPECAREMVVSLN LY89DRAFT_684052 MSLLVSSSRSLVVPLFVRLCRPTCPGASTVLLSTPKSHSTAQQQ HNTAVQRQTTEIQDQLVSAAWSLTRNPPLVRA LY89DRAFT_583637 MAASRSMESRTGRSKQRYSESGERLVAGVVPLNAAKTHVLLIQS TRRAGWVLPKGGWESDESCTEAAQREAWEEAGIVCNVDYDLGTIKETRAPKHLTKEAP KSLYQFYEVTVTREEPDWPEKHKRNRQWANFAEASEAMKARPELVEALKRSTIQK LY89DRAFT_644040 MEALNLDDAPPPQVLGQPPQQNQQLPPQMFTTAAQLLDLTDKKL MVSLRDGRKLIGVLRSWDQFANLVLQQTIERIFVPSLSPSEPGLFADVPRGIFLVRGE NVTLLGEIDLDKDDDTPTGYERADVEVVHRLWRERKGREAKRERGRRARLLREVGFEG EVLGEGG LY89DRAFT_540351 AGEVAKAAEDLATKLAVTVAELQERKDESDQVHDLLVTRAEGGA ERILLLEYRIAEMEDDFESNQSELQYLRIQLKAIEAQAQYNLPRNGDPELVQAIRNWK IDWENIDRRSKARRKK LY89DRAFT_781218 MAEGEQLQPSLATIQEIIVNAATLENPPNVIPVYAEIASIFIKP SEAYLKVAAHSESNFSFLFESAATERVGRYSFVGAGPRKILKTGEGHGESVDPLPALE AELANYRVAHVPKLKLPPLTGGAIGYVGYDCVRYFEPKTARLMKDVLKVPESLFMLFD TIVSFDGFFETIKVITYVHIPEDLSKLEAAYEKARDTISEIIGVLEAPDLPLPVQAPI KLGQQYTSNIGQEGYEKHVTDLKKHIIAGDIIQAVPSQRIARPTSLHPFNIYQQLRRV NPSPYLFYINCADFQIIGASPELLVKREDGRIITHPIAGTVKRGETPEEDEALAEELR QSLKDRAEHVMLVDLARNDINRVCDPLTTKVDRLMVVEKFSHVQHLVSQVSGVLRQGL TRFDAFRSIFPAGTVSGAPKVKAMELIAELEKEKRGVYAGAVGYFGYGTLDSEGKEVE GEMDTCIALRTMVAKDGIAYLQAGGGIVFDSDPYDEYIETLNKLGASTKTLTKAEEAY SRIQSKESPNRTVKKAHIDLAG LY89DRAFT_717732 MSEPTSPVAENSYKKPLPLSPSNNGRPGTSGTELGLRANREKAS SAYERAQRAEAAYRAKRRATYARKDYQAAKEHFKNAGKSFKEGSKCAWLAVKAGPAIL MEKKEKMREGKEKKDREKAEEKKRLWEEKAKKKSVDEGTDGEEAIPTVQPVSADV LY89DRAFT_614656 MPHKTITRAEVAKNNTEDSLWFVIDSKVYDVTDFVDAHPGGEAV LKQVAGTDATEAFYNLHRQEVLQKYSNLYIGTIDGEKSQVIEQKPGDLSVVPYGEPTW LTPQFKSTYFKESHRRLQKAMRVWTDTELYPVAQECEKTGKHIPQELIDKMSKNGILH MRLGPGKHLHGVELMGGAVKGEEFDYFHDMIVTQEMVRANARGFQDGNMAGMTISLTA VLQFANDEKWKNKIAQEVFSGKKKICLAITEAFAGSDVAGIRTTAEKTKDGKHYIVNG TKKWITNGVFSDYFVTGVKTDKGLSVILIERGEGVETSAIKTSYSAAAGTTYITFDNV KVPVENLLGVENKGIHVILSNFNHERWMMACAVIRMSRLVTEECMKWSNQRLVFGKKL VDQPVIRQKLAKMIAHCEANQAWLENTTYQMTLMPYKQQSTHLAGPIGLLKMFATRSA HEIADEAVQIFGGRALTQTGMGKTIEMFHRTYKFDAILGGAEEILGDLGVRQAIRNMP RSML LY89DRAFT_614661 MSRVRDMVWPSRMAEEEWAEVSQDIPAKDEPFINKFLSGREALI AQEKQQRSDHAFRQSLSPLAKEACSIVDRIRQEEQRTIWTSEFEDILAQKAGANVYPG MMFSFAKERMEKTKLWQIIRKMPKGALLHAHMDAMVDFDYLFEVLLSEPGMHIHCMTS LSTPAAREGAPIKFRYCKSEQGSGGSIWSSDYKPDTPILLSKAVESFPDGGKAGFLSW LKDRCTITNIESIEHHHGVDAVWRKFSSVFTILNTIIFYEPIFRKFMRRMMQQLLADG VKWVDLRLAFTFFYYAEGQDTPEPSYERMFKVFGEEIEKFKASEEGKEFWGCRMIWTG IRVLDTRKIVEDMDQCIFIKQLYPELVSGYDLVGQEDAGRPLKDLLPELFWFKKQCAQ EGIDIPFFFHAGECLGDGSDTDQNLFDAVLLGTRRIGHGFSLYKHPLLIDMVKEKRIL VESCPISNEVLRLCASVMSHPLPALLARGVSCSLCNDDPAILGQDTAGMTHDFWQALQ GWDNLGLAGLASLAENSVRWAAFEDQNAAEWLEGVKQATLGNGVRAERMKQWSVEWEQ FCLWIVTEHGDDADSLKKLMAETSMDIQD LY89DRAFT_684061 MSLKNASFPSSEAFDAINDALQSSDAERKDAIKNGNAVFAFTLK NKAGETESWHIDLKDKGVAAKGLPEKATVTLSLSDDDFGKLVSGKANPQRLFMAGKLK VKGDVMKATKLDPILKKAQATKAKL LY89DRAFT_781224 MRSQIFSLAATALTFSSFAWGQTSTDCDPLTNTTCPSDPALGKT VTIDFTQGESSEFTADSGTTITYGDNGAEFIITSDGDAKTIVSDKYIFFGKVEVAMRA ANGTGIVSSFVMESDDLDEIDLEWLGGNATVVETNYFGKGNTTTYNRATYPKVDDPQE TWHIYTIDWTSEYVKWYVDGSLVRTLLYADALDGKNFPQTPMRLKIGNWVGGAADEAT GTVEWAGGYTDLDDAPFTMYVKNLTIEDYTTSGNTYTYGNETGSYTSIIISNSTSSNS TTSSSTSTGGTSYTANSTVGTSSSDNSTTSTSSASSEKTSSTSSSTSGASMVGYDIGL LAVLGLGLAYFL LY89DRAFT_614670 MPGLVRKLVIFAAVDGLVLQPVGQRPAPAAKICYKDNSIVPVLK DGGNELGAGKSFEAFGIVGLLTVSKSSFLVSITKRRQVAQIHGKAIYVITGVALTPLS TQAAADTSIAHTQTTLQVRTGNGNDGSDESDTNGEEVDLSAAASDDVDDDEIHPTLAE AISPPNEHTRTSSVAEDVISLKGGYGRFAQKWFSRKGWTVDQRRNLGLTASNSGTSTP NVKDTSLPAAPPPQELPTAEQDKVIEVRSKGTEARDVAASLLPKLLYYSQMLFSSSNF FFSYDYDITRSISNQRRSNSELPLYTQVDSLFFWNRHLVSPFIEAGQTSFVLPLMQGF IGQRTFEMDTDPPPLDGTEKASMELLDMSPPRQSSSDEPGDSNSPRSSGGRKTLKSFL ITLISRRSVKRAGLRYLRRGIDEAGHTANGVETEQILSDPSWDAAHKIYSFVQIRGSI PVFFSQSPYSFKPIPQLQHSDEFNYRAFYKHMAQLTSMYGSVQLANLVEKHGAEAIVG AQFEKFATKLIESDDAVGKQIAFEWFDFHAACRGMKFENISLLLDIIGKKLDQFGYTV ELDGNQVAKQQGVLRANCMDCLDRTNVLQSACGRRALEFQLKEEGIDMSIQPDQITSW FNTLWADNGDAVSSQYASTAAMKGDFTRTRKRNFQGALKDMGISISRFYSGIVNDFFS QAAIDFLLGNVTSTVFEDFEANLMSVDPGTSMQKLREQAIETCQKLVVADDHEEFIGG WTLLTPQIPNTIKSSPFEESVLILTDVALYSCRFDWTTEKVSSFERIDLQHITTIKYG TYITSTLSAAQGDESRNVGIVISYRAGEDDITRVNTRSMSNTPRSDTDLLGGSSTVSP PATTLQNLLGRPAAPATKVLALKALPSRSAVADSGQSQLTEIQQVKSICSEIERMVLH GQVVEVGTERKDLVVNGDIISLADARKNTGLLSQLQHQLKKLVWA LY89DRAFT_38710 MLLELRHLEIYEQRATHASRSHPAFAQGIGSLERVKMRIPIRIQ LGVFVLLCALVPLAVLAIAVWINNYQFVVGVTSNSLTLTASLKAAEIASDLLLIQSTC ATIVTRILLQKALKNFYAGNTSDTNFSAAYSDVQSALASGGFSSLLQVQVYSRNDTSS SSALLSATANTTGIELPSQYPNGTYAVLGDNTSLGYPAALYPNITYTTTGTPDIIDPA INATTASAFADFPLNASSYLLLGPLSINDSYALVSLTLPIVDNSQAGNVLGYMTVVAA ASSIITVTQSREGLANTGIVTIIGPSRRENQFKYAQRPATAIYAPTVADNGDAEVKYI LPPLNVTSTDRHSVYNHNLTQYGSSNFTLKQFPAALNGFSRQTKSPNNASSMLSTTNE QGVSVAVGYARPQSSLVSWLLIVEQSHGEAWTPINHLRNIVLACVFGTFGFILLIVVP MAHFSVRPIRRLRDATKKSIAPPGYTPNGSIHSEEIVDENGDLERSTSQKSKKGILVR LRNLGHRRKTPTEKDEEERRRSFKIPAKVPSRKHWITDELTELTETFNDMSDELMLQY TGLEEKVQERTAELDVSKRAAEAANESKTLFIANISHELKTPLNGVLGMCTVMMHEDN LEKIKRGLSIVHKSGDLLLNLLNDLLLFSKNQIGQQLSLEEREFRLIDIKTQINTLFT KQVTEGGINFGVRFIGVDADEFPSEASKKALPALGPSGVRLKDMCLWGDHNRILQVII NLVGNALKFTPPNGKVEVRIKCIGEAESTSDGSRNSMNSKQSSQRSRNRHRNDSESNA SQMSKKPSSPSQQPAGTALLINPMDPKSIPRIQVRERSRSPPPLNAKSLWFQFEIEDT GPGIPEAMQERVFEPFVQGDLGLSKKYGGTGLGLSICSQLATLMGGTITLESKEGVGS TFTMKIPLRHTKTRAPSTSSSEVHGSRAPSISDDGPPDFTHKLPSNASPRSAMDNDKQ PRLVGLSQPFFAVPPSPPATKDPEDQLAALDRAAAAKEPGSKLRVLVAEDNLVNQEVV LRMLSLEGKFDVVVAKDGQEAYDTVKAEMAKGQYFDVIFMDIQMPNLDGLQSTRLIRQ MGYSAPIVALTAFAEESNVKECLASGMDMFLSKPIRRPALKQVLKRFATIPEENETSS VSNTNNASSSAPSEIEGSSKSEVSKLPDAPIVPTANGSTL LY89DRAFT_38755 MATASPAFLRVWRHDGLRSVLLSHMDTESICAVRLTASECCELT TPTLFTRTRLTFTPSALSRPSRLEALARIGHHIEHLTFSMPHSSATFLPPLINPESGR EVNFLYTPHTSTASVSHRPKYGCSELGNLLTQQYPPLFHAATNVPAFINAMSQLPNLR HLTIACPGQDPAQRYRRDCVDYALISLRIAIERAPLLRLEKLSLSHLHPSSLLYLRHM PGFGCTPSAGRRWKQIRKLNITMDSWDFLGQQPGLDHLKILDDYIRNFSPHLEKVSFG WNGRKGPCPFTLFSDPLFAPPRKAAKLFAEVTSPMSPLPAAPSRPSMSFPKLRFMQVR NATMSSEQVSDFIFEHRHTVREFDFENVYLINGGTWEDALSPLTSSSESEEWLSQQSG SEADSALSFSHSDLDQIEELDEMLEVIDTALDPNASSEGLPPQTVRASKLVKKKRVRR KRRRKTSHKHREEEEQPKITASGSKLVISAPIPIDGPIEEVLMPTTFNPNIQGVQRNV LMEAAQQELADDPEKRVSTLKKAKEAVLRQLGKEFCRSQEKKDVSRGFFKHSCSSGWK SRPFLGHESSTALVPLMFSRY LY89DRAFT_583157 MGKHSKIYGKVVGKDASDRPGWKGPSFTKKKATPPTPTPPKASK PSLEEEKESAIPLELQQLLLNVFKDTFPDVLGSDDRQQLLQEVKNALYERDFKRAFGS ERFLETYAMRWSPSRAVCYLGVLVDVSGFLSGIWELCGVLGGGEVDGRDERMRVVAFG GGAAEVVAFGGFVRYFFVNASSEDNVGVEPNPISMTGTQETSTSSGLDLVLVDSAEWG EVVHKLTHSITTPPPLSKYANAAAKAANASLLRSKDIKTTFLAEDVLKMTTSQMSDLF GKSPVLLTLLFTLNELYTSSISSTTKFLLNLTMAAKRGSLLLVVDSPGSYSETTVGES TKKYPMHWLLDHTLLDTSKVDDKAVWEKLVSDDSRWFRMPEELRYPISLENMRYQIHL YRRV LY89DRAFT_614677 MAEEQNGSAAWPVADPALTQEILDIVQQASHYRQLKKGANEATK TLNRGISEVIILAADTAPLAILLHLPLLCEDKNVPYVYVPSKTSLGRACGVSRAVIAA SITTNEASDLMQQIRSLKDKVERLMI LY89DRAFT_684069 MADSLIYCPVYAPFFGAMGCTSAIVFTCFGAAYGTAKSGVGICA MGVLRPDLIVKNIVPVIMAGIIGIYGLVVSVLISDGLKQQLPLYTGFIQLGAGLAVGL AGLAAGFAIGIVGDAGVRGTAQQPRLFVGMILILIFAEVLGLYGLIVALLMNSKASLD VSC LY89DRAFT_732831 MRLPYVDATDPNAFTTPEESAIVARVRERRAPRPLQPLDLTLLH SPAVADGWNSFLGAVRTKTSLADDVREIAICRVAVINEAWYEWGHHAPLAKAGGVSED GMKILEESVLSGKKGAELTEKQWAVVHYTDAMTRDVAVKDDIFAELKKHFSEQEVVEV TATVAAYNCVSRFLVALDVGEKNGVKSQAH LY89DRAFT_39380 MAAGQYQDNSVDSQSFLVAPDMNGGMYDGTSSESSASSPLNCNG FDNLYGANGFMPYPAFPMQYPMNGEGMTPMGLIPVELDIEGLYEDHDRRRRKNGSDKT VSSHVHSRRRAQNRASQRAFRDRKEKHMRELEQRLNELEGRHSDLSRSYESLQLEYTS VKQELDKFRKDNARREGSSSRNYQSRDWEESKPEILDPLLFDVSAFCFDQDDSTDRKD LY89DRAFT_38893 MLQYALLHICGYKLSMDDIKAFRSIDSITPGHPEAHDTDGIEVT TGPLGQGFSNAVGLAIAQHHSSAEFNKPGFELINNYTYTFLGDGCMMEGVASEAASLA GHLQLGNLIAIYDDNHISIDGDTNCAFTEDVAMRFKAYGWHVETVDDGDHDLEAIEAA IKKCQAVKDKPSMIKLRTTIGYGSLHQGTGGVHGSALKKDDITQLKKKFGFNPEETFV VPQEVYDLYHKKGAEGAAKEKEWEQLLEKYAGSHKAEAADLKRRLTGDLPEGWEKNLP VYSPSDSAVASRKLSEIVLTKIHQAIPELVGGSADLTGSNLTRWKDAVDFQPPSLGIG DWSGRYIRYGVREHAMGAIMNGLAAYGTILPYGGTFLNFVSYAAGAVRLSALSQVRVI WVATHDSIGLGEDGPTHQPIETLAHFRALPNCMVWRPADGNETSAAYYIALTSKHTPS IIALSRQNLPHLENSTLSNAIKGGYVVHETGKADITLVSTGSEVGICVDAVKYLKEKH SITARVVSIPCFEVFDAQSKEYRLSVLPDGTPSLSVEVMSTMGWERYTHEQFGLNRFG ASGAYKDVYKVCHIYIFIFQY LY89DRAFT_39265 MADAEEKAKAEKLAAAKKRVEQMKKQKQKKAGAKKEEKTEPAES SKAEEPSDPQPEPTTIEESKEADEPETKDADEETPLVSPAPHGRQPSLSLESKMRSAS FRAGTGGPLSPNYPFSPEGDTAPDIYRKQAMKIEELEKENKRLAKEASDGERRWKKAE EELEEMREAEDEVAPKARDASTSPGGSGEMEKLRTEIAALQRQNTQLQAQSSRGTRHG SSPSMSAGVPADYEAALASKSSTIESMEIEISTLRARLDQIAAGSSEKEQVIALEEKL NRSEKSAAIAQRELGDLKKNLERTTEKAVKEGSERISAETKLRTLERETEEAKSHSEE LQKKVDALEKKVSTLATLHKEHDARFQTQKKEREKAEKEASELRAKLVGIESENSRLK DEREKVRKREALGADDEGVDELENEERQKLEKKVRELEGEVHELRRGVWRDRRQQLEG DDSSGLTSPGARFTDVDLGGAMSPNRRRSIAQGGKGGFGDFISSGFNAITGANVLPPQ DGGLLEDDEDMDFDEEAFRLAQEEEAKKRIERVKEVKRALKNWEGYRLDLVENRKGGG EGVGEIFEV LY89DRAFT_668326 MAAASSTQLALHLADRALTPIISSSRQPSSNSPAQTRSQAQALS SLTTTAVTAYESASRLGLGVPERIMIETHSSGPIVLHSYLSPLPTQRPRSRRTQSRDS GDGVADQSRAERPTHGSVDNRSVEGQGYEVLVNGVEELDIDDAEEAGNDSVYQPPLLI ASVVAPSSADSGEARRAAARLERTGRTFQREWIRLREQDQSRQLNEVADEDDR LY89DRAFT_696553 MQHPHQDQEDMNQRYPSPPPPMGDNPYHHQNMDPSSALIDVLPE SEVPQKEESDAPSPGRSKPIPKPDREVTKGEDGRFVCTWPGCTEEQRSFGRKCEWSKH MDKHDRPYKCPAEGCEKLPGFTYSGGLLRHQREVHNLHGGPRKQLNCPHLNCKRYSGK GFSRQENLNEHLRRVHTDGGVEAQDGAETEEDGSERAGMKRKRPAVESPDIRQEVAKL RKENEKLKKQAEQQNIRTNDLLQQVQALQSIVGVHALPHAQQQAPQANMTNMQSM LY89DRAFT_644084 MFEIDENNLPFVRTRQALLLLDLQNDFVSTGGILPVEQPPDFLD RTLKLLPEFRTSGNIIWIRTVFEASRPINEPVGDSESVITDNELDPKHRGGDAHLRAR LRPSQRLIERHRRIAEANGIPLEGDAAIEVEEEEQEEMEVEETYLTLRPKQLPQAVLP TSPGTNFSQAASMRIDGKKDLIFQKTWYSAFKDGSLVQTLRAKFVTEIYLCGALTNIS VFATAMDAARHGYAITIVEDCLGYRSKARHDEALRRLVEFAGCEIISSEELIEDLQQK ARRHQTPPRKNQNQNQNQWPQAKAKAASSSTGIENLMASLNLRPDGTSTPGHKAVPTG SQDDVAATETGDSESHPSEEWSLPAKPTSITTAADVDGKKRERVKTKIKTRRRHSKSA PKEATEGSSIISIGQTSPISATLLAATQALEKLPNSFLSEHKQSVDAATSHSLKHTGL EPDIPRQDNIDAAPIAYCEGDTTVVHNLLDEDAADGIFEKLRDEVRWQRMSHQGGEVP RLVAVQGDIDHDGSIPIYRHPADESPALLPFSPTVSLIKAQAEKKLGHALNHVLIQYY RDSTDHITEHSDKTLDIVRNTFICNVSLGAQRTMTFRTKRPLKGTAEAEAAAGKPREV QRVQLPHNSMAKVGLVTNMRWLHAIRPDKRMAWEKSPEELAYDCGRISLTFRLIGTFL SKDQTKIWGQGAVAKSKDKAKPVINGDAAESEKMIRAFSKENFSSEFDWESIYGAGLD VLHISNWSKLILSGDFVADLRVKLMLAEYGITWVESRSSAAFNWKDGPPCKDPEPSSA SPIKFVDNDSSQSTVVGDMAIMLYLDSVYGPKPVVNGPDLAKQFTRLQQAGELLKKWR TAPFDLEPFRQEMAIWDAFATEASYIAGSGLSIADFALWPVLEEIRREWKDIEGFDNI VAYYDRVRNRDSVVKVLGAREQTNDAGKA LY89DRAFT_38900 MATITSSTEILGGRLLREIGAEEGSLEDLLRSLRQTSLPNPSKT GIQTLDTFWSHNGGKLSVTGRGLPFLYQLLTSLLLNLHGTVALLDLTARFSPSHVSVP SSELQHIHVFQPTPSNLKVTLESVEKYMLYSEHGSKGREWVGTIVLGGNGGDINIGWR GWLRVEKEEVTKFGDGVGVEEAWGEKGMRQRQEVVDKVGWRAECEIW LY89DRAFT_717752 MAPMEPSPRKRMSPDSDSGSNLDEPPSKRVRIEPTLPKTPPPEE ISSDGAQKVLFPDDLPHELLRHSVGLVLNHVGFDSASPEALEALCSQAETYAAHFISK VTMSMLNARRSFTNPLDYQYALAEFDLPIASLEPHLRPPIAADKLLIQLEPLPAEEEP TSTPRIDLLLGGDLSGEPDKLARPYVPKKFPSFPSKHTYKWTETESARETDPRKIREE AAKAARHGEEALRRLMKVAKAGKEKDVKIAASKDPKSKERHKLWEQTMEDLVSGQAYL GKIGQHAGEEDDRGLIVNADRLFYRKGAPVRRKQPFEVRPTIEIG LY89DRAFT_732839 MAIRPITGMLRRGLVLDLSVAFGLGTSFGYLFWYGYHVPAVAQR DAYYSKLEDQRAANAAA LY89DRAFT_684086 MTEQLILKGTLVGHSGWVTSLATSLENPNMLLSGSRDKSLIIWN LTRDESSYGYPKRSLHGHSHIVSDCVISSDGAYALSASWDKTLRLWELSTGTTTRRFV GHTNDVLSVSFSADNRQIVSGSRDRTIKLWNTLGDCKFTITDKGHADWVSCVRFSPNP QNPVIVSCGWDKLVKVWELSSCRLQTDHIGHTGYINTVTISPDGSLCASGGKDGTTML WDLNESKHLYSLNAGDEIHALVFSPNRYWLCAATSSSIIIFDLEKKSKVDELKPEFQA VGKKSREPECVSLAWSADGQTLFAGYTDNIIRAWGVMSRA LY89DRAFT_684087 MCGRYALALRPSQVMRYLQDENMQVDEAPDDEGDGAPRQSYNFA PGYHGIVYRADVPDYGAGPRHHKKGEGEPEEEEASADTATEQDQGEVRYKLQSMKWGL IPFWTKRNPDYGSMMKTINCRDDSLIENKGMWNTMKQRKRCIVVAQGFYEWLKKGKEK IAHFTKRKDGQLMYFAGLWDCVQYEGSDEKLYTYTIITTDSNKQLKFLHDRMPVILEN GSEDIRTWLDPKRHTWSKELQKLLRPSDAELECYPVSKEVGKVGNNSPNFIIPVASSE NKSNIANFFAKGAAKSDSNASFASKPKDESPKKEKPSPQIKKEDGEDRKTIDHDGSED HAPLPIPKEEVKQGIKRELDDIPDAEEQPKKVSRTSVSPSKPSPSPTKPTRKTRSATS NNTASPSKPSSKDKGSQKITSFFGK LY89DRAFT_40035 MCELDDQSSDFQHKNELSVLSSILFVGGALALPGNVAARASTST AAAPSGTGKVKYVGVNVAGFDFGVGTDGTQNLTNVYPPIGGQYPDGAGQMNHFSTKDG MNIFRLPVGWQYIVGGQLGGNLNSANLAKYDQLLQSCLKTGAVCIIDIHNYARWNGKI IGQGGATNAQFVNLWTQIATRYKSTANVAFGVMNEPHDVDIKEWAATVQLVVNAIRGA GATTQMILLPGNDYTSAGAFITNGSGAALLPITNPDNSTTGLIFDVHKYLDSDNSGTH AECVTDNISTAFQPLATWLRTNGRQALNTETGGGNTASCAMYLCSQLAFVNENSDVYL GYVGWSAGSFDQTYTLVMTPTGSGNNMQDTSLVKACLAR LY89DRAFT_732844 MFFSFVAVSSLLSVVSAAVLWDGRFSSSSLATIGSWSWSNQQGP YQYYIHGSGAISKYLSTSASYKNPAAKDDVGLKLTIDSTAKWNSDMLRTELIPQTTAA INKGLVYYHFSIMHSSTNPPSANEEHQVCFFESHFTELKFGWINGNAASTPDPALRWE VGGQSKWNTNFTADVWHNVAYEIDFSGGKVSFYHSTGADPLTLTAGPVTASASSNGED WHLGVLRLPRSGYTDNTPEDWYFSGVYIESGTLTTSVAGA LY89DRAFT_706718 MGVKNFLYTAAALAPAAMAQGAAYAQCGGTGFSGSTSCVSGYTC TYSNAYYSQCLPGSGSGSSASPSPVQTSSVVGTSSSPSSPTSSVPAGSTTAAAAGNPF SGVALYANPYYASEISTSAIPSLTGAMATKAAAVADVPTFVWLVSDTAAKVPLMGTFL SNIRAANAAGASPPVAGTFVVYDLPDRDCAALASNGEYTIADNGVANYKAYIDAIKAL LVTYSDVKVILVIEPDSLANLVTNLSVQKCANAEAAYKECVEYAISILNLPNVSMYLD AGHAGWLGWSANIGPAATLFASVYSAAGSPSQVRGLATNVANYNAWSIATCPSYTQGD SNCDEQKYINALAPLLTSAGFPAHFIMDTSRNGVQPTQQQAWGDWCNVVGTGFGVRPT TNTGDPLEDAFVWVKPGGECDGTSNTTATRYDAHCGLADALKPAPEAGTWFEAYFEQL LTNANPTF LY89DRAFT_706719 MKVISTCAAILAFASQVSSHYIFQSLTANGVKNAAYTYVRRNTN YNSPVTDLTSKDLICNVGGASGSNTTTVSVKAGASLSFTADIAVYHQGPISVYMAKAP TTAAEFDGTGQVWFKIFDEGPKFPGGTWDLKQTYTFNLPTCVPSGDYLVRIQSLAIHN PYPGGTPQFYVGCAQVTVTGGGSTELGPKVTIPGFITKADPGYTANIYSNFNSYTIPG PAVAKC LY89DRAFT_781244 MMFHSFSFAAVFTLAACHTIFTQLEAGSVTSAVGVGIRDPNYDG PIYDVTSNDIACNGGKNPTTATNAIITVQAGSTVKAIWRHTLTSGANDVIDPSHKGPV MAYLKKVSNAATDPGYGAGWFKIQEAGLTNVATQDWATTDLIAAKGEQSITIPSCIAP GQYLLRAELIALHGASSSQGAQFYMECAQINITGGTGAKSPPTVSLPGAYKATDPGIL INIYKTLTGYTIPGPSVFTC LY89DRAFT_696561 MEKVKRTKFAYLVDKLAVESEPGLTNAQLMLNNHDLKPVEPQRR QWGAWNFVGFWVADSFNINTWMISSSMIVQPSDLSWWQSWLCVWLGYSIAACFVVLTG RIGATYHISFPVVTRSSFGVWAWIGGECIRLMICAIWPSFYNIPNGIPSSGTNTRDFV SFFIFWLVSLPAIWFPVHKIRHLFTVKAYVVPTAGVAFFIWAIVRAHGIGPITRQPNT SHGSAMAWAMVSGIMSAIANFATLIVNDPDFARSARKPRDAIWSQLITIPVGFAVTSF IGIIVSSSSTIIFHGEPIWNPLNLLQSFLNEGTSGDRVGVFFIALAFSLAQLGTNIAA NSVSAGTDMSALLPRYLNIRRGGYVCALVGLVICPWNLLSSANNFTTYLSAYSVFLSS IAGVIVSDYYLVRKGYLQIKDLYSAKCSSPYYYWYGIHWRGYAAYIAGILINIVGFVG AIGKPVPAGATYIYRLNFFCGFIVAGGAYWILCMVWKVPATSDVWMEVGDEIEDVSMA YDASSDYDEESIAGGEVHSRSDRVTLCNGV LY89DRAFT_781246 MQPTSIHLLAVIAPATPIVAATTATTAPIIIPTTMGTTANQATP ATSEKPITHQTQTSLQCPQRRNLGERRWRENGLATTLNVGYWEQETTYDDELKMVYAE TPLRTHKTYFVRT LY89DRAFT_684093 MATSTTVSYTANLLKYMSLDQKGSAMAEYIWIDSTGGTRSKSKT LTKVPESGIFKPEDLPEWNFDGSSTGQAPGDNSDVYLRPVAVFPDPFRGAPNILVITE CWDPDGTPNKFNHRHECAKLMEAHKSHKPWFGLEQEYTLLDMLDKPYGWPVGGFPGPQ GPYYCGVGAGKVYCRDIVEAHYKACLFSGVKISGTNAEVMPAQWEFQVGPCEGIELGD HLWLARFLLHRIAEEFGAKISFHPKPIPGDWNGAGLHSNYSSEEMRKEGGMKHIEAAI KKLEGRHKEHIAVYGEDNTMRLTGRHETGSIDSFSYGVANRGCSIRIPRECAHKGYGY FEDRRPASNADPYQVTGIMMETIYGSVE LY89DRAFT_40121 MLLTTPVRSSTRSGFRRIVRSLSTLSSNPHIYVFPDPTSNSHIL SLLPTNPPSQKLAIGTTTQIPPTPNSLTENHHFLSILQDVLRLHAPSDPLVQGQAAAF ASTSGSALGSGGMFFPQQPKRRGGASGTSGDGAGGASSQGGAGGGGRGGWVHVSDLRA PPDYGRIAWPEDIFGSLEVDGRGQFVEAEDGTRGNWQASGTYRVITREGILGLGDFLR GKLVERLSEEEKKETR LY89DRAFT_40123 MMPHGAYDDGELEISLAPSANRRANNKPPDASAARRPNGNHHRA ANEPPPQKKAEQRIGAYHIIRTLGEGSFGKVKLATHRVTNQQVALKIIARKKLISRDM AGRVEREIEYLQLLRHPHIIKLYTVIKTQLEIIMVLEYAGGELFDYIVQNGKMKEDEA RRFFQQIICAVEYCHRHKIVHRDLKPENLLLDDNLNVKIADFGLSNIMTDGNFLKTSC GSPNYAAPEVINGKLYAGPEVDVWSCGVILYVLLVGRLPFDDEHIPSLFAKIAKGHYV VPNYMSSGASSLIKKMLAVNPVHRATIEDIRMDPWFVKNLPAYLQPPVEEFLDTGVDP SKAITPKLIAPHASPAVQEKLHDQVTEKISKTMGYGIKDVQEALAAEEPSAIKDAYLI VRENKLMQANPNLSDDKGQLLFQVPSPPAWNDGIKLDTAISPPPSPVVKEASGHKEVL PSRPSPLVDAMSPRSSSSIGTDRSTRPYVSKIGILPSSLPAYHRDFMEARRSGRDTTS TLSTAHSEVDEPKVQTEAEKLETARRLNPHSKSQIRLDEASKRPAGMTQIPQKKAKPT KWQFGIRSRNQPLEAIGCIYRALQKLGAEWVVDEDWQPHGESNDEDRTNNNSFVSNGS GASLHHSESMPGQNNSSSSIQFQALDPNGDYKLPADPWVLRVRWRKDDLRRQSVASLS STGGSLPSEMASPPRGSNHKLDPIENVFMHLDIQLYEMEPGVYLVDFKCAGYENVDGM LLEEKDVTSPFPFLDLASKLIIQLAEAD LY89DRAFT_40155 MPKFFCDYCDVYLTHDSMSVRKAHNSGRNHLRNVVDYYQQIGHE KAQSVIDSITNSYAAEGQSASNPMLNQNPGVGPPPFPPFPGGVPPPFAGLPGMPPMPG GGPPIPFPPPGGLGRGMPPMPGMPLPPFPPGGLPPNFQFPVPPNGFQPPPGFPPIPVP GQGFPAPPPGANGQQGNDRR LY89DRAFT_614718 MAYPQFFLRMLGSLLLFLACAEALKFDIEAFNSGDSKGRRCIRN FVAKDTLVVVTATVDGYKGDGMIVNMHIKDAVGNEYGRPKDVVGEQRMAFTSHADASF DVCFENAFSGSKGVSNPFRHVELDIDIGADAKDWSAVQATEKLKPVETELRRMEEMVA EIVAEMDYLRTREQKLRDTNESTNTRVKWFAFGTMGMLVALGAWQVIYLRAYFRSKHL I LY89DRAFT_732853 MTEHGKCHCGQTEWEVKLEDKSHVLCHCNTCKQLGGGTYSLNQI VPKDNLKITKGKPNIYTYYGDSGKAVRCYFCPNCTSHVYHHQEIMGDKIVVRTVLLDS GKNFKPSAEIFGKDRFGWEKEVATTFDVMPPSPNDKSRL LY89DRAFT_684101 MDSAELHSKKRKRKHGSTKATIEEIVPATNGSSLATESKIKSKH SKEGKPPKKLKKKHESDDEEEISGDNVVEVVEDEDEGGIDDAFSTDEDEAVPAEDEDQ DDEDDGAIDLAEGSLAESAALSLPNTGAQAQKFEELNLSAKTMKALADMKFEKMTEIQ QRGIPPLLAGRDVLGAAKTGSGKTLAFLIPAVEMLSSLRFKPRNGTGVIVVSPTRELA LQIFGVARELMAHHSQTYGIVIGGANRRAEAEKLAKGVNLLIATPGRLLDHLQNTQGF VFKNLKALIIDEADRILEIGFEDEMRQIVKILPKDERQTMLFSATQTTKVEDLARISL RPGPLYINVDNQKEHSTVEGLEQGYVVCDSDKRFLLLFSFLKRNKNKKIIVFFSSCAC VKYHSELLNYIDLPVLDLHGKQKQQKRTNTFFEFCNAKQGTLICTDIAARGLDIPDVD WIVQFDPPDDPRDYIHRVGRTARGSNGKGRSLMFLQPSEVGFLAHLKTARVPVVEFDF PAKKIVNVQSQLEKLISQNYYLNKSAKDGYRSYLQAYASHSLRTIFDVHKLDLVKVAK GFGFSAPPRVDLTLGASMSRDKKTQGRRAYGSQPKQGGSFKKRGTY LY89DRAFT_732855 MPPQTYPQAQFVPIKPDFDLDALVEDTHNFDYVTRLSADKLEEH SIQSFEALVLQIVIENGKPLVIEDWGSRIPPWLFSKEWLEENMGKEPQSVRDIPNETN IPMTMGHYLRSMDQLTRQFKPSTYRDPKRQRLYLKDIDCPKQWSEHLRDTVPECIYYL NECIEPRTGGDGSILEPNEYGQMRYGKGVAPAGDLMANLPPEMRAANMMCYIGHEGTY TAAHREMCGTLGHNIMVDTSTASKGEKPGTSIWFMTETREREVVSEYFLSMLGHDIEV EKHFAQVNAWRKAPFNVWVVEQKVGDLILIPPLAPHQVWNRGTRTMKVAWNRTTVDTL ELALHEALPRARMVCREEQYKCKAIVYYTLIKYYALLQRDTMEPKMWKYGRIKQLLED FKRLFYLYQEILVSEMFSPKLPEETNVEFLPYDSFVTCSYCRGNIFNRFLTCKTCIQH GIVNGEEVEDTYDVCMDCYAMGRSCACVSSLSWVEQWDWSTLVQNYEQWRNIVVQFDG FFDSMRSPQPLELARKRYGRRPITEVCQEQLKIRPFKDPNRERTPTPEMSDIEPEVDD EGRPVPRKDGKKSGLSSSRKNQVVPTPGKTHSCHVCMKHEMNWKLAFCTTCSLAYCYG TLWRAFDLMPQTVMEDKEWQCPRCLKMCSCGKCRSKPNSTQIGYQPKGTLLGHDTKKV ADFRSVESLVDFSRTNLSWLQRDENANNPQESGRMKKLKERAEAEKARANESSLDDRE AFDDSFEDNLNNGYVHNMQDINNLGGPGSTHFREDGNAPRYRDSYEAAQHGANPYLPD DTDDTAHGCADGHYELDDYDSYNQPPASYPSQLLAPAASMYGGPEETEPDASHVGQNR MMGVGYYQQNGSTDNRILYDPPITDGTIEEPSPQLTPSKNPNMFLSDLLDPQLLQAEQ PKKRKRFNADGEDAEDVEFFASKRQKLLAETKKHRQQYQPEDFPSRPEKRVPRRSTGK PQSYRDLGEAAVPIEEDEGLSSISTTRKRKAERADSDLELAAQALSHLSKPHPASQGT VRKKRSVRAQTSRSTGSPSVAPNTIKTPHKSAWLARKEAEDAGNSFPVELPTKRNRKR RSEVQDGMQNDPPPAVVELSLAVDDNDDGGAALGSDRDSLFGEPIEREKATEEVIRET TEVGHGVEIAEQPNSTEVLNEAEKQNEPVREPRNTSTIEEDAPVPKHRGRPPKSRPSV VALPPRSESPIGTEPIVIFPARAPAPKLLSLKERMALKGRKFKIVAPKAQSTPEFSPG PSNTVTTKEQWSHAPSTTTYSSGSTPSLIQASISRAPPTATPVGWHAVNGRSQAMKSS AGADYSTSPATVASSKSDRAPTLPVEKQRVGPTVVRLMSEEPSSERSVSDGSASEESS ASDSSDTDDIPAHRPGPNKAFRGGGVSLRGRGRPTGMTARRGKPVTIRGH LY89DRAFT_684103 MLTTGLFRLPSACRSTLLHSNSRCQKVSLILSSTHARVSLTSPW HVDRNNVLSITTRRRRIHSVQRSASMVIEPKERPVSVTSTESQPLVEYEAPKTGILSI LPSSWVPYAELIRLDKPTGTYYLFFPCLFSTLLAAPLAVPMATPLSVLGTSLLFFSGA LIMRGAGCSINDLWDRNLDPHVSRTRLRPIARGAITPFNALALTGAQLLTGLGILLQF PYQCLFYGIPSLFLVASYPLAKRVTYYPQFVLGLTFSWGAFMGFPALGVDLLDNGAAL TAAACLYTSNIAWTVLYDMIYAHMDIKDDAKAGIKSIALRHDKQTKQVLSGLAIAQIG LLAAAGAAAGAGPIFFVGSCGGAALTLAVMIKKVNLKSVKDCWWWFVNGCWMTGGVIS AGLAAEYLVQCSQQTEEVEKQKMLV LY89DRAFT_684105 MVSVPQIALRGWEFLWTLITMALIGNVIATAFAGNPSSINYAIF VTVWDMLVVLFGIGAAFMDISAAGIILAVGDIIAALLTFIAGVVLAAKLGVHSCSNGG YTSTNHLTNGSHDTGKRCRELQASTAFFWFLLPAFAISAFLSFKNSGGSSSMRGGIRR GGPSMSQV LY89DRAFT_684106 MSTSDSYIIQDASPESSNDQDEIDSLPSSDLSSDLDSEEESDAQ KEWEASLQQLELILTMVIVPYAGKYFGRKFAYWSWAKYMTWMYPVEVRYTNKTAFKAA GAVEAAASL LY89DRAFT_668351 MADVEAQPTHERKPRKSVAFSEGTTIVDENGSVTMKEDGHDDSK DTAISHSPSTPPLSQALGDFTDPIQGLDDGDKEPTKDDDDDVANMLKGMKKKKTKKPK ADEAEAEGGEAAPAEDGGLDLSSMKKKKKSKKPKEGTEDEFAAKLAALDLEGKEGEAE PAEPAEKKLVDAGDMEKGTGIWQHDSEVKIPYDLLLSRFFTLLAQKNPDHASSGSRSY KIPPPQCLREGNKKTIFANIAEICKRMKRTDEHVTSYLFAELGTSGSVDGSRRLVIKG RFQQKQIENVLRRYIMEYVTCKTCRSPDTELNKGENRLYFITCNSCGSRRSVTAIKTG FSAQVGKRRRQQG LY89DRAFT_684108 MSGYYPPPPGAGNGMPQQQQQHFAPPPSSPPPTQTSHQYYPPPP QTSTPPQGGSYPPPPQLTPPQLQQHYQTPPPQQQIPQQVQQHYQQPPPNFSHRTSYQQ QQQPVLGGAPAPSPLPSYDQPPPSGGYAGHPEKDNYPEEKPALDTSQNINLDHGAPAA AHFIGASTTQDDVGTFNGGSYRISHRDTNSILTLQLAMGCPLTVKPGAMIAMTPTVTL KGTVKFSIKKLIASGEMAHSTFTGPGELLLAPASLGDITNIRLSGDDQWSVGRDAFLA CTQGIVKEYKRQGIGKAMFSGEGLFVYKMSGNGLLWITSLGAIIRKDLADGERYIVDN GHLVAWNTKYILERVASGGIISGLSSGEGLVCKFTGPGTVFMQTRNPLAFGQWLSAHA GTV LY89DRAFT_614735 MATPTPGKHTGQVVATPPVSTPFSSSNHPSHPAFSPHGPRSVVP SPQQVKKSPANSNTMYGYPGGGGHPTNSSFGVGYDSPSAAMALGGVSGLELGLEGMGT PLGGVGHLAVGAGHNGIGGSGRGDEEDRARRLGAVMDILKTSQGRISEKGIQHLATRL GFASIVQDIEIRSRTTSRPVIIAGEAISVDLVFESGLVKTVLLQFPTSPPIVNKHVDR AGEILMRDLEIAGNESPLSKKLDGFAANLEKLAAQDLLGQTNKPFNCFEAIAGIYENL EKLHKWEVEKLRESPDMVGKDQDFLERAVMCTRSGRPVMHERNRLGLSLDYWQEKRRI GQQKDKTKTWALLVECGKKPSAFYTSARVSENWISAEIEKDNVPAEDLLLAAADGPVL DWLEPETTLIPGPDPPKEGDAPRGDVIELVDETTGLRRPEVMFVAKFDPPLVVPGSVV AQLYHIVNMTFDTTQPTAYFDDLLFPRSPEERYSNDGRVIRCEVTVPVFDKDGKKTTQ IHKNNLLVEGFEYGYELTELPFSHPRQLVEMLPYLRQYAFTSTLLEKSFGSSSKTFST EVKKMVVPSTRSAFDSFMEGTLPQKEMTLDVNLKPGPQIRIVFPFAKIPGSNSAYITF DIKHNGVVEVVEENVLDKDTMSESKMLTSADLGKMLEITEDFGILVEYVQRRL LY89DRAFT_668355 MNWTGGRLQRSTAASGVKHQQKQHFAKVAQNLRSGSKFKSPVKW SVFDLIAEDRERGQRESPAAHQVHVTSNREQSRLLAQHHTGLYPNAHVAGKRESSKTN TSSAYRVNSHISRTNNRIKPSPVPVPARVPDDDLYNATPPPPPPRNIKQQREESVPLS EIDSFVEPKQEQESVFEKRRRVLRRGDWVGVGIQKPLQIAFASPRDEENIGRRRKIPT GGHRARYETKQTHITSPFPQRARCPANIAPSAWAKQLRQIEAEKADVRISIGGKVVPP GLSSSSAPRTAIHNRSQATPSDVMLLDDDVFQGKEKELPSNVVHNYIHFSRENSQNTY QAPEIAQMQIGLPSDDYQIQQHLEDFQSWAGISPNDDFDRDSFDEELLREPDTTPFRV QELAEPVDPHNGMHPGQVVFSSSSTSIHHPKPQSSRVSVLIRSDSSESAQSTKAQVGK RREAVPSSQVDENKLWESWIAPLYQESSQDTNGFGDERYRTNVSISPGISVLLPRRPF EAHPAGQNEDISDSVSPENQEGTEEPLFERAEVQSSTNGDQHTSEDYESSRLLEHQTT DAEAESYPTSRKSSRQTEKPTAVVESKEKDADESWRKFVFGSSSSDTPEEHGSKTRQI TSNWKDHQETASSSMLAHPATRQSIDSTCEPEFPGSHSFTSRITSNVSKNEPRVEDYS TASESQDLSASSSSSRSESNHPSASVAFGKKIVFTKPKPIVGRKSMEPSTDEGPLHIG RHLLDDDTKSYLGQSRSVEQEVYSTATSTDEDDVESIEDD LY89DRAFT_781260 MSSLRLALRSVRPSMVLTRPISTTSRRMAGPGNAKEHETAPDHR KIQTEKPLNPHMTNTNSTIVNEMPSVGARKVPPELITSIDPDFVPKDSVPENTERMTG GTQKGAPEDGPNSELGVGEMEGAKFRVEPLRRTGEDPNTTRARLLYQSRKRGTLESDL LMSTFAEAHLRDMTPAQMAQYDLFLDENDWDIYYWATQEPTPTSQETAEGAGQGPEGA TTNAKGANMSPVAQGIDAGKQTGPELETDEWRQGQPRSGEWAQTIGTFKPAYRPVPAR WRNSEILAMLRRHVLSRSAGGVLEVDAKVNGEGLNHSVVGTGGGGMAFMPPVFQTDRP NN LY89DRAFT_781261 MSFSAVATYTTDKSADMFGQSGFEELGNDFFDQFITFEDSTPEY LLPDTFLERSISDQSGDLSLNSTDDEAKHGSHNTWRGEAWANTSAASLVIPGSQHFYS ELTGRAAISDSELLSLDNINLESPLIPALSQTSLPPSPSPNATLATRRKNRIVESLSK SLKKATASLDKRLFRSPIRKSSSSPKMMRAAINKSSLELQLENFDFDLQANVLPISPP PSVKAPAAFQESDMMTPAKGKHLNGFAYRNPSNQQIDRTNLYDTPLSTPVLEAPSARR VNQQTPADATPYPITPQTQNAAPTLSQLPNPQEYPNINPNTMYPISDLESPLWYGHAN TIPIAQPSPSGFHTNPQRATKTLAMQLQNDLAFTTNDLTLDPMTAGLGIQMPIAQQFI MGSPPIMQRGYFASPNPGPQPHRQQGQTQPLHHARHQHQRQGGNNSNNNNNRLPSYAR QPQPPRHQSHSQSHNTPTRKPRRSPSSSPSPSSSGAIRKRKSSSTSPKTKTPGGGTVD FVNYTPSDSRKILTGVAPSGSSKTKARREKEALEKRRRLSQAAVRAVRAVGGSLEGLG EFIV LY89DRAFT_583268 MRFSILSPVVTLLSFSSLLSGVSAVKLIESKSLNTCQDNSSFTA SLFNVVFTPNNRTITFDIVGVSSIQGNVSFGIEVTAYGYTFIKETLDPCNMNLAGMCP MATGQIDIQSNLQLDQSTVDQIPGIAYGVPDLDANVKVVINATSNPDVSLACVEASLS NGQTVDQKGVGWTTAVIAGMALVASAVTSGLGHSNTAAHVAANALSLFGYFQAQAIVG LTSVPLPPIVQSWTQNFQWTMGIIEVDFMQSIATWYQKSTGGTPATLLNSLTTTSVQV EKRSIGAGIAKRSMEKTIELLTHAHKLSKRADSTVSGTYVVKGIKRVAFRAAIESTNV FLTGLAFFCIFIVFTIIFVAMFKGFCELAVRMRWMKNDKFQDFRNGWFTVLKGIMFRM VLIGYPQMTILCLWEFTQVDSPAEVVLAIFFFFGMTGTLAWAAMKVIRIAKRSVDMHK NPAYILYSDPSCLNKWGFLYVQFRATAYYFILPTLAYIVVKGMFIAFGQNAGTVQAIA LVLIEAIILIGASVIRPWMDKSTNTFNIAICAVNFLNAIFLLVFTDVFNQPGLVTGVM GVIFFIINAAFSLVLLILVLIATIYAFIRKNPDSRYQPMSDDRASFIKSQTQLTTELD ALGATARGDKVGYKHNLDLDDDNESWSSDSLRHPANMPLPPSTANSNNAYRDPPHSPV DPSVPLFPAGNRGPPPNYQDNPRNLYQGSIESRPNSDLPLINNRSNAPSPSPYRDNSS TNLSTGGHRSQHNASPAGFRNQNNAR LY89DRAFT_668359 MGGNSAIHQSICLNLGESVGRRLCNSSRLRCWKKYSNLLRLPLK PFWGDQRLLHSLLRTRSPKFERPQTTTRKASYQIVRQQRSSGETCHGLRVDFVFFVVA VKRNPFMMPIINFTHALALMVHPQIRWQQPSDETRVPERGRRSERKVRSPCVALRCMK MKMSLSLTRRLLKPEPCPFTPHEAPNVSQDEITITDHLQESFSAPVTGHGENFRFPIA GTGFQEQVEVDLQTPTDPRAANVTAGMRSQIPTVMVEEDLQMKKCRRRWIVSQSPNAL LVISSRCRPIRFGKVQRPRGLPDNIEIWSRERTSELLRSGFLGF LY89DRAFT_684117 MASKLKPLSRLTNSLRPYIRSTNIQPRSFSACPSYRTDGVYREL TAMRTRVPFIEAFRKQQNEGSQSSPIPTEKVERDLSPKSMSDSHTRIILPLARDPWLL DSYINSSGHIRLGTIFMDLDALAGVIAYKHTGDSVMTVTAAVDRITLKQPLNQICDLE LSGRVTFATGRSSMEVSIQVAKAPEEGKEVEGADVLMTCAFTMVSLDPATKKPVNISP LKTNTPEEKAIFDQGEANYNLKKSMQSQALRKQTPNDEESDLIHALWLAQLDYHNPNT PARKPSSALYMKSTQIHSTQIMQPQYRNRHNFMIFGGFLLKSTFELAFTCASSISHTR PTFIALDPSTFLHPVPVGSVLYLTATVAYSDSPLPSLAQFSPNPSISPDPKTSPKGSS RIQIRVDSKVRSIEGGETKPTGTFNYTFQVGRELQVVPETYGEFMMFLDARRRGLVVN RSLGEGEGRKGGVLE LY89DRAFT_583145 MPFGLSKQKTAYSTVEEEDSENVPILSPMPFDVSSFLGPPQNEE QVKEFAKQEAAGKLTGGLGAGWEPATTITSSDLMNNAPTTPRTPGTISRRMSFRNVTA RAPSFRNSTLARKATVREVAQAEANKRGEIIKVIAEEAEEPTVDISSFAGGSTSTLDF DQMDNKSKNVRKNTLPVPTTEVFYPQPNWKPFSMRWPYLSGLIIISVVLAAAQEYMLH RGALYSFQTAQSLSTWNYFTFKYLPTLVAVTFGVLWQVTDFEVKRLEAYYQLSKEGGA LAAESINVDYITFFNFLRPVRAFRYKHYAVAVSSIATLLAVSLVPTLQAASVDLTPDR HARELDPNGLKEIRINAIYSRFLSVILLLIAVMGCILVWQLERRPSGLVADVKGIAGI AAMANRSHILMDFKNMDTANPELIHQTLKSHRYSLRNSSLAPEFSAPLTQEEKDKYDQ RPRTENPHPLMLRLAAGIPFIISMILFVILIPIVLFQPAANTITDKAPWFLTALAVAI KLAWGTLETDVRMIEPFYILSRRHASPKVLTLDYTAMAFGWMPVRAFMNGHFLVALVG LGSVLAEVLTICSTSFANVSGLDFANTPPPMNSSVSGLGSRDGRQNGQNAGEETFLSF WLSFGLAISILSFLCLVATFVYSRRRHPFLPRQPNTIASVLAFIHQSKMLYDFVGTEK MNNDEMVQKLASVGKKYGLGWFVGRDGEVHCGVDEEELVVGYKHGDDARKASQPWNTQ WENY LY89DRAFT_644138 MLRTTISAAAPRSASRLPSTIRSSTRPFYSPIRTPLRSAPRRTF STPPASKSSTSFTFSSHGRPTLHNSTILRQFQQSFRNFHKTRPRLNGAAPASEEPTTL GGRLKKLSREYGWSAVGVYFALSALDFPFCYLLVNSLGTEKIGEWEEFIVSNVKRFIP EPVKQTWNDWRGSMRKAEHAITGEEDVNEHIDKVNEQVKAAEVPSNATIASPQTSETT SDGDKTSGSDVWGVEKAREEHKRDASLATQLAIAYAIHKSFIFVRVPLAAAVTPKVVQ TLRGWGWDIGKRTTKEAKAIKRASMPVKPKARWAGKPKTK LY89DRAFT_781266 MHLFFYISAFATAVLATSRTTAPSGAITVGSGGTYSTIQDAIDS LSTTSTTAQSLFILAGTYTEQVTIPSRAAALTIYGYTTNTASYTSNVVNIEHSSSLAS GASSDEATGTVINEAANTKFYNINIKNTYGEGSQAIALAAYNTEQGYYGVGLYGYQDT LLAETGNQVYAACYIEGAVDFIFGQHAIAWIDSSDIRVSAGGGAITANGRSSSSDVSY YVINKSSVDTTTSSTATSGTVFLGRPWSEYARVCFQDTVLSNIINSAGWEEWSSSEPN TEDVTFEEYGNTGDGASGTRASFSTKLSSALSISTILGSGYADWVDTSYLA LY89DRAFT_706736 MVQLLQALGLALSTASVLVSAIPMPTAAPDLANAAALHKRASCT FTAASAASKSKADCATIILDGITVPSGTTLDLTDLTEGTSVIFEGTTTWEYEEWSGPL FSVSGTDIKVSGASGHELNGNGALWWDGEGSNGGKTKPKFFYAHSLKGTSSITGLNIK NTPVQCFSIDSSEGLTISDVTFDNSAGDTDDLGHNTDAYDVGDSSDITISGAIVKNQD DCLAINSGTSITFTGGTCSGGHGLSIGSVGGRDDNTVETVLIESSSVTDSENGIRIKT VSGATGSVSGVTFKSITMSGISDYGIVFEQDYENGSPTGTPTDGVPITGLTVEDVTGT VDSDATNIYILCASGACSDWTWSGVSITGGKTSSACENVPSGASC LY89DRAFT_717783 MAAASAPGPASGQTQTQVPAPQGQTQTQTQQAVLHLRGATRQSE EVQGGETRRRIQWAEDVVDNEGMGKKKSKVCCIYHAPKGIDESSDESSSDSSGSDSDS GDDGAARPARKSGCGHGHDHGKGKGKSRARSPNAYEKMPKPKGGAPKG LY89DRAFT_684121 MRINLSILALPASILTLIALHTTSVLSTEDDQSPKQWPYNLPPH VKYWPEDPPNRRRDLEAIEEHLRLGRAPVGIMKMGTDEGEKFYMEYWQFEGEMTLMDI SSNTLRKRDEEEEARLLANASAVLDFRPPFMLHTEDSSYGNLRARRALEARNALAVLG KRGFLCPTGTADCSSIGQPNYCCATGETCFAIQDAGLGPVGCCPTGSTCGGTVTTCNA PNTPCADNVGGGCCIPNYVCATVGCVINPSMVITTVITQTVTLTASSSTQTSTIVITT TSTTSSSSSSTSSTCTSSYQSCPASLGGGCCPTDRLCASGASCAPLSTTTTTTVSTST TGTSTVSGVAPVRPTSDSSTSTQSSITGTTCPTGFYACEAYYAGGCCRTGRDCQTTSC PPVSSTTIISGTVTVVVPVGSAATVNTPTGACATGWSTCSANVGGNCCPSGWQCGTAS CSSVGATSTAVLQKESPSLGLRDRGVDAFFAAFAAFVVGLMLL LY89DRAFT_684122 MPRTDEAEAFFHAAYTAIQEIPYGKVTSYGHIAKLIGTPQRPRQ VGVCLKHLSSDTTHNFHNGNVPWQRVINSKGMISPRGHPSGAANQARVLRGEGVTVNS GSLGELLVDFSEYGWFPRQLPSEEAAGLTPHIDSDEE LY89DRAFT_41315 MNRENRLALLGRWLPLAIVKASDMDAFTCHFGRKFKGCRRSSPN SSEIGQYSNCSPGGREGPLAKLESLQDKSQCTWRKLIRLADGIVRDYGFLSRTCGGCY GGLHSCRYILLDDMYHSLRPRNTFPQIALFL LY89DRAFT_583060 MVQGKKGSDLSNGTTKYQPSNMSFPRKDLTGPKGEARQNGNASS FRTDSAISGNRNQGERTLQRWVPDAPADFDGSLESTRSKSNAPWDQFAENEKRFGVKT DYDENIYTTPLDKAHPQYKQRLAEADRKAREIERSVASNSHIAEERIRDNLAAEENGG LDEEDNGVRRQDFPPLTSSNNKYTPPARRAPTGQSTVAGAPVDPAIISSQLARPDKPA VEKTKTAPAPKQLAKPEVSTPPTTTESSFAATPEPKAVATTSSSASRTASPKVKPDGV PNATATVERDVTTAFKNFASQQRTNVEKARMTRARNDKEIKLNDLKRFADSFKLNTPV PSDLVSIIAKDPVKQKEIQEKAKRNAEAAAANPSEGVKPIAPPADVRPAQRPVPATHG ASQSTISNRQTPNRNPGFANSTQFRAPAPIPQSSTQPTRAPGTLGSRLRNIEQSKQAQ VPLTPGAVHEATRQPPTGPANNVDPNFSRRSSGVASAQGPRLNPHSTEFRPSPHAATF NPNGNPSSGSSPRSAVNTVPPTPISRSLLRRKPVPESERPSIKDKFNALEYIKTIKPA PEKTWKTTGGMKPAYDTFPLWRRVEDEEKPESTMHMSYAKLFEMAPFPPQPISSPNTT HAMPQVPHQHQLPFHLQQGVHNMGARQSPRQAPMNMHANQHVHGPTPPFNGHDDHRMM PSHSAQSFASPRLQNAPMYPSPMAQSAQMAYNPQMMAYPGAPPMQPQYRSLSQQHQFI PQQGQMGPIMMQNPAPTFLTSQGMAPGPQMMYAQGGPPFMPPGNGHPPQMPGVNGFPS PGRGAPMMMSQGSQQGHQQPMYGMTPGMSPGPQFAPIYPQQPPGQMPMRAYGGPNQFG TSPQQMHQFGPQQHRNNQQNGNYGNKNFQPHGAHQNGPANNQVPTGPQARTSEGNEEA K LY89DRAFT_668369 MTLAINRRRWGEEMKKRAGETRVVVVEEERIELSSELATRPGKR VKPLHLLGKARPGMGRRGEAIERVGEMWVGVSVGAKRRETRAVQMEWTRGSGRREQRG DTGSGGAGGSAIQSTSSRCPQKRSRWEWMRESGRGQRIPAVEEVMQPNLWYATAHYTF TIHGIGCLPLCSRWKVFEMRDARCAQKHSTEDDRY LY89DRAFT_41485 MQAQRSIQRRSPAADPGPPPTVPARSMSPSGPGMPPRTSSSSAK LSQNGSRRQNTSQPPQGSPANPPLSQIEKSVTHLLVATKQLLETLTQWSRHQATDSQV SDVYVRLGYEFNIACRAFSAINVDITDLGNVPELLRHILESTLSQEASVESLEKYLPR IRDIIINLLHGLKRKQQKLRQKQTRDSASQNGDGSIPRNSSVGSVASGNTGLTSLLDQ GIANNYQSGDSGPDGRENVGGNDTSIPPRMSSASTNRKGLPDRVRSRESLSSGGSTMS SSTMQSMPILPPYPGDEAPLPIPPEQETELERFPPPPPPPKQQNPLAALQRGGDLERR ASRRYSAYQISKHLGGTNGIPMLPPAQNSPIPNRGRNDARESMRAVQNRTDQRHVRQQ STRIQTDVSPARIPSRISEEGNDAPEITPPAEQPADDSPMAKTPEDKIRQSVVDFDGL SATLQGPPSDSLPILSEPEKEDQQFPEPPKHQHSTSSTSTVRPQPQQRDQFTPEPSPP PGKELTLFLQYKTKVKKFVLQDGFNELSLARLQLAFMDKFSWNTHSTGVDLPEIYIQD PVSGVRHELEDLSDIKDRSVLVLNFEVLDEVKRHIDEGLGGIRKVVEGVKNAVDDQQA AIQRVSDRQQDAAKEIARLATAPPPSTVTSGSIARSSGFNTSKLASPAHLNEVQSLKR DLAVIRQTYSNFQSEIRDSMNTIRNKASSVKVEAAKTLIPKLDDESGRAYVNEKKKKL NSEYDTLVGQVDDLQDLIEELRKDVVTRGVRPLPRQLETAAKDLAQATMGLKKIRSAV DRESPLFTKVWERELTQVADDQESMKQQKQLNEDLQTDLEEVAEVLALVEQATKEQMK DAPGANATRNISQTLRHVIAADPAEAKEGVLGQVRALQPNHESRLEAIERAERQRQKD LESRRGGEFQKELGAFVEEGKLKKAGGFEEVERARKLKDERIRREVWERQNGMTQADA TADEPAGDEPPAEEGEENAEGGEAPDEI LY89DRAFT_41524 MTLSLPSDFLANSEVLELVKNALLQIHGLEIDISTHIIELTSKL EALQTSERLTMHSEGKSELARMILEIDHLIGILFDLTRPLQALQAVRYQRGEYWERRK KILAIKRASTEHPSSERVTSALQSALPSHRREIDTENELSQLPETLESGDTSIPELSP SSNTTASQPPVIRPTPRPSIPLAPRFKPSTHSDDNTVLGFMNVLQKCTVASVSTRPFV LVSKLTEWFRSTVQHGNRKITQAERLLASTYAYQGQPRVRDIDGCFLIFSILLELGHG ELVIKFLSLDKADKHLPVDLYSLRSICRQMRLADAEKVAMHFHQLQWKYCPARLDLHM GREYSQIKIMPFSRKVRIDNDGGIASLWEVEVPEEFLGHSLREAVKSSRYNRTDDELG PRYHFALKVFEEDERDLFNAERDAFVALREQGGMITCLGEYTHRERSEQSKRRPESLK SSLDDKSDGVHQEFKTTYNLILETAELDLYEFFLNKNPPVLRSEVDAFWKRLFDVARA VRSIHNLSRPYQQGLHGNIMLDNILLIDGKFKLADLGFTKFARPGTEQLGVFRGGAES YGAPECYRQRSLSKSKISRNIDIWSLGCVFSVAATWIVLGSQGISQFRALRAQEIGGR VNLQQLLGTTSKDQVRIDIDDFFHDGSKVLPGVLSWHIFLRTALRTSDQVTSRVLDLV DRRMLVNSIPRFDADGICLGLDAIQRQVLAVRRNQEIPQDILSILQTEDDNPPGILRS SLSTISTATSSAPASIADEPSSAALPERLSKESLRSSALEAVEHPSRVLQRTSSPLRP RIPPTIPGFAQPDSMHQNVFQAREELEKLQKGQILGRMRKDETLSRYIHNFDIIFLVD NSETMIPYWYEATYLLETLLFKLRGLEGNGIDLQFGSSHLKIEGSKHSRFFQGFKDIV AAMGSSVAKPKIGNGSNLLFRLLAIVNAHLRLIRREGGQPLLLYVLTDGIWQDESQRD VVAGNLRETLIRLGHDGPSVAIQFIRFGDDPVAIDRLNRLDDGRFRFVDVNVCSIDVE PARGNVYKMLLGTITKKFDVERYEDYGLQTGVPFLDQSFNSYAMASGSGGPPQTRPGS SGTSSSVPSTFATSIFSETSGSSIFRPESPPSDSDELASHPGQRISRAVPEIGSGVPK PPHELENLHRKSRSTKK LY89DRAFT_781275 MIEVVTILKAISASIGVLNFAWHGVKEFRDHGKIMARFILDVQT IHQLLGLWEIEWKIGDSEDEGIKCFGPDGWRLIRQQIASIVDTSLGLVGLLAPPLPSG KNDTSTISEGTSSIPSHRKLLKRSGPPPEILLGREFLNSQSHQTLEALQEYKSYEKFC DKSSSFGQKLWDVLISKNTDVLEKIKSLAEGYRQLETLSDSSFEACYKNENLSATMPI QVRQDAVQRRYDSKYAIGFRKNATSIIYASAFPTQDAESIGLQLEEQVLSASYSVQDT DAINYTLILEPSKREGSFELSVKAVGPRRPEAIEPASTFTDACEQARDSYQQKRQVWL QVNSKQEFLYYSIVASPSQPGGEVSRLAERLRSQYPEQLLPYRESLELLFRLTCSSYL LLNTPWMSAFSSECLIIRKGGEMPPRYSLGFREIDGSRCQVLKALQTSSEPEAYSIGI LLIELALRKKVTGFQNQPLKIQLLGEDKLEPLNKIIDRAGQRLTRAFAKILRQCFFQI QVPRDCYDQEQKDDLELYMLEGFYRNVLKPLERMSHKRIAVPSNTRPLRPRNVLQPIA TN LY89DRAFT_668373 MATPRTDFSPIRACLFDMDGLLINTEDIYTQCHNILLSTYSAGP MDWIIKPQLQGRPAAEAIRRLLAYFNLTHIDAVEYTSKLHAIQDIEFRKAEPLPGALK LLQDLNNTGKVHIALATSSSKWHFGIKTSRLGDMFTLFPENRQILGDDTRIAKGRGKP SPDIYLLALKAINETLEEGEEKIKPEECLVFEDAVPGVVAGRRAGMRVVWVPHQDLAK VMQGKEDLVLAGRGEEGEEEMSGEVVGEIGDGWAEQRISLADFPYEKYGIAVK LY89DRAFT_41400 MPIVHIVLFEFKPSTESEVIHDVCRRMLALKQNCVHPTTKQAYI LDSTGGRDNSPEGHQGAFTHAFVSHFASEEDRRYYLEEDPEHLAFVKSLENIIQNIRV VDYSPGIF LY89DRAFT_583310 MQTSTAYQPYSLATPPPPPRNAGIPDTSIAGGIPQVNDIRPPKQ TGQHDIGMEQPAEEKSSISLTEQEAQKSQPTSPQPRAKTRPKLRARKAAMSLTPRAVG QLKEMLNQPYPKLIKVGVKNRGCSGLAYSLEYVEKPGAFDEEIEQDGVKVLVDSKALF SIIGSEMDWVEDKLNQKFVFNNPNITEQCGCGESFKV LY89DRAFT_41393 MEPSPLTQQARPDVFQQKVVSLYEDLFKDEEDHEKSEGYWREFF LLKPDKSTLQKLIHDLSPDDLLHLQDQTRQLFSRAVGCIKSGSAPTDAHALDTITAFL ASVLPKKYTNPSSDIINVIAGLDRVDAVFTDFVAALDITIRTGRNLDIRQKAIQVALS VVSGAYQTSLLSFFIHRDLFPSLMKFVQDSDTTSRAFEPFTLLGLLANYNKFEFQNPY RLRLDDFVNEGTIQKIIRSVGRTCAISRGKYVTIQDDLPEGWSIGSTLTMIGLGAIAP AGTKSATPAIDPDLAKEMFAKLPGSEAAVLLATYDFAHANKLFCFNFVSLPGEKGVEA PMSSFLSLTSYLLQHAHSSLRTTLYSHLNLLILRLFIEDQILCKRICSEESKLSIRLC RQRQPYLPLVRGDRILAATILDTMIDGINHNLRRRLDVDLYILCVGTILRIISHLSRS RTRLHYHWSELFRSLLSLVRFLTTYTADLKQSSHIEILLDDLVNLIALSLSAGEAFLP GPAAYDDLFYKLVETGETLVKFRDNYDLGKRPTSSIETLISVSTHYNQLLADGASGRR GKQLTSAQVAGVIKQGYETLSIQAKEGLDSWDKYREADKKNFLKKMARAAVTDVKTLI EEN LY89DRAFT_684128 MFAALTGIRRLLATHTDMSSRMPPQSFPAVPSTLNAGDLEMRDW NSPEEVRAHTIPQYTPYLGLPSRLSQVWINRWTVLIILIICRLLLATQTINYDLANAK AEALSACTSVENVGSAMASMPHYLSDGVNALAADGVTKAVNGLMDMLSLTVTGVEEIV LFYINMLTSTYVCLITLVVAGSLHAAIAVMEDVANFMNSSIASITGDMASDLSTFQNG LNSFLSDINIGGLLGSSKNPPSINLTSQINSLTHITVDPTKFDADLTKLNSSIPDFSQ VHNFTNNVIQTPFELVKSLINSSMAAYTFDKSVFPVPQKQALTFCSDNPAIDNFFGGL TKVVFDARKIFIIVLTIVAILVCIPMAFQDIWSWRTMLERARLIQKMAFDPLDVLYLA KRPYTSTAGVRLAGKMPGPEGSKAKILARWFVAYATTLPALFVLALGVAGLLTCFFQF LVLKAIEKEVPVLVQEVGDFADVVVSALNNASTAWAIGANAVINSTNTKVNDDVFGWV NTTTSALNDTLNAFSDEMTIALNDTFGGTILYQPVTGLYECLVGLKIASFEKVITWVQ DNAHVTFPEFRDDVFSLGAAASIAPNASTADSFLSSPGNSTTDGITNAIIKVTTKLEE AIRQEAIIAGSLVGLWFVVVLMGLVRVLWALCARDKTRAEGGAAGYTGDNRNPISPQT AHRNDSGTFPEFGEPVSSVYPTRSPEDHWQVPAYPDDEKVMGAVGHGSYERNEAPRYQ RSSSYGLVADVKR LY89DRAFT_644167 MAKNEQPIRLWGGRFTGVTDPLMDQYNESLSMDRVFYAQDIKGS IAYARANVKTGILTQEEFEIIEKGLGEVMKEWEQGKFEPAPGDEDIHTANERRLGEVI GTHIAGKLHTGRSRNDQVATDMRMWLRDELILIEEYLVDLLKVIAQRAEQDIDYIMPS YTHLQRAQPIRWSHWLLSYATAFQSDLERLRFTKKNVNKLPLGCGAVAGNAFGIDRVQ MAKELGFEGLIMNSMSAVGDRDFVVEVLQCASSIGSHLSRWSEDLIIYSSLEFGYVKL ADAYTTGSSLMPQKKNSDSLELLRGKSGRMFGAMAGLMMSIKGIPSTYNKDLQESVQP MLDTVKTLKDSLQIAARSLATATVYPEKMRAALSPDMLATDLAEYLVRKGVPFRETHH LAGRMVALAEDENKGMNELTVKQYQSVDKRFGDDVLSVFDYERSVELKSTTGGTSRSA VLEQLEALKKSLSG LY89DRAFT_717795 MHRARKVLSRLGVSRSNEIETLPPPPPPSALDFAKRQALGAPTE DHLAELQSWYTNCQRDETYYDWTLEHEVLNEEQLAKEITDLVPSTEKDLKNGYCGPCN DFPSKWSQIVHLHHQGSKNNVIAHMIGLRELEAACRAGCRLCRLFMQHICPSKLDQSR KVEKRLGLLGKSTALFLTHPPNKIGTLYLCHSTGPSHQLLEGLIVFPFDGSNKFSPSL QLSDWSPVSSIVRARQWLAACSQEHPRRQAQKTGQLPARLLYIRKPPAAIDQPIVQLV ETKDLEISAPYAALSHCWGRLDFVKLTSETLDSFKTGIPFDILTKTFQEAIQVTLNLG LQYLWIDSLCIIQDSVHDWEREASLMSSVYGHSHVTIAASSAKDGSEGLFLRPPDFIG GALMGSSTEDVPTYAVAYEKLYAQGITSTHLSSRAWTLQERLLSHRTLYFGEGDVFWE CWTKYSCEFFPDGMQEPLLGAYDPGVRPNAMRDSWREIIVPYSSCKMTKGSDKLVALA GVAREAQRECGDTYVAGLWRTGMEEMLCWRRLSSERPQNEEYRAPSWSWASVDGGVEY YPRAPDQELQLLSKVHHVSVTPLGPDPFGQLKDGILTISCPGALSGVWYRHSFKGNVQ IQLPQKELFMKVDSDVNFTVYGVLRVILLPMVKLVTRKEDRKFQARDYYQGLVLQPTL KKKGEYRRIGSFSNLDLISFAPDGSQFKERHALEEHGPKMAGERCAEIRINPETGEEE YLFNIV LY89DRAFT_644170 MEPQPINVAIIGAGIAGLAFAIGLSKYPHITFIVYESRPSYAEI GAGIGFSTNGHKAMSLISPKLWENYQSHASFNGSPEKRGIGFNYEVGEKGLLEGKRII ETVMPEGYMQSTCHRKHLLSVLVETLPDKGEKCTVFNKKLVEVDDTGKKLVCVFADGE KIKADLVVGCDGIKSACRQFVFGKDSEIGKAQFTGKVAYRGLVPMEKAIAAVGEDRAR NRQMYFGHHRHMLTFGVAKGTMMNVVAFHSTGSDAWEYSSLVQPRTIEDFLRDFVGWG DAVTNIISSIENPEVWAIFDHPRVDTFHKGRIVLLGDAAHTTSPHYGMGGGMSVEDAS ILSSLLGSCTSVNDVAPMLKVYDSIRVDRCCDIVAASREQGRLCDFEADWVGDDLEKI SEQLDSELRRWMWDYDPEVAYKKALEEFEREKGTVE LY89DRAFT_614785 MYRPLIVLLSLTSIGFAANSSDACYATTLPPLPTSIASGNRTIP WGSPALTLPNGTLCCGSLDQVRDGIDDVDTQLLQLLALRAGYVREATRFKATLDAVDV PSRDLEVIQDAVDAANSTTPPLPATIAKSVFEAIINASVPFEQCIF LY89DRAFT_781284 MAGQCGGIGWTGFTTCTQGWFCQVQGAYYSQCAASPIPSGDQVP DGQCAGQTYTGLTQCSPGYFCSFHDIYYSQCIPVPYTVGLSTSAVGSLSTLVASSSPS VVATVLVSVQSSSKVSSSSTTASSISTSRTLSSSSSIKSTSLVSTLSSLSSVKASSSS SVQTLSSSSSVKSTSLVSTLTLSSSVKASSISTIRTVSPSSTTNLTSIVSSPSSSTKS TGPTNQQSLFSSSSSSIKSTRYSATLSSVTSSSGVSNSKSTSAQLSSTKQSSTSISSV QVSSTKSSSTFTTSIVSSTKSSSTSTLLSSLSSTTPLSCKTSNPPPPSAGKVQFAGIN IPGCEFGGDSNGYQVPTGPSRAYCPLGPTHGLPDGPGQMVHFVGQDGFNIFRLPVGWQ FITNSQYNAISTLDPTNSAMYDFLVQSCLATGAYCLIDFHNFARFNGAIIGQRGPTDA VWAAIASKYKGQSKVVFGLMNEPHDVPSITTWAASCQAAVTAIRNAGATTQIILLPGN DWTSAQTFVTDGSGPALSNVTNPDGSFTNLVFDVHKYLDSDNSGTHIDCVDSDISTAF APLAQWLRCNGRQALLSEIGGGSVASCELYVCEAIAYLNANSDVYLGYLGWAAGSFDT SYILAETPTYSNGIWTDT LY89DRAFT_41632 MAVMTTQYSEAVALEQKTQPVPSSDKMALDLDINGTSTRDETNI DSTSDATINKPTLSPSNHVATDLPDVNGASNIDAFASNQTILPDLSAPAPELEFSSTS VSDPSQLQDTNTTTDAEISMSLGDQQPESALVSAESAIESAIEDVAPTSAVPPVVEAP ESDLRDTIQAVAPEASLEEQSQANALDFDLDDHTLANQTADLEVDANSQGVDITFDPS PSTVPELPSDLQPPQQSAAMTDPNPAQVESNHAEFVDSTAEPKDTAMEDAPAVSAKVS REREDDDEAEPSAKRTKTDDAKMSLQEAPTQNGDTSGDHKDDPKLTPFAANNIIKIIK TVARSPAGKNFRGPVARLWPTFAALYAEKISTPIDLETMEHRLRDMVYQTMSEVISDI ELLYSNALTFNGPGHAVTNAGKDVRATLLNKVPKVAFIEPAPAPKKDKKPAAKRSTPV PDAAPRVAARRPSRGSHGAVAPAAQPAQTFALDPTSNTPLIRRDSTKVDGGRPKREIH PPKNKDLPYSVRPKSKKHAHELKFCEEILTELNKAKYRDFVSPFQTPVDPVALGIPQY FAMIKKPMDLSTMSQKLKAGSYNNAADFDKDIRQMLWNCFKFNPVGNPVHDLGKKVED LYNIQWAKKDQYLADRSPPAASPVSAGESSDEDEEEEEAAVDKSQESTLSAAKERLLE EQQKLITLMGAKHPDQGLIQMQKDMVGIIQNRISQDEAALKMKPKKVKAPKAAKKAPP PKKPAASKKASGNRQKYMGTLEKETISAGLGALPDEVSNEVLADIKRERPGIDAGEDG TLELDIDVISVPLLWKIHGLIMQYAPDVQEGIKKSMAADSHPKPPPKAAAPKKKNKPM SKYEQERNIDALSKTLHAYDRQTSGSQEPVLPTVEQQDESSGDEDSDSEEE LY89DRAFT_684133 MSTKRKLPQKLGQPVVKQSAKVLSKGNLDESKTVVSSGSNATKL KPNGTQESIEISSDEEEVEQEENSDAESDEEQESGAEADVAMEDADNTADGPIPDEND EDAAEPSFGDLVRANASEPIDVAGAFEDPLNGMTYPKTSQIQAPFGASLGTVLTQALK TNDISLLESCLHTTDVTTIRATIQRLESLLAGTLLQKLAERLHRRPGRAGTLMVWVQW TLVTHGGYLATQRDLMKKLAELNKVIDERAKGLQSLLSLKGKLDMLEAQIELRRSMQN QRRRADEDEDDEDVIYVEGQESEQEAGSGAVKPQRLAANGDLEDISDDDSEVSEDMPM TNGVIADSEDEEEESSDEDDLIDDAAEETDADTGDEDEVDHDDQDSEGEDDDSDDGVQ AGRPAKMPKTGGMFSKKR LY89DRAFT_41651 MFNIRKRLGASILLTVLSIISICLLLPDSKVRQLQKITLGTTIE YTDLWKWGSEDDVDDDEDNGNGVRLVIFGDSWVDDLIEEGQGEKGNTWPQVLCEEINC TSRLNFAASQLSDAWPASPPTGAMTSNEIHAWAVSQNQLLRGGDYNYSAYPDLASQIQ SYISLPAPRTQPQATIFILSFGFWDIYDFAQLNFPAAQNVTDTSIDFLFDQLDVLYAH FATKLYPITEIPQISNSTNATTYSTSEHKFQVIIPRILDPTLLPGWVIQRPIPAKPSS VAEQQKNAIYLTERWNQGVENKMGAWMERTVDISPAPTESVAPPAESTEPETRADVRE NATERQSENGKFQQFDGNVPTKEEKHNSNKDDDKPEEEPKEEETQNLPKKDIFYHDSA SILLDIILEHQLEDEGLSDALGLGKGESPYESVSIPCMRPMDLEEDIMELVTHWKENN GMLICKEPEDFMWWDAWSLGGKGKKMVGEAVGEVVREGKSLRAKKEGKGKSA LY89DRAFT_706754 MLLDVRNHYESRIGYFVDPKTGEAALRPPIRRFSQWPQYVKKYM TGAEAQDEKGGRQIMTYCTGGIRCEKGARWMEENMEKREGDSVCTLKGGIAAYMAWMD DEIRLGKKRPEDSLFKGKNYVFDARGSTGLEGDGLTHPVSSCHVCGRPEDRLSKCQSK GCHLILVVCEACESTHPRCCQNCHKLDVSASIPSAGKASRPICECERQREALLWGSDR LKGKKQKQSKRESAGGIEIQVKVIGDP LY89DRAFT_41698 MASGTPAGLLENLAISPKTIMAALHSSNDTISALDLPPPTMESQ QDVPEMPPQFEPPAEFLKFSELPSELRLKIWDEASFFQRDINLWLKTLGELSVSAYTA EDSTTHELFKSHEFVTNTAPPTVLQICKESRVVGLTHYRLSFGTSYEFANLSISTTPH IYVNWDCDRICLLWPQQFQSHKSRSFKTLVNICQENRLRYLALNVLRDAHWPYVEITT AVHSLKEVLLFGSTAQKSATYSDALNIGFVNTETLAMIYPDLWRKIRNHSWAIRLEIP RRDLAKFFNLSKVGNESEGSLWVRQNVPFASNSPEIPWGLQSDLGNDEVRQPPRIETG YITINGFPELSDWNW LY89DRAFT_41745 MGHSRSLPKSSNSGNKIRSSGKTTPMEKSSVGSSDYEPLQTFEL FPDLAPEIRCLIWKLAANHPRLISFSEVKKNKAGHNEVGGKKVLGITLQRSDCPQPAI LQVCRESHNECLSLFGLVGGTYLNIYRPLDTQQSLAAVRTILHEPKIYFNNAVDTLFL YRCMIPTDREFFTQESPTTLFGTSPKSIAVPMDLFGNSFNPDLRKFLDLQFLELMLRT PRNMEEIVLLYVKDPNSAVSKSGVRLDLVELDDTMGPLNTIALYCKITISFLSKMCQL LKHYSVFTVHGKDFGESSMLAGPQVWLGNRMLSDGKGDEFDPVVEALVDADAEKVFKC PRIKIMGMNKYGPANDVQA LY89DRAFT_41738 MSTENHSPLSKQKMAFTKKPAVAVAAKTELPKAQSTDVKQNKAD KLSAPAVEAQQEEVTHDTFTCFPNLPVELRYKWELAANAPRLVPLVEVIVAQSEEESD YYCDEFEVNQKSGNPQPAVLQVCQESRAECMQYFELVFGSQHYRGSFWSTELVKQKPA VYFNASCDIFHMSSRFCSNLNIFSGWGPSSDPTLARICSLKGFALNVEDFDADKWPLD YVPSKRSFSFYPSKVEELQPQVN LY89DRAFT_583053 MPTTRETAVGYRRNGKKQACEPCRKGKLACDHGAPFCGRCVRRK TTERCVYHPAPMSKSRNSEPLPTPQQTPSVTSASSSVPAQRPARAGLPPHLATLNNGT DGAKSTSHGHSSKVSSTGSESPVAKLRDTTLDMQLLGYCNASIWANFEEPLSQPRSAP SLTIMSDALFKNEEAPLPPSPDDAVEWLDTFTGPNIRFEMMGLMFCFYGMGYLALQDW DPLFSVSENLGRDRKQTAWRMKECAEVCLDMCDCSETVNYLVAALILNLKRLETACTG DETYHMRRLHGDMITTTITSGLHRLSDCDATKVTAASEYKRRLFCAIYCSDKTHASLS GIPPLLTRTFCDIQPCLDLPDDALLLPQDEMAVAVSQLDAEGWNTSGSTYQLTTLLRA RLQQSVLREEILELALGINVQVSISRIGEMHRRCQEVYDALPPQLHYYEGPGVPKTLT GTDLYDRANLLLQFLQNKFLIDRIALARGLDNGQSLLNTAIESIDIALLYWMKRDQLM SFSCNFDWIVTFYGIPSAGVICVELLKKAASQSTVEFSLSDAIQKLTLFIAFLEWIRP TDGNYALAQRLRKVIRRVLDYVLEARNETAKAPNPEIPFDPMLTSFTEMDDLDWLNTI DWTQQASWMDFN LY89DRAFT_717804 MGSQKMKNSLMFMMYTDSTKNNITLSPRLSYDHSEPSYTSNVNI TILSGTGISNNQMVINAMCENCRSWKGGEIDPTNTKASFIWANGPTESLTSDSLTADI KMHADYGVFQLDLTQAVGAAGVPVIATTDSSGSKQISYKTSSDGMVVAHAIFTILAFV AIMPLGVLILRIFKSPKWHGYNQGASFVIALVGLGLGFGSSTEYNRSKNFSSAHQLFG IAITIALAGQFVLGYMHHKVYKKTLATTKLAPIHVLLGRLVIPAGILNAFLGFPLALE SASDGPLFGIVVAVVIILGAFSWWSRRRDEQHTMAIAARGSELGYR LY89DRAFT_41780 MPNRRACDRCHRLKEGCRWEGGSTACTLCKRSKSSCTTLRAPLR QGRRAKTKPLGQDASIDVWEVEGFETKSIKTSTSQALIKSRMQPSLSANTSVSHINEW DILLETIPDCYQSPKTNENDSSTPQRQPQKVSQDISMEQPFKPWIIDQFDDFYTRHDL FMFGPTFARDLRVAIQHAYTCSPTLLHDILMVISPAVDRAKQKPSSWNQTEINRCTTS IEKLRTARITSLYDALAIMALGQNLAAFNLLTYNTGAIFIMQYSLTSIRPWYGQLSKD PSIDTVTITPVFWDTVHCLLKREIPIIKFYSRDPHTVDRMAGLCTTLLPILYDLCVVS HTLKHQLQSSSEINTQHLQHIEQKLRSWKPTPPPDFTTTFTPHEILKMEAQASMYRTA SLLICHRLLHPIGTEDDIAISHANSILLDYARYSALVSLQMVTFPILLAALEVEDVDE GIWKSMPLLAAAPTCIGKMGAFVEYVWMRRLSGCTGFIFDVVDSGPEFIVVP LY89DRAFT_684139 MTSKGKLQPAARVAGRRQDVWTIVNEGAAASPKQPIVNMGQGFF GYNPPQFIIKAAQEALTKVDCNQYSPTRGRPRLKKAIADSYSPLWGRKLNPDTEVTIT TGANEGMLSAFMAFIEEGDEVIIFEPFFDQYISNIEMPGGKIKYVPLHPPPKGATQDT SAGEWTIDFAELEAAITPRTKMIVLNTPHNPVGKVFSKEELIKIGGLCVKNEIIILSD EVYDRLYYVPFTRIATLSPEVERLTLTVGSAGKNFYATGWRVGWLIGPPHLIQYVAAA HTRICYSSVSPLQEAAAVGFEQADAEGFWVSAVKEMKGKMDKFNEIWKELDLPFSDPE GGYFVLVNMKKVKIPANYPFPDHVKDRPRDFKLSWFLITEVGVAAIPPTEFYTDENAH IGEDWLRFAVCKEDEILEQAKERLRDLKKYI LY89DRAFT_684140 MSSFQPSQDGMAAPVLPITVRFTTSIPDLPLDIPTADSTTVIAL KHLIRSKLEPPTSKHRYRLIHSGKLLKDDDILSTVLKIPSPPPRTPDPKGKGKAIEPA PPRVYINCSIGDILTSAELSAEALAAAASTNKSKKRTPSEGRDAELVQPKNTTPAPRG FERLLQGGFTAAEVNQLRLQFRSIQSNIHTPDTMPSPNTLLRMEDAWIDDNGGTTGTG GSGPGFDFGDSDGLGGGALDDLLWGNIMGFLWPLGCVGWLIREEGVWSRRRRIAVFTG FVLSLSFGMLRVMS LY89DRAFT_41869 MPSQPATSSISATSLGDENHDSKKVAIIGSGCAGLGALWALNRT HHDVYIYEAADRLGGHTNTVEFKKGKAKTMVDTGFIVLNTATYPNFIAFLKAIKVPTV PTEMTFGVSRDQGTFEWAGTSLSAVFAQRKNIFFPRLWRMIFDIIRFNQFALDLLKEE EKSEEFTMEINGNGNGNGSHIHHAKKQETIGEYLDREGYSDAFRDDYLIPMAAAVWST SPDKCSLEFPAITLVRFMWNHHLLSTVATRPSWLTIRDGAKAYVDAIMKGFPPNHIFL NTHVESVTNDDDGRVRVHLESGKSEVYDHVIIATHGDQAHALVLPEADHEEKDVLSGF NTSKNTAILHSDLSLMPRSRKAWSSWNYITKSTGYSNNVDQVCLTYNMNILQHIQPET FGDVLVTLNPLHEPDPALVQGRYSYSHPLYNAQSIRSQSLLPRIQNTRGISYCGAWTK YGFHEDGFSSGIKVAQDHLGAQLPFKFRDSTFSRGKRPVLGLADLLLRALIMLIQLFI VIIERIFRVERGPRNETPLKKGHKKVQ LY89DRAFT_684141 MANPLDTDAGSELFSSYEAELKLVQADLSQKLDQIPDMAGEPRK AAISQAERALEEANELLDQMRLEKQNIPSATRTKVNQRFRNHESDVDAAKRKLRSLAD DRSALFGSRYSDNPNDVQLEQRQQLLTGTDRLDRSSQRLKASQALANETEAIGASTLA DLHRQRETIQHTNDMLLESEGYVDRSVKTLRGMARRMATNRIITISIITVLVLLIIAV IYSKFR LY89DRAFT_644206 MAIFTSLNTVLFAIFAFIFYTIGLVLYRLFLSPTSKFPGSKLAA STLWYEFYYEAILPGQFTFKIREWHEKYGPIIRINPYEIHVDDPGFYHTVFSNSGIRD KHAFYTGQFGNPNTAFGAVSHDTHRMRRQALNPLFSKASVVRLTPVISTIIEKLCRRI DEVKGTDRPLNMRLVYMCFTTDVVTRYALNRSWDLLDSADFSPLWKEMVRSTGEMTKW TKQFPWLFGVVKTLPEWVIKGLKPDLGLVLDMQTKINTQILQIMQEKEPKPAISDEQE QLPRTIFHALWNNPNIPSEEKSIDHLGQTGQDVIGAGGESTGAALAVTTFYLLNTPRA LKKLKTELEEAMPDKYGQWALSLAEGLPYLTGVVLEGLRLFYGVSSRLSRIAPTTPLK YQNWEIPANTPIGLTNLLLHHHPSIFPDSHSFLPERWLDNRPLEHYLFSFSKGSRQCV GINLAKAEIFLTLATLFRRFEMELFDTTFERDVDVRRDMFLPQPSRESRGIRVVFR LY89DRAFT_41899 MQASNMIRPPKRKASPGTDGRLPKQQALSKRTSTTLSSSPLSLR TPIALANRQISGRSSPGIVMPTYGATSATSSFASSLPYFNYPLQASNGINSLAYDSHL DYNASVSASQRVNSTDQMFHLDPSLSALDAPYGGTLSTVSRHLQQGNVHAHSHQFDPP VQASALQHLEVNVVKPELDNDSATTSSAGWTDRMQVMAKPALLQNEEPSYSAESSVVD PPHTRAAQMTASQAPNDSPDFPSECFLCPQPLDAAQAPVFATLNDYNVHLWQKHMDLS TWKEQRCSWEGCSTKCTFATPNLWFAHVRQVHQKNFWCTHPGCKFRRGGLEPKPFGSQ HSLHRHSLSKSHAPPLYCDKPYCTGHDNIARSDKRNKHNTEYHGPILCRVDGCPRGRY LNGVYYGFATHQDLVAHHRLKHTDTALDYGTTTA LY89DRAFT_717811 MDSYQATFPDLSEDYNAFFEPAIFDSLDSWPSGELITAPKCEIP QIIPDSTSTSYLPGSPCSDVDISSWSDNFWEPNPELEPWPLVDLEQGSSGYHFQTPTS FVGFGGLVHFPVLQESGKRCYTAQKASSTTKQQYDCNWPRCRKSSPFDSAEHWKSHMK EHSRNIQDEWTQGSPCTWYGCPSKARHRTKKLLEDHINNIHINPLVCVVEGCRHKTPF RGKADLQRHINSVHIECTKIKCPFPSCTTERRDFSRKDKLMNHLRDIHDTDPCPFVPH CMVKLNPELDSTAKHIGKQHGEFECALGSCKKFASQFCESGFLDHLQLDHKMAWSMVL KARDIAKQSGNKALRDEHVMCEEVYDCSICCGLD LY89DRAFT_614822 MTPPKFPFARHSGTEPPLEYAHLRATEPVSQVELWDGSLAWLVV KHKDICSVLTDQRLSKQRTRPGFPELSAGGKAAAKNKPTFVDMDPPEHMQQRSMVEPL FTREHINGMRPNIQKTVDSLLDAIIKEGNSQPIDLGEKFAIPAPSYTIYSILGVPFSD LERLTTFAAIRGNGSATASEASSANAALLSYMGQLVEERLLEPKEDLISHLVNEQLIP GHLKKDDVVQIAFLLLVAGNATMTSMILLGVITLLQHPDQLELLKADPEKWSAPFVEE LCRFHTASALATRRVAKEDVVIGGKLIKSGEGIIAATQSGNRDEDVYPDADVFDIKRL RGKEEALGYGWGEHRCVAEWLARAELEIVFGALWQKMPKLRLAIGFEDVKYSPPKKDV GLSELPVVF LY89DRAFT_583739 MAVFEQDPDPIVTRLVNEDTVPWYRKRYLRSLYFVLFPACMGIE MTSGFDSQLINALQFIPPFNTYFGDGYIDPTTGQLGINPPLLGIINASYSLGAIFAVP VAPYFNQLVGRRWAIMSGSVSMVIGALLQGFAQHVAMYIIARMLLGFGIVFAIISGSA LIGELAYPKERPIMTSLFSASYFFGAIIAAAISLRTAEMSGNWAWRIPSLLQCFPSIL QILFVFISSTDSPSWLPESPRYLISKDRDEEAFEILVKYHAEGDRDSIFVRAEMIEIK TTLKLEQEISKQTWIDMLRTSGMRRRVFIASMMGLFTQWSGNTLISYYLSTILDMIGY NTTYAKTRINISNQCWSLVSAGIAALTVTRFPRRRMFLLGTISMLLVFIGWTVSMERV LSANAKSMTNNSAAIAVLFFIYAYSPCYNIGNNALTYTYLIEIFPFAERSRGIAIEQF FGRGAAFFSTYVNPIALKAITWKYLAVYCGWIFVEFCFVWFLYPETYRRTLEELAFLF EDASLSENVASAVEKQIHFGENGVEYHPGKRSFMHLETLPAYMDERSAWSD LY89DRAFT_781300 MAPSAVSPEPAVSISATHSLSSVTTAYDDTLRFYLNGTRVMLDN IDPEITLLEYLRGIGLTGTKLGCAEGGCGACTVVVSQYNPTTKKIYHASVNACLAPLV SVDGKHVITIEGIGNVKRPHPTQERIAKGNGSQCGFCTPGIVMSLYALLRNDSSPTEH EVEEAFDGNLCRCTGYRPILDAAQTFSAEKSCGKAKVNGGGGCCMENGNSSGGCCKDK DLKDDQPIKRFAPPGFIEYNPDTELIFPPPLTKHEFKPLAFGNKRKRWYRPVTMEQLL EIKSVYPSAKIIGGSTETQIEIKFKAMQYTASVFVGDIPELRQFTFKEDHLEIGGNVI LTDLENICLQAVEHYGPVRGQVFTAIHKQLKYFAGRQIRNVGTPAGNLATASPISDLN PVFVASNAILVARSLDKETEIPMSQFFKGYRTTALPQDAIIASIRIPVTSAKGEFLQA YKQSKRKDDDIAIVNAAMRISLSESNVVESVDLVYGGMAPTTIAAKTANGYLVGKKFT DPATLEGTMNALEQDFDLRFGVPGGMATYRKSLALGFFYRFYHEVLSKLEVNEADVDD EVIEEIERMISHGKEDRESTVAYQQNVLGKPNPHVAALKQTCGEAQYTDDIPVQKNEL YGCLVLSSKARAKILSVDYSPAMDLPGVVDWLDHTDMPSPEANKWGAPVCDEVFFAVN EVFTAGQPIGIILADTAQHATAGARAVKVDYEELPAIFTIEEAIEKESFFEHYRYIEN GDPEKAFKSADHVFTGVTRMGGQEHFYLETQACVAVPKPEDGEMEIFASTQNPTETQT YVAQVCNVAANKVVSRVKRLGGGFGGKETRSVQLTGIVALAAKKTGRPVRCMLNRDED MVTSGQRHPFLARWKVAVNKDGKLQALDADVFCNAGWSQDLSAAVCDRALSHIDGCYL IPNVNVRGRLAKTNTMSNTAFRGFGGPQGIFIAESYMEEIADRLDIPVERLREINFYK PDEPTHFRQELKDWHVPIMYQQVQDEMSYAERREAVTKFNATHRWRKRGLAIVPTKFG ISFTALFLNQAGALVHIYHDGSVLVAHGGTEMGQGLHTKMQMIAAEALGVPLSDVFIS ETATNTVANTSSTAASASSDLNGYAIFNACAQINERLKPYREKMGPDAPLKKLASAAY FDRVNLSANGFYKTPDIGYTWGPNTGQMFFYFTQGVSAAEVEIDTLTGDWTCLRADIK MDIGRSINPSIDYGQIEGAFVQGMGLFTMEESLWFRGGPMKGQLATRGPGAYKIPGFR DIPQEFNVSMLKGVEWENLRTIQRSRGVGEPPLFMGSVVFFAIRDALKAARKQYGVEA TKGEDAKNDGLLVLESPATPERIRVNCVDPIVKRAYVEPKEGEKSFFISI LY89DRAFT_42587 MDSSVATLIVDVTKSALFVRSLAIVYKYLVLPIAKIQGILKFCT FSTLIFIKMYLSFPKPSMQASRAILAQSILHSS LY89DRAFT_42544 MAPVQHHKDKRVKAILTFASELESQLPKAVTSTTSGPLLHELEK NIAKFPEKLTSSTTSEYEELDRCGTTIWNVCTRLRREYDPDKPHDVPLILLVARVYAF LLLDGAFQSGKSDPGNLPRLMKSGLKAGKTCLDRKQSDLAVKVLEKVAGYETLLKSPR PAAGQEDGESFNNLIAEYYVLRTALAWHKGQFPIAETMYQKSISSQHLFDPQTAESLA DVIYEMGKDQLAKKDYPLASKWLDRSYKFLNLQELDKLSIDANELRISIIESLIKALL GLQSPESYEQARNLVILLETELGDKLIVLLLKLDLLSAPTPEPFDSASYSDVLQRMTR TMYLNDSNFRLIMFHIRKLKDKSPSLACKALDDLLTLRLLKAEELQDSWIGKTIVTRL WTTVNQRDSPEALQSLYDLFETITANLTKPMASDATLAAHTLFWKHIESNYVQGQYDI AESWCRLALHRLFSNSGEINTARLSRKLLLCSLARKDFGSAREVFHSMSNSARNEPMS RFLMYKIAVRGGDTELATECLHFISTAARTTHDLTLLYGCVLDAQSIGDKTQTLAGLQ LVLEKSDYGAQSAVHVPSLLRLTISLSVALLEEHVDTQDIGTIERICAAIEKGATAIR KARGSLGLLDPPWTIDELEWFSKNSYNLAIKHLSNWEPRYSLRILQSSLDFMDQYPRD LSDQVSEDLSLRKMFCAFSAATALISLARAEDNIENQMQDYLGVRKHVENFDTLLQEK VDSMNEDMEQDLRRKLSILAAFDFEAACRLKAWNDLGEAVLQADACKSAQVYEIMADI ILCSDAPTAIRINILKNIINGAWSLDSLNATKLAKYMRCLFQIAISDNPDIAEQLLDQ IQNHAEDAAESEQPYPSEELEWVATKAFNHAVDLYCTGDDENCKNWAGRALNIAHYVN DEGNLEKLLQSKLLGLKFDS LY89DRAFT_583627 MERNGSSNGQDGKPQKSPPASGASKSPKKRRKVNHACVYCRRSH MTCDLERPCARCIKRNIGHLCHDEPREPESATKKSKSQHSNSAAEDDGSPDQAQASAD NGMSNSFEQSQDHSQDSSLTLGSAALSQGGPLQLVQPSPVSGIQANALNANSNQFIGY SNDWLGTQSQFQDMHNYHPSYMFNAPEVTNEYNLLNDFLNNSLLDDGALLPDDTSNLF PDQSATMLPGASNAGPSGTQPSSLAPGNPLGNAISRPTSVIPTDKAREYYLQAADPTG NDAPEERMQRLLRAKYDAGMLKPFNYVKGYARLSAYMDGHMHSASKQKILRQLDRFRP KFREKVQALTDIELIYVEMWFERSLMEYDRVFASMAIPACCWRRTGEIFRGNREMAEL IHVPIEKLRDGKIALHEILMEESLVNYWEKFGAIAFDSTQKALLTSCSLKNPDDKSKD PTIKCCFSFTIRRDDHKIPSLIIGNFLPQDPVKR LY89DRAFT_42537 MAPEPAVLKRKRSRPSDWWANGSIIASTPQRLPVPPPPPPAQDE TELGPAKKRGRPSTGKLADRGIQDAPAAANRGRPAKKQRVEDVEDENGGDDELANDKP VKKTRSSATGEELRNIAGSSKDGQIGQRAKNKRGYKSTGEKERNTEDATEETSGPKRR GRPAAIQLQDQVVASETPLMGQNVPKRRGRPSASAVVEGAEEEDPVPVRNPARRGRLS NKEAETRAIAQESAQDEIEPKRRGRPPATEKIIEGVNDQEHAAQERPKKRGRRATIEE AVERPDEIPTRDLDGPKKRGRRPVEATNEGDAEEPVVPAASRRRTRRSDAQILEEDST HLEKDHEPERGRRRSRRSEINIIDVELTAPRAPSEEERGRRRTRLSDAQSQQVPESSA MKKGRGKEQKASRRPETTTVEIESASSKLTKAPNKKTGRAAPSKPARQDLAKSKRSQP PTSSFNESADPKSSQARRKSSKRVSHPEPAARKRKEIENLESTPNKRRRLSKEIRQEE VISKPEEANMLYQRLQPMSRKVSRQVIDSKWEPLPSACVEQVSQFLQDVQRPVVVRLN EERKRIQASTALQMVSRRLTTKISKGLPFPQATRSRREDDFDFEKILDHNRALEAQLT PALHGNELLEAELAKERAWLDADKNVLADLEKNAKSEATTRKQAARKMHALLQSDESL LETEALRDDIGMEDKDQQSLALDLRVQDDENLQGLVKGLHGHVDTIRGNMKQVDGIAE AIVRSKAAVQATLLDRLSNTQYGEVILGSD LY89DRAFT_684155 MTMDSSTVKLAELLRHPDDLDKIPAMKLEYTRKKAAVDSQLRSG LKEQLEITQSGMNGITDGQRTVQLIKEEMMKIDKLCAEAQNMIRDFPNINLVSQTHRN FSAVETMRKNLDSFNDRVGQVEAMLRVDDADPENMPNLLAVHYELTQLRNIRDDAMEQ IARADDLSAQSTLEEYFSKLDNAVEWFDEHVGTIALNLINVLINGNNGLVVRFAIIIE AEEKSDKRVKALQEALKDHKEMAARFQSITDGAKQVRGYKEKFLQSIEAHAQEQVLET KQAFLEDPSRLEKSLKWFFNNLNAVKQGMVPLMPKKWRTLQTYGKIYHKLMHDFLVGM IDDPDTSSANMLSILNWPDKYYSKMAKLGFKEDQLEPQVLDGRAAELVREFRQLIIRF LDQWLDRIFKTEQKDFAERNTAEGSNLDADEYGYFRTKNLVDMWRMLAEQVDAAGNSQ RMDVVEGVVDAMILRLKSRQQNWQKMLDDEAAKYYGNTPELEGFQALQDWLVATANDQ IACIDDNEEEGKFAYLASFKQKFEPLVSAQYLERADNEISNLRDGYVDLSTHCIAKFA QLIFAVDFKAVMPDFFTPKWYQSTAIKQMIVTFEEYIGDYKTVLHHSLLDIFIEELAD ELLIRYLSSVKNKGAKFKRQDPFKDKIYNDVLTAFELFNNNTYLSPDVAETIKQKWRV TENFLTLLEVDKQSVPDAFADFKANYWDLQLSWVEAVLRSRDDFDRSMLNAVKARAAQ IDVQRGLETIMSKVK LY89DRAFT_583116 MSYFRITLMRSGIGLPKRTQGVLKALGLRQRMKTVFYPVTPEVA GQIMKVKELVAVREVDRALTKHELKAERKPDPGFYMERAARR LY89DRAFT_732911 MKLRSIIKEKHRIEKVSNHVTNSWDDWVPQDRVRKFTDENKELA AQLHNQMKMLQQKPAKSMSKKGGRTNGSDFSSARGSEERHASAAAQGGRGPRRTRDYD LENTSNMDHTSAPKAISNADHDSDTASDTASYTTEEEDWGAIGAEGLLRRAQGHLDTR PLSIAHPIKTQSLVEEDNEHRISSNICPPNTPSDTTEVEDWGAIGTEGLIKRAEGRGY TRPSSNANLPKTQAQVEQGLHNFWDDESKISSSICASPSSISSSSNDPSSTSPSHTAV PSSAPVAPSSLPSTSNQDASGLDHSHNEHSSPISHPLPSLRERLNRHSSPKGHSLPGL RERVNEHSSPIGHSPHLHTIMDPDSESELSDVDESIIDQYESETRATDLQAKRELIEE EESVARPSKISQAKDAATDAAQSSRNSLRSDGQVALPAASTSRRGKAVLNLNPHADEN HSGYLKVTRKNHWSNPGTDLYDIKRMLMSDQCLAPDTLLQRPTPDFKYIQDDGTLRPA PHYDWNAKNKEKDKKKYTGHPKYAAHKRNNTATRENLLYYNFMQKDHNIPLAGMLDIK QSQTSEVRDLAAMVMRVRTYDLTDAQPQEEAFNTRPSIHLPIPDHIKAILVDDWENVT KNQQLVPLPAPHSVESILNDYLAFENPKRQAGTHQADLLLEVIQGLKEYFEKCLGRIL LYRFERQQYFEVREGWNMADGELAGKTAITTYGAEHFCRLLVSLPELLAQTNMDQQSC NRLCEELAKLTIWLGRNAFTYFRSEYETPGPSYIEKARNS LY89DRAFT_732912 MAPSRSAAATSPYAKDEKVLCFHHDMLYEAKILDVRPTEDKENP SWQYKIHYKGWKNTYP LY89DRAFT_644230 MAPTEATILSTFLLPPAPLPSIISLKAFAELFPRSQQSSPQVKA LYRDLQQQRAKLTDAVGRNIVAEVKRGIGQRRVVVRARRAAEQEDQDDEADVENALFG SSSNLPVSKPHTLNSILPELSSAIEDVEDEIRRLDEEAETLFEAMQSTVGGLSDLRYG RLANSQLKEQVLEGLERLESSCEKR LY89DRAFT_42677 MRFSTTTLLALPLLAAAAQTDSPLEQAKEQAQYWFDKISSYIPN PNKVHTPSAAAAKAGGKTLKVLTLGDWESTLRSTVKPASTKSEETWVLVTGGNKTCFG QCGQVETAFNETAALWAVNPTAPHLAYLNCEDQPVLCNSWGAGPPSLWIFDIVAPPAP VECRVKTLNISTTAVKTFTDLNSPEAKQEVKIYDGLFHPFDGAFAKYGVAVPIGYIFW IFAVVPSWMFMIGISFLSRTMM LY89DRAFT_614849 MSLQEKLDKIRSPKLQNQHQTGIVLSAVEDTLRDQKTEASPNGY FAALLALLRQAVSTTGVNKDLATSVVYLLDVVAPYAPQPLLQSKFSEILTSLAPALTF AEADAPLLRPSIGCLETLLVAQNSKAWELSQTQISPRRAVAGLLALAVDHRPKIRKRA QEALAKVLKNPPPSLSLDHPAADMCAETALKSLVDLATRSSQAKKQKKGGATEHEPAL IHALQLVKTVASASGGWPAKKIEALCEILLNISRSSNEYMTMASFEVFEIIFEGMAAD EASSSKLPRLLEVISELRPSQNDSQLLPPWIAVLSRGYDVSAQIEPEETFSKLPDLFN LVSAFLASSSHNIRISASECLISFMANCVPNSVLMEPSIYDEKILEKLAKAAVDLLSV KYQAAWIETFNVMGAMFDGLRWMADPILADVVKTIGELRGNDSFAGKKEADETIGKAI RAMGPQSVLKILPLNLAKPKPGQPGRAWMLPIMRDYVSNTNLAHFRSEFVPLSEIMFQ RVIDYGGAEKTMEIKIFETLVQQIWALLPGYCDLPLDLTDSFDQSFAELVANLLYKQT ELRPDVCRALQTLVDSNKVIATIEAEDEDLMLQSRVSKATAQRNLDHLSTFASNMLAV LFNVYSQTLPQYRSYILQCINAYLSIAPIKDIMETFERVSTMLASAITETVAQPPAEK QKQKEHKAVDKMPPTSHTLMDLVITIAIYLPRESFATLFNIASIIIVKNDDPQLQKKA YKLIPRLVESEVGKQALRERSAELQQLLLSSAEKVSAPAKRDRLSSISTLIPFLPSDS LHFIPSILSEVVISCKEVNERARTAAFDLLVLMGEKIASSDGAMIENSKVAHMAEDAP AVPATLEEYFTMVSAGLAGNSPHMQSACITALTRILYHFRESLQVETITELVETMDLF LTSNNREIVRSVLGFVKVSVISLPSNVMLPRLKTLVPNLMVWSHEHKAHFKAKVKHIL ERMIRRYGVDVVNKNCPEEDRKLIANIRKTRERNKRHKDAAKAAGAEGDDDEGETKRK SRFESEYDDVINASEESDGSDVSDNEVLGKSKRGAKKGGKTYIVEDEEEPLDLLDRRA LANISSTKPLKQKTHVRTKAKMDLDGKLLLGGDSDDDDDAMIIDTPAEKKGDEGGVDA YVSAIKGRDAVQRGRGGKLKFSNKRSKDEDDEMDVDEDDMKAVKKQIGGNSRDSFRGR GRGGRGGRGGRGGIANGRRGLGEEKRHSSGGYRGGGRVMKSPRGKGVRR LY89DRAFT_583657 MAGIIDTVKSTITENFGGTAHSLADPEHKFDLEKDVPDLTSKVA VITGGSEGIGYGCTHTLLSHNLSKLFIIALSPEVYSSALSHITSTLGSETAKKVSFIQ CDVGDWSRIASVAKEIASQTDRLDILINDAARGIMTYELTDYGVDRHMAVNHIGHVIL TSHLLPLMKSSAGNGNTVRIVTLGSNAHQATPSDCKFASLEELNTDLGPNGQYGRSKL AQMLYVRYLSKYLTAKCPRILANTVHPGFVDTKMSTEDIHEPYPMLGYGMSVGMKPFK KDQWEGCSSAVFCATRTEESGRYVCPPARVEEGSECKLSDELMESLMRLTKEVVKEKM ARESVEKGCPLEFV LY89DRAFT_42725 MSMDGVTLLPQRKPSSTLRDPPSSASSFSAKAASPSSSASTPRS SARPSFATRTLSAPGNPNALSLEDDADTQQRDSVTSIRDDPFFRNYFSPHSVSISREL RSATYSQMMDDEETGPLLPAGRAVENSVNLPPQSRSGIQDINIAVIGSTGVGKSTLIM RALGLRALPTNIASSLRMSVDNVVYTVSLIELDLESFDINPDRRIQWPKQINGHIVPR MDGALLLYDVMNRESIQELPQTLNGLVNSSLPTILVSCKCDNPENTRQIDVESMESAC LSCVEAVKTAANVPETARLCLSSMLRAVMTNRSTMISTTESSGARRRATSSANLEAPP DASRPLSQHSKHSRASSDYSLLRGFPSPPPVSTRGQPPLSRGNGRTNLHHQIILPDPH DAPPLSSHPAFRHDSSYTSSDASNQLTTSGLKPRSPLPSPGTGRVTDNSFLDMEESDA ESAYRYSDDIPILQRNDDSFFDKPAKVAGVTFDELVDRLLGQNMSRADNNFSDIFLCL YRKFAAPAELFGAIDARFERIAEDKNTHYLTRTATQLRVITVVAKWVATYPGDFASRS TSAKLECFINTLSSEPVFAAAALEMRTQLNSRVFEDDDTGWARTDADVEHEERLSSDS APSSPRRRPEPSGVEIEEEMANLHMEDEEGADMVRKESRADSTASTRPLSPTQSLSPS FHTVEDYEKEAATMVPKGTLPLTKFRYHIFMDISDDDLADEMTRIDWVMFSSIRLRDF VRHVSLSLEQKDRCRSLTNVNRMISHFNHIARWVANMILMRDKAKHRALMLEKFMTIA LKLRQLNNYNGLAAVLAGINGSAIHRLSQTRALVPQDVQKRFARLVILMGTQKSHFAY RLAWENSSLPRIPFIPLHRRDLVSAEEGSRTFVGANGERINWKKFEVLSEVILPIMKS QVVPYPNLAKHEIARELILDCRMPVDEEVCFPSFSPLCLRGWGKWLM LY89DRAFT_42744 MAKVAGTLPPPPVERPALKGPTRSMTHPLRDPKTSGPRRKVKSG EPFDPEELSRRLQAHIVEQKIKSEKRREARAAKAAAAEAALHAQNGYHHVPATAAASF ERTTTQAKPLKMHKLAQPVVKAHLDRVSIENERQPLTLLQKTVAMDQAMLDAHLLRNR NQFQWTQEMEEAAEADSERDLYKVPQRTFNGEFAHLIGNHKKGAPRPMSTGDLFWEEE ASSPPPVPKQKVKPAPHEIVNDHRNDWAQRDDEMENRKKEKASPFLKKMESSWMLIGK KEKGVKPERRDEGIAGLGSSPPDGKGVKGSFLARFKRHPS LY89DRAFT_732919 MSIDSTNNGDAPKSSDEAATSKPQETIAAAEQPAPAMPASQPEQ SSTEVNLPPTKKLCGVCNENEGKYKCSRCYLPYCSIPCSKNHTLNHPPSPPKSSPSPS PQPASLPHPTTNGRPGTTTAPTKTPFAALDTSPDLRLLFTHYPKLPTLLTRIHTTTLP PLSSYTSSGLPTTSNQKQTWTSDRGLQRGVEALKKARNEDGVDGESVREYGRVVLRIL REEEEGGGGVTAEELVQREVREGEVGIVEMLLNGDIGLNT LY89DRAFT_42711 MASRKIVRAAAPLFTKVRPAKPAVPAHYSAARCVHQSTRLPAMA ASNARTRPTLQPLHQPSTMVKPPAVSGSRSIFIQTENTPNPDALKFLPNHPILPAGLS SPFLEYLNPRSTLAPPHPSPLAAQLMNIDGVASVFYGADFITVTKSTDANWAHIKPEV FSLITEAVTSGAQIVNVTENKSGVDGQEGQEEDSLSYNENDSEVVGMIKELLETRIRP AIQEDGGDIEFRGFEEGQVLLKLRGACRTCDSSTVTLKNGIEGMLMHYIEEVQGVTQV LDQEEEVAVLEFAKFEEKLRQKKGVEEMM LY89DRAFT_717824 MRLANPDAEGMNSKNRIENEVALASTALSAFEPHVVPSVYGWGS AAGESSQGWILQELMPGTPVDEAFGTMSFPQKKQIFAQMAKLLNTLQAYQLPESITGF GASFKGRLEVALKNADGNPYIQGWRTNGVMGRLDAFVERGIPAQFESLTSKQDKVIVH ADFTTNNLLFDAKSGRITALIDYDFACVSHPSYEFLRSFDGAGGQFRGWSGDEASDQT ALRDAKLHGFPSPLSQATQDGVEWDVAKAWEDELEKLDTKRPKTIEGIDKVADVDAIL RTILPWRITNSDIVRLQQEEVIMQCRNDNEAQLIRILNRLGF LY89DRAFT_43029 MNPSKHSNNSSILIDKFNGRRSTPDSEALASSDDEVERPENPHP PAQLQKPTRRASWLNDTTQAAATQPRKGSFASSTMSPTASHPSTPSAESGTWGSHGQG SSTRGHTGSGSFPWPSGIWTSESRKEPPSRLTEVLPSPTSVQSPSSSTPYFSEASSVQ KGPPSASFFSAEPASSQKPSSSTSFFGEPGPLQKDPPTIPFAIPLHPTPKTYRSQSYS VGQLDSDAAQVPTNPSGVPVFTGRGRQLHNSTGLQHRPSRPSMLSEMSNEGGLSNLKE VDDDDDESTTDSNHGVALNMNDSRTIEILARENALLRQQQLQQQQHNSRIRPRSSTSN TYSMASGYNVPQALPEESDYAIDELDEINELQDITSKGLLARRLSEYGTGAQPRLSPY VSLENRKLENVKRAYWQSSLDFGGLNDIPQSRRHSFADVPTRQGSVSSVGEPISAHEA ALQESLSPGQNRYQDGSGYGLNTHAASYFGGPATLPRNVESYGSTTYQQPSAYPFANP QQQYTPRAPSPHRGMYGVPQPRHNQLLYIVLFKCSRADVFYVQEGTGLSVKPGDLVIV EADRGTDLGTVAKDNVDWATAKDLKEHYAEEHYKWLMMYSQGAAGNSDGTGAGLMAAS NGLQGSAVGGMGPPNQHGMQEPNPGEIKPKIIKRLAQAHEIQSLRDKEGNEAKAKRVC MQKVKEHGLHMEILDAEFQMDWKKLTFYYFADAYINFNSLVTDLFKVYKTRIWMSAIN PASFASPSLGLQAPSGIGPGAVGVSRSTQSERRPQPADQQATYGVSQAGRGYQNAYTQ PFSSNLDRPSMPPTAFQPSAYTYGYSPFATAPRNMGVNPSGFVAMDPFAAFQVPAEYQ GLPGRFPSPHGTTPGHESGEFGRSGGITPNDNWVASFQGLSMGSR LY89DRAFT_781315 MIKTTSKRKSESALPSGKSKQRKTSAASNDEEEAGSSQESDQMF AEEKTAAPKHVKKVRKSEPVARVAQNDEYEEDEGRMESQQFLALVEFESKKKKRAAKA ALEYMERFTENIDSTNDGLKMRLANLAAASTNQTTTFLKNYQNAYGASRPLPSPPQDS DTPPEDLCFVTLFDHSQSLIDTARAIITSFETANEKTEKCEVAELLSSDWDDRLDSAA KVLAVGCNVGLEKYQALLQGAGKPVLEGEEEEVYLRALYGVDGEKAYEEAESEGVFEY GWGKVAKKGEKGLRKFVKFQRVGGA LY89DRAFT_43090 MVHISALLGLLATSAMVAAAPAAMPTPTAAPDLAKRASCTFSGS SGAAAASKSKTSCATIVLSSVAVPSGTTLDLTGLKSGTKVIFEGTTTFGYEEWSGPLI SVSGTSITVEGASGNVIDGGGARWWDGEGSNGGKTKPKFFYAHSLTKSSITGLNFKNS PVQLMSINSATTLAVTNINMDNSAGASLGHNTDAFDVGSSTGVTITNANIQNQDDCLA INSGTSITFTGGTCSGGHGLSIGSVGGRSDNVVKTINILSSTIKNSQNGVRIKTVYGA TGSVSDVVYKDITLSGITKYGIVIEQDYENGSPTGTPTTGVPITGLTVSGVTGSVASS ATNVYILCGKGACSGWTWTGNKVTGGKTSSKCENVPSGASC LY89DRAFT_43087 MAMQQPTARISPRSRVFEHGVPPSLRPILRAYVLGYASSTVPRI MTLALLYIGRRGKNTHGKSEDHVLVSLAKILKGGLEPQRFPTFCAALVGGSSFLEIPL RRFFALLSDKLSQVTQLRLAKWTAAFIAGWFSIKLLQSKKSEAYVEILYHETEHGRVL RPTHFAGRTLDLTLFACHRAADVIVGELWSQRKDRRIAAQSWTRVDTLVGALTDPAIF TSSCALIMWAWIYTPDRLPRSYNKWIKSAAAVDPRLVEALRKTRYGEMKYGLETGQAH VLQDMCKKYKWPLDYGDPVKSIPFPCEMVHMGTGKNCEYHAVSRFKRSFVWAISTYLP LNLILMARNPSSKGFKVALKSSAQSSAFLGTFIALFYYGVCLGRTRLGPKIIGTDVAS RRKIDSGICVGAGCALCGWSVLIENANRRKELGLFVAPRALATLFPRRYLPEHQWRET LAFAMSVAVVFTCVGERPERVRGVLGKLLHRVLIQ LY89DRAFT_644257 MLAVQRPSMGSSKPPNLEMPFGYSFDTSTSHFPIPSPTAPAPGP SLLDDNESKFLDSFFDGVSNDHFNYDFFNTAPDGSELGMGWDELPPTFMGTTSSFGQQ SQQAGNHGLPAMNYNEMNPPPNAGPSIPSSTTADVIAAATLLQNGSNGRSQSLSDGTM FRHNPPATPTSQPRAMSMSQQIPTRPQPFQQRSNSDDYMRDNFYTEMVFGPQSDGSMR QRGVPQKVDIRWGSDAGFASPQGFVAPAVQDDVAAVERSHMHAIEQAFAIIPAQISSS AETTRPSSPARLHETNSNSKSHQRTKSIVKEEEDDDDQDSRPRKRRKNKAPTTDDADE DDNESPISSHPKQQNTKKRKSLKKGISDSPTADQESGHKRRKSTGAAIKPTRENLTED QKRENHIKSEQKRRTLIREGFEDLGELVPGLRGGGFSKSAVLIMAADWLEELIQGNEV LQARLDQMEGRG LY89DRAFT_614870 MGALKYVEELQKKKQSDVLRFLLRVRCWELRQLNVVHRASRPSR PDKARRLGYKAKQGYVIYRVRVRRGGRKRPVPKGATYGKPTNQGVNQLKYQRSLKSTA EERVGRRCANLRVLNSYWINQDSTYKYFEVILVDPQHKAIRRDPRINWIVNPVHKHRE SRGLTATGKKSRGLGKGHGYNKTTAGRRKTWKRHNTLNLQRYR LY89DRAFT_644261 MPPWSSRLLPGSSRNELGPNPVNSNATSPGRSRLTETEILDNAF GIPSLPPPPHASFGASNTSRPTRTPSHGRSMSHPFPSLFSGKKKRQGESAGAIEFESG DDDTVPPSTARDHVQSPMAKKVADKDLMTGKCMTCDSMVRWPKDLKVFRCTVCLTIND LVPVILEARRGDGHRAPIPLKSLVRPGPGVTHRVAPLSVERTRQIIDQCITTYLLEHL KHDDGSASSPPGSPTGVRSSSERWRKPSEDRLSEEVQTRGPSRTRAIHLSERASNDAD DSEEPDNSLVHDMSRAHLTSYSKSSPGSFFAEHMEQRDRRQRSSPSIDATRETPNRRE YVNGAEARHPRQARPPRNILKPLEDYIVACFGSFECINTSFSTVRPNMAPRAASEGNR RASPRAPIERQARKDVQMSEMDAKTLLLGDFAENGSWWTGERSNVRSPERSRTYRAFG NDNAELVTVKSPRIDWTEANDWYATVVNAGKAWRDILNGLLFSDTDNLQLRKPSGGDI SEIEDQIMESQIHTQRVLLKVTESLLKRPGRPLTEPADIRFLLIILANPLLYPTQTPS IAKERSRSRSRSQDRRAPEMVDSLNGQKQKMQLQSPSTLNVSKGNAGQHSGIIKRILG LLSNVSNECHHHIVSWFARYSDTQFQKTTDLIGSFVTYRLTRQHGKRREVETDPTAGL VPSISGPGRTTSAALHAALGTSSQPAKKVDKKPKPVVYNDDWQIKAAARVMALLFSAN NSGMSRRGDTRLRPTDFGHSAGLAARQRAHNHGQIIPTSDFYNTLLDYSDLIADFEAW ESRRGKFAFCQYPFFLSIWAKIQIMEHDARRQMEVKAREAFFDSIMTRKSVNQYLVLK IRRECLVEDSLRSVSEVIGSGGEEIKKGLRIEFKGEEGIDAGGLRKEWFLLLVRDVFN PEHGMFTYDEDSGFCYFNPNSFETTDQYFLVGIVLGLAIYNSTILDVALPPFAFRKLL AAGPPAAPGATSHAKPSMTYSLDDLAEFRPALANGLRKLLEFDGDVENTFCRDFVADI ERYGQTTQFPLCPDGEKRAVTNANRREFVDLYVRYLLDTAVTRQFEPFKRGFFTVCGG NALSLFRPEEIELLIRGSDEPLDIASLRAVSVCENWGVPNPAETEPVIRWFWQSFQAA KPGDQRKLLTFITGSDRIPAMGATNLVIKLSCLGDDGPRFPVARTCFNMLSLWRYGSK QKLESMLWRAVYESEGFGLK LY89DRAFT_781321 MPSIVKRMRALRAQLLAVRLGPGAAILPPEVTRIHMNFAIGVED GHYGSRKFWRNCLPRLKYHNPAIPMTVSRTADQLGPALMTVHFISAAEAASTKPEISS TTSKHTSTGPVPESKAGSSTQRTETINMKHREESEILEQLLKLTRAKVVTPTPEETRQ IREMEEQNARSARDAAMMQAENAKRKREEEILRQARGTIDTN LY89DRAFT_614876 MSKTVRLAAKLVKQVGTPVAELPAFLCPGLLRTAQSKILQSHSK SLGSPLISQRRCIASITHTSTQASAADSRIALRRLPQQCIGCGALSQTVNETGAGFYT LSRKSVKRYLEVSSDHKDSAEDQIVRAALSRADVSSPEINLGGFVKPESTIEPPVCDR CHQIRHHDTGVSIHHPSAESIQDTIFESPYKYNHVYHVLDAADFPMSLVPGLHKLLHL TPLRSRNRRSKTGRFYHGRKTEVSFVITRSDLLAPQKTQVDSMMPYLRAVLRDALGRN AKDVRLGNVSCVSAKQAWWTKELKAEIWKRGGGGWIVGKVNVGKSQLFHNIFPKGRRE RDKLLGKKFAPPIFTEAFDEIQEINKAPATSRDHGQELVATSGDEVLDVRDEYRSTEA DSLDTSSLLPPVPAEVDYPAMPLVSALPGTTASPIRLSFGNGKGELIDLPGLSRGDLE HHVQPEHRAELVMRSRIRPEQKVIKAGQSLLLGGFIRITPTTPDVIILAYAFTPIHPH LTATEKAINTQMHNGSPNVTNISLPETAEKIKSAGTFHLKWDVTKQRAGPVTRPEAAG IKPERLPYMVLATDILIEGCGWVELVAQVRKRPGEVEPREKEEDKWESEASQWNQDPD PSWPAVEVFTPNGKFVSSRRPMNAWLNIAQKPGEARMKGRPRRSMKGVKKVEKKRGHT ATL LY89DRAFT_684170 MADFKPNADIPKHEMVYFPQMTTALPSSSGEFRKVLFTGLYSQV VLMTIPVGGDIGDEVHTVDQALTFTSGQGKATINGKDQDVTAGDLMVVPAGTQHQFVN TGPTPLVSVL LY89DRAFT_684171 MQSFPRLVTSVARKDAAGVCSSCAKGGGRNQSTPFFQSSMIRSI SNTSKPRQNVAPTMEQLRAPFQKKNSSTMYYAFSIIMGTVALSYGSVPMYKMICQTTG WGGRPIKAQGHGGADGDPAERLKPVTGAKRIRITFNGSVSDVLPWKFTPQQREVRVLP GETALAFYTATNRSKDDIIGVATYSVTPAQVAPYFSKIQCFCFEEQRLNAGETVDMPV FFYIDPDFVNDPNMKGIEQVTLSYTFFKARYDSEGHLKPYA LY89DRAFT_684174 MAPCLEFLYDLESTMSFSTSATAALQAITREVSMKDLSQTNSDT VMHDASPSFSQSREETQMQEEDEAASFEEVKDERWKQFSKITPFLAVPPEIHLKIMTL LHPIDATCLSLVNKYIYELSPPFLNPHPMDIGAPFSTDPCPKVEGCKHCVPVLYHPAH CELHYHLRSFMPKELKFCSGKCHRYTKCEQQGYSDSSEICGECGTSYRRRYERGRRML DMMRPGEKQIRLNKWYNSTRST LY89DRAFT_644274 MASNLNKKGPGGHYSGANPIPNIQRFVESLDADKKERDQRINEQ MQNKNNSEAVDHVNGQKAGVPGSRKQVTDPVTGKEVTIEDVNKDFMKSVDSPQLSVPN ANIGKQTTAKTDPTQSGEEYRNNQDITAPPDPVEPGSTSDVPIHGEKTNILFHPTPSI SYEPMYEAFEKKTTILAGGILIAIVVLGKMFGGALYGLVPLGMCIASGVFLWMKEVIR SGREHEWESEKVRGKTATANLIPESVEWMNTFLGVMWGLIDPDLFASVADTLEDVMQA SVPGVIENVRVAEINQGNNPFRILSLRALPDGHVQELKDDIHRQNEKNKDPQELAADE EGGDYYNLECSFAYHAKPSSGNTSEKAKNMHMQLVFYLGIKGLFGVPVPIFVELQGLV GTVRLRMQMSPEPPFLKALTFTLMGLPKVQAGCVPMIETGINILNLPLISNFVNYAIG AAANEYVAPKSMTLDMGKLLQGDDIQKDTEAIGILWIRIHRATGLSKQDRRGSKGGGS DPYITVSFSKYAKPMYCTRVIQDDLNPVWEETCALLITPDLIKVDEQLSMELWDSDRT TSDDIVGKVELSMQKMIQHPGKMYLQNSKLRGMSEDSDMPGELHWEVGYFGKPHFRPA LRTHGKDVNLPDQLRDHEELQDDRGAIDNATEDAVVHTPPDPLWPSGICSVVVHQIVN LELQNMKGSNGKRKGREYEPAAEAGENKEEEHKKLPSGYCTILYNDELVYRTRTKVVS SKPIFNAGTERFMRDWRSAIITVTVRDQRMRQHDPILGVVPLKLSDILQTSSQVTRWY PLDGGIGFGRIRISILWRSVETRLPPQQLGWDVGTFEFMSDKILAIGYNSTAKLKMRT GGSSGSIGRTQCRKTEEGDGIYWDIAKADGKNNVRLPVRYRYRSPVVFEFHTASKRHA DAYAIIWLHHLEDNKEENISIPIWKTDKGMRLTQNYITEENFKDIPDIAIEEIGRLQF RGRFKAGTDEDHSKFVTDNDSRETQETWEACHDEGVRDNMVTKELPPAVQKLHDQSLT QGRDVLSQADEKEKQKWLSKDGTDWSGAFGQDPAQYADERGSKRTNANTSSSGDREAP LNGNMLDGDEDNDDDGESDDSDSSSDLGIHDAENDDHFEDEQANSNSTEGQNSKSSIK QHKEDKKGLHRKQRGLMQWKPMRNLAFAKDEAKFAVKRTLKKGSLQGRQPDVETET LY89DRAFT_781327 MATQFVNCRQCISGTLISRPLTISSSGLIIPNTPLPPGTQTIDL QNAIIAPGFIELQINGALGFHFAHANTPEIYTAGVQKLARFLPQTGVTGFFPTVPTVS SRVFKQVLPHLAPREEEGAATVLGAHVEGPFLAPSKKGAHDASNMFIPSSATLEGVYG EQNLKNSIKVCTLAPELPGALEHIKKLKNEYRVSVSMGHSAANYAQGVEGMKAGANLI THTFNAMNPLHHREPGLPGLLSSPTPPYFSLIADSIHLHPNIVVFAYRSSPSHCILVT DSIELSGLPDGIYPGHAQIPLQQQKTGNKVTILGTDTLIGTCIGLDECVRNLMAWAGI RVEEAVRCVTENVVKAVGIRDRGMLEAGRRGDFVVLSEEGVVGSTWMAGRKIWERA LY89DRAFT_583188 MQSSSDLENQYDKVSLDLDGPNDPLLPRSGRSSARVCAPLRRSL EVIELDDIPPIIKSNNGNVFWADERCGRRVGLTATEARLFLKLLQTDEPENYNYDEFK SMFDDFGREQKRLEDLVHAVKWWNVPMYRQRSIWNARIQWLKRMDEPRLWLLYLMARR RRILKKATKKVLCRLKEKRRVRMALHESPTRGRQTQPTTQQNQPPDQRIMINDETQTS ELQLDIPTPPIKKGPRASFASEASQTSDVKLGVIRRIRDSLSESRHQDSSHSHNSHHS GSRPRHSRSSHGHCPVFEDRIEATREHIKKQVEIIMPPQKPYIVIGWFVHSASDPNER IMQFDNPEELFKSMRKGERNVRGYRRFLSLRGLRGFGLYKCDISRGAHIPLILNASQE AVLSQMYLAYNASYRHHDEDVARAWQGWVYKNLNDCKNNPLEGRYSLQLIYDWSSYRL STVVAIPLLLSLAIGFWYMNGRGDVVTAWTLALYIVTAAAALIALMAVIGGLKDI LY89DRAFT_746513 MSLNTAPSSPPKRPRLSLQIKTPAAPQVLGKSVTALKADIDPSS PTAFNTLSNAYAAAIENSSPKTSRPLSDFRTAKPTSLRLQTTNVNDADAGYVHPSQRT QTPGPFSITYPDTPTSAYPTTTSDEPETEGGLKPIASATSFTFTPPQSAGSEQTGLRV FTFSANAPSKSSPSTPRTPRRRMTVGSQYQIAPYTHPRSLHSILRNSPLPPRATATPA TPSRMSMRIANRAAKKVGYNDPLEQTITTNKYVRSHIDLLAEESPYSAIDPEAEKLGI LDPMVYTGDETRDGGQTPGPFEEMRRRMAESELETPGARKRKRREKKRKWEWTITNAE TEGEEEKEVIGRTPLTAVMLADTPITAIRRGSSVSGGEDSEMSEAEERYVPSVSEDSE MSEREDWGGSDFPQERSSTASTEFKIHTSRFSSQELDTESDFLEERRVSNAISDVICL GSGKFLRYLVLGPVFPLAKQK LY89DRAFT_43440 MAIAWRPQDEFNNLSLSSGANRNTIRRGQHSRIPHRLFSSNPTP FCVTLTFPTNSENILFGIHEYAFLRRTAFLISSSCIMLLFASQRRPSCSQSQYASRLI ECVPNSQQTGSHLTPFPPAAVSCFLSTSQISSSKLPIMLRSGRPMIDTRVCWRVAVAL FLGLDSILSGGPAGVKIVADPVLLVSAACMFGAWISQNPRDSTRRLPPSSFCCCKGRP K LY89DRAFT_781330 MLGTKSAKKHDSRIIIHFDYDCFYASVFENENPALKSVPLAVQQ KQIIVTCNYEARRRGLGKLQLITEAKKICPDVVIVLGEELGRFRDASKSLYKFLEAFT WSGKVERLGFDEVFMDMTDIVDFNQSLLNPHDLSHSFLQLKRDDPTNGFPFDATRIAG HRHPNISLTSSVTSDGNAEKGLLTRLILGSHLAQHIRWALEEQKGYTSTVGISTNKLI SKLVGNLNKPKGQTTLLPPYTLSEEDARAGEESNVTAFLDSHDIGKIPGIGFKMSQRI RNHVLSRPADFDTGLIYGSTRESVTVRDVRLFPGMGPELLEKILGGPGAERGIGGKVW NLINGIDDTEVQQAKKVPTQISIEDSYIRLDTMPEVLKELRILATSLLKRMHTDLLED DDDPDSVIGKRWIAHPKTVRLSTRPRPPWNADDTRTRSFNRISRSAPLPNFIFSLKEE LESLVDKFVQEILVPMFRKLHPSPRGWNLSLVNIGVTNMVETASEDGKSGGRDIGRMF KRQEEVLKEWKVEDKDVPPDLPESDNAVQTDPSAGNQVLESIMTGSEDIIQPTQTSVD EQGVWEDDEDEDEGQEICDECGAIMPAFALAAHERFHSLEG LY89DRAFT_746517 MKALKYTKPEEYSVVTVPLPKMRDNDVLIKVKACGVCGTDLHIH EGEFIAKISVLSLIFVSGHETVGVVAAIGKDVKDFKVGERVCADNSELCNECFYCRRG QLLLCEHFEAHGVTMDGGFAEYCAYPAGKVFKLHNLSDVDATLLEPASCAAHGLDKIS PKLGSSVLMFGAGPTGLVLSQMLRQNGGCHVVVAAPKGLKMDLAKKLGAGDVYVELSR ENPEAQFEKLKADNPYGFDIVVEATGSPKILEDAINYVRRGGKLVVYGVYSASAKVSW PPSKIFGDEITILGSFSETYMFPAALDYLDSGKVKVEGIVNKTYKLEQWGECLEAMKN KSAIKAAIVFD LY89DRAFT_684183 RLIPKADRKKIHEYLFREGVLVAKKDYNLPKHNDIDTKNLYVVK ACQSLTSRGYVKTQFSWQYYYYTLTPEGLDYLREWLHLPAEIVPATHIKQQRSHAPPR GMMGGEGERERKPFGGRGGRGGDRDRGDREGGYRRRDAGGEGKEGAPSGEFAPQL LY89DRAFT_684185 MAPSTINVLLTSFPGLNLPSTLALHLSAETSISQLQDRIQERLP AHDNRLILTTISNKQLPTTSTSPISGLLASPQDDFLSLRLAVPLCGGKGGFGSQLRAA GGRMSSKRKRNQGEDNGSSRNLDGRRLRTVNEAKALAEYLAIKPEMAKKEKMERRRRW EQVVELAERREEELRSGNKGKVDGKWVEDKEEANERTRDAVLAAMKSGNFKDNLLATS HGSASGSGGSGSEDEVMAGTSSKDTTPPSEPEVKPKPQTFFGFDDDDEFMSSDEDEDE EQEEVTELDEVEEMDEDEVEEENVKEPTPPPMPAKGKGKAKAKAPAKAKGKGKGKAKA LY89DRAFT_684186 MISPTSRFLSNSWRSSIILQTQSIFNLRTQRSFSATPWRLLRTT EMTDEQLASVRIDRQRLWKDIHTTCEWGKGEKWGPEETNIGMSRLTLSDADKQARDWF VETTESLGCKVTVDAMGNIFAVRPGKKDGPPTFAGSHLDTQPTGGRYDGILGVHAGIE ALKAMNDHEISTEFPTGVINWTNEEGARFPISMVSSGVWAGAFPIEKAYDLVEVGGGK ATQKSELERIGYLGSTEASYKANPIGAHFELHIEQGPILESSGGKIGAVEGVQAYKWF TVTVQGRDCHTGTTDFQNRSDAMLTAAKLILHSHNKAFELGCLASTGILTLKPGSTNT VPGLVRFSLDIRSKDDAKLQRLEEALKEDFSEIADGKDIGGLNTLGTKGRGCTMEWQL DTDSPATKFHEDCIKCVEASAADMLGTKASAQVQRMTSGAGHDSVYTSRRSPTSMIFV PCRDGVSHNPAEYCSPEDCGNGAQVLLGAMLRYDRLRAEKGA LY89DRAFT_684187 MSDGDRETKPFKFVTGFDARFPNQNQTKHCWQNYVDYHKCILAK GEDFAPCRQFFLAYRSLCPSAWCERWDDQRENGTFPARLDQ LY89DRAFT_732944 MRPSITLRMQPTTAFRMQPTRRFMAMPKEEQSAHTISQRLRNLR KIPPELIPLGIVVGVAVGFAGYSLARKLIVDKQMRLTRQNRKE LY89DRAFT_614913 MTDKARWQATVYVGGLSHMATAADVHAAFLPFGEVADVTVPKPE GPNSTEPHRGFGYVEFEDPEDTKAAIDNMDGSELFGKVLKVNAAKPPKNVNEGLGSKT ALWEQEGWLAENAVSEEDRLAAERAKSGPEDRPDDPMQGLEGLDVAGPKPA LY89DRAFT_644303 MVRLREIPRTATFAFSPGSGLPLVVTGTRAGAVDADFSDETKLE LWDLKLDDLEQGVELQPIASISTDSRFHDIAWAPANADHPRGIIAGALENGSLDLWDA EKLINGEADAFMSRTSKHTGAIKSLQFNPLKPQILATAGAKGELFIYDINDIGNPFRL GTAAARSDDLECVAWNRKVPHILATGGSGGFVTVWDLKTKKASLTLNNNRKAVGAIAW DPNNATKLLTATPDDSTPVILLWDLRNSNAPERTLQGHDQGILSLSWCQQDSDLLLSC GKDNRTLVWNPQTGEQLGEFPEVTNWTFQTRFNPHNPALSATASFDGKISIQTLQNTN PAASQTPVQGPVDGEDFFTKAQTQPQGASFSLKKTPKWLERPVGASFGFGGKLVSFGL LPNAAGQHRASKIQISQFSVDSDVGSATESFEKALQAGDINSICETHISQAKTEEEKA DWKVIQTLITDNPRKGATEYLGIMDEVEEATNGVKDLDLDESKTEEVTEGANGSKKNS RLSTLFADGVDGEDFLSNLAATKGAKTDNPFHLFDDADSASEKQITKALMLGQFEKAM TICLQEDRMADALIIANCGSAQLFEKAQSAYLSRKTQGPNYLRLLASVIGKNLWDVVY NADLLNWKETMATLCSYADPDEFPDLCEALGDRIMETGSLKDASFCYLVGSKLEKVIT IWITELNEAEKAGIENPSGDSSFSVHARTLQNFIEKVTVFRDVTKFQDTEQNLTSDWK LAPLYEKYTEYADIVAAHGHLSIAERYLDLLPTKYPAAEVARNRVRLASRKAAPTIKA AQPTTSTRTASRNQPVMGYQPLGAPAATPARPTPSAYAPPGAAPTPMQAPASNPYAPS NNPYAPQGYQQQQQQQQGGYGGYGGAPTPGYMQPPQSFGAPPPRNTTPSVPPPSRAKN MEQWNDTPMVTKVAPAKRTPSVPPINSPFSNQPNLSMPPPAPPYGSRATPTPPPPPPK GPPMHRVTSPLAGGPPQRPSSAANQYAPQPASPAAAYSHASFPTVPRGQSPYNAPPAG PPPSNRYAPAPASQQYSQPPAQPGHIAPPPSGNRPPPPSHPYAPAPSASQNSYAPAQS PYETNTPPPSFPSQGPPPPMSQGPPQGSRPPTGPPPRSTPPVAAAPPQKAKHPAGDRS HIPANAQRMVDIFSNDMQRVASKAPSSFAAQVKDTQKRLNILFDHLNNEELLKPDTIV RLNELAEALASKNYDVAAKMQVEIQREKTEECGNWMVGVKRLVSMSKVTP LY89DRAFT_684190 MCPSCEPEAASNGNGSANGSSVGANGANGSNGADHPGFTAIETK ANPHPTRHNPYQPVGDFLSNVSRFQIIESTLREGEQFANAFFDTEKKIEIAKALDDFG VDYIELTSPAASEQSRLDCEAICKLGLKAKILTHIRCNMDDARIAVQTGVDGVDVVIG TSSYLMEHSHGKDMTYITNTAIEVINYVKSHNIEIRFSSEDSFRSSLVDLLSIYSTVD KIGVNRVGIADTVGCASPRQVYDLIRTLRGVVSCDIETHFHNDTGCAIANAYCALEAG ATHIDTSVLGIGERNGITPLGGLMARMIVADREYVMGKYKLDKLKDIEELVAQAVEVN IPFNNPITGFCAFTHKAGIHAKAILNNPSTYEIINPADFGMSRYVHFASRLTGWNAIK SRAAQLNIEMTDDQYKECTTKIKALADIRPIAIDDADSIIRAFHRSIKLGKEVDLLPN MTNAERALLAEKEKEIDIPEKRALDEAADMPTKKARNGVTA LY89DRAFT_781340 MADTPPVASSEASTPPSSAAEQARIRKERREAKIRAGGSARLNR ITGLGGGVQRDAPPQPTVSSHADPDEVDISQHFYEPQRTSRANVNSSPSLASMPQGMN DDALRQMMLGFDPAAAPGAGPGGMPQTPFASFMGGMDGMGDGPAADDPIMKMMQQMMG GMNGDGPQGMPSFPGMPPMPGQPVAATADPYAYLWRIVHAVFALGLGLYIAFTTTFTG TKIDRERSALGYSSADDGVMKPALVHFFWVFATVEVLLQSSRFFLEKGRVQQGGILGM ITSFLPEPYKGYLTWFSRYSRIWTTVSGDAMVCVFVLGVCAWLRGN LY89DRAFT_644313 MSNLTHSTGHGTGYGNATLLANPELCTLQTCDLSMASFLYLPTV PGNAIYAAIFGIYVIAQLYFGIRYRVWGYMVAMVLGLVAEIIGYVGRIMLHNSPFDNN DFLTYLICLTIAPALLSASIYLCLSRIVIVYGENLSRFKPRTYTAFFCTCDFICLVLQ GMGGGIASTANTVSGSNLGKNIMLAGLIFQLISLSLFAVACTEFFFRVRSARGNWNPR YLNIVSSKLFKAFLFGLATATVTIFIRSVYRCAELSGGFNSTLFTSDEALFMILEGLM IVTATTCLTLLHPAVCFQGAWHEANFKFRTNKGESAKLYTSDEENQNSDVRLDDMSGR GNQVFQGQGK LY89DRAFT_44275 MASENLRPVRPLHITVNSGSGGDLLDSMRSDDSPSTAGTPGLSR NLIPPARGPSAQSSRLGPGMYGSPGIAESSDSLLIPPTRTRGTPRPYQDSPVDSPSRA ASQMSSRRTSWSSEAGSRDSRGPFISPFASPFDDSRAPSRAGSDDDNVNTQTVSEKYN IMPSAGLLLFPEDVEKDDWLHNPDPDDKDREKCDIWNKRGMVNVGGLILITIGVLVLF IGYPVLTFVRQVVGIPTDTCSTDPDCLSDSFALLKNQRTGLIDSDTPKSAYSKTSHDG DKLTLVFSDEFNTDGRTFYEGDDPYFQAVDIWYGVTQDLEWYDPDAVSTANGTLNLKF DAFQSHNLNYRSGMVQSWNQLCFKGGRLEASISLPGRGDIEGFWPGFWAMGNLGRPGY PATTDGLWPYSYWDKCDAGITANQSSPDGLSLLPGMRLPACTCKNQDHPSAGISRSAP EIDGLEASVGFMGPGQYDAATGTASQSFQAAPFDIWYQPDYNFLEVYDTSITGMNAYR GGPYQEALSGVTWLNDEWYDGNAYQTYGFEYNPGASGDITWFVGDDYTWHMDHRALRP NGNIGQRIIPEEPMALVMNFGMSNSFATVFLANLAELMPATMRFDYVRIYQESGSESV TCDPEGYPTTNYIKSHPEPYANQNLTLWSATKYDWPTNSLVDGCTAAESSSS LY89DRAFT_44312 MGTILSCFQFSQSKSAPSAKSPNHHNSASHDTPHAQQTQDIVAQ ESQDLTRKLGVGGPVPGPAVPNTDTTNHNTKEGAKDKMATDEEYMSFLDKANQDPNEG AAEAKQQSNGGGGELKALDKGAKVPKVLEEGVKDKYYVSDADEPFVPVCLKLKGKGEG LPDEDAFVKLIEHPSPGDAGVEIMDVAEWDSQGQYKDVVDAVREAGKGGDVRVYRVGR GGARVEYWVVTVEGGRLVGVKVLAVES LY89DRAFT_746533 MSHRKFEAPRHGSLAFLPRKRAARHRGKVKSFPKDDPKKPVHLT ATLGYKAGMTTIVRDLDRPGAKSHKKEIVEAVTVIETPPVIVVGLVGYIETPRGLRSL TTVWAEHLSDEVKRRFYKNWYRSKKKAFTKYAKKHSESSGSSITRELERISKYCTVVR VLAHTQIRKTPLKQKKAHLMEIQVNGGSIADKVEFGKNLFEKPVEISTIFEQDEMIDV IAVTKGHGFQGVTSRWGTKKLPRKTHKGLRKVACIGAWHPSHVQWTVARAGQDGYHHR TSTNHKVYRIGKGDDEGNASTDFDVSKKQITPMGGFVRYGEVKNDFIILKGSVPGVKK RVMTLRKSMFVHTSRKALEKVDLKWIDTSSKFGHGAYQTPAEKHQFLGTLKKDIEQKA LY89DRAFT_696643 MSELIQHPPAPPNIPQQQWPAAQQMQAMNEAVWLQIGSLSEVLN NLDDAMSAYEHALRANPRSIPAMNSISCLLRTQEHFHKAVEYLQNILKLDGNNGDVWG SLGHCFLMMDDLQQAYSAYQQALYHLRDPKEPKLWYGIGILYDRYGSLEHAEEAFSQV MRMQPDFEKANEIYFRLGIIYKQQQKYQQSLECFRYIVSVPPTPLTEEDIWFQIGHVH EQQKDYDNAKNAYRRVLDRDPNHAKVLQQLGWLHHQQSNSFSSQEQAIEYLEKSVNSD QSDAQSWYLLGRCYMSQQKYPKAYEAYQQAVYRDGRNPTFWCSIGVLYYQINQYRDAL DAYSRAIRLNPYISEVWYDLGTLYESCNNQISDALDAYQRAAELDPSNVHIKARLQLL RSGQANGMPGQTSAPLPQDVHPQAYQATGAVGPPGPQWGNSAPNPPPPGPPVGPPGAN GWGSRLAEINPPPHPGNPYGDRERSAIPPPPRAQSPRQGEQMRPYPGPGSDRGPPNGP PPPPGRRSPPREHHAAMGPYPGPGLPQPPPAGPPSQPARVANPNYAGPGPSLPPAPSG MNGSGPGSLPPFGRISPRPEVRAIMDNRMPSPKSGYPHQQPYNHHDDISNSRGIEGGA PPPASALVAAEAAAAERNGDRPASVGPKRLREWEDEPSSMKKPASDENRARMEDMHHR RPSTPLRDREPFRRSSSEARRAEDQRRLDDQRRDDQRRLDEQRRLEAQQRANENYHPS EAAHHPPPHALPPNHLPPMQQGPPPPVHTPVHELPPPPPPPSAQKDYPIDDRERERER LDHPPPPPPAPIGEPERAARKMDVDEDYDDDGDEDKKGGIISATGSGPGSASGDAKAT SPTGVNGHINGVANGQTKVEP LY89DRAFT_781346 MPPRLNIPPITRILLIALVSQSLLSFAIRYRQWSEESDIVVPYI ALVPQLSLIYPWTFLTTTLVENNVFTLGISGVTIFYGGRYLERAWTSTEFAKFLLITA LTPNALCFGTLVILFAATGDMSWTLTTINGTVPLQISFLVAFSQLVPAHTVTLFRGIV SLRVPRFPLLHILGVSLLSLTPLLSVASFLLATSGFLTSWIYLRFYKPAFPDLDTAQS SLRGDASETFAFAEFFPDPVKPFVSSFSDQVYNVLVSLRICSPFSAADVSASRGDTFM QRGTPGSARAEAERRRALALKALDQRLHAATAGSSKSQPPPPATGPSVQVQPQPNVQT PQAMLGETTYVPEREGEGSH LY89DRAFT_668441 MAKEPLDSDRVNYLIWRYLIESDYRETAVRLQKEWNKEDPQQLP FANHVENHSLVAMLNSGLLYSAQHREATKNHDDGAQVESGFFGPLVPSSHPAPDQDIE NARKRPIDLEHPQNQSGPPGKKARLSNGYENGFDATPMDIDEEQNADENAYPSPEQLP SPAVATNGPEQGTQMEKTDDLSQRTNFLELSEDPSTNNTVVLHCEFNPRNPMILAAAG TDALARLWTLSSPKPDTCSDSPEKPVSADFTPLLDSNTPSSITITSLSWSSDGSTIAL ASEPTDEGTAKIEFYAIDGLLIHSFSAFDSPVVCLRWNPSNTACLAISPQDELRGCLF TVMCLASGERVTFSLPNHVLHDQYLDAAWITDDEFAVCGGTILRTFSYFQGEKKISPL RAFDTRPEDTFSKVIYDSHSHRLATASESGTVDVFTAEGQRQTFNAHQSLITCLSWQP LKAPRQLDGSERLLVSAGDDGAISIWNVLSPDAKPKASITMNSAVVALSFSPDGAFIA GGTNQQVMIWDVTETNLPRAIWTRVREAGWETPQSHDSGTEEDQFSLSWDANGERLAY GVNSRLAVIDFRP LY89DRAFT_44486 MNLSLPIRSASRNARNDESRNHFMNYTPTSSTEAILGPIREPAP LNDRLIVGLDFGTTYSGVAAVYTSTPDDVEIIKTWPGGNGITSDKVPTEIAYDFPPDA PKGTNPTIKWGFQFRPEESRLRCIKLFLDRSQKLPFYVSPLETAAQLKRFNKNVVDAV SDYLTQVYDHTMETLTRRYGESFMASTKVEFVLTCPAVWSDAAKNTTLQAAERAGMGA KSDIQMISEPEAAAVYTLKAIQPNHLSAGDNFVVCDAGGGTVDLIAYKIISLKPLRVE ESAVGTGGLCGSAFLNYRFEEHVRNRIGHQRFDEMKLKKGKCWQMGLRYWEEFVKRNF NEEEHAEVNVPFPGLPDDEEAGLDCGFLVMTAAQIKEIFEPVVKEVCDLVQGQVDGIR QKGGIVSGIVLVGGFGQSNYLYTRLKSHFNTAAPPPYSERPTHAQATALIENGSVEVM QPVNAWTAVVRGAVLRGLEGSMVVSRKARMHYGTSYATVYDEDKHQVSERYWSPLWER WMVSDRMQWHITKGETLSAASPISFHYTRNFRPGQSLIVSDDLIASDADGEPPSSYTR DLINVCTLTTDLGAVPKSLFTRLTTTRGVEFDNLDFTLEMVVESAGLAFELKVDGFRY GRVEAEFH LY89DRAFT_717858 MELWASGFNAWGQLDFEEVPGIGHRDLKEFKCILTDKRIEIVRT TLSATLVKTSAGLRTAGCFDDLIEHCISKQDNLSNLAIAGNDIVVCQSCSEQDPGRPA SENTPAADPINANESVLKQYKSFDSYLNDHGEKLRQCGGSLQVVANQCTFTLLTSDGQ VWTWGDGRYEACLGREISDERPAATPCTVEDLSDVPNGPIRKISSGGYVTAALTNGND LYVWGGRPGQSKLLEDLTGAPMPLDLEGEDVLDVAVGVNHMLILTTERKLFVVGEGSN GQLGLDEKEVPTWKQVILPLKDSQRIASVHAGYKNSLVIVEEIT LY89DRAFT_717859 MADRYSFSLTTFSPSGKLVQIEYALNAVNQGVTALGIKATNGIV LATEKKSSSPLIDPPSLSKVSLITPNIGMVYSGMGPDYRVLVDKARKVSHTGYKRIYN EYPPTRILVQDVARVMQEATQSGGVRPYGVSLLIAGWDEGIEPESEQAATADTHPDEK KPSGKTGGILKGGPMLYQVDPSGSYFPWKATAIGKSATSAKTFLEKRYTEGLELEDAV HIALLTLKETIEGEMNGETVEIGIVGPPADHLLGVEGVEGAQGPRFRKLSPQEIEDYL TNL LY89DRAFT_558259 AWSWPEDINTGNHDAFSTENYHPPGTFAEEEPEPKFESETQPED AGPLPRQRHYPPRTCRICLEEVPPTYDGLTGGIPSILHPAPKVRYVSPDLGRLIRPCK CRGSQKYVHEGCLQAWRHADPAYGRRNFWECPTCKFKYSLERMRWSRVITSTMTQIVL TFAIMLAAVFVFGFVADPIINLYLDPYETITSIPTGGPRLLLEDEEASWAEHFLKGLA SLGLLGFVKVFFAMSPWHWWNLRQTGILGGGRRRGGTGRDRLEDISWTVVIIGVVTFL AAVWTWVRSWTKNALEKAGERVADVQGDEDED LY89DRAFT_746551 MSSSIIQLECQCNKGKDSLAARYAAATPGGHFKLDESKEYAEQW MGTYPTTPSLVLSTGEELQKHLNANKEKLMGKPILNKFGADLPYLPKILSIAKALPLQ IHPDKDLAAKLHQKDPEKFGDANHKPEIAVALGKFEVFVGWKPLLEIQALFNNIPILK SKSISSSQTHFNTETLKGIVGEMLSAPDSEIVEIYETLKKTPAKDFGKHTYIPELLPR LAEQYDKSDPGNLVALLTMNYLVLQKGDAIYVPADGIHAYLSGDIVECMARSDNVLNT GFCPRADRDSIDLFTAALTFSPKNAEEAMLKPKPSDKGSKGHTKILAPPMSEFDMLVT ELGAGESETIKPLQGPGILIVTGGKGVMKAEGKEHEVKEGYVFFVGYNTELELIAESG LETHLAFAEA LY89DRAFT_706807 MHLAFPLRKSSNPPPYANRSARFPVLRRSRVQAIILCACAVGAV IFIISQLFGGGDGVPSGSPPVVIVTVLDPENYNKEYINNIKDNRIEYARKHGYVTFFP TAKDYVLDGAPDTWAKIPAIRHALTKFPYSTYVWYLDQNSLIMNPEMTIEGDIMNPKK LESLMIRDQSVVPPDSVIKTFPYIKGANIDFALTQDKEGLVQGSFIIRKGDWSKFFLD TWFDPLYRSYNFQKADTHALEHIVQWHPTILSKLALVPQRTMNSYYKGDTETGTYKDG DFVIRFPGCDQAGRSCQGEAEPFSKQWKTAFRER LY89DRAFT_644338 MPGTSRMPVSLRNTFTLKKGSSSSQLLSLNIDLFRNIIFFLFLL RWTRKGLLKLKGRGIFGTFADAYIAIRRNLYGLFLRAPGVRSQVQKQVSEAITKLQTK LVPSGPGVIRYLNLPKEGWSEETVLKELETLANMDHTRWEDGFVSGAVYHGGDALIKV QTEAFGKFTVANPIHPDVFPGVRKMEAEIVSMVLAMFNAPPGAAGVTTSGGTESILMA CLSARQKAYAERGVTEPEMILPETGHTAFRKAGSYFGIKVHLVACPAPSYQVDIRAIS RLINSNTVLLVGSAPNFPHGIIDDISALSKLAVKRRIPLHVDCCLGSFLVPFLEKAGF ETELFDFRLKGVTSISCDTHKYGFAPKGNSTVLYRTANLRQYQYYISPDWSGGVYASP SIAGSRPGALIAGCWASLMSVGETGYINFCGEIVGATKKIIEAIQENAGLKEDLEVMG HPLVSVVAFTSRSLDVYDIADAMSAKGWHLNSLQNPPAIHVAVTLPITKVWEKLVGDL EAVIEGEKEKERVRIVEGKGAKGKAVGDSAALYGVAGSLPNKSVVVELASGFLDTLYK A LY89DRAFT_644340 MGLANRVSTSWVNTKIERTLGSSRASVLRTVLLVGAGCLITYLL LLPLFYLFSTFTNFSSQTRFQSLIHEPGEDTIEHFAGSRDCGITQADIYDAPWPTSPN ASPFCKNRATLLEALSGGGRHGFDEPYVGKGCTYRWFPTPEICMILERLNAIVFVGDD VSRSIYAAFNVLFREDLVLGSLQEWLMTEEDRMKCKCDNQFIDSQCQAFAIKSRDEVK KSESGDRKGTPYFCERVPHAYIPVDTVPTSAASQTLFKELMYSKPNPWQPSPMIFSFG HGSSFDVSTTTRALDEWTSLATAAERNIPMLFLGSPAFSLSKSTGTAPDKGNLAVWQY LDEMSPVAKEKHFDVLSLYNLTLQASTADGESFGEKVALVEAMMIINWLSKLETS LY89DRAFT_44651 MSWKKSERLMDTIKHYSGFPATGVSLRQMVQFGERPSTGTLFRA SQFLSEELPIRLAHRVQELGDLPDGLNEMPSIKKVQDWYAQSFEEITTLPRPQLSKDV RDRLMKPGKTNGRPSRILTEATHNPSVERGQYSSSPWQPNGNGNGNGHDSKKAAATRR YFATVEDSTDWPPELHDYNQRFADTLNQIKRRHDGVVTTVAQGILEYKRKRQRMQIDN NIQSFLDRFYMSRIGIRMLIGQHIALTDQSHNKDPTYVGIICTKTNVRDLAQEAIENA RFVCEDHYGLFDAPKVQLVCPPNLNFMYVPGHLSHMLFETLKNSLRAVVETHGQDKED FPVTKVVVAEGKEDITIKISDEGGGIPRSAIPLVWTYMYTTVDTTPSLDPDFDKSDFK APMAGFGYGLPISRLYARYFGGDLKLISMEGYGTDVYLHLNRLSSSSEPLQ LY89DRAFT_732965 MDSQEEKVLTYRFSWDYEELHDFYNLVLAGSNDRHWIREVRIKG TTSEFDHKNMLDDAFIQLRQLRKVQVLDDKDMVGVNKYVRRDVSLRIMGLVMQALVQR ANDYHFQRDSPRINTLKFERVYWPLFSPITRASKLAIFPFLQHLDLTFEPNPDLSPES EQSYWHEELGIVLKECSRLKTLTLRFGRPPVRMAQAYTKDEIILPPNFRTLVGNTHFR ELEELTLERVVLDHEFAVDFFSMHANTLQVVNLISLWNGCDIAYSGSPIHEILIAMRL KDVQFRGKWYEYIPLGDSENVWFEPKVIWDDACRPNLTSMMRRELEILQKGGNPQARL PQASQPPIVRQTMWQNISKCCKKFFKEKIRRKRDDGLA LY89DRAFT_583613 MEEEFEAELTRLSHKLTNESETAQFWQQKHSASNQNYLKSDTEL RLLRQELGGLNQVKEDRDRDIKTRISSLMLDRDAFREAYNEAMGELRGKDERLRELQG QVRGLKSWVSREGKAVGGEQVSDEIVGEKVRGLGNGLQNWVITNFRRVKINLEKMDDD TKDQLLRLVPTYDILASSSKVHFIQSLVSRILVEHIFSAYFVGLPQEQAYELTRMEKY LSDFGRNPTMNQWRSATLSIIQKEAPKKLKSETAAVVEVVMNQVNTIMDSIGDLQHTE SRDQSLRSLVNNSIELARLVRVQKADFSIMMPCIEGHQRTMFDPDTMEDIGGEDEDTL NEREIRCVTFPGVVKAGDENGERSHLRNVVAKIRVLCSPD LY89DRAFT_44812 MAAPFRAWLDSDEVPAIGFATTPRRVESPDSFFESLSKSKKAPE SGPLSFSRTSTSSSSGSSDGSVTDDTPSRKSSASTAPSTAPSIDVQVPWHVHVAHLPQ PTAVPITARYSLPCEFLFAGCQIAFPPDEYENWILHSLTHFPRSDPPKKCVCTICDND DAVFSTEGDQRSRILNWSTRMHHIGEHFEHEYIYEDMRPDFFVIKHVESRLNEEDFKS AMSYSERPPINNLVSYGFKTPAMRRQERRDNAQFINQRDEDRRRERQRRLQVRH LY89DRAFT_44936 MARSIGLGMRLACLERGTICSSLGFAPAASAISFTQNLDGIRSH ATSHLQFDGWTTQICKTMSKMNDASAYALVRTFPSGMSDMGTGPSRGRSGLGGLRTEG SPHGFVSQELRGRSRWRGWWSRSR LY89DRAFT_717868 MPDTTHRHEEDRSEEGSVGLPDLGDSIDAMTFEQILEMDDDEDE REFSRSIVFGFFEQAEQTFEKMDDALEKKDLATLSSLGHFLKGSSATLGLTKVKDSCE KIQHYGQLKDEAGTADEPDEEKCLGLIKETLVAVKEEYAEVEKVLKKFYAS LY89DRAFT_684208 MPPKAAKAAAPKLTHFLCLPLVTASSRPQLQNSLSSFRENVSKI KTPELPDGIPERAIRPVGTLHLTLGVMSLVTEEKVQGALKLLQEIDIKELLSAPSPVK FSGKGKGKDDAPPGKAEEVAEDVSKPEPLKVTLQGLRSMHNASKTSILYSSPLDPDLR LYQFGSKLRDIFAEAEFMEEVKRKLLLHATVLNTIYAKGVKKGGTGHGKKGRAKLVID AREILEEYEEFVWMEDVRMEKVAICRMGAKLGEDGEEKYEVEGEIEVPEL LY89DRAFT_644351 MMDDLDDSSSDVSDTILRQEDLDDIPALAAPLVQDLTEEDKFLS DTSSLSSLVLKDGAPEIKPRPYQLEMFEESLKRNIIVAMDTGSGKTHIAVMRILYELE NIRSDQLVWFLAPTVSLCAQQFGYIQSQISAVKIKFLSGADEADRWAENHWQVVLLNV KVVVSTYQILLDALSHGFVQMASLALIVFDEAHNCVDKHPGAVLMRNFYHKRKALGLD IPHILGLTASPVMRSSTSSLVRIEETLDALCRTPSKHRSELRLQVKLPVMSQVYYSNL PVNGSIAVYTRAMTSLSNSYHNLRLSEDPYYLSLLNDDSDRGRRKLDKVRLNQKTTSS DQMKSFNATAQKIFEELGSWAADYYIAEVVSKVLSLAGQSDNPLGIWDISMAEKQYLA KALQSVEVNRDTSHDSVLNVQTTDKVERMLDVLLESTSALFSGIVFVQERAVAAVLTK LISIHPKTRHKFKVGVIVGTSMHKFRTRNIGELIDMNEHKDTLSKFKKGKINLVIATS VLEEGIDVPICNLVVCFQRPANLKSFVQRRGRARHRDSRLVLLLSESDKLDQWQQLEA DMKRIYEDEMRTLQELIVKEDSEDNDGRTFHVESTNALLDLDNAVSHLYHFCATLPAN EYVDLRPEFICSHVAGDLVTATVLLPISVNKAVRTAESKTAWLSEKNAIKDAAFEAYV ALYHAGLVNDNLLPLLRHDAIVDELMVSPVEHRASIVSVNEQLDPWAEIAKAWILVEQ EVDRKLGQATLTIGDLDVDLYLPIDIPQVPGFLIYWDIDTELPVSITTKPSLSIPGGT TNIPGDTFPLLRAGFGSRFPVKDKLLPMFFVARNDMNLRNLVGFHDAVNEYGSLSPMN DALIRDKFDPNIAYTYEQILHLKPDSRKVQYPYKEYQEVPVDVPHLALRKVPKRNDFL HKVAFDPSARSTKEFSYVLPINRCTIDDLPYKYVQFGRLIPSIMHRFEVALISEELSR TILQSVKISDISLVLTAISASSAQEESNYQRLEFLGDSILKTCASIQLIAEYPLWHEG YLSAKKDRLVSNSRLSRAAREIGLDKFIITKAFTGHKWRPKYIEDLLALPVSNKMRDM STKVLADVVEALVGAATVDGDIPKALACLQTFLPELEWKPLEQRRSFLYQRTPDIQLP TTLQPLETFMGYTFNRKSLLVEAMTHASCNSGSGSLERFEFLGDSILDNIIVTAMWPH ALSHYQMHLLRTALVNADFLAFICMEWSIEHEIIDLQVLPTNMKSAKIAEKYKMVSWP LWRFMRHTSPKLGFEQAATSARYSELRTEIKEAIENGTHYPWALLSQLRAHKYYSDIV ESLLGAVWIDSGSFDTCREIVHRMGILPYFERILKDGVHVLHPKEELGMLADTLEVRY VMEVEKVPSEEGGEEERRYVCTVFVGGEKVVRLSGNVEKGVLQTMAAEKAVEILKMKK EGEKMDV LY89DRAFT_45034 MFCFELSFSIVTFSYPNKDPPSTLCYCYFCLQNTPYRLHEISLR ERRARLLHSAKKSISFVMSTSVRENRASRFGNMFGVHILCRTGIFPPVISVQFTEDSG YESGCMGGWGLFLFSGETPHPLWCDVWFWFLFGSIWTVGWRSISTGNFSALRAKEIYC YWTGQGSGLLGCI LY89DRAFT_732971 MTVNYDLAHRGSSTPQPSNCTALEDRFEVLKDIGDGSFGSVVLA RVRAAGSSVARRGTVIAIKTMKKNFESFAPCLELREVVFLKTLPPHQHLVPALDIFLD PFSKKLHIAMEYMDGNLYQLMKARDHKCLDVGSVKSILFQIMQGLEHIHAHHFFHRDI KPENILVSTSAQQDSANSFRRYSAMVTPPSTPLTYTVKIADFGLARETHSKLAYTTYV STRWYRAPEVLLRAGEYSSPVDIWAIGAMAVEIATLKPLFPGGNEVDQVWRVCEIMGS PGNWYNKSGARVGGGDWREGTRLAGKLGFSFPKMAPHAMDTILQSPQWPASLSHFVTW CLMWDPKSRPTSSQALAHEFFADAVDPLRPKSSASRMLGRKHSDLSYRASKDSPEAVA PSSSSKSSWFRKSLIGRSDSTTGTSQTSGKENVAPSRPPPVHAATEIPVTKTRPQANK RTTWNNGPSNVAPMPILPTIKPISPLSDAVTAQASSRTPSYNDSYASSTQRQSNADDK VAKKIGRQLSVASTNNHYADIHRQQAEMALTGNSGLASPPNGQKESFFSHLRKRARRF SGRQSQTPISPKSMDIEAQAGCGPWASNRSSMVVDGADIPSPNQKVDTYEALDKALRN VQQNLESPQMGTAPIPPNHLINPSSVLKRHHSLPQQQPRSVDNLRGAGPVSSRTRRSQ VPGAARQYETPDEEDELLDEVLSSTHKALKKMDRNSIQNDNRQALRQSNSTLALSNPY PTPSPSASGNAVLFGQGIAQVTPSKAPTSNKQSSTPPYDYEENEWGASAAASIFAASS RF LY89DRAFT_684212 MDAIIGIIGAALGLSSYHDALALIDVVRHRAECIALDWALAGAA KKVGVRNSKRQAIISYSQSQRACPEGFPPISSETTRRTINVPWS LY89DRAFT_45047 MAYDEPTSEKHRRVQLPKSFQCRLCKLFKEPGQFSNKEINTYTY KVAAGHTVNRTSAQLRCRQCSGGQLHELKCMGPCGKYLDLQSFSKSARTTGGSGWCQP CVLWKEAAQHDLTPQAPPQGDLAPDEDGDANFEPFPNNSNASYYSDPEAGDGSDYDDY PVARSVAPSVAATSSARPARSAASFSARPAAASYVQSSATAAPRPSAPLSSGPSAPPS VRSSAPASSTSHEPSITSSTAALSQMSLRTNGWTNQPVRTRAVNQPNVPASRTPAAAP HLRASPGNAPVTMPAVRKVSDMKTLSSVSNPYEGWATDNLSQSGASAVRPSATLPTVG ANRPAPVTYNAWDAAGQLHVQQRFSSDTHSEAGSTITSTSAATISTVRPPPVINARNS NWAKPVGSRNLQTKYDARDHRDQPKPTQNDYDSDDDM LY89DRAFT_45107 MTDFYGRPLRSNGGPASEGYFDDSTSYYAAEPRDNPSLRRADSS STPRRSPPINNNRMASAPNPAAPDGVSPDLIAAITEKVKKELLEHLKQTGSIDDQTRA PPMQRDPSNKSSSTSSPPPTARRVYTPPSPTIPVKTNAAPYAAEPIRSPPRSPQEKAS GVRFSDREFSSRPTAGRTYSTTELSTIDQKWGRLFDRDGNATTRLGQFLRGLANHIID DFEPKKSIVVTPAKMATYYENHALDKEPHPLATIFKAQQHEHISRLYQDIGCEHHLVQ DDPRSAPIVPSLTPVGFAQWMTIHILAYPEEESKRLNNVVLAMPIDADGDLVDGKPER LPKQISRYLLPERPDSKSRELLDEAITNFMNDLGSTSRRKPSITSPPPLNRHSSTSQT RKRPVEIHQTKTSPTSAIPNPLERERKPYSGTPAASESSNNEEPVQIERERAPYTAKE GAGKVYGESISNRGPSERNPSQRDPSIQLGRANSTTTRNTTRTQADMPEPRHNRAPSI SNQYMPPPRSGPRRTSSPPIKSFSNSTPLDIHNTSKYGPDPASSSSSGFTNQSQSFNP GSYGSTGSFPPPPPPVDIHRRSRDERGYRRDTDDDLRFPGEFNSPRDAEKWDRYQDIR GGEPDRYDGPYERPPRPSIPIDPRETRGAPPDDWYREKGRAAEYEPFGNRRY LY89DRAFT_684216 MLEASEASEDVMLEATEANEEVTDGASDVTDEAWDSIEESAEET TDSIEDKAEVGAADSLDSMEGRTVMGDTTGVLLNDSVGIPSEGPTAAVPVERAAGVVR AEIEGTSVVEAVTMTVVRMGRTAEYESRASAITAAARCSKPDSMAETPVAVAVMAAYS LERLDSRAAKLICAFVYMSG LY89DRAFT_706815 MVDKSEMAEKPNDRTRANVNAKIANPLAGLSRDKLAEMGEAYVR EHQIGDEEDIRAFQLGAILAQDPNRYADVDGLTAEELAVLEKEISHKWSQPKLLYLVI VLCSVCAAVQGMDETVVNGAQIFYSQQFGIGDKNSSRDSWLLGLVNSAPYLCCAFIGC WLTVPLNNWFGRRGTVFITCIISALACIWQALAGTWWHMFIARFALGFGIGPKSATVP IYAAECSPPQIRGALVMQWQMWTAFGIFVGYAADLAFYGVSDRPGITGLNWRLMMGSA CLPAIIVICFVFLCPESPRWYMSKERHAKAYKSMCILRYNKVQAARDIFYMYTLLQAE KESVVLGGNKLKEMFTVPRNRRAMQASEIVMFMQQFCGVNVIAYYSSQIFLDANLSEF SALGASLGWGIINFLFAIPAVYTIDTFGRRNLLLTTFPLMSACLFFTGFSFWIPSSSK AQIACVSLGLYLFGIVYSPGEGPVPFTYSAEAYPLYIRGLGMSLATATTWFFNFVLSV TWPSLLKAFKPQGAFAWYAVWNLIGFLGVLFFVPETKGKTLEELDQVFGVRTRDHAAY GGRQFIYFFRRYLLRQNVEPEQLYEKESVEHHPTSFSQEKQPDQTARV LY89DRAFT_746582 MSIKNSIIVEIIEGNYPFERHGDRKSPGGLSIPVQSMDLSTRQR LYKELEKDIMSGTALSKSLETKIETKTKLPKTTHMSSKEREELMKDIRKAMSLSDKLL DLLIDERNKKKDSGSGGTNEKKTAGKAPKSSYPKLPSPKAPTPKTPRSPPPHSPPSAS TPKTPATPPLHSTPSAPTHRLKSPDSKTPKSPPPKTPTIKSPPPKTPTIKSPPLKPPS SASVHSSGQSLATVSISKFPPATKVSGTHKASGSTPVSGTKAKSGSEAKASGSTPISG GSKIKTASGSKPAGSAPPATYRTNPAPSLVSKIKTAKPSGSFLPPSHISNAPGPTDAR PTDARTRPRTGSGSHAGSAVQADSFMTGRESAPPPSGGGSAAAAGKSKRTLAPISNAG AAFISSTPPRNTRAPVSQMPPGYGAAKTGKKDGF LY89DRAFT_684219 MIPSKKLGRDPYVQKFEFPLAKDVILVDTTVFHPGAGKLPQHTL SIYGHKVTPRMKAIVDTYNAEVEQVERDYALYNIRSRTQIEFELHKRMRRALDDEMGI TPEQRQRENEARFKAQVLPPFIPRTPKEDRRIEGQIKKGYNDRQADPWGSLGGLSNLG GYGYGGLGRGLGLRMEGSPSGSSASSASGSKPKSKTTQSGGRKGSGSGSGSGGGKQGS VAKSKGKK LY89DRAFT_644360 MDSRAETLAGDKEVERSVSPSPRPSFNKEIDNKIDDQPTDNEDG SVKNVSEGVANGEDEVEYPAGFRLAAIVVALLLSIFLVSLDMTIVATAIPKITDEFHG LDLVGWYGSAFFLTVGAFQSVWGKGYKYFPLKITFLISIFIFELGSLICGVAPNSTAL IVGRAIAGLGGAGIASGAYTIIAFTAQPSQRAAYTGLMGAAYGIASVIGPLLGGVFAQ KVSWRWCFYINLPIGGLSAFIIFIFFRTPKHVVPVKASLKEKLLQMDLPGAAVIMCAI ICYILALQWGGQSKSWSNSDVIGLLVGFVVILILFAVIEYFQGERAMIVGRIAKERTI WVGMVYIFFLAGGFFLLLYYLPIYFQVVSGVTASESGVRNLPLILATTLATIFSGGLI SAKGHFVPFMIIGSCLTTVGCGLLYSLSTHSSSGEWIGYQIVAGLGIGLALQVPIISA QAIVDPSDLASATAMILFFQTIGGAFFVSAGEVAFTNILLQKLPTDAPSVNPQSVVAV GVTQIRATYAADVVPGIVNSYMSGLKVAYAIAIASAGISVFAALFSKWRNLKGKVQMG GAA LY89DRAFT_684222 MSDKNTSTLQSYIDGATGAVQSAIGSLTGNTSQEGQGEAKKDKA QFENDASHATAKLGGFTASSSGAVTKDDPNRQEGSWNQTVGSAKEAIGGLVGSEDLKK AGAQQNAEGKGQEAQGQLSDFGSGIANRVGGAVQGGIAGITGDREAQLKAQEQHDVGK TMQRGAEADIQKQNS LY89DRAFT_644367 MSRCDSEKLAPQHKSAFFSFIKSLATFKGDLASLTAPPFLLSPQ SIIEFSAYWAEQPCLFVAPSKEEDPEKRALLVLKWFLSTLRQQHNNKDENGKKKRMKP LNPFLGELFLGKWVDDCGTTNLVAEQVSHHPPITACNIWNDTHGVRLQGHVAPKAYFS TTVHIDRKGYSVLHLDKYDEDYLITMPKIHIEGIMTGSLCPELSGATYIRSSSGYTAK IDYSSKGWLSGKKNSFVATLFHDDKQNEPLYTAEGQWSGDFCMKKVATGEVVESFNVD SVPRTPLTVTPVEHQHPLESRRAWQNVADAIHRNDIFAVGHEKTKIENEQREMRKIEK VEGREFPRRYFTKAKQDLVAKSLTEGMKRHASMQGEMEGHHGVWMWDEQKYRRVENNR AQGIKSPMRTRFDSGIGGILLDPDLVESV LY89DRAFT_684224 MNPTQKSPERAFALQKYLLLHSQHDALQKHLSQISTGIPTTSST SRSPDRYRHASLSSSPGSGTEDSMFLSSSPPRVIRNHHRSGSIPSSRKRPAMTKRRSS LPTVIDESLIGEIEEDEMKLKDVNLQIKSTLTELLNCESVRSDDRYRTWVQTRLMDAE RELKVSRTRSCERRRSEDISGMML LY89DRAFT_781373 MENLITFHLDGPVVMIHKGWQTRDLQLDITKPTKKADSTKTSVH EPLTAPRDIPKRRYAFVNSTKPGRGRDSEERKRIRRHVRNEFVRLNGRKADHKDGKAL GRVAGTTPSPTAMENRPTETLPVSAPMLLGYATETCSYPIDMSQETHALLSHYLTYAS KRMFPIESRLKSNPFRSPEWLHFAVTDSAMFHAVLYSAAVYLALVAGKRESKETIYHQ NRTVEILRQRLGDSDGCIADSTLGATSCLAIGEAISGNENLWRLHMKGLKNMMLSRRS LSSLSPLMMSKLRRSDITGAIDYATAPLLQFERHTAEPTWSIIPKSPLEDIKFELSNV LTRAEVDPEIINTMVELAYFTQVISLANSRTDIFLNPTTFSEDLYWIEHSLLSISKPN SEERTINRACRLGALLYLKGILQEFPHSATGASILTNRLRDSLDEVYMTEDNVHLLAW LAMIGAMTAKNGDRTWFIIYSNQSTLLGGIQRSDGALLMSRYLDLKTAFGSALDKTWN EILRNRSLVDFSESHPRG LY89DRAFT_717881 MTTHETAVTQYIVANGTKLAYRRLGASVGIPLVMMMHFRGNMDW WDPALINALAKSRPIILMDNAGIGKSEGEIPTTLHGWAEHLIALVQALKVHQIDLLGF SMGGGAAQHVALAAPKGMVRRLIIAGSRTSKTPNTVIGPRKIFHQLAHAVTEEEFKEA FTVSFFNPSEHGRAAAKTVLDRIYVRKEDRAPHLSPGLAKRQTEAFTKFSVSSPDNPY ERIHELDMPVLIANGDNDLLIPTVNSFELAQLLPDAHLHIYPNSGHGFLYQYAELFAK HVDLFLDETTGEDGEKIFEALKSRLS LY89DRAFT_732984 MSELKLAFREEKKLERKLDFPKEKSAPHNDRDARRQSAQEQIGA DKQPSSSNIVGSSTQPDFPGLGFTNLPPELRRMIVQEAAQPLLLPRIVEIHEEDLEIR FATAVEPDFIQKRKIKHFRSVTPCSSLLQVNIEFKGLIWEYFEKEDHLGHELCLDKVP FSFERDSAYFTQDTLMSWMRLWRKCSDRHPDYPLNDDAGLILRNKLRRIVFDVKMLLG HDEAFNNVLGEWFSGNADTIEQLGPGLAAFPSLEEIILVVREDDADVHMDCLDFTETS EEVLQFYDYEALHVDEGLGCIKLADPQPASKAAYVLRIAEDFLRTSLEFYFNKFEIRR GGAFHKPSTKPSEPRKIRTLPIIKKMVKKP LY89DRAFT_684228 MNIFTHRGVWDEHSRQSPILRFLEKYQHHIDSIDIRSTPWSKFY SCDAIFHNTRGDVWISGSHIWRKIAALFEPFDRIFHEVIELRVIPEEDGKYVAYTEFL THFRLKGDKNEVTAPRFFVFIVGAAEDGEGTDGLVIHELSVFWDTGILTRHIEGNKKK LERKG LY89DRAFT_717883 MATSDLKTYKGNCHCGKFRFEIDVPPIEKVESCNCSICVKKGYL WTFLAEKRFRVTKGAEDELTSYWFGSKTMPHKFCPICGTSVMLRKMHPPREDEEIYVN VRTLTEVNPFHLNSKKFDGKNIYPDDGKQSLKDEPPKIDAEDSNIYTGGCHCGAVTLV LKSGLLSEIQIKEDNCSICRRHANVCIHPKKSQVTISGGENTTEYLFGRKFTGHCFCK ICGVPLFMRLHGPPKELVDTWSEDKQNMVKEKLEIIPIRLAVLDDVNWDELKIERSDE GTEGYVVD LY89DRAFT_644372 MTKDVQLDNVLITGGCNFIGHALVSHILTTSPTTKITILDLPTS LPLFPTVTYHHIPITDLPAIQAALHLIRPTVIFHTACTYSLSLPASTHLGINYQGTLN ILAAAQAVGCVKAFVYHSSSSVIEDGYSDLIDARETKPVLFEGEQRFPYPLSKALAER AVLEANGEGEMLTVSIRPAGTFGEADGEMMEKLLGAAKSGRAGVQIGDGKNVYDFLYV GNLVLAHVLAAKALLGAGNGGKVDGEAFHVTNDEPWLFWDFSRRIAKEAGFEVKNVKV VPRWVAMLFAILTEWWVWVSSFGRRESELSRHGVRYSCLTRTLNIEKAKKRLGYKPVF NMEEGVKRSVAWYRENGKLD LY89DRAFT_746585 MGPQNSPDYGEFVDSDGEGDVEDVSEPLERYDRGLYYPLCIGEV LAGRFRIEHKLGWGGYSTVWMAHDLQYRKSVALKIMIPGEKGEYEYQMQNEILRTVRD TSHLVAHEGSFLLPGHGGNYHRVLVLPLLSLSLGYRAIQLSVATRVLAAKQLLVALKG LHDVGLVHREMPSVAEYDTATKYQRLGRPKKVLLGDYLWKRGELVQQMTIPLDLLGSK LYLGDFGLTIKDGISVSIKQQAPYCFCAPERLHGTDPSLASDTWSFMCLFAALYLGNN VFYGNGGGSTAFSWVEQLGAMPEQWKGKFWWPEEVNDTWYDHTTNPDPERDLAARIAR MRPDTSQAERELALSVMQKAFCYLPERRITAAQLLQDPSFRAMLEIYQC LY89DRAFT_552487 GDGFTEAELAAAIDPLNRPWNPEREYEESSIGQLVPGPRAVTFM GRVVHLNTFFGHHPKQPRAAGFHSIILKDDSAAILIKLYFAQDLYPLKLGQLLTCWTA FISDASKPGGKIIPSVIVGANMFPGRATSDHIMIHTNESSESICRAPLEYRKTQPLPG LMTLDSWINGGHDGIVGAKILVCVRSIGGKKVIEKKDGSKSELSDVMVFDHTASAKLT VWNRMIDGIGDWQAGKTVLLISNPGYKLAYIGNKGSIAILPQTLVDVNPDFPDADWLM KYAVGLTKKESLCLEFPEDIWDIEAAEYGVCRNLYTLAELDRWVRTEELPQFTGFINV TIIEMSLVKLYRQRMLMCSECCGVPVYSMSMTTTCGNCSKVVNLYLNPKIMGLLLDET GCIAPGKLLWSPRAWEILFGRPIKEVTEMTLEEVKSFEQRAMFMRLHLVFGW LY89DRAFT_45435 MAPHAEVGLGFSDGNGSTTPVKKDLFTVNSPNVVYTHNEIKSKY TYRTTLVNETADGKFVAVPKETVYDFKVERKVPKTGMMLVGWGGNNGTTVTAGILANR RGLVWETREGPRAANYYGSVVMGSTIKLGTNAKTAKDVNIPFHGILPMVHPNDLVIGG WDISGMNLAQAMDRAAVLEPTLKAQVKKEMAEMVPLPSIYYPDFIAANQEDRVDNCIQ GSKACIEHVEQIRKDIRDFKAKNSLEKVIVQWTANTERFADLIPGINDTADNLMKAIV NGHEEIAPSTIFAVACILEGVPFINGSPQNTFVPGAIELAEKHNAFIGGDDFKSGQTK MKSALVDFLISAGIKLTSIASYNHLGNNDGKNLSSQKQFRSKEISKSNVVDDMVEANT VLYKKGEHPDHTVVIKYMPAVGDNKRALDEYYAEIFMGGHQTISIFNVCEDSLLASPL IIDLVLVAEMMTRIQWKAASSDGAATKDFKNFHSVLSILSFMLKAPLTPPGTPVINAL AKQRSALVNIFRACVGLEADNDMTLEHKLF LY89DRAFT_45422 MRWRCRANALPITSVTSLLISPFLALYFTGRRVLLRPYRDGFDL ATSRTGNIAFPEPHCLSHLSKPASLEGCQNSFFSALGSRVVLGDIYSRRHLAVCGGQD SLLNRMHPSLWLWPGKTSHRNLLNWTEIGPSEDRTLCMSSIR LY89DRAFT_732992 MDATRASSFLPTIKCSMCAKDIEISQMGDHVCGGSGEPTPPPDA RGNFGRIPHKQTATNGPSFLKPGRALPPKVDTSAANRPFFPQEELTPISASPSRTGSP LHSEGRRSPFAKPLRSATAPLFRAPPSPEPLSSNLDCAFPPFPTSKPTQNRSQNAGGY GGMGKKYAEPDPMYAPVSPRTASSGGLLQRMNTIAPGPFDINNRSKAGESRTERGHKR QGTAGSIRELTNRKVDIGESIERPSTAGPGHTRSDTNSSNGSKSGVAPPKFPRKNGYG GFGPPPGEDVAQRPLLSAENRSLTFPLSNEGQGSSSRRPSEVADGSRMRRPSNESGPK TDRSKSPGRQRKQSVSGPDLTRPLPPRGASLIKPRTDSKLGTSPPVPLNLAAEFGIGN PYHTPNDSSSSSASAYSEGSKASSRSSPPRSVGPGRSRRQPSDTTQVDVLMADLESSM DALQPRDASTSPPRTKEQYPRPLQPPPRLNPSMLAPESPMDPAIQGGRLSPVPRNQAR SLSPARSEPQVEPLRRPTTAGRQSSRPSTSKGNCKGCGEAIKGKSVSSADGRLTGRYH KQCFVCKTCQEPFQTSTFYVINDAPYCERHYHKLNGSVCQTCDKGIEGQYLESERKQK FHPGCLTCADCKRTLRNDYFEMNGRVYCERHAFQRAQQAPRFLGPGAGGSNRMERRTT RLMMM LY89DRAFT_644383 MSFPYKNVLVLGATSGIGLALAERMIEHGIHIIAVGRRQENLSS LHQKHGKEKVSTIQFDVTDLAGIPSFVETVTKSHPNLDSIFMNSGIQRKIDFTHPSTI DLDLITTELTTNYTSTIHLIKYFLPHLQSHPSTPTSLIFTTSGLALVPILRCPNYCAS KAAMHHLILTLRAQLSYAEASKNVRVIEILPPAVQTELHDQKHQPDIKDGGAFGMPLG AFVDEAWEGLSKGQEDVPVGMVKGSYGAFENERRSMFEGITKRLGGWVEE LY89DRAFT_717889 MRPQTFLFGLGVVSTASAVATETGNGTAYSGAGLVGLGRTVFEP SCCYACLSSLWALQLPCTPAQYIELRVGNPPPCHARYPYYLNSLAYCMEVKCAADNTV SPAQVETCWNNVAGDGLPVPSLEAALPKVPPTHQLAYNATMLNTTMLVNDQMYEDSKR TIEAYVRQESAHARYGTVLIVTAIGVCFLIGFKRIINYYFPALGPPRFVSSLFSKYLF EPALIGSLHLKKFPLSIGYIPSRWLSLLILGYVALNAALCSVNYPTTTRNTWYLSEHK QQLSAVADRLGVLSFANIALTIMFSGRNSPLIYITGANRTDIITIHRWVSRVAALQGL IHVLLYWKNTNPAGWDMFTPAANIRVLAFTPGYWENGVYGIVGLSFVGFVFSILPLRT HLYEIFMFFHIGLAILTLYGIWWHVTYRFHHTYGYQTWLYIAFVMWGFDRVVRPIRIV LLNWKSWFVRSHPSAIVELLPGDQFIRVTAFPSRKWDFNSGQHCFLYFPTLLTNPLQS HPFSIAGWNHGTKYNSYPASESTSDFNLNPVEDDDDFIDLAAVTRPPKTYGGAPIELT RPGRRVASHYTKPVPSISFIIRPEKGLTRTLHKRLLKTRKARVPVIIEGPYGHTPTTT LHRSRTIIAIAGGIGITSILSHLQSYLESLGSKDEGQTTRFCLYWKAREESIVSAIES QLGDLEELRRKGVEINIFCSGQGQRMNARDVVMGEILSEAGAGRKTAVISCGPGAMSD GIRAAVVECIGKKGVSVEYIEEAFCW LY89DRAFT_644385 MEDSESMAFFMSLVLAVTVVSAAILPRAETDDPLASCPGYEASN VKTTASSLTVELGLAGTACNVYGTDLTSLTLEVVYETDDRIHVKIEDAGNSVYQIPAS VLPRPTASSGIDSKQANIQFNYIASPFSFSITRPKTGEVLFDTSAASIVFESQYLRLR TSLPEDPNLYGLGEHSDPFRLNTTDYIRTLWSQDAYGIPTGANLYGNHPIYFDHRTTG THGVFFVNSNGMDIKINNTNGADQYLEYNTLGGVLDFYFMAGPTPVAVSQQYAEVVGL PAMMPYWGFGFHNCRYGYQDAFAVAEVIYNYSKAEIPLETMWTDIDYMDRRRVFSLDP ERFNLTMMQDINHYLHAHDQKQILMVDPAVAYQQYGPYLRGAADEIFLKRSNGSFWLG VVWPGVTVFPDWFNTGIQGYWDNEFEVFFSPETGVDVDGLWIDMNEPSNFPCNFPCDN PYAAAVGYPPDPPAVRTPPRPIPGFPCDFQPPGTPCTGTKVRREISQAVEESVMKIAE RQAAGQELGLPGRDLLYPKYAIHNAAAFTYADNAARGGISNHTVNTDVIHQNGLAMYD THNLYGTMMSTASRNAMQNRRSTERPIVITRSTFSGAGTKVGHWLGDNISDWPHYRIS IAGMMAFASIYQVPMVGSDVCGYGDNTTEQLCSRWATLGAFSPFYRDHNAYPPNIPQE FYQWESVTEAAKTVIDIRYRLLDYIYTALYHQTADGTPLINPMFYIYPEDANTFGLDL QYFFGPSILVSPVTEENFTTASVYFPKDIFYDWYTHAPVQGQASTVAITDVNYTSIPL HYRGGIIVPQRVASAMTTTALRQQDFEIVVPLGADGKAEGELYLDDGISLVQAATTYV KFSFDGRTFSVKGSYGFDAGVKVARITFLGWSGSPSGCVVDGESVGGWSHDRGTGELV VPVGKALSGDFEVVVNH LY89DRAFT_615001 MMLPRFKSLVVLTFIVLALYAVFWRDEPFSSQHAVDVLKGLGDF EVPWGNQDTPQEPIQETKVADASEDAPTDIEVKFSFTKTAHIAPTYFSVLPSEPESTK PAEWEHGVEDMKTGQGSTKTAAELLKPSSVAGTENNYSGISLQKQFDKEYDELALSDQ AGAIYGNTLKALIDIGAHEGFAGAALKPLESWSEYSDTPPYTYNPYPDYNSKVWQDGN QGTYTACNGPNGPIADLLVYSGHPKAFSSPQMGSYIPLDIDSNLCFEREARLGPYGFT AEEAPSAKPQAADSEYVEERDASKLDWGKLQKACYKMNVNRYTQPHPQTERPMLADNP GNFTMPRSNRTSKVRPSTMARRLDTLMKKVLSIRQGVSEMIPNSLTGDGGVGAEPSRK KMPGFQPDTFVEAPPATDPKEDSPSGGKNDYSVGWEKFDNANSEDRVAEYSTLGGDVP MNDHDHGDSLSSGFEGSRPVKNLDPQIPEFSTLGGDAPMDEHDHGDSMSSGLEGSKPV EDLDPHRSSSSDKEADEFLKGPPTHDVAQWSKLGGQESSDEPEKGRPKFPGEPPITPA LNEDEAKAKGLHGMPAKVEIKPAPRTAVIIRTYSHLNFTENDKQNIRAMVSELCLRSG GEYEIFLLVEWKDETLPIFSSKTLYEEAVEKSVPKEFAGMTVLWNDAKMRETYPLIPR EVNNVHQSQWLSVQWFAQEHPHFDYYWNWEFDTRYTGHYYNLLEKLATFAKAQPRKGL WERNERYYIPSYHGRYSQFTRMVEKAVGADTVWGAPPVVNVTYIGSGPPVNDPKDDKF VWSVGEEADYITLSPIFNPVNTSWPGRNDVWGYDGPEKTPRRATIGTQSRCSKKLLDT MHAENKKGNHVSSEMTPQTVSLLHGLKAVYAPIPMFFDRPWGGESLQRFFNPGPKGQS GSIEESPYSWGREVRFQGSTWYYRATSPQRLYNNWLGWEDSGIGGAEWEKTHGRTCLP PLLLHPIKDVVQPAPGSSSKSELPY LY89DRAFT_668479 MDAGGLAQMSYNNFPSSNGLGMPGSGFASRRANGSNIKRLSFET TKPSDSQDNGAPTPRTSRSHLLAGLRTAPKSATSSTFPSTAPPTQTEHNIGLRGSIYA EKESYGGPKTSRNFDLQNSYGSQMNSNRQMYSVPEQILAPPEILVDEHGQEQMDPNLY AQLVATNMYLAEQQQRLQQQLMSVQAAAQQFQGMNLGMGNQMQQQFATPPITPQNLGM YQQQQVKNNMQPIITPVVGAQPGVYSYYNPMTGQQSYFMDNSAQQNNYMDQQIVQQTV NYSPPQQNGTPRFQVSPPPQSDAPTFTRSISPPKKSQSPPQDHMPLPPPSASAFRRGH RKANSMAIGTDIQTNIDGPRSALLPKSMGFPSTPVASGFGPGQARAGEHPIRQPRGPP PLDELTAKPTTKFEGSKNFATRTRRSAVHNLVRAGLERRRAPGSAGSGSMSPVSEAGE LTFSASSDDGSDSGRSGSGSLSGRPSHGSPRTSAHGAIGSDRPSSRQKERSLERSLER KSVTSVDSNFTTASVGSDEGSVGGSFAAVFKNGGKKAEAVDVERKAPMLVLTSAEKRK SSLY LY89DRAFT_684240 MGMDSKVTLSDAQHMEHMDQSAQKQLALQGEALETLEKTYGLWE SVRANKLCLLYMLAAYACAAVYGYDTIANGASLAMPAFELYFGHFDVATKELYLDSIW TSLWSSMTNVGSILGSAVAGPMSQKIGRRYTGMAFGAVTTVGVIVQYTATAKGTLLAG KMINGLAVGGLLAVGTTYASEISPPRLRGVLLGGLAFCVIAMQLIGLAVVRSFVPNLH PSAFRTVFALQWVVGALPIIAFLFAPESPTYLVMKGKPLAAHSSLARLYGKNNNIDAR LAHLQSGILHEMQKDANTAASYWHCFQGTDLKRTLTVCLIMFGNGLLGTALLTQNIYF LTLTSLPVIHAFDINIAGFGLALVIMPLAWRFGDRIGRRPLYLIGVVGNILALATIGG LGYATYSNTGAVWAIAILMNLLIVWQQPTNSMVSWSMPPELSSYKLRQHTQSISIIVQ AFTSWLFAFVTPYMYNVGAGSGNLGAKTGFVFMGTSIILLLVSYFVIPETKGLTTEEI DFLYESKVSPRSFGSVKVLGPRAADKVELKSWSQKSGVTSES LY89DRAFT_706831 MATSTPTIIDLKTSFLRTQILALSQPLKPSTTFTESISSQENAL RQKSIDEALYKLNGLLKKHNKLSYGPQAQRHVAEQVDRLYWNAGERGVNTLGRGEEWA ERGSDYREESVIEQMPEQWSEEAETKAPEQAAKYKELQQKLVELNERRKAARQKVENY KALKQLVDLLGEDAGVQDNIVTKNGEVEIELEKMRRLMVRVERGIGGLDERQEGDEMV IDGEEGRDKILNLLTLGTGAG LY89DRAFT_696674 MNGMGIGGMVGYPTPAGHQSDLNYVMSMVEELSAVLRKNEELTN NVVEKMGRVREKASNLNLSNDELIAVVASELNEDSKNLEKENSDLRKALEKSEYDSKE NFQLAVHGANILLDITEKMHRFKEQHESDTLAWHKNYRKQLAAEREENLDLRNQINDM RAAACRANEHLRQMRRFVTDNDELHELRVQNHQYRTERRFWKRLALPLIPDDDSEWSD DDDLIDPEEKKRLNALKAEKERKDTEDGEGGEVTAA LY89DRAFT_684242 MLNDIVLFVVSTRHTLGGTWADVHGSKLWSLADATALRGQHREI ALSNMFGCCPTLSFNYPFGSLGCYHCAELEIGRDY LY89DRAFT_45730 MSSGEYMTASEDGHNKGYLERSSEEPSADSSATPSRPSSSGGNA PHKKSRVRFNSTSEANDTTNQKSSFPLRDRDHASPLHVTIPKQTRISPAHSRAGSISN VFRRPTPEKEKDNPFADPIQNPAFKPRPSLLRNNSSGLMNETSDVDDYNEKTFSALAA QERAQRIASLVGSHSAPGSRRTSLDEIDEEYSPPPSMGPDGLPVRIDDVPLMDMDSRR TYDGAVLDTDEDDDVEQGNGKGLNKKGEAHKLVRAHSRRYPSESLTMPAGPASGLVSG QVTPEKQRREDYVPRPPQYRGGILSSLLKLYNQPQGVQSRRGSDASTESPSRTGTNTA SLVSSGRTTPKSKGPKWYNHKTHSQDTLAGLIEASAILGAQGGVRTPKKPTRPGPGKR TQSGRLIDAAMNRMSRPRLEDEIRITIHIAETLSRQKYLLKLCRALMSYGAPTHRLEE YMKMSARVLEIDGQFLYIPGCMIISFDDVTTHTTEVKIVRANQGVDLGRLKDVHEVYK EVTHDMIGVEEATQRLDSIIKTKPKHNPWLLVFVYGLASATVGPFAFEARLIDLPIAF LLGCLLGFLQLIVAPKSELYNNVFEISAAVLTSFLARAFGSIRGGDVFCFSALAQSSI ALILPGYLVLCGSLELQSKNLVAGSVRMVYAIIYALFLGYGITIGTAIYGLMDSNATS STSCSAPLGEYYKWLFVPAFTLCLCVINQAKWKQTPVMLLISFAGYIVNFFTSKRFPS SAQLSNTFGALTVGVLGNLYSRVGRGVAAAALIPAIFVQVPSGLAAGGSLLAGISSAD QITNTTTYANGTAKINGTSTVRTGGDGLGGHVNGSQNLTMGKSLLNNSMVCSLAQGIP FSL LY89DRAFT_781393 MPLAHVSLPVTSLPASTAFYLSALNPLGYDVFMNLERAVGMTVK YDGPDFWLHQCPEMKDGEKGNGMHVAFKGSSKRVVREFYEAALKVGGKDNGPPGERTQ YTKGYYAAYVLDLDGNNVECVYYQPWWLSALQVAPSVVGVVVVAGVAWWGGRAGWGL LY89DRAFT_696676 MSKKSVKGEYIETDTGNKVSRRSQIIGTTNIILGGKTVIQAEVI IRGDLLRTYPTSTAGEKQGNPVAVAIGRYCFFSRGCELRPPGKLYKGQFSHYPLKITD HVFIGPGSIVEAALIGSHVNIGANCVVGKFAIIKDYVRILDGTVVPPNMVIPSFSIVA GRPGRVVGEIAEGEVDGMDLREVYRAVGNH LY89DRAFT_615017 MYDRPWTKAVRDRIRQDTKRAIMAEGASTSLDADVIISGTMVEQ STLKGGMQALVSQDDAAPTPGSICTIPNELLSQILGHLDTKSISADLFDEPRLDITQA SIADLKAVSCVSSLWRQIAFPILFKHARFIVPEPKDHRPAALENQIKPFLAFAIQNSL HTITESFTLIVQDANVSSIGQNPLDRFSSFWISIFDILDPLELLVAAPPEVLGALAAC FMILGQSWQFRTPCQYLQLKQSKPSPTSQLKTEDNFIRAQKLLESQIREANDTGNFGP PAGQAPRNIGGSIWPDNPNNAEAEEIAFPARAERSTLLQGRPWSKLLLNEGSSIRAYA SYEWWMRSPPSILSDLVGADFDNQKALISPTVRDFSYIGIFPFAKHFRDLVKFLPRLD RLYTQFVPRNQILQDPIRMAQVEATDLWSERNTAYSYLMEQLFNAPPIGSYKYLREFE SGDAADKDAWNMAVQYVQRMNGEWKVEKEGVFVRDLAVIGPESDTGDNSLLSV LY89DRAFT_733005 MSSKLHQRPPFRAEHLGSLLRPEKLLTERHALDAKKGDQKKLAE VEDSSINDIVKEQLDLGYHAISDGEYRRLMFLGTFFPSLDGFEEVTDFDVDIFRMYVP SIAPFAESGHKFGETILCTGKIKHVGSTYVDQWNYLKNLLPKDKQQEAKLTLAAPDWY HLRYKTGRAYPKDVYPSDAEYFADIAKAYRAELKILYDNGLRNVQIDDPNLAFFCSEK MLAGFKKDGEDSDALFDAYIKLYNDCLSERPSDMHVGVHLCRGNFVGGRHFSEGGYDA IATKLFRNLNVDTYFLEYDTPRAGGFEPLKELPKGKNVILGLVTSKFAKMEDIEEMKK KVHQAADLISQGTGETKEEALNRLGVSPQCGFASLSDGNNMDHDAMISKLKLVRKLAD EIWPGQP LY89DRAFT_45920 MDTNTAAGLSNFTAFEVAEVVMHCKYNNWVRPTIYQGMYNVITR SLEHELIPACKRYGLSVVIYNPLAGGFFSGKYHSKDIPAEGRYSDAVGRMGEMYRKRY FKDSTFEALKIIEPVVEKHGLTMVETALRWVVNHSALNVKDGDDGVIIGVSSMQQLEE NIKDCQKGLLPEEVLQALDKAWKISQPDTPNYWHLDLKYTYDTRKALFGRP LY89DRAFT_45909 MPLTMFKQPRVILGLMTFGKDAGSGARITSMDEYNKMLDHFQAA GYNEVDTARSYVGGTQEAWTRDAKWKDRGLALATKSYPHKAGTHAAEVITEQLNTSLK ELGTDCVDIFYLHAPDRSIPFTETLEAVDKLHKQ LY89DRAFT_696679 MKFTFSTVLAASLCRSLASTIPFTASTSNGLATRQTTCDNTATS RSCWGDYSIDTDWYTVTPDTGVTREFWLSAENVTAAPDGYQRYVLTFNGTVPGPAIIA DWGDNLIIHVTNNLEVNGTSIHWHGIRQLNNTEYDGVPGVTQCPIAPGQTFTYKFQAT QYGSTWYHSHLTQQYGDGLLGPLIINGPATADYDEDLGMLFLSDWSHTPASELWDSAR QGAPPVLEGGLINGTNVFDCTGSTDPLCVGGSKKFETVFETGKKYRIRIINSAIEGHF QYSIDGHNLTVIGMDLVPLVPYTTDSVVISMGQRYDLIVEANAATNDYWMRAGWISAC LNNDNPDNMTGIVRYDSTSTADPTTTSDVTIGSNCGDEPLASLVPYLAMNAGNYTELV EEDLSFTFGSYFTWTINSSSLYMNWSDPTIIRIFNNDTIWPTDYNVVPLTAATDDEWE LFVIQDLSGIGLYHPIHMHGHDFWVVSQGVGTFDISDINLVNPPRRDVASLPANGYLA IAFKKDNPGAWLLHCHIAWHASQGLAMEFVERESEIAVSMTNTAISQDNCAAWVSYDA TATWPQDDSGI LY89DRAFT_696680 MSYTQYDQYGGNPYNNGPSAEAGQGAQDLQHEMSATSNYSNPGP SASTNILSQQDFLSRVDFAKGEIRTLTSNIQEIASLHQRALSSPDSSSSAQLENLVTQ TQLKNTQIRDQIKYLELDALKTQDSTKSVKARQAKQLKGEFEKSLKEYQQEEVNYRQR YRDQIARQYRIVNPEATEAEVQEASELDWGSEGVFQTALKSNRSGQASSVLGAVRARH NELQRIEATLTELAAMFADMAQIVEAQDPVVEHTEQNAIKTAEDVDKGNTEIDKANEH ARRRNRLKWYCLLVVVLIILAIALGVGLGIGLTKSK LY89DRAFT_615033 MNGFAQHGLDEDAFGEKRGNIVQAFDAFPKAKPQYVTRTSGGGK WTVAMLAVSFLLIFSEFTRWWRGHETHTFAVEKGVGHDLQINLDMVVPMKCEDIHINV QDAAGDRILAGDKLKRDPTNWRQWVDNTGIHRLGKDSEGRLITGEGFHEHEEGFGEEH VHDIVAMAGGKRAKFAKTPKLKRGPPGGDSCRIFGSLDVNKVQGDFHITARGHGYQEF AAAHLDHSQFNFSHILSELSFGQFYPSLLNPLDRTISTTPNHFHKFQYFLSVVPTVYS VDSTTYGSRTVFTNQYAVTEQSHIVGERAVPGIFFKYDIEPMLLTVEESRDSFLRFVV KVVNVFSGVLVAGHWGFTLTEWATSVWGRRQRRKSEGVLNGRAHENDD LY89DRAFT_733010 MKSQHEIMVATEKSHDDICNVQVRGSLYKAINYALQHSLEYAPG LIFKKFHTSTRDGGDVGTIRSLTTDNERTQPVLIPAIKSMEMSTMSSKGATLGSSLNR SQSTDQAITNFDVVNKNLKMPCLSMKVHKRKADFFGRQDILDLIDKNLLLENRRNVTF SEGFLRSFALCGMGGIGKTQIAVEYAYSRMSKYDAIFFITADGKTVLSEEFARIAVQL GLEDQSEANDITVSREIPENEASWLLIFDNVDDLDVLDDFWPTTGSGAVLLTSRDSLA KNQIHTANNGIDLQPFSAEDAIQFLKTLSRGPLQPTQEKYAAELADRLGGLPLLITQM AGVMARLRLSYSEFIELYNASGIEQISMTGSNMSTPQRVYWISYKLGFDGLAPTSFGL LSLIAMLNPNRIPEFILTDACSKDSKISLEHFPKTLQQYYEARAQLLQTSLINQNSET GDVWVHRIVQDVTNGKLIQHRLVDIYNLAVYAELATATLFSDAGWYRFERGFQEESKE WFELVQEICDGLEDKSSEDVKYMIRDTHHHLGTASGETNDTGRFLKHSGIWPEMLLER KTPDGELVVDYELGMGYNEHGNSQAMHSTANKGLIHWILGDYEAAEHTFIDIIVVFRR ANGVDDILSFKTGKMLYGLGNVYVSQGKFEKGLKYYIRCLKQYRATLGDRHHRIGDIC HRLADDNLRFKNYAEAYAHQPSPKELARSTYKASQVSFGSVNTSKAESELRDAFHFLQ ALVPDDDRGMDELTEEDYDNLVVFWSR LY89DRAFT_746609 MEMKLENAIFDHSHRPHTDGLETLRYFNALLYRHARRIKTTMSS LNSTCHSKWPKAKEEPTKRARAEVEQDFVHLYEHADALYRHCQADITVLMNSMAILES EKVIAQAGRMEQLTLLAFFFVLISFVSSFFSMNIPLLANPPMWSWFMVSGIIPVPSIG FYLFNDKLSNAWQRLRRKWFS LY89DRAFT_717905 MAQAIKNVMVMGAGGLFGTEVFVALQKANAFNLTVLSRKCSKST FPSNIKVYKVDDDYPLDQLVEAFKGHDALVSALPGRPYTVHLRMIDAAVQAGVKRFIP SEYGNNTCTAAADLVPLYADKAKILAYLKTKESTGLSWTAIHAGQFFDWGLESGWLDY HLEEKRVTIYDSGEKPWSTTSMGTVAAAVGRVLLKPDETKNKPMFVASFTVSQLQVLE ELEKATGAKWDVQRMTEEAALEKAKRLDNKDHSEGLKLLILMLLYADGTDRGANFEKD GLLCNKLLELPEENLSEIIARVVKQQAS LY89DRAFT_781403 MVRKPSWRKILRRKASLRSKILRLRMWTQSQDQSRSQNTHPYDP ETGDESIGVEFAGSAVSSPCPYGQNLTLANANNYSSLLTAANQELQWQDLYYRGYYEI SVSKEAVYASFFGMPTIATRNGYEISLANFTVLSGANKFMRSGNAVAGGVTESGSLKY GITSGTNITNDTATGLYFISSYNLDTA LY89DRAFT_644427 MSSTITPFDPTSQNITVLLADGVNSVNITIAALDQFFIYNCRLC INYAAQLGACLIMLIITAVLTKESKRTKPVFVLNVLSLVFGFFRALFLALYCVSPWVE LWAYSTSDYSRVPKSAYGTSILGTVLPLFLTATVDASLVLQAHTVSKVMKRKHYYAVT AVSCLVYLFAVSFRFAEAVTNSRAIMSDGSYFAEAWITTATLATETIAIWYFSVIFTG KLVWTIKMRKNMGFQKWSYMQILAAMGGCTMIIPSLFTILEWVTPSDFPEAGTLAMTM VALLLPLSSLWASMSTTDRSASFNLSTLWASRQSQEDMEKNFGSQYTGPSHTQYSTDR KESTAPISPSANIAVVEHTPVKSSRDSTEIDLELMGVRVDRSYDVHSDRISGY LY89DRAFT_706842 MAAVKQQVLNWLYSVLTSEYRDVNRTYNDVAQALSHYSSLSPRT DVYTYENGKSALLLHLSGTLPVVFRGTTYRFPVALWVPHAYPLEPPLVYVTPTEGMMV RPGQHVDPQGKIYHPYLVGWAEFWDKSNILDFLAILREVFAKEPPVISRQQQAPRPQQ APAPPPVPPLPPGVGRPISESPSQTNDQVRPPPPPPKPTSDPQSYSAAGPSRRDSGPP LPPLPPQPNQDNARYGQQTPPNGQPQAPQRISTLRYENAPPLPSQPQRQSSRDSTFSP PLNGPPEPYRQSSQGQAYQQPPHVSNVNPQYNTQPNRPPSYVPQQQPYQGQPQWQQYP QQAPQQPKPKPPPPPDLLDAPLVLAVPSESSTNLPAPPVPPNPEKDMLLHNLGRALYS QRQHTLNQTTSSLPGLDAQHKAMLNTLSSMQAEIQALESLNTLLNSNTSILHTALHDA DGVIESSQHRTAPNVDELLVAPTVVANQLYDLVCEERSLGDALFVLGRAVERGRITPA VFAKMTRTLAREWYLKKALVKKIGRGMGLNTY LY89DRAFT_46206 MLPPIPILSDYGISPNHGFLPADLPLERLPDPYYNKWEAIVANL QGLILSKRLRGVIDRLPILSTAGLEHDSEWRRAYSLLSFMAHGYIWGGDSPAERLPAS ISIPYLHICDYLEVLPVATYAAVCLWNWKPLFANEPIDLENLATLTTFTGSIDESWFY LVSTAIEARGGQTIPLMLAAIEAARANDGATVALCLRGFAEALDDLGTVLQRMHESCD PTVFYNRIRPFLAGSKNMEEAGLPNGVIYEDGTGKEQYRQYSGGSNAQSSIIQFFDII LGIEHRPTGEKKDPASESDLEGTAPPAKHNFLLEMRKYMPGPHRRFLEDVSRVANIRD YVETHRDNSELTLAYDACLAMLRELRSKHINIVSRYIVLKSRESRSHSRSKSPVVERR KVDLATASRQHKNDPKKGFKGTGGTALIPFLKQARDETGEPAIDNWAKRVMNKDSRST DKGDFFLGKLDKLPDGEVDFGGLAGSWALDENAGGLCHY LY89DRAFT_684259 MPHYLLLSKSAAITGGTTGIGRAIALEYVRQGCNVAINHLNLPR DEHHKASLIEEAAGIRAKDEKAGRLIEVEGDVTVPETGKRLVEVAVREFGRLDVFVSN AGVCQFAEFLDLDHDLFASTVRINLDGAFYSCQAAARQMATQGNGGSIIAISSISALV GGGLQTHYTPTKAGVLSLMQSMAVALGKHKIRCNALLPGTIKTQLNEEDLNDEKKREY METRIPLGRTGEPRDMAGPAVFLACEELSGYVNGAQLLVDGGLFVNLQ LY89DRAFT_733019 MSRWEKYRASRTSWGINVLGSFCVEIEGSDGTVGFATGFGGPPA CWLVHQHFERFLIGADPRDTNHLFEQMYRGAMFYGRKGLPVAVISVIDLALWDLLGKV RNEPVYKLIGGATRDRLDFYCTGPEPVSAKAMGFWGAKVPLPYCPEEGHEGLRKNVEF LRKHRESVGPDFPIMVDCYMSLNVPYTIEIAKACLDLNINWWEECLSPDDTDGFAQIK RAHPQLKFTTGEHEYSRYGFRKLIEGRNLDIIQPDVMWLGGLTELLKVAAMAAAYDIP VVPHASGPYSYHFVVSQTNCPFQEYLANSPDGKSVLPVFGDLFLDEPIPVKGFLDVTQ LDKPGFGLTLNPAARLIPAQYLLTPNPERPLGTSASAPKVAEEKKSEDHQGVINGVVD SIKDLTTS LY89DRAFT_684261 MRQPRGISPGSPHKSSLLNHSEPSLETRPKKTNPRISASAQSAP ASTSSMDIKQSPQASLRSGGNHPESDDNAYSEGDSVEENDERPRKRQRRPMSVSCELC KQRKVKCDRGQPSCGWCTRNGAICEYKERKKPGLRAGYGRELEQRLDRLEDILRSHAQ ILESTFTNHSTSLPSDHETPQSVPGFRPQETSRSHVPHAETALFLQKPSTFPGSQSMD FGNQIPQTPSMSSLHSDVFQQGMQQTGNPHEAATSMQSAQAPSFYSTTQPPLESPSLN ITQTQAEFSSDHALPPYDLLYALVDLYFKYVNTWCPILHRRSTLDSLFGPATLEEADR ILLHAIVATTLRFSTDQRLTEDRRRHYHDVSKQKVLLYGLENSSVKALQALVILALDL VGSSNGPPGWNLLALITRSVVQLGLAVETTSFSVSPNYPSIYTLRAMVLPEADNFIEE ESRRRLFWMVYLLDRYATIATAFEFALDEKEIDRTLPCRDDLWNKNQSVQTRWFRTSE RTEYDIDKPENLGAFSYYIEILGILSRIHKFLKKPVDISALSDVEQWQGEYRELDNML TSWKFDLPADYGNMAKLFQPGGNKSINCGWVMLHATYHTTVIRLHSSAAYPTTRSPIF TPSYSASQRCHGAVENIAALGEFVVQNGMLPKLGPPFAFTLWVAARLLLVHGSTIEHK LSPQIQFFVDTLREMGRYWLVAERYTAILQRVLDEHRDSERSAGANGERVTPSSVKIL ADMRRCAYDLDFLISRQPKHVGMVSRLPSVTPARTPAPNELEYLDVFDFFNVPRLPLA PGGGEVGHDAAGNGAAGEMHLPELNGFNITNYMVDANSDWLFKNQS LY89DRAFT_46422 MRDLGEQDRDLSLHRSPFAASVPFPGSIPRSSCSQTLRLWGDNH RTTVRAIASAKCWEMASAKGCLILVDLRPEKKGRQRSPSKRGYDWSICALSEFEFELR SQVMFMIPAIVVSQQPYHAVSVTGTRHWRFCLATLHAALYGLSDVDPATRLKTF LY89DRAFT_46425 MTVFLHNLSSCRVCSLDFLCSGFSFSRLLNIFPLPSFSGSVLIQ IFTLPAPLLQYPAISDIFTTPPRPLRTSARNMCYLVVERYSVCRCLYYQHSVDMCSAY GQKGHGITERTVLVGYACEKHTNYQQEASSSRDGYSDSGYGTASHGSHHHSSRRHRR LY89DRAFT_684262 MPTIQVKKNTMKGKNAAERGRQLYAEEDYKGALQAFSEAVQGST EHLLLNALDNRAATFEKLGMYKLALRDAKEMIEMMPKLAKGYLRCGKILSLTKKTDLA LQIYGRGLSKVKISSPGPGRVILKEQYEKLRIKLTPARTRDPMFHLPPELVVMVCGQL TMRDRVVCLAVSKPWKHLLENIKDLWTELDTSYCKRPMNMKSLKIHLRRSKYTLDSAL ITMKARFNDAEFRLLANTCKDLRELRIQGAGLIGASLSEAVPIAKNLKILHVSSLTEA SLGTVQSCLKKCENLDSMSFLKVKGSSTAVRDGAWVLAKNENIKNLELHSDKKSRLDM NGLVAATPNLVSATLCCWKFVANNASTTRLNLLAWSHLEHLDLTNLQLKRFPILPPTL KHLILADNPLLKIQDAAELVSLTTMPLLERFNCHGTNIDARAVKHLTYEGSINGHLKR LSLGARFIPTPAVSVDEEYPQSGSLEELSLDLLPIDDARAMEIVRLYPSLRKLDVSGT NVTGVAVRDFVDRGITSLNLDNCRATSRDAVEWARGRGIEVSYNFASFL LY89DRAFT_583585 MPPVTSVIPDSIPIPSSVVLEYSLPVTSANAVAIPIPSSIVPEY LPPATSINANIPLPSASASFPPIGVLPPFTLNSLATNSADNPKYRFNAGSSTNIAVYY GQSPATSTTTLTSQCADPNIDIVILAFVISQLDGGKYPSINFGAACGGQTQMMINEAP GLLWCPGLASQIQICQATYGKKVLLSVGGSTSKISFSGPGQAQELGDVLWDVFGPAGN VDPQLRPFGTVEVDGFDIDNEDNMPAHFDALALTLRNHFSHTTAKTYYLSSAPQCPFP DASDPMALLLLCDFVWVQFYNNPSCEIGSAGFSASLKQWSQVLSTSTMATKPKFYLGA PAWSAAGPTAYEGIGGPEGMMGIAEHVEGMKMHNFGGVMFWDGSEGVINVQNGKDIIA WAKEGLTA LY89DRAFT_582912 LRRKEVEEYGYNPNNDPTLPAVGGVGSPDGAYEMREEGSSGYRG WGTTAVASSGRKASTTLSGGQSPGGIGMAYSEGTSPTHGPVSDTRSDNPLVDGRPQSG EAESLGAMGPAASGNRSDINRGPSNASSSYSAANRSDGSGEVPGSYGAGQYYNGTNQY DAGNPYSPETQYGSPPGRAEMGGQPIIRDVQARRNTRIENPAHFPQQSAGISQNF LY89DRAFT_46537 MNNAIVMSGWADAIPMPRSSVCKTSHDHDQMSGSETRHREGLFF FRWWRRNRNVAGGFGDFQVSLLAFWGTASTLSCLSAVVEANDLWVRMWWKPITVGFPH DEESQSPWDWMRLSTVYSILSTQRGRGSLHVASDEQEAIDDRQPKQTVRPYRKYSSRS FV LY89DRAFT_696691 MENAHIGDIVRLGRRIPVGDTIGRMASAINMALPDRTIEVRDTT TTTSSADSTGSCGASACEKPVSNSTFTLPIILGVAIPVVGAAILFIILQRRHTRKQRE EDANDRHASMDFGLGDVPQPLRAKRAPTNMSEMSYGGEKGGMRPNGMSRRQVSMDLNM SSPYLLPPELQNSRESLHSLSRTIHQQEDPYRPVTQYYPGDGASIRSQKQGSSIYTGS SAPSKLHDTSSNLLANAGQMPRSHPPSAEFTRPLRQNSLPQSNASVSPVDSIPPPYPV EPPQAHLPVLTPAVLTPGSPESQSRGLPTSPRPGQTLNPPAKVAEKPRDIRTSNGNPA NFRQSNDYLAAMIMEKEPAPPPEPRQQARRGPPPAINTLPTNPRPARKESMPQAPNMD DQNDYGDGFKVTPPSPGRGEQMRGQRYSMDVPPEQFAQAGLGAPGFDPKRLSMGFRPL PPNVVTESDDPEVRANRIRSFYKEYFDDSKPAPQGQYYEDYDENYLGDAAYFDPETNT FVMPYAEPVTRRAMTPPPMGPPRFPGPPRGRQGSMGAMSTGGMRGPPPGPRAYSSASG RMAKPGPKRPLPPPTPLNSLPTPSKLRDDSFALMSSIEFAPPQTYRDRVAGRSESPLG ERRPYSPMVPAFAPVVSAFDELAPIPSPHMLRKSGTFTSLDFAPPKKFRDPDSMSDAG SIRSNRSGISQTQLGAIRNGAYRISRIPKDVVFTKDDLATTLKPQWGMRAE LY89DRAFT_46596 MQHQFAERMLLFFCSRWFNGITMVTVPLYIHTVCLLIEPLACLL LARPEERQDGRGMVDVTVTISISGPSGIGLVRNTWPTGITQPHQASSRIRYSLATLTL TLTLTLLPSGETASSSPPLQEDYSYSRVTCDVGSVTGDHEIAAAFGLFTSVQHLFRLF GFSPSDLNSAVRNHQPYQYLAFIFRSLQISLLCPAG LY89DRAFT_733025 MADFDLSANFIPSLHKPASLLPIAKHRQNLLYLIETYPVTIVVG QTGSGKTTQIPQYLEQAGWCSDGKIIAVTQPRRVAATIVAIRVAEEVGCEVGKEVGYS IRFEDVTSSATRIKFLTDGLLLREALVDPLLSRYSVIMVDEAHERSLSTDVLLGVLKK ILKRRPNDLRIIISSATLQAEDFLRFFMNDEDLQPKSSKPKEVSLDELISESSSGPQD DAFGGKTGRIISLEGRMYPVDVLYLETPAEDYVEKAVQTVFDIHTKEPDGDILVFLTG REEIDTAVQAISERAATLHPRAQTVMPLPLYAGLSTEQQMYVFEEAPENTRKVIFSTN IAEASITIDGILYVIDCGFVKLRAYNPLTGIETLTATPISKASATQRAGRAGRTKPGK CYRLYTEPSYHSLPTTTVPEIQRSNLAPVILQLKALGIDNIARFPFLTSPPSALLIRA LELLFSLGALDTYAKLTTPLGTRMAELAVEPMMAKCLLSAPSFACLPEILTIAAMTSL GGNIFIHHHDGEKKKMETARRKFAAEEGDHITLLNVYQAFITRGRKEPKFCHENSLNF KALSRAVSIRAQLKRYLERFGIVVAETLSSSSNPNHQPLPLTVGGRDKGEQIRRCLTT GFFAHAAKMQPDGTFRNVAGGTVLYAHPTSLMFNRKADWVVFHEVVETGNKTFIRDVT RIEKGWLVEYAPEFYKIR LY89DRAFT_583487 MPRKNGTPGLPLDDKNRPVVVLRQGKIVGLELEAGYPQILEAFR GVPYAQSTGGERRFMDPLPVNASDEVFDASLFGDVCPAGPATSGAQSEDCLNANIYRP KEKGGNLRLPVVVHFHGGSFNFGAGDSSRSLSHFVAWSMEPMIAVGFNYRVGAFGFLS SKIMAKAGLLNAGLKDQVLLMEWVKENIAAFGGDPDNVTLMGSSAGAHSIGHHLLHKP DIAPPFHRAILESGAPTARAVYSYSNPLHEKQFRDFLQKLNLASTPEKDLLPALRKIS TSEFKKASEAIFNKYNPSLRWPFQPVIDGPGGLIPVAPIHSLKAGKFHKVPILTGFNT NEGAMFVPKSAETGKQFTEFFRNLLPGLRELDLEKLNELYPDPNLDEESKYLETREGL GKQFLRLEQAYGQFAYVAPVRQTARYFSDVKGDGIFGHDGPEVYLYHFAARSSVKGGA DHGSHIPWVNYVPERRDKGGVVDEISGCMHAYWTSFVTSGDPNAVEGMWAGRAKWPVY QGGSDEARVLVFGEGNDELAGGHREGVGVQVRDDGFAAEESRFWWGRTELFEF LY89DRAFT_684267 MARVTRSKKIEIAEDHTALASQTPLPDTPSQQTQPLTEVHNSMG ADIAAMGDNTIATDIKGLKAAYKAALGIGIGKKAKKSKAKKGKQDQESEDTITEQFIS AGDSPVPEATRLLLQSREEHATINKEQQIVPAPRITRRQLAQAQAAEEALAKQGAVAQ DTKNQIEAVVLTVPAEITSDSGDSATEQATVVSQATPSPSRSPVRTLAEVEEVYRRVD SPAPAYDAGEDSFVQQITGRSPAKPVSRIEDSVEALDQLEEALDALDQAALAERIVSP EKKRFPRPHLNEKAIMDKKTLATKAQPPKSGATTMRLKATAPRPSVLKKATSMTFKPS PTELSRSSSVQLKAQPKAKAPVKRPLSLMPPKETAKSTKPPTRPTFELPGEAVARKLK EQKEARLAQRETNEDSFHTARVVSGPKIKSTKLPTYPTFELPGEAVSRKKREAHEARL KAQEEEDRKRREFKARPIRNSIVPDFVPRDTVASRARQSRIGLENMGVDDISVSKRGS NVGAHRPSILELSKANMSAPRAKAAPPVRKPTPTTHGPSMSGRAMQRTLSTTDVQVQR QRARDIYNRDAKLAEDLEREKRDREAAAKRAREEAAERGRQASREWAEKQMARKMAEG DKGMSAGYGPGGQMGLKS LY89DRAFT_717920 MSYSSRPLPSHIRQASTSGNSTSNGQSPILLARINEKKAELENL KQLRDLSAGLAGQMQMLEEKLSTLSDGTEAVATVLGNWHNVLRAISMASMKIPKPKEN SEDAEPKNDETTSDPAMPLPQTLVRIPTEHAPMLQQNSTGGGASAE LY89DRAFT_46623 MARLNEPAPAQETMESLKRKFMRQNRDIARANSTQSLRIRNLEN ETSRLLAENLGLREQIIRLQKELEEGKAQRVADHAIDLKSQLEAKLLEIGSLISELGN DAPKKPSPKIVKITRASPSRSPDQRNWKNMCTLSEAVGGQDGRLPPIMENKMYPRRTL ERQEIISLVADAEADITDSPEIGPPPVSQFVDEDPVKIDLPRRTKKEEIEEPSSFDPA LSVNLEQRKKRKDSTITVEPRQANIHETIPGSKDTELSLKSGAKRKLSVRDDDENDRP RVPPSPDDFKFTRVKEEDKLRNKPMNQQERTGSKSTREIAVPRGASRDKLHASATSTT RKALAPKSVNDSPRKNTQALVSDGGKPLKADVPQPDFAKDHSNEDRKEAVRVQPTANV VMKTIEVQPEPETPAGIDIFSPSSSQPSTNRVESRDTPPPSDFSSGADGQRPSRRARG AVSYAEPNLRDKMRRPTKDLVDAVLKDGKAQRPSVTKLEEGLGTSTVTIKAEPEADDA WKSMPAASTATVENSPLSSKAPDSLPSSITTHRKRRESILNHSESELSNPTSGSTVAA LLAESRRARAAIKEKSPADEIALAKEMEKLDIYDFKGSPPVQPLEPEKATKAEKPVLR FSRRHPSISRDVLQSDSEASDMEAPKKSEFLKSRRRQSTLGLKSTGTNPELSKGTDSQ RSLTRSTSAASMTEAGQVGSRSDCISARRRSMML LY89DRAFT_46642 MTSRLVLVIGDLHIPDRALDIPAKFKKLLTPGKIGQTLCLGNLT DKPTYEYLRSIAPDLKIVKGRFDAEATSLPLSQVVTHGSLRIGFLEGFTMVAPMETDL MLAEANKLDVDVLCWGGTHRFDAYEYENKFFVNPGSATGAFTTGWMGEGEELVPSFCL MDVQGIGLTLYVYQLRKDANGVESVNVEKITYTKVVGGS LY89DRAFT_781420 MAPSATSDIVVQLPEVKVGVAKQPEKHVHGAEDKTPLEAISHGP LIHPGEKKVFIYCFNGREELVQNCALSWSWSALENLSTSSTGTEQMLGIPTFATHAEE RAHILTHTAAVFRDFSRKGFTEGMSGHISVRDPEYPNYIWMNPLGKHFGLMTAGDLIC LDIHTGKVVGGNKSRTANSAGFLIHSELHKARPDVHAVCHAHTNAGRAWSSFATGLDM LNQDICYLYDSIAVYAAYGGIVFAEEEGKNIARALGPKNKVAILLNHGLLSTGSTVDE AGFLFGLLDRGCAMQLQIEAARAGNPSLKKHVVSDEEAAYNFKMASEKNALYAEAQPD LDYEFAMAGPGVIEKGVENMRIDVV LY89DRAFT_46694 MSILFYRRPDYLNKPAGPINSTECARYVERAKNSERAIPNGLSF DEVINNKALPPCSLNDFMDYLIYVEYNAENLQFYLWYKDYTKRFNALPEKDKVLSKEW IPDTKAIPDLTKDGENTEKKKPKRDGSVANIMEAGYAAKEAIMFSEDEAPLSPKSPTF HKGHMSLATDGTTTPSLAGSSAPSDAELAAQAGLKWQPFTIQPMREEINRVMRHYITF SAPRELNLSHKDRALCLHALQHTTHPSALAPAVKIVEAALRGQSHPNFIRWSICNGNK PRVFFVRTMGILHTGFGFVIAIILTLSGVSRWWRILAAPMWFIGLSTLVAAYKGLCVI LHHSHARCLRPWEQDLDSELGEERRDSFASTANRTVQMASRTGNYDDIDKGDDSSSSF RPSSFQFFGPKNSFDDASWVEKYQRKPLVRKVFDQQTWTQDETLRILQDKIVLGANLW AIILTVIFTIVFVALPKGNFY LY89DRAFT_717925 MGAPHPSNFLSLDPVLPSIFTFLQDNGAAILFDFLCCITLSAVA AIVHFAPNYKSDNRIVPVNLGPSDQIMFPTELLYPYKEPLVSTLVRRFRDYRAATTGL VKTVLAATIVSETVKHFVGGFRPHYIEVCQPDLTLLTSVNTTTSNATWWMGPAACTGD KAKVLNALWGFPSGHSATSFASSVFMALYLNGKLKPFSDLAPGVLDLAIVLSPLLLAS LVSGSQYVTHQHHAHEVLVGMLIGTVSGLCTYRARYAAIFNFRNNHIPLPAFPCTIDD QQNDQHLCQPACDEKLPTRRWWARLSEKGGNLTLGDGYPEVPRQQRRCLVW LY89DRAFT_668516 MFSQPNHPALLIPGPIEFDDAVLQSMSHYSESHVGAPFVATFGE TLAMLRKLFQTTDPSSQPFVISGSGTLGWDLVAANLAESGDDVLVLHTGYFADSFADC FETYGAKPTQLKAPIGSRPQLPEIEKALKEKKYKLLTVTHVDTSTGVLSELKALSELV HRVSPETLIIVDGVCSVGCEEIDFDKWQLDGVITASQKAIGCPAGLSISMFSGRAVKS FQSRKTPPGSYFASFKNWMPIMQNYEAKKASYFATPSPQLIHALHTSLTQILSKPLSE RFAAHHATSQKIKKAVADLGLKQLASDPADQANGMTAIYLPENVKAPELLPNLLKKGV IFAGGLHKEIATKYIRFGHMGVSVTDTKRDDIDRAIQALQTGLSDAGYQKA LY89DRAFT_684273 MRVSSVLVVFAATGILAQSSSSTSSKATVSSSTSLSSTISSTTS SIKPANTSTILVTSSSTKPANATSVVASSTSKPANTTAASATAKPASGAMGAVEAGKY FLGFAGLSGMALAFL LY89DRAFT_46761 MAPTIEELDATVRAFYESRGDSQKAAQAALNQFKEDPDAWLLVD KILQDATYPQTKYLGLQVLDHVIMTRWKVLPKEQCQGIRNFVVNFIIQCSASEESLKT QRTLLNKLNLVLVSILKQEWPHNWPTFINEIISSCHTSLSICENNMAILRLLSEEVFD YSADQMTSTKTKNLKTVMCAEFSSIFQLCNEVLNSATQASLIKATLETLLRFFNWIPL GYIFETPIIDTLRTRFLEMPEFRNVTLKCLTEIGGLQTGQNNAYDEKLVQMFTEVLTA ISKIIPLSLDLKSTYNSSNSKDQEFIQNLALFLTNFFGVHLNLIENLPNRDFLTHGHF YLIRISQIEDREIFKICLEYWTKLVQELYDEMQSLPITDVNPLVNMGVAGLSNPGAPN PTMLANYPLRKHKYNEVLSNLRVVMIEKMVRPEEVLIVENDEGEIVREFVKESDTIQL YKTTRECLVYLTHLDVVDTENIMTDKLARQVDGSEWSWANCNTLCWAIGSISLAMNEE TEKRFLVTVIKDLLGLTEMKRGKDNKAVVASNIMYIVGQYPRFLKAHWKFLKTVVNKL FEFMHESHEGVQDMACDTFIKIARQCKRHFVALQPGENEPFIEEIVRTMRKITCDLSP QQVHTFYEACGYMIAAQPQKNAQERLIAELMSYPNAAWDSIIAQATTNPTILQDADTI KVIGNVMKTNVSACSSIGSYFYPQIGRIYLDMLSMYRATSQMISEAVARDGEIATKMP RVRGLRTIKKEILKLIETYVDKADDLEMVRTNIVPALLDAVLVDYNRNVPNARDAEVL KVMSAIITKLSSLMEDQVPIIMENVFECTLEMINKDFSEFPEHRVEFFSLLRAINLHC FPALLKLDNRQFKFVIDSCMWASKHDNREVEFAGLNMCLELITNIAETDAATSNAFFQ QFFVPILQDVFFVLTDTDHKAGFKSQSMLLSRMFYFVSPADGTAPKIQGPIYQSDQAP SGTSNKEFLGGFVANLLQSAFPNLQPAQIKAFVEGLFSLNSTYDRFKLNLRDFLIQLK EFAGDNAELFADEKEQQDRDAKAAERERLSKVGGLLKPAELAEDDDEL LY89DRAFT_583728 MFLLKITTFAGLASIATAQSTFVNQTSCNGKNYTYQEFAGYGLI SGNARDEFGDTIGGIGSALALDRSQWTKLSNGSYTGVLWALPDRGWNTEGTLNFQPRV HKFDILFTPQPNATVANPSGNNLFFTYKETIRFFGPDGTPCTGLDADGTGHISFDGFP DLPVATYRGDGFGNAGPGGRRIPVDSEGIVLNPDGSFWVSDEYGPYVYRFNSSGVMIA AIRPPDAFIPMRNGTESFSADSPTYYASKGAGDDVYPADNPTGRDNNHGFEGLTVTGD GNTLYALLQAAANQEGGLTKQTERYARLVKYDITVPSAPRYAREFVVPLPLFNNPTAK ASKNPQVAAQSEIFHIQDGQFFVLARDSGAGHGQSSTLSVYRHIDVFDIANATDIKGS TYDCANCSIASSAGVLNTGITPATYCSFIDFNVNSQLNRFGVYNGGAQSASLLNEKWE SIGIVPVDGLDGDDDEWYVFSMSDNDFITQDGYLEGGEFQYSDDSGYTLDNQVSLFR LY89DRAFT_746635 MSSTPSNPPASDEHAQKQIQDRSGVLTVCLHEAVGLSLPEHYKQ LLNERNDQFRSDPGPKFINKSDVSFVSSISHDSEHKTSGYKRHPVYALIDVDKSQVLV PASSGTVQNPLWTGFSPRFDVTHSMELSVHLYLRNEFSTSDSGRIHDICLGVARFSPT FESGHDEVEDPDITHLAITELGHKSKVSHQKWLELERGTGRIHLSVDFQEKKTHLQIQ DFNTLKTLGNEYRKSTFQVYKKYSGGLYYALKRIEKTECLYLVSPFVHGGELWKLLQK QQHLDVDTIRLYAAEIIRALECLHDLGIICGDLKPQNILLDYSGHVSLCDFGLCRFDA RDCLQEPYDWEALPYLAPEIRFGQACTEVVDWWTLGIFLAEMLSGLPPSWYDEDVNGK IEAFYFPDPDVVSPVAQDISIKLFNRNPKERLGTKGIAEIKTHPFFCDIDWFRVLQRG YEPSFRPNNAHVLSDSMPQVNCPLSSVEELQRMSNEATETFHNRAILSYRAEIRSSKS EHIA LY89DRAFT_615087 MATTRDTTKSFLHYPEAKQGPNVPYTNNRKSNPAVRGWYLLISA LILEYVGFVRRIVWKNTGFANLRLIRKYIENAEPRYDPTVVPIAASSPFDDPAPVVET AVAWKPEAKYYTVADYRKLFLSGELTPLAVAQAILPLIRRDISPPGSHSIGFFDSNVE LVLAAAKASTLRYKEKRPLGPLDGIALAVKDEYDIEGYTTCLGSRNDYTGKAAPGESI TAWCVKKLQEAGAVNVGKLSMHEFGLDTSGNNPIKGTPPNPYNSDYYTGGSSSGCAYA VASGLVPIALGGDGGGSIRIPASFCSVFGLKPSHGRLLFKPCMNHSSSCAVNGPLASD IASLTAFYQVLGIQDTEALFPAPSPTILVPTSRSKKVLGIPEAWFARSTPAIQRLCRS LVDRLVANHDYTLVPISIPFLPEGQLAHAMTVLTDAATLLPETSNLTSANRIMIALGT VTPATDYVLAQKLRQVLMQHMAYLWEKYPGMIIVTPTTSCAGWKVAGKSDLKYGISDG DTTLKTMEYVWMGNFLGLPGLAVPAGFVKPEGVKGAGEEAADGEVGGVPVGLMGMGEW GDEEELLRWGAEVEEVGMDRRCRPPIWVDVVELAKKQM LY89DRAFT_733040 MDRYNLKQLREDDNKRITIVGITNTVGVAQKFAQKLPNEIRTSW SGFCEAMKAEYAIESPFLWLDQYQVTTTPIYHNGDETISEPSGNKRPRESEPPAPKRK KRKTLADVFADAVEALKNDPVYGSETRPPGVVMMEELAAREAKESAGGYEAEEAARKI AEFAIQDSRASEGEAAQPEDEAFGKR LY89DRAFT_46825 MNVADLEMERNERDASPERFENTPHGRPSHEIERIPTASSSSTD SSENSATRRDRNMSRVSTQRDLERHPTVLSRIQTAKSQHTGTVGRSLTGKSRESRRPL PEMGLGKPYPPPLPEREEYVVEFNGPDDPLHAQNWPMKKKLLTAVMLGYTTLIAAFTS SIFSTATTTVAAEYHVSAEVGLLGLSLYVLGFALGPTFWAPLSELRGRRLPLVISMFG FSIFQVAVAAGKDLQTVLLCRFWGGMFGACPLAVVAAVFSDMFDNRTRGMAITVFSMT VFTGPLLAPFIGGFIVESYLGWRWTEWLPAIMGFSAFTLDFLFLEETYPPVILVSKAA ELRRRTKNWGIHAKQEEIEIDFRELVTKNFSRPMRLLFTEPIVLLLSIYMAFIYGLLY LFLTAYPIVFQRIHGMSPGVGGLPYFGMIIGMLCAGLFVILLQPSYNRKLAANNGVPI PEWRLPPVIVGGVSFAIGLLWFGWSGYKESIHWIVPTLSGLFTGFGLLAIFLQSLNYL VDAYLMFAASAIAGNTFLRSLAGAGFPMFSQYMFASLHVNWAGTLLGLVAFCLVPIPV GFYFYGAKIRQKSAFAPTKPMEPISEEEEPPAMLSEKQETRTSGANAV LY89DRAFT_615092 MADSAEEPLMRHEVGLEEEPSQDVDLSDVSLLLEKNLRHPGYFV WLLTLSAGISGLLFGYDTGVISATLVSINSSLGHQLTTLDKSLITSATALFALFVSPI SGVLADTLGRKRVLLLADLAFILGAVMQAITSSVPGMIIGRSIVGLAVGAGSFVTPLY IAELAPAKFRGRLITLNVLFITLGQVVAYVVGWAFTQWGNENTGWRWMVGLGAVPAAI QCMVMLVMPETPRWLVMVDRTHEARNVLSKVYGGGRDIQGMVDVVLKNIESEVREEEE AKRGRMRGQTKKEQNAWFAGSKDVWGELFRSPGNRRALTIACLLQGLQQLCGFNSLMY FSATIFTLIGFASPTLTSLSVAFTNFILTCVALLLIDRIGRRRILLYSIPIMAFGLLL CSAGFVFIILPTDLIADNPSSSASAHESVPLSERTAPLLVLASVMLYVGAYALGLGNV PWMQSELFPLNVRSLGSGISTSTNWGANFVVGLTFLPMMEFLTPAWTFVIYAVVCVGG WICIYCIYPETKGLSLEETGAMLANGWGVRESLRRSEA LY89DRAFT_781430 MVIGIVFTAHQYYHDFGGQGWLKARTDEQNGKTSDDPVQLYLPI RQIQVFKNPAVWERQAGDNVPFYTCGDQQNSCESFKQPNICCPVGMTCYAATFTPSGI YCCNSTDSDYNCEASESDPPKCMASLVECSPETGGGCCPSTLECSPNGCVHVNNASTI SPPSTVPATSSPSNSQGTQAAPAMTSNSLGTPITVTSTIFQAPQATVTLAKEGEILAA RVGAGGSSIVLSFWIPYAATMLIGCIAVLMGRL LY89DRAFT_733044 MAPARRRPRRRVRAVPTAASARPSRRAAVEATAKNELILARPKS VPKPTLVYEADREDKDSFFDNEVPAEIYKMIMDLLDPGSATCFGLVNRKAHNAWLKNY DYGDVNLNTMAIPAPGGLTRPLRTLLWFWAGPDLFFNALLGIFTPEEELMETYEDFMD LHKDEVAQQEREAEEREEEEEREREQRRYDRQQRRLHTGTVAHAHEVEGYSDNKHYNS DLEEENSGDEDDEDDEDDE LY89DRAFT_717934 MGSEASSTCHGHRLFQLFREYLNLPEITTIDQICSGLVQVGLLV LISTTGRYFTWLGSYLGALGLNVNVPLYIQVRAESIAQAALPIVLGRPPLPVYQQPPP VLPAGGGVHTEMAMYRLRLCWYTALETATPVAAIAGLQVAMAMPLVAPAYPNVNAITF PLMGSAFVLGYTYDQAATQACKALYEYFHVTLGSESAPFGMLSSPVAAPGSIEAERNA RRAQFSDVYLTIPNGMPFLNPPVGVGRVTINVFHKEMGQQELAWQRAFAKYLMCDCCG SSIE LY89DRAFT_615094 MSPTPQWVKDLKPSSPQGSELIQAERAKSKIAVEKLSNFLFTKE VLERQDRILGILKAEKVFDKSQNYFDGRVDRFKTALARAKRLRQLQVKHNWDRDEYMM ANELISEPGPYGLHASMYLTTLRDQGTSEQHKLFLEPAEKYKAIGCYAQTELGHGSNV RGLETTATWNPEDKTFILHSPHLTASKWWIGSLGRTANHAVVMAQLIIGGKPYGPHPF VCQIRDMKTHEPLEGVHVGDIGPKFGYNTMDNGFLLLNKVKIPHVNMLARFSSVDPET NKYVKPSSPSLIYGTLTWVRSTIVLQSGGVLARGVTIATRYASVRRQFQDRDAPSNAD GENQVLNYTMVQFRLLPLLASTFALHFTGKAMMALYQENQKMMQADAGKASDTNRGAG PEETHSGSDLLADLHATSCGLKALGSTTAAEGLEVCRRACGGHGYSSFGGIGAWYADY LPTTTWEGDNYMLTQQVARYLLKSARAVLKGNAPNNDTTRIFTTFLQKQDMGAAHDVL GSDSDLVAAFAWRTSFLTFEALKHRDAEKNSWNSLLVDFWRLSTAHSQYLVVKNFYDA LQSESLRYEVDPVTIDVMHKLFRLYALNTLEKEASEFYSSSAVTVRQIQLARTKTVMK LLEEIRPHALKLVDAWHFPDWQLDSSLGRADGKVYEDMFYRASELNPLNGLTVDPYPD SDVLTKKDETTKFKSKL LY89DRAFT_733047 MPAIFITAAPIGAVPRYINPNEPKYLPSVFTQAIPSLETGIKSN KAWEESSRGGLLVSESMRISLSSKFIKDLAPSTYETSQFLQKTGLIEQEGDLQYHTLI SPPSRTLPADLFAEIRSRKIVSRLVLHLTSHGWTGDGHGGLIWAHASYVESYLPPKLV DSLRAEAAGFVDGLLVKGWRLAGPGYSMHSRGVSPYLPITPEAIVKESAAAAAEGAAI LHLHTRERSDESKWDLPWSNVPIVMGSQANKIVPEDYEEIAPALRGLTPMSILNFSTS MRGGKDSDDPIRRAHLKAFKPGWQAAEMCSMSPAEVLFQNGGGYENTPAFLEEQLACC LKNDVRPEIEVFSWEILRETLGPFRSRLLKVNKTPLLMLVAGVDQHRRLDDGTLVDDS LIPMKRAKEIVSLIQSGKASDMDFALELAVAALAPVVGSIRREMPQAKISMLLPGALQ PLLARASVKLGLDGVRVGLEDGLVINDPLVPGGIRKGRTSEQIRSMREDLQVLGCKVL SAEETRVLFGMPTQTKTLFQAAINATTSITPCQISEASNPTTSFTDALRHLCPIFDRR EQWLMEQLLTLQQETDNGLTSSHSAVSIAHKVRDLIQVAGLHVRYFLEERDRYPAEGA KAFRNIHDIQSLNYAYELLLETERDATSYECALRGLATSCNIDAAGFLVPKHQRKSHD LRFLEYLSSLTCGLTPDRSTVTNVDLRQTHGYSAFMASLYKAVEYEYRRLRSTSEAQA KSDGVLAFNVGPREGNSFISSQELQQQISQSHWIILPSTPTTNSADGIKLTRAINAAF HSHLQKMLFPGTSDSPSLRLVGLVHSGRDEDGSELLESSMLYNRFHFATGASMLRNDF QLGTGCHTSIVGYSAQILYENVLLPRLVEHPERLQRSSSGNGKVVREAGHPLYEDGTP AKRNDALALRDIAPLRFLSHSSGIATMQQMDNAMRHDLELLGYSYQEQMELFTRNVVV SFASATDINTDVLGTPTVDITAYNDIRAMAGTTTKDYLLSESYRRQQALAAQDKHYKY DRSEWKIIRGASRKVVLRRTGVFLREDMKVDAHSIRRYLEAAPEPVAALLRELHSISG AARFDTVLG LY89DRAFT_733048 MIAVEANLALDPMLMFKCCFNSTSCHYDWRPLSRIGDLSHLRVA TVPHKRSSWTLRRHSTVIALYWIFDPPWQQTDDASAAERLSMSAAPFQKMSYRLPCAL SAAGELAGCTKSTAMRTDFWRLRFSISKVLHFLSPFHQVPYDAGPETGPGISKTYCCD QIESQRRVS LY89DRAFT_47017 MRDRMKCFMISEASQGPLLWHAVASFQAVCPGASALTTHQPSSL VLIVLMRKPPKSGLRSFLVNSGCLIRAESSTTRSARATLVLRTKR LY89DRAFT_47021 MGIGASAPDKALHLLAGLHLARWLAQWQILGLAFSACWRKAAFP SFAWSSYSPVRTVLCSSCRDRCSSVRCPSSRRPKVTVHCSGFRHIENGNSCWLHHRYS RADTIIKFHSRFLTTRRMSQFSAIQVRSREDSGHLCRRSRTPHLQVDTLHYCPFPEDL PAIFSSPSSCRLDVGAETLELALNKGRGLSSAPGCP LY89DRAFT_47057 MVTEKERMVKFPSCNVDEAGVEEERWTISVLYCTLCWSGGKNEG GGCPYTKTTVWVCLSWSCSMLDSADEHSPGPFWNKREKMLACLSLLSVCQPQPSPAQH ASVSCSQSVSMPTQTTSTHTTHPSIHPPTLVHQPMLLHAMPHAHAALGLT LY89DRAFT_47043 MTIDMDWSHSFCLACDRQTDGNVYCSEACRLAEYEVASNAGSAA SSPTAPRSPVSWPATRQSNNGFFMEPAYNFANAQPYGTTPSPRSSHFFHASRPQSSPV TFSSKPVLTPSSSQSSLFSMQSTHSSTASEPIQLSDESRRALRAYASSFDQSRYQRRQ STQ LY89DRAFT_668531 MQVSCHCETVGDFLSRGEAGKAAREKAREEAIMEFVSYGQRREQ ERGLAAKGKQFVLVSNSCKSQAGDGRIGLRADDEIVIQKVTPSNINITFRNPVHQRFK ILEREGSRANQPTTFVSVIASPQTRSISIDRRRVQATKPCLSTAVNSDLPRVCEAVPF LVLVLVLVQRKEPGRILLPWHTYLKINGNEREEKKKILQTKKQCLRSPIVNK LY89DRAFT_583165 MAESSINPHHAVVQEDSVAKKDAVELIENASIHESMPDKKSRAP ELVRNLSPETRRTLEDALRRKIDFRLMPLIVIMYILNYLDRNNIAAARLAGLEKDLKL HGNQFQTSVSILFVGYLLMQVPSNMLLNKLGKPSIYLPACMVVWGIISGATAASQTYG GLLACRFILGFVEAAYFPGCLYYLSAWYTRKELVKRTAVLYSGSLLSGAFSGLIAAGI TNGLNGARGLSAWRWLFIVEGAITVFIALFSFFILPDFPRTTTWLTEEEKQLAAWRLD EDIGEDDWVNSKQQTFFHGAKLAAKDPKAWLLLATTYGFTATGTVTTFFPTVVKGLGK NNITTLLLTTPPYLIAVIAILGNAWHADKTGERYLHVALPPIISVVAFIIAVTTTAFA PRYLAMCLMVGSNYSGYVVTLSWISNVLPRPPAKRAAALAGINALSNVCQIYSPFLYP TSDGPRYINAMCVNMGMSIMAILFATILRFHLTALNRKLDLGEAVDGVDVGGEERRNQ AEENGLPGIATDNGFRFLV LY89DRAFT_781438 MSAIIATPRHDYTATSKLDGADVAVNDVKTHALYTVDDLLFNRS RTIPDTPLVAYPASSRGRADYVHYTAQDLDRFADHSASKYASMGLVPENHGPDAEVVG LLAPSNLDYVASIFALHRLGFAVLLLSNRLATEAYVSLLHKTNCRRIVSSENLNKQVM AIQSETEVTRYPVHSQTEYNLKDPLIPRYPRQQGNRSSSNRIAFIIHSSGSTGLPKPI FQTQAACLMNYSSSFGYRAFLTLPLYHNHGLSSFFRAVYSGKEIALFNANLPLSGPNL IEAMRAVNPECFYGVPYALKLLAETESGVEVLRKCKLVLFGGSSCPDDLGDRLVEAGV YLVGHYGATEIGQLMTSFRPIEDKAWNYVRPLPASAPYMLMTSRGPNTFECVVLDGLP SKVVSNSDDPPNSFRTSDLFSPHPTIPNAWKYLGRLDDRVTLVNGEKVLPIPYEHQIR ENELVREACVFGVGRAFPGLIIILSEKASGLSKAQVLDSLWPVVQAANARVEGFSQIS RQMIEVLDVGVEYPCTDKGTMIRAAFYRKFEKLVDSIYLRFESPADVNDGSRLQLNCQ ELKLYLHNLFVQNLGFEQIDDTTDFFEAGVDSLQALSVRGIMMRELDLGSNTLGQNVV FEYPNISALATHLFSVRTGTETVETDEIETMRELIEKYSTFESHIPGACLVDGETIIL TGVTGSLGAHVLAQLLAQDHVKAVYCLVRASTQAEAQSRVLSTLSSKQLDSELHLEKI HCLPSDLSRDDLGLDVSTLDTLRKTLTTIIHCAWAVNFNLGVKSFEQHHVKGTFNLLN LCLGVSTTQPAKLFFCSSISAAAGTPLPAIIQETYIDDLSHAQNMGYARSKLVTETIV KAAAEQTGVTANVLRVGQIVGDTKLGLWNSTEAISLMIRSASIIGALPALEETPSWLP VDVVARSVLELANLDTDTVKNEDEDTSIVFHVQNSHLFHWTNELLPALRNAGLTFETV SQREWIRRLRDSESDPQKNPTIKLLDFFAEKYDNDNPGRKGLVFVTEKTGERSKAIGH GYDIIGSGIVKKIVDSWRQEW LY89DRAFT_47190 MAPVSVIDDLAPHAEGVSAPIVTNIAAEDKVKWYRKPNLRVMYL CLFACCMGVEMTSGFDSQLINVLQYTNYWKYYFSDKKDASGVPYLSPGLLGFLSASYQ LGSIIGVPFAPYVNQRFGRRLPILVGSIIMVTGAIIQGFSQDLGMYLFARMVLGFGII FCIISGSSLIGELAHPKDRDTLTSLFNSSYFIGQIAAAAVGLGTTSVPSDWSWRIPSL LQMCPSLLQISTIMLLPESPRWLISRDRHEEAHAVLTKYHAEGDPESVLVKAEMAQIR STIKIEMDNSKQSWLNMVSTKGMRRRTIIAVFLGLFTQLSGNSLLTYYSNLLFDRMGY TSSYAKTRINLANACFGLLTATTMALIVARFKRRVMFMTAAAGMLLVFISMTVSYKKL HEAKDHGINNKAAGIAALFFYFAFNVPYNLGNNALTYTFLIELFPYNVRSRGIGIEQV FNKIGLFFSNNVNPIALTAIDWKYMAIYCGWIAFEFLFIFFMYPETQSRTLEELAFLF EDKDLADQAVIAVEKEIHFDVGKDEHIEEAPLS LY89DRAFT_47189 MGSEENDEISSSPETRKRFSKAVKKNRNLLAKKEELRSQVGCLE EQIKELKSEAEKRDPLVKAGAAVRMRYLELEKVDRLGNIGRLDRRVIEAGNIAAHAEN GAADWSLTYAGLGSTAVFGDVFHAIYGLDYAAYDVSRYCLCRPCELVAEVNRFYGSRN TQMHREIASRLHDEFHTLNGGIHDEHEHMTVEGDSKSAVLINRLEALVNELLAIDVPG HKWTSKDFVPLDERDHEASYSLVQLSSMDSQTLPLSGRCRESNQMSTEASVWSIRYHY KEDLPSKLESGFPSLKEMEVLCRDSVFVDY LY89DRAFT_47206 MGKKKKSKQTANSTALTPSIHQILYAIKRQKPILEVTRDNEVPH GLSQEQGAVDAVSVFTDTMINFTDALIAIIDSAASTEQCLRQEVADLQTSLEDCKERM RQSATSTEAEIISLQEAAKEQDDKIATQEEKLIEQDGMLAERDREFKDQRNRYNILKS LYERSLKAQGAMKKQNDDLVEEKNLLQQRLTRAETDGVMKDAEIRQLEYDLSDEIVED EGVTRSLKDKHDASQEEYDSLKS LY89DRAFT_47192 MSDGQRLATGGEGFENQHVHPSSSKRRKKDEDRTRVTRACDRCK RKKTRCTGKQPCTLCTQANLSCEFTAAYNRGRLPSVIPDDNVREILPEKADTRGTVTG SPGLLTENQRAQYAGMELLLQASLPNAPVVLSPEPRPNTLVEPMAQVLPTGQQMIDSR MHSSRNSPEPSQTDLQGHYVGPASGVSFLLRVQKKLHQKVSFSQSSSIFTFGDAPLPD FNPSFFILPSQNDAEKLVARYFDFAVPTHRFLHQPTIETWLQEFYENLGVMRGRGAGE RTAVLFMVMAQAKDYMPDQASTPADTSARYFQAADHQLSTETGEIRLTSVQARLCQCF YLLSQSRVNHCWSLFGTTAHLILAIGIHRKRRVETSNGSDLIDLECRKRVFWCAYSLD NYLSAALGRPRTFHDDDIDQDFPTCVNDAELTSQKMISNISRAQSIMVGPIAHARLSR IVSNILRDLYAIRAPSPEARVTLTAKYSAELLSWREGLSRFLGTDGVDSSLLLPVYQR QRNVLNLAYQHALILVHRPFLLSNFASLSGKSSRQRGAPGTPDMDRKVSACLDAAMNI VTIVNDLAEGKQIFRAFWFTQYFAFCAVVVLYVYTIQQRNSPRAKYLAYFQAAAKCQA QISSMAIKESLAQRYSVVLEELRLEAMKQTERLHESNANVPSNSLDSISGPQTTNANG TSLHDIGGLDFSIPNDICGSDGPSGATPSSLMAELTDWSSFNSLVTAGMGNLDFLFQD DQIQHWEMSTMNDVGGVLLP LY89DRAFT_615107 MPKIAKIEYFRVPPRWLFVKITDDAGLIGWGEASLEGHTQAVEG CLDAYIERYTGMDADNIEHIWQTTWRIGFYRGGPVFMSALAGIDIALWDMKARRMGVP IYQLLGGKVRDKIKVYAWIGGDRPGDVANQAKARKAQGFTAVKMNGTEDMGWLDSPSA LDDCVERLKAVKALGMDAGIDFHGRVHKPMAKQLARALEPHRPMFIEEPLLSEHVEGI KALSQQTSIPIALGERLHSRWDVRPFLEAGAVDILQPDISHCGGISEMKRIAAMAETY DVALAPHCPLGPIALAANVQVAAATPNFVIQEMSLGIHYNVGGQDLTSYTHNPEVWNV ENGYIKLMAGPGLGIEIDEEQVRRFSEGAKPWVSPGFIGPGGEVREW LY89DRAFT_615112 MAMNRSFKLNSGYSIPAVGLGTWQSGPNLVKEAVSTALTCGYRH IDAASVYGNEAEVGEGLKASGVDRKEIFVTGKLWNTDHNPEDVENALDQTLKDLQTDY LDLYLIHWPVAFPHSKESFPVDPISEEIMVIDVPIKDTWKAMEALVAKGKVRSIGVSN FTKAKIESLLQTAIIPPAVNQIEAHAYLQQPDLLDWCKQQKIVVAAYSPLGNNIYNLP RAVDDPTVVALAREMNKQPAQLLISWAIQRGTVVLPKSVTASRIKDNFQDFQLPKDVF DKIVALDRHHRYNFPARLGVDIFGEVGRDSLRKSVEEWKEVQRKLKAGLKVN LY89DRAFT_47291 MLTKLPPPGVFVPVPTFFKTTPASSSSLQAALDVRTQCEHSIHL AKNGIRGLVLLGSTGEAIHLSRSERFELISGVRNGLTEAGYADYPIMAGILTNSVDEA LGWLADAKKAGAQWGLVLTPGYFGPAANQENLREWYTLVADTSPLPILIYHYPGVTNN IAISLETYMALAAHPNIVGCKMSHGNVSHHVQVALDPAIDHTKFRVYSGFGQQLGPIV LFGAAGVIDGLAAIYPSAVSRLFDLAAKRPVTNEALQKIQEWQFIVSRAEEMIAKWGI IGIKEGVYRELNIGNLNGGRLPLRGNLPEGEWARWNVAMDAMKQLEQSK LY89DRAFT_583485 MGSVGSQKLSELEVFLNKHPHITYATPSSPNYASLREIFSLDCK SNPPIIVRPQKAEDVGLLVQYAKSQGIKFAVRTGGHSLFGLSTIDGAMTIDMRDITYV HIEQGKKLAKVGGGTLQGDLATALHKEGLATPTGSVPSVGYVGWAAYGGYGPLSAQFG LGADQIVAAKIVDPSGKIVEADDKLLRGIRGAGGIFGIIVEITVKVYPLNKILAGNLI FASQDISEAFKKFNGGYRELSTQGLPSQLVIQQMVVNSPYGRAFGLAFTWGSDDIDTG RMWLSKIEGLGTVVMNTVAETTIPEMLAATQAVIPLKAYCSSRSLNVRKITEVADAIA SCLEKMPSDLAAMFTIHELRGPSAKPTKDSVFGTRDPHFMLEILGGALKEESREASAA WAQSTWETIGKTDRGGQNILPGTYISVEPPGESPGQIPLSKIYGSHESDVVALKREYD SENVFDLAMPRLVDYIRS LY89DRAFT_47224 MPEQADSRTTRPVYLARKTYKRPYRKSTTGCKACKSRKIKCDEV RPACTNCRKRFIDPSCCQYVSPAVQKKTPPQDPDISSSSSSSLTLDRLVTNSPIGVKP SSLLELRLMHHYTRSTCGRQPLQYMCSPTTADSMWEVDIPKLAFSNEVVLSAMLGIAA FNLLSLSPNDRELAVASRYYFNQAIVKQRDAVSRIDRQNAEPLLVSAVLLAHQNWLYS YSEEAQVRGVDLSTYKMCRGASLLAEKASPWTSQYDFLSQIVLKLKEEGTESEYDAEF MAKVHEDMDTLIRALDDRGINTEGRLVYEAVAADVINTCSFFASGRAENSALEQQIVT VLHRVPPPFISLLENDDPIAMALMARNLALLGVLKDNSAWWVHGAGKNNVTETALRAI TVQMPPEYMWTMDFPYKVFAKEVKVL LY89DRAFT_746663 MFSFRNLIIVLFLALAYAEPLKVRDTRGITQAELNQFELLAQYA GAAYCYDNIQNGTGNTVACTREGGYCPGVEALQTVILDSFFDVGITQTAGYVAIDRSH QLIIVSIQGTSIASNPIDALTDLNIARSKTTLCGKANTVDGCLIHDGFWQAANDVLPI VETTINISLAMNPDFKIVTTGHSLGAAIAALLGAKLRDDGHIVDMYTFGQPSVGAVDV SNYIENQAPAQGNNYRVTHYNDVVPQLPEHNLDWGTWDHYYPEFWISRQNGTVQASDM LVVTGRLYETGGNEGDKTGLGVVVDLIEGLPAHYVYFNPISGCDANAPAAVASSG LY89DRAFT_47348 MVACPICGKGVKSKDINDHIDSGCESYLESPPLTQNGSTQNTTA SQKPSVSSFFQTPAAKKAVSYPTPKPEPSPTLSIQQKPASNGKPNTSNPPPRKRSFED ITTAVKEEVEPVIKNEDVQPAKKTKTNAFQKAAPLAERMRPTSLDDVCGQDLVGPQGV LRSLIEQDRVPSMILWGGAGTGKTTIARCIASMVGSRFVEINSTSSGVAECKKIFAEA RGELGLTGRKTIIFCDEIHRFSKSQQDVFLGPVESGQITLIGATTVC LY89DRAFT_733063 MSEQKSKAEIIAERQANLPLPEDPPVASDWNSADARTVNVAAGE RQSEISTGDASSTGLREPATAGSGVNDPHSIIKESAGPVASDSLAAESDTFSENRNSE PQSVSGSNSTFANTNTSGVIRLDPASDAESRQAQENWASERSYPDALGGQSKRTAVEN TQGSYQTGGSSSNAGTAPSYVNSQYVDAGGPKGKNLTEGGFDENAKNASFNQDIGGKN DPGRLAEQKFERENADAAGTAGMPKQQGVSGDNTYDTLGGDTSA LY89DRAFT_746667 MPREIITIQAGQCGNSIGSQFWQQLCQEHGISQDGNLEEFATEG GDRKDVFFYQSDDTRYIPRAILIDLEPRVINSIQTGPYKNIYNPENFFVGKNGVGAAN NWGDGYQTGELVHEDIMEMIDREADGSDSLEGFMMLHSIAGGTGSGLGSFLLERLNDR FPKKIIQTYSVFPDTTNADDVVVHPYNSLLSMRRLTQNADSVVVLDNGALSRIAADRL HVQVPSFQQTNQLVSTVMSASTTTLRYPGYMHNDLVSIVASLIPTPRCHFLMTAYTPF TGANVDQAKTVRKTTVLDVMRRLLQPKNRMVSTIPGKKSCYISILNVIQGDVEPSDVH KSLLRIRERRLATFIPWGPASIQVALTKKSPYMPMNHRVSGLMLANHTSIATLFKRTV RQYDGMRKRNAFVDVYKKTAPFADNLNEFDEAREVVTDLIAEYEAAEDADYLNPEADK AAAAGGEAVDKRVA LY89DRAFT_706874 MSFQVPYSSSPPSTPDRRSNNGNNGISFGPSNPSTTPAGPPPSS TGSFTPAGPPPASIFGSSMMDNSPLKPLSFSKQSTFGTNSPSASFMRSSVAPQRSGLS HQYDVHEEEEEELEGEYMEDEEHDDGQRKTREYAEDDPDAMYDDEGSYEDDENMDDYE AELPKRNNAPRYEDRDESELLLSTPGSLKRSRGMNDSFAQSMSRPRISPFEAIAKGLY TRMPAPAIEEADDVILETEAIVSRLYDDSIEVDEVDEMDQIRETLATIPGELITLWEE YSSKTTVPDSEEYTASIGPGPKATKFAKANFIAGLTLQIQHPEKISKGFDRKVKPLPQ TLLEWMDEYHNPYPSQFEEIQSHNPSPANHRLFWDTIFNCLIRGKVVAVTSILTAAGW NYARNDTDEPRKPFAPTGFSGVALANIEKVVNTAIHVLHLCPAGNGDWNIRNSDWTLF RLRAASALEELKNFAEGRNRDSESLERSGMYSKTAQKAESKVPWHIYQNLVTMYNLVM GDVAAVTENAQDWLESTIGLLVWWDEGKDERRLGRSQNTYRAASRETDESAFRRKLQR SFEIATADSTDFAINSADEIEVALASLFEGDYVSVIGFLRGWSGPVSSAVAEVASLGG WLPRVEEKSLINMGSLDQDDMDLLGLNSSPSKADGVKDQTLIAYARGLARTGELRTSA RSGQPQTVREGWEISIALLGRLDSTERSEEMVGTFLEDIDLDSSSTVDKLWTLLNNIA LSRHAEMVAESYANSLAEGSHRYGEALWYYALAHRSQKVKDVLDLLISFSLIQSTAYP PESELDDHLRHLIAAPNTALSELAEMDDEAAKLLLKMLSGYATLRKFYDLRDAEVLQA TNGKPRKGRIERKNEAAAALIVVIASSDDNIRGGLYDEGRGAVVSVDFLLALLGEAMV FINQPDFAITVSDIDILLKAVEDLQTVGPRVYSACTEFLQTVVASGQGLKGSSPTDML RKSTSNVSGTSSFSLIGSSMLASQLKQSMSSSGVLVRGNIKRGWDWRNGISAGTSGDD LLRILRLGLAQSLAKAWLTEADGRM LY89DRAFT_684299 MAEAKRRVTESKKVWTTLITNTAYLSGLLTLDYSLKKHGSKYPL VALYTDTFPAEGHAALEARGIPKQRIEYLLPTKGKDYSADPRFYDCWSKLAPFSLVEY DRVVQLDSDMLVRRNMDELMEMELDGPELEGKGMKVFAAGHACVCNPLKKKHYPTDWI PSNCAFTSQHTTPDIAQTTGPDPAVGPLGFMNGGLQVVNPCTAVYNQIVSYMESDAAN MDFADQSLLSDLYKGRWVPLPYVYNALKTLRWEGVHSEIWRDEEVKNVHYILAPKPWD EMDAEGKNISKDFTHQWWVDVNNERLAVEKEKGVSKDGF LY89DRAFT_781452 MPAPTELTRLSKTLSVPPKKKTQPAVRKPATQKPTNKTLKPKVA QAATAKPEPAVKNEKSDEDSLFVGSASSSTLAPNTKPVGPPPPPPDNETAVTLALNAL EDDCIRVVRKEKCAAMGLAAVAQLKVLLLQSVKSHLTEHCDEILSLGKLPRILIGVLG HTGAGKSSLINALVEQETIVPCNAMRASTSVVVEIAYNESKDPQSAFRAEVEFVTNDE WTDEFDILSSDIKNRSASEQLTMNSTTDAGLAFAKLSAVYPGVPISKVVHMTPAELNR RYDLTEFSEAVNVYIDSSNKGTDADEFVYWPLVRLVKVYIKSEILKNGLVLVDLPGLG DSNVGRTKVAEGYIKKLRHMWVVADIVRAIDDKVANELMSTSFKRRLLMDGRYHENFV TFIMTKTDQITTHEVIQSLGLDTTVLEEDVVTEARLTTELDELKKQLSEQKHQQKQCK RSLKKLGDMCSPSMPNARQRSFEEADTEAELSQVSEERSQLVTDLNAAIAAETELNGK ISRVKKSISTLNTQMKAACIKERNRYTQRALERDFEIGQGELLEELEAGGNEMSYSAP QGNSKKSLNTFCVSAKAYQKLQGRFRRDNTVKGFLSIDDTNIPSLQEFAEECTLADRE FAADKVLTELNLLKVTLQLWAENRGTTAQLTVEQREALKMEVEKHFKVMKDVKHNQVP NQHHGQHSHLQEQDIGARHWSKDKDLAYQTWKAICTRKGEKYHAGKKVEHYWNEEFLQ LFLEPLAKPWNHVFQKKIELQNRNYAVQTIQSLRDFQKAIHLSVTAICGKYALAHNAL KQVPILEDQIQGRVKEALQAGQASAQDAHRAIGETVKKLMTPYYVESDQVKGKGALAR LKQLLRDFAKDKAQPMYHEASETLGKELDNMRGVLSKEIAAAARQAQAKLKKDILSVI SQGRFDEKAAKSVATAELRSQAKNGLLALEEAWASELVNPSTDLRARDAFAGSAQDPD ASDDESDHPEVSDGLSDSSLSEEDNDDSDDEDYEG LY89DRAFT_615134 MTEKKPASDKNYRGFVAGVASGIAKLTVGHPFDTVKVRLQTSDS TRFSGPLQCLLLTVRNEGFRGLYKGATPPLVGWMFMDSIMLGSLTFYRKVLHRSLFNP HALSASPSSWARRDLPLPDDKLHKLPTLGHAMAGVMAGATVSFIAAPVEHIKARLQIQ YSSKKSERLYKGPIDCARKIYRFHGITGIYHGLSATLLFRSFFFFWWGSYDVFTRLLT ERTNLSTPAVNFWAGGLSAQVFWMTSYPSDVVKQRIMTDPLAGGLGDGERRFRHWREA AVTVYRENGWRGYWRGFVPCFLRAFPANAMALVAFEGVMRALP LY89DRAFT_733069 MAPSTKSAKGKQAKVTKKFIINASQPASDKIFDVSAFEKFLQDK IKVDGRVGNLGDIVEIKQVGDGKIEVTAHTQFSGRYLKYLTKKFLKKQQLRDWLRVVS TSKGVYELRFFNVVNDADEEDDE LY89DRAFT_733070 MPFTASDICKIILAVILPPLGVFLERGCGADLLINILLTILGYI PGIIHALYVNTISCFTSASESSVPVSKHWNPRLLKQCDVIIDPWSDR LY89DRAFT_684305 MPRDPLIGLVGKPSSGKSTTLNSLTDATSKVGNFPFTTIDPQRA IGYLQIDCACKRYNLSERCKPNYGACVDGRRSVPIELLDVAGLVPGAHEGKGLGNKFL DDLRHADALVHVVDVSGTTDAEGKATRGYDPSQDIVWLRSEIVQWIRGNLMQKWGSIK RRHVAVKATAVETLQGQFSGYGSTATVVARTLDRLGLKEPLEHWSDETIDKVVNAFTD EKFPTVIALNKIDHADADKNISKIAKMQDSKSIVLCSAISEVFLRKLAKQGFIRYTEG SEFVDTREDLIADGDPEGGGLKELDEKLKTRIENLKDMVLYRFGSTGVVQVLSRAAEL LGLVPVFPVRNVSSFSSGASHSDAVFRDCVLVKKNTTVAEVARKVMGDAPLAYVEGAG GVRVAEDDLVAVGKNDILSFKVGRA LY89DRAFT_47483 MLPQTRHLARLAFPRRVPSTTRPLSTTSLSAKWEGSKPSDHITN ENDSHNVRHDAHKEGQQERQKGDGSRGTSEQAGDANKKAKEEFPEAPDTVGMQDERGG KA LY89DRAFT_47670 MPGILPMKVIKVGSNSQSRIAQACDRCRSKKIRCDGIRPCCSQC ANVGFECKTSDKLSRRAFPRGYTESLEERVRTLEAEVRELKDLLDEKDEKIDMLSKMH SNRRPSTASSCSPPAETRKEVVPSPAKEDVFRVQASPLLLGVENSDSYFMGASSGRAF VDAFKRRVQESGKSIAGFNTEAFLNVQSETTPKTATPKSSGAPPRMFSDRCVNVFFQE WAPLFPVLHKPTFLRLYEEYMSNPEQMTDSHKLAQLNLVFGIAALSSDNPDRQHIAVC ENQWRSALEKVQMDNTLVTLQCLVLALIYCISKADYGRLQHYKGIAVGLSHRLGLHQS QKRFSFGALTIETRKKVFWTLYTVDCFSAALLGLPKLLKEEDIHAEYPSDTDDEYVTE RGFQPTLPGEYTKISSALALFRASRILSKVLEQNYPAAATHDLSLQSMASLDTELNEW SDGLPVHLKLNFAQDKPSTDVTGSRSAILSLAYYYIRTLIHRPAVGSTLGNKASPAVI SLADSSKHIIQIVQLLEERSMSFSFCLNRNEMLTLCGLSILYQGLDLKQEGKLMQESQ RLVAAVIKNLEKAKAPGAADFRRLAASMLPLESQPKPNTTRSPTSMPAPTSAKSTPSP SVPRQQIRPQIYRHGSATMSESDLLAQQEKLRRATLPNLNMHRQDHLPHGRNSIDSAR SESPMSRREYRNSSSQLPNALIPRPVIKSERVPNLDYLSLNNTPVASQPQSPVINRAQ HPIQNTQAPVYNNNNYSNSKSSGVSASEWESLLGSLDGGQTNLYDAIYGGPALSLSDN STSNYGDWSPDSQWDMTSLTMNDFTSAPAARSVLSFSEESLSSGEDLSTSDLGLGRQD HNHLIPGSVFSGDGYLLEGLDATFGL LY89DRAFT_696724 MQRLQLPINALPAWAKLNDVNFLDISVHDLGSEKGYGLVTSRAL SSEDVFDVPTLLIVPHDLILSAEAVEEHAKVDAHFKQLLEVFGGKSTRGDVMLFLLMQ ISIASHPDEKAVGLSNPWTEYVKMLPGSIPVPTMWSEEERLMLVGTSLETPVTAKMAS LLREYEGLREATVEIPWCKKYWWDYDSFQLNDWILLDAWYRSRCLELPNTGESMIPCV DMVNHHSRANSYYEPTSDGVALLLRPNKKVDIEEEISISYGTSKSEAEMLFSYGFIDE DSNKKGLTLTLEPLLDDPLGKAKAAAFKKPPTVRVSEDQGALCWESPFLYLMCLNEED GLEFKVLQQTDGSRSQLRVFWQGSDVTNATDNFESLTANHELKDVFKLRAVALLQDLT REQLGRLHESEDMVQSLAGTAFASPQSQGNALQLRQSETVILENMFGVLEMQKNKLLE SDAVLRYLGSMEDEERPEPGATNDEEDFS LY89DRAFT_47757 MCMNTVQRYTNCSHVTYKTVKCPESERGECIKDRWTQDGPSATL FGLCDYCAGKSPYPPKRPPIKFKFSSDSPTLYETSKAGNSSRGGTVFKAETKKAEALS RARTVSKSRSRDLTSPTPASKRTSGGTDKKEKRVSASSQYSDDGGFGAKIKGLLGRGL ERVNTIGRKEKRKDLKIETSAPSRRSEGSERKMSATSPGLISPRTIMPDANGYYHSPK AMGHIGLSPASPVVGIQKSFSDPIEEGRMSGSSGSRGVEKPRASAPELGMDGSGSGRR HAPDPDNSVSWEGRWRDGREPAEPWGQGRGQNQYPPANSPLSPLNPRNSESRRYQPGH RQNSRSDGTVVEGHPVVIAPDSRPRLQDSSTANRRENRRENRGGGRELPAPPLYSEED GEHLFILERSQSNFEKKHHEKQQRETDWVSPAKLERSKTDDYRLQEHTSRYQSRRVSF EEDDDRPQPLRLSRQKPPTAPVILEEEEESSMEYDARDDDKGSWVSDLQEEESEEETQ EETQEETQEEPQEEPQEDSQKPPPKPPWEKCGIDYPEEHCVSLDHIQKIGTTLSGSKI FGATNTKDTRWSSTTTINAEQQLNPPKGIEKEKKPLRGKAVTPAGGGDVRQYEKGGKR HSPSPLQQVDIIDEVPSHDEVRLETGPRIDKVAVNTLRVMQGRKVPLVKRKTPQQLST SEALQRANERLRGVRDGRGYQNYNLV LY89DRAFT_47721 MAIQSSEASPLLASEKTLAAMPSFNSVETTKGKRPQAIAHRGYK AAYPENTMGAFKGAVEVGAHAIETDLHLSKDGIVVLSHDATLKRCFGEETKIADCDWE YLKELRTLKEPRQPMPRLKDLLEYLNTPGLEDIWVLLDIKLDDEATTMMTLIARTMQE VKGRRPWSERIMLGCWNAKYVPLCQKLLPRYPIAHIGWNIAYARQFLKVPNVHFNMLQ PAMLGPFGAAFRRDVKKADRSLFLWTVNDEKNMRWCISKGIDGVITDDPKKYLELSES WKGGRANASLYNWAFLLVIWIMTPYFKKIFSRKFGIKMDQKTVCADLGIEDDSKL LY89DRAFT_733076 MAATRELDIVLLGATGFRGALCAEHIMRTFPTTLKWAIAGRSAA ALERLSERLISINTDLIEIVELNAIDLDRLTAKARVVINTIGPFCRFSTPILKACAKN GTHYFDTTTETLWIKEIIEKYHSTAVETGAKIIPSISLSSSPSDLLAWLSVNKIREQS VSGVSQVIASGKLEISGMSAGSLASVLDVRDKYGPDLQKSRENESVEFKAIATSEDGK MRVGSRWAYKGSMYELRLVEILEPNFNDPATKNDDILIDFREGNYAFCEPYLGQDSRS IGGTFQTLVSHHRQSGTGKDHSETANGFALQFRQKNDICDRAPEA LY89DRAFT_47862 MDINPGKSQECQLCKKTFSQKSSLVRHLKLCTRPRVASLRQKSC RQCSHAKAKCDLQRPTCTRCSSRGVPCNYLTAPTEYGSDHDLCPSQEVQQTSSSSTAT PEGTKTTGPEPLEDFLNRVNEPLQLTLEDMPLDVSLPDPLHTNGPTNFFETPHDSTDL IGLGDSWLLAPLNTQDTTPPLAKHSMQVLLRVFRTWPCMLAKGFQYPPIFHNTMITRK DRCCSIPLANCCTLIKMWYGQHGGSTAFVQETIIKEIKHIIATYRTYDEEDLLGALQA TTMYIIMLIFPTKDQIAIPTIDTALFSDILQIVWHSASSGLVLQEETDHAVPSWQSWI HITSKRKAVFTLYMVHWSYSVYHGLQSFNCGELGFMPAPAAKFLWEARSEEQWVLLYQ KWLAQWDGCEFMHHEFNYVKPGVMLDERTQRWLEDADELGILFSSIFNSTDRGPEFMQ HMQSAC LY89DRAFT_684311 MTINHLFILVTASKLPALRTFYSSALQPLGYTEMIAVKNEKMEL YGYGSDYPYLWLKPLPVDTNPVPTHVAIDAPDNAAVDKFHEAALRAGGIDNGLPGIRS AMSRQPYYASFVTDPDGNNIEAVCVKK LY89DRAFT_684314 MPSSVASSRSSSVEPSETMQIFVKNVSGDTFPMTVPQDLSIQNL TTLLSIRTSLPESDLRLVYAGKHLSSSSTLAEYNINKEATLHLAVPLRGGMPPKKIRC TYKDCREGAQRIIGDCGFCQGHFCGKHRLLEDHKCDGLEDCKKESHDRNAAQLNAERT QVIKGI LY89DRAFT_684316 MDSMISTTGGHTSSTEGKTITCKAAVAWEAGKQLTLEDIEVAPP KAHEVRIEIYYTGVCHTDAYTLSGKDPEGAFPIVLGHEGAGIVESVGEGVTSVKPGDY VVALYTPECKECKFCKSGKTNLCGKIRATQGRGVMPDGTSRFKCRGKDLLHFMGTSTF SQYTVVADISVVAITKDAPMDRTCLLGCGITTGYGAAVETAKVEEGSTVAVFGAGCVG LSVVQGAVQRKAGKIIVVDLNPSKKEWAEKFGATDFVNPGELKNQSIVEKLIEMTDGG CDYTFDCTGNVGVMRAALEACHKGWGQSIVIGVAAAGQEISTRPFQLVTGRVWKGCAF GGIKGRTQLPDLVDDYMKGKLKVDEFITHRKHLQDINQAFDVMKQGDCIRCVVTMRDA LY89DRAFT_684317 MASSSAMVDQRIFEQLQIKIDEDAQVRDQIRVITQTLERQGRNA QSVLSRAHSTPAANLQPVLEAAETAIKEEIESITKLAATTAGSPYYKYNGLWSRDVQN VVFSILLCGWLGGMSLQGTPEVGRLLTIEEVGQILNVPVNLKDQDAFHITIEEYLQSL ITLIEELARLAINSVTLGDYQRPLQISQFVKDLHAGFQILNLKNDSLRRRSDSIKYNV KKIEDIVYDLSLRNLITRPGATTS LY89DRAFT_583508 MSTLSPSSQATPTKPSLPIDLLPIDLARLVSQAHPALLLAAYYI RFPVLVADPVSTLLQTLLPVAAIQVVYAVICLPAVGSNAKPALVKKAKLNASKKGAEP SQVTGKAFTAIFSLLLSLLTTLPLFALQILFGAPVTSHLPHTALSSAHISLLALFPLF YVHGTSASKWREIVSIYSPIDEVFGGSLGALLGAWLGAVPIPLDWDRAWQAWPITVVT GAYIGYGVGKMVGGWLLRGKRVEFE LY89DRAFT_684319 MVEKDTYNVDESYNGVHPQYVDENGIESKQGRITEAAALYGDIQ TAEEFGYVTRGLKSRHIQFIALGGTIGTGLFLGIGSAFTRAGPLSVLLGYSFTGIAVF AMMQCLGEMATWLPLPGSIPQFCARYVDDALGFAVGWNNWYASAITLCAEISAASIVI NYWEGAQHINVAVWISIIIVVVVCLNIFAIQIYGEAEFVFASVKIITIVGLLIMAFIV DLGGGPNHDRLGFRYWKHPGAMKEYDSTGATGRFLGLFSVLVNAAFSYGGVELVAVAA GEAENPRKNIPKAVRRVFWRILFFYVLGSLAIGVLVASDDPHLLKAQETGAAGAAQSP WVIGIQNAGIPVLPSIINAVILTSASSSANAFLYTGSRYLFALAQNRQAPKFLLKCSK AGVPYWCVFFTAIIAGLTYLSVDSNGGAATAFNWFQNLTTIASLFTWCSICVAYIQFH KALKAQGVDRNTLVFKSKFQPYTAWFAFTYFAIIIVFNGFDVFVGEHHSHWTVTNFLT AYLGIPIFFVLYGFWKIFKRTPWIHPADADITTGKAALDAEDGQWPEQIPRNIFERIW FWIA LY89DRAFT_684322 MRTSKLSQETTKFLNATRSSRRSTRSSLSNLASSLIDSDEATNG PVDIEDAIPTTRKRKREPTPRTPIKKSPNKTVIKTETEDTLTFSPSPAKASRVRKPAR QIKNEETGEVEVQPPNDWAEVYATMKEMRITGSATNAAVDTMGCERMAQAHVTPRVKR FHTLIALMLSSQTKDTTNAVAMNRLYNELPAWKEGEEKGLTLENILAVDPKLLNELIW VVGFHNNKTKYIKAAAEILRDKWNGDIPDSIEGLMSLPGVGPKMAYLCLSAAWGRTEG IGVDVHVHRITNMWGWHKTKNPEETRLALQAWLPKELWHEINWLMVGLGQTICLPVGR KCGDCELGLSGLCKAAERSKVTLGRKIKEEKIKKSEEGEVVEKTETVKIEEVDVGEVP VNADGDAKVAVEGMPEGDVTEGMTKEEEEEALDDIANAPGDLKKTPNKKRTKR LY89DRAFT_48305 MTTKTGIIGGGVSGLRCADVLLQQGFQVTILEARDRLGGRMHQD TLPNGHIVDMGPNWIHGTDNNPILDLAKMTKTATHSWADEADALYDDQGKRVSNASEL SGTFWGIIMEAFKFSEHHGATIDPSRSLWDFFLEKASLMSKNDEEKRKANMLLQIAEM WGAFIGTPISRQSLKFFWLEECIDGENLFCAGTYQNILAVITKHAKEQAIIHLNTKVN RIETENGQPILHTTNGGSFEFDEVVVTAPLGWLKKNKSVFNPELPSRLTEAIDAIGYG NLEKVYVTFPRAFWQGPSDEPNKEPPAGFIQWLHPAYSKPPASKDWNQEAVDLATLPG ASAHPTLLFYIFGDQGSLIAKKWNDLHTESQRTTWLADYFQPYISKLPHYDAASKDCI PSYCLATSWTTDDLAGNGSYSNFPVGLKEGDKDIEIMREGLPDRNLWFAGEHTAPFVA LGTVTGAYWSGEMVAQRIARKYGKECEVLDSQNVGGSSTGHDGGKDVNVRGFADDGLK TA LY89DRAFT_48327 MASYLQAYFQASSMPKRLLQYALSKLEILDTDALGLDNLDIALG RNSTFEFRDVGLRLKKLQTLLQLPPTIEVLKARVLLLRVTIPVDLYSSAILVEVEGVE TQLRVTSPTETNRTDHDRKRKRPASKNASSSSTHTRSDRTREHDSLEEHEQGTTIPSA VDLAQSFLQTEPEEEKADLEAALLSETQDFGTNSVLSEDGDIPVGTGAALSLPTFMGR FLQGMLDRLQVRIQGVIFNLDIDLPNEASRLSSANPPDPVTISLKVDDIDIQGVTVEL ENESAAGVRTQKPPYKRGKKLISLSQIRGTLISEAAFFTSLSRSSALSSPLAAYSEAS EGRRPASKAIPSTRSSMMQTSERGSSPDSSSDISSSRLSIASPHKSVLGSDSLRASTI ASDNGRFDDAPEDDSTSNISASAHEALNEMSDSVFEDSAYLDQVTESQYLDDENGNGE SGLLSTSRNLQNSIQPSSPYSTPRASIHIPVMPQTFNLPTTTVFDESPTSPVLQSTIL PNRTRPRFSEKRISQSQPTLPAGLNPPPTGAQRLSQSPNLGDASASAGRDLETASTPP GEEDLTQSQLFSHEDAESMYMSAVSHTSSVSKLPGGWSNSNSGSEGSRSPPESPRVVG HGEPLDNLEHARHIVPEQSELALENLRQSSTIDAENPATPRRLSFSTPTVADSHQIPA IPQGSSDSSERSATSSDDYSRLSKQFFTIDRVDIYAPSALPTSSPSESDHAAPSSMSY STYSVQSSVSQSTSANVPGAFSTHLPTQRPATRQSVPVPEPAAVGQEEEAKDTSTEVM IGNVVAQFDISVGRLMFKLTQQLKEAMKPTSANRTSNGPAPPEKSFKFSVQDISILFL ERLEGTLGSRGPAASPSLADVLLRTTFSGLQVKSHITASTTTTSITLEKFVFGYAREN IISFDASLQMRNSVRDLKASDGIDISVNIMQTTEITRCEVVTLPLHVSIDLQKLDETF SWFGGLSSVLNLGSSMASNATITASPGKPKPRGVRFEAPIRPDDKSVANQNKADVRIG GFILDLVGAECSIGIETSAVKVVSRDEGVGLSIQKTRLSGPHLKHSNDEPPISVDITS TRIEYLMSPKDADLDRLLALITPSKAKYDQDDDILLDTLLRQRKQGAVLRLTVDDVQT RVGRLHELNHLPELGEEVSRLATVAKYLPDDERPGLLSLVTLRKFEAHVDFENDLGTV QLSSSDIEVAQITLPALVALSIGNISARRNTTELLLGIATDPELREPSLRSPMLMARM IGDEMEPVVRVKVWNLKVEYRVPTLMVLLGLADDATSQDISASLAGSVATLTDLVRPK SKQMRQDIPGGTVSSTSKPMTVDIVIRDCIIGLNPLGLPSKVLVVMTEAHLNALLPKD GNANLSTDLGKASVLVIDNVANLITADTNIRSRRTSFDGGSTQVADLCATGYVSVSYI SSAKAIVQILTSEEGERSIDVELRDDLFVLESCADSTQTLIAVMNGLSPPTPPNKAMK YRTKVIPVHDLLASLSGDAFGTAEGEYNFDDDFGIGDGLEGELLGDETDDLDFDSDYY QNERDDQYGEVGSSSSTKLTSRDTPEGVLLESFVEPRQEPENEELEFQEDHFGTGSIL EGTAHRWNSAKNTYDRSNISKIRRSPLKVCIRDVHIIWNLFDGYDWQHTRDTISKAVQ DVESKAIEKRARSERRPTFEQDVDDEETVIGDFLFNSIYIGIPANRDPRELAAAINQE LNDNATETESIATTAVSTSPSRQGSGRKSRTKKLRLHRSKHHKITFELRGVNVDLVAF PPGSGETQSSVDIRVRDFEIFDHVPTSTWRKFATYMQDAGERETGTSMIHIEILNVKP IPDLAASEIVLKATVLPLRLHVDQDALDFITRFFEFKDDSTPKEPSPGDVPFLQRVEV NSVQVKLDFKPKRVDYAGLRSGHTTEFMNFLILDEADMVLRHTIIYGISGFDKLGKCL NDIWMPDIKRNQLPGILAGLAPVRSIVNVGEGFRHLFVVPMREYKKDGRVVRGISKGA AAFARTTGTELVKLGAKVAIGVQTVLQGAEDFLGPAVEKTGIDDDESDEESKQISLYA NQPVGVLQGLRGGYNGLQRDLVLARDAIIAVPGEVMESGNAAGMLRAVRKHAPTVILR PAIGVAKAGGQILMGATNALDPVNLQRADAKYKKH LY89DRAFT_48196 MASSNPKPAATAGARRKSSKGTMIVTLKLSSKLLQRFAPSVKEE SPSKESSSTISNTQPVADNPIESLSNTPVANGTPVPSSMPPPTEGVKKKGVKRSSGVA LDANGQPKPRGKPGPKKKARLEDGTIDHSSTAPRGTTTTAHKLGPKANQGAINAGLRA LDRTGKPCRKWQKGSFKLKSFTGIIWEIPRWKAPPKITVEGTSEGSPSGESSKENKDN SQLESEKSEKSNNGVDVELASNLVSSPVPSNPPAAPAAPAVAASA LY89DRAFT_48210 MTNSYPSGSPGVPDSSMPTGAPGFMPRRSSYASVVSGTASGAPY QQPARSGAFSHLLNQNADFSYDPSYQNFGGHQRYDHRTYDMDFHTGGSSHGRSGSWGR GGQLHPFSSAFAAVVSGNGYGNFGGSSTEQFFIPSYLKGSRYLQRLEEAHKAKIAAQK DSQSAPSSQPGSLSTSASSVNLHTKMASSYRGIAHDVIEKAPPSKDETLTPLPSKWNS LDKYGGLEVLNDGMEVKFSGPKSERDRDHEACAIRADHPMPSQCGIYYFEVDIVSRKR EESSIGIGFSTKNVPLSRLPGWEPESYAYHGDDGHSFCCQSSGKHYGPPFNSGDVIGC GVNFRTGCAFFTKNGDHLGTAFRDIKENKGKLFPSVGMKKAGEHIRVNFGQRPFVFDI DGMMSASNTFSYPHSPLRSDIPGNGSNNQENGADAAGAPESSLEDENTASIQEHFIHR EVVPPPLLPLEAIPTGLSIHKNEGQALPAVTEHAPTAEATDDPGVIDTSANSANHSST PEIVNDRATSPAREETLGPFNTYDQARAAGRIRQLEANSGELDSSTARPYITLNTFRT RRGYETRLYTWHHALEHPTIRRISSASNATVTPATADRNIGSALLQPTTVTDLSLVSP LDSPPPLIPLDGHTPTSSGRGPYRQDLDSSNGFSQFPSWGFAETSNHPSGPYLSDLDS LQTANENQIRLLRGNTATSNSVLSNATRDLTESASPRNGTYISAVRLSRRERRPTITY QEFFSGTQSPRPRRTQDRSNRPLALPYYRHSFTSRNPRQPPPSNAIESQNFLGISGER PRRSLHSRLSSAYDALSQVLNEYNGPVHTFDLSGSGLFHPLTYREEQTALAQNGHRVF HGPDSEIRQSTANQNGHSHVTSPPNITTIIGQQYSTAQNGVGRFVQHGQGAPHQPSSE NPRAPGSQPPIGQSSNDANHAAEPQLQSPVEQERSTTTNSLFIALARIPQISESERAQ VALLQAQIGRLRQDWISREISKVMWTGIRANYSSQREKKQIRQEIEATSTAKLAPPMD ETELIQALVLQALAHDGYVETARAFAEEVHAEKKALSLDPNEVVKGFDVKEDEDASHR QRIRTAVLEGDVDKALKYTNAYYPSVLKDNEHVYFRLRCRKFIEMVRQAAELQNASSK IIKKSNGHNGDWYDDIINHDMELDDHPNSNNYDRMDTEELPESQNEHLRLLQDTLVYG TELQAEFKDDPRREVKKGLDDIFALMAYEDPLKVKEVSHLLDPGGRMAVAEELNSAIL LSLGKSSSAALERLYQQTYVLLEDLREGGGAGSLVNIDDFVRPKSGS LY89DRAFT_684328 MGGKHSNPTSHINPHLPPRQHNPLAPPPHPRLKANKANKANKPL LHHKDRPIPPRHF LY89DRAFT_706892 MPPKETPSKEDEDQSSFSRQTRKVRDLFSIPPPVKKLFDRVPIV VYAPNQLPQRAPKPARIPSLYVFSTSHDAAAGKPSFNPSCLKWQTFLNIASVNHRLIS SNNHASPTGSLPFLLPAVQSNSPQDALLPVTSNKFVKYASNHGGWVDESPSMRYEAYQ SLLDHRIRNAWLYTLYLEPLNFSSVAYPLYVSSTSSNPLVRASIAYQLRLAAETELLK HTSIIDTDDLYSEADKAFEALSSLLGEDSWFFGNDKPALFDASVFAYTQLLLDDSLGW KEKKLCRALRTRDNLVRHRERLLVRYYGG LY89DRAFT_781475 MRTVFLTTAILATTILATTILATTILAAPTDINYGPPPGGWGSI DYPKGTGENLPYYPPPPGGWEAVKYPPGTGSDSSCASPFKFTSTYHVVALGSEVRNGT TPAPGPKDAVGFFDFGINAETDTICYNITLLNVSGTPQSPAKTATHIHAASRGASGPP RLAFPNPVGDDKRRSSIGCMTGPFTTGLNGADGKDTATGFRVEMIEANPARYFTDFHS NLFTLGVVRGQLA LY89DRAFT_48531 MHIANTEPSHTKAECTNEAVAREFTGTCRLCDQQGHRAADCPSK PPTICRNCEQEGHEALTCENPRKINRDHVKDVSGEVAWEALRAAVLDHDLDDLKEAAE QYIKANPDTTYLTLEKAFRSQGLGVYLIAIEKELGITYTNMDLQGNLDKTYNVQWRWS PKSARPKEADGWPTPEENLERLNDAGVAVDRGIPKCNNCNELGHTRAKCEQDKNETDR AEVKCYNCDTVGHRVRDCKSYSFSLLDIADS LY89DRAFT_696739 MSPPEGLPKMEYRFLGRSGLQVSAISLGGWLTYGGHVENENTFN CMKAAYDVGINFFDCAEGYSGGESERVMGQAIKKFGWKRNDLVISTKINWGASHGSNP VNNVGLSRKHIIEGTNMALERLGLDYVDLIYAHRPDRNTPIEETVRAFNYLIDNGKAF YWGTSEWNADEIATAWRYAEKLNMIGPVMEQPNYNMLVREKVEKEFEHLYEEIGLGLT TFSPLKIGILTGKYNDGIPKESRLGSSQDAFVQMMNKRVGDESWKKDIEQVKKLKPIA DKLEISQANLAMAWVLKNPRVSSAITGASRPEQIYDTVKAISTVEKLTPEIMKEIDKI LGNKPATMTRRFT LY89DRAFT_684330 MTSRRPRAVCQCPRSLPLFSSTLLFLSIVLPASSYAQITDPAPS TTFSPSPTTFQTSVSSSSSPSTTSSAPASTTQSDSPITPSVPPTDPDDVQDHVFNYYF LIIAIVAVLFCLCILYVGRRKKRKAALLRSQSQRALATDVAGFRARFGNRTGRTNAGS YGGGRPRLDRLEGLDERGEAPPPYVPGSKPPSIRSTDGVLPSTSALAADADGLELGDM RRPEPVHHPPGYNEHVEADELDLRRPDAVAAANRRSRSPVNRQEDTDRPTNV LY89DRAFT_684331 MPRAIRGVLIECDPSIKSIIVQIDAEDHAYIVEELDDQTLVVKE NMLTSLKMKLDEKLKATQIPVEESDLD LY89DRAFT_583061 MPEKKRSRKGRPRVPTGGSARGTTIVWGDYGLRMCDHHRRVSAS QLKNGEDAIKVRLKGMRYRLYKRVCASIGVYSSGNEVRMGKGKGSFDHWASRIAVSKV IFELKGDIHEQVARDAFRLAGNKMPGMYEFVKKGDPPVVGITKLDGVTMEELKRPRRK IPLGSIPAGLISETTSTTVSTSTSPEA LY89DRAFT_684333 MPPDIRNFFGAKTQTPIREKETKETKKGDDSKKKRGKSRKVIED SDDDEPTPAVKKSTPKKAPAKKAVKQESPKGQETSTTDYFATSSKPKPTKTTSAKATP VKTKNPPKFETNGRSSARKKTATSYKEEEDDDDFAIPLDDDEEGADDIFATDVKTSGK RKDDGYVESDSNDEPIVVPKKTANAKAKGSKKEDVEMKDASDSFVLADESDDMMVIDK PAPKTTGKKRKSVDLESEDDEEDVKPKTKSVKAKSSKPPPAKKPRAPKKNEPESSAVQ AILDNIPTVRAPTPPPPDASAKWDWKKGGGGGGNAGPPAGAGSKEIPVGAENCLAGMT FVFTGLLESISREEGTELVKRYGGKVTGAPSSKTTAVVLGNDAGPSKLRKIAELKIRT INEDGLFAMISQLPANGGDGKAAEKTMEKKRAEMEKIKKEAEEMEREEKRKAAEAEKA EKEAQKQAALKGTSAPAPTRKVAPTAQLWTSKYAPTSMNQICGNKGQVEKIQAWLKGW AKAHKYNFEKKGPDGLGGYRAIIIHGPPGIGKTTAAHLAAKLAGYDVIESNASDTRSK KLVETGLNDVLDNNSLLGYFSGNGKDIDKQKKNIVLIMDEVDGMSAGDRGGVGALAKV CKKTHIPMILICNDRKLPKMKPFDFVTFDIPFRRPTLDQIRSRIATICHREGLKLPMN VIDALVEGSNKDIRQIINMLSTAKLDQTSMDFDQSKAMSKAWEKHVILKPWDICHKLL GGGMFAPSSKSTLNDKIELYFNDHEFSYLMIQENYLSTKPILVGSRQGREAKLKELQL ADQAAESISDGDLVDRMIHGSQQQWSLMPTHAVFSAVRPASFVSGMMTGQTSFTSWLG NNSKQQKLVRYVKEIQSHMRLRTSGDRHEIRQQYLPVLWIQMIKRLELEGKDCVDEVI DLMDSYFLTRDDFDYMMELGLGPQDQELVKLETQTKSTFTRLYNGRSHPLPFMKASNV VAPAKQKKEAPDLEEAIEEEDEGDAVEEPTKEEAEEIDFKKDKYIKQPKVKKAAAKKA TKKTKGKGDDEGEPDDDDDEDEKPKKKAKSKASTAKGKGKK LY89DRAFT_684334 MTTFTRLSEEETPSINVDVTRRLSKIDPNIYGGFMEHMGRCIYG GIYDPGNPLSDSHGYRTDVLSALRELDIPVIRYPGGNFVATYHWQDGVGPRENRPARP ELAWLGTETNEFGTDEFMHWLEVLSEGKEKRVEAYFALNFGTGTLDEALAWVEYCNGT KNTYYANLRRKNGREEPYNIKYWALGNEMWGPWQVGQMTSEDYAKTAAQWAKALKLLD PSLCLILCGETGHSSWDHEVLKSCIPYVDMHSIHIYTADKEHLPNVTAPLSAERAIET AAALIDIARIEKKIPPGVPRTTICFDEWNVWDPERAPGEQGAEELYTLSDALGVAVWL NVFVRQSRWVGMANIAQSVNVISPLMTTKNGIVKQATWWPLLLFSKYMRGWTVGVHVS CGAYDGVTNPTWLQGVLEDGASWLDVSASMNEEGVLTLVVVNIHETKGFEVNLSGAGK DVEVYTITGPDVKAVNAEGKEEVSVKESKWDGEGNFKFLKHSMTMLRWKTGKKITEVR KGDCKRLDTRKLAWE LY89DRAFT_48698 MVLNPKVYQFLVGVFASMGSILFGYDLGVIAEVIASSSFISFFN NPTSTQTGIVVSFFTGGAFCGAGLAGPSGDRLGRRWTIVIGSVVYLLGGALQTGAANL NMLWAGRWLAGVGVGFLVMIIPLYQSEIAHPSIRGTITSLQQFMLGIGAFVAGWISYG TFVGLTDQAQWRLPLGLQMLPAVVLGALIMMFPESPRWLIDHGRPDEGLQTLARLHSN GNENDPWVRAEFDQIQESITHEHEHEAKSYIELFTNRSSFRRVFIACALQASIQMTGV SAIQYYSVTIYGAIGIKGSDALKYQAINNIIALVGEACCVLFVDKLGRRRPLIFGNLA NMICFLIACILIAKFPPGSLNNHAAGWGFIIMTWLYNFSFSATCGPLSWVIPAEIFDT RTRSKGVSIATMVSFAFNTLIGQVTGTAMTNIGYRYYFVFIICNFTNAIFFYLFLPET KNLPLEEMNYLFTNAPFLVAGHDKNKYKAGYAEDLERRALEIHDKNAAVGTHEENIEE PKN LY89DRAFT_564818 PGPGDVRSPCPGLNALANHDICPRSGKGYTIPLLTSCLAEGMNT GADFSLFVGAAGIGSNPDFLALEFDLDQLDHHDMVIEHDASLSRADASTGNNYSFNQT IWDTVLAYYSGMTETSIPVAAKVKYNRVQTEAARDPTFTYGPVQFIFSYGETAIYLST MGDPTTGVAPIEYVKSLFEEERLPYEEGWRPTAEPTTLASLAAMIFELNIANGEELPE GLTLTE LY89DRAFT_48720 MFGGRYFGLRGQRLTLAVNIIAGLDFFLFGYDQGVMGGLLTLGS WVKQFPEINTVDNPGNTHISTIQGISVASYNVGCFVGAIITIFIGDILGRRKMIFLGS SIMVVGAILQCTAFSLAHLIVGRIITGFGNGMNTSTVPTWASETSKSHKRGKMVMIEG AMITGGICLSYWIDFAFSFLEPSTVSWRFPIAFQIVFALLLLAFILELPESPRWLILK GQEDEAMMVIAALADLPPEDRLVTTEFVEIKDTVIEMKEHGWADLFTMGPERNFHRVV LGYVNQVFQQISGINLITYYAATIYQQYIGLGGTTARVLAAANGTEYFIASWVAVYTI EKFGRRSLMLFGAAGMSGSMAILAGMNYMSQNNLGGSSPGIVSAVFLFVFNTFFAVGW LGMTWLYPAEIVPLKIRAPANGLSTSANWAFNFMVVMITPVSFSSIGYKTYVIFAVIN AAIFPVVYFFYPETAYRSLEEIDNIFRKTTKGFRGWFDVVRTAKNEPLRYGKHGELLI DYEHTEHVRRQSLATAGKAENRGVEDISGESSMNEKRAENV LY89DRAFT_706901 MASPTYKYFLVTQPSPYVCHVQINRPQKLNAFYEEMWLEYKTIF DTLSHSPDVRAIVLSGAGDRAFTAGLDVEAASQSGILAQSAEEKDVARKATSIKRHVE EFQACISSAEKCEKPVICVMHGFAFGLAIDISTCADIRICSSDVKFAVKEVDIGLAAD IGTLSRLPKIVGNFSWIKDVAMSARVFGAEEAYRVGFVSQVLETKQKAVEAALKMAEL LATKSPVAVQGTKELLNHARDHNLADSLRYTGVWNSAALQTSDVERAMLSGLKKTKPR FEKL LY89DRAFT_746723 MTMAQSSTQTVSIENDKSSKDVPWYSKDMTSQLGAPARDLLERY SKIPADEVETHIYSVRDEAWQVFPYPCIGQFRFLDLGISLNPNYETVLERLKTGKENF LDLGCCFGQDLRKLAADGAPSENLYGVDLRPEFFDMGYKLFRDRELLNANFIVADLFD SNSDLHSLNGKVDIISAGSFLHLFNYEGQIDACKVIVRLLRETAEGSVLIGRQVGSSE AGEVVHHSNPGQSLFRHNSNSFKKMWEEVGGQTPSGLSKWKNMWKHQMSEKRIIGVIL SCVSLHLRCSEMLESRMACVVYA LY89DRAFT_781486 MSEMGSPTANIDRFQKLRPLGALEQFSSARHHLGFYHNVGISAT YSHVTEVNALSSLEDVILKAVAAVVQKHPILLAIVIDEDSPNPYFARLPSIDIREAVT FLKRETTYIKDQTDIEIDRILEDQHNKGFKTSFGKLPFWRLIIVTNSDVEFVASFIFH HSLGDGASGLVFHKDFLAGLQDKTLALVSTVVHPRQTDLLPNLELLHPLPIQTPKPAL VHANLWSGREVTMPTQSHFRSLFLSQSTSQGFVQACKSHGTTVTATIPVIMAIALMEN IEASFDEVECTVPVSLRRWMPNIINEDSFGVWIDAFSQYYHRENVSTFSWDEARRSRE TISEYLKSDGQCINVAKFQKIKDMREFFLSRVGKERGTSFDVSNLGGIKAGQQEGSDW TMGRVVFGRSAFVSGSAIAVGVVSGMDGCLSLGFSWQEGVVGNDLMEKLMQRILDEID SIACTREVL LY89DRAFT_717985 MPSSEIKLLICITPIYGHVMPIKAVASALVQRNYDVTVLTGSDY KAQIEAIGASFVPLDGEADITQVRLAELIETFVKVIPPPSGDALMLKTFIGWIPPQHL GVQKALQKMTAENPRDRIMVLFESAFRGTLPTLLGAGDIRPIGQIGIGVVPIMRLTSI DTAPWKSGSRVDQSEAGRIENVELTRKDKEKYTKSQARWEEILRENGAKNPGLWWSDV SFHCADRFIQMCAPSIEYPRSDAPAGLRFSGGLPPGLRDPMKSTPAWWNDIAVNPQKK RIVAVSQGTIAMDATQLIIPTMMAMKDQEDVLVVAALGKENAKLPEDFGVPQNSRYAD FIPYDEMLQYSDVFVTNGGYGSVQHALGNGVPLIVGGVGADKPENAMRVAWAGVGINL ETHQPSTEALYDAVMAILGDTKYSMRAKEIQEEMKSFDPIGVIEQNIEDVARISKEKS GVAE LY89DRAFT_706903 MATPPPAGIYVPVPTFFVSKKAANYSPTAAPLDLETQAAHSLHL AKSGITGLVVLGSTGEAVHLSNKERFAVLSSVRKAYEDAGFKDYPLIAGTAAQNIEEI VEQLKSAKEAGCQWGLCLVPGYFAGASTQDGIIQWFTAVADQSPIPVMVYHYPGVSNN VKVVPSTYATLSKHPNIVGCKLSHGDVSYHAQIGANPKIDHTHFHTFTGLGQQLLPVI SLGCAGTIDGTAGFFPKSVVHLYKLSVNNRPTDEEVKERRLLQYKLSAVEELVVKFGT VGIKEAISRILGMGDRDGTRLPLTGGIPGGDKEWENWEECVGDLDAVEKSL LY89DRAFT_583557 MAEENVEFPVIDSHIHLFPESELETLAWCSPSNPLHKQHSLDEY EAATGCPPSFEGFIFLETDRKHDLKLGAEDGRGWEMPLMEVEWLKRVALGTPRDGEGH SEGQKKLCLAIIPWAPLPSGADVLQRYVKEVEKHAESSFSKIKGFRYLVQDKPRGVML QHGFIEGLKWLGKNGYVFDLGVDQHSGGKWQLEEAVEMIEKAHEDVPEEEKVTFIINH LCKPDLSVYNQTDPKFVAWRTAMFKLSKCSKTFLKLSGCFSEMPDALKKAPVDEIFLA LQPYLVVILATFGPFRIMFGSDWPVCTIGVDDAWKKWRQVVERFCDLASLSQAEQIMI WSGTAIKAYGIEELM LY89DRAFT_644607 MSYDTTGIEYFTVPNFKFAGGTSKDVKIAYRSFNPTSPKKVLIP TCYSGRINTTLNFTSGALKDYNVIVVAMLGNGESSSPSNDNDFPSDYSLRYQDCINSQ YELLTQHLGVKSLDAVIGFSMGGQQAYYWAVMHGSGPDPFLKHAVAICGSAKTSGHNY AFLEGPTSALIASKDYDGGRYRQNGVKPTQGLRAFSRAYAAWLSSPEWFRQELWRSTG AASLKDYLHPPPGKGPHESWDAEDLLVLARMWQAGDVGSIAGNGDYKKALEGVTARVL VMPSKTDQYFPPEDGEEEVKYLKHGTFDPIPTIWG LY89DRAFT_48881 MKVFRPIYHALIIVHLCYLLFAVKFSHFPKASCIGGLDIFPSIR KVDILSSIHFTSTGLAPPPPRCLYIGDQY LY89DRAFT_582877 MTATEKDLREGGPVVVVPERMMAQRSQSHHGGFAASLETARKEL DAMDLEEEALISPSSSTPETPGSPITPADIPTADSFAFAFDIDGVLIRGGRPLPEAIE AMKVLNGENEYGMKVPYIFLTNGGGKTEAERCIDLSRQLDIEVSPAQFICGHTPMREM VEKYETVLVVGGEGEKCRQVAEGYGFRDVITPGDIIKDNEHTTPFRKLTAEEKANSRT RNYGETKIEAIFVFADSRDWAGDIQIMLDLAMSKGGYIGTLSETFDEGPPIYFSHNDI VWSAAHDNVRLGMGALRKMVEMLFKDVTRGKELETIAFGKPQIGTFEFATRLLQQWRK DEHRINRPPETVYFVGDTPESDIRGTNQFNAKAKNEWYSILVRTGVFQEGTEPAYKPK VTVNTVLDAVKHGMKREFSKKMKEAGGDIAMNSLALKNLSTLNGDVGVKTPIVEVSES NTPEVRTPDV LY89DRAFT_49007 MSNENGTIKCRMADRVLRAADVSHWAEGNWRVPARVMIQGAVVF CAPLFCYVAGMVRRWRESNSRNFYFYLALRLGAARGPMDKAEMIRESPLFPVLQTLST APPKLHGFSRSCPLQHMSEQYLPIRLEKIYVLTHQNRYPESHSTVKIAGNYAAIMLHE RRFGRSMPHIPRSSSVCRAFAVSIRRKHVSVMVRCDRGYRLMMPSLFLGALAITSLCA WWGLSTGSRRVMNFPHGDRSISEEVK LY89DRAFT_48798 MPIVTSILMHKWDFFMRFPWKVSLFVVWLASIASFLTLLALRLL KIRSLSSRMFDCTPMNSVRRLEKFGAAGILQEQNSSCCSSEDSAFIFDSPSKEHRSDD WIAEALRACL LY89DRAFT_583215 MAAVQLSFALRTSSSVKTVHLLGSWDNYAGQLPLSKDKTSSKSG SWKGTFRFQGATLQAGQRYWYYYIIDGYHVSHDPSQSSTTEPTTGRVLNILDVPSEKS SSKSSSKSSSKSSSDKERSSKHSSSREKHSSSSSRRESRRDVASDIPKGRPLSVSQIK SPKPVAPHATKYILEADYSAPTVEELTSRFSAATIDEYDYQLSSSPPSSVGSSLSSRS DSDSASSLSGYSSPASSVGDCTCERYGITRSGDRVKLDCGGSRCAYSEDSDSCCSSDD EYVPTSSRRHGLVVRR LY89DRAFT_668588 MDTHIEVAARDPNSRLLIGSRDKDVAWYKPNLISLTEPQRDLFE NYSHVAPDQVIPHILKVFNQRDKAWDFLPLPCVGMFRFIDFELSMSPLYSDILSRLKA ENKLLDLGCCFGQDMRKLVHDGAPPSSIFGAELRKEYVDSGYDLFLDRDTFGVKIIMA DVFDTHGPLNELEDQMDMIHVGLFLHLFDWEGQRKACERMVSLLKKQEGVMVLGHQLG NLQPKDVPFGAAQKVFRHDEQTFERLWMEVGENTGSTWDVKVTLDRGMGIAENKRPWD DENTRKMTFEVVRIK LY89DRAFT_583616 MVQIDHHEYLSEEEKRLKQDREREKYWKRWGPYVAERQWATVRE DYSADGDAWSHFPHDHARSRAFRWGEDGIAGVSDTHGLQNIAFAFWNEEDDFLKERLF GLSNPQGNHGESIKEAHFHVDNTPTHSYMKLLYKYPQRKFPYEDLVKENARRGKKDRE YQIIDTGIFDDDRYWDIYIETAKEDDDPEELLFRVTAWNRGPEPAPLHIIPHVWFRNT WAWGHESPDKKPNIHQVSETVAQTTHYKLGERYLQLSPSPGVGPSGQDVMPELIFTEN DTNFEGLYCGENEQPYVKDAFHRHIVDKEKKAVNPKLTGTKSAAWFAFNEGGGVAPGE CAVVRFRLSKKYDDYMDEELFDDIIEKRKEEADEFYYRISPLPMSEDLRNIQRQAFAG MMWTKQFYHFIWDQWANGDPNQPPPPPDRKAIRNTNWKHMHLDDILSMPDSWEYPFFA AWDSAFHCIPLAMIDPDFAKKQLDLFTREWYMHPNGQLPAYEWNFGDVNPPVHAWATF RTFKIERKLYGRQDLDFLERVFQKLLLNFTWWVNRKDFDGKNVFEGGFLGLDNIGLFN RSEPLPTGGVLEQADSTGWMAFYCLCMLNIALELAKHRRVYEDIASKFFEHFMLISDA MTYKAGAKEKSLWNEEDGFYYDAISYGGPWTQQLPVRSLVGLIPLYATLTLEPELINK LPSFKKRVDWFIENRHDVAERNMASIRKRGKDNRILLSLVSKDRLEKILKRMLDEDEF FSEHGIRSLSKYHEKHPYSMDVNGQEFKVEYVSGDSDSGMFGGNSNWRGPIWLCVNFL LVESLQRFYMFYGPQFEVECPTGSGDMMHLGRVSEEIQHRLQHLMARGDDGRRAINDG NDMLDFDPNWKDNLWFYEFFDGDSGRGLGATHQCGWTGLIARMIHDTGLSCRLPQTPR TPSTGMNHYFDDIFTRHVTQPKSGHKTPRHMMRRSSTARSIGARSDFDASTNGDDAHS VGNSVEPETAERERERREADAHTAHYVSEQLSRVRSNQSADYETGDEFEAQLDGQ LY89DRAFT_684344 MLSNRAMLRIRASASQRIVASRAIPASQFRSYATPAAPDSKPPI ALYGLDGTYATALYTAAVKNSTLENTSKAINALHEVYNKDAKLATIMQAPTLSSEDKT SIITELQKHTGATDKGDTVKNFLITLADYNRLGLLKGVCEKFGELMSAAKGEVELTVT SASQLDGKTLSRLESAVSKSQYVGQGKKLKVTNKVNPDILGGLVVEIGDRTIDLSVSA RLAKMNKLLTDTL LY89DRAFT_684345 MTSFITTVNQRTRNQFRPRNATKGTSSYQLRQYAEATLGGGSLR KIVKLPEGEDENEWLAVNMVDFYNHINLLYGSITEFCSPQSCPEMKATDEFEYLWQDS ENYKRPTKMPAPTYIEHLMGWVQSNIDNEAVFPSRIGVPFPKSFPSMIRQVFKRMYRV YAHIYCHHYPVIRELGLEAHLNTSFKHYVLFIDEHSLASGKDFWGPLGDLVDSMLRSD LY89DRAFT_668592 MSTPLTNIRVFVQWSEQTVFAGEEIECQITFKNIADTPSHPRAF LHPQAANGFVTGERSDRQRKPLPQRKSNSAISSRGTPTTRGHRTTLSLSTPSVSARSQ PPPTPWNTATQKVSKEGHTHKRSVSIISIGQSESGVEDINSHGSLVERPRGPSKGHGR SASLQIVPRRNGVNGGPPSAPINQRSSTHPSPLNFSFSPAGQDVELPERLSRRRSGVA TAPGTPSALRKGSASFSQSFKFPATAIGTADSRSVDHFVREGESHYVQESPRLLSPRS RDAVSIMPVISDQAARVLSPASVAGTPRSSGEFYSLSNNSTETLASEYVPQQSGRLPS YGGHSRRPSNISPVNHHRPPETLMMGYAQIHGSFVLDASLINQAPFDEVKRKGAVGGQ GGGVIGVESHKRDSGLLRSFGWGNIGESLGGLLGGGELSSIKDMRGIASSRSIPLLST PQSILFVDLRLGPGESRCFKYSFKLPRGLPPSHRGKAIKISYKLVIGTQRPGGAREQQ VKSVEVPFRILGSVNTHGELLGHDLLSPYIILRDQAKVKSIEAPKQASQDASIELHNN SAAKPNESTVDDFLSYVDDLLSRPRQNSSLGLLSPTEAPRSRRQSTIEEPGTVKEAID MAILRSNNATESQQSANRFDIARGGKRVAVVMLARPAYRLGEAITAAIDFTDAEIPCY AIHVALETSEKVDNSIALRSEASILRVTRKAYVSHSESTLFARRVVFCPTIPITATPE FITSGVSLEWRIRIEFVTPRLAGGETDADHADLLDEVSRDDRGVVLAAAEALDCESFE VAVPLRVYGALAGNPERDDSPSDGLVV LY89DRAFT_49347 MSEPYKLSGESVALITASSAGLGAATAKALARSGVRVVINYLSS KDKAEKLLEELKALQSKSGEAAVDKESRVLAIMADVSQRTELSRLVEETVHKMGRLDL VVSNQGWTRMRNFNDLDDNVDESDWDKCFNMNVKSHLFLFHAARKHLEASGGSFITTA SLAGVKPSGSSAYSVTKAAQIHLVKSLALIAGPVRVNSVSPGILLTDWGLQFPASKVE TAIGKSPLKRVASVEDVAQQILFLANSASTTGTNSVLDAGLSL LY89DRAFT_615243 MQLQTLIFVLLTVGVRASPTVTSPYDAATACAVVSSSASAFLAI SPKATPTVEAQLGYDCLASVPLHAQRAKDLVEAMLPFVQWQTTIEYLKSPPEGYTEPA VDLPSGFAAVIANISSGVYQTEYEFQVQLWKVVNSAHDGHFRFLPDLLSKAIAFRRPF PLVSVSFDGISSPKVYSYYDVIASQSSGIVPSALASIEGDEASEYIEKLSQLGALNDP DASYNSMFFSPAFAAESPGWQGYFAGSGRFSWIYPGPNTTITFANGTAFTVFNKAAVI GDFSGVTDGNSFYQKFCMGTPTTTVNSTTTSVATPSTTSSATSTAVVSAIGYPLPVVI SSDQQVSGYYLPNSTVAVLSMISFDPTVPIQFQQVVQKFLASAKADGKKKLIVDLAAN GGGLILQGYDTFRMLFPQIVQDGNTRFRDTPEFMALAQTISSGLPPGFDPYTSDDDLL ISAWETVPNYRFDYNIMNEPFSSFNEKFAPHQYYGDNFTNIIRWNLNDNLTTINETYG MGEYITGYGPRANFTQPFAADDIVMIYDGYCASTCTIFSEFMRTQASVKSIAYGGRPN TNTSTPLIQAIGGVKGTNNYGYSYISSLASAALELNPTTIQSAFLRPLTNMLPYERST DTSINVRDNILPGNLGDGTPAQFIYEPADCRLFYTPAMMANVTAIWEAAALAAWGGGN CNAGSLPSSNISSRRGLGSREEAMRVTEVVDIHLSTPLRSEEWVAKFAMSFPK LY89DRAFT_615246 MESNSTIMTKGRVVMTKIKAGHQDEEQISVHEGVVDGATRGRGE LEVMEMRQRISILRKLNAAEAWLDKGFGIETTGADRLPEDQRQPPSIFNMIFLWFSMN MTPGLITMGLLGPIFGLSVNVSIVISVFGTAIGAIIPSFTATLCAATGLRQIAVSRYA FGIWGAKFCGLLNILINIGFGTIDCIVAGQLISAVSGGKVTIAVGIVILCVVSFMISL LGFRLIHRYEQVAWILILVLLCVEFGQSAKYFSPTPQLSSSSGKAKIGAALNYFALVF GQVAGWCSLSGDYYVHYPADINKWLVFWLTWVGLVIPTVFVLILGNQYGGIILTNPTL STIYDDGGIGALILATLSPSGWGKFVCVMYALSFVAGVTAIYYSSSLSIQLWAKHFMA VPRFVWNTVLAAISLALAWGGREHLSSVIVDFLSLLGYWTICFGTILAFENFWFRPRN GGYDLEGWQDQDGMPLGIAGCSSLAVGLGVSFLGMDQTWFIGPAARAIGPEGGDLGNY ITLMAVVLTYPPLRLLEIKFTKR LY89DRAFT_706916 MGIEEALPHELRGKLRADLLAADDKFVLEIPKVELHVHIEGTLT LNLRWKLTQRNGTILRLVPNGPELHSLEELHAAMDSIMPDSSRMNNDEERDMFFESYF EGFQALKTEEDYYDLAMNYFEHAASMNVRYCEPFFDPQGHTSRGVSWSNMMDGFRKAQ KEAEEKLNIKSSWIMCFLRDHSPESATEHYKAAQPYRDMIVGIGLDSCEEDRRPSLFE EVFAQARGDGFKLTMHCDVDQKNTPKHIRQVVSVVAGEGTDRIDHGLNASDDRSLIDL ILKRDMGMTICPWSYLRHTTYAKLGQRIRALYDAGIKITINSDDPAFMDDCWILHNFL LAKHLCGFSDRDITVLARNAINVSWAEQSVKDNILREIEIVYDRFHSSQ LY89DRAFT_696763 MSRADVFGTACKTCRRRGRKCDRSLPTCTSCSQRGVECEGYVLR WVGVAARGYLASQTSPDHHAITVLRQRNWSLSSIIDIDGNDLEDLVDYYGRELSTAFY LGNGPVETPYTCHILPLVRSMPSVRCAVAALASCHQANRLDDNQLKIQSLHLRLKATE LLREDLRGGGDGLDLGCLACMLLLAQLDLCSGDCIEFGTHLEAAGKFVKSHGSDGTDR GFFEQRLACSLVNRLDVMGATTTSRMPHWTSDEIKATLNKFRTPSGRKWGFDVFYCPI DLLEYIADITILYKAQPNVPNIDQDVIQKAILLGNDIKRFDAITNSDPRLHIVEVWRL GTLLYLNISLHARAIPVRTGWNISTTWPLFQAGLLLSHEHDEAKIWVRTELLANFHTL GCFNLKRAVEVLEQVWQMGDNKSYDFFTFGSPQSQLVL LY89DRAFT_668599 MAPHSKELHTILGLPQKPEPSLEAIRKAYYGAALKYHPDKNPGD TNATAKFQELKKAHETILSRYVTIEEECDVDISETCYTEDKQLTFDKKPKRVAKKVEK AKRRAENEESQANARANKFFEMKKLAREVRELLTLEKEIECETLRLENKQPCLGQRKE DIQPMTADYHRRALTLAKAQLNGRNRKKPRPEINLDTRANEKMILGDVFEEFETDRRN ENSLEFEIPLERVDFERERQVKQNRNLSSLASETEVRQDAQVLAEKVNRFWSTKASNS EQPNVARDASKVERPDDTDCKFAAAAYTREHLAMFAQMSGNPEHSNVHAVQVYEDPIA QNDNLSQSHYCDPLSRKGRWKEGHEVRLEHFPRGKKHLRRVRWGPAEVLYTRI LY89DRAFT_746753 MITAQINLPILGSASPFGCLSLSGITSTGLTSITGDIGAVINPL IGSLIKGFSPRLCSGTDVISAVAAVALTDATAAFTAISSITAATILSGDLGGMTLPPG VYKFASSATLSTTLTLLGTGSSSDAWYFLIGSTPVLAPGSKVFFGRRCLL LY89DRAFT_717999 MTVISVTNNPFHDKAATWEKAHKCHQYALFFHGISSGWIFIVPS FFAILSAVFTGYIRSVTPGVGDQTPPKPPSTDLDAEDVEATSVPDLSGAGAETSLATD QGTFSEESDEPPPYQGPATQNTPHLSQTTPIKRSSAQRFVTGTILFMCNITSLFLLAL TVQSAIFCQPWSPLSFWPRLICWALFSIPAIWSLNGATCWAMLLRDLWGPGMRKKYPI KEYALSFTLLCIVISPFVLLWTVFGVGSVKAVEACQRRFCGDALEDGDENVELGDGRI DGERNEDIDDEGVDEDSVRLINNRIK LY89DRAFT_615249 MASRHSVDSLSPISPSFSRNEYPFPRPGSSGRYQPRRGSTASSI HSIGGTLDTATHWHGLNESSQNAISTLLQPPIVRTGLIPHTSAPASSAHKPPTARDIP PVTLTNITSVDPSEFKPYLTQVGSLYDALQRAREKEDEAGVQNLRKGSRADDFSDILE PDRRFSIRPNLSRQASLASLVSSIESPTIRRRSSGGPGRRAAQAPTPLSTIPAVYFEE DFHLENPRTFDVVSERSEVVKPTPGSPDERRASNGNAIGPRKALATNAILQEKLSWYM DTIEVHLISSISTASTSFFAALGSLRELHAEAADSVKRIRTLRKELETLDEEMAIGGL NIVRKKRRRENLKQLSDAVQQLKKIVQVVGNCEALVEEGLVEQALDNIDALEKLIAGE EESEVASKTARLRDLRGATALKGVNNDLDTLRLRIGKVYENRFLSALIGDLRHHVESV STSETLQRWSSASQRSRHHNREPSAFPAYLAMSDTFRSEILANLGGLHRARHTSPATT AYRESVLREVRNIIRRPLPASNDDDADSIMSASTIGGSKQKTQQEKSTILARNLRALE PEEAEDLLKMTYIGVGETLRRLGTQVKVLLDVTSTLGDPSVSGLKSPPRSPNIAALDG RLLQKSSKTSSSREFQEEMHQTLDMSNLLGQAVDIAQDKIVKVLRVRSEQSTRLSVEN FLRYFTLNLLFANECEAVSGRSGTALKNVVNGHIKEYVQQLSEKQRQALATGMEADPW NTRDFADGDKEELARILSASTEDAEAWTSGHKIWNPSSVSTAPMNASNLSLNIPQTNG TSGTSTPSSKTTVRPATIEGESFLLPVSAILCLHGLSCFLHLNTGIPSMTSEIATSVL SYLTLFNSRCTQLILGAGATRSAGLKNITTKHLALAAQALSFISSLIPHIREFVRRHS GTGSAVSSLMGEFDKVRRAYLEHQQSIYDKLVDIMAGRATAHVKAMKLIYWEKGGTSV NAYMETLTKETTTLHRVLSKHLPEMTVRMIMDPVFKSYKEQWGKAFGDVVLGSEDAQK RMLRDAEHFRNRIGNLEGAGDAGEYLVNLVKGKSIPRATATISTPSETTKQSAMDGKT ITETNKQASNGETSEQVESK LY89DRAFT_615251 MDRQSVYSLSVLPPEYESEDTRGQIQTQLEQFILQFRLENTFIY RDQIRENVLLKQYYCDVDVGHLISFNEELAHRLVTEPAEIIPLFEAALKRCTQRIVYP STKDIALPEHQLLLHSSAGDISIRNLDALTISRLVRVPGIVIGASVLSSKATALHIQC RNCHQTRVLPVAGGFAGVSLPRTCDRIKIPGDNPCPMDPYVVVHESSQFVDQQIIKLQ EAPDQVPVGELPRHVLISTDRYLTNRVVPGSRCTITGIFSIYQNKQSKGSNTTSAVAI RTPYLRAVGIHSDVDHTAKGNAIFSEEEEQEFLEMSRRPDLYNVFANCIAPSIYGNQD IKKAIACLLFGGSKKILPDGMKLRGDINVLLLGDPGTAKSQLLKFVEKVAPIAIYTSG KGSSAAGLTASVQRDHTTREFYLEGGAMVLADGGVVCIDEFDKMRDEDRVAIHEAMEQ QTISIAKAGITTILNARTSVLAAANPIFGRYDDLKTPGENIDFQTTILSRFDMIFIVK DDHDRARDEKIAKHVMGIHMGGRGVEEQAEAEISVDKMKRYISYCKSRCAPRLSPEAA EKLSSHFVSIRKQVHNAELEANARSSIPITIRQLEAIIRITESLAKLSLSPIATEQHV DEAIRLFLASTMDAVKMGSGQGSKELNDETNKLEEELKKRLPIGWSTSLQTLKRELCD GKGYSEQALARAITILQRRDTVTLRHQGSQVYRSGA LY89DRAFT_583880 MKDSWSSHGDDRRDRGRVDRGVTRPRRSRSPISRRPDSDRDPKT RDRSPLKSRPAQHTERPRDRSHERSRRRLSRSPLRDSREEVRERNRGRELLDTRGSDK PKRSSVHHSPSSGKRRKSHSPSPPRSHTKKSKRDTSRSPSRLEGSVTSNSRTGRKRHI VSPAPSRRRSSERRPVDSRAGDKYNKHHRGSRVSESRGRSPSPKQDRHYSSSRQESRR PQDSTTRYPRSPTRHTKPSERSPTEKPRGKDREERRPRSPNSRQRSPDVDRYEPSNRT RQRSPAPGGPKGSRGHSPTRDREGRPPRDEYRPGKPKGKTAKSPFQSASGANSIEVKN SRAPAAASGANSIEVKSDKMAGRGYYGGQQGYNPNQQMQAAFPLKPQYNQGPQVDLRQ YSQSPQHHMTPNSFHSSPQAQSPYSAGRGGWGGPQYSPQPQYPQNYQHQQPAYSAPTG PQGHYYNSQAQSPPYQAPTGPMNTTYNPQQNFRGNQRAFRGNHFNPRGGRGDHRGGRG GHYQNLHWNATGGSTGAGRGQNTSGNATPQQSSPQQYQQQAGSHESTPQYNAPPEEEE EDADDLFRPSKDLQVEDKEATKKGDDEQMPPPSRPPPTGPQSQQNSSKFSFAFKASSK ATPTPPKPEISQKLNAGPARNLQISQDRPRGPNSRNVPTEPASARRQDFRTEPPAPTT RKVKKLMRRLKPRPQLEPDFKASESVYYRKPGNESVVGSGTYGKVFKGIHVYTKKLVA LKKIRMEGERDGFPITAVREIKLLQSLKHHNIVNLQEVMVEKNDCFMVFEYLSHDLTG LLNHPTFKLEPAHKKHLAKQLFEGLDYLHRRGVLHRDIKAANILVSGQGLLKIADFGL ARFYAKRRQLDYTNRVITIWYRSPELLLGETQYGPAVDIWSAACVLVEIFTRHAIFPG DGGEISQLEKIYAIMGTPNKTDWPGLVDMAWFELLRPNARRPNVFAEKYKDRLSPAAF ELLEVMFAYDPANRPSASDVLEHPYFRTEDPQPKQAVELSKLDGDWHEFESKALRKEN ERKDKESRRLQKEAATLKAEKEKKRGLEGDITQSDAKRVQMAPPSASASQAENAK LY89DRAFT_781507 MAPDSADVEMEVTRPETEDDDTEQKIINEEYKTWKKNSPFLYDM ILSTALEWPTLTTQWFPDKKEHAGKNYTTHRLLIGTHTSNGAQNYLQVAAVELPKNAA PNPRDYDEQRGEIGGYGSSSNGEQAAIKMIIEQKIDHPGEVNKARYQPQNPNIIATMC NDGRVLIFDRTKHSSIPRGQVLPQAELRGHTEEGFGLSWNPHDAGKLATGSNDKTVKL WDLNTIQSNNTVVKAWRTYTHHTSVVNDVQYHPLHKSLIGTVSDDLSLQMIDVRQPDT DKCSAMNKTAHKDAVNALAFNPASEFVLATASADKTIGIWDLRNLKDKLHSLEGHVDA VTSLAWHPHEEAILASGSYDRRIIFWDLSRVGEEQLPDDQEDGPPELLFMHGGHTNHL ADFSFNMNEPWVVCSAAENNTLQIWKVSEAIVGKDLEDIQVDELER LY89DRAFT_733128 METQAEENQQNRNLLVELFFPETPEVTLDIIAVHGMNIWDNPNH HDDTWTHPDSKKNWITDFLPQDLPEARILGFQYNANVVFSTSSASIEEHASNLLN LY89DRAFT_49607 MFLEVKAIEGEVEQLKSQRTNLITILAGLFLLLWPIISSLPPFS PLPIAWILWPWQNQIDTARYRLREVNTVQRTVLSLELLTVELLDEKRHDVLCSVRQLE QAMRSTENLTPEQGLEAKSLKYQLEWLSAQIIIRLHKKAQEVEQANREREQAEHTERK VQSAFQGQALQEPEDDSSICPECTVM LY89DRAFT_718006 MAGNSPLEKLSNEIFDNIFQHITKASDLTSLCLVSQTIYHRSVP KLYHSWSYHGFTHSNKSLKFFLETVIRRPDLAGHVKVLDLREWGDCPKLEDYVEGGKY GGSTYLSDNDEDETDEEEGGGAETGESDSKVDENSNSDDFSVGPLGSETIGHSLEKEI LKIEAEGLGVPNRNMLADYRTAVTENKEEILVPLLLTYLSNLQTLYMVAPEGFTIGDG ILQKLETLYICSALHLGIKVHREYHLEYETLLPFLALPNLRSVYTLTPYTYTYTQFDS YFYPLNAKPGTSNITFLAWDESQIALDDALKAILIPKSLEGLRWTEDISGCWNPFFCH GPFHHLIGKALSAHKDSLKMLDLDIRHRYCNGEGHPGNPNTTHEALLRLHPNAKERRT PKDGILIGSLKEFSKLTALSIDATALCGHPKWAPAPVRMIEALPPNLETLNLRVNIQI QKLAQGESLYEFENVMWKGHVFDFIDRVKDELPSFRKLEIWIIGIYLEKEKATDLIVA LTEDISTKCKQAGIRFGAFTASWGTQVPYFQERNSMRNPGRDF LY89DRAFT_668608 MSSSAQSQQGNLDRYVVIHVATTCDEHGVYVTKDSAEVIELGWI LLDAKTCDELHRESVLVKPINTPITPLCTSLTTLTWEHVRNAGTFRDAINRFDAFAAE HLVSQSLDFSFVTLDSWDLRVQLPREARDKAVVLPPYLQHSRTFDLRTEYQRWQQHHP ESLPFGPSMLSNICAALEVEPVQASAPIKHNLPFHLQALAPASPRRAMEEAVTLARVL RGLIRKSQPPHEHPDVLTRPMDARADVRAFLSERSKVLHMAGLPHDTTQSELESWFTQ FGGRPIAFWTLRTPDQHKPTGSGFAVFSSHEEVSPSSLTHLYLYCWACKCHQIIVSAP YEYILLLYDRLLQLPHHPLVKFFLSRGHSLTPYQAAESLCMNGRALNEKAIEVSPSSS RVLDRAAEILTPFPPSKNRPRPGDWTCPSCGFSNFQRRTACFRCSFPAMSAGPSGDAM GAYGGGYGYGPPAMMPPPQHMGGHHGGMGGHGGGRMGGSGGVVPFRAGDWKCGSEGCG YHNFAKNVSCLRCGASRAGAAVVADSGYPSPMETPSSYGMGPGSMAGTPGPGPFASAA GFGSSGGYGGQHFGGPQSTFALPSGLGGSTGPYPGSLNTHFSPGAGSHSAGPFDSRAA EAAFSSATNGPASAGPSNNYNYPGDSSDPFAFLSTNLGNLSMSSGDARQNGGSAQLSA PSKSPA LY89DRAFT_696771 MFSLATASIIGFSCLTAVSAAPFTYNNNPLGNNFPNPSPSQTTQ IELQAHAAAHGPSPTTITSLEWVAFNELSEVAFFTELIANITNNVPGYIFDTEIDTRN YILTALTAVQAQEELHALNANLAVKKNTGGVILPCEYNFPVNNIEEAIEFASLFTDVV LGTLQDVVTLFGEDGDAGLIRGVASVIGQEGEQNGFYRNLLNKIPSALPFLTASTRAY AFSAVNQLFVVPGTCPNVTTTGTVGPFDIDLPVFDTLTVVTQNIQPKSQTLSFTFDLP AGGAKPEWKSDYSGLELVLINQQNAPVIESLTNVQLSSNLKTITFDALFPFNGTTFGN GLTLAVITPAGETFTDIDSIANVTIFGPGLIEVN LY89DRAFT_49936 MIGRRAKNADKKSNFIGGCVRGWCVRLAVCLNAFRWLLVAGCWL LAAADRDGRLNGETLIPKIRLAAGPPPRPLTLLILPCSQPFTPPPHLRTRSPPSPLSP PLQTPGVCRLLFLRQRTPTFRPTGSVQGFSSSDPTNSSPSSKYLLVALSTPAESDLTA LESRRPIEELVGQ LY89DRAFT_684363 MYSNILFSATAIALLAQQAATAPASPPTGSQVLANTSYGPIPDE SSYYSTYPEKAPPFPANITAPVLNTTYGPPGPDDLMWQNLLSAEWIIYSFYQTAVEMF NTSSFTSLSLPNTTYMRIVSIRDNEAGHLRIFQDQISSNSIKPGACQYEFPFTTTSDF LALQNLIEIASMAFLTGLVQQGKLNTTKGALTAIGETETRHLVWGLIDVWNVDPFAGP ADTSFPYANQILDTTNTFVVDGSCPSENPTYPSPRQNLPPISTATNTTSVAPGSTVEF VFSDAENQPSFSAEKEYYVVWFHGVENVTVAFDTGSNETVIPGRFEERGLIVGVVADE VGAPTLESVVAGPVYLLEQPSGLGTMLSSL LY89DRAFT_733134 MKKPVECAKKSVTSETPQRDHTQVPPSIVRTNKDLLLDCLYEFE SSTRRMLEDITLSTPEEASSEIKEMWTQSTTTYPHIYDSDLCWNSNRPSSKDLIIHTI NLIADRKEAEAKGIADDYEVYVPAFSKSLLDENMDPLTAIAQLYEPDKTNPVALVGYR LPDLIPEHSFDTPVALTKHVEPFPEGNLQILLTPKFWTTDLHIDNNDGLATTIGPTEK IWLTFPPTTKNLKHMKEADTQRSKLARIGKLLEGGLIYKTTSAQAIYVPIGCIHAVFT THGGFLLSIDFSTPQSAKVLSSLFKSDFHIRKDPYALAELPHQFIECVDRALRENRPL LGVTAWIDTRDYILEWADCSGDRSEVTRNTFWIERRSDWKKKVDKTWKNFLSSPASRD IICPCGEMANGESFREHFRAKHLFTKTAVSGKLKLSKETKNIPKRAKRKRRGSDDTIR LY89DRAFT_684364 MAPQRTTGKVQKRTSHRGGPKQARRGSVESESSDGTLRASNIEP GHEASRKMLKRFAAKVGKVVTLEQVAAASQWMTSMQCTENDLQKFATFNSHAEKIGLQ KVWPEIIMYRSIGQISTYFLDGQRLRNGGQSDINALDELEISVVGWVRLASEGRLSRL EESAEIEDGEGQYSTQAERFKTFLTEFKHRGNFTVWNYDQMKMLRYMIYKVVHEPVGL EHTPRQSTGKIIARFRIIPFAYHSFRSP LY89DRAFT_49875 MHSTVVRAQNVFGFFTTVAFAVAALIAFTDLITPRTPKANISMS KVEVVRGRPNYYSTKKEEYAVLRFNLNADFSSLMNWNTKQLFVYVSASWPNSTSSEHT NEAVIWDTIITSPSADHLQNIGPAAMKKLKKSAEGKTIDPSRGKINLKNQKAKYQITA PGGRLAEKNDVVLKVHYNIQPWVGVLTWTPQIEFGKWKMMKGGVSKLFNFPALKVKKA ADTTSR LY89DRAFT_584394 MGMVSAIFLVLITILLPPIGVYAVAGCGADLFINICLTLLGYIP GHIHAFYLEYVYYDRKEQAREGRFTAARAPGVYSERVQSGGQGYGTIVQPVN LY89DRAFT_644672 MSDEKGSKKPQNKPSERLSQVANHVGPVKTRRLAKSKENLPVDY SDILGQLQSLRTIASTPDTKNKGYVRQKQAGKLWVRERVLALLDEGSFHEVGSVSGTV KWKKLDGIREEPISYVPSNNVQGFGTLRGRKVVFTADDFSIRAGHADGALMEKTVYME KLAVALRLPIIKLVDGSSGGGSVTTIKTAGFSYIPPMPSFGPVVEQLNLGIPNLGAVL GPAIGLGAARVVACHFSVMAADIGALFNAGPKVVAGATFEEGLSFTDLGGPSMHCTNG TIDNLAANEQECFEQLRHVLSYLPNSGSSLPPILPTEDPADRTCEELRTIIPRRKARM YDPRKIITTVVDTASWFEIGALWGTTAIVGLARIAGRPAGVISLNCEVNAGALDAAGS QKITRHLKFCDIFNIPIVQFVDVPGYAIGTIAERNATMRHGVALATTYYSTTTPVFSV VTRRVYGVAGGIMLDCRDPRMRVAWPSGEWGSLPLDGGIEVGHAAELKAAEANGQREA RYRELEEEYSRLMNPVRTANAFGVEEIIDPAVTRQMIAEWLKHVYEELLPLRLLDRAN GKIHPMFY LY89DRAFT_684367 MASAPDTRRRPIKRLLVANRGEIATRIISTARELDIETCSIYTT NDTSHTHGSTYSIRLKSPASYLDIPELVDIVKKYKIDTVHPGYGFLSESPEFAQRMWD EAGALVIGPGPEILDRTGDKLQARLLAEECGVPVLSALRTPTNDVGILQKFAASVGYP IIIKAVDGGGGRGIRIVRKEDELSSLARRALQESPSGMAFAEKAAIEGYRHVEVQIVG DGKGNVRHLWERECSIQRRFQKVVEFAPSSVRDRKLVGEVIKAAVKMARKVNYSSLGT FEFLVKASPPEFYFLEVNPRLQVEHTITESIAMGIDLVQMQLLLAQGQSLSSLPISKI PEDPETPPPFHSLQLRVTAEHVSHDWSLSVGKINSFRFPAGNGIRVDSHLLPAQQTVV GTDFDSLIAKIIITAPTWKDVVRKAKRALADTYIEGIKTHLDVLRGIVASEAFLKQEC DTRWLETNLPTVLEEGLRISKTTTQISLASDSSAANNSVSAASNVLFRKGDAWSISLT PESSPRSEATPTPSHLQLTRVLRNEFPSSLTASILYNTPESKAPTPYLLTLASTTASF GSLTSAATHRRGDPGNQNHITLPFAGKLMELLVDEGDEILKGETVAVVRQMKMELEIR ASKGGKVIWVYEGEEGDDVGEGVLVAEIEGNASRL LY89DRAFT_746789 MSSNSTASAIPYWESPDYKGDKVRDLNASLIAITTVIVGLRLYT RGFVSKTFGVDDVLASIAFALIVTQSALDIRSVNYGSGAHIEYVPPHLVPLFFSALVN NTLIYFIGTGLMRLSIVAFLPRLAKDLSSPLFLKLVYTTGFVIVCQTLVCFFYRLTEC HPIIDIWKVPTTPGLKCVSAQQEQNNLIAHQAIGIIVDFALFALPIWVINSKMMKSAK KVQVILVFSVGLFVVITGVVRMVMLNTLLFLADPTFAMSTIGPWTDLEGHAGLWVASF PALQPLIRTMSYKLGLRSALQSYGQSSGGKNNKSGAKVPGGSNNWASTVPRKSGYAKN GSGIDLDDGSERGIVAGDKSDTELDNLSADSTHIHKKVDYDVRVEEIPRGDYDDPRRV TNKSWVDV LY89DRAFT_50069 MHFSQVFSSVLLLLTSAIAAPIEERNTCTTLAVRKEWRTLSKAE RLEYVGAVKCLMAKPAQTGAFFAGAKTRYDDFLALHINSTDYVHFNGPFLPWHRWLLH LWETELRTTCGYKGYQPWWDLLQDNTIGGYAKSPLFDNTYGLGGNGPYIADVSSNITF PVQTPTVIPGRTGGGCVEVGPFANMTINMGMGLDLSYNPHCMRRDFSPTLVAEAFSNA YYEAALASENFQELNQNIQGYSFEISGMRLHAALHIGVGGQVGDEADMWSSPGDPIFW FIHASLDRLWNNWQRECWETRQSEIMGPDTIFAYPFDFFGEIPYTNITLDYELEYEHF GSNVRIGDIMDVEAPNLCYTYE LY89DRAFT_50073 MRLLNARTRKLREFMGDDTPPYAILSHTWGRDEVTFQDLNLAGS GQDKLGYEKIRKCCEQAIQDGLYWAWVDTCCIDKTSSAELSEAINSMFRWYQKAQVCY VYLSDVSGCNPPQEEELAQSRWFTRSWTLQELIAPRFVLFYSRIWEHIGTKEQLADII SSITTIDEEDLCGTSLELVSVAKKMSWAAKRKATRLEDTAYSLLGLFDVNMPLLYGEG QKAFIRLQEEILKSSYDHSLFAWRLDEASIIELKRYTKLLSRPEFLSSQESTFGGLLA DSPAAFQKCHKIVSMGSWGHVYHSPPVIHNKCVYIDLPVIRRSELDESFAVLDCRLQD DDQNYLAVPLRQWGIGTFSGRLRDLVLVPSDCLNFDSKTLSPENTERLRIKAPTLNEG LQGCFFLAGLPPEASGYQLRSLHCKAGARFDRKKRILRPEKHARGAQAVFVFGNKHGD RFALVLAQYSRSHTSCNSVAYVKILNNGHIEDDIELRGYMHEVDVAGGSWLSTYLGKV SITPIGTTNLQIQLMSQSSRHGPTESVIITEYIRESYMAAGRG LY89DRAFT_615292 MMRFACSQLVVERLDPLVNPGVIGSPHVHQIVGGNSFKPSMTPV NYDLPSRSNCTSCTFSEDFSNYWTAAVYYRARNGTFKRVSQFPNGGLTQNGGITVYYI PPYDGVSNVTAFKPGFRMLAGNPVLRNTTGESRGICHRCFEGDNFTPFGGPPCTGNDT TSFPTHFCPGGIRSTITFPTCWDGKNLDSPDHQSHVAYATIPFEPYVDPIIDTNTTLT PAMGRGTCPDTHPVHLPQLMYEVMWDTRPYNSKELWPEDGSQPFSYSTGDPSGHGQHG DYMFGWKGDALQRALNARCSNDVCKELVSQTPEAAMNCTIPQLMKEDVDGDDWLPTLP GGLMIN LY89DRAFT_50065 MAYEYLWTSILELTHLILNCKKRGGRRLHIYTSDIDDYLRICIA LRLLFLRTFLILSMISAHISCPHHLACSGLLYRPSTVRVQIGICGQTMRIWKFFGALL AHLLGCPITYARLEGWITRKTRYTPLRNHTLVKLCARLVYSTATSGDFSKKGTFYRKT RAHNLRQRHRGVSFGRSSCPMSMPSHVCLLATVTSKIVPPHSSFGPFHF LY89DRAFT_584056 MIETAWGGVPHAVVPLHEPSNEKVTNVGDIAPQTASSLEAPRES SASVSAEYEHVYPEGGARAWLVVFGSWCAMFASLGIANTLASFQAYLSKNQLSGYSPG QIGWIFSIYTFLSFACGIYIGPLFDVYGPRWLIVPGSVCVVLSMLLLGVCTALLFTPS VAAVGHFFNRRRGTTTGIATGGGAVGGVVFPLLLESLIPQVGLAWATRIMGFVILCLS MIGILLIKSNLPPSRTAKSPHPDFRILAQPAFFFTVMGSFLMEWALFVPLTYITSYAL HAGFNTPFSYQILPILSAGSVFGRFFPGLYSDFIGRFNLILLCTILTIISIFAIWLPF GTTTPGIVVFAVLFGFSSGSNISLTPVCVGQLCKVEEYGRYYATCYTIVSFGCLTGVP IAGSIVQACGGSYWGLIVFTGGCYVGAFVAFAIARGLGGGWRVMMKY LY89DRAFT_684369 MSEGNRDIRNHFLFEIATEVANRVGGIYSVIKSKAPVTTAEYGD RYTLIGPLNRQSAAVEVEALTPTNPQLAATIESMEARGIGIVYGRWLIEGAPRVVLID TKTAYRFLDEWKADLWNTAGIPSPPGDDETNEAVVFGYLVAWFLGEFVAHEKTKAVIA HFHEWLSGVALPLCKRRRIDVTTIFTTHATLLGRYLCAGSVDFYNNLQYFDVDAEAGK RGIYHRYCIERAATHSCDVFTTVSHITAYESEHLLKRKPDGVLPNGLNVTKFSAMHEF QNLHQQAKEKIHDFVRGHFYGHNDFDPENTLYFFTAGRYEYRNKGVDMFIESLARLNH RLKSSGSKMTIVAFIIMPAQTQSLTVEALKGQAVIKSLRDTVDVIEHSVGKRIFERAL KWHDGDPMPDEKDLITSQDRILLRRRLFAMKRHGLPPIVTHNMANDADDPILNQIRRV QLFNHPTDRVKIVFHPEFLNSANPVLPMDYDEFVRGTHLGVFSSYYEPWGYTPAECTV MGVPSITTNLSGFGCYMEELIENSTDYGIYIVDRRMKGVDDSVNQLTSFMFDFAGKSR RQRINQRNRTERLSDLLDWKRMGMEYVKARQLALRRAYPASFSSEDEDDFIPGIEQKI SRPFSVPGSPRDRSGMMTPGDFASLQEGREGLSTEDYVAWKLPEEEDPDEYPFPLTLR AKRPQGPTSPAEPVITNGNGLS LY89DRAFT_684370 MEGTGDSFLLSLALLSLSRFLFHSLAGPRGLSLKPAGSPPQLVS RFIIRWNSPPKPVRGQNYPCPKLEPWLPNDLMLSPPPLSFAEHDIKMLKGLCGVDIH LY89DRAFT_668622 MSHRSIDLSAPGLYEHDMQDMSFAPFVIELAHSSAHPEISTRIS SRSESSPPLSLLISKPEPEEEEDDFFQDPPEVVLKRLRRQGMVQRRDETISTAVLEVP TAQEYQEKLETLFVDPPQVVGKSILRAQKQFFARVKHGIEFKNPFLSSERTRRGSNGG DVSPKTVFPTYDGEGYGEYDDQPGADNSEDELDQLFSHFSRPNSWTLGDHVDFVSRAE HSAASINSSYSGKYFNVLPIQIPDTSPLFDSSRDVTDSPAADAFNTSHLALRRFSGLE NRIVSHFSVSDIFILSDDILNTMDIGDDVLAHFSVGWDSDIDDTLDQRGLQNPAPSNT NADDVLLGMDVEALVDDIQNTTRQFVDNDHLRGECEQNLRPHHRRPGCFCYHCTRRYG ADDKEPHDATFCSCTNCFVNRYKVMKARKERTARREHADWTKKLLGRLNTKTKEGDQG KQELLDMVAKLMLDEEADQKDIVSASIKSSRNSNMFDLVKSGLRSPE LY89DRAFT_684372 MSLSRSVDRYAAQQTLSVSSLSSSPTSHTDHARTYPLKQTNKPH YNAFSEPPLSTSVPLPISKGLNEKERRPVTRKPVPQSSAGPETDDNTSVGSRYDTNEV YNEISIARSEAERYQNTLKNNPMKLNKTANPSSIIPTSRSRTLHDDSTPQPSKETKRK TSFDSFSFHTGDEAFFSIRRRGRTPEPKKDTESEHSFESFHAGDEDAFQAHLTRSAIL ARMGRREEKRWGCCFM LY89DRAFT_746806 MPSYSSGQFYHDWRYAIKKDFADPSGEPVKYAQDGFRTWGRELH KIPLSEAPNGVSVNTDGSLIAIAAKEDILIYDTTSFSQIMVLKGHVSRIDALAFQPGK PKVLVSSAQNNYAGSAPAEPTIIFWDLDEQKKHPIMEDSEVLKIANQAKDNIVKNLQE AQTRIELSTEEEGRLISAIEPVISRIAKTHSVVNRKFIHGRLQGSFQSEIFSPSGSHF IYLPGNRPISNGKDAWDVKIYSMTTNEDAFTLIGHTDCLMWMGYSPDESMIATVAWDQ SMRIWDAATGQQKYVFETKGQNWTGGFSPDSTKFAGTCGNGTFYVYSMVDGTTLVEHT PEAGRGWMRALDWSADNNFVAVGGGHGAGSGILFLYDVEKKQVTQERILSTDACKVDQ DTKRFLGGFLECCKVRFVDGGRKVAALTGGDGGIETYDLNTWEKWRFTRPGIDPESEE DVNEDEGKAEESTEKGQKKEKEKAHGGYAMQVWEDQKMGKVFIASMDTDAVRIWDVLM TMGNES LY89DRAFT_733149 MSSDYNPPMGQFWDVHYSNSSSALRKAKRRQDSLGSIDASQFPT IESNQKSHPESQTAHYRKSRPRRTPPYVADSQKRKHSVSIEDLEHQLSVEELERPETP TWDQTAEPKRNREYQGWHLQFTPTQRPAMAVAHPQCREGTEVRFDSRHFNEFPRPEGK RKRCLACGALLGYYRSEVDIYGNGEAYDEGPFCEYGDEREYV LY89DRAFT_561412 LSHCKACRTTYYCSTDCQKRNWSVHKTYCKFLQKFPRNSEPQSI SCAKIHSSNVRYEDVSVPSNYAMFRTRALPITAKFGYPLVMSRLVENLPLGQDTENHH ATWLNIDPESGFAPPHWQGGIGTVLVAAADGSPFDTETLGAITDYIGIILDNFG LY89DRAFT_733151 MAYKGKNDNGKKGGNKGAGAKSGGNGGGKKHCDNCGPNNTHVTA DCFKNKKRSNDTKQNPKTKDSAKPPSRPCSHCGGPHYDNQCPNNTGGQTNKPQNGKTP SRPCKHCQQSGHFDSACPTLTNNASSTVPWQNQNQPFNQPCPSCGQAHHCNQCPNRPQ LPQGQYVQTTTEVYGQLGALINALQANPSQANAIIGEWQGVYGQQQQQPAAPIFQQQQ PQAPAFSLQPLAVWHNNGEDVHFAQPYEETSMFGQQAEQRGTYMQQWHTNSTNFGAVP DAMDRDGDVVMSEWDYLG LY89DRAFT_668628 MPEPNASDGSASDPIANPDPEIGFASDEEDGDNRVAKKLRLDSE KLSSSQELVQHHAMEKSMDIVDDSEDEAPTLIVGPDEDDEDIEEDETIEKQTETSKEE EESAALVDEEEALDLKLNTPGTSRVVDDSLYDGYMSDDEIPPGTALPASQQESSKKRK LNDFNVSNKRTAELSKYEIAQGKLYKQLHDNIMFNLLLVNRLAEKLNSTHQPTDLAQL KEVVEQMQVDSRACMEKYKNATERKKSPNNNVPHPKTAKQILERLKGEEDNANPWGTT PEKSEELPDFAFRVFHDKSQSRIVDDRVGFQSAKAYGVLNTAALRKIKIERHANWKNR FLTSFISMSDSLPHLIEYFIPKFQEKYKIKKNPDNTKLTLININARTAAKYPTIPMGK QAGYYNVRLGSGKIFDAEYLALWEITPPQIVCKMKANRLALKRGERHSSVYIGASDYW LGKDLRAALLAAEAFQEQVAKPAYEKHETARLAAVAADAAKEN LY89DRAFT_584714 MKWFNKNKHKKSHSTGQHYAANPSFPARTPPSATSQSQIVARLP DPILERIFTFVCPHSQDETYEDCEKSSIEDTCMLCDLRDLSHCAQASKRWRVLATKVL VRIDSVHYCPQEEILAEKRKRKSFYSRNAEPEDTAAARLRLLARTLRSYQGTLALHVQ YMKIPYMTRETCKPDLARAVAVCPNLKYVDLPDGLYTDDPSCHGLKQEVQARCPDLRK MSYIGGAERSLEILASGQVWRNLEVLELTKLNMDSNIMRQALGSLPNLHALKVTDMKA FHDDLFRHSDILPPFPILAELSFENTPNVTADGLTAYLSRPDTANALKTLLLTATGIV PGTLHQILLAAPALRHLSLIESVTSSFPASSNVPTLQSRSLETFHYEITSGTSANSYA STTASYYNYLTRSLMSGGLPNLKELYIQDPDFPESLVDFRPPAAPFMSDPDNFTPPMS PFLGNPNRFSSNNPFAKMQTGPGLSQDLQVYSKGLDEEEWNFSRVQPPARGRRGSASA PRPVSSYGLGEGLGRAWTQQAGVRKSAIVGNGFGGLLAVPADANGRPSSSAGEKKRGS TYDMWR LY89DRAFT_684374 MSYEQQYPTPPPTSSPKHNTSTYHPFSPKLPAQETYYQETQPGP KLPAQETYYKQSYSTPASPTHWDPSSHPPADHPVATTTTNYDYLPPRRKSSPIQPTTK PTNTNPYYNPSFPSKTSPLISPTGHRPSSRTKVRTPPPRPIYFSPTNPIPTPYNASRP SPRPGFLSRISAFLRRIVKWAQKNPIKAGLASFLPVLAGAGLIRAGKALGLGPAFAGV AKLLSGLMEGMGGGKAPGSGDESGRVAKGVEKEVKKEWGWGMDHFVGFGGTKKGPLDG IMKVALMGVNHYCKLTPSAVPRAASILLELYFLEAKK LY89DRAFT_733155 METKELPIRSPAVKDRPRRRNFDWTVEPRPEKITPFQQFILDVD WEKSPLGPIKQWPAQLQQMVLLVVQDPSPAVVYWGEDAIIAYNEAYTHLIGQKHPALQ GQDPKIEFAEIWDHFEKLLTRQRETAETTVEGDAFLLLHRHGFFKETYFSWKFVPIIG EDGWVVGSHATVVEVTREVIRDCRMGMVRNLSRQLSGSQTIKDLWSKIIRGIEDADKD IPLSLLYSIDDPTIGSRATSKSSIRSTPSRLSSEESSPISCILEGSSGIPPGHPVAST SLGSENDDHWLAPLIRKAVKERSLVVAPVEDKVAGMLHGLEWRGHGVPSTQIVVCPII PTDSDNLLAFLVVFLNPRRPYDEDYRSWLHLLTQQVTTPQLSAVILREEVERRQSLAR LAALDRERLFRELTESETKFAKFATRAPIGLAILAPDGTALSANDLWRDLTQLDVGTE WTGNQSISIQTRIRRPWQAPDLDMNGHNQWADTDILLAMHPDFDDDGESCITDVRKMD QAIEMKKQQERFIDMTSHEMRNPLSALIGCADEIIASLNDFRRDLIRSSDPSAISHPK ASLAESLHLLTEAIEAADTIIYCAMHQKRIIDDILTLSRLDSNLLLVSPEPSQPIVLI GSALKMFDSELKRASTKLAVVEEPSLRSLNVSWTLLDPSRVLQVLINLMTNAIKFTRT ESTREIRITMGASLTKPSEKNAFGMQYVRKSHNASDQTSKSEWGDDENEIKKLFHLFA QASPKTHQTYGGSGLGLFISRQLVEMQGGEIGVASQAGKGSTFQFYVKTRRTSPPTAS NVQNTDYSQNGKEFQLLVREDALREACAVEISALQNGTKMPNLEMENTIPMSPAPSKE KEETVFHILVVEDNLVNQKVVTKQLRKAGHVVHVANHGQEAIDFLKRSEFWADFNPSG NHHSNDGIATIDKRGGEGAEKLSVVLMDLEMPVMDGIECVKMIRTLQGQQRLKSHVPV IAVTANARKDQILMSLEAGMDDVTTKPYRINDMLQQIEKLVAKHAQSVV LY89DRAFT_50236 MTAQQQKALSSAAPSKASRTPQTSNSVRSSRGEHSHLGPQSTSS QAYVAHDTNIVIRFPPFEHDLDFVLYPSPESLSFDTECTSNIRAARSYKSRSPLENTA SRQCSEQGLEKYLASEEYFERVALGLETQRATRDVIDPRLLDILDAL LY89DRAFT_50241 MAVHIRMRYKYRSVSFLIFLSPLSALYNSYTRIPPDFHESQAYF DTESLSQDQLSLSTHHCYLFLAAFSRRLSAPIDFEHKGN LY89DRAFT_684376 MATSNNVGRGSDPAREEFMLKIQASILERCLRKEVQEKQTVEER CRLLRQRISSMEDELSEAGKASVARRSLEDSSRSEFDAVDHGEFLRWLASTSRSASNT PQQEHAELPISNKKRTRDSADGFHVFSVAISETSSAHSTSHTKRVRYTSKESPPCLRC RILKKKCDAAEPCSHCPTQSYDSENDFWKVLGCFRGHLRDMANIFCPDFSRATSRTLQ NPVAGIKTINFVLTKSRISDQKRGRIMHLIHHLADFKSLSGPAWENLSFRESITRSAT SLERFEGDPAIYGIDLQDYEAAWAILQLVSMDHIYLTKTAYNLFSLLRLGNCYAKHDP TRWDIFLQAKKILRQSVELYLLERLCSQIALGAMNGPIPFDTTNPMPNTLVLVDLKED IESFLHNFERLCAGRAKLSWSSQLAAFFALATFGIAKSVLTDAYMLRDKYEETSRWSL DHALKMSSAYKGLVSVFCWASKSDVMLQVEEDDPARPVTLEQIQEMVHFSSWIALGFK GTKEFLLSLGTCFLPDGSFNGFLAQKLGIDTIPKLPAASDELRKDDPTISQTLPSSSQ QIDDRSRIVYAPNTRSNYTTSIEDLSPLTTLATPPPIGNYTSIMFVPHDLAGDQALTR GHGGRESDILERAGENGAIENLPKR LY89DRAFT_684377 MVGGSRKLHTKSRNGCGQCKKRRVRCDCIGPRCSNCRRRGETCE FNSVSHSQHHRATSPASDQQHGEQAVGFYLGPHPAALDLEALELLHHYLTTTSLTSLL LQDAAMLRVWQLELPLAAQSHDFLMHALLALAALHKAHLCPATQSKYYLQALQHQTKG SEKFRSVVEYVSKSNSTAVFGFSVIVAMSQFKYCAGMLYSTPAQGMDTIIDVITTLRR TFQLAIQHDALFQESSFGILPARMKRLHMSSLDVNTELALEALEALEQMNLTSDTLQE EKEVSSSTIGQLRRWYRIVSAFPRTWGLVIRWPMVVSSEYLALLQARRPMALVILTYW CVPVHRVKPRWFIDGWAESVVRIIASILPEAWKQAISWPINEMNLGHIF LY89DRAFT_50283 MDQDANPAGWTAHGQYPWRNATLEDLPNEMQLEVKLFETQDRVR VKAMQTQERLRAEAMQRRDAFDTMMQQKRTQFEQDEQKRQAEFEAKEQRSKANDMHKL LRQFWSKGITITRERVVPAYTFPRFCDLPPEIQEKIWSIAARTREPRILQLENWGWED CNEGSSRITYDLGWNGAEPVPAVLHVCSTSRKVALKVYSLLHTRDPKDRKSSNYRYFA TPYDQFYIGGTWFANKILVDMMIQKTTPRPTLFPNFQRALDRLQSIKHIIVKLAIFSA VTLVLWADFRNLESLTISIYYIDINNPRMPPLPLVFARPKPGTRYARRTESLRQKALS SLETIKRNQCRAWLVPKVEVVVEFASAEAVKDMEKNETDQEEGPSGENYLK LY89DRAFT_733158 MAGILRVHSVEGEDARELGHDFGMDELGEIDLFHAQDWMRAERI ARRDALVRKQEDERKAFEEKQAAERATLLGEESWVHEEYTLQIARQIKDNGIDKTRKH MVPAYTFTRFGELPNELQLRIWSMAARSNKPRTHFLVEDADIPDGLPLYYPRSHDTST ILPEKDEETNDFPVLLHVCQASRMVVLKVYTPMPRVAPNHPDAMQYLNTLYDRFYIGG QDSWTDHQLLVDILIRLNSTRPLPKTVQDNMERLLKIRFLLVDFHVFAALPVKIWAEF EQLEKFTIVMYPYDETGEWENTPGYSPFPEFVNVTQRSKYGRRAEWILKSARESFEAV KRSDIPKWTIPQLDTVLRTIEDNDLAGNLEDSSDPNSDPEDEENDDSRWYQQAARLLV HDVPRTNIKQLKKVHHPSEFKTRESKPSSSLEYVGDSETEAGVLV LY89DRAFT_50312 MSMLRIWAAALLFQFITLTASIPTISTSGTKFYDSNGNQFYIKG VVYGASNLIDVLANTAQCQRDASLMQTLGVNTVRVYYVDPTEDHDGCMLAFEDAGIYA LVALDSPYSAINRISPEWTTTQYSNFTAVMDAFANYNNTLGFVAGNEVINNISQSDAA LYVKASALDLKKYRDGKGYREIPVGYTGADVIDLMPVLQNYLACGTYAVDFFGQNSYS WCGSSSFTESGYSEEYADASSYSIPIFFSETGCNLVQPRPFTDQAAILSADMENLWSG SIIYEWLEEANDYGLVDYVASATLSGTPTPLATGGFSNLMSQWATLNPTGTPSSMYTP NVTPPSCPSSTASGWLINGNAALPTLNKAVVSTRSSTSSSSSTSQTSNTSTSKSSKQT EAAPAVASGSATTTGDGSGSTSDPEPAHNGSISAGAIAGIAIGVLALLLAVALAAFLL WRRRRQQQAKATPPLDLTPEGLEVGEPPVKPELDSSTLSMPAGQHEPQPELQDTSSSF PSNGNGSTSSPALTAALSLAEMGNSPTSQRVPSTLLASELSTTPSTPKLATSQQQQSV TRRPVASKNEHALKANAPWETEAFPYPQAEITTAQAQQTPLLASTEDDDELKQLEDEE RRIDAQIAESERITALKEEKLALQAKKAALLAAKEKTSRT LY89DRAFT_696787 MRFSLISAVGVLLPLQCSARWLGIPTVPFEVSNATGSFCLKNLK AIVVDTKYQNAVNTEGETLIPPTLRSFASTFSEDLASTLSLKVPVVIGGAAEKNTIFL TIGNSSAYLDAAGRPTSEGYSIDVTSQGIVLTGASPLGAWWATRTILQQGVLDDGMQL SLGSASDAPGWGIRGTFLDAGRHYYPTEFLIEMCSYLSFFKQNTFHVHLSDSPSLNPN LTMEEKLDFYSAFRPNSPDPAVAGLNHRPNESYYQEDFELLQQKCASRGVTIIPEIEA PGHALVINQWKPELALSTDFTLLNISYPETIPTMETIWSTFIPWFHSKTVHLGADEYT AAVSEYNDYVNTMHEYIGTKFNKNVRIWGTFPPNDYANETNVNTNVTVQHWEFFEANP YWDFIKNGYSVLNSDDQFYVVGKWSGSYPQKLNVTRIFHGSPDGGPTAPYIFDRTNAT NNPPKDSPYVLGQLPALWNDFGPNSTCVIEAYWALRYGLPGLGDKQWGGDLFMDEYYD ILEPLIAAIPGQNLDRKIPSKSSTILSYDFSSSHGNTILDKSGNGYNGKIIGDCSVKD SILTLKPGCSVSTPLTSKGRNYTLSFSVNPTTSTPSTLFSGSASTLRTGNGTPSQVLF ITGGSPYVLNYTLPLQTWTDVKIVSINNATYFVISPSGSNRTETVNEFTATIGDYSNS FVWGNPMSIEAPIASIGGDTFEGMIKDVVLVDGADEKYAGYVAPLVIGVAPYT LY89DRAFT_746830 MDPEKMLNARSEFGHLLRIALGLPFIGKDSKTPSATECADVLFV CVDFENGEQIKAGAASGTFGSRKINAQAGISILDPKKLSSTSSKEALVTYNFGSGGSL SYKRKVDRRFFFGETTWLDQLADLLTSMEKLLDRSRNIVLVGHGLNGDCQVLQSLGFD FDSSIVGYIDTAEAARATFGGTSLRLKDLLARLGLVVEGCHVAGNDANFTLRALLLLA LEDCPTYDLLNESTKGIVGTIRELALEPLPPLVSPPSSQIPKKAAIKDSDAPIPYRGW IFFGRLPEFSQDTTSTQKHQIAQQPENDSTSEYANSHQTKSYDFRQPSDLLLQWCPKL KHTRL LY89DRAFT_570040 DPVFIAIDFEDPNSVAYGFDRNSDTQVGLSVLDSRNIHSASAKQ SLGIKTFNFVTGSNQYFKTSARKDLWATSEHIPTTEMLQCIKKVIPRDRNIILVGHGF SHDVAALQSLGFDFYTSILGHFDTDVIARRLKFEDVSLGAVLKELGCPTLNLHNAGND ANFTLRALILLGIR LY89DRAFT_696788 MEFPSKPLTQLLGSNGPVHALTYSSSPSTYILTGSSDRSIRLYN PSHPSSSSSSNPLAPPKPTQLIQTYTAHGYEILSLTVSADNATFASCGGDRSVFLWDV TTAQTLRRFGGNQGHTARINDVTFAGENNSLLISGSFDASVRIWDVKAGNMKPVMVLD EARDSVSCVLASESEEGVIWAGSTDGRVRAYDLRMGRVRTDVLGAPVTSLQRTRDGKG VLVGTLDSCVRLMDRGSGGCLRSYKAEGWRNEEFRVRSCFGGNERWVVCGNEEVKGDD GEVVVWDTLMGDVVERITVEGSKVEGKKKIGRDGKEKEKRNVISCVAWKENGRGDQWC CAGTDGIVTVFGPP LY89DRAFT_584199 MSESSTKGAYEVAPTKPVDMYQSRPETTQKAPRESLPPLSSLFG SATHSARPPPPPPSSYSDQSPTFPAVSPHDPRQPATPIHPDRPYEGSYFQRPPPTRQY SYNSRPEPERHGIPPPPSRPAQSASRSESPRYDSRYGLMEGPRAPAPASLSGWSPHSQ SSRPEMFARDTSSSFRTHHDPRQPVSAHRPDSEARPQYRDGPHSAPVTPTYPPTPSST AVGDVPTTKDGLGPKIWTGTQFLPRFVRQAEVPGEGMCYFYDDGTHCKTVIDGEIVNA HWGVTKAGKPRKRLAIACITCREKKIKCDPDYPRCVQCEKFGRICKFKNAPRGGHGSP DTPPADPEDSVSRPVSSRADLESFKGEKRESSHSVSPRQVLRQATPDSETHHSKRQRN GYNDFTPVASEASPRLSVLETASPTTPWTEPIHSTILDHSALMRELHTNPYNSHPTLV TELVNVFFRHVPETAYCMFPEGPFKAWALSSSDKSVDDLMLIYTILALGTVFSQKVEH KPYGAQYAAISRYACDNRHFCIQLVQSRLLSSLYYFAINAPEESWDFCGAALRAASGL KLNLEIEKSEDAYRTVFPYGLTRAGYAECRRRTFWSCYLMDRYNGFCSGHISFLQSDD VFLRLPCDGASFENQLDVQSPFFDAATPPIPNNNWTIGSMAYLINIATIWGDVMANIY RTSQRPNSSASRTAFSVSYENATRRLRLWVDSLPSCYTFTAENLTRAAKNGKLGTFMT MHTTYHTTAMKLNRYIQQYTLTKSQLSHHISIAKQHAEDLLAIAEILSARHRSVPASP NGTSSMHSKFSSPFVGYGIISAIDILTAKIAISSIPSRLASFSGAQSILAELAPFWQS AKNQQGLVMQRIRDLAEVASSRDEAGGVGSIGFKFGNMGPIARDTGDGIFEMRDPIEK TFSRDYDCVYA LY89DRAFT_50397 MNVTSQAESVKIRSLARFPSRKTEEAATVQYSTQRPARVMLIIH HHVLISHSLFSHHAQRGRGGGTISFTQISVYSYWAAATVRACVQRGHAILGILCILCR LHLHLHLQAACRWLPWEMTRDNYRMLANGTRPREIRKASPATGVGALVCILILRERK LY89DRAFT_50459 MPCHAYLLERKLTIQRAMLEPRILNYRPKKSELVLLPHLHSGSV CLIGFPPLLSSPRTKGKGGRGAHADDRLHDDEREIFFLSFSFCGRQQATIFIQSSGAN ERMNERTRSLNFHGVFFSILVPCLLAVGVVCVLVLYWAKFPFSGDDG LY89DRAFT_50453 MQPPSDPNFSNLFPVPTGLLACLLAWGKSQNRSFIERKKKRRST YIHTGRRHSQPASQPVFENNRMKLYCTVRHRLPSGTVTALVSSDRVFFLLFFWFVCAV RGLLGYRAWYVCTDRKEEGALVVWRGNLRQAGSW LY89DRAFT_584044 MGGLACALALAKKGFTSIDVFETASNLGFVGAGIQLAPNMARIL DRLGVWADIEREAVDLKDTSIRNGDQDNELGHVDLLYIRETYGYPHMVGHRSSLAGSL YEGCKREKSIKFHFSTAASEVESWSPKPTIKVHPREGSPYSVQADIILASDGLKSGVR DQMLKLLKIDAQVIDTNQAAYRIMLKREDMQHDPELLELIDSDRVTRWIGEKRHIIAY PVSSKQIYNLSTVQPDKNFAEAPSATYTTKGSKPEMLKVFSDFCPKIQRMLDLVPDGE VCEWKLRVHSPLPTWVHGSVALVGDACHPTLPHLAQGAAQAIEDAAVLAVVLDKCPDT KPESINKALKVYQELRKERAETLVELAAASGRALHLGDGAAKAERDKQFAALKEKKGA VPDKWADADVQKKIYGHDCAMVAEEQFDELFANTKAQL LY89DRAFT_50492 MMELRLLLSLHVLLAVTLLAVSLKGVGAVDASEDCLGNASPGMS IEDNIVDIHIEHQEPLERYGSKYQSKTTLGRNTPFQHSIVACSSLSVFPISITSNEVS LNYPSAAANASNNSQLTTTSTRPVTSNTSRGHDSRYWFSSIEHVLDFALQALTASSPP VLFLSILWLYFSGSFFFDVGHWTMHKCSKSRSRILRSIGYLHEVHHLYFNRRLKFNDR YQWQNMCYELPLELSCQLFGTWLGYLLANSVGLTGPGLISKEILTLVLAFETVRSFVV ACMEGRDSNHKSYSPVVPKDPHPFLVGPEYHALHHVDPSSYIGSTFKVFDWFLGTSCS LQSRRVTVAGDLGAYGPALKQELLSESVSCIEDLNILSTDSKESNGRIEVLARTDILI IGSEPGSDELIDLFKKYHKAKASQSLLLPEVWCFDTRKASLQQVKERHNDEDILYRHI VCHSERQWLGLRPEWAASMAIWWIRRGMRTVPASCDVLALFR LY89DRAFT_584492 MEHKKPNKARSRSGKVRSACRTCKVRRIKCDEGKPSCSKCIKSG RPCDGYGVQVTSLVQARESSLSSPDIHSYRSEKENRGFDYFRYKALSELIGFDTKSDF WSHVVLRFSEASPAVFRAVLSLSALHETTYCQGSETTMESMTFLRQYNKAIRQLCSRQ TIQPVQFTLTCCILFICLENLRGNYDAALLHLEKGLEVLKHWHTQDVTSSEQEAREFI TRAFQRLDMQATTFLDSRQPRFNISSLDQASTRITNKPLSFVDLHQARATLEALVSKL FYIITTKSHPQLHSWSAENTYLPARKSLLNGLRVEFLKWKTVFDTFSHQEGQNWQEDE LRLSVLLALHHHTTALMLDIRYNTDVDGKYYGPPKDEEWTKINDICQSMISSLSTSKS SFSADMGLIAPLYFTAMQADNPRISQRAIDLLYQIKWKEGFWNAGTAARVAEKALRDQ ITGASGANSTGGIAELAKVYCVTCC LY89DRAFT_50502 MWFFPSWASTARSNHQSIGEPRSENAQNDIQKVQAIPQSTSPAR NRSVSFGLEAMERLQRSLPDPIPRTMRAQSEELDTKKRKHFVVDIEELKMAAEERVLS ARSVKTADKKLKEAESLVRSAILSPEQPVQIYKDKAKEERLAATRDAPRPRAQQREPS TPIREYRDEHEKRQQEAAAAHTRQLSQVNRILNANAMGKPRNFGPKPRSEFPDSAADS WTRPSANAHAGRPPSQMSQSTPQISNKRQRTETGSVRTSGSSRSPIEVDNDPSEEHRH SSAARSGHSQTLNEPTRYASSGVGGVGEYRNVHELTRVHGNNRRIRPNSSSHSHGNGV GLPLYKKPTIVRLDEKEDATDPISDSDIDGTNKSSYKKRAANFEIQVPSCPPITRTKD QTYDINGAGIHRGANPSSSSETPQVSQHFSRDGNENRESRASSVQQASKFASTPRIFQ RKGHASGGFGDKSERQLESSPDQLLSQDQMVEEERKHFDCLQELLQQPNSPRERPIDL DDSDDDEPSKNADIEPTNFKTAPKGKLKSARTGEVYELRQVFSHAKLWLHGCNSTWTM KHDQEKKYLALFNEQGEREQPLLTSPFNAIHYSQKSAKVIVQRHRDHASSNATQLFME FSSIPQCLGFTEHLVSACGLRAMVKSEEHLDKAFRKFFEDVKNGINLKPGDKRPRGEE QPDDVRLAIARSEKKVKNLLNTAQSKSYKTGDASIRHSSQEVEEPRPRKRRVTEAMRT DSIKADSDEDKRRTFQHHELPPLPPDTFYRRSSAVPDSSTSHGTRSSTRIASTDASQK TRAQRRPPSPEIPDSWTKLNPTWAKDVNWRSSIIYPPEGRSRATVDQQDIYRLDEGEF LNDNLVTFYLRWLEHQLKPEVAKRVYFQNSFFFEKLSKPAKGSKDGINYKAVEKWTAK VNIFEYDYIVVPVNENLHWYVAIICNAPKLLEAAEKAKHEIVSQDVTEGQSIEKTTEK SASPSSDSSLTPRTRGMTLADQPAKAPEPSKSIGEASPNQKLAAAPQSNGVEQRSVES SPPPITSDILPTDTKIDQAKKGKKKSAPPSRKSPDEFRIITLDSFHIGRSPCCTKLKR YLLQEMKTKLNIEADDPGPIGMTAKNIPHQTNSSDCGLFLLSYIEKFLQGPDEFIKDI FQSAVDLTDLSEKYPPASSMRERVRDLLFNLQQEQYGDDESKKAYEKLKSSAKSKKEV DANSSVQAIRKAQYGEDLIDADDRKQPHATGDKATDGKAKSDEDFLQASIRAQTVGST TLEDNTLQPTPKQTGIINTIYTTMSGLLGRGRNTPESSSREQTGQSNSVIVIEASTQN SAHISLEALNGKSQEIVDSQEPSSQASSRSKGRSSRRNTTSPTEAIDFIEILDSPESK RSVPGSTLSTKANGTRRLKSPAPLPVESKNHGRGGRLAAYDCNRSGFPQVKQLGSPSP EPAEQSIAAERNSEVEGFGHDAPEDDVDLIPTFTIETDESHGKANGHNETEEDESEML LPNDGPGTRNLPKDRLSSPTLTSTSRKSDIPPALVHQSTNDGSAHPSRGRKRKSLADE DEHSEHEQRRKQNFRTVSPDFTIIETKETKQHPKARDYEHKNNRGSRRGSVGRGNENV DTASLEKKLRKQRNVADEEERGGGARQRPSPSYHLDPSDAAVIGKRQKGIHTKFSP LY89DRAFT_696793 MSSTSILRVAARAQPSTFFRANGIRSLTPRNSSAMYAVPALIAT SGSTCSFSTSSIRRSEDGGHGHEESFEEFTARYEKEFDQVQDVFELQRNLNNAFAYDL VPSPSVLTAALKAARRVNDFPTAVRIFEGIKAKVENRGQYDQYLEELKPLRDELGVSL KEDLFPEEAK LY89DRAFT_706943 MTFVGYLFRQVFVHPSQIPSDTNLEDQTIIITGANSGIGLEAAR QCVRMKAKTLILAVRSSCKGEAAKKDILSTNPGSSTQVEIWILDMESFESVLAFGERA SALPFLNIAMLNAGVFKFEWTVSESSGIESSLQVNHLSTALLSLLLLPVLRKTSQESG HPARLTITSSEVHMWTPFKEQKAEKILDRLNDKQFFSDSMDRYSVSKLVSLMWIRELA SRVPSEAVTINLINPGSVDTGLHRDGNKFIQTFDRVVGRTPEEGGRLLIDAAVVKGEN THGKYLSEAKVTNMSAFVRSKEGEKIQKRLWDETAVMLESHVPQSKLEEMLRNVDTMG D LY89DRAFT_706944 MMSKAPSDILAALPLPSIERPFGIQLWPIFDQAFRALMGYHPQD FDFQPRVTPMSTLKQSSLMILAYYIVILGGRELMRNRPAFKLNGLFMIHNFYLTAISG TLLALFLEQLIPTVYNHGIFYAICDVKGGWTPPLVILYYLNYLTKYLELIDTVFLVLK KKPLTFLHCYHHGATALLCYTQLIGLTSVSWVPITLNLMVHVVMYWYYFQSARGIRIW WKEWITRLQIIQFVIDLGFVYFASYTYFTSTYFPWMPNAGKCAGEEFAAFSGMGILTS YLVLFISFYIATYRKDGKKPTGRKAARSLGSLKDAQIPDIAALRAAASNGNAKTSSSS PNGNGRASTRSRKA LY89DRAFT_50810 MPLLTKMLPLRETVEDFQAASLHSSHQQHQDEGQEKDKDSGYED FQEFDEEDECLDSDMEGSIIHRGRPKKAVRAPAIPARNERRASKILENVMLELQTLDG KEAKDTDSRSMVPESDPHESYLSSEEDASLSDDYDDLESLSGTASPSAEDADNEEGRE SFSRGSRRKSQEDTARVVSFICVGKPQIVDIFISSNHASPIERGNGSIPKRHSMNLEA LTALTQTQSSSPAKSSRRPTPLKLYPNSIRRMSISSITSSHTSNSSYNQPAPTNASNT NLSSLPPRKSSRLALNINSLITSTKTTLQKSVSASAAVPSHSFLTSDPFSTTASNEDT QPTTPKTPTSIAAAAWKRGLSKTLTKARKPSMPKLYTAYTNSSSASISPDKKRISTAH SNLSSNNLARIISESIPDAETRSRSSVYSSASSQRDPAVEPVVEVKRSSTMPVLTSPT TSTSYIPPVVQKPPPIPASTTPVSAGGRRSFSLGLGRKKSVKTK LY89DRAFT_706945 MASRPTVTILSADGKATGATHPLPKVFTAPIRPDIVQTVHTGMA KNKRQPYAVSEKAGHQTSAESWGTGRAVARIPRVSGGGTHRAGQAAFGNMCRSGRMFA PTKVWRKWHQKINLGQKRYATVSALAASSVPALLMARGHQVTTVPEVPLVIDSKVFDA AAIAKTSAALALLKSVGASADVDKVKNSRKLRAGKGKLRGRRHKQRRGPLVVYDPSVD GIELSRAFRNIAGVETSSVFALNLLQLAPGGHLGRFIIWTSAAFKALDTIYGSTTVPS ALKKDFLLPSPVVQQADIGRLINSSELQSVIRAAIGQARTKRAGVQKKNPLHNKQVLL RLNPYAAGYSKEKLGTKGLGAGKPERATGTQFAKVLKED LY89DRAFT_733175 MDPASRKRVRESTPRKATPSRTLHRESRSSPPRRKKQSGRPSAQ EKDILWSLGTPGILEERRRANRVEYLLNWEGIDSKTGERYLPTWGKKEDVTEAAIQEW EQSKAEAAQAHKTESHPPESQDGQESQPIRTAKRKRGTSQRESSLQNESGIKKQRRAV TSDTPRFQPASERRVSSEIPDTYEEAQFSSRDGSGPDVRVEIVVPEGFDRDAYALAAS SSQSSQNLKTAQKSSQSGVSQAGSPSQPRHRRPDFIWDEDRDSVVSDSWEREESILSK SLDTATKQTGSSGPNSASISEGLSSHTGLGATQSSIAGERSGSGSDYLAEPQTFLASS SYRGTQATPAQTEATIEKSHTVQQTSLWSNSSGIGSQSLELPSRQIDEAIPATDSLTD DRFYSPNFQSSNPIDSQSQLSQPFVTQVVPSSSTFETNSSKLNSRRDRFQKSGGPAKS QSQNSKTTQSDLIHRESESATLAQEFTPLQSLPATEPVVSSFEAAWRAAKVNSLTPQA AEPFEAPLVASQLNAPSSREIPSQSLSYRREAHSAEHNSTHVQSSLDNQAPQSKSQSK TSQEQRSQSSHFPTPPVEDLLPSIEQTLYQSSSAPARQVTPPSSEIFGNSSPIGPMSA KPSMEPSVKREEFDATRAIKERMAAKRLEVQQRQAERAMSSGSPLPAAFHPEAVELSA SLPIQTNAKEEDVGADPPLNSFPLAEQASTSLDVLPSAVGEFLVPLPMVSYVRDMYNE ELREHRDLTSLFVRDDLEVLEEEQVKEIDSMLENLRSICDHQDIYNDDFSTQQSEQIS RIEHAKFAENCSTKCMFLAALLVRLKDRDLHIVVLARAGRMSEILQTVFELHNLNYCI AGDAPSSVHSSSLRITLHPTSVKQILVEPASAVIAFDSTSRDCSFLGELRSNMQHYLS GLAPLLSLVVTHSIEHLERCFNDDIDPIQKKIRLVKCLRQLGDSVGQLKAEHEDPAVA ADAVAGYLELGAIATSWPLPPMPEIEEIDLSPESPHQIEFEVSLNVGSTTDSLRMSPS DVLHPSIKRQLENEDAMDTDSPKRQRVTPVPVELNADMDISHVSESVVQPSSGLPQSN SVPLSSNTRAEGDADQMSLLLQKVKTLEIQLRTREASEAQLRRINIDLESRCSDYETS IKHIQPKYQEALNDRGHFEHGAKEALVRETETRKRLATKEAEVEKLKEKNAAMALELS TAQKALASSEIPEVAEMAKMREEVAKVNTENERLQRRLVNMQNDLEYMRSNYQNSSSS AAEAASELRDIKEINVGLQAKADENRVRIHEIQRDEVASMHLATIKTLKSDVERLEKE NEKMSDEIANMPKTSRRQNKGGGSNRGSPMPMSSPKRTIAKPFGGSRSGSPAVGEPPF GGPLLTPDGMARGTRWGHLQ LY89DRAFT_718043 MAVDTNGLSAMETAHIPIAQLTPLLVAPATRSIKAVVTLTWPYS SATGSIAFLLSEPDFRLRRTRGQVRVQFAGASAKSIAKAGIASGDEVTLCLDGVEWLE NQNDAGTPGRGVEVELKFTERLHLQFKQEDASELITIDIDHPPPDPETALVFARIPTP EPSPPSPVTNGTSIPSSTILEADDEWSSPAFLKRARTSYGSLFDSDYDPFLEDGTVQG KGRKRTRLSSVWRYSSRSPTPEEAESQATNQVSPEPLPKLAPTMMDEACQTVGLEVGV AAETLADFARQATNVGSTPYSQMNGAQPPEIISSSSPAQVAQEPEDVAMPPPRIHTQQ TNFTSDEAMNFNQEPPLSPRLHPIPSDNLPLVSPLVSHSNGFNFGQLGQSTSDNAPNQ LSVPSNHAAPDEEDEEDIYGASPVSHRSNVPRYGFNGFQEPPGGGQTAKFPAIAGPYA IEDQYGHWQNANAQLRQSASPSKHDEYHDSEPQIGQSYNIENHNQHNLGLATSHDRMS TEQYPEIDPQLADGVRYPELSHNKDDLAVEEPIYRPHLAQSSAMSRSQSALSHSARSQ SVQSPVVDLTEDSDENEEEEEDQEDQDAPGEDDDSLEGSEIVDDGLVPVYTSRHVSRQ TEARSDHRLQEDHESNDGDESGSEAEGSQEPEDENLYYMENDADEDPENPAGPDEYPR YRRTPEAINGAVDDDGFEEDEEGSFDEEEEQEESYDEDDMQDEDPPGPPVVIDLLSSD DEDEPAATIPSTHQTSHSQFQSAPADSRECEESDEDETDQENDVPYHEREEIRTHIQR DPPPQAYSGEDLFDEDYDEEDQEELVSDRDAADRHGDPARIVEGHKHEAASQSGESEE EEDESDGSEDEDLMDEDDSHGVDAEDEPRTPESRRAPSSIPNDIAKKPADEKSVEEKP QSSGGPSLFPRMFNLDGATDEPLSPRLSYPTLPNEEPSPSNAHGGERGSESQEQTELF VQHTNGQLPTPDISQPIEKMTVTDTSFTSTTAHTSEIHMEVLEENRTITEVDTSTEVQ VTTHVSSIDMESHASADLRPSQDDVDMEALNESELHDANDENTEKTEVLTSLAKSEDV DSVMDEESAEEMEDVQSSQSAGHDDNIAVNPPAETRRSPNTGEHEHIQNNDTSLMHET VDAEEHGEHPLDVESMPKDEAGEDSVEDASDQESSESEEVVETVEPLGPTVTTRAAAH AAAEANGDPEECSEIEKEADTVEEQFLAVTTRAETHALEETEHANKIDQEVETAEQPG PTVTTRSSAHSVVEAGGINNEASKIEQQMETEPIEQLGPTVTTRSAAHTLAESDKANE EPSEVEEAEATEQPGPSVTTRSAAHALADGAIEQTDKIEEETETPELPGPTVTTRSAA HALTEADEAGEKEVDASIAEEKTSEPAQDQQKHVKIVIEEPKFTLQHPRRSHRRVKST TSTAKDDENVRPVTPIKIQTTTQLDKKTPEPRSPMVVIDAHATPKGHDASIELALSSL ESPSKQTHDLRKPPVADLKLRLSRALRTELSEFTALKVLRYHMNQKLDILAVATTTPP EPQRAKGGPRHYQIAFNITDPSIAPSGVTEVQVYRPYSHALPTISAGDGILLRNFQVT SVQKGFALRSTQDEGSSWAVFKDDNEPEMRGPPVEYGNGEKNHIIALKVWYASLDDVA KAKINRANGDKAAAGR LY89DRAFT_706946 MGSVLRPNGPFNVKRIAVIGAGPTGLAAAKYLVAERVFDTVDIY EQQAEVGGVWNYTPSLAERVPVPQTSPHVSPAKPVWPKDAAAPIFSNPMYERLNTNIP KNLMQFSDLDFPSESLLYPSRQDVQKYLIKYSQDIRHLINFSTQVEDIKLTRVDDQDH WTLVAKSTVTEEESCKEYDAIVVSNGHYSVPWIPDVPGVKEFGTTYPSVISHSKIYRS PASYAGKKVIVVGNAASGLDIGNQISAVCKTPLLNSVREPAELPIGVDTKEEVPTIAE FLIDDRGVRFEDGRVEKDVDAIIYCTGYLYSYPFMKSLEPPLVATGRRTIGLWQQVFN IAHPTLAFTALSQKIIPFPLSEAQGAAIAKVWSNNISLLTTEEMEAWERSRVDELGEG TAFHVYGYPKDAEYINYLHDWVMTAENGFSKEPPFWDERKRWLRSIYIDVKKRFNETG GKATTLEELGFHFEEYS LY89DRAFT_706947 MLDPYYPAFVPPEYTIKEIYDNIPPHCLQPNTLLSLYYILRDFF FVLILVSIATQIPSIPYPYLRGFAWASYAFVQGLVFTGLWELAHECGHGALSKSKAFN NISGLIMHSLLLVPFHSWRITHSTHHKTTNNLDKDIAFVPDIKEAYQAARESHSEAWD YIEDMPVVTLVHLFFHQIIAWPLYLTINNFALERMAKAPWWKRSHFYLGGDGPNFKPE NTQDILTSDIGIGAVMFALWGLVQYFGAWNMMLFYGFPWMWTNHWILTITYLQHTDVS IPYYPNQTWTFLRGAASAVDRDFGFIGRVIFHGAIETHVMHHHVSRIPFYHAIEATAA VKKVMGIHYQSDFKTPYMWAFWKNHRICKYVEETEKNSQIYFFAKTE LY89DRAFT_615346 MDGLWGRRANTNKLSLSTSTTNGGPPNNDHPARGFSLGRRTTDT SSHSNKNPFGAMSPSTTSLASPTAGASNAFGLGSGAFAAFGSSKVPKTPGGGLDYSSV IGSSAKTPTVEKQVKDISSKPSRASLTESRTSTQPSAHNLRHSWAFWFRPPISKSNGF VEYEKTLHETGSFDNVEDFWKVYSRLARPSTLPLVSDYHIFKRGIRPVWEDEENKKGG KWIVRLKKGVADRYWEDLVLAIVGDQFAEASEELCGAVLSVRNGEDILSIWTCRDGGR VLKIRETMKRMLNFPPETKVEWKSHDTSMAQRIAIDEQRKEKSNQRNGNKDNEHASTP ITDKKQNA LY89DRAFT_733179 MDPLSLTGTLIAVLQITTSVISVCYDYRAGVASASREVVQITES LNALKDVLESLLRLVETSESGEDRARLSNIEVLAKDRGTLESCLNELIRLEGKLQPEK GWRKLRRGLVWPLKEGEMKRALAGLERYKSTMLLAISADQATLSLAIQEDLGDLTDMF QKHALDQTRQDIFKWLAAPDPFANHALNRKKRQSSTGTWLLTSRQYDSWLYNRKSFFW LYGIPGCGKTVLCSTVIEQLIRYTQQDQSVGLAYFYYDLNGPQKQDTTSLIRSLITQL SSQCSTIPAPLADLYGMRQNGRRTIDEEAFLSVLQSLVWAFHDVYLVFDALDESSNLG ETLQFIHRLKSWNVPQLHILVTSRQLPEIADSIMTLTSDRICLHECNLNGDIHIYLAD RLMHDQNFSKWPLDIRNQVMEKLVRGEAGMFQWVVCQLDMLQKCLSVAAVRKALSTDF PQDLEGTYDQILHDIPSNCQPEASKVLQALIATRELLSVEEIVDILAVDLDSTPAKFD PDARPIDPRSILSLCSSLITTTKASQLDFYDNFEEPVTVLRLAHASVAEYFARPKPEV LSQFYFSHRSARRRLGQACVAYLMAPEFGNVNNKRNIFESLELFPFLRHAAKYWPLYF GKEKGDPEESMDETMRSLIQALFATSKLPNGGNFAFWVGLLIPEASFHDVASTQPMYY TASFGLLEVTRLLLDTEKDIDIDALGGRARASALHVAVYRDHIDVANLLLERGASPDT TNITGESALYWATLNKNQEMSDLLLRYGASPLGQQQLYAIERRKRWLNFLNIKSDDVR KRRP LY89DRAFT_583917 METLAALWSRYGRKERGPDVEYSPLPVTPSRTSDDEPTRPHETL HRRTPFITWQTTPTFEISINVYAIWRLLIPLLLALIPSFVWSRRKPRKLYPTSYLDGL RGVAALFVVFHHYAINFTASSQDGWHTGEPGSHDWFFLFPLVRVIHSGRFMVIIFFII SGNVLSLQVLKLARAGEKDKLLDSLASSVFRRWIRLHIPVIASTFIAFLLARGAVWTL LPGHWDHTEVEAAQHFARSQPLPYPEGTFMEQFWDWFGDAIQLCDPFRFGAPSNSKYN INNLWTIPVEWMGSMLVFATVLGISRCKTWAKVGSLLLLIYVAHHHSRWEWATFLSGT LLAQVSLIRNTHPGPSKFEFIDEKLPEIKVPLQLLSKIFWTLLFIAGLYLGAQPQNLS STSPGYKTIMSWLPTQYLHNPDGFWPCIGGVILIFALENAPFLQSIFTTSFAQYLGDI SFSLYMLHGQVLFTLGQWIVPKAMDITGGWENGGFGFGGGLVLAGTVLLPVTFWVSDL FWRGVDVRSVWFAKWVGDRCFLE LY89DRAFT_684400 MDTDDANKPPSPPASPPMPPQSRRLFDGTISPLTPSSFEEIQSC PCLESNCNLLSKQKSSCEHARFSNQYTFDASPKRPREEDEDKQTDKTPRGEKRLRLIE GRCQTSEDTTTHDSELDIEMEDAFKSFALDTPMTNTEMEEDIPDAFASKRKRDTAADE KHASKRAKTAESHAAFYSNETRNIAKSVGIPPAKTNRAGSFQDLNCGSKFVMEEEQGS RSKGTRNARLDQSPESERKRKGEEDGGICSKGYKKAKVEYELLFEEWDEHI LY89DRAFT_644742 MASSVTAASTADRSKPFIPLAGLATDGWSNENEATATCFCGAVQ MAFPLKAPGYVGSFICNCYDCHKITASMFASNFITLESHTRYIRGKDNITIFKQDKTM FRHGNSMANHFCKSCGTLLYRVGSAWPGQYIMRIGTVDDFNLHDTVLKPQQELFIKDR VSWLHGMDGEKGVQQLQRMVD LY89DRAFT_718049 MDDVLETLGLVTTEEDVGATTEELDVLETLGLETTGVLVGATTE ELDVLETLGVVTTVVLVADVLRTDVLEVLLDVVAPLLATPAEEEAAQFHSLLCGKRGI LLFIGTAISEASSRDSVSRLSSCACRAAIPFATLLCQNVSGYGAVKSSEYLLQKERGR QEREGCERLHGWRWLASNLSGESALFSRGLDFTIYDFEPWLWTLGPSGIG LY89DRAFT_51219 MIDERDEMIELSTVETGFGTVAEEEDEEDVVSFEVVTTEEEDDD EVVAFELVTSEEVVFRRVVDVPAFPCPFVLVVGFVVRTGVLVEDFVVRIEDDEDEEDV GVGSFGVVERMDERVDKIELTIVDTGLGVVKEEVRTVELDVEAFEVDVKLDEIVGSSV ELDVGAFVVELGAKVDVVVPASSWPFVEDVVFVELLVVFAIGSLVVVERTEDRDEMIE LRTVETGLGTVADEEVSEVVGAFVVTADEDDVVGSIGLTTEETVDRVELIIEQLMLVE EASVLQQWKSC LY89DRAFT_51241 MTNVDVLIVGAGPSGLMLSLELAAQKISFRIVDSTSIRSDKSRA LVLHPRTLELLNRHGIAQDFIDRGVLNMMVRIFANQKFVFENDFGTVSFPDTMYHGPL MISQADIESILDELLLKQGVKVERPVTAEEILQDENGVTAILRNQDGSKEIIRCKYVV GCDGPHSVVRKSAGLKFEGAAYPQDFILADVRMKWQAKECLHIFIGSGFMMVFPMKNN IWRLVCSRNPSETDVEPTLENFQQRINKLLPEPTELFDPTWMTRFKLHHRIADNYRAG RMFLAGDAAHIHSPAGGQGMNTGIHDAVNLGWKLASVIRDDRDETLLESYNIERRRIG QILLQGTDKIFEFMATTNPVYLFLRNYILPLIMPWAMSIPGRRALAYRFVSELGIRYR QSPIVGQTSTWKGALKGGDRAPDGKLLRGDTEITVHSLLGACSHSLLLFSGIGENRAS AEDLRKAESPLLNDIKRPILTSKITTSSLQSNQILDSEGRVHQLFGFTAPGFVLIRPD GHIEFIGSIASLDELIVWKEEQKL LY89DRAFT_51239 MVKGCLVAFDNSHQLLAKLWRLVCVSAVFLRNYMFLAGIVLGEG EHRLMLNTFEDASSY LY89DRAFT_733185 MVEITIGYVAGFIAAAVFVVRLWIPTILTFILSGALQDKNTAAT WNVAGRALQKSYWPMILSADTSRNHGVRTKVVIITSLITLMSIIVAIAGVITPLGLYE ALVEADSANVAFQYVKDSSPFDYGTPPRSNLSFNRACFPSPCPFSDTIAIGTIYSSGN GSYDFPYGYNTSIPNFITEAYSSGTADDSTISNYFDIQWRRYVTTNDERYNNGSTYLI GAFRQMQSMVLNNDIEAVEGLIVDTINGGIGMRNHSVPPGFEHGAAWSEDLLFIEPET VCVDTNITIDYTVAPGQNFTTSIIDLVLTDHGGFVNANTTYPEANLTDTQANPDLWMR AYKAGWMTNAWTMFYYNITNPHDETTGRHAFSYLNSQLGKTFPLPIYEDEMTPYDSLA MDTSFYYHLNMLSGTGITNSSSPADSGPPTNPFDITSSNFTDISLICAGAGGQDFANI TNIFVSCGLMRGIPQRKDNGSPLIFDSGSRWTQPLYSCATAVKATIKTVSLTYNGTDE LKSLAVNSVKDKIYPSEEVKPLWGVENTDNTYTMASISLIWGLISSAWETNPKISTVR QESLYLPGYGVSTSAPLRVTSQNLPASDFYQTAVGGAYDVGDSSSADFDYSGENNMAM WVRWQNLSSSPETAALIPNSIFTDYAAAAVVGTKGVLGPGNAATLNLVDIRVIPTVAR IRYHYAFAIPALIVVIGLLATTTVAILMLVFRRGVLGEMRRHLKQSATGRVLTTFLYP DMEVMDLTEKVWSEKFAKVEVDMRGDCPSLSGVRELERSGYRAGTAGLSGYNEYKHIT IQQEKICAIVDEYRSSTAFKTDI LY89DRAFT_733186 MPSSILALESSYNATYTGTMPVGVTLTQGGGVSETATSEVGATT STGSRDASSSGANLDSSSRRTTSALSTSTFTLNGGGGVTTPTAQASTSQNLVATQTGS TGVATGLRGRVDMVFGLVIFGFSIFVLHV LY89DRAFT_615362 MKLSILSSLAWSAYFVSAGYADKRGVGQIVIDNSVLPNEPRYLI EFADGHEQWVTDTEKWALKKDGQFFMDTTNFPDLGKFRSQLETPTPKYTYPVSVSQKG KITPLLKELNKTNLQSNLGHLTTFHNRWYNSTFGEASYHWLFSKILTTIASTGAHEHG ITAELFVHPWSRQNSIIVRIPGQSTSTIVVGAHQDSVNHEARMDGRAPGADDDGSGTV TILEVLRVLLSDKKLVGGKAENTLEFHWYAAEEGGMLGSQDLWESYMKGGKTVKGMLQ QDMTGFWNKTIEKEKEFGLMMDVVDKPLMEYLKLIIEEYTELPWVETGCGPYCGSDHM SAIKAGYPGAFIIEGAFEYTDNHLHGVDDLAEYLDYDHMIDHAQMTLGFLYELAFAKF LY89DRAFT_644752 MGIPHSTARWVAPVSFLIDFAAQQYGMLSSPNMKDVHDANLSFW SPQPFFIAGFFFPQQLFQLAWLYRLWKLDPSKGEKERQETQQMVDFVPYYAVGNLCIA TWMIFWNASALKTANIFVLINSFTQLYYIFFRLPPMNTRSTSSVLTHIVSKTFAGIGV LDFLHNGSVAYFDHQGPNMLVKVGTGLGFAALASVSDWIFGGCLVYDLVALSVGQSGI KGQEGWGRLLGMYAAGTAAVVGVRNWLR LY89DRAFT_51268 MLNGTQKSHPRPFNPLPDPKMPSIHFLVFALSPSISIVRLVSFV SDTAKRAKQPPPHDIAYDAASCHDQQGLAPFMIAFFTQSQLSHFTPTRRSLLGRALID WVISTQFYRGTQNRS LY89DRAFT_781554 MGVSQPPPIKDAVDRAVEKAKAIAPPPIKNSNASISSLPDLIVS QLSQLLSVSKEIYISPSDARVEWTFGTSPHEPIRVSLAVFPDHDMAVNAIRYELATFQ LPVDQLFHTPADAWRGQYELESIMKGHDMVLVRRSILLRVRWLRSGALGETNSNLSSR VADIIVSGLKKHEVDAPLWRLPQPTPLAGVPGKIRVGQQFTVHASVVDVVESKGSTDT GSVFLIEKDETSETFTFAGRRAGKDKITLSFAHKDTLAVATQEFEVEVEDDA LY89DRAFT_584615 MGNGHHHHEVDYYARNLKTVSTIYNLTVYPNNLPIIVNGSSAVP PSLFSANATGRVTPLGNFTSFEDSIEYFFALALVPAPPAYSVFSNAEVVEFSSGCPEV AASVAYLTTSVWSGNASAPGPYLSTLKQIAFWRFDKNGAVQDYDAWIPNLNDWNYLAE GKLDISNPLVQAGEIQQLCPLIQQRCTGSNQQYTDVNQCVSILSNKTFGNFDEVWGNN VVCRSIHVILALVNPVEHCPHVGPTGGGKCVDEPYNDGYFDDEALFGRPEGQVFVCDK KGGRGWRD LY89DRAFT_733191 MPPTLEDDHFSSGIYNGYSQNPTPTSYNPNASQTQWYDNSTPAI DAPAAPPSTKSKAAPQDNEKLRLLPELRAIPWRKGKQCAPEKSTHSSRPQTRGAFLLV TRGIVRAPCTHCETGAGRFALCISLNDWYHGACATCVMATRGNKCSLRQDVEEGFLPL DGPQQQDSTRLASPDDGNGEYQTSSAKRKQSPTRAYVAGYSQDEKAVDEHQSPSQPTP STNNYQAYNSTLPPQSTNRYPTMAPQQPTTYTPTPNPHPATQSFVPNDKSSLLDYVYQ KQYPPNGKKRHHILHQAEREASQGTNKPAPNKRVRLGTEYDERAEASSSISPSVQLIQ SAAAYRPGGHENEATPLIDTLSKKKQREIFGIIGGLQSGIRLVRQQTDSLQKQLDLLQ SAFGIDLDEDEEGI LY89DRAFT_51358 MISSGSFRIVFAFLILLPLVSASTCYWPDGSDADSAYVACNSTA STSACCSANDACTTFGWCLGASGMTYRGGCTDQNWNSPACMTSYCQGVKDDYQFLMNC NSGNYVAGETLLWCCSTNSAGSCCSNNFSLPFSVENGLTGRAFMSDLEQSAVTVTKTA SAATGTGSPTSSVASQSASQSSSQNATLSAKSSSSGVSTGAIAGASVGCAIGGALLGA AIASLLLRRRRSSSPTELPAENNGAYPYDAPKNPYPGTPSSATFTPDQNNYTPVTQQY HPQPVVPMQEAPTHAPNYELDGQHH LY89DRAFT_615370 MDSVIVAACLPAIAISLKGGSLEIFWVGTSYLLAQTIVVPVYGT FSDIFGRKSVIIFATCLFLLGSILCGCAQNMAWLIGARAAQGLGGGGILTLAGVIISD MTTLRERPKFASFIAFAWAFGTNIGVPVGGAIGEFSSWRWIFWINIPVCVLGIIGLVF ALHLHQEISSLRSKLARIDYLGMSIFIAATTLLLYGLTTGGTAHPWKSASVLAPLVLG IIGLGIFVVVEWRVVAAPMVPMRIFSNRSASASYFGAFVHGLVLWSFTYYLIIFFLGA RGDALFKSSAETLPGSAPVALSAVFAGIWVSKTLHFQKLTWIAWILITLGTGLNALMK PNSGSGILYGLRVIAGIGGGFLFQVPLFAVQSHMSNKEDLGIATSMITFFRSTGQAFG VAIGGTVFQNEFDRFVARAVTQGSLTEDFVISGAQATGAYGIIEMFPKVVVEVYRYIY SDALRTVWHVTTGIAGAGLLASLVIKDASMDKGHQSSQGFREGKVEENIA LY89DRAFT_584825 ILTSCSVVAVSVMALAPNNAGAKDVGNGQGQQFTTGGCVADADC RSTCCAEISGSGLGICSAVGAATQNGKEGCGFADPNAAATIAAAQAQVAKQGFKFRA LY89DRAFT_684411 MSLLMRMYGPRMSSWMRLCFLLGAALASAQRASLEQNTYRFPNA EVLATQQKFVKYGHPLRVVVNDAEKQAIKYVFLDSNKLQGGYSTNSEEISIDLPSLPP GDWNEAHIYLDGRTGAYTISNTTLTNHLEMKGWYPRRIEYLDFVNVETLQQDRLQVVT HPNFEAPVLIKIASFPWEMPSLEQEAIVYQLLYGSGATPEFLGHVTESGRIIGFITEY IEEIPSIRDRNVHGCIAALRKLHHRGIAHGDAHDGNCLIRKDGSAVIIDFELSLETSS HQEFERDLDIMGRCLIEAVSERS LY89DRAFT_733196 MVVIHLAYTTIVNPPGAQSILSHAQVWAGLERKARVPQEFVPVV ETCEIHSEKDNVITATVIFKADSAVAHARTIREVCTLSPPCRLDYDMEDGSTATNIIS MGKGSTGDNELFLTFIFAWEHPQLVQGSDEAKEAEDQHKTIAAAAVYSTLQTIRNLVE KGQL LY89DRAFT_718060 MSIKEGVTEMGEVSCQWNFVNVISHPRELKQAANISTIRRTAMR AIHRIKRQNEANQTLAQTKVAAASRLDPEKDDAESQHDSTLLSSPQEEPNPSRAMLVV PTRVDVGLCYPAHVEYPNQEYLLNHLHLDMIYKRQPSRLTLQFGIEAARLMSLRLDSR DLHLKDTTIAAVVMMLANQILSGDVVEMVMHLNALERMIEMKGGLDMLGMDGVLQMSL KWSSTLTVCLNNLSNYILRVDVPIAMFQDNRPRSLRSASIMCLEDLITSNPSATVFPS SFDLQKLSQTDIATGLSHLRKEIKNLTETRSRLMAHPFHTSTTESMKFAARRRIIEQM LLLAGRGFSMMHGPDKDARLREASCIAGSIYVRTVLQGFQARPSVGQKNLTRRLMTCV ERFELEDRGKTGAESTSIAALFWALNVGGTVSLDLEERTWFILHLSRVVKQLGLRSWG QGLSILNCFLWEEKLETEAWKSISADVQEFIVKG LY89DRAFT_746866 MQHTRGLTTVVSTVSSNEFDTEDAFIRNLNPIHYSKRAQQINNN PRPTLKQPGAITPPVIWGRNIHSTLVASLFSIGVVSLAPCLVVFFWIALDWFEGSLLH TGLSFLSNDKLAWVALYSPQFHLPDFVAYVAWFLFQAGLYTYLPGPLGTGQLTPAGYQ LQVPSYTNGFSAWLITNVLFVSLCILDVLDPAIIAKHWSGLLLSANIMGFLLSFFAYF KAHFFPTHRSDLKLSSSHAYDFYMGIEFNPRFGSTWDFKLFTNGRPGIVAWTLIDLSF IAWQYQTHGYVSNSIVIATILHAIYVIDFFVNEDWYLRTLDIAHDHFGYYLAWGSLVW LPSMYTLQTQYLARYPMDLSWPVAAAILGVGVAGYIIFRSVNWQKDVVRRTNGECLIW GKKAEVLVFDYVTQDGGKHKSLLLCSGWWGLSRHANYLGDLILSYSMCATCGLKHILP WFYAIFMTILLLHRCHRDEQRCAVKYGQQWEKYVARVPWKLLPGVY LY89DRAFT_733199 MPFEVFLLLAKELGPGSATCLGLTCRKLYDYLKGYHPNPIDLTY NVECLYQMEFSDDGWGDTKKKWRCWANKWSANCNFFLNHKNHALGYNLQKWVGRKYWF VGSGTGYPGLSRVVLKSVYGVKPGMGTCSQSDNFDALVGRYEDWYRISWRESPHNHRS VIGQKPLKSPHNLGGDWYDMALEYIENDLRHTNKLSDSISFWQGFNIYKRKREDMDKI VDDIFVGVIADGIQLLGL LY89DRAFT_51488 MMEHASATSLPAMDDTWKSIEALAAQDSAQPSRLSTLERNNQKW DAHKDEIRHIYLDEDKTLQETMQAIESTHHFKASVRKWKMRLKEWNFEKNIPSKEMGF MVEKAEKRKREEGKETKFMRNGFQVPLQRLDYSRNGEQMIPWTLEYTKQISD LY89DRAFT_51497 MDRARQCKSSKNPSETARLYIRALFNSFFPAYLDMDDVMWMECL KELSSLLCGAEVGSAEEQQLYTIFQEEFSMTIVYSGLSASDIKLLDDSVTMSNELSDN FSPWLLDIVIKERLKPFWDGFLRQSMNSWPLASQAVCQRFVHSFPRSGSGSNILRWRC RLELAIELSHRKLEETHRQLVMSLVDLFASQWDNSLGKIRQCCLSVEKIFQESCLNQY IKDIFPDVRIEGYFCSPSHGGPTYGSDFGAREYVVDRLLYLIVVLSLHCFSRAWWTFG RELMEVINNDLVSLSLDYHSYKQYGRPAMWFSLHL LY89DRAFT_718062 MQYPLFTMEKITDKIAALPPGSNYFSLEFFPPKTSMGSANLRAR LDRMSRALRPLFVTVTWGAGGSTAEKSLELAELCQRELGLTTCLHLTCTNMSKKLVDK ALQDAKALGVRNILALRGDPPRSEEYKERINVNGNGIEGMEEEDEFEWAIDLVKYIRK MYGDYFCIGVAAYPEGHADEGHPEGQSLEHDLPYLVGKTQAGADFIMTQLFFDVEAYD KFEKRLREHESGAFKTIPIIPGMMPIQSYQMIKRTTKLSHARLPPNIISRLDEVKGDD EMVKKVGVDIVSEIVTHIQQEAAPGPRGFHFYTLNLEKAVSFILERTNLIPTEDLEDE SAVLEISVPLQSLSVNGAHSNHASRRQSSIGSDPHNRVIVTGPTSNSDWEATALDAAM PADPINTRANTLAISEGEGALGREATWDDFPNGRWGDARSPAYGEIDGYGVSLHMSVN QAIKLWGFPKSVGDITELFIKHIEGSLSAIPWSEEGLNEETNTIKSQLLQLNQKGWWS VASQPAVNGVRSTDPVFGWGPRNGFVFQKAFIELFLPSKDWTPLKEKLMSEEIKENVS WYAANASSQFFSSDSLMVNGVGTRGDEGGSTNAVTWGAFPGKEIITPTIIEEVSFRAW AEEAFGIWEEWGRVYERESESKKLIEGVREDYWLVNVIHHGYVEGEGLWDLLLK LY89DRAFT_746879 EYPIPYTLPALPFSSLPGYSLTSGVTALACAIRPGEDGNLYAGS GIRNQFIRINPTTRKIDVFTPQPFDPLGDLQPFNDLTAGPTGMFFSQSTANLITHFDY TTEQFTNYPVPTPLSAPLGMVFFEGYLWFAELLGQKIGRLSPTTGSVTEYSVPLPTLS GPGVVRATFTKPSRICFTAILGGSNGCLHTDTGTFDVYPGTGIGAAVSIPGENTKDLR FDDIIYYSTATQNYINILNITSGQVSKIVEPTTVLAEPISLPFYFDIGMNYGPGKAVW FTQAISNRVGRYQFA LY89DRAFT_718064 MADFEYMNAREATEGTGPKPPLDLSHHFSRVTVARKESSVKGFY KYFQIPGIGNLAGGLPNAGLFPFDTLEAQIAQPERWKPTPNLPNDPSKDLSEQLEQTK LQKGRDVAAGIHMTVPHTSAVTDLMKKIDLTTALQYGTAQGYPPLHSYLRQFARDVLH PNIPYKDGADIILTCGSTDGLSKSLEALSNVWSEERDWIRERPGMLVEEFAYMNAVQG ARPRGIQVVPVKIDLEGMLATGPGGLEDVLENWDESKGKRPHLMYTVTIGQNPTSGTL SIGRRKEIYALCSKYDVIIIEDDPYWYLQFPSAAGNEAMARNQPPPVAQPVHTFAKKS GYDFIDSLVPSYLNVDYDGRVIRLDTFSKTVAPGCRLGWITAQPAIVERILRITETST QQPSGFVQSMISELVMGPQPVMAEFAKKSKTEQLSFSGWKTDGWVRWLAGLRGTYERR MNRMCSHLEEGRFLVKQGTPIKASDSDWAVISKTKMYSFDWPRGGMFIWVQMHFDSHP LAGEVAGSKLASALWIFLTTKPWLVLASPGAIFSPTPEIMEKEGWKYFRLCFAAVSEE EVEKSSKRFAEGIKAFWMIRDKKEIDDLLDEQRVESAEKGELLDLGMGFAC LY89DRAFT_51517 MAHLAKLGALTDELVTLITSKTASSDSTQFNIHKETALRALRQN NYPRTNQFDVNSRLDGLEEKFRVYLEDPLADALRERLNTLSNLEVKWAPEILHLLLEL SDRPLQNSKVEDLDFLKEPEPDADPPLLWKDLAADDPLLREKSVWANVDFGAEDSDTD RYDDSRSEASGSTDLTGQSSIEEDPSRRPEDHAVHTLSKEGLETLRYEQFWHKTPTVD GVKLETVRRPISELQAIREVLFMLGGLPTSIFENTTPATIEPSKAFILKHASPEAFIK LSTSFAEQGSTILLLRLWTRRSQSIPLLQAFQGSMSTRLAEFDALLASIQLRFVAPPE DIIISLLAIQEEIKLLARLLRRLSEIARKLDDGPYGHAFRYLEALYDETCTSQMAGDD EMYSFMGSIFFECLQVYLRPIRAWMEDGELDKDDKIFFIIETSGDVEPALIWDSRFKI RQTQAGILHAPRFLHAAANKVFNTGKSVVVLKHLKQFGILQGSRSDLEPSLDFYNVCN PAILQLAPFSELFDTAFDAWIKSKHHQASSLLRKTLFNSCSLHTALDALSHIYFIADG ITGSKFSSYVFDKLDTLNSSWNDRFTLTELCQSTFGTLPSVAADRIRMNVLPLHRKHQ DVVKCRQSLKALAVLEIKYHLAWPIQTIITPANMSSYKRIFTFLLQIQRSTHILSRQR LVMDTLMQNSGTDERALYYSLRMRLLWFNQMLYYYLTSLVLEPCTQKMQADLKDAGDV DAMIQVHSAYLKTTIDQALLGSKLELIHKTILKILELGIKLEDAQAANATSNKETMEH QQERMDLSMASLGLQTPRRKTKSQQFSRSVRSRQHESSSDEEEEDINVDLSILSSAFN EDDGDTLFVEKLRKMKSEFDRLIHFVASGLKGVARAGTGQEGKSWDTLAEMLESGLGS GV LY89DRAFT_615388 MAIARPIRVLGLVAVGLWVFFLYQVFGPAKIPKGPGDTLANMER DPNLDLTGEPEGELWRADKGYGLGAEGTNRINATLLSLVRNEELEGMLQAMNDLERTW NSKFNYPWTFFNDVPFTEEFKKKTREATKAECFYELIPKEHWDVPSWIDEEIFQESAK ILKENDIQYGSMKSYHQMCRWNSGLFYKHPALEHTQFYWRVEPKVHFFCDVDYDVFRY MQDFNKTYGFTINLYDAPQSIPTLWPETTKFMAQHPEYLHENHAMQWLTDSARRPEHN MKANGYSTCHFWSNFEIADMSFWRSQAYEDYFNHLDRAGGFFYERWGDAPVHSIALGL FEDSSKIHWFRDIGYQHIPYFNCPNSPKCKGCMTGRFTDGESWLMKEDCRPNWFKYVG MG LY89DRAFT_718066 MAAETFEQRVERACNDLEIPGLVMVAGDRDGKFYYEKAIGNRSL KDGKPDPMPLDATMWLASCTKLITSVAVMQCVEKGLLKLDDDVSTILPELKDMDVLVD FETGPHGKDKPVLKKNTKTVTLRNLLTHTSGLSYDVFNPVLMRYRATQGIQPSLYVTR PPKEAFHFPLLFQPGEQWEYGVGLDWAGWMVERATGVNLEEYFKKNIWGPLGVKSMSF YPNKNPAIKSKLADMSIREGGITMFGNPAEPNGKTIYIDDNIYSLDMTESTGGSGLFG APLDYFKLLQSLLRNDEKVLKKGTVDDMFKPQLSPAQISSFEAKLAIPEVNVQMSDLP AGTKVDYGLGAGLIKNDIPGRWKAGTLFWSGYPNLHWYIDRESGIAGMIGSQLHAPGD PKFVEYAKLWGEEMFRQRGKEKL LY89DRAFT_644780 MSTYTRIDPVLVRPPTMNINHADTLLSRGDPIKQLFKNLFEILP AGARGHAVAVIGEFIGTLLFIFLAFSGVEVASASSNKDQGSGVSTATAEKSPQQLLYI ALSAGFALVVTAWTFFRISGGLFNPAISLGMALIGAISWFRCLLLCIAQTVATIVASY MVYALFNGGLNVGVGLGGGTSNAQGVIIEMLLTAQLAFTIFMLAAEQHEATHLAPVGI GLSLFIAELIGVFWTGGAVNPARALAPCIVNRDFPTYHWIYWVGPIAGVLLAVVFYKL VKAMEYETAQSQDDYMESHPILPRAASRKPSSVVPLASIRSDSDHPEEGATHLQPIKT PLRTPAPTAVVEKKQESALPECYAD LY89DRAFT_52081 MTTGTACNYPQSCSPPTCRIFGLSNPLLLMPFLFVDPVIRLLMF SPPSSSLQFEHLLTISTAIAREYGDGVTGKAVSTYFERAHKDPSWQRTNSPSIGGDGN GGPVKKTPVKRGSRAKKAAPAFNGKRMGSSGDDDEEEVSFNTPSKKTPLNKVKGGRVA KASGGRAKAPVSYAEPSDEDDDEMEMVKQERDVGSGFEDINHNAHNGNGNGTSSFEDP SFSQGYAEGDEAKDYYDAEEENYEV LY89DRAFT_583877 MPNWKTYESSVRLLSAIVAAHPGLKLNYDDIGKIYGGGTTYKAV WGRMKVINDNAKALRAAFDAGRDTTEIVISETDLLIDFSGPDIAARFGGDCTKSAVEN RFRRVKSDAKLINDAVKNGVDPITINVGDTSGEAAMSGNGSSACFGSNVTKSGLTHCF HRNIQPNVKLIRDARKQSVDPKDITMSCLLMMMAEIVKFYGSECTRSAFENHYKRDLA PNIKALKQAVGDGRDPKDVVLMENLLIDFVTDISSIMGSDTTPNGIRFQFTDRFKPIG KLQLEMKANGRDAKDIDLDSVKGKQKGQMAALYCICFLYSTLSFC LY89DRAFT_644787 MGIVSFITGLIAPIFIIISPITSYADQTYSIHRTKSSAGFSMDI PLIMLVASLLRVFYYPGAKFDISLLIQSFIMIAIQLVLLKVALDHRPSPSSKGGEASM PFVGAREGELGVSRPYNFWQWRSPKPYWQFLLYLFIGLTVFELLLSPIPSLYSLYSAS LGIIGLSIEATLPLPQILANYKARSCKGFRLSVLASWIAGDIMKMFWFFTATSEIPWT FKLCGIFQMCCDLFLGGQYWVYGDKGEPVIKEHEMGMRMNGLAHPHAHSTGMRTPVGE KDVRLG LY89DRAFT_781572 MEHLTFPGDSCFTSSEAEKLKQRINRLGTQVREVQGIGMHYTHL KNPGNDAIKAKITQLIPGTADAKILEKQPGSLSEIYYITPRNISPWSSKATSIAHVCG LKTEVERIERGRAILVTFVEPFESDDIPFRDVLYDRMTEKISTTEPDLHQMFAEGQPF PLEVIDLSADGAEALEVLKAYNKKRGLALDQLEMEYLVQAYKQVGRSPYDIELFMFAQ VNSEHCRHKQFNANWTIDGIGMGKSLFEMIRNTHNENPKYTVSAYSDNAAVLEGEVAS FWAPDYSTGSWRQTKEKVHFLAKVETHNHPTAISPFPGAATGSGGEIRDEGAVGRGST PKAGLCGFWVSDLLIPDHKEPWEMDFGKPAHYASSLDIMLEAPIGSARFNNEFGRPCL TGCFRTLLADVDTADGHDIRGYHKPIMIAGGVGTVRPQHALKDGRDVKEGAHVIVLGG PAMLIGLGGGAASSNASGEGSVELDFDSVQRGNPEMERRAQMVINACVALGENNPIAF IHDVGAGGLSNALPELVKDAGYGGHFELRQVENADRSMSPLQIWCCEAQERYVMIVNQ DGLNRFVSICNRERCGFSDVGTVLAKDQNGVARLIVTDRESTQYPRPIDVPMSTLFPK DRRLDRIVKSRKPVLKAFDARKCLVENYPQIPEHDLLKKAIERVFKLPAVGSKSFLIT ISDRTVGGMTVRDQMVGPWQTPVADVAVTATALNIGDKIKSGEAMSMGEKSTLALISP GASARMAVVESLLNLAAAHILRAKEGDLARVRLSANWMAAVNHPGEGAALYEAVHAIG MDLCPKLGISIPVGKDSTSMKASWKDQQSGEAKSVTAPMTVVISVFAPVANIRNTWTP ALRRTEDVGETILMYVDLAQGHKALGGSALAQTFGQLGNQAPDVRDPDLILDYFDAIS QMHESDVVLAYHDISDGGMITTLAEMMFAGRCGAEIMIDNFTKSSALPDVLDTLFNEE LGAIFQVRKSDEIRFISCFSTCGPPKGLVRKIGRVSQSSKQQLSIRYGQTSILRMERA ELQQLWSTTSYQMQRIRDNIECADAEYENIKDDHDPGLHYKLTFDPKESILPLTSSIT SVFAKAPRVAILREQGVNGHAEMAFAFKAAGFDPIDVHMTDIISGRSLADFVGIAACG GFSYGDVLGAGQGWAKSILMHEENARPEFQRFFARPDTFALGVCNGCQMLTRIAELIP GTSHWPLFLENKSEQFEARVSMVKIQDNSKSPSVFLHGMNGSSLPIAVSHGEGRASFR HQTHIEAMNADGLIPIRYTDNRGSVTTRYPFNANGSPEGIAGVRSLDGRVLAMMPHPE RTIMVDVGSYVPKEKVEQWGEFGPWVRMFRSARRWVG LY89DRAFT_733212 MGEHRHHLKRARGGAQSDLYLSTRDSSSPSPSETGEFGDSEQSD LRSLDRIGITELLENDSRPTFIIDLVASEKEINGLLKVTWSNKSLKFFDGLRRVINAE TYYPSLTPPRTPSAALAAAEAAFKEWATSMPDFDNELDGYMPRYEFKNMYWTCCTLRK RWRVISASQVPSQRKKSHGTPRSSRSSSRSAPGSSRSFSTERSNTDTMTSEESELYKQ LADSESKFKVMTELNPVGMYYLSPDGNILYCNDMWYEITGHPRGLEGEMSFMNVLSEI DHPMMAVEWEILTTTKARRNFELRLRKPWVDEITKEEKQRWILASCDQEFDEDGKLKT IMGCITDISRQKHAQEDALHKAALKEELARTTEEAAHHAKNFQQMAELAPCMFTFNNE GTITWANPLFYEMIGHPRNLEENSPMSFLNCVEQQDRQKFHEAWEKLTVAREEISMEL RLKKPWIEDKEGAVRDAKWILFLALPQVSVDGTLTKVFGCTTDISGFKLAERIQMESR LKAEEAKKQQETFIDMTSHEMRNPLSAIMLCADGVANSVIDYQSTKDQTIDMLQDLLE NNLDAAQTIVLCAQHQKRIIDDVLTLSKLNSTMLHVTPVQVQVESTVRRTLKMFESEL QAHEISIDFTVESSYQQEGIDWVLCDPVRLTQIFINLLTNAIKFTRTESKREISVHLA TSILTPPSEIATEIQWFPSKASNDIQDLTLAPDWGTGQQVYLYFAVTDTGRGLSEDEK TRLFHRFSQASPKTHVQYGGSGLGLFISRELTELQGGEIGVASREGIGSTFAFYIKAR KATGVLVEAPIGISYSRLPTSSNTESNGTPRPTRLKSDYHILLVEDNLINQKVLSKQL RSTGSIVHVANHGVEALDFLSKTIKWKDTLPSSQSKKMDLSLILMDLEMPVMDGLACT RRIRDLEREGKIIGHVPIIAVTANTRLEQMETAIEAGMDDIVGKPFQIPELMQKMDQL MWKGH LY89DRAFT_684426 MSGNQTTNVTGAVTSGFATFGSQVVFIDNGTYEAQFWAQTTDET NVWFLKWNSAGSSQTDSTPVAIKITAPSN LY89DRAFT_706965 MWTMFFSSLFLAASALSTAFAAPASTSNQLSKRCTNSATDRSCW GDYDLSTNYYETVPDTGVTREYWFDIQNGTASPDGYERLVLTVNGSFPGPTIIAGWGD TVVVHVTNSMENNGTSIHSHGIRQNYTNQMDGVASITQSWDGILGGIIINGPATANYD VDLGNLLLNDWSHQTADQLNAIAGTSGPHTFDNCLINSTNTYNDSGSRFETTFASGTS YRLRLVNGAADTHFKFSIDNHTMTVISTNFVPIVPYNTTMLSIGMGERYDVIVTADQA VSNYWMRAIPQETCSDNDNVDNILGIVRYDSSSTADPSSTGYDYEDSCDDEDISTLVP YLSYQVGTSASVTDDFIGTLTGGAGAIKWEMGSTSFVSQWDYPTVLQVAEGNDTWSAE QSAIELPTADQWVYFIIETTFAQAHPIHLHGHDFWVLAQGTGTYDADTVTLTTTDSPR RDVAMLPGTGYLVLAYITDNPGAWLMHCHIAWHTSEGFALQLLERESEMVALMDVATV NSTCANWDTYATEDDIVQDDAGV LY89DRAFT_584482 MTDNYGRPLGPPPEYKVDRDDTLYHHHPWYNFKYWGKKGWLTFS AVILAIIIIIIVVAVVEAKANRYPDYSELSYSLAETYSGADFFDNFDYFTGYDPSSGF VHYVPEDEATSLNLTYASSSSAFLRVDTSVSNTSVPNASTGRFSVRVTSKKQYGLDNL FIFDVKHSPLGCGTWPALWLSDPSNWPTNGEIDIMEAVNVVSSTNNQMTLHTSSGCSM SVKRKETGKSLTTSCVNSTDDNAGCGVDSSTDKTTFGSTFNTNGGGVLAMELRSAGIR MWQFARDSVPVDVWTGPEPDTWGEATADFPNTDCDISSHFRNQSIIANIDLCGSWAGT QSVYGENCPGTCTDYVANYPDAFTDAYWEFGNFTVWSAANSTASSS LY89DRAFT_746907 MKLVERFWTAVTYALSLSSFPSFHEYGIQQKPLFGDIPSSLIRP ARHGPIFYPPGRRPRGDGSEFMCKYANMGAERTNCSTPEDRSCWLKSEKTGRRFDINT DYEILAPEGVLCQYTYTCSGRWFM LY89DRAFT_781577 MASHAASNVVSSGLATRDCGLAISAFGDGVRAPLLVIAASYIDP YTETARLYTWFAFTDALSHTLGDLFLQAIWRLGLHLQGQWLVLPILVTMLFIVLSYGM STVLPKVSEDTATSQNDRTQAS LY89DRAFT_781578 MVLTTLLPSKPFFFIVLLLISYEFFDNFSFSVPVRLLEHAVCQR HYALPSSPSTLSLPRRDLDELQCKSPAVQSTLAYIRGYYSLFRTGPALFVGPYFGVLA DRWGLRAVYSIVLVGLMLQLASFYVVCMLAYHAALTLVKYPCQYGS LY89DRAFT_696822 MKRKNEFRGMGDAGRDVFFMVAKARYVAVHENNRNIPSLNQDFS LATVFTLPHSHDPPEVTASWIQDQVATYSSQDDVFNEQFLATVIFDSSSSSSRSQEAG DVKITDEAHLCLQGHGTERVVCTSLPQLLPGPYALVGSQLRDIWKLVDDINGTCMVTL NPQQNVEDDFQPFPVFSSDDRFSSFALPSRIKTRAASSSFFAGMPVLVKDNIHLKGIK TSVGNCAFYEIYSLQPETAECVQKLIDQGAVILGKTKMNSLAIWEEPFPGGSSSGSAA AVATYDWLDMAIGTDTWGSVTRPALWCGCYGLRPSFGAVLAHGIEPCCHGVFDIAGIL GRDLRKCRDFASEWLRLDALQKDPKPFKLVILPIDYWKVIDLKQAAIGQISFEELWQR SPPEDARGCILSEYIDWAADVQDYDAYHNCDDFRNKYQKLSGHAPYVSPLNQKAWEYA QTISKEKRDKGFKNIATYKKWFED LY89DRAFT_684429 MTSIAASLTRALPKPKYTGEEEEIPQHAQQRGPRILGAGALDDT QIVLKKSGPPPYGNRAGWRPRGQEDFGDGGAFPEIPVAQYPLDMGRKSQSTSTALAVQ VDAEGKVKYDAIARQGHNDKRIVHASFKDLIPLRQRADAGHINLDRPSEEEVAASTQK TKDALAKLVSGAVAAQKPKNVNVGTRKDPTYVRYTPANQMGDNTRKNDRIMKIVERQQ DPMEPPKFKHKKIPRGPPSPPPPVMHSPPRKLTAEDQEAWKIPPPVSNWKNPKGYTVP LDKRLAADGRGLQDVTINDKFAQFAEALFTADRHAREEVKQRALMQQRLAEKEKSQKE EHLRMLAQKAREERAGAGAVRRASRSSRSRSGSYSESESERSGSDDEEVREREKMRAE KRREEERKLRQSRMGAERRVQMMAREQNRDISEKVALGLAKPTASSESMFDSRLFNRT SGFDSGFNEDQAYDKPLFAAQDAVNSIYRPRHNMDDGDDEEAADAEMGKIQKSSRFAE ALGKGTFKGSADVEAREGPVQFEKDVADPFNVDSFLNNLGEGSGSGAGKRGYGLQDGE EEERGKKRARVDEDED LY89DRAFT_706969 MKFSILASCLLAPLVSTTALTYKLDANEKACFFSNVENKGAKVA FYFAVQAGGSFDVDYEVVGPGDKIILDGQKERQGDFVFTAGETGEYRFCFNNQMSTFA EKFVDFEIAVENEERASIPSKQGTSPEQTSALEESIFKLSGQLSTITRNQKYFRTREN RNFSTVRSTERRIFNFSVIESLMMVCMAGLQVFIVRFFFQGARKGYV LY89DRAFT_696825 MLVDSEDLLNDGDAEKDDVDVAIINPDEAPLRGDDCSLLIASAV EAIKELVLTPLAEQPPILEDVINTWNITGWDRMRRREEGPIFEAGGHPWRVLMFPNGN NVDYASFYLEHGYGDGPQLPEDFACCVQFGLVLWHPDHPEIFQHHTAHHRFTKEEGDW GFTRFVELRKLWTVPWDESGHCIAEGQKANMTAYVRIVKDETGVLWHNFTNYDSKKET GYVGLKNQGATCYLNSLIQSLYFTNAFRKAVYQIPTQDEETLTNSAYTLQRLFYQLQT SNNAVSTNELTKSFGWETRHIFEQQDVQELSRKLMERMEEKMKGTDAENVLPNLFCGK VRTYISCINVAYESRRVEDFWDIQLNVSGNKNLEESFKDYVQVEVMNGENQYFAGDDF KLQDANKGVIFESFPEVLHLQLKRFQYDIDRDAMMKINDRYEFPDTFDAAPYLTEKAD RSEPWIYQLHGVLVHSGDLNAGHYYAFIKPSKDGWFYKYDDDKVTKATMREVLEDNFG GEYLLPNGSVAFRGKSKPIMRQNSAYMLVYIRQTRLDRVLLPVTKEDTPPHLQRKLDE EAAQREARKKEREEQHLYLGIRVITNDTFRAHGGTDLTIFDPNAEGNAAAARYYRVLK KSSVKDLIARVGEDTNTDPRRIRLWLMVNRQNKTTRPDTPVTDNNVSVEDAHQKLTGS KGADLRLWAEVADEVTADGEPIWPATPGQVNGTAPKSDAIVLFLKRFDYEEQTLNGVG HIYISREKKVEDLVPAILKKLGLQENNGEKIRLGLWEEIKPNMIEPMKGKQSLKAAEL QDGDIICFQVMPSDIKSESDTSVTKSFIEVTINADDDSRRSIKSESFKSERSPSLKPS TDRMEDAKAFYDFLLHKREVKFYPHPHRNADPTQWPPFMLILNSKHTYDQLAARVGEY LRVDPTHIRFWTCNATTGNAKASVKRGQSQSLSTILNPPYSTFSNNNQRPDALFFEVL DISLSELDTKKGLKVSWLSEGVSKYDSYDVLVPKNGTVEDLIQGLIKKAQLEDEAKAG PIRVYEIHSGKIHKDLPRDHQVVSITDYISLIAERVPEDEDSYPEDWRGIQAYHFDKE ASKAHGIPFHFQIVKDEPFAETKKRLEKRTGIKGKNFEKIKFAIIKRTTYSTKPLYLA DDAVLFDLMNEDDLLGLDHTDRTRSIRNGAGDLFLK LY89DRAFT_584514 MATATEPVPGAEEKKIEASEAPSQVEKPETSETAPASVPAVEEK KVEAEPTPKPETSDGPVVVPPPASAVPASTTPEKKAVAFDGSAEEKSSTPTTPLQKLF AELPAIIKEADYGEMWEVELLDADVPTSIVLEKFLRANTKDIAKAKAQLIEALKWRKT MQPKKLLETVEFDSEKFGGLGYVTVYRKTESHPKEIVTWNIYGSVKDKQATFGNVEEF VKWRAALMELSVKELDLASATVPIPAAGVDPYRMVQVHDYNNVSFLRMDPSVKAASKE TIQVFSMAYPELLKEKFFVNVPVVMGWVFAAMKLFLSPETVKKFHPLSYGSSLAGELK GWGQELPTAYGGKGKDIQEGLTVKYGTSSGTAIEDAPPAATETTEKK LY89DRAFT_644812 MLRSCSQNGSKIFRLTSRVGHRPLQRYNSAPTSYVRQFGNAPPW RPASTLDEWVGREARPISLRQLMVFGRSLTEARLISSANYVRTELPTRLAHRIRDMQT LPYVVVTNPHISQVYELYYKAFESLRRVREIKTLEDNESFCKKIAQTLQEHLTVIPKL AMGILECRDLMRPEDMDKFMNTILRSRISRRVIAEQHLALTETFNSPWHFPSTTSTLD PSTEFVGEVFLRCNAGEVVRRCGDAVTSLCAAAYGPTVSLPTISLSGHTSATFPYILS HLEYIIGELLRNSIQAIVERQTPSPPPIEVTVCEAAQHVIIRVSDQGGGIPRDVLPHI WSFSKGPRSDQRLENLRGVPKMAATLQELRVSNDLPPGLSTSKKEAPKTEGEKAHDSS LSTLSSRPPNLRLGMGLPLSRVYAEYWAGSLELHSLEGYGVDAFLQISKLGNKNEQLT MRASMDAV LY89DRAFT_52258 MAPPTTESPSPIGIANLPNQRHKIVAKRGASFTIMVAGESGLGK STFINTLFSTTIKNYADHKRRHTKQVDKTVEIEITKAELEEKFFKVRLTVIDTPGFGD YVNNRDSWMPIIEFLDDQHESYMLQEQQPRRGDKIDLRVHACLYFIRPTGHTLKPLDI EVMKRLSSRVNLIPVVAKADTLSPADLARFKSRIRSVIEAQGIKIYTPPIEEDDEAAA QHARSLMAAMPFAVIGSEKDVKTSDGRIVKGRQYSWGVAEVENEDHCDFKKLRSILIR THMLDLIHTTEEAHYEAYRAQQMETRKFGEARPRKLDNPKFKEEEESLRKRFTEQVKI EEHRFRQWEQKLISERDRLNKDLESTHAAIKSLEQELEQMQGNAVRSHGRR LY89DRAFT_781587 MKTEFKFSNLLGTVYSQGNLLFSPDGSLLFSPVGNRVTIFDLVN NKSHTLPFAHRKNITRLGLTPRGNLLLSIDEDGRGILTNVPRRIALHHFSFKSPVSTL AFSPSGRHFAVGVGRHIEVWHTPSTPDQNVDGELEFAPFIRHRVYAGHYDTVQSIEWS SDSRFFLSAAKDLTARIWSLDPEKGFVPTTLSGHREGVLCACFSEDQETIYTISKDGA VFEWKYLRHPNAPEQEEDEDEMDTDDDKHTRWRIAKRHYFLRNNTKVNCVAYHAASNL LVAGFSDGVFGLYEMPEFNMIHSLSISQNDIDFVTINKTGEWLAFGASKLGQLLVWEW QSESYILKQQGHFDSMNSLVYSPDGQKIVTTADDGKIKVWDVNSGFCIVTFTEHISGV TACEFAKRGNVLFTASLDGSIRAWDLIRYRNFRTFTAPTRLSFSSLAVDPSGEVVCAG SLDSFDIHIWSVQTGQLLDRLSGHEGPVSSLAFAPNGGVVISGSWDHTVRIWPIFDRT QTSEPLQLQADVLDVAFSPDSRQLAVSTLDGQLTFWSVSEAEQQAGVDGRRDVSGGRK ITDRRTAANAAGTKAFSTIRYSADGTCLLAAGNSKYICLYSVDSQVLLKKFTVSINLS LSGTQEFLNSKLLTEAGPLGLIDEQGEASDLEDRIDRTLPGSTRGGDPSVRKRMPEVR VSGVSFSPAGRSFCAASTEGLLIYSLDTMPLFDPIDLDLAVTPASTLHVLHQEKDYLK ALVMAFRLNEATLIQQVFEAVPHTSISLVIKDMPVVYLQRLIRFVGRQAEESPHLEFC LLWIQAIFDSHGQWIRDNRGAMDAELRVVIRSVGRIREELTKLADENCFMIDYLLSQP IDTPQSGLKTLENGEVRVMDVDGEEEDEDGEWIGLD LY89DRAFT_684436 MVALTTLLPHILFPLYFYPDPGAWQPLYTSLSSYPSVTFDVIIN PDSGPGSTVYPDSNFIAGIAELNSYPNANLLGYVHTSYATRNLTVVESEIAQYENWSK YEDADIAVAGIFFDEAPDTYSEASYQYMESAASYAESL LY89DRAFT_733229 MSTIAWPVNHHASLAVQQRSQPCPMTDHHLKLPVAAFHWTPMDG EGKSLLSTNPNCSRDVRKKTRRHPFSASYSTALQLAMEASPSFPGATPRGGVAPVFCL SANPAREWPARTRDERLVKTRGDQIRRRVGWIMEERDPQTSLQCSWTWILHLRKLNHY SGSLTLMPDLRVAMATHTGVVVCLAGCSTAHTSSSHSQKGK LY89DRAFT_781590 MIQMQRSLSLPGPAHASPPVSPTITQLSSRNPTPSPPENSIVPP RLHRYQSRSPSRPPTAYKEKPVERERTRSATRQSSPTPAARRQDAPPAAAAPSNNVQE SPVSPTKLPQLPLLQDVSQYMNTQFPTAATATATTTLDELAHLVRLSTYQERKRTHSR IRLQRSLVSTALSARLARCGELAHRTLVDNFRADEKKSFANLYNAIHDVRNSCDATRR YALLEPDLDNANGGEDTATLSTFMHEIPQRSRDSLLKFLTQIRTNPDYLASRICSLAP AELSALTVFHQGLEAINSVLPFHSRSKSQLSGNLRNSAHIPSPVERLLSFQRHDPLSA LIHTCFANSAGPDSVEDLRRTDIWATACARLISESKTGADPFICCVLNVWTAMRDWSG RSNMEWYLMKTLEDGAFLLGEEDQPGSRVHIPRNSKDSIAAEEFYSAAVEGLFGVVDD PGAGGMPEGLLELGNAILRKLDPKLHRTTRVFLVSKWLFSVFLLSVIIHPESYGMMAE YHITEYGRQKILKEVVMRAQKLVADMLWRDTASTPLAIKAHIENIMARFRSNRPSRSK PKLLPARSITSLRETVEVHPYLVMSPSDMITMVNCLFPEKRPMSGSLSNQTSFSGIRS SSSSVSGMSTITQSIPMSASRTAFDNASVISNSGSSIISDFTTSREPLLEDTTNKRLS SYEDDGYELRIALYEMTQTLGYDGASGACHPCAEKWAVLFMSPDGQMLSTQMLHDPDD DGDEEEFTTSSDSDDESAHIRPDLDKNYHQLRDSILKLVMDYEIPQSLNPKTESKTFS NRTSAMESPRKKPRSRPGSSAQTQSKNPYRIRDPATEVESKQVETKASKQRQDSDTER QTREAPAVLIAMLEAAEAQCQAQSDFVNAHLYWKTLNQLHQLSSPSLKKDGFASLLSI FSRGPRDLIRRSTSAIEEYDAWLVWLKQSQERHDVTIESMMKRLKALRDKMWYVTDVR NSAAYEGTRNIAIALKCMASPKKTALLPPSAARPRNISKPTPNNFLLKTEAQVMDMMA AMDEQGGPNKLSDEQSEKTLRWLGQFGIENFCKGEERIHRFCLEIETCINKLVGENVM EAPVLWSTELYHRDKRILDSGRQKGDLFLNGLGSLSISGDDGSEEGGRRGLRSADFRP GLRDLRTMSARNNSQQSFDSGRYSMSRLSTTGDLMDSQDYFGMASPVLTNDSATTFWS PFQTRAQSPTTSISSHRPGTSSSTNETVISSERAGQAKQRFLSELKQTLTSLLISDLG TLVFAKGSETDSWFSGDLGQDCIERKERAERRVRRKTRKRTLEKKKSFRDLRGAHNTD VPPESAESLAQRMERGPSSNSRSTDHSNADVHSATGSSSNNEHIPVGTRKNVSSKELD SPDFPYRKAFQRLLRMFSVHPNPYAKLNALFELEHLIIAYLSPSPPRRPRIRQDTLTA APQSPEIQHINAFGPPDNAATTPRAKNLEEAIDNCKERRSQTLGQTDNMSPSHRTSDR SSIAPTPASTDMIVDVLQDLFRDAEIRPKTLFRDLQFIASFVPAAILDKTERGKAFWD AGLAALGLKQDVCRTLIEIADEVVVHYTQTRKSNVSANSDAIPPTTANGEIMKYSMQD AAKMWTITAKEGDPVAERELAIFYLTHPELVERVTAPLSKPRETFKTLDSHGGGGTVK EERDRERSDPATMCIAYHWMELSAVGGDEVAKKFMTQREELNAIP LY89DRAFT_733231 MASKKLLVVFGATGNQGGSVLTTVLKDSVLSEQFKLRGITRDPS KASAATWTAKGVQMVKGDLEDKESLRAAIKDAYAVFAVTNWQEVLDKEREIQQGKNIA DVCKELNVQHLIWSSLPNVTKITNGTNTGVLHFDSKATVEEYMKSIGIPSTFLLLGIF MHYTLLLLTPLPGIPHSHTLKLPTPTTSTWPLISCSVDVGKYVKSILLNRDSMLGRQI LAGEREYTVEETAEVLRKVGGLDVRAEQVTEGEYRKLLEEKGWPAFLRDDMVANIGFI EEWGFFGGEGVERDHGILTEKLETFEEWVAGSKEVVAMNIHQISTIRSVMAPPTPLPF SAEFESVDDYVDSLLLYCGASTVFQTLCGGVHILDFFTREPSLYHTAIPEEWRDWLMA RESMDLLDFLMRDDLNQPRDDSPPESLLKYIREIRKYSLQRNVSSKQGKGTKLPRHIA VGMIPKKIHEVGNFANYVVRVADDVSSRSGKEITHFVDFGSGQNYLGRTLASPPYNKH IVAVESKELNINGAKSMDVLAGVAEREKVMRNKKLYRLQQDSITPQDKLKEKALRRNA KPQKPPTDPDAVDMRPSRDLATIYTPADGKGYIQYVEHVVQDGDLSNVVEQIEQMKIA APVGNLQVVNGDPEKTQLPEIIDEISKTEDTRLMAISIHSCGNLSHHGIRSLLLNPSV KAIAIVGCCYNLMTERLGPPTYKLPRLRPNQRAINAPRLTKEAAACDPHGFPMSRRVS TYNGDGVRLNITARMMAVQAPQNWTEKESDAFFTRHFYRALLQKVFLDRGVVSKMKQE DDTEDGSGLESTEPVIIGSLRKGCYGSFRNYVRGALEKLNADPERSKMVGEKMADMTD EEIDEYSRRYEGLKKELSVTWSLMAFSAGVVESLIVVDRWLFLREHSDAVEDCWVEAV FDYQLSPRNLVVVGIKR LY89DRAFT_781592 MSKLALLILALATTISAHFQINTPQWRDNSFNAPYSQYIYPCAG VPSNSSTNIINETGNGTLCIDKFTLPTELAVVDGQLASLQVVTVGETGAALYNCADIQ FSSNASLLDAGSCSNSSGVSWDYLDLAGTSTTGGNATGTGAASTETAKSGALRAFGGV KVDVVIVGLVVGLAVLGM LY89DRAFT_684441 MQILTLLLLVSTTTAHFILNYPTTLGFNQNAEDDSPCGGATISF TNTTAFHLSGDAIAVTTLHPQSNFLYRATTDQIGTSNWTVLSLVAEYGLGAFCEPALS VPDSDWEGKMGLLQVVQNAEDGVHYQVFTPKKIIFFFMARIGVDET LY89DRAFT_684442 MDLTDSAKAPKPEVHKTETSPNPTFNPVERTNKYLWYLRFIQII FAVTIVAIAGSNISDWHSYGCSSPSGLSFNFAIALLTLLLTLYLVLSTGPSAKIPWYS FIAILSLEILFIIFWIVAAALAGYDCTSLCNACSAIDQGTGYYVWANDLICFCWTDYD NVSFKRDSPEAIFRRGSRPASSSDAAAAGKTLEKGVSKAAKQGLDAAMILLFITSLIT LLQLRYSKKGTANVDHEAERVDQHVENTFKMEFVGPSA LY89DRAFT_615445 MNGNPLFTPPGGQGGMDGADAQTMAAVKSMQYLMESCPGKTAVS GVMGFALGGAFGLFMASMSYDTPLSTNPNATAIQSLPLREQLRAGFKDMGSRSYSSAK NFGKVGAIFAGTECCIEGFRAKNDLKNGVIAGCITGGVLAAPAGPQAAALGCAGFAAF SLAIDAYMRRPSEED LY89DRAFT_781596 MASREPSISDTSPTSSHPENNALDLEKQDTAIDEAPRQNLHTTT QTSTRARITRTQSITGRGSTRGRFTHPLSHIKTTEDNIVDFDGPDDPYRPINWPFRKK LITTLLYGLTTMGSTWASSVYAPAINQISTQYHVSTEVSLLGLSFLLLGFGLGPLLWA PLSEVYGRKPAVLAPYFIAGCFSFGTATAKDIQTILLTRFFAGLFGSAPVTNTGGVLG DIWSPGQRGTAIVGYAFAVVGGPTLGPIAGGAIVSSYLRWRWTEYITGIMMMFFLLLD VLILDESYPPVLLVAKARRLRHETGNWALHARHEEWDVSIKELANKFLKTPFRLLATP ICFLVALYASFVYGILYLCLAAIPIQFAEERRWGPVTSELPFLALLLGVITGGMANIY NNKFYLRKFEKNSNKAVPEARLPPMMIGSVFFASGLFIFGWTSPTHVFWIAPLIGLFC MGFGFFTIFQAALNYLIDTFQRFSASAIAANTFLRSIFAAAFPLFVNPMFHHLGIGWA SSVLGFVAVAMIPIPFLFYVYGPSIRKRGKFTALVM LY89DRAFT_565423 WIYRGPAKPDDILNLHVVVKNEADRDLAAKLTSISSPGSLDYGN HLSQEQLTAFLAPQDETIDLVASWLFSFTGVSDITFINHTSTIAFACTVGTANGILQS EFGVFQNTETGAAITRSLYYSLPEKISQYVAFVHPVTYFPTLRHSIVAGSRRPISRHD DIISRRDVFPELLAACNTITPTCIAALYNMTYIPPVNVTASGGSLGVAGFLEQWINHT DVSSFVRKYGNSEDIRANPGSFTIELINNGTNNESSPGVEATLDMEYIMPFTGSLPVV YYSTGGRAPTIGEDGKQLPLNQSSSEPYLEWLQYMLAKPDGAIPQVISISYTDTEQTV PRDYAIHVCDLFGRLALRGVSIIDASGDGGVACQDDVPCVSNDGLNRTTFLPTFPASC PWVTAVGATHVILEQGESFSSGGFSNYFAVPDYRRNATASYIATLNGQYEGLYNASGR GIPDVAVFGSRFETENNNISSGHHSGTSAGTPVFASMIALINDMRLRNGKPVLGWLNP RLYSESLKDVWNDITEGSSYGCDASWNSTEGWDAVIGLGTPDFQRLAK LY89DRAFT_644840 MLSSTASLYAFLSLSSALTITTRGNAGYYYMPVEFDYDPDSRVT AELTFGSADKEPIQVVMDTGSSDAWIWSPNGTIHWGSNYLGAVGPCNASVPTPYNPSL SSTAIVYDHNSTYAYAGNAKIVSGAQYVNDTLTATNGSPIPNVQIAMENYGTLRQLDD GSCKIPEFDRGIIGLAPYTNTTSGPAFRQNLFDAGLIATRTMTMWFDHTTTSLSKLVG GVIFGGIDTSKYTGELIQVPNVIADYQIGIYIPKPNVTINGQTFVPDQNTTCLLDSGA HADYIPFDYLSNMTSQFLAASNGTLIQYAGVIGFNGSCENIPSDLNITYTFPGVEEGK SVSIDVPIKNYARGLQTPPDADMGDICLFNLESGGCQFGAPFLSGAFMGLDDEGAEIW LAQGAVSAEGQGVSGSLKVFEAGEGFGSL LY89DRAFT_733239 MATPTKIHLTPETAGVINLKLQTSETAAKTSELLQENHEQNHIF FNQEGFHNHIVHHLLTLYGLGASKSQIERAFKDNTNYQRPATAVKERVVEDMSNPDHF KKYLGKEKYYHDFLIFFQTEMEKKGWENTLKEFLFAGDERADDILGRMYAGFLHPIIH LGFGIEFKQPAIICEALAQACVHDLWTSKYLLAVEKAIQSNPPKPTSATIPGLLTAIR TDKKLSTAAEWNDGNKIRDGILVRAPSEMISYASQWVASPSTLKQQTVEMTNSAIYFT AAAQKPPKQVKFDFYYMHCVNSSIFFQTFFALPFLSEENKCRMLNFKVWLDLAMYASR RSPALLQEEISTYVPAKLPAETAVTNGHGTAKDTEWPGIFERLFEFGDDGHAVKLGRA VRNGEVVSEGFEGEGWCKVKGFMWEKIGNMVVDSVEAPGATWARSVGFDEAWEEYEDR PRMAQL LY89DRAFT_696838 MAIQDKVTLLSSVALRIATFVFLRWIPGHHLPPIIYALFAIYVP SFISTFLTQPQYEVVADEVDITVTEVEVEDQPPTNPSTAAHSHNLRSADKPTHTEEEI NVEEKIVLEEKSAKPWQTLLTGLPSPTSVLLSLATLGINAALVLAATDLVYRGKVYYP SNDLSFARLGYVSPMEASLLIREPDPFQLPIFVSYRLADTAQAFEDPAWQSAGVIRLL DNDTDYTGVVTFPLPNHADRSYQWTTSNNHTGFFKVPPKPGQVSNHGDFTFLTSSCII PRFPYNPLDHALAIPGFRYMADVVKSIPGGAQFMLFLGDFIYIDVPKRFGVTAEDYRR EYRQVYASPDWPSVGQNLSWIHVLDDHEIANDWDSNTTGVYRAATDPFHHYHTSVNPP LARKAGTYNAARNGATYFEFTQGPASFFMMDTRTYRDSSSQLPANSSKKSMLGSKQLA DLLAFLEKPEPRGVKWKIVASSIPFTKNWRVNSLDTWAGYLHERQTILEAMWDVGLRG GVGVVFLSGDRHEFAATAFPPPIDGKWPISATVNEFSTSPLSQFYLPTPTYHQNDEQD ILVKYIPNGNSKLGAITIENTSSDQSILKFRLYVDGVEAWSSVLLSPPTITGNRWGKD ALWG LY89DRAFT_781601 MGFKFPRRTSSEAPIGGRPSTPSYLKPGSITLSASPLKSGSVSE EAPRKSRYEQLFKDLEECQERAKASSSGLSSSSAENLGKLKKSTTLSAAAPAFVPSGM PTLAAKHKIGGLKNAARSKLATGSKILTGPKLATEPKILTGPKLPTWPKLLTEPNIPT GPRDPNRPRNPFAVLDAVPTALSRPPHPHVQVLMQRWFGDDNHNRVQDGNAVGSQLEG HSGDGIGYGHPGASAPQVQYSVPPYTHTDRVVSSGLTIMYSHPVSQAVDPRQSSTQAP ANPSLPPKVVSNRIKSTEFSTVRRDKTMKDLIIYAAGVVRNAILNSKVTYSGGRVFEN IVRIYDHNPSTYNLINELYVLSEEGQMEFLSKNHVEIRVDIPADYENPAKSVDVDEQN GYIDHDYGYTPPAERTVIDTIYSLDPALFAYTKNLTITLVFPRNPANTPLNTPIYLVP ADGAPVHGATWRLLEKLVLCVDDFTSLKNLTILLHVPSNTRMPLTLPQLYHVLPFYDL EFKNWDLKYQPDNLTMNLTVEGWSMDQLDRERDRVVRDRNKAAAEDHVLLREEQRKYE EAVREFEEQQRKRIEKQKEAKRKRAEQQRKVNEAVFVQAGSLLPPIDLKKLKKGQRAT EHGSVAMKKAAAHGAAKAKKEKGGK LY89DRAFT_684449 MPFPFVFVCDILETLERLFRGQVPLLTKDLNARVNLEVTKWFKG HRAKFDAWDTNADAVMAMLRPDQQHDRVYGITSENLQPTIARALKLSKQQYIDLQQWH DSEIVDLAGCVQRVMQKMKYGTSSEAKSVMVEDIDQALLQIAAFHPSSSAEVRDLAKT WETSDEPEALGKLYRRLQAKEAKWLTRLILKSYAPVKFPDALSMVAGLTQLPRCMSIN IQIPSSAPSAVRQLGTGVIRGIVSSDPAPLPTPPMSSPLKNMAQTPTIQINTAPLGVV LASSTYSHSPRSIIEQSPRRSTTPKSPKRSAAFEVMPSPSRRPVLGTISANLPRASPQ KSPPNSSPPETGPALRISGHGICRLADDTCKLAGCLFLVSPCVASTPWLMDDMLPRHG ARIITSLPALSHPSLPRHCPLTGRRYRKIALVESNRAEHTAHFIKSIGKLSLKRKGRK EWVEVYDWRLLECFTKVEQGRTAGYDPWKRCWIGAV LY89DRAFT_584699 MASHQRRRSSIDRASINAILTSGEPGQPAAPPSPHVGTSPNRAC FAMSPPPRPITNHARALSYNPRKPNRLSLSFPVAPSTNASESARPTPTSSNVPSFPPT PAEIVPTPSPNDPSGFLVALASQERKVLELKEELERAENELKHLKKQWAVHESHKKKA EIRHVEPLVSLSAVATESESGDGTASTRQSAELDRRKALLSNLNIPKESRRKFSGAHT RTLSLLSPERANFKEPRPFSPVHESSFEHHGLSKSTTMPDTSQGITRVSSSRANHRHS YQSGMTHGAKQIAEDLKAGMWTFLEDLRQATVGDEAVNGTTTRSAVDAPNATGTPRRS SKGNLLNNGKRGQSPRGSSPRTWDSLTGNNSLLDTANSLWSDADPLTPASKTPLVSKK TRSISLAAPALDDLDDDWSNWDSPTPKSPRWSASTTLSEPDPATPSSHNTEDRNVKIM PNETDTPSKRDDEIQWPALDKLSPGAIKGNLQRTMSTIMKEWEKSITPPPERKEDPLA KAGKEERTDSASNHDDLVLMSR LY89DRAFT_52681 MHRRSHRKSRNGCRECKRRHIKCDENRPNCTNCQTAQLCCSYST KTPDHGNFIPFDNSHKSSSSPTSTNTSQPLLVPGPYVNLLHLELFNHVANDEDAFIIT DATTRTQRPRILKLAFTWPFLLYELLACSSMHCSLTHPDISKHQMYRDEASRLQAEGL TSFNDTVKEITDDNVIPAFLFSGILGLHSFSDVFSMPGQDLDAFLDRLVHSIRLLRGV QTVLSGKWELILKSDIGPLVQPGEPLFDTDDVVLGLEKLRGRLSDLWGVDTTQVQVCD KAMDQMCWVYRSNLGTSIPDGRASPRMVTSWPVMVSTEFTELVDKRNPRALIVLAHFS VLLHRCRNVWAVGSAGTTLLNALGIYLGSEWEDWLAWPRTMVYGTGD LY89DRAFT_706987 MKPIPAPHLLLVSPSLCSANTFKTPTVHGAKFLSLEATLVSNYS AHIPLGYYMNNGAVNVTDVAFCNITVSYTHPGENDIVHVQIWMPSDHWNGRMQGIGGG GYSAGLFELSFVGMTAAVGEGYAAVSTDAGLSSRDPRTWALLSPGNVNLYLLQNLASV SLNDAALIGKAIIQEFYGQSPVYSYWHGCSQGGRQGLMLAQRYPDAYDGVAAAAPAIN WSEFGISDYWPTFFMDQLGKYPFPCELDALTAAAVQECDGNDGVLDGVITDPSLCTFD PKTMIGKVIDCRDTGGPLKISSVATTVVEVSWNGPRSVDNSSLWYGMSQDAVLTGARG IAGTSCTNETCTRSPFILSEDWIKLFILKNSSADLSTMSHADVDHIFHASVQEYHSMI GTADPDIREFRDKGGKMITYHGLADEVIPPMGSKHYYDSVTSLDPSVHDYYRLFFAPG IAHCFGGSGAYPDTTFAALRQWVENGTAPDVLNATSISYTRSVTFSRPLCPYPQKQYY DGTGDVNSRESFYCK LY89DRAFT_615473 MVPPSQNLNLDITAISGICGSISIACWVVVFSPQIVENFRRGSA DGLSLQFIIVWLAGDVFNILGAVLQGVLPTMIILAVYYTIADIVLLCQCFYYRGFTWH DEVIPPKPAQQSSNVGEANERTGLLSPSIERERRDSAISASHLSPAMPLLDAPRASDP PAIANQKPTTRLQATLFNLVAVLMVCAAGILGWYVSNRSQGGQHHSKDPSSSDDVLSF NLWGQIFGYFCAVLYLGSRIPQLLLNYRRKSTEGISLLFFLFAVLGNLTYVLSIFAYE PHCHGKHGKCHPGEASGIYGRYIAVNASWLAGSLGTLFLDAAIFVQFFLYREEGEVEE ESAIENGHAASNGNGRDQRPLLERGDSGYQ LY89DRAFT_554607 NTASAYVIPRMVPRNPCALGDWLTNDLRDFSHLFDRSKFKANMA IVCPQMKIYDDINDLEGQDWALTSTELSPKSLDSEVHHDYTTAHASLWRSLFDNFLIS SNVVFSPAQPALLEIHDAFLEWPIQADTPAIVATFGRMYQAPSPIRQIAVKVLTQLKT QHSLNISLSRGAIFSDSSVSYFACHLRTEGDAMGNFGSYDLQAGTYLQIASTRGYKIM YVTSGDIGEVERIRAQALTDHGITVVTKYDLLKGEDREALRQLSWDQQAMIDLEVLLR AGYFAGVAASSFSYNAAVKRHTIFMSKD LY89DRAFT_644864 MPGATHGTTSPTIISQFDKDIRDVHLIYDYDAKDKNELPEKWRY EIWFFSPTRIVYAIHGGPMAGRINYQTASYQCIRPGELWQCNWLEETGTICSLVYDIP NKKVSTLLGFSEGHWEHPKEAHGDKRNPEDFERWRGLAKIGKQTDRFLLNEQADILES FRGKGDLVPIGGDEETI LY89DRAFT_684453 MTPQPDLPDPAHHDVDSMLSRKFGREVANYFSGSPLNRVSFLRA DHAFISKALVHPSTSFLLFNDLAPLAHDMTKLAYATHEEIKPLIGENPFEKTEEQMIK EYNSTITLPLVLFLGLDERKKEGFEHGIYKGIPYFAVDVTPKGTIEKQANSVVEAMKA KGLKFVEGRMHMSLNAPEAAIYAQARALLDWNARNPFCGGCGQPTLSVNAGTKRVCPP TDFAELPTAQAGATTVSTTPRERASCATRRGVSNLSFPRTDPTVIMAVVSHDGKRVLL GRQKRWPDYWYSTLAGFCEPAESVEEAVRREVWEESGVLLGRVVIHSTQPWPYPANLM IGAIGQALPDGEKIHLEHDPELQDAKWVEMDDIREALLHGTSGLGEPPSEGYKEGGLR LPPKTAIANQLLAAVTGGFLDITEQSKI LY89DRAFT_668724 MPSIICRQSTKTGCCTLESYNAGKDRFRAVAQGLPCPSPFRTFC CSKSYPQWQEIDKKIVAILDKYNIPWRGISIYWKYQIGHYGNGKDTWDISCIGNDTTH FKSAALEVEQLVISAGVPKEEVHVELSNVERLYNHEPYCIPDDQSLMATIIDIQPKVV KAVREHIPKIWTSIAYHMRGRRGCEEHEKKSTIIIFCKPNSQQDWLKIEAAIAADLRS NEHSDVVLHLEFLPGQVERATPSQITHKNDFFPDPHRRGPPGNLTEKPRNGVSIGLQA EHKPSTSGALGGWVGIQMPDGRYGKYILTTYDLVRNGSRARRALNDYNAPRDTDATRE FLERMTGEKTDVRVEEERRLLRLVDQLNKEGIRYVVHASGQRVAANSNKTLDWALIDS PSTFQQNLAPYRHSPDYLTVSLTVSELLYNVRKGDFIKEISSYQPGDQVAKNGRCPIS QGQVNRFPRRIRWDDGSETCEDEVLGDRSPFAYRGDIGSWILLTDS LY89DRAFT_668725 MKLPIELRHAIWVLARPEARVVKISLSKARPTFGELQRFAYTKA KVPNLLHACSESRQVALKWYTIAYNKPKDQSLFNKLFQIALRRTVYPPIYLDVKADFI YLHCRVCKGEVCDLSTDCGVTSDWSEKSKSPRIICEQPQYTIPPVLWFHQIEEIFVAT PGYGQRLQAGTPASRFVIERNGIKMRLDSLNRYKALCKLEARIGKNCPPVPKRLDAVQ SGNPPSGIPKRPILRGLPREVRNAELEKARRQAELEAEDQWEEESDAIVFSEETWNKK QKTRNGFVPLWTTYTPAQTQTSKEWKPTLRR LY89DRAFT_584422 MEAVKSVLLAKAPVADAPLEFGGHRIAEEPTKKLGVGGVLSKFA RFFGPGMILAVAYVDPDNFQTSVEDGQDFGYKMLFMVLFSLVVAIYLQYLCLRMATVT GLNLAQMNHKYMPRWLELSIYAVAEACCICTDISAVIGTAFAWNLLIPKLPLDAACVI TVFDTLLILLFYSPTGTLRHIRYFEMFMAALVAVIFITTAIALGQVHPAPGPVFKGFL PSREIFVSQGMYSSAGMVGGMLMPHALYVGSSIAQPRLLEYDTKYHITTFRQNETPID VFYRPSLRAIKSTLRYATWDLCLAIFVVGLFVNSAPEILSGAAFSQDGNFTDLYDLYS LFQTGINQLSATMFAVAFLFSGIAAGIVSTMAGQTVMEGAFQIKINPFARRLITRCIA IIPALIVTVSVGQEGISNALTAVNYILSIGLIFVLLPVIWYVTHDKYMSVLNDEGTEL VSLKLGLTGTIFAWAVWAIVIVTDVATIVLLGLGLSD LY89DRAFT_733252 MAPKKLSTKKKPKETGGWIYTTPSTCKGKSTPFNSYAYNTTHYI IKGELTFQREGVLPVRGSKRKPVTRSQIERDDVGRFQCDIAGIVSAKDIVPIAKGVMF NVMALHARDGEAKFVEGYEVLSCEVRDKLLKRGDISWEVGGEHEGRVDRGVRAEGDVS ATVLEGIVLGTGSGNVKKVVVDGMWKMERGRRAQDSSEEESGGEDSGTESEEEVVGHG RRKGKEKEVAKAGPSREKGNGKKAASRSTSRMKGSEKGESSKSKEQASCC LY89DRAFT_733253 MNLGMFAQLAALLALSPVIIGTPSYLRSPGVNVPTVIPTPENPD MTPILQALQAQGLNATFGRVRTSDASSTIENTERRSHSLEKRVIPTCITFGSTPYQDD CNYAKKLVINTAMNYDLAPYGCQRSRTIGSENDNSS LY89DRAFT_584368 MTRDVVIIGGGATGTYAAVRLREDFNKTVVVIEKTDRLGGHTET YFDPATGYSVDYGVQAWIDGPIVRAFTGRFNIPLVTAVQPPFATEYIDFKTGKSVVPP FTQADITTALTTYFGIVSQWPFLVEGYNLPNPVPAELLLSFGDFVTRYGLQAAVPTIW TFAQSVGDFLNTPALYIIQTFGLPRLQALFEDCFVVPADHFNNEIYLKASALLGVDVL YNTTILDVARHDTSLQQITVKTPTGQNLIKAKKIFVTIAPMADNLKPFTLNHHESSLF NQWQYTTYYTGIIRNGIADNVNIINTANGTTLNLPTLPYAQEYSLSGIPGLHTFHTVS VKPQKDQDAQILVLSSIAAMSAAGTIPASTLSIEVLSNHTPMLLRVTADSVKNGFHTS LYALQGLKGTFYTGSAWAGDYTSVLWGFIDALLPGIAAAAV LY89DRAFT_52882 MDLGASEARRKSEPSFLERKFRSLNLRRNASDTAVEIIGSKGLV LLYEPADCHVDFIFVHGLGGGSRKTWSASPNPAHYWPKEWLPADPDFRHVRIHSFGYN SDWLQRQQNLLTIHDWGQDLMEQIRNKPNIRHATTPVVFIGHSMGGLVIKKACILARE TSRYENFAERIHGVYFLATPHRGSRLAQVLSNLLKLTPFGDKPFVGALEQGSETIIQL NAEFCHCNGIAICSFIESIPTNLGFGSSFIVDKLSATLGYDHERIQTLNADHRSICKF DSPNDSNFRTLRDSLASTVDDIERSVPMVRQQVQHQEMQTVSAFLAVSDSPIDELSNL NDARMPGSCEWFTSGKTFLDWQYGEDCTRYFWLTGQPGVGKSVITAHAIECLGDMTCS YYFFNHEGENKPSLGGMLLSLAYQMAKKSSVIREMILGLSKDVLGKDDYRRVWRKLFM GGIFLTKFTTTHYWVIDSLDQCVATKEDLDNFFSLLANIDGCIPVKVFISSRFSDDYE RLFRRLPYVTIQISAEDSLHDIRIYVEARVKELTIENEEMKISLVETMVRKSAGCFLW TVLVMEELLLDGFTSQDIEEILDEVPEKMDLLYRRNLEIMTNKTRSRKLARSILVWTL CATRALTIDELKDAIRLDTGAIVTRDLERNLPTICCHFVVVDKQKRVQIVHETARAFL LNPKLKSDFRIQIPLDNVQLGLACLRSLTSDRTTITAKCKGSTDHALFEYACLSFSEH LARSTSSSDALFLALMEFLNRSVLIWIETMAKRKFLDCLMKTATHLKAYQLRRAKYAA PLRDSVSSWALDLPRIVTEFGTNLTNHPSAIYNLIPPLCPKSSGLYRQFGSLETGLRL RGLSNSDWNDRISCWHYNKTARSIACQDQWFAIGLSDGLIHMYWTSTCQEFIRLNHGE AVRILCFGNLGKTLISTGLRIIKLWDVSIGSLLWEYRLESDPMAVNFNDDDRRVVAAT RSKQLFTWSTENGNLISQHTWHRNLPLEFQHITSKAPSSVAISPDQTLMAIVYRSLPL CLWDLNTQQHLGFCTKTPDDGSDTSNNINSICFNSDPGLNLIAVAYWDGDIAIFETTS RTMRCHAKIQTQVLAVSPDGKTLAGGDSNGNVQLFDFETLQLMYRVSLSDGVGALAFT GDSLRVMDLRGTQVNVWEPDALVRKWDYANEDRSEFSSDVSERTIQNAGITFDDQNEN ITVIQTVYEGTMAICGRASGSIAICDITSSETTFQKLCKPKGIMMAILSLDWNETYQL VASADASSAFKVVRLFKECQHTIDVRDEILNAQLPYGQLITQLLISPDGTRLLVSSST ADFIWSLETRSVVSSREIEHRTEWRWLTHPQDNTKILLLEDSTLQSFRWDASSILSPD VETPISMGSHRFVDLKSMVVQKNDCKLVVKLGLNVEGEPPAALNGTNDPVLYILDLSQ TEPPPPSLHPEPLFPSQAVNHTPKVKLLLGTAPGPFGSPLLLFISETGWICSIDLRNS SPHSTFQRHFFIPSAWLSTSATIITGCTVRKDILFVRGHEVAIIGGGLKAAETISISE SNYTNSYLLNESSGKVFETAKIG LY89DRAFT_684455 MSSDADDTGVPAPQVQNILEKVDIDESVAAYFPPGSRVDSALPH GASFWTRTARINLLLENDSPKSLFLKVAQGVDGLGLVIGEYEAAKSLYAIAPDLLPHP VGAGTYKLDPETHFYLSDYVEMIDEIPDMQKFCASLAKLHRDSIPFSPKGQFGFHVVT YNGNKARDVTWCDTWEEMFTNSVKMRVKQERDAQGPSAGLEELLPALFEKVIPRLLRP LHTGSNKIKPVLVHGDVWYGNLATNASTDEPIVFDPATIWAHNELDVANMTVPRFRLG RAWTREYHKHFPISAPAEDYEDRLRLYTIHGGLCASSLYTNTTKYRQMLIEWIQELVD KYPEGYQEG LY89DRAFT_684456 MTGHSAAEVSWQAIAKCKQAYRDSQIPQQWSIPSNMLPKDPPLM KYGLQNVLHVPRECGLLSPTEVTITETHSVEDLLSALATGKLSALEVTTAFCKRTAIA QQLTNCVTEPLFLSAIQRAKELDESLAREGRVVGPLHGLPISLKDSFDVIGVDSSIGI ASLCFKPATINSPLIDLLLSLGCVIIAKTNVPQTLNSLDSVNNVFGRTMNPINRLCTA GGSSGGEGVIVAMRGSIVGWGADTGGSIRVPAMCNGIFGIKSSNGRIPAGGGPLISND GTSRCAVPAVVGPLARSIEDIDFVMREVVPRAWMWAEDCFPSTWSLDTPLTGSGPNGE FVFGILRGDGNCTPLPPMMKLLDEVKTKLNQYPNTKAIELAAPQAWTKCQSVMAKLMS ADGGGVLAGLLDATGEPLVPWMVGKFKRSEPKTLPQVAELQARRTKLEREMMQLWVEE DGKGGKKSKVDAVICLIAPHPVPEIERYNAAGYTSSWTLLDYPAGSVPVRDFSAEDLE LGQPQGGTTISSWDERNRQLWDEKTVNRRVYVGTPLSVQVVTPRLQDKRLIEAMRIVN AAVHREGSRSKL LY89DRAFT_684457 MSNQKELSSSVQADSIESISTIQYSVFSKWRKRYIVGLVALAAW FSTLSSFIYYPSIPLISKDLHLSIAQVDLSITTYMAISAIAPSITGDASDIYGRRPMY LFTLGLYLIANIVLATQSSFFGLLLLRMLQSAGISGAFSIAYGVVTDVAMPSERGSYV GALTFGITSAPALGPLIGGAISHSLGWRWIFWFLSIVSGACLTAVMLLLPETARGVVG NGSLRPPPYSRLLDLPGSTLKTSTSSSESTPMKRKRSFPNPLKSLTLLLSKDNAAVAF AGAFLYTTFCCISASLGTLFVNIYQLNELQAGLIYLPFGIGCSLAAITSGKLIDRDYR IMAKLHGLSIDRVRGDDLRSFPIEMARLRSVFVPLVVSVVSVIGFGWAVEKVAPLAVP LVIQFISGLAIQTCFNATNTLRVDINPRAPAAAQASSNFVRCTLAAIAVAFLQDIIDR IGVGPTFTFFGCLCSLSGVLFVIERKWGMKWRLQRLEFT LY89DRAFT_684459 MVLHKSLLTTLLTTSICVSAFGLALALFLEDPFDVLSGTAAYAA ALVVFVGTSGAGS LY89DRAFT_696850 MRPRTLILPLVLLTLLLIAILRLVGFIHLFFTRAGAGALTQEQI RDAHLASLGAHDGRKMLIPKIVHQVFHDWGHVGEGREGNETMPEDWEEVRRGCVERNG GWEFMLWTEIKSREFIRENYPWFLDTYDGYRFPVQRVDTVRYFLLRHYGGIYLDLDNG CLTNLEPLLYYPAWLTDGGRGALSNNILASTPMHPFWVLLTDSLIPYNYNYLFPYITI SYASGQWFETAIWERYHGSKKTMKEEEKVYRIMMDDRVQDRVGEGKWVFFTQERGGTW VNWDNRLFLWVGDHLVLLAVGGVVLGGGGCWMNFLSVVDERIAEGWYILKSVCLLTYR TFKKQAPESTQLQLNTYRI LY89DRAFT_684460 MSTTTTESDWDDAPLLHAIIIPNYKEDMDTLRETLDILGSHTQA PSSYEIYLAMEQGEAGCEAKAAILIKEYTKHFRSIEFTAHPRDIPGEAQGKSSNLSWA ARYINRKYSDEVLKRNVLITVIDSDSHLSSKYFTLINGMHFAYPETADSTMYVPPIIF DRNAHVVPRLVRVADLLWAGAGLSGHYASSSICPPTSVYSLPLSLVDRAGGWDAGADA IGEDLHMYVKCFFALNGNLTTRSVFSPASHSNVYTNGKGVRGFYNDIMARYKQALRHM WGALDSGFVLKSTINMWWSRRKDSNKPKWGSTIILLHRMYEAHFLPIHITLLILGSGF YTLSTLSTQIPRLLLQTLDITGWMRLVSALNFIYFFFLYESYHYTCVKGREEEMLRAN LADRMVDSFSYRRFRTTGLDFCLFPVAGILFGTVPAAVALCCQFWSLGLVYKVSKKPQ RMAGPAP LY89DRAFT_684461 MRVKFLISLLAAAAGLSVLAIFVYTQRLGSQIYEPDNFFLTPFP SLVDPDSAPDLFHLPLRTSGRNIVNSRGERFKLSSVNWYGGSDLLFVPGGLDVQHRDD IARTIRSLGFNSVRLPYSDEMVMDNPMISPDLLLANEDLMGLRALDIYIAVAESLAEA GVAVIINNHITQATWCCGINLCDTAWYNNHLGPACRVSQTEEQWIKNWEMVMAAFINV PLVIGADLRNEPRGLWGTMPWKKWASAAERAGNRVLALRDDWLIFVEGVSSSNDLSDV KTRPIVLDIPDRVVYSAHVYSWSGWGSLEGMYAKRPFPSFVKSMNENWGYLLEHDIAP VWVGEFGGPHEPGAGDLHYWRNLMRYLKMVDADFGYWALNPRKPANYDNETYGLLEDD WETPILDYRLRDMIELGRQEKVPVYG LY89DRAFT_53039 MLGLTCDNQLFFLSFLLSFFFAVFLFCCLSFFLSFSIFLRFISS GSGNDHPLYFLRSLVGKLEVFLFLRVGNRFTRVMFVHHTRNPLPRKRDFYLFLCSIIY FSFAFCFLSFSFFFFFFFFFCSSFFFLPFLSKSNVSR LY89DRAFT_684462 MSSDEKEPLINKNQSLQDYYASLESRIGYRFVLGGTRHFGFYTR GTYWPFPIGKALRAMEDNLIGTLDLEKGSKVLDAGCGAGYVAIHLAEEGYRVHGIDVV DHHIVKARRNVKTQGLEGTITVTKGDYHHLDAFADDSFDGAYTMETFVHATEPEAAAA EFFRVIRPGGSIAMYEYDHLDFSTQPEEVGDSWTAINKHAAMPAYDRFQQGVLKGILE EVGFEDVEVKDLSDNVLPMLRLFYILAFIPYLIIAFLGLKSYFINTVAGYEGYVYRDA ARYISVSGKKPLAAGEVEPSEEKKLR LY89DRAFT_684463 MLAYSRPILILLAFQVHGFYAVPIPNATCTLPPSSNSSLSLTQG WTPSASGRGTIDIVWSCAVTMFLCSWSVLCLQVPSEKDTRFDILWRRCWLTFLCALGP EFTLQLAMGQWSSARQSVKDFHASGFTEWTMKHAFYANSGGFKLRTPDDFTIPIDAKQ LLYLINHNYIAYPTLSVQDIEDKNKVDLLLRLISNAQTIWFCITVVARGAEGLVITGM ELTTAAFILCSFGTSFCWWNKGADVAVSVKLETTSTMVQIVKDAGDAAAAPYHRTPLD FISREEWHWSLYWTHWINILRVMHINFAPRALPHDRLENTIWLNITARGAFPAFVVMC LAYTAIFVAAWNAYFPTYAEQIIWRISSLSLIATVVLYFVITAFAWVVCPALHRYFHP KATSRLEVSPQSSSSENKGKPAPIHQLQTPPFKRLKPRSRLSAIAASLRNNSVLKDPL LDVPLKATLPIYVVAFFYCSSRTLILILDMIQLRSLPTSAFDTVNWGAFLPHFS LY89DRAFT_746956 MKIIDPSPSAQARRQAERRLKQEYLLDQKIIALRKELVEVLGIQ TPLEQILPPQLKTQDKHESVMFLRLMIMDALQNKLVHMQSLKLIPPPSPTFTCFSNLP PELRLKIWDYTLENHCHERIHSVDVSEKDRNEFMAGDYDAENPQHLIKVISNQLIHPT LHVCHESRMQYLYRTGASFSSHLATYINFNTDVIYIPNNDFAAEIFRKLVQDSRRCPN QLQRIAMPAQLYCNLPMDDGERLETVHFDMRDAMPAWRETLIVFADDVFMNLWSETKW KIVELTAKQKRLRVREAMQGNMSGY LY89DRAFT_746958 MHLIRSVITVVILAAKQSLAQEPFDQYCYLDIQNADFSFYSTNY NLMGWAPEIYAGGNFAWQAGDGSEPLGIDGTRGGRMVFNLIQEQGWGTMTMNAFFSDY LYAVPRGTQQILSWWVMFDAATSAHDLSNCQVGMSDGNDDASIVPTLETAYQWTQYTF SGPDAVADGQTQEMIIRVTCQANVVAYGGPVAWKVDEPGCPPGAKILNAAAASSMIIP TTASSTAAVCQVPDSTVYIAQTRTVTMAPEVITSYIYASMSTAWVTLNTCSSSAVIST NSAASSSFSSAPTSSFSSNASASAAASSSFSAAPTSSFASASSTDLCPSYNFTTTIVS WLPAATVTTWKSEDEVLASVYASLVLLGSRALHAHFHDFPSCDNGMSQENSGEQAAAE YLLAVLRFGFLIK LY89DRAFT_733266 MSEKPMPDRLIEAILSAERPKTPRKVSFAKPQVYFRSISFQSPF YRPERVDSDTTISKTSRRRHSTPPGPIPQGIYWPSPMMMIGLFCIGIVISAVHHVYYS FLDRRRVGDDQEQQRAIRFGSTFAHMVQTTLVASVGYSFTQTLWKTLRKKSVSIRALD AAFGADRSPWALCNREMLRKLRIVSVLGLISQCMPLPSLITPATLFVMPNVQYSWTVE LVPTVNMFGSQWSQFAYSVNDTATSPLLFLGPRTIISRLTTATAAQGAILDIVAPSPN SSYSLHFYGPVVRCQDANLSTAIIIDTLRDEFVSNYTGNTIEYSNNYFAFVPDFSNLA NKTSASGVQIVAQTRLQMPSNASNELWMVFSRYAWDSTGNSSIVDHYTTCKLYNASYS VCLSFMNGMQSMNLTNITMLNTVDYPSNNDSITAAVQQQHAYSAVFWAMSDLIVGSMG MFRQYLDDGISTTFPEITTELERTSLLGSSDLDVFFDGTTPLDGNQSSSDQRQADIAL AKNRTLAALIEELSSNITISFLSSDLLSPPAQTNITHTTQINLYAYHSRNLLFAYSFA ITFALLAILIGAYSYYQNGYSHSKNFSAILAATRDQDLAELFFADIMGRLPLHADVER AQLRFGSMVGGGLGFREEPPRRSVSVGSVGVGVEHEVA LY89DRAFT_718116 MAEAQGSRTSRLSAKARNDQKWETHKDNIRSVYMDMDHTLKDTM QIISHTYQFKASERKWKEKLKSWKFEKNVSSAAMGILIAKSEKRKREEGKETQFFHGG AEIKKMRLESFKKRRVVDFLDAVSPTAETPVGITYCTPGPETPRIPTALENFRDKSLE PLDDMLPTTRLTVAHLSDSESTSEVEVQTFLPDPSGGRGERNMLIQTIAGSSMLWNCP ERGLHTIDEESVLGLEEEDLAPSIVSDLIANHIDGARMDRKNRQIKSAAVKYLGSIIL AIERQCCSTEALLEYISEFLEFLEAGPGACVTELQELDERPEFELSKYSDVCLLYHEA LTLLREEFERTSEVVARICLLMANLETLYPEMSHHAETLYGVAIEGYQKMQKFDDLLQ CQVSLADVLLNLNRSSDAYELLARECGRYLHDHVLFRDGTSPTTLYNLTSLSSGVGVR SSIVRIKRILSDKKRISRRNFERNEDLDVRMIHEIAVLGGILSTKASQSDSVLYLEAL DPLWSAVFNKLSFLDDVEFASLKAFVYIQRSNYLCNGFNLFRHLNCLDDIRVAYKYLA TGGLFQLALRDQFICHVDSLRQRAMNIPHLRTLDMMDAFSIGRLADLSESPRDSERPR DLVSDLEVGRLSTCSFNPWGGDAAPADNAWMKEYGVRFSDARKIGLVFTD LY89DRAFT_718117 MWLSQFQPGPGFCISARIKRIGLQMLNLGAWYLYSHGNTLQNHG AYNLLIFGLMFFPSNLMFGLLYVWFELASSQERKTKSQKRFWKQFLGAVAIFGVLFFH LSNAKREQLKYGFFGDEIPCSAKERKSGSCDSCQWQETTPWFDLLPFRQNIFTGPMTC PADESFSARFEEDRLIVEGCENTQTEIKSYFNDSVIARNNGPIYSLLPRTETWGLAMK NDSLNRPYNGFQDTVLQAVELNTFPYFQETKISASIDDILVHCGTALPKLVYRIQPSF KNNTTSTPNNSSTEEDKRLNIIILFIDAMSRAHFHRRLPLTQHALELLTGSPSSTSTL YPLTRYHSIGINTTPNTRALWAGLPTSSLTTSFLPIWEQFQSHGYTSARVDPMCQDWT AYYDAGTFPSSSPSSSFISQKIAHEHIAFSCLPPHLPIGKDNAGNFAGPASIKARCFS DTHIGHHVLDWTESFISSYQSPSPRTPYFLNAAFMEAHEGSSEVLRTLDARLATFFSP TTSAVNWNSTAVVMVSDHGALMGLNNAFFENGRVEAKNPFAAFVLPDWFMGQEDREDR FRGAVGRLVTPFDVYETVRGIGGVRGGGERSGGGVDLLRDGVGDRSCGEAGIAEEFCR CR LY89DRAFT_668744 MSVGNAGRGSLFNEAMNAVQNQGGHLCNGAEHGLGLLGCGIWQW GHHSSQVVHTVTTTIFTQSVSRTAASASGPVTSLLSSGRVSSTSRAIPSASSISSLFS STIASIPRPAQSTSILSKSGSATLSEASITSLRPTTSTSIPARPTSNSLETFLSSLLI PLSSTESAKGLSKTSLITSQLSNSPTTRESAAPTISSTPSSASLAFVTALSITAPYPS TLAPFFPSQTSPSADTNPLAAVLPQGLGPESASGQQASREGGIIAGLLLGVLVLASLV VAFIYTRYRRSREHRRSIGSSSLNGDYSDDRAPILGFQRKASSSGTLTGSLRTKNKSY SRTASRRDTAPPATSTMGYGMSGALESYPYPSDYERPVTLPAPPAAFAPLRANRSSSV YSGSILNALSDGGGGATPTTPARNPRRGVSLNFGLEGKDEVKRESWRGRGRGEDGDQW PRTIEDFKRTRNLGNSMRRGRPVSEGEKTVYTVVAPDFGDEKEEKEVEVKVYREGWGE SNPFADKETGIVKIGLRSDSGYGGSEKRESEKGYGYGYGYGGWKDEVVVDAVSVFSEE EERYEEMRRGYKGRIRFGMGVQRRLTAESRFRDEMV LY89DRAFT_584509 MTSYLARLSPVPTFPEYTGPHEVGTVDIELPAAELDAVSPSPDE NLSTIQYRVFYPCEPDSKLKTVSWLPTPQREYVSAYTRFLGAGSLLADFISFFPRHLH SIAIPVRKNAPILKPTTENKKWPVMFFSHGLGGNRNAYSHLCGSIASHGMIVIAPEHR DGSAPISYIRDVPSNNSLSEKSAARKAKRTIGYTRYSHTPSPEVEAGRNTQLKIRLWE LGLIHDSVLKLDLGEQLKNLNTSFSSLEQFKGMLDVHTPGKTSFAGHSFGAATVAQFV KSIFYSPRNHEAPAEYECLFSPSSRSPLISQITPHTPIILLDIWCLPLRAESTRWLWD QPFPCYAPEGPGGSALLAVESQAFYNWRVHLKATKRLLSPDPSSSNYGFGTVESKQKW AEPNFFYAISSAHLSQSDFGLLFPRLTKHVFGSQEPERVMKLNVRAVLQLVRSNGIPI SSTSAQDMEMLDGKEGIETSSDEKIFGRKEEVRGWSWISTDVEDLKDVDDEAEAKGDV ENTKVKEPSQVVVGNEIMKQQDGTAERL LY89DRAFT_707001 MQRSPEIKDNTAAPKTRANPGDILSLLLLIGGDIVQKAIAQMQP SRALITIPITPVAFSFGWVAFGFSQLLSAVGDRRLLPAPEDAAIIVNCANSFQRENKS WLLDRLLRDHEIRNHIDEDKDSIKISIFELGPLRTPKPDHVWMLGWATMLAEIGIAIP PWVLYGDWGVMMIVLAGTVLAMATCSLAQWREEKWAGRLLDHNNVICLTRGNGHKHVM VLLGRAGSPDIEAFATARGAARHETPVVTAILAALWVCLLLSVSGLKDHAWYLIGAGG LGMLQNVYAAGAARSSSTTGLELKDFKRMPSITAKSQGFKDDPDSNVWLENGADPKNM PKWVESMKKVDGVPEWLEPVQEPQVILRVHGALKELEKWVPGAGLAMTQVFFPSHLKY EDESVRDNVNKKIWRRAWHTNRVRRHAEQARRKVEGITKPKTV LY89DRAFT_53208 MSLIAKTQPAYFVIYAHDTKSQSADSRVVRDIIRYMKLMDSPTR SDRVAILRSEDPESEARDDILANQFCLLPEQIATNPVKKVLLFYSRVLRDYCTCVDGS TYVKDLKDTALNEIQRLRSNDTNTIILNTQRVLDIQTAIRAAVNRHTRKPWFHHVLTE IALLDLRVLLSKQPLKVIPVDLQSTDTVLEDLSFLNPTQHYVVPPPPIVVKVDAVEQT HKLLFNLLERIHGQLPPVALWLQELYTRGIEKLEENRSQADVVFRDALWLDIRAELWR AHIGGLRQTPWSQRQTERGDQEWDIPFFNLEPAKPIITPPPQVIPELLVPPTEEQKRI LQTLLYYEMDRRIHEIEDPFPNTLEWLFHHTSYSKWLSEGSGMLGIRGGPGTGKSTAI KAMIQREQSKSEPSHLLLYFFFHGRGGERSKNRVGMYQTLLFQLLNKVPSAGINYWQW AKEIGPEHLSMPSNADTLREMFLDALFDVCTLARVRVFLDALDEAGDEEAREVIADLR RISTKVGLISRGLSICFACRYYPTIVVDNGLEIKLEDYNKEDIGLFIQSSLKKLSASS FNGDEEKLDRLVTAIDERSSGFFLWAKLAVGVVKRSIEEERGIRNILQRITEQPAPIQ DLYSHMLAQTLQSEGGRNMTLALIRWIRFSFRPMTLEELRYALSWDQSLDLQEDAPSK LCQPTVDSDTSMLRILEQHLKSFTQVEEVVDANGQVKPTVQFIHHTVYEFFSDPRFLH DLASTSTSSSWFGQNHDMMAQTCYNFIKSNYNSWFELGRNEIQKLPFCDYAAQFCFVH AEQAASYGISQDFLLEQLGHKAERDALMQWAKVYGAENLAERSLSHFPTWHQIAKAFK LGG LY89DRAFT_684469 MQEIPFSGGSSNRYQTLVERTSVGIPTFAPLHKFLLSEASDNIT CKISLIDFDEDHHTGEEQGQHPNTQKKEKTIEPQTIKPESLEDLLKQPATHRLLLVEN LTRNVIILLGTYWNVPPDFFLAHLENSNWYSLQNIPQILPGLSSIQSFDGYVRFQFIG PREFEIEGSAGPQAPGASGDHNLLPDRIHEDCATASVPRVAGGFTPFPPPNTDPDDDC ETKMNPVAFVRNSVTIWFDQEGEKWRRGIVLFDPAFAAKDDRLNKKENSKYRSFIRRR PPLEGYSKDDIDQRSSYKESFQKCLQHNKQVREAVGVPRPFVLLEDLCRIIASEWLVF NTYIERELNNIESWFEELGENCNEKQLHDLLLQLMRARRRVTKYDTLVSDQLDLCPAH WKHDSAQIRASCPVSGASATSFSSPLHDFHQVSSHLTHNKTRISQSIKMVTSLMAVRL NNLVAQQNKVIASQSSTLVKQNRNLTKQTETMARQNRLAEARNSSLAFLTGVTSFLLP FTTIAAFMAIPTENGLGPGSERQWVYWVSSSLLASGLVLAFYFDYRRETKKANHTGDD K LY89DRAFT_707002 MANLVVPKGILLSRNPFEAGLEIETASRPIDHVQRSADGELRRR GRGATINMGALARTRETGQQFPSANTMPIAARSPASRVTEDSVDPLQMPSPTTARKTS LYSLYEKAKYKQERIRRSPWVQTLFEYSIYVLIVAFIYLVLVGLPLWKGAVYWLYWVV DHKFVISGGFAITVRLAAIYAFLPPFVFFDKDPLPVPTTTGDPPPTDVALLIPCYKSG KIIGATLEAALKIFPRQNIFVIANGNSPTPLDNTGDVCASYGVSHTWSPLGSEIIAQF VGCHVSKRFPHILLIDDDCLLPPTFPLGTEKFSERIKCIGYTITSVGPNCSKGTLVQQ AQDLEYKLSGLQRQFSGLVGSATLPHGAISMWDREFLLQTTLGSRIVMNSEVFVETET RAAIFWSGGGGERGGFGEMTVWKQRFERWNFFFVNGLYWNMRYIPFSWKLGFWEIGAK IFVFQEVYETLLHLLTPFVLPISFVVRPAFCGYLLAGTLVLYFANTLIFNLIHLRRKV KVRPDGTITTQALSTKALCFYLPYKCVLTVVNVASCYWSIFMCARYFAKRHPKVVEDS KVVEVVLNLDGNLKENGLCKCPTLPSSMNVDQ LY89DRAFT_696859 MPLRRACDPAYPFHTKLTTIRDLNVTEDDLLQAREHAKDLSLEE TREMMIKVHRIHEKDPNFPVIILDKVNAFINNQDVFDHPENYADLIYEIKTEAALITN NSPYAEVRAVVDNTDDVNMPCSTIRAWVIGLMFVVLIAFINQLFSIRQPQISVTANVA QLLCYPVGKAAEAVLPDWGFTLFGTRHSLNPGKFSTKEHMLITIMASVGYATPYTDNI IWSQYLPQYFNQGYAGHFGYQILIALSTNFIGYGVAGLCRRFLVYPAYCVWPASLVTI ALNDAFHTEKNIPVPGPFKKFFTTSRLRFFCYSYSAMFIYFWFPDYIFQALSIFNWMS WIAPNNVNLNTVTGMNNGVGINPFPTFDWNILLWDSPPQDPLMVPFFNTANKFVGCFL SAFVILGIWYSNAFNTGYLPLNSNRVFDHFGELYNVSKAINAKGLFDAEKYEAYSPAN LSAGYSTLYMFFFATYTATITYAYLYHRHEIIMGFRNLYNSFRKDKDIAYEYTDVHNR LMSAYPEVPEWWFMICLLCAIGMGCAAIAGWETYTTVGVVFYGIVMCLIFVIPIGIIK AVTGIEVTLNVLAEFIGGSWVAGNALAMNYFKSYGYVTCAHALRFANDLKLAHYIKIP PRQTFWAQMIATIVSSLVCTGVLNFQMNQIEGVCTPTQKNHYTCPGINQFFTAAVLWG TIGPKKVFGKGGQYTVLLVGFPLGFVLPFFIYWAQKKFPKQTWLRQTHIVAMMYGAIA WAPYNVSYLWPGVPIGWLSMIYMKKRFLAFWSKYNYVLSASFSSAIAIAAIIIFFALQ WTEITLDWWGNDVSFQGCEGTPCVLHTLAPGEYFGPRIGEFH LY89DRAFT_53333 MAYPPPADNTRFEPFNITNHPYKVVNGQEIDLNVLIPKNIHTGK RPIIIHFHGGFLISGDAMFPDWAASWALNYQLQHSAIRISANYRLLPESNGLEILSDV RDLLDWVQNDLAAYLKRIGSDITPDLDQVIVYGESAGGYISLLSGLMKPQFIKAVIAA YPMTYIDSDWYSKASTDKSPFGTPQLPRKILDDHIAAIKPGSIVTKATPPARLPLGMV ALQQGLFGELIGSDESLRLEKVLVKKSAGEKMPYLYIFHGKGDSAVPCEQSEQFLKEW KAKFGEDSAIGEFQEGEHGFDGESSLEDEWMQRGLTGVTKAWIG LY89DRAFT_53279 MQKSSGERGFAKQLLTPWLGLALGAQRPRKVSLAAYMTNNPLRI QHFARQLDLRPIADICISEESRRGPQTYLGNCRVRQC LY89DRAFT_53228 MSILKRRILPILNAQISYKLPRTSSYATMALPPFKVNALPRSLY PSSISYSPQDFRRQDEGSDSDWYSQPRFVQHIDDGAIAMLKSYYGSIIKPNNSVLDIC SSWVSHLPDNINPQSMIGIGMNKMELERNGHLTKFFVKDLNTNPNIPEVPNESVDVVI CNVSVDYLTQPISIFKETHRVLRDNGSAHMAFSNRCFPTKVIGKWMGMSDEERRRWVG GYFWASGGWKEVEEVILKEGKGGFWGGYEDPLFVVRARKAVD LY89DRAFT_53229 MVSTMWNLFTFISFSILASIASAQNDECSWTSLGCFTHESDTPV LRYAVVPSTTSDTNFENCEAACAAQNYPVSGVEDGFNCWCDVAVQGGATPKSASYCNS PCYGDPSEICGGYTFLSVYENTCIAPSSSIPASTPSSTWTWGWTISLPSSSASSYASS RNTACLTTSSALSLSGPATSVPGSSIPGTTFTAPIGYTTSTVTETLIYTISSCQATVK SCPYGSTTTETRSLATVLPVTPTALAPPLTKTQSTQYASLSQTKTHTSPLTSSGSSST VASSAPAGLPGTNTTGSAQPKASPSSVQIGVGSIVRLGSSDVLVWGLLSVAFAFMLL LY89DRAFT_781634 MPQLVRVENFAPVKRSAENRADQVIYQSKNSKDKTSFVAYSSPD SPHAAQLAQIALAVQQSDKQEKRRAAPRRGFLSAPISYFQKQIGIVPAPEQPRRLLAS GDTYQATTQTAYSYQEVEETDEDWDSTASTQVPETQQQFPSQDDDYETPATAAPVVTT LTYAQQPKVTRLHTEDRISYAVRGANGKLYVSAPTGSSEAQMFDEVARLGSEEPQKQQ KERRLVADRRRHRERSRRSSR LY89DRAFT_781635 MLEIAGLVVAVVSAIFAATGHGSILGRLWHALKSRFGHMCRSIN FLHGLGHQRLSNDLHHMFNSDIGLRSAVQQHYVGNVYVQNPRIADGYDQYDEYDEY LY89DRAFT_696862 MNESESLPTPPVSVGTGTKREASPTRSSTGELTDAGPDTPSYGG ASPPPSTSTPSAFAALNGSAPPPAKKQKLTFQEAELKRINKEIKDRERAEEKAKKDAE RQALVEEKARKTAEREAEKKRKEVEKEEKRVALEEKRVAQEAEKAAKEEKRKKKEEEK QKADEEKRKKERSQMKLNNFFAIPAQPRPRSTSTESRGRSSMSPAPQSSIASLLTASA PSPSKLTPSKPQLSAYKKLFPDFFVQTGVTLAPANRFERDEEATEAIQATIDSYILGD RSPDRQRSFDATDLFHLSHDDMALRGRYHMPVREIMTEFYSGKASRPIDLTTDSQNSQ IKRTTDILKAVPMKFLHFQEDVRPPYRGTYTARPVNGMAKLARNPLRRDLPETNYDYD SEAEWIEDEDAEDLKSEGEEEDEVDEDEDMEGFLDDDGDDTSNSRRLVLQGDLEPIST GLCWEDRTKRTTNVKMVAYRMEMILDPTIKSIDPFSTSYWDPPQITSMDPPRIPLNSM RNTSLNFNGQPITKLVGSFFSTASDVLKSSLLPANVPQPAQARTNSKGKTKDGKPQDL LPPDKMEAFRREIQDGCELTKVGMLEVLKTKFRGHTAACIKATLDAVAKRAPGKNAKW VLLENVESTA LY89DRAFT_781637 MMADIPHRKPMPVGYQGPQPSNLYSHSRTRTTSSNTFPAPIYPP QPQQLYPSNMQVQSYTSRRTPSTATFSTASSGNGPVPFRQSSQDLRRSISSRSGGLSQ PTGYVALMRKQKATVWCDRAQHEDPRLLAQQKAAKVRATMEVIGGPTASGTRISSSSS GNLAGSNRVTAKIRHHGKAGLVGYSPGDLVGGVGGVPMRLSASEVEGGDSDDDADSGN MPHTGPYHRRTGSGRSSVGSGGRRGLTYSRQSGASSIHGRWSSGNTPPSERQDSMEDV PEAGETPVPGTHGQSKDYFTADGGADGTRSVGSGSSGERADNVAELGTNDAARLAANS LMKATITREKSVKNPEELRRRGSVDERTMTMSAGRLYIANPDADSD LY89DRAFT_684481 MFRGFDDVPGSLPPDEIPADIDLASIPFPHFAENALNNLLSATV SSSALWRDHLSLTGQVRTFYNGERIKEQWSHYSQQRSPTNFKATEASISRPTPDSSWV DVLFTFVTEQEGGLIGNCSGIISFLPSSSSETGWEVWMLRTILENFEGHGHPDDPSPI FSSPSSTENAKTSVLIIGAGQSGLSLAGRLGALNIPYILLEKASSIGYSWTGKYDTVR QHTIREMNNLPFDRTYKASDPTLLPAKIVAEGFQNYVKKYRINIWLDAQVEQCEQKTG GVGWEVRVRRGGEVVEVEARHMALSTGTGVSVPNPPTIENADAFQGTILDIGSFKESS RWKGKKGIVVGSATGAHDVAQDMLDNALSSITMIQRSPTPVFPVEWVVAGQEGIFNLS TPPEKADRQDGTMPLKISREVMRVAASFIDPARFDALERVGFRVDRSIVLNDWIILRG GGYYIDIGTSSLIAAGAIAVRSGDPIKRFLPHGLEFASGDVVEADIVVFATGYQKDPR KQAATVVGAEVAGEMRLPRGLDEEGELERYLLPVGKGLWLLRGAVNAARWNSRFVALQ IQAEIMGKPFSDCRWGEDVKSGKL LY89DRAFT_684484 MPSEIHSRLITANHILHHHGVLDGYGGVSVRSIGNPNNFFLADE CPPAMVSSMDDITEFRVDDGAPTNPDIKLGWSERYIHSELYKRFPSIEGIVHSRSPDV IPYTVNGVPLKPVTHTAGFLGLYTPVWDINSTHSVLSSGTMHDMHIRDNTIGASFAAT FSKSSSTAGAIYNKASSLVMGSSPQGALIPDHTVVLMRGHGMTVIGASLEEAVFKAIY AQDSAKAQTTALLTSVASSGGKVEGKVDVEGGGNIKGGKVKMNGETQFLSKEESVETW KVIKKSVPRLWKGWEWEVDHLPMYINKERA LY89DRAFT_684485 MALRSAWTWPIQQVKDGAKAPAFVAIASSESADTQESLKEEKDD QNDRTPSTRLSKLATALRLGSVYLLLQEIGGAQHIEEKKIAIIASLRSASLVSILHIV PTSASIILIIFNLKGYYIGSELQGPIGEDGLRFLGLQFAAKMLELLAMASLSVILFAI LRAQLIWYSLPFGTLTAGFDFTNLSLLWSKEFFATCRSTHFSSPKIKAFLIGTIVTFT MLGATIGPSAATASRPVLQAWPAGGTEFWLNATSQDLWPLALNNMELSGLPCDSSTSH SCFSLNYNVLADGQLSLWPTTSFGSADNNSLPNVIPEKALIAGRHSMRTMATRFRGPF IYHPELTIATVPSAAISDALSQISRYWFMANQHQCLAGNAGYCFYQDIVHTIVTTQPI IYVQCAASSINATVQFPRLDQGSGNYPLISFTNTTIGSQPWFDLATGNGTNPSLSWLN LPEEDFGRTSIGAVVALPGTYSPEIPGQVLACTVDARWANATTTISFLGGPMITSGEP DNWFQGGQSALNSSGLPLWPQVKIAPAWADAINPVIAQPSTSVFAMLCNSVGRLNSIP IAELSLNAVEAVLAVMVTDGLSRTSSDATILGSLKGIHDSYPNEEWMAEFLPKRSVFG KGGSAFDYVYQLGDHSTKFEATSTVLGYGYGITTATVLSTIVLLIYSLIAVIYVIYSI IFTKTTSSSWESIMEMVALAANSQPSNALHNSGAGIGSLTTLKQEVAIRVIDDRLQMA FKDITSENRVESNVKYG LY89DRAFT_733287 MKFQSLAIAAFICTVAATPVPNRLEARQTDESDELVNGACKAVT FIFARGSTESGNMGTIVGPQVCSDLKTTLGADVVACQGVGSPYDATLADNFLPQNTSP TDIGAATTLFDLANSKCPDTKIVAGGYSQGTAVMDGSIQALPAAIMSQVKGVVLFGFT RNAQDGGRIPNYPTSQTKVYCAVGDLVCDDSLVITAAHLTYGVDAPDAASFLSGLVQ LY89DRAFT_684487 MNRSLEVAWALVAFPLIIFFFNYISKRWRRYLLASSRGCKPAPR HKQKGPFGLDFFIKSMKASLDHRRMEFTRDLFNKYDRTWQVTNFGKEFIFTIDPMNIQ WVMVHDFESWGLEPLRLPAVAPYMGPGVFTTDGQFWAHARAQVKPILGKAQFSDLSRL EYHFQNFLPLLPRDGSAVDVQPLLERLGTDIVTEFILGESVGTLTENSSVDHKKFLGA LSDADAGVGKRFLLGKLRFFLRDKNFDKACQIAHKYVDERIDAYFNTVATRKSSSQEP QPLVLLNELAKETDDRKLIRSQILNILQAAEDGAAIIISNTLFLLSRHPDVQRTLREE ISTINAQIPTFEVLKSMKYLRKVINESLRVLPLAPNNSRVALKDTILPRGGGPHGKDP VFVEKGMIYGTNSYILHRDKETWGEDADDFKPERWETQRHGWHYQAFGGGPRTCPGQG LVLTQISYTLIRLFQEFKAIESRDERPWLESIKLTMCNGNGVILSLR LY89DRAFT_684488 MIVNARGKASYRWLLTDAERKHIAALLDIQVGDLAIRGTTMNRE RQICKVCGKASGLDDIVKDSLDSGTHTKEYVINALRLGPKHETTSLYDIYCSDCGEKH VYKAGWAVYDFSWLY LY89DRAFT_644923 MFGRKSRKPPKATVLVPLYIYPDPLAWDPLFAAVANNSAVNFTV IINPNSGPGVPGLDANYTRDIPRLNAYPNVLTVGYVSTNYTNRDLSLIFDDIDTYVSW SQNVSTKGLGMRGIFLDETPNQWTPAAGQFYDSIATVIRSSTGLGADPLIIHNPGSIP DPQFLTSSSANVTVVFEGSYTSYQQYSSSKSIGAVQKSAKVKREQLAAIVYDFPDGED TKGFLEDLRRRVGNLFITGDGVDGDVYAGWWDEWEGWVGNMSTL LY89DRAFT_707012 MSPSWISTRHVDPVKEGNATGTPPSSPICVFLLVVTIGLGVGLG VGLTRSHEQDGSASTEPSPPLGNITNATTGTFWKPTAGTPWQIVLEYALNDTSIDVPV YDIDLFTNPASTIDSLHAMNRSVICYFSAGSYEDFRPDSGNFTKDDYAKPLDGWPGEW WLNTNSSNVRNIMRARIALAASKGCDGVDPDNVDGYDNDTGLDLTEDTAINYLTFLAV EAHSRNMSIGLKNAGGIVNATLDMLQWEVNEQCGQYDECEDFQQFLDAGKPVFRIEYP SSAPDISTTTLDGICNNTAAVGFSTVVKLMDLDNWVEYC LY89DRAFT_696869 MFSVLSLVGLLALCTPAVIAIPSHVVAPEHVGTNQQTVVRQAAP VVATKPATVNGITVQLNTTAAGAAISDITTPSAKNASSHTVSSTVLVLVRDSVSGYSA YSGLNAYAIPYQLVAVPQSSVTLPALNSTATHGNFGAIVVLSEVSYDYGGTLGFQSAL NTSQWDALYQYQVSFGVRMVRLDVYPSISSGTTSLGGCCDSGVEQYVIITDSDAFPTA GLKTGEGVSTLGLWHYPATITNTTIATEFAQFAPIDGFDDSSTAAVINKIDGRQQMVF FTSFATDWSPTSNFLQHAWIHWATRGLYTGYRRCTLNTQVDDMFLESDIYSPNGTTYQ ITPADLAQHVTWMEDINSRMPDGSDWFLDIGHNGNGNIEDAEDTDNDNLCNPGSIEYG DQIDTPLEFAKPLGTGTNLWPSTAEKYPFNASCTNLDALKLWWSDSDNLNAFAHVSHT FTHEDQDNATYFDCSREISWNQAWLTQVGIAAADRFSPKGIIPPAITGLHNGDALKAW LDNGISNVVGDNTRPVLMNSINEMWPLMSTVAENGYAGVQISPRWATNIYYNCQLPPC TVLEWINTSAGDGDWYTLLGIEKQTNTRHLLGLHHDAFMFHQANLNYLTAPDTTINGV TDQYSLLQAWMETVVQEMIRLVDWPIVSQKHDDMATGFATRMARDACAPQLTWKTNPT KQTITGVTLTTTGNTCAAEIPITVPGNVTSTKGFTTEQLGSDPLTIWVKMAGSPVGFT LTEAIPL LY89DRAFT_53649 MVYISGVHAEKWSQLHQHWWQLALVLLCFVSILLGFGVLAYKIV KNLYIFSTLKGLESHKLQARVSNHLQKYAASGSIKSSQKRVYVPPKSLSVYLGSFSNP VSLAQLTLLSRSDTIILDPQQQNVTVAFIALKEQLHTPRDIIGRLDLGPSRNWPTGLT GFETDQLFCLDRCVRFILKWYRDPKGASNCFTGVLLAGWEVFSRPVLHELSDVIGTFG LTVYLEISEPNFLEEPTILATDSIAGLVIRNGLIFANGERQDCFKMDSLSTTVKAFVS QACLRDFNVFLWETYDDNASPSNAVLQRTFAWCNFYSVVPWIGCRTSLMDLSTDPVLY EPMKAFTWLKDPEVVKLQDAWKNRNVVQTSGHYNFDSKRLNEIIPSLETAMNECSCNH PLAKGKSAVHFAIPKDANWFTSNISTGDAIGVSSTGEGYDALGCFPLGVEVSAEDFRD VVSVQNHLQSLGVLDMVSSLDLHKLGSVFANFYSANRLSSSTQPASSLVREAVLDLAT ILASSTHDDIGEIRLSLGLQSGFSRTAKRYFWAVYHVHPSRTLVDIYISKDVVDLPAA LLHTFLSSRGISRRECLAAETAFAVWNHSAHPTHEISPRLAQDLRALTPEDALALLQR LSFNPSHKIDPLINTMKSMITKSLLDIPTWNQLQTISSVKYLNGDITIGELLRSRLAW HCQAKNPHPNLGVAIALSMEIQEALVQALRHRDRNNIQTIVEAVGNMLEFSSTSAVGG LLALAFFCTMKKLAFEEVYFEVTDRNPLFNDQADQSAAFAELFALGSRCESYFDVTPS QFGELLSTKYRSHYSTHQPPMFRETQIALSSAYAEAQIDIDLNYRPTGMPAYKRFTFL NVFAIPALIDILLLTTTGHGLYLSGTNGRGYFFMTQVEQHSATTALMISLLLSGAIGT WITCGGIYYLASMAFSAMNYFVLTRLIGGFAFTLIVGLFGFIVFASTTSVYAGLVFFL YLVVLTSYLSLLAALANYQFPGSSFHSGRATIIFCVPILFVSPITTIFVPNHDITIYL SVLYSFVSLLMLGVMRVGANWVTWFQDIVLLTDEELRSWYMDTQDSSEAQRLQEMPDP AALKLAREKLLQVVLAERKRLFFLPNTKDLLVRKLAQSFDATEFLMSWHSRSTGSPRP MIFTSSWNLQTKVALSSLQKSQLGIRFHNGFLHWRQAGDEIGCTILYFIVALLDKWIS LIDGGDNVGLGNADVSMTMPVGFSLAYYLIGAVLLDYNAQALHEAASRKTEEPIHTDE DIPRAVQVKSDNRRTVYWRILASNLVWHIWALAVTTVLLWLFDDSDRDTGTIVYVSYV LAYTGLLWYQYTKVFSGPRALKPLLIGATAGLIIGFALDHHFPKWVYSDIIGLGTATW TAAVLSLWAGRIVGPSEDVPPPITSQQGTYHAYSGPGSDQAWSQAELQSLYEQLSELS EKERLKVEPQSEFGRQVNLILAQCRQTELSDLAKRAFPDAETLINTSGKLFNEKVLRV ALVSIDHFSEQGRTMRAISSREQDGSMVIFAACEAKRITQTQNPMESFYWDVAELIIQ VAAEKLFGYSTDDALLARILWLSNNGLWSDASGPAVLETHFRAHTLENISTLSKHLQK QLLRGLCFGIDVDTEFEELPEDIRQHIVNRCLGIPDRLTEEQKEAMNSKVNRTPGLDF DKYVARRDFAAFSYARMIDLVKERTIGDSSKPLGRRSEGQSQIVLEPPSPGATIKFID LLARAPPPKYSIYDHIVDYSGAAYHYVGKVCKYFSIALVADPEYQRELDCAFPHGKNP ANLLARFVVLAMWLWVKLFQRMFLPVFLFSRRKSITTIWKNIRGMEVSIIRRRVLVRS VNGVSTGFIHSNGDRTFQVRQFRGDLLSEPTNDIDLIAINTYTKGMRLVNRVETDHGK IINEYAYAYVDVGLQTNKQLSKSNFSMVPVSRKCTAGRNEFHDISYTKKGQIDSGSYT KDGNLIRFKYHYQQSDKYIGGLLRAEFVLPHLSCMVSWCAPPRKAAERLDTWIPHSQV IEATFVVGPDVWESRFIYDHKFDHPNILTTLNGRQKVDTPDFILHDHLDLLKKPEHVL FLDDNPLFGFKSISSNPIAKLFRTNTRRYPISTSQSRSLLWKTWKDNPKFDGVIVRWL DERLLRREPILKPYWRNRDFCNLQAAEAYLDSHRDTVTATVDLDNSISGWTPLAIKLK DLYSFGSGGDACSNTRSKAVAEDEDGKALHVIAIDTGTWPNEGGGVSACRSDVINNLR TIKWHMVAESANDFGLPKRQIERNVHSLKVVPLWGLDLLTPTHGLFSNRLESEIDHVA THITRLDIQRTFIPILAALVRGARALEFTMRDAKQVTRALVNLNAYFKDSKNWTAVWK SDVVKSAWRELWLSKSTANVRPSSQWLKTEHPTLGQLDQGLELWSRYLFIFSIPIPEK MPPVFQASHHSVSASYGIVCKIKRGCTLQIWDHAISWRETNLYLSSVLCPLSPFTRNS LLGLMRMTSVLTLHHADTILPCADFFNPGWEVEIGTCQGKIENRVAFRRKIDPVVNGI TNMERFAPVKDIKTKTPTVTMLSHVWYAKDIKTALLAADIIINEWGFKDYRLEIYGAL DKDPPYTANCQEIITTKSLRHQVSMMGEANPIHVLERTWVFLNSSISEGLPLALGEAA LTGAPVVCTDVGASLRVLTDPSDGSCYSAVVAPNDARALARAQISVLALLGEWNQYSD ATACSTSTTDFSFPESPAPDDITRITRRMYEQSNARRKLGMRAREIVQKSFSGERYLR EHEQMLWIGKSKRDMYLPVNERPSARTMSSVPGMIMNVDSNVALLRSNARKPSLQFTE QSTNTSSSMPSLAYEGSSLRPTSILTDRVPSGFGQVVFGDEKWVKKPQAAVTSGLIPG QLRRESRKIGSGSGDSMV LY89DRAFT_684491 MANPTMILQPCDAPTSTLTTASPTSTAIHLTTSQILHDEFWPYG GGVLIAFGVCVIIFGAIWLLDLTYQAKEFGLNLLVWVWGTWWTIVFWPFQMLAKVNEI LNRGLGRDRDGRIIVPRDMEEC LY89DRAFT_781649 MSTVPILFSFPSAASSEQRGIATSTASSALSEATLPNFVTVTIT ALSSHSTSIIALNTQTPTPSLSAYNSTSNSTSAASVYKDNKFSGADWATTIVIGLGGI ILCCMIPIVIAVYWKDWQAKRRERWGESSEDF LY89DRAFT_718144 MAPSAVENGHAAQEGTSSDVSSQLNIKTDKVNGHSPESAVPVNG NTKAEDISAKEEEPEEVTKVGMECGLKNLYQKEDDRGRHTWTDKYPDDLDEAAENEMT ARYAILVRNKKSFDSRKKLEIDSIVVQSPLLKNVLTKVLKDYPGVTPTLKRLIFQAPF NPFVHRWTEFTNALDQQEDEETKKHLELLYKTLEAELKDTIAAKNDYIKNKVITFEHL WTIFQPGATLYTEQWGRDCGSKFSNGNYFDHPKYGPCYGVNSQKVEWDGDRFGYASCQ HLILAFGGTMQISALDAFPLEFHPEQKKIQASLLKRGKLFEHYHGYHYKAYKAFAIGK DMCGRDIKVTVDSRVIIDTFAYGKFNPNSLPHLAPLKIKSKAAVSDEEDSEDEGSVYD SEDDDDLASHDSVAGKEIKRIPLSDQQLIHCSPLLKGYTLKTKRWLSFFVDSVSEIVW NSSAFANLVLPEDQKELILAFAESQVKYKDNFDDVISGKGKGIIMLLSGGPGIGKTLT AESVAENMRTPLYMMSAGDLGIKSSEVETSLTTILEMVAKWNAVLLLDECDVFLEARS AHDLERNKIVSIFLRTLEYYEGILFLTTNRVKNMDPAFQSRIHISMEYPGLDKVSRTQ VWKNFLERGVKHDLSEDDIAKLADVEINGRQIKNVLKTGQLLACHKGVPLQYSHLKTV LNVERQDLELA LY89DRAFT_718145 MPYASIKAAEQAALRGIVPDTDTQLCEGIQQDLLSSPANTPHHV ASANLDANNFEPVPEVELEDEHEEDEDEEECEDELQFDANHAKDHQIPGEAAQLISDF NSQREDANRLSVDDAGPESHDQVAQIQIRRDSKGKLRAFTISGEEVKTASFEGKYRAA VEAQTQEAAMEDLTQKSSMSLTQENPESAYQHFDLQQAIHEEFPSTATPDDGKTHGSI PNLPQDSIPSKQHASTRTESTAYTYQEQDTGHVKLDFEPGVDEDEMDNAMEDGMDDDM DSQSQDAYFAAPQLGMHFAAPSYDPQTPVAPVNPFSEKGSLLKGFEMFSATQPSSVAR HASPTSSRPSPDVYHDFTSPPKRQRTNSSPLTRLYEQDVETSPLQSSVRGLLMNTLSS DFPESTVPRTSGVQSFDMVRSNSLPEPRPYVSMKESQEKRKREDLGSNSDSDGSDSDG TFTRKRKRERESRIQRELSTVAIPPRRAPATVRPQSSASPAIEVPSTGRRRSIQEKYL AQCEGQDAQDTEQDTQPDDYIADSQGGPDQNASAQVHDNAKGHNVDLDMGQSLAVSDT PSRSPRRVGDAPGHPQSQNPASLPENGLHVDQQSQPQNPELANDAERSSPGPSLPLKE STGNRSNTRTPIAQKSNLLSDGMIPETSPPEERIRPMGEIASISFREIQIDMSDLPGF SQDPEFENVLRLGSPQSPIPRPRSFRQGMPSQEPLNVTVAPAQVPGQLPEVPTSSANV PSTLLNQGQPEVTKSSSPLTPVVSNEGMVEEEDAPSQNDNPVPENPIPELPQENISEK GDMNNENQNEQSQDQGDIEEDPPGKHDAAHELTADATESKSSTIDDGNQGDADIVQAA AEPSSPKQTGPRRKADLKAPARSLRSSEAKATTSKASARVTKTKSAKELDRSSASSTP TLTPAERSSTRSQAARAKASKQSTPAATGKRTLRRQPGVTLVEDDDTPMMSTRNAKRH VTAKLPREDSEDPLTSTPASTHKNGRSKKSGTTLFDKMAFAVSYVKNSEEKDTVTKYI VDNGARLLQDGFDALFDVIPKTASEDAQLCLSGPAESLGFTACIADEHSRKAKFIQAL ALGLPCISGRWVMACVAKMAIVDWAPYLLCAGQSSFLGNAVRSRILRPYSASDAELAD VFAARDKFLEGKSVLFVTGKGKTEDKRKAYVFLTRALGPAQVAQVVDYQEARKHLTES EAQNQFYDLLFVEKDESVADSVVFGAAAMMSKAGKRKRSVEPVELGMPPPKKIRVIGD ETVVQSLILGQLLEE LY89DRAFT_707016 MRVAIIGGGPSGLVTLKHLITAHEFFPGAKPFEAKLFEAESSIG GTFKHRDYEDAELVSSRQLTTFSDYRYTPESPDFLSTKDYCIYLEGYCKKFNLWPYIH LQTTVTGIHRGANGGHTIQYIAAGKSSEWHCDAVAICSGLHVTPNIPLLSGLERVPTV IHSSQFKRRKQFGEGKDVLIVGSGETGMDLGYLAITSKTNSVTLCHRDGFLCAPKRAP EPSWFGMKPTTTLQGNVPYDVGASSLFDTAYVHPLLQDSFLPWWYYDRFAKWTTWLVS GTKAGLDQWIGEISPERFHASKIFFNKSTKAMPYITSQYRRSSIGNTLRSYIAQVPLV PTHGRQIDLAPWPQSIDEKGIVHFTENSRSEAEVMRKKICKPDVLVLATGYTQSFPFL NSMYPMPKDADMRAIWKKGEESVAFIGFVRPSFGAIPPLAEMQAQLWVTSLLSRLPSP LKDTGHYKLHHRAGSRITYGVDHESYAYQLALDIGSAPSFMEILPMGWKMVLCWALSA QVNTKFRLVGPWKWDGAQNVMETEIWDTVSRRRGFFGHFTLSFLPMMLFGTLSAVLWV FEEVWKLIKWVWKVLMLN LY89DRAFT_781653 MLNSSCPIPALLHTCSRSRKLALKEWKLCFNASLSQPACPVQPR TFFDSKNDTLFLFNECELLDTFANHVRESERQLVENLALYLDHFDYASNLSNAIAAPE YPGYYGPLAAEIRAAFPCLKKLKIVVMGLYQFRHLWGNSEQRLGFLPMQNWGLGGMVE LGMRVDFDKVYRKHGWAFPEVKFVTVVGTEVERLLRSGGGVGRQQQR LY89DRAFT_615555 MPRIRLEDIQHNSEELLTHSGEAARKGVSWFWHGFTDWVLQDNI IEVAVGLILAAAFTTVVTSFVSDILLPPLSLLPFINRNMEEKFAVLRSGPNYNHTMAI GYNTQKQAVDDGAVVLAYGAFLNKMFNFVGVGLSLYLLASLYEFFSKDTVIKYQVKCP YCRKRISQKAKRCVNCTSWLDGREDK LY89DRAFT_644945 MRTRILLRISSVFTLCLLLYRLMHLTPQHLEPLPLPQYFTEVDF RFESRLIGAVSHIDTRFSPTKALSIDQVHHALINLLKSYFFIMKDLGFETWLAHGTLL GWHWGGRIFPWDTDIDVQMSPDVASPPHTIVTVLSHVDVLALLATDYNATVFQYLDEE EIHTYLLDINPHYLITSTQDLSNKIDARWIDIQSGKYIDITALRRRDLDPNVLYCKDG HEYMDEDIYPLQVSVFEGIDVKIPSKSEVVLVTEYGKNALTSKNHHWYIFDDYSQTWM PEQQNNG LY89DRAFT_781656 MPPNMTEDEIDDLLYFARTGDKEEFESLKNELCKRENVSVMKLL QTAKDEHSGNGVLHMAAANGHNALLSELCKALSVPSPQNPTMLSILNAQNKAGNTALH WAALNGHLESVKVLLEQGSDPTITNQRGHDAVYEAELNDKQTVVEWVLKEGGAGLEEG VAGDSGEGEAEMGEKDEDMDNMNEDEESKKVTEGLSQMDLQEGKGKS LY89DRAFT_718150 MSTKIDDSFELYDLRVEVVCPPGERILCGAKNGDCFTLQGEMLH LPPGQGFSIYSLSSVLPLLAAKQRKTHPNDWMQSDALVACPDPNCKSVLRIVRTGLRT FSHAETTIVGLEDNN LY89DRAFT_644951 MAISQETPNGTHEPAKAAHVNMMTDTIIANLPPDGLRSILRSLL GVDAKVTPAFQSLAAKYLEATKPTSIPVLFTTRDSKPSLDFYEFQKRYRCLMGCGKGF ESLRAISEVIQQVRKLDIDSTKLDDSSDHTFAVIDSDLVQAVTALQKQLSTSSGLRAL SESEQCIIQDLRKANDGCDANFARSGHSPPFGRGSSTLRTLLTQDEKKEPNGMNVHRG SRPYQYKSSASNIETMALGEAIVPRMFMGLWQFSSPAWGSASWSNIQKDFRKHADAGF VAYDMADHYGDAERTFGAFRLLQDDAENIYCATKWCVFETTTISPGVVKGAITKRLTT LQSNRVELLQFHWQDYKDKQYVEAAKLIEQDSRVDGLGLCNFDTDHMDEIIETGVKVV SNQVQFSLIDLRPTFKMAESCRKHNVKLLTYGSLCGGLLADRWLGKPAPNLFDPEMTP SSRKYFEMITIWGGWKLFQELLTILSTIGKKHKVSISVVAVRWVLEHEYVGAVIVGVR MGISEHVEENLKAFTFKLDEEDLGEVQKVLDKSKARDVFEAMGDCGSEYR LY89DRAFT_644955 MDLEFKSTFEPKHDASTYINEEAGSAEPSSPEQLKRNLGARHIN MIAIAGMIGTGLFLGSGATIAKAGPAGALMAYIFMGLVTAGVSYTTGEITAFMPVTGG FVRHATAFVEPALGAATGWNFWYTMAISVPAELSAAATLVQFWNSSINPAVWITFFLV VIVALNFCGVRLYGESEVIFALMKIALIAGLIIAGLVVDLGGGPNHDRIGFRYWKTPG AFNTYLVDGNTGRFLAFWSSLISAAFSYGNIQVVALSGTETQNPRKIIPEATKKTFYR VFFFYVLSVLIVGMIVPYDNAKLKVSTGTAAQSPFVIAFQAAGISVLPSIINAVVCTS AISSGSACIFLASRTLYGLSSDGHAPKIFLECNRFGTPFWTVALSVLPSPLVYLTVSN NASIVFGWFVNITTVAGLIGWVVIEITYLRLYYGMKRQGFSMNELPYRSPWQPYVAWI TLLMVLLVIIFSGFDVFFPGNFTASSFLTNYLNIGIFAVLYLFFKFYLRSRVASTTDM PLEHLFQSVREWQEVEKHRKDDPTWRKVYRKIF LY89DRAFT_615570 MRSFTTLSSKWTALLIIAALCYLFTPAVSVKHENFKTCDQSGFC KRNRQYADKATALGSQWEAPYRITPESLSWKNGQLQGTILKTINKQGETVRLPITISF LESGSARVTIDEEKRQKGEIELRHDSKARKERYNEAQSWAIVGGLDVSKSATEERDAS RSIVKYGPEGKFQAVVTFAPFGIDFKRDDITQIKFNDRGLANLDHWRPKVDKPEPEKK EGEDAPAETPVAETGEDESTWWDETFGGNTDSKAKGPESVAIDITFPGYEHVFGIPEH AGSLPLKETRQSSGNHQDPYRLYNADVFEYIMDSPMTLYGSIPFMQAHKKDSTVGVFW LNAAEMWIDVIKEKEAHNPLSLGIGSKTDTKTHWFSESGLIDVFVFLGPTAKEVTKAY GELTGYTQLPQEFSIAYHQCRWNYNTDEDVKDVDRKMSKHQIPYDVIWLDIEYTDDKQ YFTWDPLQFPNPTGMLEQLDQSERKLVAIIDPHIKNKDGYHIVEELKKKDLAVHNKDG NIYEGWCWPGSSHWVDCFNPAAIKWWISLFKYDAFKGSASNLFIWNDMNEPSVFNGPE TTMPKDNLHHGNWEHRDVHNINGMTFHNATYQAMLERKKGEIRRPFVLTRSFYAGSQR LGAMWTGDNQASWDHLAAGFPMILNNGIAGFPFAGADVGGFFGNPEKDLLTRWYQAGA FYPFFRGHAHIDTRRREPYLIGEPYTPIITQALRLRYALLPAWYTAFHEASVDGTPII RPHYFVYPGDEAGFDLDDQFFVGSTGLLAKPVVTEKAESVDIYLPDDEIYYDYFDYEK YTGQGKVTVPAPLEKIPLLMQGGHIIPRKDRPRRSSGLMKWDPYTLVIVLGKSGSATG ELYVDDGETFDYQQGAYIHRTFEFSNGVLESKDIGTKGKLTDKYLKTMKSVGVEKLII IGAPKEWAEKTSVKAGREEARLEYYAEEGKKAAWAVVKAPKVAIGENWKIEF LY89DRAFT_684501 MTDQQQRKDPEIYKHLSPKTQGFLRASANQREESARLNDDTMAT EQTFIAIKPDGVQRGLVGPIISRFEARGYKLAAIKLMTASTSHLEKHYEDLSDKPFFP GLVKYMASGPICAMVWEGRDAVKTGRTLLGATNPLASAPGTIRGDYAIDVGRNVCHGS DSVENAKKEIALWFKEGEVQSWKSAQQDWIYEKP LY89DRAFT_707025 MPIRHLLRKPFYFPRPRQILRHQSTSAESRTRARITSLHARLPN FLHPYTCGLLTAPISHLVSFLILHEITAVVPLIGLASLFHYTNWLPEAWVEGRWVKEG VDRFGRYFGRKGWFGFSAQEKGEQGEGGEISVESEENRWRVGERGGRILVEVATAYAI TKVFLPARMLLSVWGTPWFARVFVGRFTGLFRGVKGAGNGKGAAGLGASAGGVGGGIG KDLDRVKKMPP LY89DRAFT_53945 MASFRCAMRISDLLLESTVEKLLLSLDENNLRALSEKLGNDAPS PEEPSHRLAYSIWYTLYFHTKETADLNHAIRIAEVTLVLLAAGSDKETTSEQALTESN LSCMLDSKFDYGGQAMDLDQAICRAESALTIASPSDAHVSKFREHLNKLKSKKDTLSE TFSSLDSENKWQMPSYIFGSKLLCMGYLRDSYIASGEIHAAIQFARMAVAETPQRHPE RCDNLHKLGDLLFGSAIYKKIFEYVQESIDCFQEAARISVGTSDHAICLLQLSETWAL KYSWEKDISYVENSVSAARQALQCIPEGHEQRAKYLCCLGTKLRGRYERTSSPEDVEE AYRTLNEAFSLDILEKGVRLNCGTQLATVCVRKYEITKDITFINKGIETCESLVNEDK SSSEAGECMHVLAQLHRMKVDVTGTHSKSETDKAVEFARKAVDALAEGHQGRPNREAN LARLLARRAKLFHSIEDGVEAQEIAWEVLISTTDTDTTRAGILDILIEFESARYRQEN EVVCLELLLEFAQNALDEASPNNRNYSSHINNVAYLRTLSGEVSKDPNEIESGINLLE SFIRNGQDDGHRGKQLDNLGFLLEKKYEMTRDRSDSKRAIEALIECGETPAIDAWTKV DVAYRAAKLYIKDLTWDAASSLLEDAVKLLPKVSPRHIQHKDKTYNLARFGGMVSLAM SAALQAGRSGKRALEIIEHGRGVILGMLYDARSDIARLRKKRPDLAKAFVELRDKLDS PVSSDPEAPETHGSLNPFLAREALQTEFDKVVKEIQDDPDFRRFLEPTTAEELMAASE SGTIIVVSASDSHSHALVVTPNEVVPISLRGFRLSQIQYWAGKLKAKDVTRGQMYGML RWLWRFLVAPILNSPIVIKASAGEVKPHICWVPVGALCALPIHAAGNYSKAGPLEESA LRRAISSYSPSIKALLYIQHSRREMDVGCRTDRVLLVSMEKTLDCRDLPYARQEVDLL NENLPSEATLIKNMPLKKDVKEALPGSSIFHFAGHGISHPLDPLKSSLLLKDWKDDAL TVEDLMTLNLDESPPFLAYLSACSTAVIKDPKLIDEGIHLMSAFQLVGFHHVIGSLWA LSDEHCVAVAADVYDEIMKSNMSDDSVPCGLHNAVTRLSEGKFLSNRHVELREVDEDE DDLASWDLSVGDPRIWAAYIHMGLRNVPEPRAPIDEAQVSQT LY89DRAFT_53904 MSGREKATYLVYQQGRDPDKLKLGNLLFFPRNPQEREPFSCPYE LDDLSEWTGKPQIDADLAIDVQISHDVSGSVSLSTVFGGDVSNSRSSQLHLEGKNARR YQIDQATDFLNRVVLASPGAREWLNSRTAVSEKIYHLNKLKLGKARHPQIWLVTGIQL LSEVSLRNETNTASKGRVSVQIPPPEPVSGVASILLNNGGVGAKGTISNGATNAVKFS HKEERVWAAQFRRLKLDFVKKAAIQSSLVKEISLLDLQDLGQAGMKGDAQQELDKAAE VVDDDEVDLGDMEANITQEDWDTFDKLLNASKKRALLIGGSEKSLNGVRHDLTAMSDL LIARGFDITLCYGESATRDGIIRAWRHLIQQTSKEDAVVVYYSGHGGCAPKNSGPGLP LQYLLPTDIEESKPGDFRGILDVEVSHLARGLTNKTRNVTIILDCCHAELMSRGPFQV RFYAPVSRETLENHRRQLQESGFFDQSSFYLEGNPHSVRVVACGSDQGAYEVFISDKD QVSMGQMTRELVAVIKDAGDNIISWDAVLVHLRKVLMDPNISAFQRPQVEGEMMRALF SLDRLDYWGQLGLKQRGNNVILMGGLLAGIRDGDEYAIMPLTAVAVDPTKQIAVATVL HVMPTTSKVHVQYFGSHWKLPERSKGGAKAFPLRMIRPELGIEVLVNDTALSDRLSSR LSKSRFVHHFKTGSYEHGPLATIQQENNKLVLIHHRPRPAPILKYEILTNSNPGDAVE DAIYQVEKLAKSDHMLQMNAKPKYTLDCSSVSITMGCVQDSSPVPLPEGDRSVIEEQY VYVKIQNDGTRQVFASIFLVTGIGTISWLSRGDPSGVDILPKTTYTYGENKSNEELEG TRLVWPKSIPRSGDLDESIVVVLSSRHVDLGFLGTAYRHTTDIAGREEAEDVFDQHAD QDYLKYSVYHLEFKFKPRIYV LY89DRAFT_584523 MTPILSTGLDGEKVVVPDALAAARRFQVKPSNAAGEWEQQKRED QEICDKKWAKPLDDLMALVGLEEVKAQFLAIKAKIDTCGEQNINLKTERFNVIFQGNP GTGKTTVANLFAAFMRDVGAIESSDDPSKKSLKVRSGATMAFKGAKGAKKSIKKLVKD AGGGTLFVDEAYQLVAPHASSEGRQVLDIILTEMEKNIGKLLVIFVGYKNEMEVFFEH NPGLGSRIPYTIHFEDFTDDELWRILCDKIEKRYSGKMRVEDGLHGLYMHIAIRRLGL GRGSKGFGNARAVENLLATISERQALRIREEVRKHKNDKVKHKDPNRFFFTKEDLIGP DPSIAKKKSKAWKELKELIGLDAVKKAVENFFGLIESNYRREISERRPIRVPLNQVFV GSPGTGKTTVARLYGRILADLGLLSRGDVVLKNPSDFIGDAVGKSEANTRGILASTAG KVLVIDEAYMLHPGDGQTDSYRNGVIDTIVAEVQGVLGEDRCVILVGYEDKLRNMFHH VNPGLSRRFPIENAFRFENFSKPELEEIMRKKMDEQDLNATDDAYRVAGDIFARALMR PNFSNAGEVETLMAKAKMNYEARYAKVPIPERPYDVEFQQEDIDPDFARGSSGESSCR LLLDGLVAEKIITRLERYQKLSMAAHRYKVEPRVLVPTCIVFKGPPGTGKTTAAHKMG KVFYDIGFLSTDEVISCTVSDLVGQYVGQTAPKTKTQLQKALGKVLIIDDAHQLKEGY YASEAANELTMFLTREENTGKIVVILIGQTYQIEELMVARPALSGLFTEEIAFEDINS RDSMSLLQRELANRHVQAPFLKYSYLEGYMKVLKVFDEIRSLLSWNNARHIQAFAKRI AATHMQEVLHDHETHDDDQDQIPPVSAETVLACLNQELFSHKSRSKKDTTDEQKNASV SPFDPSANPFRIANEIRNAPPPP LY89DRAFT_54030 MNLTEQAQILHQNYIRTGSKPALESAVKLTQKAVDTTHPADPDL TEALFQLSILLGLRYELTKNADDARMAVDAAEKAVDAAPLSQLERAALFSNLATRLSR KFELTRCINDIDRAIELSETVKDETPPGDSRRAMRLNNLSNFLEMRFQQTLVEDDINR AVRTTNLALDAIVDDTDRAPILNTKSTMLRSRFEKLGNVEDFSKAVRSAKEAVFLTPP GDSKRTLRLHNLRALLDHPISVPMNVKNISELIDVARVRVEVTPIMQTNRATALKPLR DLLVLRHEQQRSIGDLDEAIEATKCALDMTSDDADAVYIMNDLGSLLGLRFQELKQLR DINEAVRLTEMAVGTPHPDFTVLPFWLKDLGTWLALRSEHIGSEADLDRAIVVFEMAL GMTSNDFPDQVELLGSLGSWLETRFDKTANMPDINRALEVCEIAFDATSLDHPERENL LILRSRLLQRVEEKARVVWEVSSE LY89DRAFT_644969 MASSDKPLRIGYVPEHFSTPLYFAQKHFGLEGELIAFPSGTGHM ITSLRAGEIDIGIGLTEGWVAGLGKDEVEGDGGYKIVGTYVETPLCWAISTGAKRDIN SIADLKNGKIGVSRIGSGSYVMGFVLADQQGWLSSDPSTQPFEFIPLQTFEKLRNAVN DSTADFFMWEHFTSKRYYDNGEIKKVGEIYTPWSSWKIVASTSIKENDARLEEMFEKL DKGVRYFGGNKEEAVKYISTKLDYSEADAREWMKTVKFTSGTKGVDLSVIQKTVDTLK KAGVLKDGGMKPEQMIASQRKAS LY89DRAFT_644970 MASTTAQRRLLQEYRALTNNPPEGITAGPVSEDDMLYWEALIQG PEGTPFEGGIFPAELKFPKDYPLAPPTMRFLGEVWHPNVYPSGLVCISILHAPGDDPN HYEHASERWSPIQSVEKILISVMSMLAEPNDESPANVEAAKMWREQRPEYEKRVREGC RRMLGL LY89DRAFT_615589 MDIDLLSDTPEENQLLLLPNVLPHLPSTLITEEPSEFLHENLLH TEISEPDVSALSVPAADAHQSVITKIEVVFESIADCILSGRKELEIQLKSRTTWKETA VQNGVDGTRPKKSHLRKIKFPSKCPIEAWRFTVLCRILELSHEALISGVVTTKRDIYY RESELFTKQSIVDRYVDDIAYTFGINRDALNVAAAAKGLMIGNLSVTKRGGHRIEYWS EPEGILIPKSDDLEDVYLGGTRWIVVVEKEATFRSLAACRYWQNCIAGNGILITAKGY PDIQTRQFLHFLATRFPRIPIYALVDFDPDGIGIMSTYKHGSKALAHEKNVAVPSLHW LGIRSLDFTTDDSVQGLLSLTKRDRNIAKKMLARQDVETGDQEWRRELQMMLMLNMKA EIQILGNEDKLSIWLDRKLSEAVYDADGDISMSQPE LY89DRAFT_584828 MVASNDKQVSVTARQQKKRPIDASVSEVPETKKPKLSERTDHAR WRLLDEKGRQTWHYLEEDEEVKEWPQSKADKYFLGLPLELPDLSSAKTPLDSVKNGLE FFQHLQLPPGNWGCEYGGPMFLLPGFVIAWYVTETEIPDSIAIEMKNYIFARAEPEDG GWGLHIEGESTVFGTAMNYTALRILGVSEEDPRMIKARGTLHKMGGAKCGPHWAKFWL SVLGVCKWDIVNPVPPEFWLLPDWMPMAPWRWWIHMRMVYLPMSFIWSKRWTYKETPL VRQLRQELFAEPYESIEWTSHRNSISEKDNYHPKSWVLRAANWFLINIHIPFTRTNAL VKVAEDWCWDMIKMEDKNTDYSDLAPVNAPMNFLACYIQEGPKAYSVQRHRDRLADYL WMKDEGMLVNGTNGVQCWDTSFLIQAVMDAGLAEDPKWRPMLTRGLEFLEDQQMRENV DDQAKCYRQQRKGGWAFSNKDQGYAVSDCISEALKAVLLLQRTPGYPTLLDDQRIFDA IDTLLTYQNPSGGCASYEPTRGSEYLEVFNAAEVFGRIMIEYDYPECTTAVVTALSLF TKYYPDYRTEHIKVFKERAVGYIRNAQKPDGSWYGSWGICFTYAGMFALESLASIGEF YENSKHSKDGCDFLISKQRADGGWSESYRSCETNTYVEHPTGSQVVMTAWVVIALLEA HYPDRAPIEKGLKLIMSRQQSNGEWLQEAIEGVFNKSCMISYPNYKFIFPIKALGMFA KRYGDVALV LY89DRAFT_718163 MAEVGFANKGQAAIQAGDYATAINYLTQAIQISKSPLWLTWRAT AYQRTKQYELALADGDNAVLAAIKRSKRDLIANAHFRRAVALHGLKRYGDARMCLHWV KEYNEKEKGLTIWLAKIKTDYDNAGGDEAECNQVTVKKVPDEVEDVSKDTKPAAKEKV EAPAVAAIPVAPAQTPKEKIRHEWYQSPTTITIEIFAKGVPKENTEVKIEAGSLEVSF PLSATDSTFDYTIDPFFSKVDVSKSSHRITPHKIEIVLHKSTPGLKWSALEGTDLIPS EPVKEDTKAAVQLPKQETAPVYPTSSKSGPKNWDKLMGEEDDDDGEGEDVDRFFKKLY KGADPDTRRAMMKSYQESNGTSLSTNWSDVGSKTFETSPPDGLEAKKWES LY89DRAFT_733318 MRSRGLSAASAAVLCFVDLAGTSAIPSTTPVPILITANGQAIVN NIAVLVGNTNTSLTPITSSTEGVELSWQPLKNHVIKIEVETNYTFVGAQFTIPEEDKF FGVWEYPFNGQLDNSNASFEDIGVGNEDGINWSNARAPFFITTAGYGVYTDTLSIGSY DFSSPNMARFVFNSSSLVYYIILPKTEGDFKSIIKEYTALSARIEMPPDSAYGPNFWS DDFEQDFHGNATNAQENYFDVIDHLAANQIRATSMFADRPYGTGNSSFGNFDFDPQFY PTPKKFISDLSAAGFDFQVWVANRGFLNTELYNASVANDWLFPGISPIQFLGPALNLS IPAAYDYFKLHLKNFTDLGVKGFKIDRGEEGELPDYEQNLQNTLFEQLCHEVMVEAWG LGNYYSFARSAVDRARSLTGVWNGDSHSNFTGLQYTVTSGIRAGLLGFSMWGSDTGGY VRDTNSPTEELWARWMWFSAFSPVFEIMVGTGHTPWYAPYTSRLVSILKTTANLHVAL VPYIRTYTYKATQTGLPVIRALWLEYPDEMETVRDIKDQYIFGEEFLVAPIVNGGNSR SVYFPGEKKWLNYLSRTSTPQVYQGGKTISNITATIEDMPVYVKEGAIIPTGDLHQNN AKWDKDWQPVLNFELFPSFDVDNSTFSYFSKETNKTVIIQMTVDSDEGSGNVTITSGD FGGLEKAWNVTSIGQLWVYVAGTIQKLAIPVSGGISGVTMEKSIWD LY89DRAFT_54114 MGGGSCYGTDCGGFPVTTHRAGLIIGLSVGLGWILLVFLSSCLF SVSRAANYAITKEIAVLILLILGFPFFLGRLGFRPCIDHYKMDWFKKIGRWFDEKNIE AWKTLLEAGRQGGGFAGW LY89DRAFT_615594 MASIASELRSGATQRPTDMSRKIRVIGSGFGRTGTMSLSAALEK LLDGKVYHTGTMIFQEEATMRKWGRLMNPDSPPEVSKTLLGEVLAGYVGITDTCGAAM TPELVEMYPDAIVICTTREEEAWWKSWSDMSGNAPPAWVMKIMFLPVPCFRYFPGSIH QMWRRLSKLYGFDKVQQPQDKGYITIHNEWLKTVVPPERLHFFSVKEGWGPLCKILDL PVPEEPFPRANEQAAMTELSEQIMVHVYKGWGSIIGATVVGIASIWLYLRNF LY89DRAFT_584830 MYQQGAVPTTSSSSIIVSSTSSTQGSSTSPVSSGTSSSSHVTTS SSSSLGSSTASSTIKTSSTSTTSIVKGTATNPIPVRRTFNWNITWVNASPDGFSRPFV GVNGEWPLPPILADIGDTIIINAYNGLGNETTSIHMHGLFNANNTFEDGSAMVAQCPI GPGETFVYEYVLAQSGTYWYHAHVGGQYIDGFRGPVVIRDANALNNYGNIDEEYILSL TDVYHVEAPYLINYFLSANNTSGAEPVPDSALINEAQNAQFAITPGKTYLFHVVNMGA IAGQFLQFDQHNMTIIEADGVYTQPYVTDQIFVAVAQRYSVLVQALPTATENFAIVSQ FVTDMFDESVTPAGQNPTCTAFLVYNSALAMPAPFTLTPQPWSDTVLVPWDQQPLWDS DNVQYTYLTVDFATNDWGSNRAMVNGQTYLSQLVPTFFTALTAPSEYQMNTAIYGQVN PQILPFGQVIEIDLNNHDTRAHPFHLHGHTFQIINRAGDEPQWPGLDSIPAAPMRRDT VVVYPGVGVTLRFIANNPGVWLFHCHTEFHVEAGMTATFIEAPDVMVARKPYIPNSHK TACDSQNIPRSGNAAGNSADWTDTTGAPTDSSQTYYGALINGPTWNPYQGGKP LY89DRAFT_54274 MRLTVATCLTAALLPWMAGAVSSTDQYQDADTAQSGYLPNHNVD PTKLSQYVHSWSSTFNAQEYFSAKPITYTPNGYAHELVITASHQNIVRVLDGLTGAIL YTRTLDPPFQATDSDCGDISPTIGITGTPIVDPATDIMYFFSKGYKGAATGSGTLNGQ YKFYAVQLPGLTDIAGFPIIMEGHYATNDNTRYFVGGTLLQRPGLAMIGNSIVAGFGG HCDNFNYTGMLVSVSKTTATVTNMQAMVAAPGAPSPQPTNYQQQTGGKAGIWHGGMGL AVNGDNVYFATGNGVGAGVNKVQGTAASGNTYSSTLEQVVAKFAVDPNVGTLTQADYF EPYNFDTVLDGGDSDMGSSGVALLDRNTFQAPGVGVNGIAVSSGKDGIVYIMNADNLG GFYNGKNGIQDATLQQIVVSGAKFYGGVGSYPGEGGYLYFCPTGGPLYAYSYSLDRSG NPLFTLAGQGGSNLACTGNPTVTSLNGQAGSAIVWLADTNQGVVAFHAVPANGNLTQI PLGWASGRLNKYQRPVFGNARIYTTENNVLYAIGGPAGTASSSSSKPTSTSSSSKSTV SSTVSTSVSSSVSKSSSSSVVTTSSSSSKVSSSSSSSVSSSSSSSKLSTSSSPSSSSS LSTSSSVSKTSSSSTVSTQSSSTTSFVSSSTASGNVVYTTTGLNAAEPSVISTFNYIG CYSDLIDGTTRSLAANKFIYDPMTLESCAQNCTGYDFFGTEYGRECYCGYTLNSTLRA LDSTCNTPCSGNSAELCGAADRLSVYESKTYVAPPTGPQHKAVVGTYGWIGCYTEATS MRALSSKSFTNSTMTVEQCVNYCSGYTYAGVEYATQCYCGNVLNTGSVLAATTDCNKV CPGNSLEYCGAGNR LY89DRAFT_54330 MAYEVEDLIENLMTGGIGSMFWAAFLPITNRLICDCFGRDGMDQ CACRCVYDDFENSLVGMDPESLSVLRQPVVGRITSVLFDGQLSWLLSSRYRPRMV LY89DRAFT_684516 MAPVITSSKGDTPMTNVPSEMETNPAEKDIQALDPNTFAGQQVG AILVVKRIMTPPNIKNKPEVGDFVKIIKHDVRECAVRSFRTGKSSYIPWSSFFPLKIG ERCTCTKALCRCETLGSESQLARREFSILANPATMYGQMPGTILVFKHRAQRVDDGSI MCDCEIGDHLELLEPVLSEESTYVRVQNLRTGGKGNILWMSVFPAGKREVCACYSEEN WECHCVYEDFPASVKYIKEHP LY89DRAFT_733323 MRILNGKLLRPTQYPRSALDVSSAGRVMTRLLQQSLGIPVSQVD TETIQQASVDPVFISIGCEFSTTAIREIGISILDTRHLRDLSVTSSSICDFQRAIQSY NFEFWKAKQKPISRAPRKTFIFGQSALVDKPELRLILHNFSRADAARELVVVGHGLGS DLKWLASEDFLLPDVKVLDTQAVATHLFPELKDRAVTRKGDEVDLNVRTGLGKLVDKL GIPHSERYFHNAGNDSNFTMRLLLMLAIQSTGETLQPTETDSKLLVLQAIANTPLIRV PKYDDMLFEQTITDEMFERRASYIDRLSSKIRRRGARRLRKLRGEDEIYEKQVHHIGH PVVRHSKLFKKPRRCKNRCARISEGWDEILARQALQVENDSSMARQREVRRLRLLRRP VRKLQRANLIARFILGELDPFEAPPETQAGTDNVLPKSPSSSTKSNTPSTPISLKSLV KSLPGLNLRDISSTTPDIQKIFSVAETLEVSPSDRKSDEAYHA LY89DRAFT_54360 MALSYSATRFQQQRGKNATESVYYLMKSISAVKEDLASSSKQVS DSTIATVASLANIENLDGNPQSAILHLNGMKQMVDMRGGLDSLGMRGILKRVVLWADL CGAARLQTNPRFPLLEFPDMPPISQFLQAENSASSTLIITDNLLEAAVSQSQLKCIQI LRDLHELSNFLNDTGNHREDLPQEVCYPDRVYSVEHNTLTAITRMSDARDPSNIFSIL LHASLLFIYTNLRQTPVGDQIRKSLSARLRSCIQGADVAFLQMMFPAELLWASLLGIV GGKETSDFNWFLSSVERLCMGNGIMTWEGATEFCIELPVLETSCRNTCEKLWARDVSF LY89DRAFT_733325 MLSNRGAKSVGSQDIPWRFAPGGNNRYDKVTNPTGVISFGTAEN GLVHEELEYFVSKNVQIPALAFSYRFSTMGGPRFPGAMAAHMNEYFNPHSPVESEHII TASGLTAIHELVGRSLADPGDGILVSRPIYGRFELDFGNTASLNIVYADSDGMDPFSL DIVMQYQKAFNLSAAKGVHVKAILIVNPHNPSGRCYPAETLAALMQFCQHNSIHMISD EVYALSVYDTGCKEAHVFTSALSIDPTGIIDPERLHIFYGMSKDFASAGLRLGSLISR NALLREAVAANMRFHNPSGMSIAIATAILEDRAFVRTFISLCRERLAGSRAYTCRVLD EAGIKYAPSGNAGFFLYVDLSPWLPAKTSEVGDSEREFYLAQKLLNGGVGVHPGEEHG EKAGHFRLVFSSFDRDTLAEGLRRLLRILGPVSSVESAVSGAQTDGVEMNGTKANGVK INGFY LY89DRAFT_684518 MTSTIRATNTNEMKSMTNNQDDEIQRTANHGTFGPNEKEKDLSV QAGELTFEEDTSGGLGRHLGVFSTTFLIIGRIIGSGIFSTPSSITTSTGSVGAAMFMW VLGFAISMAGLFVWLEFGCMIPRSGGEKVYLEASYRQPRHMTSILFAIQSVLLGFSAT GCITFASNMVLAADTTVSESASRGIAGSAMIFVALMHGLTPKFGVKVMNVVGVLKVGI VIFIVVTGWVVLGGGTRVKDPHASFRNAFEGSTKTGNPYATALFKVLSSFAGWSNAAY ILNDVKNPVRTLKIAGPLAVTTCGMLYIFANISYYAAATPAEVSASGVTVASLFMKKV FGKTASRGLSVFVGLSALGNVMTVTYAHARVNQEIAKEGILPFSSFWATTYPTGAPTG GLFLHFIPSIIMITAIPFGDAYNFIVDVEGYPRAIVFATVVIGLFFLRWKKPFAERPF KVFLPIAGFFLVGQLFVLVAPFLRPPGGKGDTSQPYWAYPLVGLGVMFAGLVYYAVLM HILPRLGNYSLKHEKVVLADGTFVMKFARSKDE LY89DRAFT_684520 MAASVFKFVSVSAAALVGLYATFLGLLTTQTFQRHVVYLHKIQM TWFSDLDVPETFGFLRNQATPFILKSSDGERLYAWHILPLEIFRKHELALLEEPVELV SDFTSKLAFKLLREDPDARLILHFHGAVGTVGSGYRVPNYRTLSAGQPGKIHVLTFDY QGYGRSSGTPSENGILLDAAAVVEWAINTAGISPSRILIFGQSMGTAVATAISNQYAR QEPPVVFAGTVLIAPFVDAATLVSTYRVAGTIPILSPLATIPTLFKALQGFIRDKWST KDRIAQYVRANEVNGESYRLTIIHAEDDYDVPWSHTPELFWHAVNATVPTGISHDELE EKRSEIKTDLGAAGSVMEW LY89DRAFT_733328 MTKKDLKILARKEKKNRQREMNEDQPTPERQPQPQENPIDPQSP DSDEHDSGIGDCESDRSSISALETQNSTETLVQNNAHNDPHSRSTSTTSANPGVDQQS VLNPIAPPRAGSGAEVRDLRDTLIDGEDVSGQNELGYSQNGSMTQDRAMGYAERSEGQ HESEDLQHEDLA LY89DRAFT_781680 MSIKAPVIIMGAGISGLALAQGLLGASVPFHVFERDQALNVRAQ GYRVRINGLGIKALKELLKPEIYSRLEDCMAITTTDGTAPPLHLDALTGKEAEFKFTM PPTSPFKPADVQPLNADRSVLRMVLTKGIEEHITFSKEFASYTTSPSGVTVNFTDGTS IEGSLLVGADGTKSKVRSQLLPNHKNIDTEGRWFFGKTTITPALLAALHPSVATQMTL VQDKINLIPTSLLCEPVRFKDNQYRFELPADYIYWVVGSRKDTLDISDAEMPISSQEA ASVVLKLTAMWEPSIRALFEQQDVNQSSFLKIDSAKPDLPVWESEERVTLIGDAVHAM SPTAGVGAVTALRSAAALFGAIEKDGISRDSLRKYEDEMREFAGASIRHAFFGGKLMF GMRSFEEIIADAEGTK LY89DRAFT_54429 MVIMVVMVMMLVVSVVMVRFMMLRGVMLISRELNSQKETARRGS CMMRGIIEGMPSTVISMRRICRRRSSRVVHRYCPLSTQDRRSGNATSRRPGEYNAEKT GLKYSWGKQKIKYQKKDWDLLRLGLGCSCLSAAAKSGDEL LY89DRAFT_584597 KNIKAGFAASGLFPFNPDRVLRSMPAPAEPAIPSTDEVKVWTCR QDVEPQTPVTPVSVESFMSLGNLIIQQDAHALDETSKQNLVRHLQKCTKAFKKSSALG VLQEDRIQLLTTINNEAKVRRSTKSLVLGKAKVMSYEDLEEARAKRVVKDAAKAAKGK GKRGRKCKSATPEAEEATADKVKRGRKRKSTVLEAEAEEPEPEPNPKIARMRKALASS RALVMETPIAEDEIVPELRRAPVAPVAKMW LY89DRAFT_564267 MDRASQVLAQGVPLGVPESYRALADHGNKAQGQQYLRPYEEEVI IKYLLQMSDLGYPIRMKFIPSLAYSVTRHRPTTERPRKAPSRNWAKALEKRHPILLAR RVKALDWKRHEKNIYGKVVH LY89DRAFT_747108 MEKNLELCDTCQEIKLEDYLFEANYPGTVELGPFQDVAKRNHCS LCRLVIQALNNHSRQHWKSSIYPVEVCYLGRFNEKPNRPVLEVWFNSTSETLPDGMWG YSTTLSQILLLHQQPVHDATKARASGCAQLVALSYVWASSPSLKSTKKNIHRLQEDGA VRELWGELPQAIKDAIELTDALGETYLWVDALCIIQDDNRSKAVYIARMNQIYGNAHI TLVTLNGPSAESALLGVAHSRVLIQSPIEINGLNLVPRLPQLSTVEQYSAWSCRAWTF QEGLLSRRCLYFAEHQVFWQCRTTYQSEDCPDDHDQDASDFVRGRKTNALQRDTQNDV RRQFDVYESLVKQYSPRSLTFPTDSLSAFTGVLSAIARSFSWNFVSALPEPAFDLALL WRPMFGATMRPRPLSGQKAGPMVCTSPTWCWTAWHGDLFWDPWRLGSFAARRVSLKTE VASFWIQEFGRLRPIQRGRAHDSDVEIAGYKPGEHTTVGCTLVFEAKTIDTEAYDIST PQLDQCALWNSEIAGGGLSSYFRNNTSHSLWIYDVASQHCGTFSSLELDAWPKQRKDG SRHDIVLLSRSSQDEVTQAAIPHFEDHPPLEYPSASEYYEEIFDTRYYRYKSDWALNV MLVRWENDSAERVAVGQMHADAWKEALEKSKLIILA LY89DRAFT_781683 MSASPETGSAWSELKQRLPLPDNDLDNLEKWIKNEWETNLRALS DLKSAEGRVQLGKSSKDLATKIYNISALISTAISKEDLEDILRLGPVQQAPVTLETVV VRVQLKVTQIGQEKEFAEFSLSRCLQDGKLATLPKNYDHKLFLREAETHINEARAVPL EFAHGTLTYSQWNNVKQIARQTHFEVAISHLFNNRGDDDTILFTFQPESEAQERNRLN AERASQRLKAPAPGSGTKRRLTESPKATQPQSTRTRVGPSKESTPPPRRQSPVYPSDD KVTPTGQSKRIPGGPGSMTAKAGPTSTPSLHGSVSSRSSNPSKVPRTSSSPSGGPKTV APKAVIESPASKPIDKPVTAQPQKTTIAAKSTPPLSFNATGKKIGGLIKRATSRAGNS SVPTTPTESRGERVGSEATINPPLRTYSAQPQDSSLVRPSTATKVGENLKHALGSLRQ TLVPNNLSASTTPLDKDELRRGDEQIPELRTSKPATGDDADEEVEEEHQFIDEEDQAD FSVSQLQNLQNMDELIQMTTPTDPFERWQACCRLFRIDSTKTGINERVPVAGLKHPLY QYQAFGVYWQMAYSRENGGGFLADEMGLGKTLSYLAFIVVERQLSVLWREVLESRQAD DGRHLHKAQHREGDICPSQRDHWIACPCTSSSPASSWPAKYGLRMACVPPSMVQSWKD QFIDHIAPLPALDMKLIIAHKATSNLDSFDVNTVHNTNLMKAVQNPLPSQRVRYDEDE AAINQDRILVLTTSESTQKASKPWQERFQHKVQMRVYDKSEAGRWYEFKAPGLVYGIA MIDECHEHYHKEKGRSGVLANLPQENHPFLWGYSGTPFTQTPRGLEGVLWAIEKLWPK DPKFDPKRTAWEQNLHTTDQRQLHRFTWKKLDAICKSFERHLKDTKPEKTTLDEIFQR FKPFLTTFMIRRNADTLWFGHPLLKLNPHEHTDITLEHNLKYDDDIAQLRTVIQAEIA KKVEELQSTWDNADPRKRDPKRPTKLSFNSACSVQWRLRIMATFPALVPYAAKEHPEY LELTAKECFKFRRSGLMQSPYKHFREICEASPKCMELYRIIDDMCNSADYEGKEHKLV IMSEFNPVVLLLQLFIQHLVTGKKNRVGVVTADMKLKDRTAVIEAFTDAMDDKGERKH KDNFQFLIGTTRLIGTGLQLTRAAAVVMMEPQYEFFREVQGYARVHRIGQRNPKSFSY RLIDEGSEVETSILKRQADRNEFAGKATDGDGAGLEQMDVKSTAESQRDISMTGLSGS EVSDESRISDQMSGVNLGATTSVWNDDDYSK LY89DRAFT_781684 MDAASTSSASLKKRVKHTKVRTGCLTCKIRRVKCDETRPACLRC TKFDGQCDGYSTSKAKQNLTPRHETQNPAPFMQPRPLLPRSGKEDRTSFVPTLYRPVH VAKFRNEQEHLGFLSFRNPTISELSSLFPSSLWERVILQACQEETYVRDAVIAVGALF SCERRLVFDTENRKSWGGIENAPRYQFALQHYGNTIKTMRQQLPSMRGDQSLRTMLIG CILIVCFEAMQGNYVQSVTHAVGGHGVLQSWLTSKRRSNSPFFTKEWLTCPATSPAED VVEDELVQAFARIDLQIMQYSLDPRGMEDHLLLGYEGSEAIRNMPLQFASIGEARSYL ILVDRRTWHFLSSFALSQYEKRGREEDIDVSKHTDLNDVLSKDNNRAQIWQAESAAHP IYRTLPRNSREWKAASLLQIQAQTTRIYLHGWLRSDESWSDQFLPEFQWIVNRGKEIF DDDLIQNKHRFSFDGGFIHPLQTIGHYCREPNVRREAIALLRRIAAKELFWDGLMHAS ACEAQMKFEEEGMDIHGFIPESHRFQIRGGKVDMLNRIGTIIYRRMGRNEDGTLDERD SAFHYEYPFQSPAKGHCSMGSSAWQTMLLQYVQAMFV LY89DRAFT_54513 MASSQHSHRHKPRTHKPPSPILLPISRTNTTTTMPLLLTLTAPS QNDITDKYNSPLSTPSHLIIDLENPDKKFLSRKYGHNPEQLQKELHKMVKDKLAKRVF VAKFPVYQLPMEVVQKRPWIYEYVRDVAQVDAMKEDDEGEREMAGRLRRGFS LY89DRAFT_584610 MFPLSCMGSFKQWWSCEDTAVNERKVLSCVPFLPPLPTNSNVVD VLESAANLARAIKLHQTIDSHRQRRWELSRIKLSGEDRSINELYIEQVGEEVQNTLVM LHGYGAGLAFWYKNYEALSRPLGWRLYSLDLLGMGRSSRPPFQLQAKDEDGKIVEAEN WFIDALEEWRKQRGIERFTLMAHSLGGYMAVAYALKYPGHLKKLILVSPAGMSEDPNT INERIHESAEKTSTMNDSTQHRDEETSASVKDASILLETDICEVKASPNTSEWHYPKW LAPLWDAKISPFTVLRWTGPFGPKIVSGWTSRRFSHLPTEEARALHDYSYSLFIQPSS GEHALACILTPRMFARRPLIRRIQDVGRQYFQRQTTGSGTLESSHCASLSTKMERENG YPIVFFYGEDDWMDKEGGFAAQQKVLGLKRQMLKFATPEEKHADEGDAKVIIVPKAGH IVYLDGWEYFNRKVTEEMEGVSQREKERP LY89DRAFT_718181 MLILLRALVVASSIYFWHLTQSMLARRTPVPHSTTRVSSIHDEV HSLTSTMHAKLAAATQLANFLLASSSAIVDVLGLFLFTISVFGSTFEPVVGIILLFTL RQFAQSFCHLQPPQGIIWRNPGVPSLFVTYDVKDDLFFSGHTAFAVYGALILHSILGD LVGLPVGFALVFFQISTVLLLRVHYTMDVFTGIFCALYIHQLSHKLSPSIDNFLFATG TM LY89DRAFT_684526 MGVKTRDFQPKPHFQSHHEQDSTTTRNSKTVILQKKDANFTTGR TFAHFLLELCGFVLFFGYAAITMKSVCDRFMADGASPIMAYRMLVAVVLGMSAADLTS GVVHWAVDNWGESDFPVLGSVFFRPFHHHHSDPSAITTHGFIERNGNNFLVSLPGIWA AANAITYPDPSSSFALASFWLAYTMCSGFANEIHCFAHMKNPPWIVQKLQDFGLLVSR RDHQVHHMRPHDRNYCLVTGWMNGPLTAIGLFEAMEGVINKVTGSVPLHARIGKGHGA LY89DRAFT_54584 MVLNQRLERFLKTESPQPEWVQKIVTFCKRRPSEKIAFPLVITS FCVIEFIRSVDTSILTFLVRAVAEDLHASTVDSYWCSASYLFSMTATQPIFGGIAEVV GRRTCTLSATIIFMVGSIACATAKDISWLIGARALQGLGSGGMDMAFSLIIVDIVSLP RRPMYVALLQLCAGVGLIFGGLIGALVIEKLSWRWVFWINLPLTAVPLLCFIIVLHVE FTPKSFSQQIKAIDWTGTFLIIASLTSLLFAIFGGGVVYPWISKEVLGPLIAGICGLV LFILNERYVADRNYIQPLMPLRIFGNRTAGVGYFIAFIHGMSLTIISSIFPLYFYITD ELSFIPTAVRMLPTPLVISCWVIAAGYVLNKTKRFKWSNVLGLVLLAAGFLLLFTLKY HSSLGQEIGYQVIYSAGIGILFPGRIMAVQSAQERDEDVGLAASLISVTLNLGQCFGL ALGVALFDNFWNIRVRKILRDGFIPPNDIFYGNAVQENLDTIKSLPVAIKWHYQRVGA ETCSYIFLIFAVVSGFTLFLSLFSRNLSFDRETRTRLVTHDSNTMSTSCSGSIEKSSA DDAAMAVVACDDGSGNCLRTTV LY89DRAFT_733339 MYSILLDVWHHVESLKPAFISKTPHFNFITVHYFWIIGMALFGS ICLYIRGEIKYIDALFLASGASTQSGLNTININQLNTWQQVVVFLIPMATNPITINSF VVFLRLYWFEKRFQHIAREASRNRRSMAKSLSKARTGERDLDVEERGVDGRKIVVMHE STRANGMTDERAVEGSVEDQIRAEKERMLEQEKTRSSNESSSEGTGKKGLNGGSEEEK EDGQRPQIKFADQVKPSHARAPSGDERLPAPRRTEEHIAILERQRHADDGAVLRIPGP RDADAGIAPQAVDDADTVHQTISRRASTFDSRQSQDTARNQDDQQERPRRNITIAEPQ RSPIPVTEHVAEDATAAKNTLSALRFRKPRIFKSKHEKLHEDHHDSSRTRTNTLQTIR SALSRDKEETMPYLSWEATVGRNSMFVDLTEEQREELGGIEYRSLKSLALILTCYFWG FSVFGMVCLTPWIYTTKTYGVIVEGDGQGRGWWGIFTANSAFTDLGYTLTPDSMISFG TAIWPLLLMSFLIIIGNTGFPIMLRIIIWVTSKYVPRESGIWEELKFLLDHPRRCFTL LFPAKATWWLFWILVILNGLDLIFFIILDLGNSIVTQLPVNIRVLDGWFQAVSTRTAG FGVVNLAELHPAIQVSYLIMMYISVLPIAISVRRTNVYEEKSLGIYGNQAEENEDEGE PSYVGAHLRRQLSFDLWYIFLGFFVIAISEGTRLQSGDPAFTMFSVLFEVVSAYGTVG LSLGYTNIDASFSAEFGVIAKLVIIAMQVRGRHRGLPYELDRAILLPSEHLQRKEAET AAAHVRRRSSIGTMATAAQVNSGATKTNKSERSRSRGRSPQRQAQNFLSTLLHPGPTI PNSHRNIHKGDLAFERTRRHSIAVASTVRNARSLSPRAYGTSLMLGRDGGDGATERRV EGRRQFYQDGLNPPPKERTDTVTSRDVGPMGEIVE LY89DRAFT_718185 MPGRVSTARSSRTSAAVSHKASTQTLNSRASTASRISTPYVEIP EETPENELRTQISSIFRDAQRTTAGHRKLVINLRKIQEACCYEPTSKKNKTGAEEFDE DQFTHELTRCVLRVMPVKKSESVGERSIRFIGLFLRHANEKDNELLPENEEDDGVMVE TPSTRLTSYVMNTILPLLTSKEKFVRYRSTQLIAHVINSLEAIDDDLFQLLRHGLLKR IHDKEAMVRVQAVLGLGRLAGNEADGEAQDSDDDDSASGLLERLLDVLQNDPSADVRR SLLVNLPILPNTLPFLLERARDQDPATRRALYSRLLPALGDFRHLSLSMREKLLRWGL RDRDENVRKAAGKLFRERWIEDCAGTAENEEGETVEAAAGEVKPPSFEGLLELLERID VVNSGVENGVALEAMKGFWEGRPDYRDAVTFDDNFWDTLTAEAVFMARSFNDFCRNEG NGKFEALVEEKLPEVTKLAFYLQRYITVLVEALKRVAQQEIGEDEEEEDTVEQEFIVE QLLHIARTLDYSDEAGRRKMFALLRQSLSVPDLPEEVTKLTVEVLRGICSPDAAGERE FCSIVLEAVADVHDTVMDEPASEDADESFHSARSEVSGDGTPTKSSKNSQRTEEEDQE KAIREIMVNMKCLHIVQCMLENVEGNLQQNVDLVAMLNNLVVPAVRSHEAPVRERGLL CLGLCSLLDKSLAEENLTLFMHFFTKGHSALQITALQILTDILNTHGAHLLDTNPTLL KVYLKALKSGGKAPEVQAAATVAIAKLMLGRVIADSSTAIDDLLKTLVVLYFDPSSAH NQGVRQTLSYFLPVFSFSRKENQDRMRKVACDALHKLFEVQETLDDDGDAETEMVSIT TIGAHLIDWTDPRKCYGSGIQLTGGDEAASKKAVNGDVHLEFARDLLEKLGSGINREE KKLLAPMLAKLHISPASTPALLKEVYNEVSIAIDDKLIADATGRNALFKIHVSLGKIA NSLKEDADGTVSLKSSVNSRKGSIAPSGVDEERTVLSADGAEAEEGDKTELVKVEEEE EEGTIIGRRDSLMSELLSDADVEMSGM LY89DRAFT_781692 MFRLGVRTFATTARRAAETIAQMEAPNQYGIGVSKAQGIVKGLT GAIGNTPLIRLNHISDATGCEVLGKAEFMNPGGSIKDRAALYVVKDAEEKGLLKPGGT VVEGTAGNTGIGLAHVCRSKGYKLVIYMPNTQSQGKIDLLRLLGAEVYPVPAVAFDNP ENYNHQAKRHADRLDNAVWTNQFDNIANRRAHIETTGPEIWHQTKGKVDAFTCATGTG GTLAGTTRYLKTVSDGKVKCYLADPPGSVLHSYIESGGKHVERSGSSITEGIGQGRVT DNLQPDIDLLDGSINISDEKSIEMVYRCLDEEGLYLGASSCLNVAAAKEVAEKLGRGH TVVTVLCDGAYRYADRLFSKKWLTEKSLIGAIPKHLEKYIVLP LY89DRAFT_645016 MNTRRAGALLRCSQCALRTAIKSSAHPRLFTTSTVLHKNGAVPS FTEVSSPELKDFLTYLREELFLPSHLSDSQLQLVRKYKYRKQLETESTIATIEGEDFK LKPLKMRAQPSLTQSVGQALSMMREKKDWENVPSLLQGAATAMKSHSTLSGEKWTYLL EQVLRRLGKAGRQNVVLECLRRGSDTGLTMSTRNLATRVFTCFGRKAYDNDFNAADTE KALLYAEQAAALMENLEHAGSTSLAGEEDPRLSPEVIGTLLQLAAVRASKHLQGKDEE GKVASYSEKLLNTPTAFTPLPETENGQELHPWMWTYVPILHGMNLAQSLQPGTKNAEE LRSKAYVLSEQVSECKARLEQWDAFEKLKPPKENLNRRQKRTSNEPLYGLVMYDKLIG TGSS LY89DRAFT_645018 MAMPTPTLRPLSCWKSLLRHARHQKVATRSLTTYHKSVTFSKYE IPTPGASIEVTRKIKTYPPPQSPAQKFKEPLKDFTKHQISILDPTGARTHLFSKTNPE AAKVGDILLVRLKTGDPFAGVCINIRRRGVDTGILLRNELTRVGVEMWYKIYSPNVEG IEVVQRREKRARRARLTYLRKPKHDMGSVQNIVLAYQRSRGAMRGSVQEKKGGKKGKG KKK LY89DRAFT_733344 MVSTRKRSYDDASSALPLPAPKRTKKVSSVPAASMAPKKSVSRP VSSKKSTPKKKTGAPAKKNVVANVEHEAIVNVQPEVPAKATKPASPPASPAAPPPKKK VHFLPTEKGSPPPKENVGPSKKKEKKAKVPALSKVPKARKSPPVPRPSGAPKPPPAPP GYPFSIGPPSPGLLARGGDDTVTPLAHVPNARPFVSRLE LY89DRAFT_54620 MAVSRWTGGCVFSTLLCSKLVFLASSIFGSSALDSSGFVASLTI GSEAGATVSVTASMCPSAAIVTFNETGFSSPFEFGISSVASSVLLSSAFASGVMSFVG TDVSSLLSCFVTRVSTLLLSSTLGRAGTSLFSPSAWGKSSAFTSSTTVCATPFTCPSA PTVIFKVMG LY89DRAFT_54614 MIDMKKQSQIRNAHAVISFSSPHPIHPTYAAICGIEDASGDTGD FGESAVLSLLLDSALFDEEPPKGQRYLQTLDRLAGVGVLVDVGVVGATVSTGLIAVSI GLGASATGLSTTFGAGADGLTFSTDLMVTVIGRWVSLVGLVSGALGTMGSAEWGIIGS ADFVLISSGPFTATGSRALTGSTAGAETGSRGLVTTAGSSFDSTDSTAGWAG LY89DRAFT_645020 MSRPTTLHVSLPDLSKMIDHSLLHPTLTDTEVAQGLETAKKYNV ATACVKPYHIPFARKRLEGTSVLVCPVIGFPHGNSTSEVKVFEAIRAVEEGGNEVDMV VNVGRVLSGKWEYVVHEIRLVNEAVTGRGAVLKVIFENDYLGEKEIVKLCEICSELGV AFVKTSTGYGFVKQASGEYNYKGATIPHLELMRKHASPNVQIKAAGGVRTLDDLLRVR ALGVSRVGATATEAILEEAMRRGIGNERVQVEVKW LY89DRAFT_781698 MECAVEGEDYRSRLKEFQDVEARRLQFIEEMLSKLDTVTADLER VTQDRAREVSILKADLETEREARRGLQEKNGNLLLSVSKMEQARFVLVLVDADADIYL FRDGLLAQGGQGGQFAAEELIAKVREYLATFESFKDAAKIPIMVKAYANFSGLAQACM RDKKVVSMEAMMEFWCGFTRRFPLVDFINVGPGKEEADSKLREVLAHHIVSPLCEHVL LACCHDAGYVPVLRQYAAQKDFSSRITLIASGPFRGDMVSLGLRTTKSFEPLFGHVKS SQSVRSYAEVGAVAPRPPSTSKAVLSVQDLKLSGKPVANCDRLRPILFNEAGKRIDKP LSVHGDCVKEIRKRNLCSWHYLRADCIVDGCKKVHDFRRPLTPKNYDALWCISRENVC RRLSKHGKCEDDQCIFGHGFV LY89DRAFT_615639 MASRRVHVETLNYRTGGFHPVHLNDVFKKGRYTVIHKLGHGGFA TVWLARDSIRQRYVALKILAARLSRDCPEIETLRRLRNSENHEGKTYVMSLLDHFWIN GPNGKHLCVVSEVAGTSIKQFNECPGFKSGTRRLRAEVARSVALQAAKGLAFIHFTGT VHGDFTTANILLQIANIDEWSIDQIHERLGFPQTQGLHRVQGANEDTSAPKYTVEAIS MKQVDPQWLSDQIMIIDFGISFLEVYSSSDYGTPKSYCAPEFNFDTKRSMKSDIWALG CTIYEIRTGSCLFGYRGQPTRNQILASIVQIMGPLPDLWWDTWDEGRKWYEDSIAQDG QLCKFPQGKLHECIMEIGLRDGDATTSSSKLRNIEIKGDTPPNVDKRKSSTSQMHQVS TSHLVALVEELTTSEAAEVMELVNKPNTESSEDKPQSGSSGEKPNSGSSGEKPNSGSS GEKANSGSSNKNQYGSGSSGSKGTDKTVSSEGLSTGSSHPLGIPLGSITDEGHQKPKT PEQVLSPKPTIDFLEPAGVTISVLEGNDLESLLRETLQFLPEDRPGPAELSAHHWFHD KYGVAVVKLVAPAT LY89DRAFT_684533 MADEVNHDELISQFVNITGASPADAQQYLAANRWDLSGAAAEYF TTLEEGTADAQGGENDPQEPEAYTGPRTLDGRPAPQSIPSVASSSRAPPKKRGGIATL GTLGQSSSGPAGGHGHAHDDDSDDEDYEPGEEPRDLFAGGEKSGLAVQDPSSRDPRRV VRDILEQARANVQRPTGESSSSAPPSRFRGSGQTLGGDDTPSQTIPDPHPQAADPGPA ETRVLHLWADGFSIEDGPLRRFDDPQNAADLQMIRQGRAPLHLMGVRPDQPVDVQLIK HDEPYKAPPKVYKPFSGSGQRLGSPTPGGSTATSTPAPVTAPAATSSPSVPEQEVDSS QPTLSLRLQLANGTRLPARFNATHTIGDVYGFIERAYPGSSARPWVLATTFPNKDHTD KSLALGDVSEFKRGGTAVQKWT LY89DRAFT_615643 MSSDAEMVPLSESSMTIPSDSEQYSGNDDISPSPPSSSDSPRMI LYSPPTIWGLVRGAAINLLLPFINGLMLGFGELFAHEAAFRLGWGGTKVFPNTRSSHS VGPGIELRDNPMERRRRDGQLDDLTSME LY89DRAFT_549218 FSSSVHHGPNRASSPFSRNTTSPFQPLTQSKLTGILQNGAGIRF ASTSPVSTSPAPASSIPSPTEAVSSSAQSAPVTEYTSSLDSATDFGSESLYNIPESIG YLKALGLDYGNGPTAMMEWTLEHIHVYAGTPWWVSITLTAILVRAVLFKPYIAAAENG ARMATIAPLTGPVTAKMQAASAMGDVDQAMQLRGELQRLHKRAGVKVWKSLVPMLQVF AGYGTFVILRAMSRVPVPGLETGGFLWVQNLTVPDPFWILPVATAGVLHWVLRKGGET GVSNLSPQIFNAMMWGLPLVSLLFTFWLPAALQLSFFVSGLLSFCQATLLRQPWFRNY FNMTPLP LY89DRAFT_684536 MSQLVTLATCSLNQFALDFEGNARRILESIRQAKAAGASLRIGP ELEITGYGCLDHFLEADTTTQAWEALAMILANPVCEDILIDIGMPVWHKNVLYNCRII SYNKEILLIRPKLHLANDGNYREMRYFTPWKGETIVEDFYLPPAIEKLTAGQRKCRIG DALIQTRDTCLGTETCEELFTPRSPGSGAGLDGCEIISNSSGSHHELRKLNTRVDLIR QETLRSGGIYLYSNQQGCDGDRLYYDGSAMIIVNGRIVAQGSQFSLSDVEVVTATVDL EDVRSYRSIKSRAMQASHQKAYERVEVELRLSNDSDDFDFDLKPSPEISAKFLLPEEE IAYGPACWLWDYLRRSNQAGFFLPLSGGIDSCATAILVHSMCRLVFKDAVEKKDPQVL KDLLRIAGEPATSSWRPKNPQEIATKIFHTAYLGMETNSSADTRSRAKELASDLGSYH LDLNIDTCYNAVVALFTTVTNFVPKYKMYGGTPATNLALQNIQARLRMVLSYLFAQLL PTVRGRNAKNPDNSNPGGLLVLGSANVDESLRGYLTKYDCSSADINPIGGISKTDLKR FILWSSTNFQLPILQSFIDAPPTAELEPITADYTQSDEADMSMSYAELSVYGTLRKTY KLGPYSMWSRLTHQWGDKLSPQQVYEKVRTFFWFYGINRHKMTTITPSYHAENYSPDD NRFDLRPFLYPGWDWAWKKVEGRLAAMGERATQIPQHAEDENKKSV LY89DRAFT_668827 MNAQRDAARVAASPAAADRGASIPSSSSTSNITVSHRIKVKNNT QKKRKSSTKVKTGCITCKVRRVKCDETKPKCQRCIKFGIECDGYRSQPPVRPKRTGAQ LILPKKEKLGSPEHSFIESIARLYGGNLDEADGSCFRLYLEETATQINSVFPSSLWER LVPQISQAEPFVRHAIIAIGALAKQSKSEGRRKLAGKASTTTDLQHALKQYDKSLRGM RDAIADGQHDLRKALIACLLVYCFENMLGNQLSAAKHAESGLMLLYQWTTGKNLLGET WGTQKLWTDHLFEEDLLGAFNSLDLQVLLFVDNRSKEVHERMKESQSRTISSMPQQFS SLTEARQYWNLILTRNYHFSKSMQGIEMEKIQEQRTETPWEDSANLQTGELLLSDSKD APASLKDEHIRYRIDIDKWTLASARLFASLATGADERQKIGAAILQVQAKVNHIMLSG SFFTTETAYDVFSREFKAIVTLSETILPYILSSYEGAAPRFHFEIGIVAALYLVGSRC RDYNVRTRAIELLFSSNIREGVWDALSVAHMAKWIRDIEMEGLEKGASIPEEKRFFLS AVNVDLYHRRALLGATQRTKEGAKQRETVLTW LY89DRAFT_668828 MKFSTFAPLALLVAGSSASVLKDRAVANNNCGRAIVGTANGASS LSKAKMDCSSNVISYTTVTITPSALTFTTTTQLLSTISATTTVTVTGSTTSTIPTIAV QTITSIVVATSSVTVTVPAQSSTIEPAKRDLSRRSATIPAYASACTNFAEYASACALA GVTQGTSTLTVTAPAQTSTLTSLTTSISTILQTLSTESDLLFTQYSTISSFTTLSTTT TVLATATATACVPSVPAGNVLTNPGFNDGAFDGWNPGGYGGFSNTIVTDAECGPYGAE FSVDATDSYGRIAQVFKTLDATKTYQIQTYAKLVSGNPAYCYYYVTCQIPDNMSSAGN VIIQTPVSSIPSTWTLFTVTCPAGSSSLTMSISLQCNQGTGPVTIGVDETAMYPIS LY89DRAFT_733355 MADSQKIEKGIQVEVQKSLKGKGKEIAREEVDVEDFNDKESAPA KTSIADSQPDLASKINAPITKAASWVDGLTIDEFSSYTKITGMYMYKKAKPNFYGAIK EDTVWLEINGKRDWKSYIQRQIPIAQIEKERQVDVLKEHCASKYLPQSEEKPLLKLKL LDYYIQRLLREMTTYSKQRGLADTDKNVLKKEAEYHVEEAAKAKRRIWEDYVDA LY89DRAFT_733356 MCFDEQNLKAVTLRVEYLKNATKPRGNMRTNVGLKPFRESTEDE EYQYEDDLPSKNGEGSSGAKVSAVPFTSNREGLRSRVPVASSADGVANDNDKPVTSKR RWANIPKSMMFFPPLNDDKDSTISKAVDPSADKLASDENPVIPPPILSQVVATQAVAQ PIITKAEKETVDRILVLLGLLSGRLNSAQASGSGSNLVDTVQKPMAKGNAKSISTTRH RAKSTADDSSDCVDDDGSSNSDSDGIEKKKKKVVAPERSTKHKKPSKEDPDADYDDGA LKKRSAGDGDSGSRKRKYKRKF LY89DRAFT_645039 MEASSKPKSASIDVESGDAPHSNLKNSGSSLNESPREIRSDTSL REANIDPITEAAFLKRMDYRMVPLLFLLYMMSYLDRSNIGNAKIAGMSKDLHFSTDGN DYSWLLTIFYLAYILFEPFILLWRVIPAHILVPIMVSGWGLVATLQSAVNSWSALMAL RFLLGMFEAGVGPGIPLYLAYFYQRHEIGLRLGFILSAGPLATCFAGALAYGITSGHP SIANWRLLLLVEGLPCFILAAVAWFYLPDSPASAKFLRTEEDQNIVSARGIRQVGAAE AGHHGKVGPIVWSEVGAGVLNPRNYITALMYFSCNVSFSSLPVFLPTIITEMGFSAIH AQGLSAPPYFIAVIFVLISTYFADRYGQRGIVICISALIGAAGYIVQATTTVTAIRYF GVYLAASGIYSAIINVIPWMINNHGTDTKRGAGLVIMGLVGQCGPVLGTRIYPANDEP YYRMGMWTCASFMILVAVLSIALRTVLKWENSKLDAKYGKLENGGGRVGMEAEGPNFR YVL LY89DRAFT_615659 MLSTLSILALALAIQAAPAPRFYTNASVAGPSVFSAPAIHNENY VRNGTLALLKAYAKYGLTPSQEFSPEFLAELSQRKQKRQDSSAPASPSNGIEYLVPTS VGGQTLNLDFDTGSADLWVFSTSLSAAYQRGHNVFSTAKSATYKPLTGYTWRISYADG SGASGTVGTDTVTVGTTSAKNQAVELASRVSSTLVTDASDGVLGLAFSSINTVSPAPQ KTFFDNVKASLQSPLFAAYLPYNKNGAYDFGATVASRYSGTITYTAVNSANGFWQYPS TTVKVGSTTHSIGTGSVGISDTGTTLVLMVDSAVTAYYSQVAGASYDYQQGGYVFPCA ATLPTLSVAIGPSAYATIPGSLIKFQSLDGTTCFGGLQSAGTGNQNIYGDVFFNAFYA VFDASGPRFGFAPLV LY89DRAFT_54892 MEVATVASCQRPSLYSVHLTVLEALVARVSPIVMFYLHIKITGR FIYFPSAGLWKFWLRSISLFVVFTSSQRESWNC LY89DRAFT_584310 MSIPPTIPKVALPKAPDPHVDPAGHLRSIGAVRERCAIVLEKAK RNQLNHFNVDMAKFEDTTKFVVSIIKRDFAPDYASIPPHGRWQHFNVGGKDRIADMLA GWPSSIDPSERCRRLLDLFLVSVLLDAGAGTSWTYKSKDSGRVYRRSEGLAVASLEMF KDGLFSSNTSQPHQVDGEGLRGLTVEKMAKGLQVSDRNPIAGLEGRTGLLIKLADALN NQDLFGADARPGNMLDYLISHPTTQASSVPVVLLPTLWSVLMDGLAPIWPASRTAIDG TSLGDAWPLSTMPSDATSQPWEVIVPFHKLTQWLCYSLMQPMSKLIHIHFAGAELMTG LPEYRNGGLFIDTGVLTLKEEDEKRGLLRFKKEGELSGKGSMEVVPMFTPDDDVIVEW RAVTVGFLDLLLEEVNSVLGLQGLDKLSLVQMLEAGSWKGGREMAEISRPNTQCPPIA ILSDGTVF LY89DRAFT_684540 MPPPASNEGNEPLVFPDISIPNPATIASNSLSSLVKTWISEAER FPEWGYHHKMVITMGVVAIGLVAFFIRRSQQYQKELEAMVKEAKSQ LY89DRAFT_781710 MHHIYDDPLLKSLLGYLPPSLRDPAMILPVIVGFYVIFLGLCLT GALSRQGKQIKKVKDKRKDEQFALDSDDEKDWREFQASIRKED LY89DRAFT_645048 MDDLNGLDWSANTSATPKAPAGTGNYYPALRPTPPPQNSGRNTP LSAQGSGAFKPASKPFVPPKSSTPDSFSNLVSFGSAKQNTLTLQQQQEKLQAEKRKQE EEKRRQYQAQFGNNQFWDGLGSKGHSQAGTPPVTSRSTTPALKPLPVNFARASSPAVN SSNGGDEDLFAAFSADAPVDKSSHYPPPPSAPASGRNTPGFIASKPMDLSKPQAWEQS GTLGAELGDDDDPFGLGQMKPQSSAPGPVTNLADDDDFLGDLAKPVEEVRRASPPKKE VAPTPDSDGESDDPWDKAVAELVDMGFSAEQSRQALTESGSGLDIQAAVGWILNDAHR QAKEKQRGGAGSRKNGHPLNGERRDDSRETARREQHPSWMREEGRDGSRTGREDNRSP NGEADFSKQAAAVGTNFLKTANSLWKTSQKKVQKAVAEFQQESDPNQPKWMREAAERE QAERRAEGRGKRPEAIVPDVTDEALMLEGGGRPQRKAKVPTPETRQQPPRFDSSHDRP PTMPRPPPERGSQAPRWQQSASAPPDPRARISKQAIEEQSAQAYISPARRKKATPQPA PQPEVDLFSSPAPAKPPQPPRISQRPSPPLSKPATPIPTRPKAPKRQVPSVSPTALAN SNQHRLAGTAHFKRGDYAAAHQSYSSSLSALPTSHPITIILLCNRALTGLKTGEPKSA VSDADTALAIIGISRGDGENIDLGPGEGAGSKDMKEFYGKALMRKAEALEQMERWKDA GEVWKMCVEHGVGASTAIQGRTRCEKALAPKPPPSRTATPKVVPRPMPSSALSDLGPS AGEDSAAVKRLREANQAAEKADDEKFALSDKVEAKIGQWRDGKRDNLRALIGSLDSVM WEGAGWKKVGMHELVQNNKVKINYMKAIGKTHPDKLPQDASTEVKMIAALVFATLNES WDKFKQQNGM LY89DRAFT_583987 MEHISDQGTGGPLVPKRNRIQLSCTNCRHGKLKCDRKHPCSQCV RRGRESQCTFTMPIRTPVVSLKNRLQHLESLVKDAMTTQSPAAQGAFSNPPNTPIGIV TDSSGDTHNQDQANGHNTLASGQVLLKNGQTYVGATHWAAILEDIEEVKGFFEESLED ADKGQSSHYNSLLWNIRSPLGKADMLANLPPRLAVDRLVSRYFNSASPALFIVHRPTF NKHYRQFWLDPEGTPIIFVGLLYAFMTIATLSGLASGETHPDTRGTPSHMLRAYHENC VQCIVLSDYTKPTRYTLETMMIYGEAEFLMSRDDQVHCYLLMAVGVRLALRMGLHRDS SKIETRLCPFEAEIRRRMWYHLNQNDLLFSFHIGLPGMMQTIESDTLPPRNLLDEDFD EECTELPPSRPGSEMTPMSYALCKGRLSNEAGKIMALANKLQLPPYDEVLRLDRSLRK AYDKVPPQLRLDESEITVTDSPSTILKRFTVSVLHEKSRCMLHRRYLAKVSEHPEYQY SKQAGLDASMKLLQRQGLIHQAASPGGPLALDRWFLSSLSTYTFLLAAMIMYLNVMNS IRDQLRTSSFEILEGIDALETSRNNWEAALSLSPEAKRAALVLNGMVDKVYQALGKQP PPRHKPDIKLGRGIASPTTSNMSQLSRTGEFS LY89DRAFT_584080 MPSPAKNPPISRLSQFLPPWPPAFTDKDIPSLAGKVYIVTGAAS GVGYHLAKILYLKGATVYIAARSQARCDGAVEQVISETKSVVGDHGRLGTIVVDLSDF ETIRPAVQSFLAKESRLDVLVHNAATMGPPPGSKDKQGNDLEIGTNCLGPYLLTLLLE HLLASTSTQPNTPVGSVRIVWEVSILQGHTSMEFEADGTPKVLTGFMANYMQSKVGVA WLTGLFADRLAEKGVLSVCVHPGLMPTGLQRHQPWVLRNVRSVYKPPINGAYSVLYAG FSPEVTMEDNGGYCMAWGRKCDLPDEIVLGKKSVAEGGSGSEEKFLDFCNKQLRDFL LY89DRAFT_55025 MRFTFKMGLQALLVFLFSAHVSAFWRMPCRARSGLARIDPLVSN GTLSEHAHALHGSSGFSTTAGYDALIAGECTSCEVSQDKSAYWTPALYFQNSATGEYT LVDQIGGMLAYYLLYPNSDNTTLSAFPAGFEMISGDTNQRNFTYPVPDIQKSLWNVAP YNTQAFLRQAALGFNCLNYAITPEGSLYRHFLPDKSYLDAHCTDGVRFELMFPSCWNG VDKTSVDKKSHVAFPSEVMTGDCPSDFPVRLPSLFYETIWNTYEFAGINGTFMLANGD PTGFGYHGDFMMGWDEAFLQSAVDTCTNLSGQIEDCALFTIQDSDVYSNCNVTLPSAL AHENVVGAVTTLPGNPAIAYGPGYASGAAAGGKSATATAGGGTIGTTQSAMTLSYSAG SSLASTESYMPGGIFAVSTGASFSAAVEAVATSTAAPSSSAIYSPPVAAAPSFFSTAY NTISANEVEEILWVEEVVTVTQAETATVTVPAARKRHLHHGHGRRF LY89DRAFT_55021 MLRSFLLSIYECLHTSSSVHSRSQPQGLSTLCLPESLDPMYAFM FSLVLNPELVAEQTSPFSVPVRVLVKQCKTSHLILKELFFSAISTLSNLPCLIFRIYV RQASDLPNLLFL LY89DRAFT_54985 MADPLVVFITGAGRGIGFALAQAYLLQPNCTVIGSTRKESAELK SLPTATGSKLLLVTIESTSPKDPKNAVKDIEAAGIHHIDIIIANAGVSPPVHPLETVE LETMLSTFKINALGPLALFQASKHLMEKSSNPKWVSISSAAGSINGMEGFGSYVAPAY CVSKAALNWITLSAHCGNKWLTAFAVNPGLVATDMGNETVKFLGLEKAPYTQEYSAEQ IMKLIEKASRESTSGKFVDAIKGEEVPW LY89DRAFT_668838 MSGGALRSKQGCWTCRLRRKKCDERQPVCTTCEQLKITCYGYGT KPDWMDGGAKEKAMIDQIKQIVKHTSRRKGRLNVALSRFQRKEEAPKLAPKPTAPLPT TSESPPGTESTVVTVNGSSSGDTSEHANGSSPVTFLVLNSSVEASEGASVSSWSPFSI NSQEAVLLMHFIDNVFPLQYPVYKPSVAEGGRGWVISLLLRTKPLYHACLGLASYHRG SVLLEQRRGPCNTAVVVEQERHLAICLKEFRETIQAVDHLIGNFNCPKNSLGLMACIV QLIYFELFAGHDTWKIHLQAASSTFSRGYYDQLDELDMIRGREAIWDPDGEAHGHGCE PNEQKAVFRFMGGVIIWLDLISSITAGTSPSLVEYHSHALSTSSHIKLENIMGCHNWV AVQIGRISALHELKMHKIQHGCPNITDYETQVVDIRAEIQRGLTEMCLGTLNLNQTVP TCFGNTFITRMFAFAAGLYLDLVVNGYVSSSEIFHMLRTEAMMVLRGQVPAEMMHTII FPLYIIGSVAVPEDQAFFRYVFQTSPVLDPSLEHRSKILPLLEKVWQQTQLLGAQLTW EDSLKLADQSLLLI LY89DRAFT_696918 MKTIVILGGSFAGLTLAHKLLKQHASTGDVKVIIVSPNTHVYWN LASVRAIIPGQIPDEKLFQPIAPGFKQYKADHFEFVLGKAESIDVAGKKVAVSSATGI NNLSYDILVLATGSHTKADAPWKGRDTYEETRDVLHDYQAKVKKASSIVVVGSGATGV ETSGELGFEYGKTKKITLIASGDHVLEGTPASVSKTATNLLKKVNVNLTLSTKVVGDA KMPDGRTELTLSSGQKITTDLYLPTIGLVPNSSYVPADLLNSNGFVVVDNNLSVKGAT DVWAVGDVSSIQRAQIVNATKQADHAAKNIDLAIKGQPLLPYKVGGDMLAVPVGRKAG TGHMGSWKLPSFMIVMRIIPGPNSSAFRAQIAGNLQ LY89DRAFT_684545 MDPQEESVASPASEPRKQKKKKVLLMGKSGSGKSSMRSIIFSNY VAKDTRRLGATIDVDLSHVKFLGNLTLNLWDCGGQDAFMENYLSQQRAHVFSNVGVLI YVFDIESRDFDRDLLTYRSIIAALSQFSPSASVYILIHKMDLVVPTQREDIYNDRVTL IQSKSDNFAATPFATSIWDQSLYKAWAEIIHDLVPNLDKIETHLGRLGAIIMAEEILL FERSSFLVVSSWASSIGKQNPTTDRFERLSNIIKNFKQTTSRYTGLPKSSEQFVLMEM KLQTFSMFVIKFTTNTYLAVVLPPGETTFNCAMENCYIARTEFEDLDSPAKKSADRGA TTSGATTSAET LY89DRAFT_645064 MAEGIDRKADERMEFTTSKDVTIAPTFQDMHLKENLLRGIYAYG YESPSAVQSRAIVQICKGRDTIAQAQSGTGKTATFSISMLQVIDTAVRETQALVLSPT RELATQIQSVVMALGDYMNVQCHACIGGTNVGEDIRKLDYGQHIVSGTPGRVADMIRR RNLRTRHIKMLVLDEADELLNRGFREQIYDVYRYLPPATQVVVVSATLPYDVLEMTTK FMTDPVRILVKRDELTLEGLKQYFIAVEKEEWKFDTLCDLYDTLTITQAVIFCNTRRK VDWLTDKMREANFTVSSMHGEMPQKERDSIMQDFRQGNSRVLISTDVWARGIDVQQVS LVINYDLPSNRENYIHRIGRSGRFGRKGVAINFVTSEDVRILRDIECKHPPAVNVKML MITSVLLNSNR LY89DRAFT_781718 MILEEQRFLHEDLERLEQGISDRVAEEPRHIRERLNRDHQIAGF LDRIQDQSKRLLEIYKDADGARLKEIQAISTGEPLEEFYKQLVGIKQFHSRYPNEPVE NLERAYKKKTLGEGETPVYEIDNLFTGEEAFGRFLDLTTIHELYLNLPSIKRLTYLQY LDAFDIFTPPQCSVKRPDKMTDQYFTYVGQLANYLESFMRRTRPLEDLETLFTSFDED FEKAWNDNDIPAWKLETTASSNGASGDGIWCADCEKEFKNDNVYKAHLTGKKHIRAAE ARAARQAEGGEDTNAAHRGSSTLRLKERAIAEREYRVKRLAAAMSQERSDTKVNIERK QGMTERERQMELDALFAESSSAPQQRGDSDSESDEDEKIYNPLKLPLAWDGKPIPFWL YKLHGLGVEFKCEICGNYVYMGRRAFDKHFNEARHIHGLKCLGITNTTLFREITDIAD AVKLWDKIQRDKTKAKKDDGSVVQMEDAEGNVMPEKVYYDLQKQGLL LY89DRAFT_718211 MKFFSYYAAVSSVAISTTASPIRNPTQSINSSQLSNHTAHSGKE HDSARKYEAISIHELMTNATAYNEALADCAWDLSKASNETRAKFAIGAKHNDRLACRI ILADVLPHRRPQLNHTRVGKGRHSVRSCALVDSCKAMAELGAIFIPNTIAHFSEHAKR DSEIQPYQNAYKDQERQRLNQWKQDITKRFSNGVQECITTVSHSSTGTPKLDLDGCVK NVTDDYSRDLISAEQGSLRLDDLLLPGPPQMKDIFNKGGLRKDAADHLVDDLANCRIL VLHELPSLTEHQPEYSTYPFKQCVEDAAKVYANKLDLAQGPFANASHRLHALHNREAK FTDVIKDFAAKVKKVAKKVGELILNDRAVNRRDLFNHTAPSNHTALSTRPLTHHDSDA IEKFIESVKKMPLEGGSE LY89DRAFT_781721 MADQNARWLLSSANWKTAPPNGFKGKKILGTGSYGIAGLWSYEG PADQAPPVQHVVVKQCSKSEYSLIGTDPYIEGKLLEILSKIQSKHILRMYGSLVRAIG SDGQEDIRLFLEYCPGGDLSCLLESGHDKIEAPRKALLEIDIWAMFYCLALGVAAIAR GTEDENAPAWNSNTEITHYDIKVDNIFLGYRDEDHPRYPILKIADFSTAVEEPRVSLQ NDKTGYARVIAGDLSIRPPEADPESAPLKNPRKGTTSNIYQIGCIVHCLITLTTKDFR SKKGGLKGMLNSLKRGGETQGADLDKREFKNLYSKTLRELVMRCMMREPLQRPGALKL QIEVRKGFEAATAAAKKSNVFKDGGLTSIPMVGTAWPEPPTLMKTI LY89DRAFT_733375 MQKAQEEECVPSSPVRTPVDSPANYIKSLESHIACLEQYVAELE EVACISNPEVVKDHLSMQRSPILSGLRRGGDQSPLHSACLPYTSLSGSLVRANQTGLS SSDDSTQHLGNDYGLSLPGEDSNSLGYLSPFELGFGSCFGFGAQVSTQLSNAETTRSL SRFYERLYFTNVQSSWPFLQESRWKEWAEHYGDEMLLPGAEDWRGFFVDMVRSVGALV AQKFEKGSQHIDRSKSFHERAKKSLPQALSQPSPILHTQSSLLLTIHAMHSESADNID DYASNAMMYCALSGLYHDKVRKGVRRDQVSIQDDRCENMVRRQVMRVCYGLDSLIAMA FDRPVFVADELIDTESLLHSRGDNIVFQDAADSSPETMTGVAESDHRFHLRQIQSKIH STVERLEYHALCQNKEVTNLWNSELRLELDQWKAELAHVQDPMSSCSTQWLSNLYFYN VLALFPNMNLAANGDALCHVVSASSEVLRNFRFIQIPDQKSCYTWTALVHQFQAGISL LYCFWAAPYHLAHEVYGSPDVDVALLACSATLAEFALRWESAKHFKAAFDLLNDAVVR KGRRSYDCSWQFHNELYQLIGELKKGRAHRRVLKLIEEMSGAVD LY89DRAFT_696922 MPAIQTDLATEQATFNAEVESIEAAWKCPRQAHLVRPYSARTIA GLRNSIPQTYASSTMADKLWAQLNEHRKDGTCELTYGATDPIQVSQMAKHQQTVYVSG SLCGVSEVSTPGRDAADYPWDTVPKAVDKIFRSQMWHDQRQRHELENWDYLAPIIADA DMGFGGLTSIVKMTKAFVEAGVAMIHMDDLAIGMKRFSMGMGRTAVPTSEYVSRLTAV RMQFDIMGATTMLMCRCDTATYHPYILGATKDVPSLASAISISTTTPEYQSIRKTWKE SAGLMTFDEAVKALAAEEQYKTYSSKLSEQEVTPMTERRKIAKQILGQDVLWDWELPR STKGQYMWKPTIQTVIERASAVAQLGDVTWARIDAPTWPDLVTFHEATNAKFPNRLFG FGYVGGYDFSKAGFSEEQIKNLHKDLAKLGVVWQVQPVWVTMALSDVTDKFGKMWQEE GMGMWVRDCQRTGWMKGVDGAHKMGWSGGYLADGFAEAVSGRDMVL LY89DRAFT_684551 MSGMLFKRQSIRAVIPVLFRQSYSLQADNKISILARKKDNPNNI MSKYSGGLIPSGNDINLQLGWPSPSLFPSSLINTSTTTILSDFATASSSLIYGPSLGG AAFRTALSSWLSNHYYRKPDMIASTRVCVTSGGSAALGAVLSRFTDPDFTQYIWMVEP TYFLACPIFQDAGFTGRLKGVPEDEEGVDLEFLRAGLERARSEDTKAIPKKCPETGYP KIYKHVIYCVPTFSNPSGKTMSLSHREKLVRLAREFDALVVSDDVYDFLRWPEDQSID LATDLGPLPPRLVDIDRALEGTNLFGNTVSNGSFSKIVAPGTRVGWAEGTEDFVAAMA KVGVTSSGGCPSHLMSCFMGDMISTGALDKHINETLIPTYSSRYHAMMSAIQTSLIPL GVKITVGKPFRSGGPEIQFAGGFFTSLSIPEHLPPTSVLAALALEKKGLRFAYGQMFE VVGDSGSHERANSQGGFGHSLRLCWAWHEEDNIRDGVERLAEALKELMETSNGVQAD LY89DRAFT_55405 MNSTNFNNCIQTAAGLVEAEVSGSGIPILILHGSPGGIDAARSM SRFLDKDKFKTICLSRPGYLNTPLSPVNHSIEAEADLLVGLLDALQIPRTGVLAWSGG GAVAYQLASRHPERVSALVTVAAVSSAWIAPKTPILDRIMFGTKLGNRIIKYISSHSP EHLIEEALKGEGSLRGSELHSLAEQVIADPAQRQLVLEVALTVNVGGARKAGWLNDVE NFAAIKDLGLEKIQCPVLLVHGDADTDALPRYSEEAHRRLERSELVVMERGTHLSFYA HPEASEVQESAKRWFLDHV LY89DRAFT_684554 MDLTHALLIGDDPYFDASSWQEFGDCEPWWGRVPKNDDFLEIMA ILSQLATLGHEVRNFRSTAPIGVLFAIQTALDQQAARQEEVDKTKEQAGWTAFCACYR FSASVYLYRALSVLDVDHELVQKSVADCMEVISGHDLTDKLHHCILFPVLIIGTHCLD SKQRVEIRKSLARTASYLSFEALRSLESFLEKRWHELDTSPELKEAGWWVYFDEIANV TCLF LY89DRAFT_55411 MPAASVVLKAWEACDLTDTLFVLVCTVFCWPIIPAVGLAYSGYS HRRNGMSSFMPSIMVIATCSIQWFVIGYSFAYGEGSGVIGDFKYAFHNGVLADPVGTI PAILFSEFQLVFEATVCAIAVGGACERGRMLPLIPFIVLWSTFIYCPLAHMVWSETGF LGQLGVLDFAGGTPVHICSGATASALSIYLSYPLFRSKKSSTRTPSHIQLHRPGNSMS QLIALVIIWNAWLAFDAGTTLSLNFKSVMAMCVTNLCASGGALTWASMTYFETGKWSL DSTFMGAIAGLVMITPAAGFVDMPTAFFFGVFGAVICRQALRIKSTKFAKKMKWVDNG DTFATHCVGGIVGTVATGLFARKEVAFYDGATAIDGGVFFDGNVKQLGIQLLEALIGF MWSFIGSYILFALIDCVPGLEVLAKDEEIVSGMDAAEMEESFDGQWEDDYHPFVNGRL ELD LY89DRAFT_684556 MSTTDVDTKMADEKGLATTDDTPPNGHLSHGEVDDLTALGYTPE LRRNRSLFTLLFQTLAIAAIPYGEGGPLISAIYGGGQLSIFVGWLVVMVLDECVALSL SELASRYPTSAGPYYWSFQIAKRHKTVLSFITGWIWLIGNWTITLSVNFGFASLISAT VSMYHPDWSANSWQLLLIFYAICLGTFLICTFGNKFLPMVDTICAAWTAVSILIILIA LSAKAEVGRHSAAYALGHYDTSLAGWGGFTFFIGLLPAAYTFSAIGMIASMAEEVADP AVKVPRAMSLCVPVGGIAGLFFIIPICVTLPPLADIIDAPAAQAIPYIFNVVMGSPGG GLGLVFLVLGVTMFCSISITVAASRCTWAFARDDAIPGAKLFAIVSKRLAVPVWALVL VTVVQMLLGLINLGSTSAFTAFVSVGVIALAVSYAIPIAISLFWDRRKGVNHAQWNCG PIIGPIVNVIALVWITFEVILFSMPTALPVTAVSMNYASVVFVGFGAISAVWYFVYAR RVYKGPPASDGL LY89DRAFT_747203 MERPDFQPPRHETRNTNRDSADTEKEVFSSPESRDRYSRGVNPL QQPRDGGLARNQSSNYPRVGQNPSSARQHRQYHPRDQQQHIQHQQPAPSVISSFHSQP PTPSANLWETTHVLLPFLFFTLFSIFLTVVVIYSLSLHESLPTRFLEGIGICFAVIIF LWVAVILWVKVKRVERERRVLRDLRGRVGGRAMEEGRLGVRSGERVEGRGMREKDGKR VSMVESLSSVGAGRHFQRGGLAPIFEKMGFSWKKRRDEEMGRVLSAETQYERWLEDMK EGKRVGVGEDVVGKPSIPRIPQPAATHQPRQRSKDEEGVNVQQAPARRLSRKEKRQKT QPLVESRPITHPAPSQHPNSSIQHSPKPSSFSARNPTLRRTETLRHHQQPANTTPPPF QTPHPIIKPPPQQPQPPPITRPPTLKRTRRIPRGHPTNTSSLATTSDLRPPLHVQNAN SLATPSKDLKTTRLSTIPSVSRFSISSDEDSYTFPHTQISFPDQNHPSRQAHIREHAI PDLGNQTGIETQVLQRRKRGGTIVGDGVESGDARGRARGRADSNTLPSSTPPPSYKSK EGSIGVGVVQVGTKRGRADSGYGTGGGTTPVLGIGVGKGGKEVAGVEFGDLRGREERM EGGGEGEGEFWIKGTSG LY89DRAFT_645083 MEQDHMLREEPPSYTQSHDPQSLNFPSVPTADPPPVHHARTLPP LPPAPAEPRYRQEEHPFVWPSSNPLTAYYQPGPSALSPKTGSSHGMDSPKTMDMDTPD SRGRRGGSVLSIDDPDVRLAAEALGDLRADFIQSPPQRHQPLPASSPNYQSGNNQQPE PLLSLLTTSHPLIGTAIGGSLSAYSASKNFSPRFKSGAEYVERRFTPVVNTVGSVGRM TGVEGGVRWFLGGRRPSHHQPSDLENNEGSNKRRKVDNDDTDTDRNVSHDPYPVHRQE LQHRQRRPSQASTVESLPAYDEHRSPNYEATQQALIPTQTQEEPTSPGSSWQSRLVLS TSGLSVAMSEESLRSLKYCLSWLRWANEHIGKVILALKSVLEQYDRDGRVEGTIEGSG KEGQLVLRSEADRSALNAKITDLKKDVLKTLKEVVDIVSKYAGGALPENARILVRRHL TSLPQRFRLASSRNGDASKNGETDVTEGANRVLVLAKEGLDMMAQVSGVLDGTIVSAE EWCERLGRSKRGEPEGESNDETPMEKPPLELPPMNGYANGNPDVKMT LY89DRAFT_55677 MAPLPPSLFLLLLKTCENATTSTILQGETVTAGRKKRSFLLLFY LDLFRHSSLQQNFEIQTRGVALKATG LY89DRAFT_645084 MASAVFFLDLKGKTLLARNYRGDIPMSAVEKFPILLSEAEEESS SVPPCFSDEGINYLYIRHNNLYLLALTKRNTNAAEILLFLHKIVEVFTEYFKELEEES IRDNFVIIYELLDEMMDFGHPQTTESKILQEYITQESHKLEVQARPPIAVTNAVSWRS EGIRYRKNEVFLDVVESLNLLVSSNGNVLRSEILGAIKMKCYLSGMPELRLGLNDKVM FETTGRATRGKAIEMEDVKFHQCVRLSRFENDRTISFIPPDGEFELMSYRLNTAVKPL IWVECIVESHSGSRIEYMLKAKAQFKRRSTANNVEIIVPVPEDADSPRFRTNIGSVHY APEKSAIVWKIKQFGGNKEFLMRAELGLPSVKGDDEHGGGMTGGFGGSMGGVGGKGAK RPISVKFEIPYFTTSGIQVRYLKIIEPKLQYPSLPWVRYITQSGDIAVRLPDVS LY89DRAFT_56395 MGQFLKLAIAFQFLFSIISGLELFNFEKSQLTPRDILTQSDQNA SLLKFAERDDPPPLNTTCKVFPGDALWPSDAQWALLNSTTDGALIKTIPLASPCYPGP LSNTDQCSYLTANWANSSIHMVDPTSPMSPIWQGRTCEPPTLAPTLNGTCTLGGLPSY SINATTVSHIQAGINFARNTGIRLVIKNTGHDFSGKSSGAGSLNIWTHYLKDIAFTAN YAGDAYGNYSGPAFKAGSGVQAWEIYEAAEKEGVTVVGGEGRTVGVMGGYILGGGHSP LSSIYGIAADSILSLNLVLASGEFVTASPTSNPTLFWALRGGGGSTFGVVTSVTIKAY PPLPVTTFSLAFPPNITTTDFWNGVRSYLTFFPSFTAAGTYGYFFIFPSSPDSTPTFL LSPFFAPNLSIPQTQSLLTPSLPFPLPITYTHHATYLPAWTASFPQEPVSRSSGYFGS RLFPCSNFLSPNSTLFNATFSAIKTSVEAGYSLISFQIRGAVHPSNDPDSAVNPAWRE AAMHSIQTNVWNATGDIAALEVEARQSLNGRMEEWKAVTPGSGAYLGESDRGETGWQT SFYGAETYGRLVGVKRGVDPGEVFWAKTAVGSEGWEVRSGDPVGNEDGRLCRV LY89DRAFT_584518 MVSIKNVAIVGASGSLGAPILKVILDSAKFNITVVSREGSKATF PSSVKVVKADYNSLDSLTSAFKGQDAVVSTVGTEGLLGQSVLIDAAIAAGVKRFLPSE FGSDLSNPNVAKLPVFGYKLATRKHLEEKIQAGADITYTYVINGGFLDWGLEVGFLLN TKEGKPTLYNGGDRQFSTTTLASVGQAVVGVLTHYEETKNRPVYIQSRTISQNHLLEL AKKVAPEKKFEPVVASTDDMKKSSDEKLAKGEVTMPVMVGYIFVSIFDEAYGGRFVKL DNELLGVPEASDAEVEAILKKVLA LY89DRAFT_733387 MAAASRLLGKSIWLVMPKATIRPICFNVQFAVGISTEGIDSLQR HIVKHGAVFKQNPSGRSKRACLACRAGKTKCDGNDNCAKCLKRGIECKYGEEDSRRDE SPSRSQRQIGSPIPTESISASRTSARDTIDQIPTTTPKPLEIPPSLANGCSGLVDWAS TQIRPDPKVTTGIEVGIDRDLSQKYLDAYFEHFHDRWPVVHRPSVEEEIDDTDLCELS MRMIGGWIVGTTDSIQFALDTHNVLMDHIMSQLSQVTSKDSFQQSLPAWMCMAAVLNI HDREVSRAIILWNVLMAVLRQVGFFKSETAWTDEKKGYFIPLRQVRIGQRQRLAYNLF KINAYVSILRNLPMSIQPEELHFSLPSTFSLYNSNGLHIWEERQVGEPPYRASKSMYS LIADDVQEIVSIQEQPMIIEDISLCLCALQSDIWKHLQRDRSQTCEVNDVLQRDSLRK HLDRLKSRLDRTSAQKVLFSKSDFSHEEYLPYRYYYGYEDHTQAGWQEIVAVRVNRVL FDTVMLSFLLSLHLSVDVRKLGQLAKDRRLSTVEELSEAHGRAREQRHVSMKGWAATP AARWAVCQSVDVLVTYQNFKNRAGAAEVRYLDPICHIALCVSALILWTFCEFYDHGCV MCLPGEMAIVELTRWSMTGNQFKKDKENWLEMGGRYPRSRPQVQGIQLCRCNTEFVTA LFQACLPEGWAIADSIAPGIFKGIA LY89DRAFT_584744 NPSRVPQILAGILLPTLFASIFVVARLYTRFIITRNWGNDDTLI TIAWVTSLGLAVTNALLIPFGGGHHAIFQKPSQITPTLKIAFIGRLIYQFVLCLTKLG ICLFYLRVFQDKASKRQVYMIAAFTIISALVIEIEFVFQCNPVSDAWNFVNPNCKATN PSVVTNCVCNIIADVWIMAFVIPRVLPLQMARRQKFSLLGVVSLGMLVIAASIVRCIL VLMIGKQGMVTTWTTLEVATGLFCASAPCIRPLLRQIAPGFMSSLSQTFSGPSKARTK TGPSSKYGLGSVNRVGKRGEAFELSSRGEGDRATFWIEEGDNRKSAADSEESENSKGN ITKTVVVSVRHDESSSSSLPAETKGWQNV LY89DRAFT_547003 AENHGYQLRIIAIICYILAFVGVALRFYVRKCVVGRLEIEDWLM GVGLGLYTMYISFVFVGLHYGTGQHDRDLPDQDVVMAVKYWYFCEWAYVLTTTTIKYA VGVFYLRIMIRPWQTLLVKLVMVAVILFGIAYFGCVVAQCVPVPFIWHRFSPSSTYHG SCLPDAVIVWGTYLHSILSASADWTLGLLPISLLWNAKMGWGTKVMMSLVLGLGAIAS TSTLVRLSVIHTIIDLDDFLLAIWSTIEPGVALLAAGLATIRPLLRSLFPTLFSSSLI LEIP LY89DRAFT_55851 MLCILGFLGRIWSRVYIIALHRKGYTKGMQGHNGMEASWQGVFN FQKREKNSSFRTPKRKGGRGYQNQPFVLYYSSSIAFLHDRHRPQNPHHQQLITNSSLL SIRKPYSSLATISNPLSFDQSHEPTRSAASPTIPERQPNRHQAGPAASLAIRRVVRAV VLHTEPLVQP LY89DRAFT_56547 MRQEALFIETPMPKGCVTCKKLHLRCDERKPACKRCVNSGRSCE GYVCVSTARKPTDVTPESVLEFRQKCLPTREHPKKALIVLPVAEAPHFLLARGLAAPD EVMRILLRTMVSGHAAHETKEQREKKRKEREMSLIKFHEPVGDSFDMSRPEGCPVIRS AFMVQMYRILVDIMMSRTQTSDKAVHGLFVSNSRKK LY89DRAFT_747211 MPVIPGKSATYDYVVIGGGTAGLTIATRLAQDSSLSVAIVEAVG FYEVDGGNVSVVPFYGSQGTSNPLLNWQFMTTPQPSLLNQTYHYARGKTLGGSSALNF MIYQRATVDSLQKWADEIDDQSYTSYQKSTNYTYAKVDLRIRNATVANDTGAFVAGAG PLHVSYPNYANPLGTFYPAAFEELGLSPLPYGVNTGPLIGYAYSTVTENPEDETRSSS QSSFLDFAITHTNLTIYTKTIVEKILFDNLNLARGVNIKTDNTSFTLFAQKEIILSAG AIQSPQLLMVSGIGPAKILQQYNIPIIADLPGVGQNLHDNPASIVLYNSPFVLDAAQL ATAVVPYEVNHTGPYTNPGSEVIGWDKVSTKKFSNLSLSTIASLAESFPPDWPEVEFV ANGVDSLVMSYGRHSPAQPIGTVFSILTTFSRGNVTISSDSMDNPPLINPGWYSDPRD REIGIASFRYIRALEATESLKELLVDGELVPGDSVQTDEEIWEYIQKGTTSLSHASCT NKMGKSDDKMAVVDSDAKVYGIKNLRVVDASSFPFLVPGQPQSMVYALAEKIADRILN P LY89DRAFT_733392 MTSTLPDTLSQTYQRYKEDTKTIVTWLSNEANTSGYKLPIHIAT PPAISTGRAKGKDRKLVRTQDASASPAIKLPTFILVEQAKWLANGKPKVKVPVYIHSS LERAIRARQRCSNWFMSNSPTDDEGAENTKAHIHFINCLIQIRDVLRPFFEIPDLKPR SLRSSTTSSPLTSLNNSFQALKVSDINDETLDSLAIEPAATVKTGQQPKKANAREYEL ERGEDEDLTFRIFCFFEDLHRIQDVLKEAWQRCHQKTLDSSVAALMTDVAINMVEKWE ADLVTLAHGRLDRCDHTQYFRFFTQLFPTGDSVAHPENLGHGTDWAYIWEFLMLQKEV AFTPQRNPAFTRSIFPEPLTSMPQYASKKDEDLFMNRMNLDISSFKVNKGVQFLELEI LDNRSAGNKPGFPRRYYGYLDGITKTMCKTENDVITVFASRLLLDANHILGASVKGFY EDLRRDGASALQILDFDHYHQGLLKHRAGYDQSIRDGLELAWGSDEAVRQATGAFSMI RCGIKTNLVATRKKACMKNWAIPSGSSSPSEVDQILIQTWPSKDPIFFYNHNPIYCGM ESLRLAVTMHKTGILLSNGIYAFVAMAHVYNLVNQNELLAAPWTDMEQAMERFKSELF HGSYPMKLDEIVRRYGLCFGIKASNFARNARKKVLARTIKRVEPLKESPISACLEAYL AGNESAERFLHSINKFKNLEPSPTKSSNLIDMFDGLRDVVQEALPQIREDLICLNRQC DILLRRIWDKLQAELDVDFGGGSNVTCFYIGSAVLSNVCGHITDRSPNFERSGAPFQR KTVEITAIVVQEFIKELAVADKKAVEPFSIDEVTRDSDD LY89DRAFT_733393 MKDSTKRHEHFISCLKAFQDLLYPFFNSARSQKSRSTQQPDGST VELSLDNRFEALLKLDEDDNNTQENSAQTAARENSRVEKERETYELEYDIEDDLPFHV WCFFADLHRTQDFLKETWAKHAGGTLNLPTASTVTSVALELVQKAEAELVHLSPQHLN QSGLTPYLSISRYLFSDVLSEKMQCDPVKWSYLIESLLPKGRTSTSSHQAPCREEPSL PQWLRAIIGPEAMESYDFISHMMLDLYYPHLTGDQTMFSETTSNVRSEALDFPTKPEP YLDIITKSLYASCLGGSTVISAFMVRVLCDIQEILGDTIQDSYSNLRWQGAQAERKLR WGWSDLERTTTSTGWGLSPRQLLPLFGSPLAVNQAIST LY89DRAFT_56723 MSIAYVTLHMVAAKHNDPVGITRPPELRLHAACFIMARLALVAW FMAFIASCVVISKPEACLVESQACQTQVADVVASLVAFVAMGIVLTALEACKYPFQIP DFLHISRRFTYRVSAFAEDLLDRSVSRTSSLRGHSEKSKASSQKSSVDEKPLPKPPSD ISDVSPTRPITPLLPMAKPARSASTTSKGWGEEWTHLVREMNDGNPEKSGAKNSLDSA ISLTYDSSSGYVSSSERSSHTRMSSYASTYRRSAIIEGGAYVTASRRTGVEMNAYASG SRRAPVARRPRPRVVTPSSSISNISRRSPLSTMRLVDFHVTAVSANTFPDLPSSQRWL SDQT LY89DRAFT_56726 MTDIMASEEPHTIHSPPSPSTKRPKGILKNSYHRSPPNQAPIST TSPPAVSPTRVSRPAFDERDLSDKDITLHNTIQNAGHRRSSSAARPPGSRRHSSHSSQ GPVIDGDGEMRLKWDEANLYLTEQEKSSTMKIDEPKTPYAKHYDPAEDDEEMRTLDAD EIVVDELDKARAGRSPGKSSRAKEDEIPGLSLGEPEEAVPEKDLDEHTRKEKAVHVSG EEHVGLSQEELEKHKKFEEMRKKHYEMKNVANLLGHPEDLDEDDDGDEAMNGSAR LY89DRAFT_684572 MNVVQDEASQLSKRDKKNKKRNSQVIDLVDDTEDDPATRRAALN QAKERQGKTVSKKRQRREAQQSQQSTQAEKPFDSDYDATPPPEKKRKRKHNNEPPTQS TQESALRLHELPEYISKEEALRRTVLRGRVDSTPPRVIASQRSQAPSPATPIVSRDDD FSIDLDRIGTAHMPAVAKTPRVRESPIRPPTTIHSPAAQRQRSVSVSAPKESPIHPPK RIHSQLSERQRSVSVSPTKVRKSKEKAVKKKGKNENSAFETAVNSIRNAVGNRFSALF SPLPMEWTPTVKSTTSLKDHNGTSDSQKNSKKQKNTHDNVPLLKGTPVIDWEKKSGKI RAYVTPEDGDDDTEIKESKYSHTS LY89DRAFT_718232 MASACLTEKFFSILRAIKHGEYYDKNFVCAYAFDARYFWGFFAL LALVFLVDARNRIKHGVYHPLVRSGPFWPFFIILFIDFLDQTFDLGGRKESNICIFLS QVPGVDCGQVLGVLLTYAILSAAMSYYITLYDIPDVHTNYPQSYEYGYLHAKVSYSLQ DKSTQTEDIWTRAGWRRTDDTPTGGFEGSYRSNWRLPIPEDAVIGASGNPRDWWKPSP AERDLLYQTQSGLGSSSETEAPRDSIETVDGMKSGSKKVHASEADAPSIKENEVLGTS SNTTPELPTFNTPISATRSGFTCCNQLFRTRAEYNRHERYHKRPVGCPRCPLRFGTTT DLDRHINDVHERTSVWHCAEVACKHSRTSSGRGFSRKDNWRRHMRNAHGVNVERDATI LY89DRAFT_56716 MADLQSGSCEPCKRKKCKCDRQLPVCGHCTTSQTECKYAESNKR GIPAGYLGALEARLNETEAALYNALCELRRFNGGGSFSYTNVIQPLSSHQNNTSKALR MKSWIQCPLQTSNDVENWWISFQENQTSYERDDTRPEPKTKDDSATHWHSHLNSQAQN SFTARATSRPTLESPGNMLYDVSNQSLEPCLQQSHTPPQLPDSNQPKVLSAATSGQFG FFDIQRLATRDESIGGSTSRPHEEASSQQIRGDSQHLTRSQQLSAEMSHIYY LY89DRAFT_615718 MDPDYPTAGAPSRKRRRQSSVSALRPNDTASPHTELGHMRSDEK TGSTSFVGSGSGIAFVHTVRSALAKNMSKDSSLFDSEIVPGEDDHVSRNSPESLWHPN EILHSSSEQGANSDSTTFEDLVYWSQPYFNIWHAPFPFLHAPSILGLFETISSDGLLS LSDNETTIVQSVLSISLADRRQMPKGDRSSIQLMPASLVFNTVEDAIANISTLLVHSS TVFGLQAAVSIQIFLISILRLNTASRFGGLIVRIAFHLGLHRCPSRYKQFSAAEAGMR RRLFWTIYSLEMFLAQSLGLPVTLKDEDIDVCLHDNEDHTTNHRDGLNNNDSRRFLLP TFLARSSQIKGLILELRHKSINHRVTDPNEVAHIDTEISRYWNEVQDFIDPSSLEDDG TNSPVGQAPSEMIRSCHKLLLIVQKHESVILLNRPVMTSGHNTFAVSAAIQKSIGASK AIISRIYQHLQDCKREEQSTDGRTTSPLFWPGFTWCVWMSGLILLYAASNGFYAVETA QREATRCVKILENLSLRGVFWPGACAAAIKDLQEALRQKVGNELLGSETEPATSRQQD IHTLRSSTNGLENRLDVDRENASSLTSLQHLNSRHGNSGAHHDMLKAAAEDTSPLGTV APNNPSRSPIPVFQGDPTPLQNWPAMNGQFFPMESSFDDFDDIFQLMDVPYHLSELS LY89DRAFT_684576 MGEIIRSNAPLLMISDLSIAGLKAAESPEVTLVEVSEVSSTCSF LDLPREIRDQIYSLIFLSDGPMYPSRARAGIAEYLGLLRTNQQVYSEVVEILYGRNTF QIRGEPRWKAPELLNLLSSQRRDGFIHPSMWAFHTNKICLARLHLKKLYIPSHNINLE RLKHLFSLLKYFPNLEHLRVVYTGSFGVKDMEVVNICRLFRDRRPLVEDFVLCKRIRY SEAEDISWMILEKPYRNWTSISDTADMRHMWENSDGVVRQAMVVNAPQSIPE LY89DRAFT_684577 MHLMYTMQDGKRVYTLKKVVSGEVTKSAHPARFSPDDKYSRHRV TLKKRYGLLLTQQKDLKVLGQ LY89DRAFT_645109 MATNTPKPVHSLVLDTGPLIKNDPSVSSLIAQAEVLYTIPAVIE EIRDVATRSRLETTLKPFLTLRSPTAASIKIITDFARKTGDLAVLSRPDIHVIALAYE LECERNHGDWRLRSVPGQKRLNGAPPSSSVPTVEDTEATEPEVITENEVSVIDQQGGT PVVSEPATLEATIKNIHLSSPETYEVLEPAPESIAPTPAIDEAQIPSDISAEEVQGSE AEDSDGGEWITPSNLKKHQEKDSNTSLDPEEKTKTMQVATITSDYAMQNVLLRMNLNL LSPKFMRVRELKTWVLRCHACFYISKDMAKQFCPRCGKPSLMRTSCSTDKDGNFKVHL KKNMQYNTRGNVYSIPKPVAGTSNGKLVSGGGKGGWGQGLILAEDQKEYVQAMTGARR KKEKDLMDEDYLPSILSGARGGVGGKPKVGAGKNVNSKKR LY89DRAFT_668872 MQDVFQIRPFQWRGCFFANTSNTTKIKQKSKRKHSKADSYNSLP PQPELSEAYEEESVEETWPPPSFLFTINELPVNDEPTQRTIELLVPLADLELLLQGGE PPRVDEYGNWHPPIRSNGFGTQVPSRIYRWADGGRVSAARECQWFNNQWWSQAGNELT EYRASTMFWCNNFTQFLVATGDASRRHMEQSIDPHNRWWPLTFRHESTLSRVEEVGQE GRLAGSGTWINALGLTSYRNRHTVPAGGLAGNLAIMIGLIAFSCESTDLDTVLLTDRA WRRGRWHDHGRIDGRIDERGVVVTIYHDPENTQGSTNDTIFPLEWTGAALLH LY89DRAFT_696935 MVLQDLGRRINAAVSDLTRSANLDEKAFDGMIKEICAALLEADV NVRLVGNLRKSIKASVNFKEQAPGVNKKRLIQKAVFDELVKLVDPHAEPFKPKKGKPN VIMFVGLQGAGKTTTCTKLARHYQSRGFKACLVCADTFRAGAFDQLKQNATKAKIPYY GSLTQTDPAVVAKEGVDKFKKERFEIIIVDTSGRHRQEDALFQEMVDIQTAVKPDQTI MVLDASIGQQAEAQSKAFKETADFGAIIITKTDGHASGGGAISAVAATHTPIVFIGTG EHMLDLEKFAPQQFVSKLLGMGDMQGLVEHVQSLKLDQKDTMKHIAEGVFTVRDLRDQ LSNIMKMGPLSKMAGMIPGMSGMMQGMDDEEGSMKLKRMIYICDSMTTKELDSDGKMF IEQPTRMTRIAYGSGTSVREVEDLLTQHKMMAGMAKKMGGNMKNIQRAQSAMGGANKQ QQMAAMKRLASMGGAGAGGGMPDMGSLMKMLGGGGGGGGGMPDMSAMMNMMGGGAGRG GRR LY89DRAFT_645118 MPGPISKKRKVEDGMKGKVSRPVKKFKKQTDYYSSSDEEETTSQ DFKAVNLQESDEEDANVTDLVAAEAEDNDESPIEDDVEEVTDASNSNSESGSEEEDED DDNPNLIRKRKRNDPEAFATSMSKILGSKLSTSKRSDPVLSRSATAIQASKEITDLAL EKKARHKMRAEKREALEKGRVKDVLGASTAFDAANGRGEGPSVQETMELEKRLRKTAQ RGVVKLFNAVRAAQIKGEEAARGARETGLVGQGRREERVNEMSKKGFLDLIAGGGGSL KAGAIEEA LY89DRAFT_645119 MPTTRTLIRGLSSLGRPGGRSRHEICARTSISQARSFFSTCNLN PASRISSHTCSQTNGRFAGGSVTNHARRSFSASSISSHGHWEPPKDGEEIYVTFIDKD GDEHKIAVNKGDNLLTIAQGNDIEMEGKYTGCILRGEVNKQPGACEGSCSCSTCHVIV EDETMYDKIPEATDDENDMLDLAFGLTETSRLGCQIEMTKELDGLRVKLPSMTRNLQA SDFSKKS LY89DRAFT_584041 MSTSRDGPNPLRPYYKPPSIGISQDLPNTTSSGTHGLGPRNGSA ASYASSARDIFSEMDYSDYLSDTSPSALESVRKQVDDWFYKYMSILLAQPFDVAKTIL QIRCQALDDDPVQVAEDTPSQRSSYRDSIYDGYPSDDSDPDEPAYFTSSAPSATSYSP SRHRRRHDSDPRYSPPLPKATKTPGHQLVLRKPDSILEVVSQEWTKEGAWGVWKGSNS TFVYNILLQTIEKWSRGLLSALLNLPDSGLNMGIEASAEMIGAPYPWASLGLTIGAAV IAGVILAPLDLLRTKLIITPTSAPKRSLMSQLRTLQSYLCPTTLIVPTVLHSMITPTI SHSTPLLLRSHLGIDPVTTPNSYVLANFMSKTVELFLKLPLETVLRRAQVAALKEDVE MARVHGQWEGDLETTVKPGEYRGVMATMWLIVREEGVRETPVTSTAVKKVKGKQVPKQ QKGQGLPGLWRGWKVGMWGLVGMWSARALNGVNADNASGEF LY89DRAFT_56935 MTVRDLDWQKWKSFLRAGALFNPATQVLQVPDMYNKLQNIDPDS EYDFRNTAILQQLNYVQDDTFWFRMIEIKNANKPIDYVNIEAPSTEEPTIQPVDVWSR SREQGSGSGKRARPGSSDESQSRKKPRGSKETPGSASKAQRAGKLAKYEERDKNNYSP WESDVDVNDPNGDGGNSNDEDNDSEYDDVEDDDLDDVKQEVFDRRMNGVVDDCEQWDR KTWEDCCEFFRMDSTNESRDQTALVKLDETSTAQFKVFQAYGIYNMMLKSRGLAGGGF LADEQGLGKTRQGLGFCIMEHILANAWDRINADQKANGGEHNLAANFQAAEFCPCEKD GAIPFSIPCPCWNGSVSGKLPPKRGPSIVIAPPGLLNVWSEEFEKLTGNAVANGVSSV PGQDRFPMELAIFHGNHIIPQAQKARVTKWLENPMTAGTAARVMRGLTKYIAVSSSAS FENYASKTWSNLDTIPWGRAIIDEHHFAFNHDTNAVKMIRLLSGEPHTWMLSGTPFES TPANLDGWIEVLRRRKNQNGKPNQTTWANTSFHHARKKELADISAEYVFAVNNSGKER TPGGQDAMVLRLAEILRALMIRRTTDSLWFDQKMVLLKWNNHHKVMANPNSAFDTYEK TLRAQSLSLLKKGEKRAAKKPTGMALQNSSRMLRIAATFPAISRIIVQEKPDFTMTQK DILPAWYKDSRGSSYATYLDELYQSSDKITRLAKILTTVRNGKDYLKRPEKIIVLSTI PAVAFIISLWIKKLGMDKAILVHSKSANRAAIMDGFQMNSLSQYKDKGTKVQRTAYVE GTQATILISTCKVVGVGYTLTRSFRVVLMEPAWLRRDEEQGFSRVKRIGQMNAETHTY RMMNKGSVVEKIIAQRQNSRYGLSADAFKAAVDEPDFGDDMYDASQDETDEYGMTYSQ KEIAAYKKKANQRQNQGYLDYDDDDYEV LY89DRAFT_57418 MKMIVAHPTEGRTYTKKALEDLLQEAEIYGENTSNLIIATTSGS FKKQVGDVVGRKIKWRRVIRDELHLEKTPATKNIKLMLSETAKRRRGPTSMWALSGTP FERSPKDLAGYISVLRTGAENAWEIDGRDELKFGLAAPFQEIDTKYNKLIKDAQKGKT LRKVDIEGVLGKFGKILHALMIRRTMSSSWFGKVLLDVPESEHQDLSFTVSSAYKNDL DWLRECAQHNLQRAAAARQTKASTKFSQPKAQSRASGTEYQKYGRRLRLAATIPAIPG LLRKKEILDDMFLQETILKQGWYDNGPNYNASPYKKYLTQFVQSSEKFTLINQHVLQV LRTPKDPENPEKIIIMSSFPAMAFITALWLKKGKHSVLFIHSALKNRATLVNDFQGVM REDTDEAKRPKTTTKGTKAESERDAARKASILVSTIQLIGTGFTITRATHVVMLEPAW MLRDEKQGYARIRRFSQKNPTFTWKLINNDSFVEPQIMQRHAARGRFVELSFGDNTDQ QDFGRNAPQDFDIVDEDKGVAGSKKPAARYTDKQHEDSDEEVDGYSSGEEFEF LY89DRAFT_781753 MKDNEAHKHAIEAEIDMAHSEHRDIPLGYEDPHKAALEDNPEHA EKLTLSVVLSALFLGTSFTGPIIFGFILATPILVQLSEKLGGANIDFWIPSGWGAAAA VGFTIAGRVSDIFGRRYVILTGQLLTILGGIIAGTAQSMNQLIAGEVILGASIGTVSV ACAGISEILPNKYRGAGLAWTEFNLSSWIPGTLLANAMISHATWRIMFYVAIGYGAFS FIGTLFVYFPPSHPRPDGKTKWQEFKELDFIGAALFVTGLVVFLFGLNSGGNTYAWGA TGTIAALILGLITFFAAFAYDFTLAKDPLFPWYLFKSFREFSSLIVLVFVAGMVFFAA SALNAQTILYLHTADAIKIGVYSLPSGSGQLIGGVVIPALVQYIRHVNLQLIFANFMQ ALFFGLAALITPTNLNWLMAVQFLAMLPFGWITLNCYTTASLHVPQRDLGVAIGLIGT FRSVGGSIGSVIFSSIFSQTATKQVSSRITSTLASNSVALNATSTASFIKAVRLTILG VPGQAAAFPTVSTDIFAKCVTAARYGYAYGFRITWLASIPFGIIAVICAACVRDPSKY FTNHVEVHLEREIGGRKGLVSSKREEESYVERTEEGDGEKVEGVGAREGV LY89DRAFT_584083 GHGKGVEGFGKSSWYDAFNTTEESLFSTRSKKRHAMKRRMVAHG FSAQSLQLFEQFVDEMMEKFMGKMDEFARTQQPFDIYFWFELFTMDLMGELALGKNFG AIEAGKPAKYSSLVEQSQRFSNISGVLPFGKANVKVLSWVPIPRIQELWKARVEYLEF ARTALEKRFRDDRKELVPSGKARQDIMQRFSTSNTTLVWVAGASTGSVTMNWMTYYFC KNPEVKNRIIKELENMFPENVDGSQILPFVKLQKLALLENAEIECLRLHPPIGYSMPR DTPPQGAVICGHYIPGGVAVGVPAAIIGRNETVYKNANTWSPDRWTDETADLATMKTC FLGFGYGSRQCIGRNVANQFVMKMMATLLLRYEIELEDPGLVLGTKEFTIQKPDRKYN VIIRPRKS LY89DRAFT_668883 MYSKLALASSLFAVARAQQIGTLTTETHPPLTWQKCTAPGSCTT VNGKVVMDSNWRWLHSTSGSTNCYTGNTWDATLCPDDATCATNCALDGADYTGTYGVT ASGSSLKLDFVTSGANKNVGSRLFLMADDTHYQMFNLLNQEFTFDVDVSHLPCGLNGA LYMSGMAADGGVSQYPTNKAGAAYGVGYCDSQCPRDLKFINGQANVEGWTPDSNSANS GTGQYGSCCAEMDIWEANSVSAALTPHSSDNVTQTRCDGNACGGTYSTDRYGGTTDPD GCDFNSYRMGNTTFYGPGMTVDTNSVFTVVTQFLTDDGTATGNLNEIKRYYVQNGKVI PNSQSNIAGVSGNSITETFCKAQKTAFGDTNDYDAHGGFSSMSEAYKTGMVLVLSLWD DYADQMLWLDSNDPATGDATKPGVARGTCATTSGVPATIESSEASSYVIYSNIKVGAI NSTYTGTLASGGSGGSSGTSSSVVVGSSTKVQVPTSTSVSSSGGAAHYAQCGGVGWTG ATTCAGGYTCTAANAYYSQCL LY89DRAFT_583976 MDHLLTAMCYVGSRYVSGLSTNPYATDLESFVVSPPVRDASMVQ TLLLYALGLDGGGEQVKAVEILVRAQHMALDIGMNTREYALANGQGSSVCEESLRRSW HELNVVCVMVAGFHGRRAFYFQDWNSFVPLPCEARYFDIGLIPPLHTFEEFEEDSFTD DDIEWSSYTYRIAAARNLDRIIQSISGHFPDNVSIHRLEAYLTAWKLHLPESKRDFYD EASDFDEMLFQAHMITDVSSMLLHRHYAKLEVLAVQTITSCSEHVDLAPTVDNMHTIK TAQAAANVSRLVALPTPLINHTHFFVCALTMSSIVHLSMWSALPIISPEQELKEQIRM NAGALKAIANVWHSARMGFGQVTKAGQMIYATRKDVAGDVFWRDFMQDDIMTGHIEDD PISEPLLCRPTSSQP LY89DRAFT_583916 MLSTSLFFLVLFALVRAQDDNDSTDPAALPVVTVNVSTSQTYQT ISGFGISQAFNRAASIYHLPNATQSKILDLLFDDSVGAGLTILRNGVGSSNSTALDYM LSIEPNPPSTSISNGTATYAWNGNDSSQVWLSQQAQKYGVQTFYASAWSAPWYMKSNE NDQDGGYLCGVTGTKCGTGDWRQHFANYLVQYLREYQDAGINVTHLGFLNEPDISPSY AGMRSNGSQAVDFLKILAPTLQSSNFSHVKLVCCDNTGWSIAGATLSELQSASGEKYL SVYSAHGYSAAPTDPLKTSLPVWQTEWSDLTTPFTALWDSAVNTTDDDTGDVTTTDGT EVDGFVWATNIQDSLVKANVSAFLHWMGAEESSGSDMLIRINSTTNSYTVSKRLWAFA HFGRYVKPDSRRIYANSSAAWEDFSVSAFESANVGRSGIKSIAVQVINKGWANVTVSM RVEGAREGGVVLPVTTNEGVDVGSGEYLAVWEGLVNATVPARSLMSFVFS LY89DRAFT_57489 MRISPGSGLVLCVFQSWCLVEGLKFTVDNWTGIVSGTPFQVTWT GASTGASLTLSLDNGTLADPSLVGNISSGISSNAYTWTPGNKAIPGQPFFLKIEEEKS GTSALGSTFTVAATASSSSSSSSATATKSSKTTSTANTSPTQTPSATSNPGSSGLSTG AKAGIGVGAALGGLAILLLIAFFILKRRKKAKARTEPYELMENNMSGRTQEDKKNYVG AQPVPPAEMPATVPQNWRPEMEGSPATRPELAG LY89DRAFT_615756 MTSPFPRATTRRLTRGLVLTVIVLVVVFFVRESGLGEPKFEFNY VPIPIRPERYPLQEKTIRLPQQSPRKIPKIQRAPVVESVGDKKLREGRLQEVKDEFLH AWRGYKQDAWGHDELMPIAGGYKSPFCGWAATMVDALDTLWIMGLKDEFELSLVELRN IDFTNTQGCQVNLFETTIRHLGGLLSAFDISGGKYTILVQKAVELAEILFTAFDTPNR MPTPHYEWSATNEYANTHLPSGSIVLAVLGSLSLEFTRLSQITGNDKYYDAIQRVMDE LEKWQDKTALPGMWPSMVDATKFNESCLLGTPWAGMDEQFTLGALADSTYEYLPKQFM LLGGTMRSYRTMYEKFVEVAKKYLFFRPMTVTEEDILISGAVSVQGDNLPRRTAELQH LTCFSGGMLAIAGKVFNRPADVDDGAKLADGCVWAYKNTVTGIMPETLTAVACENKTS CKWDTKKWYNAIDRYAEEEIIRERIMTNKLSPGFASVQDARYLLRPEAIESVFILYRI TGDTYWADSGWTMFKSIMAHTKTKLAHSAIQDVLSAAPPQVNEMESFWLAETLKYFYL LYSTFDVVSLDEYVLNTEAHPLRRPR LY89DRAFT_583974 MGPLTIRVLEDGRNTDNRIGTMILTIKPGSKGPPIHWHRMHDET FFVTKGRLRFTVILADDAKGGSKETKDIDTKAGDYVIVPTKSIHTFSNPFDEEAEFFN TFTPAYYVDYLRMLAKALDNVMTGKGRPLTESEQRDVMAQFATFAPGDVGVEI LY89DRAFT_684592 MQYAEHTSIRNGLGILHSVAGIGDITLSLKRPVASSGELITTSV TLSHVLHIPTASCNVLNPILAGLKAKARNKRTGMRQWIDSSENVPFITRTFLELERII VVPSNQRGASLLDPMLSITPPRYLEPRLKMIMSDYQCSTLKLLSEKEGQLSIPGHLVA ELADNCFPEG LY89DRAFT_747243 MSSKNPSTEAHILPDPSSEHHSQSWRITSGLAYTHSRALLIAYK SQPTALILYGPYPKIAIHGLGTVKITVLKSSLSPDEHVITLRNVLHWPDAPTNAFNPL LRKRNEGLGDLYEVSPDGAKGWVGDEQVWYARKDEGGALRLVVSGGYAGGDGVRGVYA TEDEESVLGITEKVPWKSDVVTPEMMEEEKRKEEQKKKNEKEEECS LY89DRAFT_733418 MATNVYDSNGWDMIAATTQDDITLGAPQLQVKVGSGSQVDVLIP ITGSIKLNGTSIELLGQEIIVTTDLLQVEAEVDPHPGENQTTYDLMFNLREGFIVDIN LEMPAAGYAFLIIALKQIIKDYLGTGKQYILASFTLTAAEEAEYKPLIPRLADFTFVY DPTTPSRSNFLVLMQTVSPGLGNMYFNAPILAPTQDYLVLVSNRLFLEYIVMPALITG LKKEIINTDTHIELDKDNDPWISKLTGSIDTSMQALELFLEYKADVTFVQVHVDAWDN SWQQFAIDDQGNITLKQINEEQNKSTILEWWKWLIAGGASLYAVIAVGIIDLVVTADA PGLGGTFADIGTTALIKWPNQKTIVLKSITTPGHIVMDLEVSF LY89DRAFT_684593 MFKKNPDKRVSNQRILSKLAISNDKFYNSARQFIRDLHSFNNDE KARSRFAETGHEF LY89DRAFT_645146 MASKPAGRSLQNIIRHSRQTCECSSRYPRSIRQFSASAARKDVE YDHEKVERPRWSYTPERAKAPISWRIKDPAKEWECNSDPARLDNFYVKFLGRGGDKML TEEVKWLAITHKSFDQGRRGFNDRLSFLGRRILNLQTGLAALSGTAMITKPEPILPSD KRKPFTHSALEGLGNLSHVQLSEVLTKQRLGSLASQLGMRSIIRWKPRNVASMDASGI DVILTTSLYAIIGAIALQKGGDVAAEVAREKILKPLRLS LY89DRAFT_57740 MAAHERPSTKASIGTTLLQKFATVLPLGASFKAYHLSTPPSRTS AIYSAPPGARPDRTYCESHFLAVSIRTPAKDGADSKEVLAFAIEILIYSTAYDTTFFV SKADSTGFLHHLGLPEKAPSPIRDISATFLRHLVQTHQRPNVRSVVSLFARAQDQYLF PGSVEYSGKHVLDDRGLVRWWCKVLDPLLEESKALQETQWDVIKGYLVVPGLDNHETH SYLPRSTPINKSWTVGHPLSTISRHSDAPPRCLIPHFPDDPKSRYLDELDDEITEGRG KNSGQWKSVKTIDQFWDMMAFRQECSAGRLVGFIWIVFSPKAQRDPNASVLGDSQTSD LSVDSQTDIESSQPGLASSPLTSFTASSQVYPTSSPLKQRAFEEFESQQSSKPRSPKE KKKKKLTGPIISRQPRIKTENKNYLLDRLENTAYYAWPAEGRAQIIVDELDYKRVTEL LLRLDFANLELATSSSNRWINEVRSEAWGEMRDAWGQVVTGTRPVQTRTETVGSSVNS LNMGLMRKKRKGDESKTTSESCAPQVNVLSVVY LY89DRAFT_57769 MSQSSETTARRGRSKWQKDYQEKHPLRNRIVVFLDGTSNDKKSE TNVWRLHEQCNEHENFRGVRQVKCYIEGVRGLNGSLYGSGLELKLVKAYTFICQKWKS EYDEIVLVGFSRGGFSVQLLALFLNDLGIAEWKPPARLGSAQGEYKKTFEKDIENKIK EWEKEKGLGCQRYSRNAIIMACGVWDAVAALEGAGGKHRSKPERKQFRFAYHRLPPNV RNAFHALSLNENRRAFEPVLWHQTQPQPEQTLKQCWFLGCHSDVGGHKGNLSNGMPDV TLVWMMAQLEPFVLFRTEPRTATLTMWPSVKDLRDDEVKDSRRLLYKLDKSEARRVGE HLWGFRPTSNLKVTKETIHWNVGEWTSGSFRHSLSDKGQKTWKRLKRIRNPEGDPPYC WIVGDEEHSLSEEQTDPLELLWLGIEAVHPDPNFPQDTNRKVFRKEMERMTNVVYPLS SDEARDYEWSNLTTYSAASGSQSDFSPWSNYETAEDSDQNTVTSYYQTGTSGDDANEH EDSLGLEDRSDTDPVARDTSGYVIEGYPVTQEYPNANDNNDNMAGDTASISETTADAL STEPGSTGDEQYAQEHIQLPGPQLTREVSAEEDVAYLQGPRSEVEQNTRDRYSLPSRE PHKDTNRRHRSSRSSRTAQSSSGSSRRHR LY89DRAFT_57757 MMSNKPKNTPAYGYRRVGTRAEHRESTPLVVSPDHLEIHLNQNL ENHLKNKLENPIEQFSHRDLPPPYHPRTEIATMDPTEIPAKDNHAEIATMDNTEIPAK DNRTKNPAKGNDLERGILTERSPLLSIAPLSLSLTAPLSITTSGVRVHINRSCTFLLF LLAILVGVAFLAIAACYVWKTIRGGV LY89DRAFT_733423 MTANIHKRKYLPTPSTSSEEYDPKTESMTIEEFSRGKDQWRVSA AGHLPVHYPYNFFNSGGFPKIIGKMKLWPRDEPSAAVDTVIIRTNQGDDDKDRFTRWQ DACGEILDLLVKKGNLDSSQVQVEIVNPARTNQDESSGIRDTTVRAAIDSVRDALYNI AKAHLGGHLRQISWHMRGPRDAPEEALRPTAMVFVRKRSFLRFTYAQKSIAEALLTPT FPGVFIDLEIVRGGVELC LY89DRAFT_747245 MTSSPMIILGIIEKYGHNEEKSFSGGWLGRHKMEPRVRKAVDNN QHVPLVLPAFPWKSVNKVEKVLGALPDLGELLGLGRLNYLCGEIQEIYSPGAKVTVTS DGLVYNDLVGISDEEVYEYGAALRRMSEEQGYHNIDFFRIMNLLGITDQIVMTKEEYL STCGQTREALVERFLDPTFIAAEAIEKDRDMNLTYCGYIKFLTKDLKHSPVTQGIVGG HAYRRAVKQIAQEMITRGSAFASAIESAFPDHVRLSIHRSSGRNKLSFPLVPQPNHFS MTPWHSAVAVTAKGEFRTGHMLSLAEMHDVVKNKDGRPFCFRDRSPLWEWEGVEFEFA YPKTLIVRAKKVEDGGVTPRLGEEEMKKLKQFGKGFSPVITSGFAV LY89DRAFT_583873 MISSEASFSRWTAWAQVLAGHLIIFDTFGYIGSWGFFESYYEKP LNASLSSISWIGSVQIFLVYFIGTFSGRALDAGYLKTTVALGCFCQVLGIFMTSCAKS YAFLFLSQGVLVGIGNGLAFCPMISLISTYYTNKSRALAVSFAAAGAATGGIIFPIIA RNMLGGPGIGWTLRVMGFVFLSNSVVAISLLRVHVKPRKSGPLIEWAAFRELPYLLFC LGTFLALWGVYFAYYYISVFGKTIIQVPPDQLFYLIMALNGIGIFGRVLPAWLVTRVP GVLYILIPMSFMAGLMLYLWALVTSKDELIIWIVFYGFFANAVQGLFVGGVGSLTKDK QKMGVRIGMVFTIVSFASLTGPPIAGALIDARDGNFLYAQIFGGTVMVCSSSALIMAA ISKRKADLES LY89DRAFT_718256 MDPITITATVVGLASKLVISIKQICEFWTSIEDAPEYFMSIARD LRLLQSILEGIEEVDDTTIVRLVLEECSERLEKLHTIVAQLETGFLSSKGRVRKWTAL KAVFRSDQIRKIQESLSHTKQSLILAMQMSQTRQIRAQPQAVLDKLTSFFMQQKLVAE SVTEVSLCDSSIDSPSASQDSKEIRDLNQDYESPNPRHSECRTKSSISWRSSSKEGVS RWRTPFGRIDLRQETSSLMNGTETIETRTHYKFVVRPSSWLVKVGLQWEIQASLSGRS SMLETFRVIPWKSPVFEYCRTGDIENLQRLISNNEASIWDVDNSGRTTLHYAARGCQA NTCRFLINAGVRTTKNAGNFTPLTESIYGASYGSRKNCPEDQVETQRLLMSQNDDYVG EMMTFLSSFYATARIQQSSRDAYLFPAILQSSMVLQSELQGFVEEPSEIYTPRAKVVR QIWKSWQSWDTPGIPNYCVDTYDEIVDLALVELQYPSVFHACVLTGHLNISALIQSGA NPHLISKGHRHFYGPDKGREDRWDAARRIYLKTFDTPTSLAMYTSGHFSNWRAALHSA KLDLDDFIQHELRPGLDINGRKMPLRVSGWTKTNLAQLFKYKLQPSTCKSACSCRYTR ADFKQPKWLRFLWNVKHQGSTSRTCNSYEDDEQRGHNQDSPDMEVEDQASPRIFQDLD PGDGTLSPFCFPDHKGCCYVCQRCWYNNRERDFWLARDTSPDEHLENMKAVWEREDSD DSPFLLSLG LY89DRAFT_584821 MLFLTGTSAFAITTPPPTRTLIARQTTSASTAAAEFITTEYITL PGQTNAHVTIAPHTITIAVPTCIQTLTPDKNGYLPPGTCNALYDYYPSFAAAVVASVA FGVLTVAHITQAAVYKKKFCWVIIMGSLWEFASFTTRSLSTLHQQSVALLLVSQLFVL LAPLWINAFAYMLLARLINFYSPTRSILSIPTPILSVIFVSLDFISFVIQLVGGSWAG PTAPEADQLKGIHIYMGGIGLQQFFIFVFLGLAVKFQFEMKEVDRLGRGRGDQKWKGM LWTLYASFGFITIRIFFRLIEFSAGNTSSNPLPYHEGYFYALEAVPMVFAILCFNVMH PGKVLVGPESELPGVIAMLKPKRAGRKEAGKGYSSLEDGNEVLMKPTVYHLT LY89DRAFT_553332 MDDPWGSPWADEVQLPKSIKLEDKGDEEGVKNRPATPARVATLV LQEKTNSPWGDAEDDGFGEWASLPADNGRGLGLDGQTDDWEDDTPAAATTTNPAPNAL SLDWNESQENEVDQTVKLAPSPFLKARDLARLPSPDPWATSFTTDNETAHSAQEEAEE ADQHDFKDKEEESQNNISATNSNPEPLSDELLPDGKDTAPQEASVIHGKIDMEPEAGD RITSSEVIDVAPTAENLDGDHLSSRPSSSPSEHSLHDGMSQESPRTSFDEEPKRPQMP RKTSSKVQELVEHFDGLAKQEIPDTAASSTSSGQETIKAADEPGVEDEDDDDFGDFEE GQSDDEQDTADQRPRTPEIQDQKFYPDLEADGPFEEVFVPDTVPHDSFSTIEERKTWY RVSRYGTMRKYNTGDDNYVRINWPQSQIRKDTLEIVARWMEEDRISGRVVLGGASKGS SIFGWNDKKAAPVPLAHAFAAKEGKRKVEAVV LY89DRAFT_645154 MSLDKVKHIVLVLSGKGGVGKSSITTQLALSLSLAGHSVGILDI DLTGPSIPRLFSIESSKVTQAPGGWLPVPVHAANPAAGIGSLSCMSLGFLLRERGDAV VWRGPKKTAMVRQFLSDVLWGEVDYLLIDTPPGTSDEHISLAETLLKNAFPGQVAGAV VVTTPQAVATADVKKELNFCTKTGINVIGVVENMSGFVCPNCSECTNVFSSGGGKVMA DEFSVKFLGSVPIDQQFVMLVETGRRPVYPVGTEVNGHDMATNGDADYSTDVKDPSLL IEKYKSCSLSCVRNCSAPPWLLATISAPDSFNVPSALLLINCLIVVSSHIFYDAGIPA ISKTSPRTPRRPAMSQASYANFTMEKNEKDVGLTSPSNSSTPGSESDNIIVEHEKLGL WTRMGCTPESFKRRTVSQDLNHTLKPRHLQMIAIGGSIGAGFFVGSGKALNNGGPATL LIDFAIMGVMIFNVVYALGELAVMYPVSGGFYTYSTRFIDPSWGFAMGWNYVFQWAVV LPLELVVASFTVGYWNPDINVAVWISLFLAVIVIVNVFGVLGFGEAEFWASVLKLFAV CIFMVVGLVLVCGGGPSNGLYSEYWGARLWYDPGAFKNGFKGVCSVFVTAAFAFSGTE LVGLAAAESENPAKALPGAIKQVFWRITLFYIVGLLFVGLLVSSTDDRLLGANPFINV AASPFVIAAKDAGLDGYDSFMNFIILVSVISIGNSGVYGGSRTLTALAEQGYAPRCFK YIDRSGRPLWSTIAILIFGCLGYVTLSSSGPVVFDWLQALSGLAALFTWGSICLAHIR FRAAWKYHGHSLDDIPFKAVFGVYGSWAGLILIVLVLIAQFYTALYPLGNDGKIGTAE DFFKAYLALPVVIAFWICGFAWKRTGWLKLSDIDVDSGRREVDWDRINATKAEIAAYP AWKRILYLLF LY89DRAFT_57831 MASTNATFRYLSRGSKVEPSPHLYHLPPLSDFGDIRSLPMTDIK PSMDPGTKSPFTLSKHGFLALRSPSTMFFPPHTHSSWNDASSLKSIYIPEIETMLLAL TGGRKVYTDQVVIRNNLHTEVDGLARSQDTKKGEEEGEGKGEIEHEFPKLIGVNKGTG ASPAPKVHLDFAPLGARTHLRKYHPKTTELAQEIITAEERSLSTHGFKPHQLEELGKE PCTYTGPRWAMFSIWRPLKKVFRDPLAVGDCSTFPKDDYVHFDVLFPTIGQGEGETHR EQAFLAYGPKGEGKGERHEWYWVKEQDVDEVLVIQLFDSEARESCEVRCCVIW LY89DRAFT_57884 MTRPRTPTKPHLNTKPRPQAPQSKEKPTPSRPNISPEEAARRSH LTPAERSAEALDASRAAESQHEGELWKCSPSSEEPSSSSRRSASDQEKDAAHMRSFFS NSRPAFFDAQGDPIPFTGSASSYFFGTGESQSGPQYTRKATRQEEKKERQRRAQAEQD AKREQEYLESEYLESSPPVDDEYWAALLLSQQRDKKEWGMYYLVLVADLRAFKSSRQD GVEFPALPNLRCPREDRLKGELIGCCHHDLEKVFRGSGMYSREWLRRERHQWHPDKFS RLGQNAVERSTEVFKLCQKLLETKRCKFPLLSLPNTQMNKQ LY89DRAFT_57815 MDTNKDFVWQLYQLTHCLNLIFPPHCCPPRYPSHCNTIVLTWLT KWKGGEEYWKGGGYKEIFQPQLSFHTSIILFAFTHLGSLLLVHLPSLWHWGEEY LY89DRAFT_57892 MVHFEIPSQYTFSPSEGTPQLTINREATVDLDSSNAFEGPEKLL EVWFAPAADALPPGTKKDGLKAVQAETWKEMLDLVNCKVLSVVESEHIDAYLLSESSM FVFPHKLILKTCGTTTLLLGIRRMLRIAAVEAGFPSHNTSSLDDIKTAATPYRVFYSR KNFLFPDKQHGPHRSWKQEVKFLDDMFLGGSAYMVGKMNGDHWYLYLTTPNTTLTPPR TPDNERVATETKILKIPTSSAFAMGQRALENDDETLEVLMTDLDPENAKQFYLEHASA VAEGKYSERADRARREAANSLGDLSGANMTNSTLSGSTAANDETFDVFSQTSSDNGAF TPDDDVGYPEELSTEGHALGTVVSETCGLSDVYPTSKYPDARVDAYLFTPCGFSANGV IPAPDQAGTATHYFTVHVTPELQCSYASFETNVPGRQTGRETHEVIEHVVNIFKPGRF SVTLFEAKTIVAEVRDLGLDGDGVAKAGLLRSAKMEKIRGYRRLDRIVHEFDGYDLVF RYYERDGWVGGGPRLGEVD LY89DRAFT_684602 MATTTTHQHRQPFAPLNSSRLQSLVNLKNRQNAAAAAATTLSPP SKRKATTFEHDDNDNHDTENIDPIIFLSSPKRSKGLSADSLKPQLPATNFFLTRASLP PKPRPILNARAPRLETKLPLSAPAGRSPTRKRIGILNRRKTTAGRVDPPPPPRFAAPT SSTGLGFSIDAALSGTIPSYSSRQASSSSSTSSSSSIKDGLSSIPLLLHQPEAKPTWF FDIHEDTEEELATNLMEHGACTLDISSDEEGAGRERDARGKENVPPVDDVSQTSRGRR AVQEEGSIEVDRSPLGDLCKEDFYAEGVAEGDVFVVREEEEGEEEKEVSVEFDFAGGE GKEVVEIDVVPELDVETLMQRPSSTAAPKAALLQPIEKAEEGFELWESGSAKDGE LY89DRAFT_57986 MDDQEESPRSASGSGSAGPGAGAPKRGHRTACNSCKRMKVKCEW DQESICNRCAKHQTECVFTIPERKKREKKETYLTTLEDRLNRMEAVMAQSGLSMPTKE PGKEAKTLASEEVGIQDIPDKMSLLKIFDDGTTLFIGASSGFSIFGPQGLKWVTEVTG STEFAKVIMSLPSPHLHLASMGPEMWGPLPDDQLERLPSKERAMIYITEFFNGFGAIF PLFSQPIFMERFVRLYPLTPSQDPAWYACLNVVFAIGSVILNKQQTRNSPCSSASSPR SSNPEDEVWWKWFRNASSTFLELQFREGNMSAVQAMIGMAFILQTLPNPYPCSVLAGA GLRLAQAIGLHRNVSDVGLTQAQVEERRNVFWSSVIIERAIIMRSGRPSVMQEDDIGI DLPKPAKNAMMGPNVPQPIRYMATLSLLQGRIYNKLYSAKAATRSTLERLQWVSTLDE ELQEWKDNLPIEIRPGNEMKVNREFVIPVIMMHYGYLNALSTIHRCSVHYKSWANGGE PPLNMEAMSSMKLNPRVFSSGAITVGAARGVMNLLKETESHVDQSEMNVISVLQNPRD SQALSDVALMRGAVDFLATAMDSDDRSPSTIVIRTFKAVCDLAGRFVEKAQAQGTQNP KRGREPLQTPNYVLDSSPLDYVDKAMTDHPPGMPPPSSDTESSQTFRQSPSAPLPETT SYDSSISQQPPFSIHQTLPNQPGTTQQFLNDPLAPSIGLYNTDLYGNNDLSGLDSGLG AGVDFFPNDLLMPTDGSWFFPLANTAMAPGSS LY89DRAFT_58149 MAATYDLVVVGAGWFGLSSAKAYLDFHPQEKILVVEASGSCGGT WSKDRLYPGLKSNNIVGSYEHPDLPMSEAVYGVKPGEHIPAAVLHQYLTDFAKKFGVF ERTRFNTKVDTVEPSVSGGWQLHVSTGTSSEVIETKRLIIASGLTSTPNFPQYPGQES FTAPFFHAKDFCVRGDIINKAKRAVVIGGAKSAFDVAYAFAAKGDVDVDMVIREKGQG PVWLCPAYVTPLKRRTEELLHTRLLTWFSPCPWGTEDGFAKVRNFLHSTAIGRWLVRG FWKVMSNDVITLNAYDKNPLVSQLKPWNSILWTGSGVSIHNYETNFFDLVKSGKIRIH TTDVSKLDGNTVYPENGKAIETDVVVCATGWKKDPTFKFQNLGEAGLGLPYTAVEKTG LSRKADEDVLKMFPSLRNQPILKTPAPESDPLRLYRFVVPPTMVAKRNLAFAGMVSTV STSIFAAVQGLWITAYFDGNLDRIASSQQEITDEVMLHTQWGKWRYPCGYGARLPDFA FDALCYIDLMLRDLGLKNHRKKSQMEEIFKPYKPTDYAGLVEEWEAGHDGYPVKVNGI H LY89DRAFT_684603 MPSQSGWRCLDIAIVGGGIGGLAAAIALRRAGHKVTVYERADYA REVGASVSCAANGTRWLHEWGVDVPKGDPVVLRKLIRRDWKTGQPDLVYDYGERWGHV YNMFHRQYIHAILMESAVGEEGEGIPAKLIVNHQCSEIDLETGKITLANGNTATHEVV IGADGIGSKVRTLIGVTPERRAADASCLHANVDTAEAVKLGLVDYSQNSALEYWGGLD THCKIVLSPCNGGSLLSYYCFFPREKGDYSSQNWNAESTVEELLAPFPDLDPQVYKHL SIGKDVRPWRLWIHEPYPWWHKGVACIMGDAAHPMMPDQSQGACMAIEDASCLGIVFS KKFFNGDISESLQTYEVVRKPRATRVQAAAAKARENINEGIGFSSNTDSAVYKVSNEE EKLTIEEMNAYDMHEDVAKKFCYRFW LY89DRAFT_707093 MPRPRVRPADRQRAPKACITCNTSKKRCDANLPCSLCVKKGRAA SCTYPDAVRQRRRSRSPRVIDTQHSRLRERESESVVETRESSLQCSQQPTMLLSSRGE QVYIGNVAAISFPQFLQKTLKRYIGPSSFTDLRKNKTMLEVQVQSGGTGQFEDALDHA EKQDLIQCFLRVSNGILHLFSDQDISRLLRLDNIQHPATESLNFHPQRDDMACLYLMI AIGAQCRGKSEAEIKLAGKYFTKAQQIAFVHMLQDPSLAMIRIFLMMAFFMLGACRRN TAFLYIGIASKAAIILGLHISYQHGRASVEERAVRRRTWMSLRVLDLLCRDGYEKEDV SNEIKDHRTLALRASYESSAIIETIVQSCANSSNLDIKSAETFLQMLREWSQALPESL RNSPKAQSDSNTHLVPDPRELTIGNIHVSCTYYFGVILVTRQFLISRVMSQLRGRRSP TALPVLTELPADEREKEIVFQFSKGCISSAMFMTQLCYEACVNNILLDNMCLLKAWLF SAGLVLGFCLLVEEEDCAKIQQALNHARETLQKLSRLSPQAQQYYDILTSFWSAIELY REQLLIHQKPSTNPYVEQIMTFDEIGSGWQGGDQSQVPALGQLRTPEASHGGDDIGAV FDGGYLGGQLEMPSNMTQRFVRNQ LY89DRAFT_781776 MLGKIILEEAFALPRLEEKTRWWAGMFSTDPETHVQEIQDLNDL RIKHADKHGVGYQILSYTTPGVQDIWDQKEAQALALEINDFVAEKLKQFPDHLGAFAT LSMHDPKEAAAELRRTVTEYGFKGALVNDTQRSGPDGDDMIFYDNPKWDVFWETCTEL DVPVYIHPRNPTGTIYEKLWKDRSWLVGPPLSFAQGVSLHVLGMVTNGVFDRNPKLQI IIGHMGEHIPFDMWRINHWFEDRKKLLGLDCKKTIREYFNENIWITTSGHFSTTTLNF CLAEVSSDRILFSVDYPFETFEDGCTWFDNAELNTRDRLKIGRENAKNLFKLEEYKDS DAKVI LY89DRAFT_684606 MTLDIDTSKAPTPLPTPRLTKVSSRVSLLSPLSRQGSGPGLIIL TSDSKDNLAIKQGVPSLLRKWAEEGYSVVEIQENALQNGKAEELLERAVSALSECEKC EPKGVVGLVAYEPKLWNQVASKLHSFKEIVAAILYASASEVSSLEPSHLPLIRHVAGK FKATRSPQLTDYENPQVSSYLFATPFQDHFNYSAEAVSHTRNLTFLKKHMNGPFFDLE VIWDEHTYFEFENRSVEHTMATMVDEPYVNHIPTITGGIGRENLTSFYAHHFIFKNPE DTELELISRTIGVDRIVDEFIFKFTHQTEVDWLIPGIPATNKRVEIPFMAVVNIRGDR LYHEHIAWDQGTVLAQLGLLPEYLPYPHPLPDGRQPGAGKKFEYRLPVAGIETAEKMR DKNSVSSNEMFAFGIREV LY89DRAFT_696958 MAPPLEDVRPKFELKNRSYIVTGGAQGIGFACARAICEMGSNVV IMDIQDQPVEEYKGLSSKFGVRTEYIKTDVTIKESLNSSFAKAIEILGSLDGLVPCAG IAIDKPFTEQTWAEMTRIQELNVRGVFFSELIASQCAHVAIPGYRMAAYNASKGAVRM LAKALAVELAKWKIRVNTISPGFIDLDMTKAVRDLKNAIEGEQMWKSPPLKRIGTQND LTGAIIYLLSDASSYTTAVDIPIDGGVQAGRIYVEN LY89DRAFT_584513 MSHSDSFFSSGGRPAPNLGIYARVVHNEGDAKAGFKYFSYLING CLGLQIVVAAALTALGAAGGSRGAVTVFGAINTVIAGILTFLKGSGLPNRFKYYQTEW KRVREFIEQRERDFSRPTCDLDVYGVVAIVESMYEEVKLDLEASQ LY89DRAFT_615795 MPAMSNNHQSTPRMSSSDTSNSNCSSSRQCMTNMVTKILDSMVA HNPDTLPLAMIYRATENSHPAALGMMTSWRTITKAGPPSLLAIDTTNGTAYFALDISE GNDAIQNVLRGRVKVVGQNITELELFINRFRGDHGFSFSSQELPSNYKDLMNPPANRT KPSRADLEAISAALFANSSNPASNTSVTVADDCQFTELGWRVIDTGNYGNASSSPLDC TWPTDHPTDPNARINLVIDEEMGFVITSGVVPGKVFPYANITESAFIPDTLTAAQEAQ QAWMDQMIELGTIPMLEPTGATGDTLELLQLYNDELQAMQINVYLSGPGMTSPWLS LY89DRAFT_58197 MVNAFTTIYETTYPARGPVLKLRGSSESFQHGSLTVTPVIMSDN SSFGAEISGIEWNNAIDPEVVKQLIGLQDKYGVLIFRKTGLDNARHIAFSQQLGEKLE VNPFFYGIEKDRVKEPFLWDVGNIELDGSLVRPNSRRWHHSLGNALWHTDSSYHQHRS KYSLLLAHKTPNSGGSWTHFADTRRAYAELPQAKKNFLENLVIEHDLWHSRKLGSPEV YGNPLPHELAAKPPAYHRLVQVAPDGRKTLFLAAHAKRVVGWSLEESQKLIWELIDHC TQLKYVFSMEWLSDGDMVWWDNRQSMHRANPYTESMGARDVRRTTIIDDGPLALGVSA EEMITMKCV LY89DRAFT_781782 MSQSETILITAGSYRFLGILESSSAPQTCALFRSLLPYTQKLIH VRWSGEGLWIPLGYNATKFNIPFENHTSHPAPGQILLYPGGISETEFLWAYGEVSFAS KMGQLAANHFLTIVEGGENLRKLGEKVLWEGALEVSFEAANEEMIREFRSEGNSGEWR VAKL LY89DRAFT_707099 MSSEHSHDHEEKHGTKDASGIPDVIADHETIGTVGPDGLKRSLK PRHLQMIAIGGVIGTGLFLGTGSDLEHGGPGGLLIGYCIMASLLYSVMVALGEMVSQF PLPGGQFALAGRFVAPELGFAMGVLYWYNYIIVLPAEISAAAVLISYWTPAGQVGSTY TTGICNNAMWVGLMLIVVVITIIGLILTGIIITAGGGPNHETIGFRFWNETGGFVQYS GIAGAKGRFLGFFSVLISAAFAFIGTEITAIAAAETANPRKTVPRAIKSVWIRLVLFY LCSAFLIGMLVSPTDPSLNLASTAAKSPFVIAIKNAGISVLPSIINAALLTSAWSAGC ADLFVSSRTLYGLYARGQAPKIFGKTRSDGLPWVCVLFCAAFSLLSFMAAAHGKAGTV FGYFSNMTAICGMISWACILWTSIHWHKGLKIKGIDRNTLPYKAPLQPYLSYYGLSVA LLVIVFGGFTSFIHTFDTSSFITTYFPIPFFLVLLFGYKLYHKTKVVKFEDFDFVSGS SMEMPEEHEAKGLLRRLADNI LY89DRAFT_58302 MSKSIQRRRLEPGVYGPLPTFFDDNQELDLQSYKKHLLNLATKG IVPVCSGSLGEAVHLSYEERITIIKFIRTTLDEAGLSSAPIVAGVGGSSTKETIKLAH DAADAGADAGMVILPAYYAASLNADPEQVVQYYVDICKGSPIPLLLYNFPANAAGQDM SSAVIEAVMRRAPNLCGVKLTCGGSIGKLVRLNAAINTDPTINTSRSFPFILLDGLIA DLIPWVQSGGHGTVSGIPNFAPLAGMKLWKLCSKPDPSEADLKEAARIQAILSNADVA AVPGGIRAMKYALHKMNGYGIAPRRPLLPLKQAEGEAFMEVLRELLELEVELATAET LY89DRAFT_645186 MADPKLVWNQENIRDVAESVGIPNLSDETVKVLAQEVEYRVGQV IVEAMRFMHAGKRTILGTQDISQALKVLDVEPLYGYESTRPLRFGEASLGPGQPLFYI EDEEVDFEKLINAPLPKVPRDVSFTAHWLAVEGVQPSIPQNPTTAEARANELVPKGPG ANPTLGALAGNDNVTFKPLVKHVLSRELILYFDKVRAAILDESQDPEIVILRKSSLKS VQSEVGLQQLVPYFVQFVSEKVTHSINNLFVLRQMMELTQSVTENKNLFIEPYIASLV PPILTCLLGRTFGAPGLDNLKEQYQLRDLAASLIGHISNKYSKSSGDLQSRLARTCLK YFLDPTRSLAEHYGAISGITLIGGPSAILTLILPTMKTYEYVLTKAQNERGANDESLR MLIGAIMKGIMTIAESPTQMMNGLNGNAAEGQLVEEYLGSTIGSRVVALGNHKLNKAI LDSIDK LY89DRAFT_645188 MASTADQFSWQEPRNSMVRGAFVVVEGVDRAGKTTQVQRLCSKL YALGHNIKTIRFPDRVSTIGQMIGSYLQNETNMDDHVVHLLFSANRWEKAKWIEETLA KGYTIICDRYYYSGMVYSAAKNNPALSLEWAKQPDIGLPKPDVVIFLDLEPWQAEQRG GYGEEKYEKRELQQRVRELYLQLLNAPGGGMKVINAGDSMDAVEERIWNEVLPWVEMV EGGTYGAKVGKFEG LY89DRAFT_684613 MVQFANLPSQDQEEDDPDSLKMPQSESSEPEAVNGSLKRKSEAS PIDSKKKKKKSKSERGSKLNGPKTHSKRRHSVSKPARDPRDEASPGGPEIETTDTRSP SPVIDFDGLSRPSRGTRERKEETPEQAAARLQKLSGAVRTILECLGEDPDREGLLGTP ERYAKAMLFFTKGYQENVRDIVNDAIFHEGHNELVIVKDIEVFSLCEHHMVPFTGKMH IGYIPDRDVIGISKLPRIADMFSRRLQIQERLTKDVAHAVMEVLKPQGVAVVMESSHL CMVMRGVEKTSATTITSCVLGCIEKREKTRNEFFSLVGLNRR LY89DRAFT_645195 MASPPYNHSFASAISPPYPPHAQLPQQPKRRQSDMPSSVPSNKR RKASMLSTTSATSSAHPLRQTSFPPENNARTPAFSRSPSMDTMSIVSGSVAGGKRKKI RKSKGKDNDTASVSGRKAKSTASGDGGAPPSSRKRRASTAEEEEEEDGGEGMTLNVDA ASNEDKLKEERRRQILIRAFEKNGQQFTQYEAWRSSKLSDSTVRRIVNQTLSQSVPPN VILAIKSTAKIFAGEIIERARKVQTEWIERTGVSQTGLPSPPAEGSIVPFEKEKRRGP LLPDHLREAHRRHILEGNHGLVGNIGLWQHQAHSGVERFAIKVQGKRFFK LY89DRAFT_615816 MASEKGSKLLFEVLGNVDTSNAGPRLGRIAIPGRKDFETPNFFA ITSRGVTPHMTPDVVAAHTQFGGVHMALEDFIEKARKGTPPILTCPGPSILHRFTALP RSLVTLLAPRRSPAVTAPVGNSNTAVSIFTSTGFQSVSSKAYVSYMKTLRPDIAISLA DVPYGSLPGTKRVAKMGDRTQLWLSELVNEMPEEQAVFAPILPIDFLDQSEYINYVAD ELADTVSGLAFYDSNVLPDIPATTTIVKLPRLSLDEPSSPAHILRQISLGMDIFTIPF IGFATDAGIALTFRFPRPLPSAETQTTNGTTLLPLGIDMWPSSHAHSLTPLSPSCTCY TCTSHHKAYIQHLLSAKEMLGWTLIQIHNHHIISTFFSSVRESIKNGAFERDCEEFAR AYESELPEKSGQGPRVRGYHFKSEGPGEEKKNRAAWGNLGGEKQVGGELVPDEGADEL EGKGFAEKIEA LY89DRAFT_684616 MARNNDEERGDYGIVSDHPDDPIDGSLQTNLLPMEHDELSDDTS PPTPRFMQDQGSYRYLKWVPVPIRRVSKSLGRWAQGPNPPQIHTIKPIFPFLQEAPLQ LLDKYVPKRRPRITLLIAYYFLWVLTFALVMRESTFATEIEGWGAPGNIGCGNTYWVP GNRCGLNGNDCRPFNGSGFAFRCPANCASTWILEPRAVGAQAVNYRAFVIGGPSKDDS TPIYRSDSFICGASIHAGIISNTKGGCGVVSLVGSHTNYESSDRHGIKSIEFDSNFPS SYTFHSGTTCEAKDARWELLFVSLSFTVVLSLFTTSPSLFFFTMFTGVFFHVGLASDP PGHSSIAGLMSNLLGKFLPAAFCAFVIYRYMGVRRALTGLTAQIEKTILWLGGLWVGA LSNYTLDWIPIQRLNAHDLNQQPGAKFALTIIIILLATIVFTQIFFFQREGRLIKYLG LYGTFIGAILVSLLLPGLSLRIHHYILALLFLPGTSMQTRPCLLYQGILVGLFINGIA RWGFDPVLQTPAALQGDAQHNSKLPIIGKPSITLAQNISTISFSWLAPPEPFDGISVL VNDVERFRGYTDEGFASDKSFVWTKDPAIAEPEYFRFAYMSGPTSWDYTQAGTWHADG SWTEMEPGASRISSRSLNGEVFTR LY89DRAFT_684617 MMDPNFPPITNAAIDQAQVAQFLPRYNTFTHNNRNRNDPASTIG IAIKLIVALAILIFSLQLLSYANLNRGPGFWQPGRISGASQH LY89DRAFT_733454 MKSSSLAAIFATFAIGMASPVAEFVKFLKRNPCDGINATPVLYH EYLGDVCPPINIISSNGDCSGLDPSGNDCASFCQVRTNFVYDTEVPFDNTYCHGPFTC GITETKSVTLTYSTNIGIQDLKALSVGVTGGFSYGTITATARTYSINLPPGSCGYFTF VPVRKDTCGTMTTAPVEWMDMGGIVPVPYCGVSTDIGNYCASEARKNSDGTVDGETIF VKTDCNTREPLPADEQDPVYQNPGVPMDRGVLTTMILSWPEQGPNQSGPDMSSSACVK CTNDLGASSCAAADNQCLINQCTSDSNCQTCGINCTTVS LY89DRAFT_747295 MKSSILASLALISLARASCNADNLLRCFEATPAVASPFCTSLYA GVAPVSTPACAKSTPLASLSHACSCVGYTSTGATSTLQTSSTLQTSTSIASPSSCTAT TVTVSLVAASASQYIPVTLSASTVTITASLAAVSSSVCMPTSVIATTITTMVVSSAPV SISTVPATTCLPFVPTCAKAGDTETAAPFITVSQPYGFNAESCVSLCQETTGCLSYAV YIYYGYCALFAAPVSQASDGSADGYYTFWDIACIKPAVVPQICGTTVGLVSPIVVPYD SNFYDVTQTKCQSECAGWTPCLSYSMDTSSNCILWEGSMSQWADSGTGYTAWDVACTV PAGGGP LY89DRAFT_733456 MEDTSQSRLQTAEESYSSMNLSNNNRSVKQRLSVELNDKNDLEI EFEARLDALKEENQQMRDRSTSQEAVLTAKSQQIKALQAGTSAQLEKAIKKSQGLSNG IKAAGVSNAASANDVIALKSKLEVHIDKIHTLEEEVEELNNEKRALDKGNKSLGASVL LQLRELDGMRRDLAASKHDLGIAKSAVLATLKKLSMARRALATVRSRYAIMHMRMREV KKSATQSLGKAKRWIRALEDQNLEAKRSPFRFIIVCLIGKIRAWKLVQVLFAKWSRMR SGTRAVDGPNEQYPLLGN LY89DRAFT_583860 SRCTGRRPRHEIHFHKGRTYKLSLVNTAVTTQFKFWIDGHNFTV VKTDFVPIQPYPAKIVNNAIGQRYDFIITANASFAHGTNFWIHARDCSNSGQSSTLGI IRYQPGSREDPYTPPLDAAQSSHDCSDEDSSLIHPIVTRNVTKHVNSLGPADWLKVGL QGYPNISMPIAPSPLMKWILANSSLYIDWREPSLKLLAIDKDHDFPPQTAPIFLDYET GEWVYFLILNNFSTAETPRQSLPGVTHPIHLHGHDFVILAQSYTPFDPDVPIVPNLNN PARRDVAMLPLNGYLLIAFQIDNPGAWLVHCHIAWHASDGLALQFIEQAKKIKPLMEK AGVLPGFEKRCGLWTEYYDFVSEPANATQEDSGI LY89DRAFT_546953 EKHQRSNLYGFTTRELTELDCMPTREVKPADVQSYIIPLLQRQT WETVPVQPDFQRTRLYPLKNGNGNWVASNDVVWQVMEPCVRLASQTLMSAHLLPWV LY89DRAFT_707108 MEHPPEIQAVIAKYPTLKKAFDTGVESDDGREGALLEFILAHPS RIQFKTAPQTLLDAVDEFSFKKDFLISVGPHKAGVLSDLLEKHKPTSIIELGSYLGYS AILFAHTMKTNTQNAGGEVKDLKIWSLEMNVEFAGIARQLIDLAGFDDIIEVVIGPAE DSLRQLVKDGTITNVDMVFLDHVEELYVQDFKVCQELGLLKKGTMVVADNVLRPGAPD YRALVRGLKGVKSEGIIGLIIPGGAEDELEVSNVLEDLKSGKDDTSTT LY89DRAFT_645207 MVPSIVKAGVVALSLAVASVHAAEYTLDPTDVYAGAAFFDMWNF ITENDPTGGFVDYQSQAQAQAKSLIGFNSAKNRSFIGADYSTVLSPIQTATNRGRASV RIESKKNYTRGLFVADLYHAPANVCGTWPSFWTVNHENYPEWGEIDIFENINENTASL HTLHTTAGCTVAANQGATRQTSTEQSYNCDDQATTGPFGTTQSQYQGCSATSTTANSY GSGFNNQGGGTVVMEWSTHFIKMWTFAPGAVPANILSETPDTSTWGLPSFDTEGGNCT MNDHFQAHNMVFDLTFCGTYAGQSYFWEQTSCYKNNPTAYATCADYVAANPSVYANAY WIINSVKVYQWQY LY89DRAFT_733460 MSVEDVDTSSRPLVETTLRLVGVGSSDEGIEKLLLVLKSDTLVG TALTTIKVEDVDAEVMLPAVVDSTSRIVDVSDERLGKVLLGLMSTTLADPRLASEFVE DVATSKLLVGSAFVDIESNGRVGELLAVLTSTTLIGVALKTWDIEDVVTGNRQLVDAT LKFVDVETDERFDKVLLVLPGTKIESDEVVDLDRVCKELLVLMTPITLRVVVLNGLGE VNEEPLAGFELPCVEERDLELDVKDTLEDLEEGVPGIFEEEIDESVEERVCDAFLEET I LY89DRAFT_557450 TVSSSTIPSAAPTGSSLPTLSTPTDYASTGVYYHNKHRMNHSAP LTTWNSAQALIAAEIASSCIFAHNMTVSGGTYGQNLAAYGSTGAVQSIPPPLMLATSI VNQWYYSEVANFLPSYYGEATPDMSNFAGWGHFSQVVWKGSTSVGCASQSCGAG LY89DRAFT_747299 MFALNIFAQFFVAKGLCIIASSRVTFSFSRDDALPFSKYLKRVN TRTKTPLSSVWFVILVAALE LY89DRAFT_584550 MPESPAEEKSEAIHNETAHVAAERGHAATDQYGNALVHFDKDAE RRLRLKIDLYIVPTVSLLYLFCFIDRANIGNAKLAGLEKDLGLKGYDYNRVLSVFYIS YIIFEIPSNMACKWIGPGWFIPTISLGFGICSICTAFVHNIHSASGVRFLLGMFEAGM MPGIAYYLSRWYRRSELAFRLSLYIVMAPLAGAFGGLLASGILKLHHFGGLHTWRMIF AIEGIITCGLSLLAFITLTDRPATARWLTQEEKDLAIARVKSERVATTEVLDRIDKPK ILRGIFSPVTLSTAFIFLLNNVTVQGLAFFAPTIVKTIYPKNSTISQQLYTVPPYVVG AFFTVLFPFLSWRLDKRNIFFIISAPLVMVGYIMFLASSAPHVRYGATFIIASGAFSF GALCNAQVAANVVSDTARSAAIATNVMFGNIGGLISTWSFLPFDSPDYHIGNGLNLAT SSTMLLLSIMLLVYMTWDNRKREQKNMENELEGLRALSQKQVQDLDWRHPAFRWKP LY89DRAFT_58464 MSSANPLEPSVFATSAPPEVAEPHGRSSYAPIEAVGKPYHDQSL IEAHDIGAHDDPDYPRPTEEEKVALRRVADNIPMISFVLCAVEFAERASYYGVQNVFS NFMQFPLPLGGNGAGAPPKGTQETAGALGKGEQFSNAFVLLFQFLAYVIPIFGAWWAD TRLGRYKVIAIGVVVCGIAHIVLIFGAIPSVLRSGHAIAPFLIGFFILAFGAGIFKPN ITPTVLDQYQHQRQFVKTLKSGEKVIVDPETTIQRMMLIFYGLVNVGAFFAVATTYSE KDVGYWLAYLSPGIIYFLLPILLIFFYKRTIKIAPSETAYDNVFAVIWIALKKNTWRL GRKGFWDPARPSVMRANGITEWRGKPIPWDDALVDDVQRTFSACQIFLYFIVYNNNDG GIGSVATSQAATMTTNGAPNDLLNNFNPLTIIVAIPTLSYVIYPLLRRYKIPFGRISR ITFGFTLAWISGIIGAIVQWRIYKTSPCGYYAGGPGMTGCLIGDGVSPLSVWLQIPNV ALGALSECFCWVTAYELAYARSPKSMRSLVMAIFLFTNSLSAALGEAITPAIVDPHLI WVWAGPAIALFVLTVHFYFTFRHLDNDEFMSEHNETFVVKGHEERHGELEEGHHDLVE TPTPERGVGEKSEEVVEGGDEKTAV LY89DRAFT_58471 MQIVREKKKKKKRCRWFYVLGAKQPSSLGIISYRCLSYFLERFP TMAPWCLGCVADPGILRGYLGTIYHKLLLLYSLPLAFAIFERDGKGLAGKCPQPDSFC KRKNTAENGFNRLSEDFIRFA LY89DRAFT_58473 MRPPPSLSVLCSKNIQVRAISSGGSITSCVVLIVVIIESCYRTI SCSRACSVECSTCCSI LY89DRAFT_747307 MAPPSRIWDAYRTLGITNPNKAGLTCVGEIRHGKRCRWDIPSDD EPQVRSILNKMETKAPFDARPLLKRLGRLTLCEDYHRSQLMDKLKEWEDVIEDAEKFW QRAFLQVKARKVALKMLEKERDRTSQLEQEIARWKSGEQVNIATLVSVVKAEAEAVEE NILRQETEKKLQDSQAHAKKMSDNHQAVLGYWNDLIRTSQYKDKEAQTMLEKHAETVK ELLDTKHLFSTCQGECEQLRIDINKQNSIFDLNGAELDKLKVNHTEMSTSMEQLTVQV RAKGRTNGRLKAELAQITDERDLSLKEKVDLKTQLDYANGTIDLLKLSLAEEGASSIA LSDSRQQLEQELRLENQKLMDLKQSKSQLEDDHAVLAEQELELKSQLSNEQSTSAKLQ QSLEDAILKLTSSEDR LY89DRAFT_747310 MEPSTRAQMSFSKDSIRLWDAYSSLGFTERNGDKMSCASISHTR DRCPDRMPEDRYRKIRGILDEFEKKPPHDALSLLPELAQLCCCLKYHQNDGFKLVDNW KRFVKGAEYGYQQAERIRKDNSALRRQVNFEIAKHATVSEELNRLERIQKDNFALRQQ LNIEIANHATVSKELNRLENNQDLRDYSDSVAKGVTLYSLKKDIEGEKAAKENAKREL EVLREINSRSTSQCEANSKPLAPKQLPLPIQPMPPIIHPTTLHPTTMRPTKRSTMMRA ATKRPTAVRPFQNTPRIFGPDVQRIEELKAQLADQKETINALKSQISELNATSRAQVD ALKAEIQSDRQGYSQGLADLEERKLNLEEEECKLLHRMTMIQMAQDMGVGHRLVALML EMLKNTRAWGVDVLDRAYRAAERRAIEWEEGFKNRGFDILNRDHREAEKRAAACEEGL EDREPKRRKTNI LY89DRAFT_684623 MASQSSAAHNCLEIIIVGSNATCGLVNDGKGEPSISIQPENGSV IDVFLTKLDEFRREKALSERNELLAQVTRLNEQIRLLKVTHESELLLSKIERQTAVEE ATELRAALNTGGYLPDDNPLSTEANAVKASAKVRAELRALAEENMQLARKLARFVLGE EQIDDDEGKAPN LY89DRAFT_58486 MLSKTPSHTNQQDTTASSPSTIVIGDHTCINVPMLSVAYMNAQT GAWERYQCNNGSKKALKDTGSVTSVKDRQLATRGLVSCMDINLISSTGVIKTHIPPYM CPTLPGYVGNAPLPGRKDRINIAAFKIALKTLLSEHREELGRFTVEVVVGYWASPANV VSVLDQLFDVDSCKGTTLSTVNGGTAVSGTTRVDLSEGKPRFFCEEVERVIDVPRREE RGSSDVCGLWGC LY89DRAFT_58502 MMTAQTITAKKEVKPQILSSVTPFQPLTIHITWIIPPSHVSQFY DALYPLKEKLVLEDECLYFNVFAIQGKPGFMRLVEMWDCDMEWMVEVQSQKEYYKGFF ETVSRIALEPQKVEVLCSVEGFQFVRRERGRSF LY89DRAFT_733469 MYYVSWNKYLNKPSPDLDLKPFTLPFKPAYKSNPTNKPPPPPLP AEPPPAPKSHPPPSSTFPLFPLLPTELRLKIWAHYHALTPPQLLPFRLCPADLDHVPH KRKPCFAHPHVLALKQRSIPTILHICPEAREVGLRYYKCGFAVEQNCAHGGKGGERGV YWDPERDVVKIELGWAERGERVYTWGGEEVGGFDFGEVRFVAVEGKREWCLAGEVMGL EGLKGVFVLKRRDVVSSGDIRMWESRVQRWWRRVEGDKGGGREVEVKLVEDWSEILGE EGKG LY89DRAFT_668939 MSTNYLEDLSNELLDNIFQNIPSQRDLNSLSKTSRALYHRTVQE LYRSWSYHGLQQEIKPLKQFLETLNWRPDLAANVKELDIREWGDCPRLEDHVGMYSEE EYEERERVKEVKRKEALRGTISDDELIGEEGDEEDGRDEMYEESEGEESEHSEAESEG DDYEEELEVDEVETLGPLRPLAYDTCLGDCSHGREFAARQIGRDSDLKILPEWKDAVY HHSEIQREENEEELVTLVLSKLPNLKTIWMVMPEVYLDWSYKENPFCQYLDNTSALAS SGALQKLETLYVCSPMHLGGKDHREYELDLRRLLPFMRLPSMRSLYTLTPEIYWDERK SPIDFAPLKQTSEITTLVYDEAVLCPSDVIGSLGIFKALKYFRWTFSDTCSGSGTEGI GFQNSFGQALAAHKDSLEELYFDDRDREVRKRAMEPAPAADLFLIGSFKEYPKLRSLT IDVDSLCGHQKRTSGIRLIDSLPPNLNSLTLFVKVIQTGPAQGDKQTIFDNGLWYFNF LDMIRNARNKLPKLQKISIQLTREPHWTLRDTKLELDLRPFKDAVELCAEAKIEFDVG IALEVSFHHQRDVGHTTIPFFLEQIKTRIPGRDF LY89DRAFT_781801 MPFFAPHRVNESDHQLLVMGQGTVAVTANAEKISFSFKTKTEKG HTLTLEVRESIIDCFIVVDGKRSSKKSDSIKWEKLREGDLRLLEKGVLMPYWVSFDNV HGVICYGKYSVNRKMAILQANLKCELNGIVCWMGDHQWMDALENVQVQVWHDASAQSI PKIKIHRLPVFDVPAIVLPGFDTLGGLESGHHAALSDLPRACQDLYQTIQSISLASEF PDFANAVHRSVSIKGLIGHTLLTMKATTFSAAGNFLSTYLRITLGQNIGNSPGIPNVL EIWPAGHFSPIHDHGDSHAIIKVLHGEIEVSNYDSVTGRRRPQQIGDPLILKTGDVTW IGPENYQIYRLHNKFNDVCITLQCYRYDEATNYHHNKFRWVEENAQEAEVERRIGPEH VFDFVPNGDMTYTDFCESIQEEWNSYQQTLPHRWNVARSQRLMTTR LY89DRAFT_684627 MNLFLLPALLATSVFAAPYLVPTYVVLSVYTEEGFTDLLTTVPE SRYTYTEAVVPATPVLAITTITTPAEYTHVTILDIVVPSGSPVSETYDSSYIETSFVV PVTYTPDATCTSQNWTFTTNVPVYVPDIVSLSPVTTSLSATTYSYEHYNPTPTTNLIA VLNPTDVNADDLASASSEYAPYGMSYCYTPTTYCPTASAATCTTTFVYDDSSSGYYNG DEPFEDDDNSWLTPLILICVLVPVGWFLIWLLIGLWESWMSFKGLMLGQHRKRGLPYA WCCISVIFLCWVGPTYKAKGAEEQAELAEKWKAMGKREKFKLWMKWGFRWKYPDMIGE EPEYAKRAFRQGCL LY89DRAFT_538744 LTAAATTHEIAAGLHGLTYTPNTTFASIGDTVTFTFYPYSHNVV QGPFSAPCTSGNNTGIYSSFIPSSSGPSNLTFSITIEDTNPIWFYCSQKAGGGHCTKG MVGVINPPPEGTNITLENYALGAAKVANGSYTQPGDVQGGV LY89DRAFT_718295 MSSMSIDEGPLLYTPLTTSGTIHLLTLLPPKEANDNIECSLSLA LLDDEPAYDALSHVEPIALWADAICINQSDLDKRRNQILQMRRIYASAQQTRIMLGDD DHEAEDVHLCIPFVAALSECYRELERWKAEGREHAMEKMISEMWARFPPSEDIWNFTS AMLSSAWFTRVWTIQELAVASKALIIYGQNQIEWSRLEEAIRMAQLADQYIKLHRREN WFRQENGTLAFHIPKTGTIIRAVDMYHIKLLSEVMPTSLVALRRRVQENNPANLLSAL KYACYHKATDPKDKVYALLGLIDEDERERYAVNYSIPVEQAYAAMMKVMLQHDPTMMA LCLAGTDQRSTDDLPSWCVDWRTLKRASFDNSEVLDREWLFNATNEDSRQWSAGGDDQ ELLVYSTQDWRILRIKGTMVGVIGAGRWICSVGDHLKATEAWKADDSVAIEEYYRTEL GLPGANIHFENLVDVVSLGT LY89DRAFT_615836 MSMFIPRTAPRAFHALRAAGLRPAQFQKSATPLLRRFLATEQPR LRLGSTAPNFKAKTTHGDIDFHEFLGDKWGILFSHPADFTPVCTTELGAFAKLKDEFE GRGVKMIGLSANGLDSHAKWIEDINEISQTNLQFPIIADADRKIAYLYDMLDAQDLEN IDEKGIAFTIRSVFIIDPAKKIRLTMMYPASCGRNTMEVLRVIDSLQTADKKGITTPI DWQVGDDVIVPPTVKTEDARKKFGEVREVRPYLRFTKI LY89DRAFT_584458 MVLLHGVSAQSNATTTLISTSPTFPSIANISTKVYNVSAATTTL TYDYSNEELAMLWNQVGSIAVGPITTTVSPTPEPTAYPRPGAMHPQVPTYDTSLNSED LPDDFIWGLASSAYQVEGAAKDEGKGPSIWDLIAHRDYGAVADNSTGDVVASHYYLYK QDFARLANLGVPYFSPSFSWPRFFPFGNGPVNEQGVTHYDDVIASMVAAGIKPAVTLF HWDTPLALFNSYGAWTDERIVDDYFNYAKFVISRYDQYVPIWYTFNEPQYCNWQYESY PAGNTKGNYPAYHGITGGLPARIACSHYTLLAHAKVAKWYHDDFHGQGRITFKNSGNY YEALNASSAADIDAVARNYEFALGWFGGPWRDGDYSAMLKETLGSSLPNFTQAEKDMI KGSCDFFAIDGYTGYYATGIQGGSAACAANSSSPGYPECAGSSSTGADGFPIGPSADN DVSWLYSTPVSIRRFLNVITKDLFPAVGDVVVSEFGFAEPFEGDQGSLATILWDLRRA DYYQGFLDNILAARNVDGVNVTGAFGWAIFDNFEWFEGSHVKFGLQYLNQTSLERYPK ASMFQFLDWFKLHGGVKLDSGSAGGNASAIPNT LY89DRAFT_58595 MATPAGNASASPPGTNINGNKRRGKKRIRSFTADERACHSAIEK QRREALNASFIDLARLIPALAPVHRLSKALIVQESIQYLKTQREMCLAAANEIQSMLA ENMELVAEVNSWRKAYDVAGTGSQQVTPVGDAVRALLEFDRQVYGTFPAGFGDNGPAE EHVEEGAENNSRPRIEEHREVVQPKPPQNMAPVPDTGLSSQENVPAQPSFPIQQTLHP DPNELSEAIQIPDNFMILNQLPEQPSSLGQNIPPSFDTVYDLISTSDADMLQPDHFAD LNLRHDIFHDFTIPLPEIGYDMAPAHDIPNFENLS LY89DRAFT_583851 MGSVDTITWLEKLEEQLNVDVDSMDPTFAKSLPFKPHNQTSNQL LVNEQMSIPENKEMFLQAVKEYKEQGWEAVLDRISVLLCAQNIGNIQGRVLLQTSPFH AYDTEKVVAHARSYAREFEKVGISKDRFCIKIPCTGPAMNAGPILLKDGIRTLGTSLF GLPQAIAASQAGCLYISPYYNEVRAHADLNLWPNVEDPATQHTMSARMVQILETYKRL YNETGKEQPMVKSASFISPKEAMAAGEMGCHHATISADVIKQLAKLPYDGSKQPGEGV AKPMHPYLKAGPTPTRLAKLSKTDPLAAADWDGKLASTDIDYLANNGAELQKAIEADP ITKTRLYEALELFKGGELRSKAAIEEAMKTV LY89DRAFT_733479 MATSAPDFSSKNAATHLEDVEDPKNRINVSFAQNINAKICNPLA GIPKPELLASVASFCETYGLTDKLSVFEKGALVAQIPENFESIEELTEDDKYHLRREI THKWHLPKDLYYTIALCSLGSALQGWDNTGANGANLSFPEEFGISHNTWLIGFINSAP TISGLFAAWLADPLNNWVGRRGVIFFTGLFCVFPVLAQAFTQNWWGLLLCRLIMGIGL GIKITTIPIMTSEVVPAVIRGGLVMSFQLWVAFGILVGFSSNLIFYDIGRLAWRFQLA AAFAPAIPVLIFIWFCPESPRWLLKKYRIQESFASFCRLRNSEVQAARDLYYAYNQFL TEEEAFGGSSFFTRFTDLFRVPRIRRATMGGAIVMAAQQFSGINIMSFYSSTIFANAG YNTKNTLLASFGFGLINFVFAFPAIWTIDTFGRRNLLLFTFPNMAWCLIAAGCCFLLP DSAAARLPLIAFFIYLFTAFYSPGIGPVPNVYAAECFPLSHREIGAASCIFVNNALSS ILGLTFPSLLAGITPTGAFGFYAGLNMLAFVIIFFFLPETKQRTLEELDYIFGVPTRR HAAYYGGTWLPWAVKRYLFFQGKAKIEPLYHIEGFGGED LY89DRAFT_58600 MQSHQFSTIPADGVDVFYRYAGPVDAPVILLLHGFPSSSHQFQN FIPYLAYHNYRVLAPDYPGFGFTVVPAERKYIYTFDNLSLTIAAFLDALHIQQFALFI FDYGAPIGLRIALDRPDAITAIISQNGNMYEEGLGETWGPMKKYWATDSAEDRAIIRA RILSPERTIWQYTAGSPTGEKGVPPESYTLDLALMEREGNREIQLDLFRDYRTNVEMY PRFQAYLRERRPRALAVWGREDRSFVPAGAEAFRRDLENVEVGFVDAGHWALEERGEE VGGQVVEFLGRVLGSSKSGL LY89DRAFT_684632 MMPAHANFSGASIWGSQPAIDPIRRQVFLATGNTYSLPEEFQAC QNQTANITVIKEGLAPEPCLPRDVLQESILALDLDTGRVNWQRQLGPLDAWNAACTVG LLGPGLPGEQASCPSTPGIDADFGMAPTFVLGSEHTPSELDIIVIGQKNGNLYALNAA TGHILWLTSTGPDGLEGGLNWGIAADDTSVYYTAININRVNYTLPAGDGKTVISNSAF GAASLKDGKILWQTASPRNTTSIIVPSVVNDLVLTGITGNWSATSIFPAGPGSLLSLD KKTGRIVKEVHLDAYFHGGMAIVHDYVMFGSGYGGLEPPNPGSFQTWKLTAD LY89DRAFT_733482 MALDITPAVLAYVPPDPPLEQFEPARDRAFFADPTKASLLSQAS SIEALTPYIGTELKGVQVSQLTDAQKDELALLVAERGVVFFRDQDITLEQQHALAAHY GKQDRDPSQQDPRHVTILGHDFNIRAKDRNVAAYHGDHSFEVNPPSYTLLRMLRTPET GGDTIFTSQVALFDKLSPTFQKAFEGFFGIHSSEKYYLNSINGGGKPRRAPIITEHPL VRTHPVTHLKSLNYNPLFVTGVKGLNDRESFHTLNFLYDHLHSADDLTVRWKWTPDSI AFWDNRVVVHRAVPGGYEPSSREGKRTAIFGERPFFDPSISESWSERKERLKKEKLSI ESNSEYAVKTNGHLENGTRLDEPELNFSKLNVV LY89DRAFT_696982 MALVNDAIDEIGMTPFQWKLFFLNGFGYAVDSLLIVCQSIANPA VTQEYGNPSAHVQGIPLASQVGLLVGAAVWGFSADVIGRRLAFNSSLFICAIFVLIGG AMPSYISFSAIVAIYSAGAGGNYILDATNFLEFLPGRKAWLVTFLSIWWAVGYTVTGL LAWAFMSNYSCSPDAVVCTRAANMGWRYLHFTCGALVIVMATLRVVVLQMVQTPKWLI SQNRDEEVFKNLQDIAIKYKRPFSLNLEHLRAQGRVRNTEKSVWSGIRLKLHFSGLFE TKKLAWSTTVIIANWFVIGMVSPLYSVFLPFYLASRGADVGDNSNYTVWRDYAINQIA GLGGPIIAAVLVETRYLGRRGTLAIGALVTMVFQFGYTQITTPAQNVGVSAAISAASN IYYGTIYAYTPEILPSAHRATGYGLCVVLNRVGGIAGVLVGSYANVETTAPLFVCAAL FGLLVILSILLPFEPKGRRSQ LY89DRAFT_707120 MATTIPQFNVNLLNNQRVLGAPFSRDTVIPLALRPSAHGNAEIE LDAVVDTIKSLQKHEILTEKLAQHGTLLFRDVPIHNAEDFSKFAHAFGYKPHEIIGIV VDRPLLAPNVAPANEAPKEVLIYNHNESPQVPHAPEYIFFYGHRTPHKGGETPVSSSL ELFHRAQQEIPDFINELAGKGILSKVTYKLGKQYEGGSTLAQAFGKEIKDGDDETTRR AKIEAQIARYGRGEFTTWEWTEDGGLVLTHRLPAIRTQPNTGLPTLFTGLAAYYKTSQ VNNARKNVTQQLFGDGTPIPEKYLAHLAAITDEIRVLHKWQQGDVLEGEQSDRVVLAS LFDGDSVPGAYGSNDWTQVVQALDG LY89DRAFT_707121 MAVSLATTKEIVTLGKEEVEPESPPLASAIQDNLETPHRSRYPI SFYRSTLFQILVVGICAFCAPGIWSAMNGLGVGGSQSPNLVNAANALLYAFMTVTCFA GPWLTNIIGFRWTLAVGSLGYPLYAARLYVNNRQGVTWFVYFGARGVAIATGYPEQHK RGRYVATWFTFRNFGNIVGGAVALGINHRANHQGKVGYQTYLGFIAIQCLGFFLGLLL SNPEKVQRDDGTQIQAPRGIAWRAEAKEMWRLARSKSIVLLIPLFWYFGWMQAYPGTY LATYFTVRSRALASFLSAVVGTFATWLAGSLVDLPWTKKRQTRAIATYILIAALNSAT WIWAVIIQDEYRHTKPSLDWSDQRAFSRGFGVYMLERISLGMVENFIYWCISNLSDSP GDQIRYSSLLRGIETAGVAVGFGVQAVPTALIATASINFGFWFFALPFGYYATLQVVR KFDNLDQKRETRE LY89DRAFT_645240 MQQWAYWPHLLALSVFVLLVYTFVSRRYLHPLAKIPGPFLWSIS TLPMLYHQGVREGKLLHELIKLHEKYGPIIRISPTQVHLNDLDSYEKIYSIGTKFSKD PLLYGTVEKTIKTPIITSMLSNEEHKVRRTAINPFFSRRSVLDLEGVVASKASKLCDM LEACGTDGKPFDAHNAVRAFSADVITEYSYGHCWNMLDRKDFGVWYEEAIRATQFLFI WFQTFPFLIAIFDLIPEWVNVALNPGFKHWYASIETIRHAVEETRSDITQGIKPERRT IFHELISPRNPEPGMKQHAPLSNHAIFADAVNITGGGGETTGAIIIRAMFEVVNNQVI YKNLTTELREAFPDPESMCLKSLEALPYLNAVIKEALRLNPGAPGRLPRVVPPGGTDL AGVNLPGGTTVSMSIWVMHQRTDYFPDPRKFIPDRWLGSIEEVRARERCLMPFSKGSR NCVGQNLAMCEVYCSLGAIFRRFDDMQVYGGFTHSDMEMVDLFIGYHPRKAKKLKIYR TGVAA LY89DRAFT_747336 MTFETADSTQRTNLDYAVQPPTSQNTSTTEPERLAAPSTNGASS HDIWFFPKEIKNDLKDTEVPEAFIAEALACAWEYARCVIPHFTNWPRYVAFTRILVVA IVVEFRGSLVDVVAGDKVLGYDVEELIDTLFAGTVGRDAMAREFRSWLLITGDKTSHR RTSELFHRYVESLAFTPRDWFRLRDCDALARLTIAAAWACNDLDDMWLSEDEFEILTE LCDTLYDSVAFMKHRAEGETHNTFAYAGEELRTESFRRCREVLWALDLAWARSDGHRC VISFLRAIGGPIHMNMRRYRFVEEDLTIGRPETEQVVRETRENYKLWNRVDMINNLEN NLTDHARYKVALARSNTLMFPGLAEMLQRSTDGRCDKCHYRLSYGAETAGRFGGVELC NVCRERWKVYLEDFPSRAARIFPVLLTHWPSSIEPVHEEQTLNCEEVRLSV LY89DRAFT_733488 MAPVTAPMNFLVRDSLYDDERPYLLIYEPPAGFPKQNIKLEKHT DLRIEDIRVCKDQPSLDKNGFQITKFSSKMSRADFDNEELVKTVYLKEVVDHVQAIFG AQKVQIFEYVLRKRHEQFPISTGEPYEFNQPTSIAHVDTTHSWTEEMVKRLNPATANQ LLESRVLCVNVWKPLRGPVRDWPLALCDPLTVDTQDLHPGDLVYDDYVVENMQLHYDD NQNWYYISLVYLTQLFHFQKTKILKCHEKA LY89DRAFT_781816 MVSPDTPFLDCQLESGVVKSRSNRTKITRPALDLCSWILTILAI ALVAVITLGTFLKVQHNATWKLGSTQKNLEELLSESSASPIAKSRNGSYVGIHNAKYF QDYFLGIPYAQPPLGSLRFLPPAFINTTWEGTMNATDFGPQCVGYYDGDMHTPRPFDE DCLTVNVFRPHLQGLKKKLPVVVWIYGGAYEHGGSNDQRFNLTWIVAQSIAIGKPIIA VTLNYRSSIFGFMSSSQVIGARNTNVGLRDQRLALHWVQENIEGFGGDKDKVTLWGAS SGAENVGIHLTAYGGRDDHLFRAVIMTSGSPATTSLGSHTPSAQDAYDKLLYLTDCRQ TSDPFVCLQSLPFEELNAVFNNSRGFDASYMGAFTHPVVDGDFIRGFGSLEIKANHFV KVPILSTITSNEGGGYTPKGLDSLEGVKAFLIEERNLPLNVAGQLTELYLPLSTGEIE LSTPRRSNTPFVQPSWAGETDKDEMGRFFTEAAYILGDMENVAPHRLTCDAFSKFSAT YCGRFDAIPYGWDPRRGATHAADLAVMFHNTLCTGFEPCPFQSKSPAYFRLSDLMSSM IISFISDVDPNVGIPRLWNASKWEKHMFDVPLDFVLSEDQPCAMETKPLRVEGMEYIK SILSSILGK LY89DRAFT_58730 MARRAPPICAAILVTLILYTTAQQRGHAMVPSLSSVRNSTLGFE LILAVSTGGSWRRDGLLEAAELTGFHIQMPQQPTWADQDTQQFTRQTSRTSGKKLTLG EAGCWLGHLHLLKYIATANQDTALVLEDDVDWDVALKDQLELVSPLIRTFSDTDLSTS KENMEPYGTDWDLLWLGHCGDIIPRSGVQSIYDTSLPVDGIYTESDGSQTRFPQFTRM VHRSVSPICSYGYAVTGHGAMRILALVSSGVDAQVSEQYQFWCKHGNLKCITVNPELF HHHKHAGTFASEIDAVDGWREDSDLEAHSYTINVRNSARCQAQARRGAPCS LY89DRAFT_781817 MRFSEIVAILLATIAVTVAAPALSIPEDVELLLERAPALALKRE PGVIALNRREPEERIKRDPEERIKREPEERVKRDPEERVKREPEERVKREPEERVKRE PEERVKRQPEERIRREPEERIKRNPEERVKREPEERIKRVPEERIKRDPGERVKREP LY89DRAFT_747353 RAVLLCTTLVYCFLTAAITFGYAPLKPILIQNGFYQGSCHDLDA SEEYPEGQSCPEQVLRLDSMFTIAAAATHLGALPVGSFLDRFGSKATGYAGSGLLALG AILFPRASVNLFDAPLVGYVFLALGGRFVFTSSLHLHGLFPKHSNLVGAFLAGSFDGS SAVFLIFSYFHDSSRVSLEHFFTAYLSVPIFVSLVLFILMPTQTTSISLIDIGKEDLG DDVACLLPGYESEEDAEIQEKAQGTTTEEYKFIRLSSEWPRLHRRAAMQQMKTSWFFI PAAFTAVQILRINYTTATIRLQYSYFLSSKAAADSLADLYGFALPLGSLLSIPVSAWV VAQGNIVSIWLIVVSSGTLVGLIGCLPWELAGTINIWLSVIYAPMFIAALIDYIKCVF GLATMGTIFGSFLFVSGIVGLIQGPLEDLTAVHFENNPLPINMVMTSLTLLLGISLTL FIFCNTTRKARSTQVVSTA LY89DRAFT_615859 MAPRNKYSVILPTYNERKNLPIIVWLLNDSFTKNGFEYEIIIVD DASPDNTAEIARQLQTAFPNRIILKQRSGKLGLGTAYVHGLQFATGNFVIIMDADFSH HPKFIPDMIRVQSTKDYDIVTGTRYSGKHGGVYGWDLKRKLVSKGANIFADTVLRPGV SDLTGSFRLYKKDVLEKVITKVQSKGYVFQMELMVLAKAMGYSVAEVPISFVDRVYGE SKLGSSEIAEYATGVLSLWMRV LY89DRAFT_733494 MHTWAQLPKNVAICDIEACNLGRSITHLNVFQIAIANANGQWII PPTIINHEITKRELFEANAGTLVNNLVFSKFYGDADETEARGNGKRTATRKELGQELE SYITNEGPIVEWFEWSSYNIDWLGVYAGLVSVGFEHLLPLMPTTERRPLLWFRRIRAA LKLENLGLSLGNLFAILYAEDDELLAQAHYAGPDVEMLIKVIKYLFANLEGSQIPGKI ENYFHVIDIDLSEINGLNDDRAEEAQRDPTRKRESRLVR LY89DRAFT_707125 MRTSLRRSCNACVKAKHRCDLQIPQCSRCMKRKSRCLYTNEPAP SRPHDTSTGTSGTRKLALENEFDVSSATGRNVRVTPRRFESLVRLENPSNEYLDPFSV YPATRLPRTHVQRLIHHFLSNIAFQYYPLDLNADSNPFLVSWWPLALQDPALFHVSLQ TASLDEEVRAQKGFPISELLMVDSVSLVRRKIEDSSLAFQDETLNSVVTLAAIEHGKG HIQASRMHIDGVKRIVDVRGGIDKVKCSSPLTARMVLWVSLLVTGTPQFQTQDDSGDR TGIGPIPQWHLASKDTPSQQLTLDHLDINPVMSNILSHLRNIFHDPHLSNLTTTELHD LTCYVVHKLLLLPPFSTVDTKQSSTSECLRYALALYMLIIHGTTYYSHVDIENAIMVQ LKTNLKTLIWTNDFDSLKLWFISIGMAAAGDTADHQWFLDQACIATTALGLQVWDDVL LRLQSILWIRMQQGALFQQRWEEVLISLKN LY89DRAFT_584410 MYFPDWNGWQLVITIFLILIAYDQFSYIKNKGSIVGPMFKIPFM GPFISALYPKFEEYLAQWASGPLSCISVFHKFVVLASDRDIAHKVFKSPTYAEPCLVP IAKDIIGTSAWVFLTGKVHAEYRRGLTGLFTNKALSVYLPVQEKVYTDYFDKFVAASK ANGLKPMGFMGHFREINCALSCRTFFGDYISQDAVTRIADDFYLVTAALELVNVPFSI HVPFTKTWRGKRIADTVLAEFTKCAAACKANMESGAEPTCIVDEWVLHMMESKNYNSR IAAGEEGVEKPTNLIREFTNEEIGQTMFTFLFASQDASSSATTWLFQILAQRPDVLGR VREENLAVRNGDRNRPFKLSMFESMTYTNAVIKELLRYRPPVIFVPYLAKKSFPLTST YTVPKGAMVIPSCYPALHDAEAYPNPDVFDPERWITGDAESKTKNWLVFGAGPHDCLA RKYVPLTMAAMIGKAALEVDWVHHATRRSEEIRVFATLFPMDECPLVFTTRM LY89DRAFT_58810 MGLFTKVEDRPTPNNVYNWRVYACAALAGSAAIMIGYDSAFIGT TLALKSFKEEFHFEDLSTAAVNLLNANIVSCYQAGAFFGAIFAYVAAFFMGRTSGLAV FAAIFVLGSGLMLGANGERGLGLIYAGRVLAGLGVGGASNLAPIYISEISPPAIRGRL VCMFELGWQIGGLVGFWINYGVSLHVKPGHSQWIIPFAIQLIPSGLLFLGAFWLHESP RWLMTKGKREKAIKNLCWIRNLPENDMYIKEEVYMIDQNIERQMAAGGLGFWQPFKIL ASNKAIQWRFFLGGSLFFWQNTSGINAINYYSPTVFKSIGITGTNTSLFTTGLFGVVK TVFTLIYLTFLIDQYGRRKLLMIGAIGGSLCLWYVGGYIAAAKPAEHPTTSLPPSGVS AIFFFYLWTAFYSPTWNPTPWVLNSEMFDQNVRTLAQAFAAANNWFWNFLVARFTPQM FTSMGYGVYFFFAALMLCSAVFVYFLIPETKNIPLEGIDRLFDRRLKASQAHAIVWQE LAEEEEVIRQGLNEGGLEKELAVEQVEQRV LY89DRAFT_58864 MHLAGSSTSDQSKPQPRRSQILPINPRVETRPLPFIASSRGREQ HQSSLGRLVNVRDSDKDPRPDLAP LY89DRAFT_684644 MDQNKLDAKPENEDTLPRGDNFKSIVKDAFNDFKVRERNFFLAL SRSQCLKFGDNPDPMYATCHMRHHIEILLTLKEVKTCALIAHYTARDIVTEMVEQCLK PVMQAYKLSRYGFQLHQITHPMHTTAHSGFQNAWVFADTRLAL LY89DRAFT_615869 MLAQRAAQQSLRRLAASQPSMLSQMAFKNTLKPLAIGSSTQARP ISTQKLTPTDSYEILVAQRKNRPSSPHFTIYRPQITWLNSIMHRATGAVLSGGFYVFG AAYLVSPLFGWHLDSATMAAAFGSWPVLAKVLAKFAVAMPFTFHSFNGIRHLVWDMGR QFTNQQVIRTGWITVGVAAVSALGLAVFV LY89DRAFT_584189 MTVIKTVAVTGASGAVGTAVVNAIVESGKFNVSVLARTGSKAAF PSSVKIAYVDYSDVASLTEAFRGNDAVISTVGLMGLQGQTTAVEAAVAAGVKRFLPSE FGYDLEDPKIEAITANLPIFGAKVNVKKLIEEKAASNPDFTYTYVYNGTFLDWGLEHS FLFDLQSGKPRIFDGGDRPFSTTTLKSTAQAVVGVLEHPDETKNRAVYIQDLVLTQNK IFALAKKVAPEKTKSWEPVPTSTTSIKGSSDAALAKGDDSLPVLFEYLYVLFFGEGYD AEYKELDNELLGIAGDKSDADIEAILAPILN LY89DRAFT_58771 MGIDSWLSLAGRTPELSDGPANLLRTAPALISRLMREFGDDFAN FEFTATKGGLQIIQSLARELMQPLEDESLSEAEQIFTSVALLRIAKVALCIALESDTE QIRGYLSTDIQVYVA LY89DRAFT_733502 MGTVQASFDGDSTFSKVSTLHGPESALGKTDRYRCESAPSFATR EFETCRDPLLSLRTSLGWYGLLSIFAGSIGILAMIGFLSFLWFGHGSTPEGANAYPLW RRLALSNWMTRTITLSSLVLRFIISIQSIVCTSMLAALILEKHIVRKSQVAHLSVMRA FNNGPFGVLQLLLSWRNRMPLFTLEGFLLLLLTLVTFGLQPTSTILLIDIYDFTIEGN SETTTVPSLIQTNPEALDFLPSYQLDQAAIYAVFGEVASNSTADPDTLGFSDTKVKQR GLLPLLGTENRTSVRKYNGNTMIFNSRVVCAPPNIDATYQIDYTPRGSIFGTMVGSVD YGTSLEHAGASSTAPTAPSGSTIVDFNCGIPSGTVSKSLETSFCFVGGIGWTYPSKVS IETQVPLWNMSQPPGAANQSMYLVFSANLDEQEWSTVTTGHAFANGSIDGEWQSFEVM ADRFIKISLCFAAYNFERSLVEMSALGMPKEPQLLMDLVTDEAHNTSEVQQYIGVSEP PETAAQRGILHMNVLSPSIPPITDIESTTATLFYLNQILTWQLTKSRTPNQTFLTCWT CAAGGTSIHPSYSTLFQDIIIASNRSANVIQSLISTLAFTIYYDTVPSFTQAEEVNLA ITQVVQAPGECHQGCTGYISIMTLLGVHLLCVTLTTLLHRRHIKYSRYGNLWHTISQV ASKELKENFDQANQADDKTIMSKLKIQGKDDLVKLGKIRGGHKVEIKKL LY89DRAFT_747368 MIDEKSQDVIPVSINSTPSSEEENTPATSEHDAAFEKKVLRKID LWLVGFYSLVYIFRVIDSSNYSNAAIINLEAGTNIKKQLHLSPSQWAWTLSIFSYSYL IFEPSNTLLLKKFRPSRWMFVLILAWGICACSVAAVHNFREMMGVRFLIGMAEAGFYP AVLYHMSFFYKPTEMPWRIALFYSVGQIASALSGLLAFAIGFMNGLGHLAGWRWLFLL EGLPAIILSVVALFGLPDYPQTARMLSEEEKAFVLERLSSTAPSGASGNWDFKTLKVL FSDPTLYTFAIYWIAHGIGGFGVSYALPTVIYQLGFTTTSLSQLMNIPPYLSCFLFLN LMGYMLHKKWIRPWVTAVAIESTIIICYILLITVPNAVVKYLCLIVATSCAGSAYPVI WPERIRALEGTVAAGIGIGITNAMAQFGGIVGPHVYSTVFGPGYHVSYVICLCFLIVA ISAILVSWLLVWRKDRRKEAEVELD LY89DRAFT_747370 MAPSATEPQSQFSTTDRVLVKPWSRPAPTKEDLDWAPLVKIDLS HFDEPGGKQELARQLYDAVTNVGFWVVINTGLDDERVLRQFSIGNTFFKEPLEEKRKA PCNFAEGEYFGYRENSRWVGDTGVKENIEMLNIPKAIPAYAKEPKHAITTQNYDEIAS FHRDVWEKVARKLFVLIAIILELPENYLADAHAYDEESDDHLRYMIYNVRTQDEWDRA QAYSKGGHTDFGSLTLLLSQHVAGLQIRTPEGNWKYVKPVEGGITCNAADTLTFLTKG FVKSTVHRVVTPPKDQINIPRLGLLYFSRPGANTPMRPVPSPLLDRLGLLTEEDKDPD RPAVSGTEYVRARVKDVHHKTVLDKREGTSFEFQGLKVPNYYD LY89DRAFT_584089 ALAILVQPIVVYLLDKKNLRKFSAPSCAGFSSLWRMFHNLKFQH YLAVHKAHAQLGSHVRIAPNHISISDPQAVTDIYGHGANFLKDAWYDGGAGEHRHMAD ARLKAEHQRKRKMLAHLFAQKTIAGLEPIVTATTGILVAQVDKHAISGQPINMRRYLN YYTIDLFAELLYGRTLGCLERGNDLVDAETPEGKGYQAPFIKSLHDATIINTILGMEA GLLPLTKRIFSRHPYKKAGADYDNIILHNTNRRVQDPAAENDIFSKLLTNTKGEDLNL SAGEILAECSVMMNAGTDTTTAALTNTIYLLYKHPQVLAKLRIELDAAAGTSDVPSYD SLASLPYLRACIEESLRALRVRPASSFGLPRVVPKGGRYIAGQFVAEDVTVSVPTYTL LRDPVAFDKPNDFIPERWIDGDKERMNKAHFPFSTGPRACIGRNIAYFEQLIVIGTLV RLFDFEFLTEDLELETIERFNSNPGELELIARRRWI LY89DRAFT_718317 MVFLNLNINWAHHKWALFYCLVSTIGALCYGYDTIYYTGIQGMK AFVRDYGELTADGTYKLSTTFLSVTASLIYVGEFIGALITAPINDAWGRKAVFCSASI CIIAGAIVQLVSSGHYSAFCVGRVLVGLGIESSVWYTYKGRREAAEKALRKINRSMPG YDSAADLKVIQDQVNMELEMEGQSSWASVFRDPVERRKLIYSCGAMFAQQINGIQFWY TYGVVFAQSIGTAQPFTINTITIVLQIVAVGLSVVLGNKMRRRVNLLVCTCGMLISLI VVGGLGTTHRGGPFNKPVGITIVVFSYINIICFNFSIGTLSYTIASEMAVGRNRNKIT AAAIGVFFFTVWLLVFVSPYLYFSANLGPMLGFIFAGTTMFTLAYVWFCVGETTGRTN TEIDRFFLEHIPVRQWRTHVFPPLENDEKDEATIVSHMEVKEKV LY89DRAFT_718318 MALRELNIIIVGAGIAGLGAAVVLCRAGHNVTIVEKSQFKQEAG FMIILGWNATKVLESMDFDFQKGRGSDSEFIRTFNGTTLSKGAAINIKTPGHENSQCK TFYRPDLHNELKRLALYPRPGAKIPKLLLGKKVVGVDIETATITLENGEILSGDLIVG ADGERSIVKAAFGDSNPLRKAKYRIFRSLVPTENILGDEKTAELLGATRGNFCMFLQG NRTMFWFEGRNGLLQDLEAGYVPGEADGPLETHPKKAKQKMLEKFADYHPSIVESLRK TEHVSEWEVNYFSRPHHYLFKGKALVIGDAAHSMFPTTGQGGSQTFEDIGALSVLFSS VSPQTSIEQRLKLFEKVRMERIALVMSMSRCIFGKEEEFVRSRPWLWGNGMESGEKHT RFLFDYDVLEDSRRAFEDEIRGMEGVKARL LY89DRAFT_58931 MHMRSIYFTISTACAHWCFSLNSFVNIALSPYPTSSLVEDFLRT NPCECCAIPPIRKKESLFHLDFPPNSCSAYEVQTQPHLATQTCPKLLPFLHNSPKKQI NTPFRTNYIAKALHGTGVSNLQ LY89DRAFT_615876 MKHHFILALKTRTFIMAAKPIVLHIGDPVKWNTEFYSTFAQDFT IVRPSLEERQREAFMEGLKQKKWGNFSAVFRPFWNTGGEMGRWDKELVPLIPDSCKIF ASAGAGFDWADVDILAERGIVYCNSAAASTEAVADFAIFLILATFRNLTWCTTAARGS PEEFKDCHENAPPLSHNPRGHVLGIIGLGKIGYEIARKAYLAFGMRIKYFDVIRSSSA REAEVEAEFCPDIDSVLSVSDCVVIATPASPDGKKLIDKERLSKMKMGSRFVNIARGM LVDDEAVADAVEEGRLVGVGLDVHEHEPNVNERLKKLKQVTLTTHNAGGTLETHMGFE TLAMRNIDAVLKGKEPLTPVNQHLI LY89DRAFT_58934 MAETKTNAEVSNPGKPTLHHLDHSQSQRILWLLEELSIPYNLVL YKRDENKRSPPELSKVHPLGRSPVLITPDGKVLTESMTIATYLLETYDPSKKFASNDW IRDMTLTSFSGTSLLDLADTELLFDLAAKHTPWPFVYITRKIKKSYDSFFSAAEFKKD MEFLTQELGEQEWFNGKEPGRADFMLSWPMDMIAARGYVDFEKDWKTLHAWRMRILAR PAWKSAIDKGEGYDLRSW LY89DRAFT_684651 MGWGNRDAGSLWCGRALEDEISAVMIACACISYLMIIKGMMCTG FKRWRHYKADAL LY89DRAFT_58922 MSSYFGNFQPVQKYSQTILSQLNTFNIMTPSDPKPLSPTQIPIS IDSQLTPTKAYYLLHHKHTTSVLSLTPHLPTLYTPTEGLSLIAASNLATSSGEPFPPV LYTLKKENLFGTHFTAKDDKGIEVAEWKSPILSLHMGTTQITFLHPDQHSDESTLTPI PSGMQIEATPTVEHHEVNGEMVQVRPVGVGRRAEDFVKDGMRYIWEVERHKHEHKNLH KVIHAESPTPSKILIASFAQPSPHSKDGLLVLDSRELDPLTGILTLCAMLESRIAEGD GDEQSVGERMSNVPVLMM LY89DRAFT_58940 MDSRPFASRHHQWSFLTIVFLISIFGGLVSHNIVSYFGPQHQTA DLIHSSTHLETRNAVLERQLGDLLSGLGSSIEQGVASLLPNTSTILDSLVSLIGGGNG TSIVDSLVTPAKFLGVGLGDGAMTGLNMTGPVNTTVPSGVDGIAQNLGSGLTSSIFSS SAMKSVLSFNSSSLGSTGTIGEAVLALAQGLGGGAASGLNLSSTSSTNDSAAFNTSGI SGIAGNFGQGLSSSLLSAVQLPSLNSLMSTGSSVIGSAGNSSFNIAAIGAGLGAGLGQ GAAIGLGFQADVASTPTTDTAGITQDFARGLVSSFLQNGTLSKVTSSFSGNSSAPGGL SLSSIDVSKVAEGLAVGMISGAGSTISSLQLIGADTSSFNDSVGGAATGFGRGLGTEG AKLVEDLLAQSSSKAANRRRSFAGPSNVEKSVNLRKRDTASANATDLASVIANLNAST INPLLQTGIDDLTCEGVGGLVGVFLGLVQSKTVSLNALTSKGTSPSTSNTTLNLPDQV FIIRSGGNTYSVNPAKGITTVSINGMAIGSFIGVAAAHIIFAILAYFVAIPLLLLLIN TDRFAALFDRRHPAEPSSKWQKYLAYSILPLSILVFAMGIGFRGSGKHFTSAHEILGL IVFLQTLIAAGTTLILK LY89DRAFT_733513 MWKVESNKAYPFRHKHANHFPPSPSSGDILAEEGEGNRWSYQLS PTPFSDEEEEEEEVPIFFRSPSEEQERRIILSTQTMSPSRLPAAQQPNWPLIPPPLLA LPPPPPPPRAPTPPPLHISTQRHKPKPRPLPPTRPLLLPPNLSPFSEPPTPSSQAPQT LTGLLTDVLSIMNSIMSQQALVASENELLEDLTELVVILQEEAEEMLAMADLVEEFVE EVEVGEVVGGLEVWAGELGLGLGIGGVGGDGDGEGEWEEEYGGGGRERERDGRCGHER EESFDSGVGMEMSEEVREERDRDGHVGELRLSLYRPKEAGRRAEDSGGRLKEIENGRR SKRDKKEATMSRELVKVDRGEMVLSSKPKKDRQRGPPLQFRDSGLELLSPQNSPKRNR SSTKPRWI LY89DRAFT_59100 MPGTPEASQSGTWRKEIETTLEAVKQLISRSVAPIPSTPYAPSN EPDQKKMTSLLTDLRKIGFQDVETLLQLFNSQAKGSQDDNKFVLEHLVQLLAKLDDNS NIANQLTGGFINGLWNALPHPPLTGLGTKYQYREPDGSNNNIRFPDLGKAKTPYARSA KPTILQNIALPDPGAIFDSLMVRGDTFEPHPNKISSMLFYLATIIIHDAFRTNHADFN QSDTSSYLDLAPLYGSIEDEQKLVRAFKDGKLKPDCFSEKRLLGFPPGVCVMLVMFNR FHNYVVTQLAAINENGRFTKPKDDDTKGLAKYDNDLFQTGRLITCGLYVNCVLKDYVR TILNLNRTASKWDLDPRTDEGKTLFSSPAAEGTGNQVSAEFNLIYRWHSAVSKRDDEW TQGAYAKLFPGKSASEVGLDELLRGLIMFEKQLGDDPLAPSRNFGGLTRQEDGSYNDD DLVKILSESIEDVAGSFGANRVPKILKSVEILGIIQARSWNIASLNEFREFAGLQKHA TFEDINPDPAVAEKLRNLYDHPDFVEMYPGLVAEKAKPPMAPGSGLCVNFTTSYAILS DAVGLVRGDRFYTIDYSPRNLTNWGYNEVQYDTSVDEGCVFYKVILRAFPNHFKGNSI YAHFPFVVPSENLVIQKSLNRADKYSYDPPARQPPAVVLGTYAAVDKVLKGETNLKAE HALAGPSPSNKALVKGLYPKDWQVEVKKFYEETTAKLLKTYSYPVPGTKKRQVDIVRD VANLVSARFASSVFSLPIKTEECPRGVYTEQEMYQILLIIYTSIFDNRDVAKSFQISE AAHMLGKQMGELVLLNAQAISSSGLIADVLASLHKSSGLTEYGTHLIKTLVESKIPVK DVVFSHLLPTAASITATHSQTFSQAFDYYLGAGASHLPKLYQLAQMNTKEADDTLTH LY89DRAFT_697000 MTLAAGPRFSRQATNAYASRPIDVTDFSWFTPKSPFWHAFAPKL HAAKPKCAPPKLSHKAVTGLAVAGSVYHPTNLLEMSDEDVLSMKRSHALFLDMLDYDT SHLSFTPGTQGIVTTAGGAYFPVLLTSLLLLRQTGSALPVEIFILDDSEYESLVCESV FPQLGATCILLSPFLASSNYKFVVEGYQIKILSILFSSFEHVLFLDADNFPVSDPEPL FSSSAYTSAGLVLWKDFWKPTFSPHFVNITSTEPSLENTIEAGQILVSRRERARTLML AAYYNIWGEWYFDLITQGGPGEGDKDTFLPAARAVGEEVYVVGRGPEYLGARGNGAAV LQFDAVGDWACAKERVAPLFIHASWPPKLNALHNVRSERQWGSEEHALSLFGADMEKV AWGFMIEMACEGEFWDWGEGNKTSTGVCEQTRGCFRNMFGQEYIFKAGVRNESFES LY89DRAFT_684655 MADHEDIMELDLTMLSITSPHQIKRKRPYRSSMEDGSAEQSLEE INQLLANVDLSEGTKDILRVMAMDYQALKILNPDTREYAKKQAGLLRAEMLLQRVLSE DEKYAKLSMSHQSLLDKGKILNLGDYHRANLNTFKVTARALVAERNMGKKKAEKEKNP LDNKPWREILAEIDKEESEMKAWRAKPGHGILGKGAMPNQDLIDFLENVAERCTPPWS FDQAKFEMREYQTRNNIAHAGIDELLETAKKANDRHSEHWRTVAQYIIRDRQALQEED MPLHLAAASGNKEAILESLRIFQLQYFQVLTTKRDDNGVKMPNQVQLKDALFPEADED DDDEPLLKNQVLSFVPTDGWEQKAKDGFLAAEKELLECEEAFQAAQAGVTEAENGRKQ AQENLRVAQKSFKTAMKNFREAVKEQEKNLHLCLNSN LY89DRAFT_584027 MSFRISEIVSDSEFHELVEVEFISFEHPRNAFRDLFYPILGTGP DARQQAIKEATSRIIHNHRSNPFSHWVKAIDPHSDRLVGAALWIFFESDPYTGKPLPE CTWWPQGEGREFTTRCLMHVSKMRLLCCRGTKAVTDEDQSDLNLCFVHPDFRRQGAGS QLLAWGVSKADEQKMVAYVDASGDGKALYEQFGFVASKQVTVNFTKDNPGELWKRLEK ISLPHSFWPMSRPKLAEG LY89DRAFT_747417 MKSFSLLCLSLLASTSSALPATSTSAAPAQSTACGTIVNDPDTY VFNASLAYECLTSVPFNPAVATRFLAYYNDTLQFQSTLAYLRNPPTSYQQPGVDLLLG LQNLQDGINNGIFPNQYEFEAALQTLLYATHDAHVNLFAGILSAFTFASPYDIVSLSI DGIQLPKVYFAFDLFESKYFTTYQPSAIKTINGVNATTYLMEFASKNSIGTLEKNADW NQLMLSAALDIQGYFDVFSGGATFYPGDTITTVLENGTTYTDNFQAVYNDPGPTGPLE TGGDFYNFFVLGFYPASFNESDDSTGSSSVVPSATATATSTVVSSAAATPSNWNNTAY PDTPDVVQTDLGTYGGGYISGYFLNSTSISVLSIPSFDEYDAALNTFQSTISDFITRS KAAGLQKVVIDLQQNTGGQPLLAIDTFKQFFPNIDPFDGSRMRAHPSADVMGNTITGY FQSLNTTDEDYYALIGDEWVATDLINANTNQNFTSWAEFFGPHLYNGDNFTTVQRYNL SSILFDDAATNTNFSFAVYGYGANPAPANASPPWAAEDIVLLSDGICDSSCALFMEMM HHEAGVRVVAAGGLPTTGPMQAPSGSRGASDYDVSILDANIDFAQQILQSDNSPEQNF LPNRTTAMDVFVTYADINLRDQVRRNETIPLQFAYEAADCRIFYTPKTIYNYTALWQY TADAIWSTPSLCVQGSTGFATTGTNTTDFVGPSSSSAGARTNISDYLAALNSSSISSL TYLNDGLEDGFALQRYKNTVVTSCTIESAAACTGGTICDSGVCKLECVVGNAKCAGGQ PCQARSNAGVSVGSQALLKGVCPVSNSKVTTKTSPGPLVD LY89DRAFT_584848 MPYVYSSLEGEHGERHIRVLKLLSKGDEPRLRCSLEIIDLNESP VYDCLSYTWSDPLYHELSAGYPLDIRTTTGDLDHEIECDGKMIPITENLEDALLQFSK TGFISNSVDGRAENKDGLIWIDAICINQKNDAEKNDQIPMMDEIYTGARRVIAWLGRC DEHVHPALEIIQRFKSIPPEKGKVTITDLEGPEYESLFGSPPISSQQWNDYAAFIQRA WFNRVWIIQEAFLAKHIDVLCGPHVLSWRHDFWDVAKFLRDTNLGTLLMEQADGTAHP DRKSTAYVNNSLNNQYQLEVMKAQSAKEPLSLEKLLVYSRYFQAGQAQDHVYGLLGIW KSSNRTSKLPPDMMIDQTLDRDADDFVARVFTKYSWVSIRNTQDLNVLSLVDDPATRE QHHLPSWVPGYSVGFHVHPLAGVPRPEPGKERWNASEGLPPFEIPTSDSERLSVKGIR FDTIDEFATTYSGVMDQYDINSLLELVARYPGEKYPSGCTSLEAFWRTLIKDTFCGTP AGAEARAAFQYLIAGCVGHLEDASEGLEEDDVDNTAALSTLLDKTKITIKQISRKYND TVVPDVEEINDILERVGTLDPDSDEKKKLDRDFDDISESFRIAYSCRRLFRTKKGYLG IAAESLGTGDSVWVLAGAAVPLVLRPAGDGCWRLVGEAYIHGIMNGEVGRMIGKELEQ ISLV LY89DRAFT_781839 MRPHFKPVHTEELSDDDGETVFRSMVERSSIGWLTPSLIAASLT IATASAIGHYSFFQYLNNGQETSRIPQSWVTTVSTAIVKIFSICVGIALDTEDPVITQ TVILNTPMASFTYDASGVDTVSITLDADILQSYLENTMISMLTLSNQDVWTEVTTGEY VNRYSFKYPAKLIIPYATILVGSVVFIILGVLALVRNGVSASSGGFTQLLCTTKGSQI LDDMTVNASLGGDAIPDELKNLVVKYGVLRNGAVVRTGFGVPNEVQDLMSGKNYE LY89DRAFT_584808 MSAKDASKTAIEPTLDEAIKNRHSTRKFLPTPIQQDLLITALQF AQLSPSNSNIQPWHLTVVSGQRLIKLKAALNAAATKTNPNIPPLPDTFSHFRTELGYQ VYGVGMGIAREDRESRREAVLRNYEFFGAPVVGILCMDKRLGAVDAMGVGMYLQTLLL ELTKVGLETCVEVSVAGYPEVLRSELGIGDELDILCGVAIGLEDGEFRANELRIARQP IEKNVVFLEK LY89DRAFT_684659 MRQSLIISVLSFAAASLACGLHGLHGRDTIDSDPQFAPIPSTAV GIPLRPEGYAVQSFGGGAYMVTDGMYQGTNIQSCQMQKFNLSTSFLPCLHQRCRGG LY89DRAFT_684661 MTPDPNRPLPTETFLHNRTLHVGNQTLELAYLGEAHMAGNIFIY ARVQKVLVLIDVVFPGWLPFASLGEAKNIPAYYQAHDQILQYDFDHYIGGHVGRAGNR TDVLIQQEYILDLMHNCEQTLNESATNNSMIGAAALLGPVSTKNPGNKWAAFKVYLDV LGQYCANITNEKWLGRLGAADVFEYENAGAVFESLRIDYGILGPFGIS LY89DRAFT_645282 MATTRWALRSQTSLVEQFVGQSLHDIPLPAAVLDIAKVRRNCAR MLEAVDELNFDFLPEVSSHKTTEITRLQLGANAEEVRVLVTSLWEATKLLPLLLDYQI TGVQVNITYGVPLTPSQVEDAAEISKQLGRRGGNIRFLLDHISQVDLVEQVGETSGYP PRVYILVDIGDGFSGVRPGTPEFRQLFSRIEQIVLSQGSQSLAFVGFYSDIAFPDESS DLVSHLRLLDRQLAMLLHASPIEVLRLTVRAPPNLWLSLHATEELSSRELSKLKHTLV ATSEANHAIEAHSGDYVTLDLRSLVHNSQATFDGTAALMYDDIALTILTEVSSLYPRR GENGRLEAFISVGGSAFQDGVGCGGVVSQWNMKSRSALNTDSSCEWEISRCRGDSAVL VWIGENEPSADSLVGQRLRIYPYDASRAGDRFAWYYVVDSSRLGREDEIVDIFVRWRG LY89DRAFT_645284 MDNNSQDEEKPQVHDEHADDSPRDSKGEGGGHHGLAAVSAKLRN PLYAISKEDLLRDVEEFAKEKGLEDLIDMLKKGALVAQDPKRVHEIQELNEEERNWFR LEKTHRWKQTWMMYFMTILCAGSAIVQGMDQTAVNGAQIFYFEEFGIGEDQVWLQGLL NGAPYLCSALIGCWTTKPLNYYFGRRGCIWISCFFAFVTGIWQAAADSWYNLLIARFG LGLAVGAKSSTTPVYAAECAPTAIRGALTMMWQMWTAFGIMLGFVVSVAFQNVRYNAA NPQPPFWNWRLMLGSTSIPPLLVCMMVYLVPESPRWYMTKNKYRKAYEALARFRNCEL MAARDLYYIHKSLEIEDKLREGKNLWKEFFGVPRNRRAAQSSFFVMFMQQFCGVNVIA YYSTQIFIEADFSRSNALLVSLGTGINWLFAIPAIYTIDTFGRRNLLLTTFPLMGLML LFTGFSFYIPAETARTACVALGIYLFMVVYSPGEGPVPFTYSAEAFPLYVREYGMAFA TATTWGFNFILSLSWPALVDAFTPQGAFGWYAAWNFIGWGYAYFCLQETKALSLEELD TVFSVRVRDHARYYYDMLPWYLNKYILRGDVKPRKPLYDLE LY89DRAFT_781844 MGFGVREPRTTSGEHVPRTALIYGAESSDVESVPRTSLKRGTGK NADIILVPQPSDSPNDPLNWPQWKKNMTFLSICLSVSMGSALGPLLSPVTGVIAEEFK VSISKAAQPGGYPLMSCALGALILQAWAPIFGKRSAFLVSTMILFTSTIWSAKVKAPN FNGLIAARTFYGFGVGAYESLTIPATGDYSLGKRILFLNMVAIGSPYLEPLLGGYVAQ KYGWAMGFNIMIAFTGVALLAIVFACPETSYVRPSIYDTDMQSMEGIPQEKKTEVTTA SEIEGSIAEYRNPPLVLDAVTEKPKTYWEELKPINGYITRQNPLKLLVRPFVCFLYPA VFWGFSVGGLWSAWVVGLAIVTAQIYAGPPNFFDPTRLGLLSLFPLIFLLVGCCLGIF EPEFRLILLLPVLLVGIPGLFGFGHYVSHSGEKWVAASALQGLIAFAAVLAASVSFGY VLDCHRDHSIDVTVSIILLRNMFWFGSSYFLPDWLVAAKTWKVFDVIGGIQLGITLCS FVIYVFGKQIRDYLHRNDPLKILGLV LY89DRAFT_615901 MHGVPTWEHRDPAEFRAAARKDILAHYDTTFFEDVAVEKIENHQ REDGTSLFKATDEQGREWWGRKVVLVSGVKDIMPDIPGYEECWASGIGAASAGVFAID DCSPAPLALHLARYANRLASKVTIYTHGNAEVTDSVEEALSQLKPASKTKKNVTVEAR KIMKLVKLPKGAEIEVHLEGDKKIEGFIAHKPKGKQQGPWAEQLGLDLTEMGDFKTSP PFNATNVPGAFAGGDCQTPMKAATIALSHGGLLAAGVAAQLEAED LY89DRAFT_747469 MGSTVHERTSAATKLRNLIATPGKIILAPGVYDGFSARIALGVG FDAIYMTGAGTSASRIGHADLGIANLNDMREHAEMIANLDSAVPLIADADTGYGGPIM VTRTVQQYARSGVAALHIEDQVQTKRCGHLQGKQLVPAPDFLIRIRAAVAARHAVSSG IVIIARTDALQSFGYDEAISRLRMALEAGADVAFLEGITSKEEARRAVKDLAPWPVLL NMVEHGVTPNISVHEAQEMGFRVVIFPFAGLAPAYKAMKEVYTRLKVEGVTGAETLMT PKQLFEVSGLKDDLEIDAAAGGTAFSGGV LY89DRAFT_733529 MSTIAVSLNRIDRCQRLTDIPSQRSATRQSSVDEVLSPFQANEQ NVLQSALGAAETLPTRPTRPDDIAHVDGLLDAGQMPTRPTISHHFPSGASNTSQQQPL PLIPSDEDWNLEGLCLTNVEVQNLFTEPSACYFKDSPLLFWVIIAIASRRYSRDLTLL TALSSSVPRLMWSTIQSVSQNYHDVKALCLLCTWPFPLSSSSRDATFMLGGTMLHLAM QIGLHVPGQAQDFSQFRLSPSPEEVQDRTITWTAVTVVSQTLSTSYGQPSITPSAIQA HPCHLKEDGPLNGLTNRLCIEHLCHRVTNSLQYMSQVEAVQRATPQGEAFDSLKQDMT RLESTLSNSTQLGTIDINQVYFLCAKLHLHLFSLFDSPARSDYVYNLKGLYGVCARLI TLVSNSEAVTKYCPKYIFWMIIAAAFTIRKLLSSDFTDYLDLAATKQVFNTAVHTIRE ISVSNNDLAGRLAEVLVQLRARAYSQTLKSWTSDSTSKGRRGLDLKVRSRLSVSITYD SLWEWRNGFLISDTKDSEPNTPQFRSPSNGRDAAAHFRGNTISAVATPQNFELNDLIF DDLYPMNYTIEGAGVTDLGVNGYQ LY89DRAFT_584166 MRKSKLEKRLLLKMDVSIVPLLGLSFFIAYMDRNNLGNARIMGM QTDLALSDEQFFNCLMVFFVGYMVFMLPANLGMRVIGPPRQLGAAVIFFGVCGTCLSA VKSYGAVIGLRILIGMGEAFVQVGLLYFSFWYKRDEVATRAAVYYTSATISGCFSGLI AYGVQRNLDGVHGRHAWQWLYIVEGIPAIGLGIIILLFLPSFPDIIAKKGSHYFSNDE IDLALQRMAEGKFCGYKLKYSEIWLAFKDPKSYFTAVIYGALCLGIASVTSFLPTFIQ AFGFDKRNTVVQTQLFTMIPYAFATVSLLTVCFLSDRINSKGPILFICMATSSVGYII LMATTNKVALIAGTCFVASGLYPAVILTVSWITINHGGYTKRSTAWAMAQITGQGLSI IGTQVYRTPPRYLAGHGTLLGFFCLALVSIVCNWFWMRRENHRKDARAEEFRAAGTKD EEAEKSMDELLDKHPSFKYIL LY89DRAFT_59801 MKAGALEVSDSINELWGVTIGFENDQHEETLPSQPLASSGGTMN NQVSCHTQDFWAPLMPTSVALANTHLGAFPVLSQLQSDISMATTSMASVIQPALPPLS QNRANDRILCGQAGCSVSFKRMADRTRHLQHRHSRTRCFCPITGCAKSHGTGFSRPDK VTEHLWKAHANLGFTKSN LY89DRAFT_733531 MISSTWQRAVERSTSLLSREEQVKYENSCPEDIVQDFRNHHLVR GKIDNFLKRVQPLVTAIERYGEPLNVIVNASPTILSPTWGILRALLIIAREYEDYFSK LMKMLQQIGDALPRFQVYEELFRNHESFQRTLCAMYSELISFLCSAKKVFSNSSFRRK VLWRSFDDTFCDHITAFIRYSNLVEREANLADMVECSQARVQLDAQMKYLIEARQNRE QGFVVLKSWLDARQCHEERDGIEILPGTCEWILDRKELLAWIHKDDSQLFWVYGPPGC GKSFLYAKILQYIDAALAMPYVYFLFCGADGERVTVASLLRSWTFQLAKICDEAKEHI ILSRNGSENHEATDKEVYEIFLSLLEVVPPCFLTVDAVDECLDRPTFYKLLSLIPKHF KVLITSRHLPELSEHLQLQQLQQHIELEVLPEMTYSDIEFYIASHLNNITLRYCPEIV AAIKDKLKHSNGIFLWVRLMFRYIQDQTCNEEIMQCLNELPMGLSERYDRILRDINGL AKTKPRERLLAHKVFFWVSVARRPLRIKEVCGFLAVKPSSDRKNGFVEWRMANDPESS IMSICGSLLVARGSGQTLYPIHFTASEYLKQYMSNSERLAEITAYYDVQQLNSNDSLA AAVCMRYLSLEFIEYLHSQTSLDHSDTGNMLNSDEAKMVILRYATRQWFNHLKNVQSA ELLLLSIAREFLDDSRRNLDVFWHLYWSSKPETAENGRVPAPSSRAHIIAYFGLHSVL QLKVHRHRRLLVVLPLGILLRLFSVLMESLKMYYVNIPWSRFFLVIKEVWKTCCSS LY89DRAFT_781849 MLSNILSLCLLFLIVLFHLYKKFVTLSKYRHLPGPKGLPWFGPL KELPSLGFWFKFKEWADKYGSLFGTTIMGELHIFVASEDVANELFGKRGSKYSGRPKV PSVHDSQGNLGTAEYMPLMSRNIYHTRQKKLNHMHLVATKNKNYFNYPSIEAKRFIWR MLNDSSDWVSLTEDMTSRTICRLTWGTPDFAAILKEHAWNLLIAISPLGNRSNLTTPL LLMPTFLSPWKKWEAQRRAKEQAWFQQNWAEVRDRIAKGTTGPSFLRNYLEDIKSQPF DEKEAASTLGMLSITGVFTISSPMQRFVRAAILYPEWFRKVQEEVDSVCGLERMPEVE DAPHLPVLRSFIKECFRWRPPIPTGVVHEVEEDDVYDGYFIPKGAKIHPLEWAFSRDP AKYPSPHTFNPARYLDPSFTATYREPLSLYPQIQQSTAYGWGRRLCQGPELTHHELIN ACGGLAWAFDIRAGAFQNRDGEEEEVDVEKLRTGKVKKENGSLILGLDKDECEFQVPT SRQESLIIVKPAPFKIRCQVRDGGDRAGVIERQYRESCEKDILRAVGSVGVE LY89DRAFT_733533 MPPKADSVAVISLGPDGKLRPRCLTAGGRPICKFCHHFIVWGGI AAEKPPHRVEFPHHPSSTSLKKCVDSGKCVLCAILYHSLLDSRRPIEDVDLPDRQIRL GTLPPDNDVPLYRLLVEIVPLSVETAGLHTFDFETLRRVYSNLIDVSTMSRQKGLDFL EHRVESLKRMQKHRPGCSNEVWSILLPDDERRFFRYTNEISADEYFAVILPFYRVEIP LQSMYFKDGITGDLPINQVLDQADEDGLRYDKDTTGSQFALKQIHAWRGICSRHHKQC VAFTRQVASLRFVPKRLLDLTNIKLDPNGNYLEGKPVLISAASFEGSPNISYAALSHC WGKLQPLRTLMSNVSDWEKGIPWKKFPKTFRDASFIAKSLGIDYLWIDSLCIMQDSED DWKEQSSMMGLIYRCSVICISALSAKNSSEGLFVKRDSRLQRPFKLWEASPWDFPDKG PLYLFPFAKKYGNDPKGPLQERAWVLQEELLSTRRIMFGQDMVYWQCVSCKTSESLPM SIFTATPFRGIDEIEWNRIYQLGIGGALDLSNPGSKDVERFYMCWLLIIQAYSKRKLT KGSDKLAALAGIAAEYQKATGDTYHAGLWRKYVWRELLWHVSSPGAPCFNRPQPKELR ESEPMKDFAAPTWSWASINAKIMYFSLYRPDTVSDHEVLLEILDISTSPSSPSDISNQ YTGTINLRGKLSVATVLLYSSSESYYELAWETRKDQPADSNLARESNFYDHYYGALDR LAAIRKGVDSKGKANEANDYDFDTLVTSLTAKPDGHLPAYIPSEEELQYEITDRGFDK ARLRDGRLPFPATRYHLPDDYSGHPIVRDEDRVQLGHWAPDYKISESEYTVTFLAVSR SRGLVYCLGLELVDSQSQLYKRIGLGFWHELAWEETNRDLRNVELKII LY89DRAFT_59944 MHLPATKRPESSNLFDKRSQEVSTCGMGRKISAAWSAISDQRER RKAQNRLSQRKKRQRDTQKHYTESNILQDVNGLENRCHGFSSTFNDTPLDEWLDCDQI DLEISEPSFTFHIPDDHLSFPEPLDPLDSNNQTFDLSLYQPICSNFDSDNDALISTIG PEEIFNWFETRYPDVERAAASSPLSAPGECTSFTPWSSSLVLVDSESLSAADYAFQEH GLAPSPTAPLNERLEFLLSIAQHIGFSSLDEVLLSYYTGRFDTPPAFREAQCRDLSNL LTHPLQTSHQCSGWESDGVQEESDAVEFVHQAVDNHEAE LY89DRAFT_781852 MNYSVSGLVGFIIAIMILSTSAVVLRFWSRLLAARITLGWDDWL ALAALPVSLAQMSVVLRGASSGLLGKHIPELTLPELEWSLKLLVAANLLFNVSISFSR LSALAFYSRVFTLPGANSKLWTWSFWIVTSLCIAWPLAMIPMNLFNCSPVRRLWQPWL PGHCMTQFEIYMISGATSVFVDLCVLILPLPKIYLLHMDLRKRFMVGLAFLAGYSVIF MSVGRMIQTAQVGSALDMDPIYTIIPMAYWIEAECGLSILAICLPAIFQLAKRVYRSG LVSLLSSKDFSALEYEMNSGKSRHTDSKRQFSQTSHERIMTPTSDV LY89DRAFT_781853 MADKHKVNGFHDSDPRSIPNSEPIAIIGMACHLPGGISSTDAMW EFLKAGKDARSEIPKSRWDIDSFYHPTPGHQGSLSMRGGYFLDGDIRTFDNAFFGINN LEASYMDPQQRKLLEVCFECFESAGVGGELAGKNIGCYVGNFAMDYVLMQSKDPESVH RYTSTGTGITILSNRISHAFDLRGPSVTLDTACSSSLYGLHFACSALRQGECDGAIVA AANLIQTPEPQIFISRAGVLSDTSTCHSFSADADGYGRGDAVGALYLKRLSDAIRDND PIRSIVLGTAVNSDGKTPGITQPSQVGQQEVIRKAYQSAGLDCAATDYVECHGTGTPV GDPIEVKALSQVFLSESGRSPESDPLIIGSVKSNFGHSEAASGITSIIKTTLALENLA IPPTVGVGELTPKIPWKELNVEVARTVLPWPVAKHEGHVPTASISSFGYGGSNSHCIL QRPSAIKALTNGVGHTNGVVETNGEVQTNGVVEKRERAYLIPLSASTSDSLKGRISDL ASFDTENIATSDLAYTLGQRRSELKWRSYFIGRTATLSNETVSKALDVITDHTSLKAQ DNQLSFIFTGQGAQWPEMGKALFEVYPVFRESFQRCESYLSELAQAPTWSLRQELLAG PGLSRINDPEISQPVCTAIQIALIDLLSSWDIEPKFVVGHSSGEIAAAYSAGHISAFY AMAVAFLRGHIVSSIPRNGSMIAVGVSADVANEAITAHGFSTQVNVACVNSPENVTLS GEKTAIEALSEILIQEGKFVRTLKTNGVAYHSHLMKVVGSEYESLLAATQQLDRVDSS SPDRTVMRAHVEMISTVRKTPVGYEDTSSPAYWRANMESPVEFCSAVTDLLAEESSRF IEIGPHGALQLPVKQTITKANPDKQILYLSVIYRNKDAEDCALDFVGKLWSSGYPISF EKVNGTEAKKNKVLTNLPNYKWDHSNLLWNEPRSSIEYRNRKYRHDDLLGLAVVGSSS TTFTWRNLLSVDDVPWLEDHKIQGNIVFPAAGYIAMACRACQQLASSEDFSQHTLELK QLSFQRALTLTPGSKLEIFTELTPQRISDSNHAKKWWKFTISTTDANTSVEHATGLVG LTATTSLSALPRPERTVDSESQSAKIWYDQFDKVGFNFGPHFRSVSEFSHSKLKTENK TAAKLAGLPDGLDSDHEGYSYEIHPIVIDAILQTCVVSWTGGVLGNLVASFPVAIDRI QISPGGVQHKALPEHGIFTSCKIVGPSTSLARSELIDQSGNAFLRVSGIKAVKIHGAY SRETAREPALQIVWEPDLGKLVKGNKEVVEQYISLLLREAEETRRFGAVGKLVRILSH TKSCANVLEMGTYVKNATQVVMDSLDRGSMHQRFVGYSRGRVVDGLEVCDVQPLDLKD VESEEGFKARTDEMFDLILLTDQAAVDKFFGQQKDQILNCLESSGHLLWKGEVSGSPL LEAGFQILSFDGKDSSSRFNLASRIQSSSIPDQIFNGDTDSSQPHVLVAQDAFHPLNE ALKHHLETTTGAPITILTLEDLKTETTLPPQCTAIVSLELEKALVYDTDAASLRAIQK ITDNATTILWLTGGNLSVGESPNMATIRGLSRTVMAEQPSTKFFVLDIDEPSAAVDTT VSNVRAVLETASEDQPDFEFLQIKQVLHVSRFVIDEAVNDVFRRKMGGRASLTKLGQA GRCELSIKEIGQMDTLEFVPVKTPSAIPDDYIDVEVKAVGINAKDVYTLSGKVETPEN TSSCEFAGIVTQVGSKVTHVRAGDRVVCAAPSRFGSHERIPAYTCAKMKKHESFTDLC VVPVATATVVYTLKHLARLRKNETVLIHSAAGGVGLVAIQVAASIGAQIFATVSNEKK AQFLMDNFGLKREQIFHSRDDSFVNDVLAATNGRGVDVVLNSLTGDLLHQSLGICAKF GRFLEIGKRDILDHGQLDMRVLEHNVSFIAVDLADFYYSDNPGVHREVFAELLAESLE TYRKLGGVKPKVFDVSELTAAFHYFSNSARTGKVAVSYENPESLIPLVPQKYSMVFNP NKSYFLVGCLGGLGRSLAQYMVSNGARYFTFMGRSGADKPAAKNLVLELENAGANVQV VRGDVQDFGTVLKAIKNLPRKLGGVVQAPMALGNALFTAMDNKKWRAPLEGKIDGTWN LHTAISGLDSELDFFLMLSSLSGSLGAATESNYCAGNSFLDAFAYYRRAKNLPALALG LGAIKQVGFLHEHSDIEAMLARRGVAAISEEDMLQLIDIALTHSLHSHSLPLGLRKPH ILTGLESIGMQPIWDDGFKGSQEFIMADPRVSIVSLGNARLKDTHAGPDAHNSVMMPL LSATDSTETKRKVVFTALAGKLSQLTLTPVTDIAFQIAFAALGMDSLVTAEFRTFIFR TFRVDVAFMDLMDNKSTMGDLTELVCGKLGGGKGKGKWGLGKRVNGHA LY89DRAFT_684672 MASLITHQPVKGLYAFSAILLNALKLPFWLLYYFPSSTRPHPQW SLRQAVASKVLRTLVHHISKIQIYTPLILTPKPKEKNFLITISPSSDPKTYTGICADK QITPEPVCGYWYPSAYDQTEDKGQKIVLHFHGGAFVVGDCRPSEMAFAASILTKHIGK TLMVSYRLSSNSNGRFPAALQDAVSAVHYLLDLGIPYSDIVISGDSAGGNLAISLLRY LSTSGDDEKAVFKGALLWSPWVDLAISMTPQILYESPHRFTDYIAPAFAIWGANAYCP KDGPLKMDSEWISPGPNPFRTRTPVWVQGNDQEILVEQIKEFEEGMRKIEGNRVGWHN EVVATHDILLLGNVSGFESEAERAAGKAREWLDEL LY89DRAFT_645306 MTTLTPVPLPLPEPLASIPRTPLLFGPSPIEKLDRITAALGGKV GVWAKREDCNSGVAFGGNKVRKLEYLIPEALQQGCDTLVSVGGIQSNHTRAVAGVARK VGLKVQLIQESWVLNTTPLYSKVGNIQISRLMGVTPHLDPSPFSISHASSLQTLTTQI LSSGDGKPYYIPAGASDHPLGGLGFARWAFEVAAQEQELGVFFGTVVVCAVTGSTLAG MVAGFRFLEVKMGGRKRKIVGIDASAKPAETKAQVLGIAKFTAEKIGLEESDVREEDV VLDERWHEGVYGVPGKTTIEAMKFGAETEGFITDPVYEGKSLAGLMGMIRNGEIEDGE QVLYAHLGGQLAINAYEGLFE LY89DRAFT_669004 MFSLLHGFLLASYTGLSTCSLHLKAKYSNTSSPTVTIKNGSYTG VYNEQYDQEYFLGIPYAQPPINDLRFRVPRSLNETWNGTKATTAWPPFCVGYGGDDDG HDLSEDCLYLNVFRPSLSSLSLSTNNSATYSNSTSKTKSKSSNSTLLPVAIWIHGGGL FMGGTNDGRYNMSFILTSGTKISKPFVAVSIQYRLSAFGFLGGKEALEGGATNLGYRD QRLAMHWVQENIEAFGGDPTQVTIWGESSGAQSVGAHLLAYGGRDDGLFRAAIAESGG PAVSYFPVLLPGGYNSTAYQSTYDIVVSNTSCAPYLSTPQSLSCIRTLPFAELNNVLN DTTSISGLSPFVPIIDEDFITTFPSLQLETGSFVKVPLLIGSNSDEGTAFGCSYNVST DDQFLDILTSTGIPPDSIAAQIIPYLYPNIEALGIPSPIAYPNLFSYDTNLSLALGSQ FRRLTSYFGDIVVNAPRRATNHAWSANNVSSWSYRFDVRPNGKTPVIGATHFAEVAFA FDNIRGEGYDVNPFGNLSSSDESKFVELADTMSRSWVSFIVDGDPNGSDEWQLAVDGG WPVYNVSVGGGEGWNLVWTVNGTGSYVEWDTYRAEAMGWVGGNFRGVYGL LY89DRAFT_584753 MALNKPIPALYCCYLLRSTVSRSTTYVGSTPNPVRRLRQHNGHA KGGAVRTSRPSLRPWEMTCIVTGFPSHIAALQFDFSSSNLSRWAWQNPHITTHIPAES RIQHATQKKRSGHPKRPRHTINSLLSNLHLLLRVPTFARWPLELRFFSEDVHKAWLKW CKTAPEPIRETIKIIQDFSVSKIEVSDTDGSPRAKRRKVGHGIQGLEIGYANEKMHVE KAKNIVDFEHEGSCAICREHLEHDEGVYALCPAPGCQSATHLTCLGQHFLKYEKDSLV PIKGNCPSCNTELRWIDVVKELSLRMRGQKEVDKLLKKKRLRRDKATASQAVIESSES EEETDEELERQEIEAQLRELSDLDPGETVEGGDRWHEVDDSDSDTRSVDSNISLASKH ATTQANKATSLKPIIEDSDWDDAAELD LY89DRAFT_733541 MVQVPTTTTGSSSTMRASPASGTNSNTTSTRGKPATTSNANNPA AAPLPLSAMHSLPLDMTSVERRGQPTASRETIKRIRPHKLQEAPTYRPTLEEFKDPFE YMKKIAPEASQYGICKIIPPDSWKPDFSIDTERFHFRTRKQELNSVEGSTRANLTYLD QLAKFHKQNGTNLNRFPSVDKRPLDLYKLKKAVETRGGFERVCKHKKWAEIGRDLGYS GKIMSSLSTSLKNSYQRWLHPYEVYLSSAKPGVHQQLEYEYGGPLTPSPANSPLKKSH QHTPSSLRGDSPAIRASDALNASMKEEFLDRGMKNIPRLDSPAATPTPTLAPQPQSAT SGFTAVNAGGFTPVNTAPATFTPVANNRREREENGFTPPRRQFDTPIASAKNTPEYRP SALGSAPVVNGFTSNPMLKRQLSHDSLDSRGKGSQPEDNENGGRRSKRLKKDAVPTVA GSHMTLFRPTQPRIPGEHGSFAPGEKCEQCGKAADDSTIMLICESCNNGYHMACLDPQ VTQKQDNNDWYCPRCLVGDGQFGFEEGGIYSLKQFQQKAAEFKETYFQNKMPFDPVFN CPRPVTEDDIEREFWRLVASLEETITVEYGADIHSTTHGSGFPTIEKNPLDPYSNDPW NLNILPLHADSLFRHIKSDISGMTVPWLYVGMIFSTFCWHNEDHYAYSANYQHFGSTK TWYGIPGEDAERFEAAMREAVPELFETQPDLLFQLVTLMTPEQLKKAGVRVYALDQRA GQFVITFPQAYHAGFNHGFNFNEAVNFAPNDWEPYGDAGVERLQQFRRQPCFSHDELL WTAAEGAATGGVTIATAKWLAPALERLRDRELAQRRHFLDKHKEKHADPCVATDDTEG ESPRCQLGLGFAIDEEDVPEEEYQCTYCKAYAYISRFKCDTSGKVMCLLHAGNYECCS MTEDQRYAGKNHTLHCRRTAEALEAIHIKVNEKAQLPEAWEEKVMTAMQESAQPSLKV LRALVNEGERIPFNLKSLPDLKQFVDKCNEWVEEATNYIVRKQQNRRKNEKAWRKGSK AAEMEERDREYRKVENINKLIEQAERLGFDCPEITQLNERAAAIKQFRADVSTVLQNV ALRKTTEFEDLLEKGRSFNVDLPEVDKLDKMVQQMKWNDRARDNRGQFLTLKEVDDLI EEGEKLEIPEYNEFLGHYKEQSSQGRSWEIKATELISAELIHYPQLEALSAQAQAAAL PVSAETLAAVDQILDRQRDAHRRIVSLYDRSRNEDFKKRPKYQEVRDTMDQLAELNSK PNGTLDLEKEQKRHEDWMRKGKKLFGKANAPLHILKSHMEYVFERNVDCFDIESDKPR MPAEPASREPSPSDAKLHSWEDPRFREVFCICRRIEAGMMIECELCHEWYHGKCLKIA RGKVKDDEKYTCPICDWRVKIPRDAARPKLEDLQAWQDEIPALPFQPDEEEVLAKIID NAQDFRSHVAPFCNPVMATADECETQRFYLRKIEGAEILLSYETNFFRQELHKWSPVA PEAPPLLEVSKSTRKPRPTKLQKLMAQHGVEDPEQLPQSVRIKPHIVNKRKSSEPSSS RPPPLQPAPGRSDSGTPTSHSFPSSATMHPHGGPTLSGLPSAHDHAHPAHFGGYADPH FSMASSSASPAFAPHAFLPGGMQSPGFGSRQPGIFNDAAYGSIDSVALGPGTDSPMRE TFGESPQDLHGVSKFMDPPGLAMSRAGNKSKGKGRAENNEPEGSPSSTAGDVGTRGRA ADEVSREEWPLPETHEEEQGEPKWGADVDMDLFLNEQP LY89DRAFT_718351 MCLLAIVHILLCAFGKADDHGFATLRTGTDFKKLQWFNVMVVQL PLNIVSGLIVFGSDYIRRCLLPPPKDEIWNPKGKLGYQSLAACWKANWRRKVLWVILS LTSLPIHVLYNSIILTSLPAYDAYEVLVDKGFFQGQSFDLSVLNVTEFKDQPGASVQY GTPWPGGWPGSSSLQRILDGVQQNSSSWTNLSNYDCRRNYGLELYSNFRTVIMVTNWA PNLSQNNSGLAVGTLPGFTPNGLPSRFMSLCPEAYLSVTPNTTIPPYPVYISVADMPA CNSIFGADCTTWQWNGVSYGLASFLASYYAAYDLCYLYHTARNSPDTSQHVPLSYCLA EPLGETKAKLVWIKFITEIAAVALSIKAIAVLCAARCIQCDDNDGFPTGFPYSSLGRH AWLHILCYAAVNMGITLFCVAVAMGFSNSPPFGLGAAPAHDTDRKFILSILFFQNSLH AMMVVREYLEANYFEKAEGLSKGEPGCGIPLPGALFFWNLGLHQLVSAWLGTAMLDRY SLGSIEDANMDPTPTVILDSVLSGHTGVTIMPVKGTGWGGIFYVIVPIFAVLGQVFGI NIVYQTFRRRTTSLPTPASSSSRRSSVSELEIDSVPLQELPAVYTLISRVNQQLL LY89DRAFT_718352 MRFWCKVIAFARSCSVTTAWTVDYNQLQPNDNSTAAVRDVDGHV ENIINIPGSAEYIALMQELTTHPNRLRPPILNTFSHQFRFLLKIVQRRNNLFLGRPGS TNAATLGSMLRMLRSETESRLSISITTIGLAIPNAAFASHEEVNDALVYAGLKPLPKR AIHDGDFNAVYAAHGYGLCATYADPYKCEKEENAMKDGDELLHLDYTNRTLSANTDWI KMARSKYAATNIVDWELGSDQKGTSGYWENVKERVRRLKGKKEFTQLLLSGERAGDED FLETVKDALWDAEVEVREQDIDFRFVVARGAAEMQWRRQRGWLDCVQPKYCREKTVWG RLIGRFWRMFELWPN LY89DRAFT_781859 MPRLFDIPASLPFTPISPDVDPEKVALGFLPSLTTLSPSSITSS GTWRDLYALTGTLRTFYGPSSILTAWAATTKLLQPTKFSLQPNSAQIFRAGPLCWIQA IYEFETEKTDCQAIVSLVQDDGEWRIWLLRTFLMGFKGENSVDFLEPEGGKAEGKEDT SFDCVVVGAGQAGLSVAGRLKALGVSCVVLEKSPEVGDNWRMRYDSCKLHLPRETAHL PFDRTFGPECSEHLTKNELAQGYKNWVEKFDINVWTDTKLVKGDWDGSKKLWTLKVQR GREDRTITSSHVVFAVGSAQIPSMPEYSTREKFEGTILHSKDYKNPSAWKGKHGIVIG TANTAHDVVEDMVAAGLASVTMVQRSPTYVVPAEYLHGLISQSYNAQFPTYLADQFSW SMPYPIVGRLYKGGLGHLASLEPKRYDALKAAGFKFDQNGSVGESIFDRFGGHYVDVG ASKKIADGLIKIKSDSLPTHYTAEGLAFADNSVLPADVVVFATGFQTNLRSVVTEIFS PSTADAMGDFWGVDSEGELRAAFRPGGQKGMFYTGGDQSQCRFYSRFIALSIKADVMG APLPIYDGGS LY89DRAFT_781860 MGCCCCCVSGRKPRRESIIPQDQESIGPPPPYDAKDIHESENVV TAPVEKLPTPTYQARTGIRSQDMRLYQAAAGGHLESVKSLLAKGVNPSDRTVCDWCPL HWAAHNDLEDGFEIVKLLVEHGADVNQVSDTGLTPLDLATRAEKTEIVQYLKEKGAMS GAVCGEINRVRSRGKPRRIVRGSDVASSSC LY89DRAFT_584525 LWQQAYKKLAEDDPDLIRDLETIIKEDAELDSSTDIKLEIQNVV AQQKTKMESKQWSFNLLGVKEVKVRETVDSILSLIDRGQGLISAGMTFAPPYISIPWT AVSSLIPVRISFWRGNLWEKLTILS LY89DRAFT_561276 LPSSTMHVAVRYGQVDAVQYGIATGANIEAKNLVGNTLVHEAVH WRSLPVLELLLASGIAIDSQNNVGDTALHLASRVGLVEFAEALLRHEADTNLPNYHGF APLHISVHYGHPKIVQLLLRFGANIDQTDPRGNTAL LY89DRAFT_556682 PTRLINIRKFPHVFLEHFPDSSSFSGEYVYLSFNWGKVQPQRTM ISTLEDHLEDLGFDQLPQTFKDGIELASFLAISYIWIDALCVIQDSAEDWQREAGRMG NYIRNAACVVSALAS LY89DRAFT_584023 MRDENFRPQRLLKVSITGAGILVQLLVTKEIPREVRIQYLTLSY CWGQGDHLRLTKATEETFAEGVPLEDMPKTLLDAVVITARLHYEHLWIDALCIIQDSE QDTKDNILSMGEVYRNSIFTIAALAARGSHEGCFVRRNPLARDEYRVREDSPYTFSHL FEPIGPDTRDPQLAPPLQKRAWVIQERALSPRTLYYGSEMIFWECIQSKASEYEPIMQ DLWYAGGQDLKDRSPGVIFHSGMKSVFKLLLDRCKDGTYCDWISYWRKLVRDYTVCQM TKESDKWAAIAGLATEVELVARDESFHGLWKNRLCRELLWYADRPGRRLGTEKPGGQE VKAPSWSWTSVDAIIEWW LY89DRAFT_733547 MFAKLLPLLGLSTLSQATTEIVYLANCAGCPTSEGCEYYRSTMD YYPDVTESLDGQYPSAIAGFDWVVGWEGNVMKRNFPDGDTFTSAITTDAQSLANGAYA GFGENKYRSFSCYKDSQRELYQDGTDYCYSIYYCE LY89DRAFT_684681 MDSLVVRRRISSHNSISRRDFSRGRESIGSGGCDISSSELILSY KAHIHGLESLLKRFEEVLRRAETAESELIISTRECESKTIEISTLQVTISKIKIELER SRKERDEVRSHLEQSEREKIRIVEERDRERHEFGERESKDRREIERLIEELKKVESER DRVIVEKDKFRREKERFEEEITSERIEFEEKETRIRNEVRKWKKEFDSVQEELKETFI ELEEIRSEKEKIVFEFEEERQEWVEREVKLVEEVRILEEKFEKIEKECEIIRIRLEET TRFLEIEKERCGSFTRNIKELEEQIELLEKSWTETKFREQKQRDELEILHKELRIAID EREKFTLKLSDAQTRIRFLEEAKRDDRFEDHEINKELIRVKAELARANECLESYLPPH HCRGSKFSIDKVFYCGKIVEDHRVLKEILDTVHSGKSFRPTDETCGGEVLDSQKTFTV AYHVNGKGPVKYVTVPEGGSVRF LY89DRAFT_615938 MMGQGEFPQSVDGEKVLREWFEKYMAERDNSISKDSPLVQVVDA DELDASFVEKQIQESAKEILVTKGFCEKCQKLFDNWPTIGGSASRNHDSLPDQNGGWE HAVATTYTTFELEAGARSGCRFCTFLLQSVKDCELLETFRKIEARIFKLNEHEKSALS VQNWGCNPHQLLWLNLPGKVCTSCNAGIALQTKTDSAYLPASADCYDEPLDVLENAAK WFTNCSQNHERCKSSNDGVLPTRLISIAKEPRLVLTSELVKTPIYATLSHSWGSHEVI KLTSKDLKSFMKALPVDKLPTTFKHAFEITRKLGMDYLWIDSLCILQDSEDDWQRESS LMSSVYGGSAITIAASSARDSTHGCFLKPTIFSGGVRARVTDGGRTRVQDFRNSEEYK RSTVDTHLGTRAWALQEKMLPPRTIHFGDRGAFWECRTSIASEYLPDGFPKNLVSPLV NRKGKFEWLWPQVVGLYSAANLSFGKDKLPALSGVASLGYKETGDQYLAGLWRGQIEE QLCWRRHHSKPIIKRPTWRAPSWSWASIDGGVGWYQPQSKVLETQYAHVLDANTTLYG KDPFGQVAGGTIRLACSSMVAGHLVPNKNVDKPGFDIVLRAGEGQDEFPITIDCLEDG EQEDNGAIHLLPILGGWTGCSSGMADGEKLKEFLVQGVVLRPTGPTKGEFSRIGSFNF YKDSMRWREPKTKIDDSYEPFLKILEEQGIAAAEAACAEIISNTEHPNERYVITLI LY89DRAFT_781865 MTETFSSFKRNFSIRPLLAYTPLIPYLVLILSAIPTTAASPIPS RFTDWLHGELLPEPGVTLGGEIKCYNLPYGGIGFTSHVLTYYTVVTMLLGRTPIFPRP FDELKHYGWDIFLSIIGIIGTVTVTGLNISACRNRWQFVCIGVWKLTMSFTLCSITWH QSVAVDNFKTQIELVNNPARRREYYSRTTNLVFKDWKRRPVQPTPTRFEFRYYPQASF WLILYFVGTVIGMTGLMSLVMQLFSTHSQIRIITGIFASVAFLPLFIWFLVFFVYASV LLAQALAAAFIWLFNYLLYFTHLDDSVGSVEMNWKTEWNIKKHEINFEAVWFGFAYST AIFGLFGAFYCDWVLASIAGNWAGLPSSDTAVLYWIYFASKRLPMLST LY89DRAFT_781866 MADQHLPALSSLEEFLGHEYDFLVVGGGTAGLVVAARLTENPTI SVGVLEAGPAHLNDPMILTPALYNWAPRRGLTGISFDQPRGKGLGGSSAINYQMYNRG QVSDYDDWERFGNPGWDFQSMLPYFKISPTERFKTDALVTKLILSPGNEPAVTGIEFE HSGRTHQLSTKKEIILSAGSYKTPQLLELSGIGNPAIPSKSGIETLIPNPRIGENLRD HPATGVGYELVPGQKSLDMLQDPATFQAAQKEYMESRTGPLSSGGSAGGFVALADICS ADEIASIQKRILNSHEEGLLPAAKKLIADGYASHDDGSIQIILLPASLGLRDLTDQKK FFAGDEDVKKRGAQGLAMGCAVARPVSAGSVHIRSPDPHQDPDIDPAYLVEEVDLEVI ARGMQVAMKMAETSPLKDLIARRYWPDESVDLSTLEGCMGIYVLDAVNGTLVASRDLS SEGEGPFMVSDLPSCNDIGQTIGITGTPIIDPTTDTIYFWAKSYLSPGQSGWQNGAYS FHAVDAATLKEKSGFPTNIQGFMADNDNTRHFTGGDHLQRTGLNMINGIVYAGFGAHC DLFNYTGWVIGMSTAGKYVTGYATFGGAGTPAEDGTWSGGGGAAGIWMAGSALASDNT GRLFFATGNGRGTGVNQVAAAPGHVHLDTLAECIVNLMLNANGSLTQQDYFQPASYLA MDNGDRDLGSGGVILPDPSVFSGGGVARLAITCGKNGNCFIANADNLGGYKMGTASED AVIQTLTPPGNGELFGNPGTYPLEGGYMYITPVGMPTYVYSLGSDTKGRPACTLVAQT NETAAGAVGAGPATITSLNGQAGTAILWICDTNGIRAYHAVPVNGQMLRINLPPAGGL TKFSRATFGDGRYYMTTSAGAILAFGAPVSVPLNCASPIDFGSVQVGAASILSVTCVA NIPITKVEGLLLGSPIYQALNSSLPTEGLNEGASFSFPITLNLTKYVLGGGSTSSPKI SPGIVSSALTLFTKNAKSGYSTEQSISLRGKAVVNGPFLSVSPLQVTFPSIVVGSQAS VGGVASTFLIENAGKSNLDILGYAFSTNNDGPFTNVTMKPTSVLDNDGYFTSQDLPSL GTSILAGSSVTVTVNFNTSVVGSHFTMLTIFSNAGTSYVILSGTASTTPIAVLEQSTN EGGWIKIPGCIAGCTSQINIGSLPGSGSLTQTIRLTNNGGSNLLVTKSKPPEGVVLGA TNPSTDFSEGLSIAPGSYATATVYFEPGSAVLNSDPATYFGAWTLNTNDLTFGVHVLN FTGTLAPPVVGPLLGNGSAQFQYLGCFHDGAGARVEPNQLSNTNNSNGLCQQQALKAG HVFGGTEYTTECWYGSVIPSPSSKAADSMCMNYACPGDSTQWCGGVGGYLELYYDRMK YDPGSEKLTPSPSSTTLSSSSLSTTSLSSTKIESATSSVSLTSGTKSSPTTSAHTANP TIPSIVGNYHYIDCHSDNTTIRTLQSHRIATDDMTIQYCAGNCTGYTYFGVEYSTECY YGNILTFGSFTTVDERCKMVCGGDLNQLCGGSNGLTLFQLSVAGLTSSSTITSTMGSL PLVSISSPATSRPAQVTTSSPSLQTSTSETAITPSISISQSQSSVSSSSSTSTFLASP TSTSNPWIYVGCANDTSATRALPNIFTWSPNMTIPLCLLTCQNANYPLAGLEFGDQCM CSLTLSHNSSLGYDGCDMPCVGNDNQTCGGVNRVGIWNLTGYVYPRVIETVGNWSLEG CVEDEVAKRVLGNYSHAGTASEGMTIQRCLSTCQGMGLRYAGVEFGNECYCGSSITRN GTVIEDIEDCIGTFCSRYETQFCGAADRLLLRSIHEFLCSGYIS LY89DRAFT_584671 MGFDNLMLRLDELVTGVLGQWDIYTTGLFVVLITGFIYSVISFR DPDAHPMLLARQAQPSPVRQEGESAVFRSHSAPHGIPLNSGLNIKDPGDSKWSRGRDG DIRDIWRRVVAGALDREGKETGEIGKLFTVLGSENIIEHKLFDVTRQINLIGQHIKQN GGNSVAIYLPNSVEFLATLFACTFYDLTPILIPYDKSIEEIISFLQKSKADTIVAAVG SLPYDAIIKNYSALKQLIWVVDEGSKHMDWDEVPKGTGGAVNVSTWQEILQDQEPTAG TELPAVDRTVELKKVLAFGPTGELVEYTQANIIAGIAGQLTSVPTTQRITHSDLFLPV DVLSSPYTLVLTLSALYSNASVALNSVAGHNPDLALATHGIAPTIIVASAGTLAKVHS ETTTKLNSGAYQLVHWLQTRSLVQDGVMPVASFLSRMYDSLRPAIGNTPGKLRLIYVS EQPGANNPPLSSQELSDLRVYIGSRIIYALTSPHVAGAVTQTGLYDYRIDEPSAKYSH FGAPVTSVEVFFKDTNDHKTTDERAAGEIYVRGPAAVGEEVALGISGRMKDDHTLALL LY89DRAFT_615947 MSTPSRPSTPIAQTASSIPQTGTWRHPKMDEIVRRQNAASFNDR NLKNIMYNVTGIVMVFVATRSLWNNFPSFFQDGKSLQPYASYCYHALQLVFMYNIVVA CLPLVRAKDDMSDIPLTPAQRKLLGLPPSSAPPTPGSQYITPPRYARTPTPLSGSPAT KGVYSGSPLAGKGSPMSGSMSGSPFSPGASPLLQKAMGGVSTGARRHSYGSPSPLGPT GLRMSAPEAPGTPSPASKGTGIGLNSKWLYDKGRRNSGSARLYS LY89DRAFT_684685 MGASDSKLVFKKGIFRLSEDKVIPADDPYWASFWELPESTEDIF SLFSPNDIRRTRDTALENLETLILAITSRLFILRHHPSFPDQEFAPERDALNCIRILT RILPYIYEAEQLQEWEDKFFWGVRRKRTRKASLARDVLFDESQEELAKLEAAGEEFEE VKPLAEELIDTLIDMLFFADFTLPGPPNTKNKVTYAIWQSGVGCNTSIGTSKEFESNR TEILRLLLTLTSQSMYMSANLLPVQGVKAISYIVTCPDKQVVLSLLCSLLNTTLKYNP ASWRVPYNVQVFKDPKQILVTYALQFLLVILLYPIPETDPAHAKKNFFRHFLGRLHRP QDFQFIVDGMTRILNQPLNASTSYIPGNTQTSKCTSEMIMLFWEITQCNKRFRSFIID TGRSHDFLILILFYAIEYKLDASKQGVVRMCVFLVQTLSVEPNFGKTLSKKFENQETL PQTIRLQNFNGSYADFLIHSIYNIITTSQGKLTAIYPALLAVINNIAAYLENLGGSAS SKLMQLFASMSSPGFLLANDSNHNLLQSLLESMNAIIEHQYIKNPNFVYAVLRNSKRF EALRSFTLESGQEEIERRNRRRKEGIQDPGDLSGSRRGSADSLRSPTLSHSQAPALSD VPEDGTFAIGDDEDDDDEDEDHPTPAESTPTDQPSRASSVSSSVDDAVPMQLRGMSEK ARGKMPAGMPSFSRQNSTTSLASYATGRFSTNGSFEPTSDWIESWLPELPLHTILTLI DQISLPAEQRSTTDHPSTSILRTIQTAKITGIEPSAIRIQYFEWSPLSLGWYESLLWG FVFTSEMQVAKGTVGVWNGTGIKLFRVETVAPTGPSLSSPRGAVDAVGSNIVSRIGNL NLRGVGGTQDSSGGGERPAERPQLARTGTGDGQRPGLMRSAII LY89DRAFT_684686 MEASTAALNSGDEIRPYRIHVSSKYLELTKKKLELTRLPHEVLL SKDREWEFGTPKGVVEPLIDFWLEHYTWRNREETLNTSLPQFRTAISLPPPNPPLENE TAKPALRIHFIHLHSPHPNAVPLLLLPPFPLTNLSLSTSPLFNQLTNPEHPTSTQAFH IICPSIPGLGFSDAFTKPSTSQLEQTAYLFNTLMLRLGYEHYLCSSTGSGRQSPAGID YHLARTLGEKHKENCLAIHVVEPCISAPTATKDPLSWMKFNLAKFFHANIWGYEAADW TALRSSSLRVRNNRKGERRPLLSTSSGGPAYGAITTLGLREPTTFSYALCDSPVGMLS LICSALQKANPEHKLTDTQIVDITQLAWLPGPEAGMRFWSAAAAAQQVPLLETGVGQK KARIAVTAFNADGLDEESGYVCPAWISSSHELVFAQRVPGKARLTIWERSEVLVAGIR RLARAMDALDARFRVRPLDEVVVAPDDGVIVEEREIEEEDEEEGHGMQMDVESPDTIV AVDMS LY89DRAFT_60414 MSIDRDAWTIAKERYLSDLEPADRLLFHEATIENLYYDTSVTNA TDRKSSKIHRAIEAVQPLVDKIESYGKAMDTFTNISPTFVAPIWGSIRVELVMAKGLG RIFEKLTECLGRIGDILPRLLDYQRIFSTAKHPRLTEAVARTYLDIIELCMDFKSMIK GQQRNPLKRVVHSPSMEHKFKDAEARFRAHRKAVEKEAETCHMIEAAEARALVLRDRQ LQEMNNKAQTKLKVLKLLSNINSQQKHQKVRKIRHADTGNWLFATQEYRSWMDSKSST AFCCYGIPGCGKTVLASSVIDSLVATAESRSLAITYHYCDYADKRTLDPITILGALAR NLLESIDIPEPVLELVFEIYREGERIPESKEVLEIFSRTIDSFDHVAIVVDGIDEVNE EDRQGVYDALKVLVGGHTAPIKLFMSCRENILAAISTKPASSFRVQISEATTSTDIQG YVRNEVSSLIRNGDLVIRKSILEKAIVEALIAGAKGMFLWVKFQLDELCTAESDDAIM YTLQHLPKDLSETYDRLLGRIVGVQRQELVKRMFRWIICARRPLVVDELCEGIAFTID DTR LY89DRAFT_544860 VIQLLLAAGAKLDCRDIRGHTPLFAACLSGNVEAASILIEAGAD VKVKDIHGKSLLLQSCRKATPEIVEILIVNGALPLPNTPDLNLPLFAACERGHRGIVE LLIDYGADVNSS LY89DRAFT_60418 MCVGYLRGVFCAAFPGILSILFLAATAIFFITVRITIKTEYQIH FKSIHLSTFYFPIEAYQLLVRMKFSHSR LY89DRAFT_669023 MDAVSSLKGLFESGKYADLTIRCGGAVHKVHCAVVCSRSKFLEK AVDGQFKEAALRDIDLSEDEQPIVREMIRYLYTDDYDHNPYEQGGLAPGYECHISPEA ENSLASPDHRLLDGTNEACCLMFHVKMYVAGDKYDIPGLRTLVTEKYQHCVAEYWNST TFSHAALHLWDNTVESDRQLRNVVIQAAHDNLDELLDRGEFRDLMQSRGDFCFDIVHC QTVSRTLILWQSV LY89DRAFT_60436 MADQSQQQLPSTDNPSTVKIQDTTSESFSYSPLENTDSERSIRL IMIKPVKRLTSDIECELVHVKFGDKPNYEALSYAWGSDLTTRAIKINGKTLLVRESLW KVLAKLRHRDEPRCLWVDAICINQSDIAEKNSQVRLMPHIYRKATTVIVWLGIVPVDM LSALIASGYWNRVCIVQEIGKAKTIRVHWNSKSLT LY89DRAFT_733559 MIEPGLNNTLISNSRSISSIQESSTSTSSNGSMTTSHNTRSALD TISSSSSIFLSSSSSLSSSIQCLKRPSTSAPVTSSISSSALSSSNANSTSHQTSSHAY NSSTFSQRFSNTSQPLTSSSSSQTDISISSSSLTQAASSETSEDECWNPQSPYTAQEQ QISYTGLVITASDQDQDNPPSLPTSDADQVPPDEPPVFPTLPPKENPPLPPVQTPAPA KNPPNAPQIVTNLPPAQNQQPNRSPPKDSEVLQGHQNNPFMLPATSPVQLHQQRPGPL IPPVAATSQKQQNPLLVTALASGKGQQNTPQVLQTTTAASIEASSQSHTLMESGKPLG TTSKPQALPLSSRSSKGSQVSPLRPSSSTKPGSRITIITTSTVSVNSTEYEYHITTLT RTIYVTNTPSGKKLEASGYSTPRSSRNLAAISSSSSLHSPSSNSQTLGGVHGPGSVHQ VSTTSAKLGLSSYSTFHTSPGSPLSSSSLSLHLPFGNTQGSSVTHGSGFVNGVTTASG KVITEQSQFGSRSARASEVSPMVTGPRQMITVSTIVNSTSSGAPMSAKINDLSTKLSQ NKTSTPANASS LY89DRAFT_733560 MSRSWSYADSTSSKEVAPLSPQSTSDVVPAKAHMGDALSSLFTS GKYSDLVIRCRGKRWNVHRLVVCMQSRPIAAALDGGFKEASTGEFTLEEDDPETVQLM LKFMYTGILDIEVPTPPITQTTETKPSTSGFPYSNDTQCTSNCPPRHGAPILSHLQPV SYYPSQPYISSASYGFGPFNPPSYGGGIVTNSIATQHNNIFGFNSQTGSVVGFNPRPA AVSYVGTPPILNMTTNPPVTSSNPIYVGPKNGTSSTPTPSAEPDADALSKGLLAAAEV YVLADKYDVSALKSLACDRYKALSGTYWDSEEFIESLSIVFDGTPDMNERDMLRAAAL DTAVAHAKELLDKESFQELCQYRGDIATAVLIASVDKPNSTRQSLSQWDSFGDVVPCG FDNFPDFT LY89DRAFT_707156 MKSYAFLFAGLSLLATHTSAHYIFERLTHAGTEYPAYTYIRQNT NYNSPVTALNSTDLRCNVGGETAGSTTTITVNAGDAFSITTDTAVYHDGPFSIYMAKA PTTAAEFDGSGQVWFKIYDLGPTFAADGTSTWPLYQTYSYTIPPALPNGDYLLRFQQL GIHNPWPAGIPQFYVECAQITVTGGGSGTPGPLVSIPGYITGDEPGYTVNIYSNFHNY TIPGPSVWSGQSAAGGSSPSSVASVAPVSTAPVQPVSSSSVAVVQTTSASVVITPTDT AVVAPTGVPVAKYGQCGGTGWTGSTTCASGSTCKATNQYYSQCL LY89DRAFT_733562 MTDQPQPPSASSTPPPSKFSRYRSVRHTLPQPEHPPKEQKDNGD MQRTKSMSRYRRSKVAFQIDEKDQRPPVPALPNQQPVLYTKNPSGGRTTTRRVTEPLK GQMPRSSPRSSPHHSPRNSNSQAELQLLMQATRQSQTRRLETENERLRRKIREFQERE AAREVAQQKAQQEKEERERAYQLQKANAERALQKKRSQTNQAEQIIQKQKHEAEQARL ADVEAARILEEQKRKDLERLQKELDAAAAASPPLPFKSPMREKFAFFSRKAGSIKDTP PPTATTVASVAPSPAPSIAPKVKEAPKQVQQPLQSRAPQRINEAPKPIERPAPSQAPK KVVELPQQIQQGGGGIVPQTDAPISASNAGERRVLVRCKQSSVNLPVNPETTPKEIIY SAANFMTQNIIPSTAILIESYTQLGLERRVRRYEHVRDVMNSWDRDTQNAFHLENSDT PRFDTDLELAGVPKQVPSDVTVYMYHSQKPDTWIKRHITLLSSGQVFIHKKPDAKLGD KNTIAICHLSDFDIYTPTPQQLRKTLKAPKKHCYAIKSQQKTAVFLSTENFVHFFSTD DELLAEKWYKAVQQWRSWYLVNRMGSGKKPKKPIAEIKATNAGSPLLSEIPDETLYMI GSFSGLGLDDFRSGSEEDFDTEDEERPRHVPFHLRNSVLISNAEAKREAKRHPPIVSY RIPQREEEEFTSSGLLGGSYTTRQQNSSKPAPAATGPFTDGPNLLNRDTARTLSITNS NSANGSRNKPKPLLDFTPTFKEAPQWDKTGKGHGVAPIAGVPLVEVATTPENAAADAM GMKGTVFRRETTKRPGSSGGPANGQSVMSRGRVRYDS LY89DRAFT_584115 MPRTALVTGATGLLGRQVIIAFQRAGYDVVGTGFSRAKPPSMLK LDLNSSSSIASVLDETKPDVVVHCAANRFPDKCDSDPDGTRALNITASQTLAQLCAQR SIFLLYISTDYVFPGVEGEAPYEVDAVPRPTNLYGETKWEGEKAVLGEGNGGAVVLRV PVLYGSVEEEVGNKESAVNVLMDAVWKVQKEEMKMDHWAVRYPTNTEDVGRVCTDTAT KYLDSDNRSSLPPILHFSSEDKMTKYEICELLAEIMGLPIDNMSPNTDGNDPNAKVQR PYDCHLSTKALKDMGINISTMDFKGWWRREVRAFRK LY89DRAFT_584536 LQAYKAILASLIGCSAFHAGCLWAKLALKKYPGDFDLHELSQDL EDAYNDRVRELEADREIDKSELPYAKKSGKIYQKRYPWMNDTLFHRTQDQINVINKED RTVNWKIKSVIFGPKSEEKKVEKGGDVGPFGMFAKRNIAQGEIIMVDKTVAGISSIPS SKGLHCDNCLAALVYPYITPQDICVPSCCDKVSYCSQKCMDQAMSGYHSQLCGKNFDW IYEHHDVAAGETGETQECRSNWRPIMFLRLMAIVLADLEIFDFKHTNPLQHPVISRMA GNYRDPAPGNLRGVTHDWQFWENVVAPTRVLMELGVDIFSEPVYSQEVIQTVFWRFEN NANMAATSITGKISHVMAVNPNYLFLNHSCDPNVSWHGAVPNGSVSIRSLVDRDGKIL RPGTSAVWCIAGKKIKKGEELKISYIGDPQGTVGKKNEDRAAKRIHLEKWFDNGCGCD LCEKENKAGGISHEIEAQS LY89DRAFT_60570 MPPPPNKHSSAHKANASRLLPGFPSTIFPSTLNSPPPQPERANT TQPDSPYRIASRNSKHATVYKTPSSSATPLPPQTNTNTKLSPSTSRPFVLSSYMTTSS STNNTTKLLSPINFQHREPRVDSPPLQNQRVFYSPSVYSEERATMASQGDNEAVAERS NNLPSNIEWLNADVFRRPPN LY89DRAFT_60614 MPIPPSSTSPALLVSSVMNVMQISSTLDINIIPSASPTPSTPSG LTPTDDGVCGNGVTCLGWPDGQCCSEYGYCGSDAGFCGIGCQSEFGICGMPAPPSSSL PPAVPSSTIAAPAMSSSAAPNCPSSASLDAYNCGTYYTETGTYAGFVWDQLFIGSCLT EDPNNPGNDPESPAITNEIASGTTAAMLAGACGYLNACMNWAIPQAYDNVDFHLLQDT ADTAHWECVAYYDNAGGNYFDVTNYNVLLAYGYDVE LY89DRAFT_733567 MGELEGRVVILDELEVTQGVEISPQLSQAVTEDMNEYWLTNRRR PYKRVKVLMAHWASDDLGLATEMNDLAFVFRDLYGFEVSSFLIPDYHPSAILSSVVID FLEDSPLDTLFIYGGHGTINPPRNDSVWAASRLGEPSMPSADIQTLFEESPADSVLIY DACCSADAAVTRPTFQGVTELIASCGFQTTAPGPGRQSFTYALTNVLREHSPTKTSLA VADLYLKLLARLRHTQDRTVETTPVHCALSNKPIGRRIVFEPMGNHHPVDLSTVQTPY VYTFSFAADTLWMTYEAWLRWKDWITKAPPEAYFFMGARVSTY LY89DRAFT_684698 MKSFTLSLILPLLVSAVEDVLPHIRSEAFDNGELGAYPNRTYIT EPTSISPQLNILKSDSRCDDGLYTMISLRGDKVELKGQSPMIHDSQGNLVWMNATYGE TFGLSVQSYKGQEYLTFWQGDDSVGGHGEGYYFLLDSSYREAYKLSAGNGRPGDLHEF HISKDGTALLTQYLFEEATFGGVGPRSRKGFIWDSVIQEVDIETKKVLFEWHAAEHFN IDESEFPSGGTGRNSKSGYDFFHINSIDKDYLGNYLISSRYYQSLTYINGSTGDILWT LGGKHNSFKDLSGGQATKFGWQHDARWTADHSGVTLFDNGARYGLKPSVESSRGVHIA LDLQAMTAEVKNSYVNPRKIISGSQGSMQLLPSGNVLLGFGYNAAWTEFTPEGEPLCD VHIGSQKTFNTGAVQTYKVLKHSWTGRPTTKPAVKSLDGAIYMSWNGATEIANWVLQS SSDGGLEVKSLVDVEMMPKTGFETKANIDCHRWHYVRAIAIDAKGQTLGVSEMVETSC EHETMQQQAEFQLQMDRQQRIRYILQLTIFISCGTVVCGLWRWRIRRRTYSAIQQKLW A LY89DRAFT_781883 MENDMQAIPPAPISIRSGSPERGRERLRTRPLSYASSPQLSPSP FSQDQTSSRSTSKHSSLQPPPSSSSASPSPDRKRSTSPYPSPFVSTPSNDFLFPQTDS QEEGLHRQPSTRLEIATAIRQPSFPQFRNSIITKPSMSLITRPGIIHVQPSQPLARTL SPTTPLSAASTIVNPHSPLSTTPESAVLGRNREARVSVINVGRPSSGSGYAAGTRTSA RASLLAGPGPAIRPAGPRPMLVHSHTDPSSDRNAGLRPELNSLDDAEERARFLDMKVE QILGPRNDRPRKEERKSTLGLQDVKKMIGDMKESEGKREKKIVKKERDSEAMVGKELW QSMYSMSGETLDEGSGSGSGSVLGMRGLETPDRLMSASDGEYSPGSGTNRRVWNGRRG CGTGMAWWKRCLRR LY89DRAFT_561315 VAIPILVGSIVSMFAAGFIFLCYLLLPPQRHFRHTLILNLAAAD FINATNNSISGIYAIIHKSIPAGPACTFNGWLGQLTVQATDFSIFFITIATVITLRRW NYHPEAQRKTKILISCWIWAVPLMTSTTALVLNKYTPVSGNWCWISPEPAYLRYVLGH GWRLSIILTTVALYLYLFIYIHRHFSSLREISALNVYEDHVLSQTQQWSESDKDQHHD NIYIHNDFEVYEEYTDFDWEIDEPETQYNSPPPSPFKVIKQTIHPNASTRAEDTVTSQ TSLLLSRDPLALVPRPPQSNLTTTATSTNPPLRVLKSREVHIQKLLLFNAYPIGYVLL WIPGIMNRFAELSGYSSRALAIAQASTQFVGLANACEY LY89DRAFT_747572 MSTNTTVYTGFWTNWSKGAVTGSTLTLSNRNGAVLIAALAIFLQ FAGIGSWSIMCFVAHQMRTTTEARDGLHHQQQAVLRNATSDFGTLWQFTRIGWAWRSR SSSFRRSLTPVLIGALHVIAFGAASILSSHITTVGDQVLVAQSPLCGDWKVATAGTTL NSTTGREETAYDSYLRMTMAASQEYVQTCLSGSELPECNTFKQRQLYWTSANISCPFD NLCLGAENGSFYMDTGVLDSRDDFGINGRDKERIQFRKNTTCVPIKSDGYMVEGNSSI DFDGYFSSGSSGTAAFNYTAAFYGPMNPEQFADSGIEDSSISNATYIYTNFREMATPM WNNGKSPYDVHVETSIQGFFTPLPEFKSSNQTLSLIFASIGGFYQEVSDDLWLSAHKN YTIQDDEASGGSYEEEIFYPDRKLSALACVEQVQICNPSPQFNNRSRCTPWLPSDVLE SSKEILAEVLDTERQKALAYTMRVASETSMIFYVIDSLSSPLLADELAYAGQLLPPAP NQWVLEASNWFTISLANTQRFFNEYVTGPPQEFAQYKDQDTARNYPSIGWVCQNLIIR RNDFTNFSTLAISLVFGLGLLVMATSMCLETLVGLFRIRLKKSKWKQRAWWAEGTLQL QRRAFEALGVRDWESGDWDSIPLSDRGHTWNAFQYWDEIRPLVKTPEKSPGELESQAS PSVHDGSQQTHSMVMKLPLVAEKEMKKDVQRIRSKSF LY89DRAFT_669036 MRHVLDVLVIDNSSILVADSENVYKNFIDRLTPHRIEKDLLDAL DPDIAKLYQIGSLDFDTLQPLDHSKAERCNWPGIALHVVYDEEHYGISWFICEASLHL NRASPLRPKYNRKGVDEGNVETAIELRLGAKDLLLSHDPDIRAYFERRQGFYNLPMWR KLEGLRRGRTIQEQTHFRDITLMHRKHQTFENSDLARDADPEKGDVTEIWIQCSICKH ANTTRLDRARYMMDFMFGAG LY89DRAFT_697028 MLLSGATIAAASCALPSTYTWESTDSLATPKSGWVSLKDFSHVL YNGQHLVYGSDVNTAGSYGSMNFALFSEWSEMASATQTAMNTGAVAPNLFYFTPKSIW VLGYEWGATAFVYMTSSDPTNADGWSSPQALFSGSIGGSTGPIDPAMISDGTNMYLFF AGDNGSIYRSSMPIGDFPASFGTSYTTVMSAATDDLFEAVQVYTVAGQNQYLMIVECI GTVGRYFRSFTATSLSGSWTAQAATESNPFAGKANSGATWTNDISSGDLIRSTNDETM TIDACNLQFLYQGLPIGSSESYNLLPWTPGVLTLVN LY89DRAFT_707160 MSISETIKNIDAAAISFSSAAPGTVPESERQLLLAATKGLQNAI ESPIDTVVSIALGAHRSAAIRTAMDLGLFTALIDVQEVGITSKGLGEKTGGDPVLITR LMRFLVAMGFAKELDIDLYISTPKTGYFAAGSPLQAPITHLASCARVIVRLADYFRET GYKNPTDAYDSAFQFAMGTDLQYWDWLKADQLQQEAFNATMALTRLHRGEPWFEFFPV ESRFGSTPADAPLLVDIGGGLGHDLAAFQARFPNLPGKLILQDLPVAIDDIKELSPSI ERVKHNFFDPQPVRGAKAYYLRQVLHDWPDKQSLEILTNIKEAMTEESVLLLNENFLP EKNVPLYNAEIDFSMLAMFSAAERTEKQWVDLLERAGLEAKKVWYPKERLDASATLFE AVRKY LY89DRAFT_718377 MKFQIQILGLFAALPYLALAVPVSSPDVTIREESPDTLYEPLDY VYEGKKLNVKEKKREETPDTLYEPLDYVYEGKKLNVKDKKREETPDTLYEPLDYVYEG KKLNVKDKKREETPDTLYEPLDYVYEGKKLNVKEKREESPDTLYEPLDYVYEGKKLNV LY89DRAFT_615981 MWFSRPQRYQALDEEEKPLQQDNSSSDSLPTPRRVSFQDDFLPR RYWRYLICLSIINFLILVTSCVLLLSWFINAPHGRNALLKATDSFIAPVLDKLTISTS VKMMNATLYPGPNPSLARQKPNPEVDAWWDELELLRTIPITKAQVLKLGKDPEIVAKF DDEYWGLGDDAYMAQVDVFHQMHCLNQLRKLIYPTYYNYTQNDIHHAELWYVHLNHCV DVLAQNLMCTGNTDLYTLNWMETQGYPFPDFNINHQCRDFDALLEWRKKESVDLDKWV AQKKPEGAKQVEPSEGIKQLLSAEHEKAGWGSDWHSHGHG LY89DRAFT_645366 MKFLRLSIVSSLAFPVATLAVNVYDYIVVGSGPGGAPVAVNLAK AGASVLLLEAGDDQGATNLHVKIPTAAWASMANNDPLLRWDFFVKYHSDPAVQARYHG LTWKTTDGKYYVGTSPPANAKELGVFYPRAGTLDGCSMHNAACSALPSDSDWILIANI TGGSSWSPQNMRQHFIKMENNHYTATAKDPQAHGYAGDLDICINSDEFLRNQSQAADV LRSAEKLMGQDPTKVYDLVQQDLNNDSPDRDQQTGLYGFPAHRNTMGRRSSARNFVSD VLNATNADGSKKYNFTLSLTSFATKILFNTTGKLATKPRAIGVEYLFGQSMYAADPRY NASNPGTKIQAFARNEVIISGGTFNTPQLLKLSGIGPKAELTKFNISVLVDSPGVGNQ MQDNTEFGFIAQAAVNFTSLAPTCTYGAPGDPCLAAWYQGKGPYATGPLDALMFKTAN AAMNERDIFFFGLPGANPFRGYYPSDTINTPYADTDSTFDWSMIKIHPTGRLGTVNLL SSDPRQVPDINFRFFEDADADKDIAAISEAVQFGRKIFDNLPSAMLPYKEIWPCNGNS TCDMKTVIKEQAWSHHATSSAQIGADNDTMAVLDSKFRVRGVNGLRVVDASAFPRTPG GFPVIPTFMLGMKASESILNSTVEAWM LY89DRAFT_669042 MTNQGITKTRHERKRVFAPKSRSGCLSCRKRRIKCDERRPQCEK CAKSGFSCDGYLNSSKLVPKKARLHKIAPKQSIAPKPAPTSSDCIPIPTLKQWTSCGG GLLLQPRNTLFGSEKEARYFRVFCDNVAIQLSGFYDLPLWNRLILQTAEQEESVRHGI ISIGALQQTIDLRSQQDGRYIAPLTALDEHHRFAIEQYSKAIKQMRDAVATQKHQLRT TLLTCLLIICFESLHGNHESAITQMQIGIHLLEDFISSQRQAITGPIRTKNPKSTETG HLNVPPFNESTDFPSFALHSPAPDIIEDDLVQAFARLDMQSNSFIDSRPIEYHMAMKD YGLDCIVRMPKVFSSMHEARKYYELVMRRLMHWISSVYHRGGKDTTKKGNLHSANEAI PRNDWILDVEGEEGGILNRENPPSQDVTPATYEEKQMHLNELELWWHAFTPLLNNYRP HTDTKEYLCSTSLQLRFTATRFALSTTLNTDQMVYDKRIDEVKQVIRLAKILHSHPSV KGQFTFDLGYIPQLYVMAIKCRHRTIRREAIHLLLSRVWREGVWDSVLAGRMATSLME VEEQGIKGEYIPNEARVKGTKMKFDLQKREGHLTCFMVGGPVVKRTIRW LY89DRAFT_718381 MASGSQVSGLSPMAASLLETGKWSDLEIKCKDRHWRVHKAIICL QSKPLAAAADGGFLESQTGTIDLSDHDPDVVNYMIQFMYTGDYKVVPVPEAKESNVES QAPVQAAVEIKKSREEAEKNLLVHTAVYMLGEEKDIAGLKALSTKRYEALLPEVWNSE AFCQSLELIFEGTPASDRMLWDVAIAHAGTHAKVLMDSGEFVTFWKKHGEIGYEMWKS YIKMTNLPAPPELLKPTGCPDVGPEHARMVVKGRKTAFFCEGYRKAFD LY89DRAFT_747592 MSKRNFDQMAGLPPMMASLLDTGKYGDLIIKCSKEVFNVHRNVV CVHSKPFAAMVDGPFLEATSGVIDLGDDDPEIIRLVLNFMYKGDYSDGRQPEGSTSQR LSTSRGSIDPGYLDLSSSDEFSKAVQAKRAKISTPAPKKGSTATEVPGTSQPAPSALI INAKVYASAEMWDIPALKTLAAKKYKEALPQVGFPEDFIDSLESMYNNLPENDLKLKV IALDFVGKHYKGLVKRDEFLNLCSKNGDVAVSVLKTIGADSAQTCTKSCCRTIKLSIS VDPFEQLYKTLRAPSTAISPLSTLPESQELPDHKILG LY89DRAFT_733580 MSPSPPPNGSAAAASMTSNTTASEPAAKRARVDGQSEQTLSSAK NQTGLPPLTASLLESGKWSDLVIKCQGREWKCHRTVVCLQSAPLSAMISGEFIEAITG VIDLSENEPDIVNCMIQFMYTNEYSDSITTNSDIASTETSTASIDSVEAKDSLVNARA NKPFLTNTKVYVLAEMFDLPALKAVAVKKFKTALTRKATSEGYTDSLILIHAETPDTD RALKDVALGYAVSHAAALLEKEDFQAFCKDPCANEFLMQMLKQMADNALSKTC LY89DRAFT_684702 MLACDRDWYFLDRDSVCSQSPWFARIIPKYSRTLADISGFAVTC FAVEYVQNDIFQYVVSFLETGQYPPNGLLSREPWMYLLQHVRVYSLALRFQLKALESL ASVAFQNGIVDCRPDLLDHYIDNLHLMSTLCGPDPKNNIIYPPRQSALKGCAAYVMVR LSGDLLDNANFRDACRDDSNFRVFLRAVAFDKRLKASRRGKLLQTFLKNAGARDRSTV VSTQERQTLTITAPTDGCGVNLTASMPLARNNHFRSLKEEVRDNSNNTNPFRRHTIDV STFKLGPQTEATMLLSSGRSETWYPANLSSFYPNKFPLFIAHPIEERKHIFWSLMTSR WPEKPFV LY89DRAFT_60751 MAVEHSLNGSMPKALPTFNHPTLPRAKTAQSAPDSHRRNSLPLH FLPPSLWSANTSSASLVESEETARGEHILDEATVAHRISALRQLNGATRSRHRYAKST GARNSTFSQPVIVRTYSGTTRPRSEQRDLVTVKRDGGWSAMSSGKMELKLPPVEAFSF KGIMDEIRHGVTEDLERIAEICARSKYSLSNQYEVHMPPHGRGEPTLQTVGLGVRSQT TGPGPTLQAVPSDDEHPRQVVRGPRRRTKSAAYGTLETIMSSSRSSDEDKSKKKPAAV LAEEVRGRAAKKELQASKDAERDDTNEAGTAEKRPGKHVRSKSASFAAVIIDNAQGSK TDTPAQVLSPTSLVSEPARPQTSTATMLETTSSLEAQYTSPTLQSMVSTKPALIRNES RASAVRPSVDRQSSVLDSLTSWLPWGKLSSAQSPVQGRLRSTSHAEGSLRELLKASEI DKKGKSVDRSE LY89DRAFT_60739 MDPSSGRDSNSNSNSSSNSPSTPENPFIRFKNFADTQISTLLQG VIGLPSAFSKRSSEDGRWADIDEDLRRRDRLQARDRMLKAQLDMKSASGEEIPVQKLA KQQVPQTSDQVPSSGEFWKDTKSYAGLERREGSNVEMDLPLYSPVTRELFDNVRRPEY QSDLDRSRLSPGENSFKDFQFALMNDLLDRREPLRATRLLAFEHVKDNPSLRSDYSLL PYLLFSPYSPLKLDADIHGWGALPDSYPVGRPLYCAAFDDLIRTTQGLKEPPTPIYQM SLPWSTTGLSDFDNWRWIKDLYRRGMLQQKGSVWAPMPASQIWKDLAVQEDSGTELDA FEQLHKLMGNGATLLATVQSMFDALNPEEQRQSGSTNLHDMIAQARERAIIEDRQSQS TIPPKQEKDKKQVTNDVRPDRIVSTSTTTERTTHEDGTVETCVTIWKRYDDGRETTTT TTHTEDPGWDDKEQPDWPDEAASRQVGEKNLEDDKKDQKKGWFWN LY89DRAFT_60738 MSSRSRISLALRRARIAQPIHPRQLRPLSQIRWQSTASTEDAEG VAKGTTGTSKTVKFTSETYPEIKRDSRFAEITADHVKHFKELLGKDAAVIDGVTQDAT DDIEPFNGDWMRKYRGHTKLVLKPGSTEEVSKVLKYCNDNMLAVVPQGGNSGLVGGSV PVFDEIVINMSRMNQIRSFDDVSGTLVADAGCILEVADGYLAERGHIFPLDLGAKGSC HIGGNVATNAGGLRLLRYGSLHGNVLGLEAVLPDGTIVDDLSKLRKNNTGYDLKQLFI GAEGTIGIITAVSIICPQRSNAINVAFFGLESFEKVQQAFREAKGQLSEILSAFELMD SHSQDLVHAVTKNKRPLESECPFYCLVETSGSNSEHDNEKLEKFLEYVMEKEIVLDGV VAQDETQVKALWGWREGIPECLGHWGGVYKYDLSIPINELYTLVDDVREKITDAGLIG EGEEHPVVDVVGYGHMGDSNLHLNVATRRYDKKVEKELEPFVYEWVSKRNGSISAEHG LGLAKKNYIGYSRSDTMVALMKQIKNLYDPNGIMNPYKYI LY89DRAFT_684705 MASGYDRALSVFSPDGHVFQVEYALEAVKRGTCAVGVKGKDIVV LGCEKRSAMKLQDTRITPSKIGLVDTHVCLAFAGLNADARILVDKARLEAQSHRLTVE DPVTIEYITKYVAGVQQRYTQSGGVRPFGISTLIIGFDPNDKTPKLYQTEPSGIYSAW KANAIGRSSKTVREFLERNHKDDMDREATIKLTIKSLLEVVQTGAKNIEIAIMAPGKL IEMLPVEDIEAYVKNIEQEKVEEAAKKKTGRPGTGNTSILATRAADE LY89DRAFT_616009 MCKHILNAQVSIRSPCCRKWFDCAECHLETEDHPLMKKTEMVFA CKKCKKAFRKDSEQFEESDEYCPHCDNHFVIEAKTPKPILQFEGEDARVDNRMIKDER IRAEEQRTIFDVKEAPNKLG LY89DRAFT_718388 MPAKRKATSNVKGGAKAGRDSSVSTPGPGTPREIGSSDEYVSSD VTESESETVKNAKANLTVSKYQARKQSAAHGRDSASHFFGNNDFSYLSLKPDHDNRPL WIDPQKGRIILESFSPLASQAQDFLTTIAEPQSRPQFLHEYSLTPHSLYAAVSVGLDP KDIIDILDRLCKVPVPDSIRSFIEGCTHSYGKVKLVLKNTKHYVESSDPEMLQRLLKD EVIGPLRVQGTEDITTSLAPKMGGLVIPGTRNAAGVQQATAGEEPKPKEGENANQEDI FATLNEDDDDDDEADAVHAFQIADDAVETIQKRCLELGLPVLEEYDFRNDDANANLEI DLKPAAQIRHYQEKSLSKMFGNGRAKSGLIVLPCGAGKTLVGITAACTIKKGVIILCT SSMSVVQWRQEFLKWSNINPNDIAVFTSDNKERFTGNTGIIVTTYNMVAQKGKRSYDS QKMMDFLTSREWGLMILDEVHVAPAHMFRRVTSIIKTHSKLGLTATLLREDDKISDLN FLIGPKLYEANWMELAEQGHIARVQCAEVWCPMTTEFYTAYLEESSRNKSLLWIMNPR KFQACQFLIDYHEKRGDKIIVFSDNVYALQMYAQKLGKVFIYGETSNAERLRILENFQ HNENINTLFLSKIGDTSLDLPEATCLIQISSHFGSRRQEAQRLGRILRAKRRNDEGFN AFFYSLVSKDTMEMVYSSKRQAFLVDQGYAFKVITHLQGIESLPDLAFTSPGERRELL QHIMIHMETDTSNDFEQTDDLFHRVDREGSGMKIKKKKPAKRTAGTLSELAGGQDMAY VEQNKSRNKDLKKNKKESNPFFKKLHRETQKRKAAWNPDDD LY89DRAFT_747611 MTECKTSHGQLCNEDLASKTPKRYLDVHLDSDRSYVELIEKIDN EAVADCRYAALSYSWGRPPFVTTTLDNIHDHKQGMLVEDLPPTIHDAVKVTRELAIPY LWVDSLCILQGPAGHPEVMADHDEQFPQMGMVYSNAFLTIIAANTTTVNESFLTLRTK IQAQWVLLDRTFYDATREPAWYAQGAKVLPAYQLDRQSWRGTSDSRAWCLQEKMLSKR IISFHDEGVMYACRTTWRNGFGLVLCLMAPMARSFKQPGVMMSNGGELGSYAARAPPD DLTCRYDFWTSLIEQYTSRNLTESNDRLRAAAGIAEVWQRVFDDEYYAGLWKSSVEVQ LLWCHSGKRIGSSRQHPIASEYRAPSWSWAAVDGDVHFHFGLRHLLETVPTGHSSTSE RAPKLVGTRYEQSVRVQNFDMKLIGTADIEVFVEDTTVSHLGAHILGYLEISSWIRMD NKLMLPSLPIEDFRDSDLHWSGTFFDSGSRGEHTELYYLETLRFDMVYPKRGPSSKKT RLSSG LY89DRAFT_733589 MSSKETSSRSSHAGNSSTTLVRQDVQLNFKTSNPSRASKPATTF HLFPNLPAELRKKIWEAARPEPRVVRVSTKGREWGSTKGKVPALLHVCFESRQIALQW YKLSFKDSPKLNGGIYFDHSVDFAYISCRNCQGRGRCCSWWNCPMRATIQTFEGQLSR LAIEIDFQDATCPYWSFVLAEEGLLVDSKKGLATESEAKLSHFKRATAVPRYSGDRQH NDLLRQFYLWWNRSALAGMSDRTLKNLTAVEYEKPNASASFTVGGQFRKMVYNTAMLI EQERQSSSTPRGRRVLRR LY89DRAFT_781900 MSTSSSDTCATISSHINVNKEELSSITKSSDLNNVTGVDKLLLD LASTSITTLEKDRVFHVFPNLPVELRLKIWEAACLEARVIRFMGHPNPDDTPYEIRPP TVYSKAKVPAILHVNNESRQVALVSYTLWSPMGCKNNDPIYFNHSLDFMYIACRCSTW GGPRLAYHDRTFGCGDWVDGTANRIVYELQPNFYGPAENYYLGGISDVMLCLSTYFPK AEEKLFVGVKEGLGGRFEAMLSSFKNRDRLRSMEAGVDLVYVYRRADEYYYQGSQTPN HIPNVITAVAYEDPQIG LY89DRAFT_733591 MSSWSPWAWDGSQSVYYRARKGPQNKWQYEFSQPMNTTTLRDMG PKYATLPKDNAVPIKAPSYLLQPNTPSGGTLTALCADMSVFEPEPRKMVQFARRPNVS YAQTKPVERDIRRTSLVEQKGSPAARKERRSQRREGVVRVQDWRAHLNEW LY89DRAFT_584224 MKFTQVALPVLFSTAIAAPTKTIKERSTEICGQYDSLVTRTYTV YQDLWGEDNASSGSQCTTIDSETDGTVVWSTSWTWAGGSSDVKSYANVALTTTGVELS AISSIPGVWKWSYTGTSLVADVSWDMFTSSTATGSNEFEIMVWLAALGGAGPISSTGS AIATMTINDVSFELYSGPNGDTTVYSFVAVDEATDFSGDLLDFFNYLVDNEGFSTSQF ITTLEAGTEPFTGICVSFCPSHNYINYIS LY89DRAFT_584574 MSTLVLLIASACGIWYIFLLTVQAIGLTQLFRFYSSKPRPGVSP TLKLEDVPHITVIRPVKGLEPQLYECLAATFRQTYPLQRLTVYLCIASASDPAFPVLQ RLLADFPKFDAKLLVEEEDPNLAGHGGQTNNLGPNPKIRNMSRAYREAKGEVVWIVDC NVWLGKGVAGRMVDKLYGFRPDGTRATPYKFVHQLPLVVDNVGATANEESRGLLQSDD ESGQVTSTSTAAYDITSPPTDEPRLHEILRRGGGRLEEMFMSTSHAKFYSAINTVAIA PCIVGKSNMFRRAHLDYLTSSSPKYSPGIDYFSENICEDHMIGDLLFRSLVEEEKAGK KFKRHGLVFGDLAIQPMAGMSVQEYINRRVRWLRVRKWTVILATMVEPGVEPLLCSAY GAFAMTTLPFFHKNLGILQTWLAFAIAWLISVTAWMSVDTVLYAKLHSAATIELDADT PSFARPPAGQQRRPFSEWILAWLGREFLALPIWTWAIYGGTTVTWRGEKFRVNINMTV KEIKERDKTATPETERARSRSKDRQD LY89DRAFT_61093 MADTTQTAPVVADHKPQTLQNGKPRPPSRFQQLNKLYNLPAPLR TFPLPTFVPHNPLSLFHILYVWLSHTIKRPSSHFDILYQGLFSPETRSVHVTDIRSIR GLWEQGFFGKGTLSRSEPSWLNREKTRRGDKAKTTSEENTRKRRAERQQTKWERARKE REAIDQTLLEEAKHAEVHVEALSHDDVAVPTPIDAGIEGNAERAESAAQQLSVPTKVE LVAPIGPLELLALPNALAELDTCTEGHNFDVDCLAEESASHSIDRFHTPPIGPLELLA LPNSLQSDINLRENSKHFATPTNHHPTLLPMNLKPLPDAKMNGNGLLTSNAFGINSHA KTDDLEIVGGSETNDDTVDESIHSEETTETNGTPPNGSVLSNGGPSTPKMKRQKSVRF SPTVEKTTFLQSEPPSPERAAIGSSSVEEEPLVIKTQEHLQLMLEEAFFLSYALGAIS ILNTETNAPISNRDLFYLFRKTSYFPPQTNPSLSPDDPFMMNYVVYHHYRSLGWVVRS GIKFSVDYMLYNRGPVFSHAEFGVVILPSYSDPYWTSDPFLEKYSKEKQQRTWAWMSC INRVITQVKKTLILTYVDVPKPLSAQEEQDLGIDGALARYKVREIVMKRFAANRMRD LY89DRAFT_61154 MRIVVQPRPPVCHRGCFVFTVTCVFHSVKRAVASLCRHGDFHFG FEHCCDSDSRATVLLAFTPSFLLVSHCPTTVLQNFSFSKRQLFRFEEALQTEDSSFQD IFCPRACPRPLN LY89DRAFT_61167 MASKYLQQSVRAILVLSLLSLCSAGKSLTPASALPGTWSYQGCW VDIPGRTLSGASFSNSSMTDELCVNYCNDNQYIYAGTEYTSQCFCGPTIASSATQVNS TTDCNMPCAGSSSEPCGGINRLSLFWSGDSPPSTNPGPGPWSLVGCYTEGVTGRTLPN QVTTPGGPASLTVALCTTACQAAGYVLAGVEYSQQCWCGDTFSNGGVPAPEGLSGCDM LCSGNLSEYCGGPNRLGVYDLNNAIATITTTTVSPTATAAAIKPTISPYTYYGCQTEA TGVRALSANTTASDSMTLEMCEEFCYPYSYFGVEYGRECYCGNRFNAGSNATIDSECS FRCPGNTLEFCGAGNLLSCYQLQ LY89DRAFT_707171 MKTSSILTYLQVPTTERTSSSPWINDDIRPMPPSRRQWGKLAFI SFWAINQICLSNWQVGSSLIAVGLSVWQAMIATIIGKLIISAVAISNGYVGAQWHIGF PVYARVIWGMYGSYLALVQRILLGLVWFSVQSWTGGLCITAVLGAMFPSFHHMKNHFP ESANMTTTEFIGWVVYNLITIPMLYMPPDKTKKLFITMNIISLVTLVSIMIWALSAAH GAGPLLSAPATASSGSDLGWAIVKGVTTVIGSIAVGLTNQPDYSRFARHPGDQVFGQW FSILSFGTVLPLFGCLTSSATQQLYGEAIWNPPNIVLQWLTDSYSSGTRAASFFAGVG LVVCQLAINTIDNSFSTGMDLSGLFPKYINIRRGAYIGLVISIAMCPWELLNSAGTFI NVMSAYSVFLGPMCGIQICHYWLICNRRIKLSDLYDPHKGGVYYYTKGLNYRSFVAWI VGWATQMPGFINAVNPKISVEKPLQELYYLAFPLGFVISFLVYWLLNKADPPRGLDEM DEVDYFGTFTPAEAEKMGLREASDIEGVEVRQGGKEVDPDLKAFD LY89DRAFT_697043 MGSIQRHLRIGVDVGGTNTDAVVLDPSQATSPSRGVLAQHKTPT TSPNVTDGIETAVKTVLEESKIDRGSIACLTIGTTHFVNAIVENDSRRLSKVAIMRLS KSFTKEIPPFSDFPPPLKQIMNGYYCFVSGGLHIDGSEEAPIVEEEIVQQCHEIRNRG IDAVVISGVFSPIDEHFKQEHNVRKIIRRELPNVNVVCSSEVSNIGFLERENASILNA SILKFARKTIKGFRAAMKRLDLHCALYLTQNDGTLIDAPSAASLPIRTFSSGPTNSMR GAAYLGLSDFSQSGKKTSTIVVDVGGTTTDVGVLLPSGFPRQASAYVEVAGVKINFSM PHVESIGLGGGSIVRESDAGVTVGPDSVGHYLTTKAKVFRGDVLTATDIAVAAGENIG DRELVKKLSQSTITQAQDRMKALLERVIDQMKTSPEPLPVLLVGGGSVICPTKISGVS EVIQPPFHSVANAVGAAISKIGGTVDMIQSTAEQTIAQITEKAKKMAAERAIAAGAKP ETVVLAEVDAMPLQYVANQVRVIARAVGEFSPESFNSEAALSEFDAQDEEVYAEESAK QAQAPMIDPRPNVDVDTYRPNVVINTKTRHPEWFVSETDVEWMSEGCYVLGCAGGGSP FSEYIKLRDILRAGHTIRIIDSSAMKEDDVIYWGGHMGSPAVSNERLSANETEEAMRE LMEYYKHDSFDVAMSLEIGGANGLQPLLVGSSKVFDRPTVDADWMGRAYPTYWQTTLC VYTSGELVPCAIASGDGKSILMTKTTNDEIVDRALRASCTEMGSRVGMAARPTTKEKV IKYSVLNTMSLAWRIGRCIARAKAHNTTSTISEQIIDEVGGPESAKVLFRGKIIGVER RLWKGHSYGEITIQQIADEEQESSDGVMKTVAVGGVLKIPFKNENIYAKHVRDDGSEK YIAMVPDLIAVLDTQSGKALGVPEYRYGVMVTVLGITCSPRWSDTPKGLEIGGPAAMG YKNVVYKPLGKYVEPKSVVLEYAPK LY89DRAFT_61245 MSLQPKDKICLLSLDGGGPRSLDMVTLELMLRNITVEPQTPFES LKEIFPVEEFRPRFTGIKYPYNNSSAKVGPDYILPTNTFHAKEELQSNIFYSLFDTLQ WRWIENETNLSPGEPETIWNSKASHLSAARTWASLIGIGGYSQLQSVNSCINDIDTVN RFLKGRYEEWGNLETPYFPTKIDKLFDRDHVWAVTQTMVSLETLALCAMHVLQAYKVY PDFWGRLAYEVYPKFWGRSFDYYPSAGDGPTVGHLLFHHFQVLEFHLNVV LY89DRAFT_669063 MSPRHSHKSRSNPMDNRSPAASSKLDTKQSPSATPTRVETSTSN SRTESAYGENSHAWTTSSNNSKPVHTASLRLHSIEHPYPQHENVPQYAEQVIFGVSSL GIYIPPYLERTLVDQHGTEYLYSRIEENVELQYWNFSLSGEQAHWEERDDGLSGDLVG IGAQFDSQTSSAYVSSSRP LY89DRAFT_684716 MSTPSPTPPNLNPNKKEYFIPAQGIDRAVTVDISRCLGENAMVR PGMYKDPETQKSRPGYFIISFDPRRSITDRK LY89DRAFT_718399 MVTSSNKDQGRVFHLFPDLPSEIRLKIWEEARPEPRVIKIDRDT FEHDGYTFGTPRCSAKVPSLLHVNFESRHVALEWYTLPFEKLPSQPAYIYFDCSRDWA YLSCDACKGRTCNRISNMGGPVSCGLTFSFVFPWRKILKRFVCQFDDDEDFLGANLWF FWLTFECAEEAMLVNSRKAMDSQWEGNLSDFKNVDKIYRVNGSSKNLLEMYQQYTRNM PINLSIPPRVLKKLSFVEFEPSLDTVIEGQAAADDGIQPASTIPDEVAVEVERTGQD LY89DRAFT_747631 MPEVYSDHQRETHVTKVIQCPLQPATLNKDPFQGTIPFRYVSGP LTISGSTFWNAPTLDFHIYLLGTYLGLFNGNVGNGWTIAVQLSITRGELKLSVKNGKE VWVDWSSVVLFDGTRPGSCAKLVTFEAVDENGLSLV LY89DRAFT_733600 MSEETREGKKSEEELVSGAMIRKRKGEEEDKEYDYCVTQVPLRL ASINDDLFRNDTHFSYSEKGPLAFMGNYRPKTDAMKVDAMQVNITLLGLYLGQFQGSL EKGMSIKVDLFSIKGDISFRLGLYNSKKRSGQSGLSQ LY89DRAFT_584822 MLLTALISRWLVASPFFSIRATESQPKLRLDANNEFHIAIFSDL HYGEEEDGWGITQDVNSTRVMNNILNSEKPDFVVLNGDLITGENTFLTNSTKYLGVVV TPLVEHNVSWASTYGNHDSQYNLSREALYQEEARYALSYTQHSPDGVPAITNYYLLLF PQNTDTPLAVLWFFDSQGGAPFQTTADSESIPNWVEPSIATWFTSEQAVLKTRYKKDL PSLAFVHIPPTAFITVQNNLLPNSGEESAHFPGLNDDVPLAYEGTGWQDVPFMQALVD TPGLHSVYSGHDHGDAWCANWPTGANDTKGVSSPHVCFCKHTSYGGYGNWNRGSRNLR LSFTEDGSMAVDTWVRMENGEIVQSVSLNSTYGTDVYPLEDGENYSV LY89DRAFT_697045 MHWNSLVLAVFVAGANGLLRFSCSELVTERLDPLVNPGVTESPH LHQVIGGNAFNVTMDPSNNISTIATCTTCTFSEDLSNYWTAVMYFRARNGTFKRTANG GGMTVYYSPQSKGKVTAFKQGFRMLIGNSMARNASQVSQFRQLTYTCLQNANTRTGET TAFPTKPCPAGIMANLRFPTCWDGVNLDSEDHMSHVAYPSSGTFENNGPCPATHPVQI PQLFYEVVWDTTKFNDKSLWPEDTTQQAFVWSQGDPTGYGTHGDYVFGWKGDALQRAM DANCNINCPTLKTQTIAQENKCTKNQYITEEIDSWLPQLPGGMQITTS LY89DRAFT_733603 MAPIPQPRTPRIVIYYQTQHNPDGTSCSILPIITQPDIRVTHVN VAAIHLNDPPGHINLNDHVPSDPRYATLWAELRILQASGIKVLGMLGGAGKGSFTRLD LDEATFEAYYVPLRNLIRERGLDGLDLDVEEPMTLAGIIRLIDRLKSDFGEGFIITLA PVAAALMSDRPEHNLSGFSYEALEVMRGKQIAWYNTQFYCGWGDLSKTDGYDFMVARG YPADKIVIGMVTNPGNGSGWVPFEILQEVLITLKARYSGFGGVMGWEYFNSLPGDRAR PWEWATFMTKNVRNGFPIVAPVTPSIGPAPEKQSPLLIVDDDPKSDRDAPLPTDFDYF TDGSLDE LY89DRAFT_584734 MKGIVVEKVGGPFTLVDTLDKPKPSSTQVLVKSLVTAINPVEGY MQGTGLLVTAWPIVLGCDASGVVVEVGDDVKKFKEGDHVFGCTRLGVPGHSTFQEYFL MDELLTFQKAASITVEAAATVGVGLLTACLALVVGTKIDLEQGSAADPNEWIIVLGGA GSVGQYAVQDSGNSCSFYEMVLTASQAAKLCGYKVLASCSPSSDEFVKNLGADATFSY KLPLKDQLAEVESFTKGNYLRCFDASAMATETGMEALAQGGDTHAEVKYFATTNGWST IEPKNGIEVCAVDLGMIGRSGSDKSQVDRDIEGFIPKLEKYLCERLLKPMGYEIVGDV GVAEVLKGLDAFNARKGGEKKVLVRLAAE LY89DRAFT_733605 MGRANTTDLASTQKKEARRALRCTLRHKLEQDQITLSLHTLQYC LGLSPYCPEEFNIRLSRYAISSDFSDAVLVALDIEGTKAREIGFSILDTRSFSASVLT DPNPSIQTYNYIIRQNEVKTIQRQFKYGISQRIDIRWVPWGLNKVLKTGSPDPAITEA RNVILIGHNIGSDFGMLLRAGIRFEQVLKIPVVDTRVACLQIFQKESMQPSPGLEELV ERLNVDKLRNWKHVAGNDANVTLKVLLKMVIHSCDMWSMTGKERERRELLNSVVEANR ANGWDATNSIVDVHVSMFTDAEFEAQKREVQADDMRQAILEAMKSKEQSKDKTEVKYD CSEMTEVCCAGIFDD LY89DRAFT_707176 MGKVVAAVGMSHAPGALAFPETCPENIRTKLEDASLELGKSLAA GEPDVIFAFLDDHYENFFIGKNMPSIAIGVADSHVGPADQWMETLRIKEKTIFPGAPK IAEHVLSSLVENGFDVSRAGSTEYGNNLLMPWVLMKPGIKCPIIPVYINVFTPPLIPY KRAYALGEAIANAVETLPDSTKVAYLCTGGLSHWPPYWNEFQASPDDKFMQRMKRYQT EGKEYLKTDPRLFIEFDDYEVEMAKKNEYPLNNHHPLVNEKWDRMFMDKFCAGDSSYM KGLTYREVEDEAGHGGHEVLNWVAMLGAMKGQKSRLLVYEPVIEWICGMTYVDFDVTK R LY89DRAFT_747658 MSGNSKDNILLFGATGYIGEYILKRLLQEKAKFGRIAIFTSANT ASRKGWRNVIEQQIKWIEIAEKSSSVKRFFPSEFGTDIEYGPSSAQEIPHQLKLKVRG ALKETSRLEFTYIVTGPYADAVEPAFFGPCAAFPELGSFNVKNKSAVLVRDGTGKISF TSPQDVGKLVVKALFHPLEAKNKALKVNSFRTTPNEILAEFEKQCGEGKWAVKYTSND ELRKLEKDAWSNKHPMAPIFTLRRVWGEGGTLYEKRDNGLIDAEDMQTLSEAVSAAIA TQLSGDIDSQKDRKFM LY89DRAFT_645433 MAPSATETIPVVSKKEEEYASGAPDLAFPTDVPKGPPSFDDKYE ERKYLKHRLALAFRVFAKFGFAEGVAGHITLRDSVDPNCFWVNPFGLHFSLVTADDLI LVNHAGKVIDGGKNRMLNYAAFAIHSEIHSARPDVACAAHSHSVYGRAFCATGRELQM LTQDACVFYKDHALYGTFAGVVLASEEGKHIAKALGHRKAVLLGNHGLLTAGKTIEEV VAHFVLLEKCCEVQLAADASAAGSGKPLVEIGEKEAQNTWEALGHSASGYFMGLPLFQ TVEGEFGERTFLGRGIEPI LY89DRAFT_61504 MASTIPTPDRIVCLDECHCEIPSFDVPHTYKGYNNTAPELIAER IQDATIIITTRVPLSRAALEQCTPDLRLVAVMAAGYDIIDLDTCRERGIKVCNIPSAS AESVAEHAFSLYLAVKRRIVELDALTRAGEEWPAKKTAIHRYGGLPKTWRSETLGIVG YGTLGKRIEVIAKALGMSTLIADRKGVPISSVRPGRVQFSKTLKECTAIVLCCPLDAS TQGMIGERELRNMDNEAILINVSRGGVVDEKALVEALQGAWIAGAATDVFATEPASVS TSPLLARDVPNLTLSPHVAWYADTSIENLKTTIKSNLEAFVAGSPVNLV LY89DRAFT_645439 MVVPSLSSEYLTLKINESRINATIHESCEWGNTPDGGMNRLTAN DDDKRVRDWFIAKTKEYGCSHKIDAIGNIFAIRPGQNNSLPPIAIGSHLDTQPTGGRY DGILGVVCGVEVLKVLHENNITSYAPVAVVNWTNEEGARFPPCMLGSGVWAEQFTTEY GHTRVDLAGISLGDELKRIGYVGSEAASFRTNPILAHFEVHIEQGPILDKEEKPAGVV RGVQSMKWYNLKITGREAHTGSTPMDRRSDALLGAAKMIVEANRIATTRDLADRGARA TIAVINSSPQSINTIAGEVQINLDMRSPFDEDVAEMERQCRENFEKVCEEHGLKLTFE TIWTSPAVNFNEVMKQCVRSSALELGCNMELTSGAGHDSVYTSKHVPTAMIFVRCRDG VSHNPAEYTRPEDCAAGAQVLLGAYLRYDNYVRQEYTAKVADVAAEKTAVVSQVEVQA LY89DRAFT_616056 MSANEAECLRTVLSCARCRRRKIKCDRLVPVCSRCKTARSACTA FNPRGDTEIPRSIVHFLEHEIATLEKEFNGGDLVGEFESPREPGQLSQTQQNFDIGRS PALQDAAEVDVAPLAGVIKDPMRRAIVGSVELQSMIGATMPAGTCLTDMISNVRMGLT PSYTPSSPTATPIQCSVTAKQDDEYSVDASILVQLPGHVVNSLVKKYIQRVLPMYPFL HEPTVWGHVQRAINKLPVQEVGQSTLSVRPDYDFLITYLILAISATLGSANSGHEARC MAFSGSLFTEGISHLSSKAPFPNDLAEIQSTLLILQYALINPKYANVWVLSGVVMRSC LDLGLHREVLREVGLDSLTIDIRRQVFWVAYCMDRSICSALQRPLSIPDPTINTLFPA LPLSQNGEHRPDPGKALALRQIQFSKLQSTIIEVHFQGKQLDQGQTWDDWLATTDQSL RDWYEVLPPDEDPVWSELAFSQGLTNLYRPSPRMPFPSRKSLMVAFEAACGSAHIYQQ HITSGFFRRPWLAAHNTFSSAMVTLFCLRYGYEPICERWNAGEIFQMTKLFTSNLLTL SSQGWGEISKYAGTYERLLGPLLDSVFTKNSSPSKSFGPAQDAELARLIYPGPAHLEK LRFGNARLALEDDFQGFDAAMFNWEDLPNTLLGWDSDIRYDYVMDHGP LY89DRAFT_747674 MDIRPAGEQTTQPITASSPSDVAATLNLDEKLEAAIQLLPSCQR CKRLRRKCDTNLPSCRLCLKASVECSFYDHSLQQVLPRSYVLSLLKRAQELKSRKSPK MTTTISVDMRQRQPTRELLPVRSDEPDLKSMNYDDHFMLSTASDRTFRFFGSSSVFVL TVQLASQIFDDPSQFKAPDFLSSLNRNEEGIIDSGAMVATRHSVPSKEMLSTLIGYYI STMNTLYPFIDESMIEADLQTYICDQNNDSKTKGRLSGKAAYQFFRISMMCAVACANK SRHKPHLSATDDDFYAKGLKYVEAVTSEVSGESLQALLLLILYCLFHPRKGDIWKLLD YACRLSVELGYHTELPLDQESSRDMALRRSTFWSLYTIERIVGQIFGRPSDLPEQIIT TEYPGILVTGSPTDQASVQVFSAAHHYRLVYLRSEIYRDIYLPTKPPDHDLEWFIERY FSLLSWYEDVQMNEILAGVGTSTCNVAFNSTIVFLFQPLLLRALSQTTASSSETPVQI IASESYRCACGLIRTYENIMRAPDDTALGIYPMTIMSAHYIYLAGLTIMAYVQLSIEA RVQILSPLEQGNPQRILQPMDYSDIFQISNSCLVLLTWCAQTWTGMSGMADMFRRMSE IFLPELARKGLA LY89DRAFT_583894 MDFGNKRIAVIGGGLGGMAFVNAALYAGLENIQLYEQAPEFTEV GAGVNITKNANIILDAYGLKDAMRWKSSHDPPCYMEYRNFKTGEVFGQIDEFGQPSSR QIHRAHLLEVMKERVPESILNTGKRLTSIEWDKTNKEYAISFQDATAATADIIIGCDG IKSAVRAHLGFADHPNYSGQMVYRGYVEYSDLSPTAAQELRKTVVYRGKQRHILTLPI GNGESKTARVGVIGFMTEPLEEWVSESWMAKAPVDKLAEHVEGWAPTCQEIIEGLRKN AERGPDKGLILKQALYVRDPIPKWYQIEEGETGSGIILLGDSAHSTLPHQGQGTCMAI ESGVALATVLKNWKGEDLESAFAFYQGLRKPRTDKVTKTSYEAGKLASADVPEGTSQV FNPDALRERMKWIMNYDVLKDVYEQGAPYFEDSGNGEVQIQAQL LY89DRAFT_733614 MPLWNIFHPEGTFTDSQSKSAFSKDITKIYTDKLGLPAFFVVVQ FIKMEKGDVWVGGKVNEGDKPFIRLVIEHTAVNVPAEAEEEAYRTTCQWVDNAIKPHV TDKGYDSEYHISENDRRLWKINGLIPLPWKSEEEKQWVKANKALPWSGDH LY89DRAFT_781920 MLSEKRNSYSKMVAKAEPEVKERKPKRRVKRKVSELDPIAAKKR REQTRVAQRAFRRRQEEAIETLGKEVDHLNGIIEGLNNTFVSFTDVLAKSKWLEMDAC MASELKSTIQTFLELTQSSPEPISRGEAAAPLHSASKHAAPNIVPENLTSPSSSSSPR PTNHEKIRGYSGSAIGSATSSSPQGRSTESTSAFGPTNTSSNFSSILSPSFSIPSPYL SLSAIQSMSWQTLPTNEVSFAGRLHRAAYEEGHRILCNWDRELYSYQQVFSYMLGAHT RDSLFWYLSKALNENLHGVLDPPEQLFPLADVTFQTNSWLNATEVYQYFRMNGIDFDD YPVLAKVEIAVDNAYDDVANNENTLDFSLTGPTVNLKTQTSNYSESFDFSTLISSHNR YQFGSDPKIVSRPAAVPLTRRVSVDVAKLISEIVLPSQTQCRGRNPVFRKQDLHRAMK RSVVQEL LY89DRAFT_781921 MSKGLILITGASGFIGSHVLDRVLKAGYNVRLTIRKEAQIHELK QLFPAHTQQMDFAVVPDITALDAFGKAMDGVDYIFHLASPMPMKGEDLQRDYVDPAVK GTDAILKAALETPSVKRVTIMASILSIMPMGGMAMQDLVIKENSNEPNPVDLNMEFPS GLDGHGLKYQASKILAHQATLQFIQQQKPHFTLTTLHPTFVLGPSLVQKSAEELSGVN MMFMRSLMFKKPLFPVAIVDVRDVADVMFATIDAKLERNGEECIISGKETSWDDIVAF MGRKYPKASNSLEPPFERAFKANAEKAEKLLGAKWKPMEEMIGSVLDQQLAFEKASKV LY89DRAFT_61598 MLTLISATPSPYARKNRILMLEKGIPFQLQTEIPWHSTTETPKY NPLEKLPILIFDDGRPPIYESWYIQEYIVQKYRGTGPDLMPSSIDDQLLVRQIQVLAD GACDAMGLVFFENGRGEMKSHEWHDRQMRKVTGVLKASDELVKKSDGKFLVGGEYSVA DIALGAMLGFMNMVETNFGIIHWLEEYPELRKYWEMLEAKESFKATQPVMFELTEKVA LY89DRAFT_718413 MIPLIMNGGVIGVASTLVLWSVISCFVYLTSLAIYRLTLHPLAK YPGPFFAKITDWYSVYQAYSGDRQIDFFHNHAKYGPVFRYGPNSLSFNTNTALKSIYG GKPNVEKSQFYSVFPPKKGLESIHSSIDKGAHARKRRVLSHAFSETAMKSMEKYILTN IRSFCSKIGTQSGLANSSGAKGGWGNPLNMADWCNYLTFDVMGELAFGKAFDMLEHEE ERHVIKLVANASWMHLILGCYPMMKTLGLNKVLFREINNTRMQYMAYSKKQFGERMKI GYETDRKDFFYYLLNAKDSETGRGFTSPELLGESNLIIIAGSDTTSTALASSFFYLTH NPETMKKLSEEILSTFSDVEEICHGPTLNSCHYLRAVVDEAMRLSPPVGGMVPRRVLP GGIDIDGHHIPEGTDVGVAAYALQHNAAYHPEPSRFIPERWIPDSGPGITQDSVSVTN SAFSPFSIGSRACIGKPLAYAEVMMALARVVYRYEFRLAPGTTAGEGSPELGWGRQDR EVYQLKDAFTSFKDGPYVQFRERQVVA LY89DRAFT_684729 MALPSSMIAAVLLFALPIPTIAQTDNGNECSCFRTNGSSQGYFT YHRFHDYRDVATASTTPPTVISNSTNATNAFATSDFFLQDAWQNDWAIQNWNNSDSMA ASGAAVTMINSPNNVYIEKSTDNDPTYSSYLVLRTSRLPDFQSAAEIDSTEQNFHYVS ARFMARVIGAPGACAGLFTYLASDNPQSVQEADIEILTAGPRDMVQYTNQPSTDSNGD AIPQATVNGTNPGARDWTLWNTYRVDWMPKMTSWYVNGEGAANISFQTPKDPAGLILN MWSDGGVWTGNMSLYDEAYLQIQWMEFVYNTSGAASAKRDEHGPSGLLEKRKGGTPGC KVVCGVDEDVNITGTPAFLYNSTAPMGWRGDGMGIMAWIPVVLAGAALFGYF LY89DRAFT_718415 MSSPLALVCNSCLSKKLKCVISAGASGCVACTSASQKGPRDCSL FKPSINRCAECIQKHKKCITQIGHSACVLCEHRSLDCTSAPTDRNEINKLRLSAADRI KMDEAEASGTGGSRAGVLTAGRQKGQPRTKAQRKSKKENAAPVSSPLVHSQSTAGGSQ QRDDSDDGTLEDPPTPINKSQFPTPRRAASPSETRTRVYPIPDDYVPPSLPMLDPNAP AKAPKEKNLAVTVVDEEEEAEKEAGKEGEKAVEGVDIDVTQPCESNPKLPSFMCCDQV RDCTGQGPNMKVGHFILKEFFTKYAACYPFDEILFDEPNDWLEYDAYLAIFHVDSGVL PVIYGVDNMDKGYLVKIYIDTPRPEVVGMMDVRKTSQPRFYRVPGGADFKCDVHGKKF SVYFMRYFSKGIKFVDVNA LY89DRAFT_669086 MNKGENTFGGRRAYQDTARQNAIIDEGCSLPDSQDSFTQSPRRY MDSPLTSQADKSVIDPALLGSYRNTRPPSSPTQHTLSALTGAPPYDGAYHSATSGFFD QRAELYTSPYQAYDNAGFPHLLQPYGQHQAVTPAPLDEGGSTPAALSHQSGASEHSDP STNLPVDTQPTSTRSDNRVDSQQPSNAGLQNGGGEETVSGITKLMKRTSVQKPRGRYL QRFPDLQEEASGSAQLPILEEDAGYLTPEEEDELSPLPNPAFCCRDMKTAYKASTEFV YTHLKVARVFWTLFAGPTPALQVASRPVEHHSQRSRPLTQVETAIWLIGVAKIFPKVS SGSVKPHQRQSNPETKLGPHAFFMTGLGEHWAVNEWIRVTDTGDENFLKDKLTAIDCN GYNRLRIGCPNHNNEYAVGFWARSDLAQVEQNLSTSIADGRAREEEARKPIMPQRSTH AIPEARVPKRPQHPKPEEPEEHARKIPRYSFGATHPSAYRNAQINAGVVGEQPAVGML PSPGAQHGNQQGRQGGGMGTRDNFAVEPQPPLTAEQRYQRYQQRYGNQFGAIHGNGSQ DGRQGGHLGGQNGYGAQQRYQDGYGVQEGARSRELGVQNGYGAHQRYGVQEGGRSRDL GVQNGYGAQQPRQEGYGVQEGARSRDLGIQNGYGAHQGYGSQGVRQRGDLGVQNGYAV QPPATVGQPGQQGYSSQVGGTNVNGSQSLSNFHDRYRHYDPSQFTSVHEPALQPPPGG YQDQDD LY89DRAFT_61636 MNATPRTPAQPNINPLQRSTSIYGSTLPATPRPKLPSSKLRSED GPATSLRTSPAPLGADSRPESDTSEEEPPYIHPTKTPPMAIPAKNQPLGQDNGKYGSF IPTPPSKVARPFELPGPALTPEPSAQSQPEGLSAIPDIRPSVSRLPSGRSASMAVPQH AYEIGRTLSPRSRNGTFNNLRNRIQQREGAPFMRRMFSVGTPLTPSESHRLDIDMVAV DQLRQRQKEFFQWMDGELDKVETFYKSKEDEAGERLKVLREQLHVMRNRRIEEVAAAQ HARSVRKEDERHLFLHDEHSNGLAKKDDDYRPSSRDHLTAWLDPFERAVGHAKAKLTA PRPGSNSKALQNMKASPHLQSQIQQARPRHVEEGRDYVRRPHYSDEIPYRTAKRKLKL ALQEFYRGMELLKSYALLNRTAFRKINKKYDKAVDAHPPLRYMSDKVNKSWFVQSDVL DSHLHAVEDLYARYFERGNHKIAVGKLRTSLGRSADQSLNAFQNGLLIGTGAVFAIQG VIYGAQLLHDDDPIIQVQTAYLMQIYAGYFLALYLFAWFCLDCSIWTRNKINYQFVFE FDPRHQLDWRQLASFPSFLILVLGLFVWLNFSRYGAPEMYIYYPVILIFATAVLIFLP APIFFHRSREWFAYAHVS LY89DRAFT_645461 MSLIQLLLLFLTAFTAPAIAPWPKRGLPSNNPPNSIQNWNGPGS QVNWAYSWDSYMDPAFPRFLEFIPMLWGDGSLHTNSWVNNVNNALARGSGHIMGFNEP DACGEGQSCIGPDDAATAYRKWIMPFAGRAALGAPAISNAASGLVWLRNFLGACSGCQ IDFVPIHWYDSATNFEYFYNYMEEAHQTSGGRQIWITEFSGAGTLQQQITFLQTVIPW LDAQPYIYRYSWFWCDASYTGGSLADGNGNPTELGGVYAYTRY LY89DRAFT_781929 MDGALIDGDFKHHNTTAPPSDRVLPLFSLKGRTAIVTGAGAGIG LGVAQALAEAGANVAIWYNSSKKAVAEAESIEKTYGVKCKAYQVNVTSIESVTTAIEA NIREFNGRLDVFIANSGIPWTQGAAILGEMDHYHKVVTTDLDGTFYCARVAGTHWRRQ KKEQTTIHGKPLDPPFREGSFVATASMSGHIVNIPQLQATYNAAKAGVIHLCRSLAVE WVGFARCNTISPGYISTEISNFVPAETKKIWKDKIPMGREGEVGELKGAFLYLASDAA SYTTGTDIKVDGGYCLP LY89DRAFT_61744 MASGAWLSSLEGRGSVIRQAVYSANIPIGSATLSLGIILVIAIS GALLLFGVIGLVVVIHVKRRNRYRSKVRTEVVEHGMVRSDPLGTMRCVRTPEIFFPRR LSRGLSFNPWITLDGGGGGVVAGDWEDVDLSDHAPPMATAKRMSFHRGVIRVRESWPL ASTIPLKVLPSQATMNLSTVAPPGYVVQEPKRQSTKLLRRRDSTDSKGEPKSGKSSSP TRGLCPAPLMLHKNTQRRCTSDTEIPSVLRSSSQRLKTIHRKSLTRTLTTIGRFPGRA PFERLSTPPMKHAVESREQLINKEFAQTMEESIRNDQLSRASVDIVSDSLHLGARMTS PTPSFASLDSLCDDTLEVIIPAALSSPSKSSFQNEKRHKVRISEDTTIIPTSIHEDDR ASVLVIEKPNEELFFTVPHRISLAGDPFFSSVRSSKSIISTTKPAHGPRPMYFRKSTF GHEESPNRPDDYVSPLRDVSGNASPSPSRDSQQLESETLDHNPFRWSPQEAMKTRNTP LSGRSSPSRKGHRRSNVVRMSNLPVLSRRVGTVAAVKEEPEEDSPRRSIRFSLPPATA IRVFEPEKSPSPSSSTVSRRRSMRPPSSATFSPDLTITEGVPTTPNSSPVSKLGSQRS FSIYSPTLSVCNYYAESGGSEDEFFKAKKASPATLKARRHGHNYSSDLTVFPTHQMQQ DHQLSLTSFPPPPTMDPIIPITTVLTPPPSRPLPTITSTMIGYKSPSPALPLLTLSGQ TQLYGPRDIPSRCSTISPPRNSLASSISMLRRMNSQISQYSSQSTIAEESPQLPPQPH FSLNFNLEEAQAEERGRSRGSKHYLAVGRQSQHYSRMSRTEKRDSHRVYKDRRRRKTE DFERDEKELTPVPETSPATGANPLGIIGLRFPTLNREGINGNSFREQIIDFTDDGDIS DAKIIELKDDDKENHESQWSDAMTKPAKNVVRRESKMEHPSPQTPPKWSMSGLGLAGQ RLLGKDKEGLPVFGSPERPLSIGLYDQEGFLKSSPEIKSAKERANERRNRESRPKRLS DEWHCIM LY89DRAFT_733626 MSKAKLTWEAARKAYEELETAGDFKPPSGDIVAAAIAFSVMIAF EYRPRKKDEPFVPELFVKDMLGDCAATQIDALLDRKGWHHLDRDKLKRTAAMHVGDLY LY89DRAFT_616083 MSFFANAKSALHDFTTDIKKEVGIIENAIEEERHSHTHLGEECH SLHIHHQNNRFHSFAPPRTGNDAKWFVDGCGYFWAVSIAIEEARESIWILDWWLSPEL YLRRPPSQNEDYRIDRMLLAAAKRGVKVNVIVYKEVAAVLTLCSEHTKHALELHPNIA VFRHPDHTPSGQVLESEIISSIKNFSFKSLKLADIPSDTFKALYGVNNDVILYWAHHE KLCLIDGNIAFMGGLDLCFGRWDTNSHPAADAHPTDVSQAVFPGQDFNNARVYDFEDV TNWENNKLDRTKNGRMGWSDLSICLRGPVVEDLRAHFVQRWNFIYGEKYDVRKDERYH NLTLDNIPDGYYHPDGKNVHHLSRDITNRDAEDPDPQSNYHPHRFHLPGGSGSIFDRV RTGFSDMSREYNGYGEQHPSGMSIQLVRSCTRWSHGVSTEHSIANAYIEIIHNSQHFI YIENQFFITATDDIQHPVRNKIGAAIAERIMRAYENGEKWKMIVCMPAVPAFAGDLHA EDSLGTRAIMEYQYAGVCRGAHSIMGAVQKAGVPNAKEYIRFYNLRNYDRINAGSAMS QAEQASGVNYESARREHDDLVGAGYDGRGEGTRAMQGQEAQSYDKYQQAGAQVSDGSK YDSVAECYMDDGPSIKDIPWSGSEEDEFNAFVSEELYIHSKILIADDRVVICGSANLN DRSQIGYHDSEIAVIIEDPTPIDSMMNHQPYQASKFAASLRRQLFRKHLGLLPHQDFT KPDANFMPLNKDPNAYDWGSPADMLVRDPLSREFTNLWNGTAQVNTEVFSKAFHCVPA DNVRDWKQYESFFQDLFVSPSKKDDKVQIPPKYEYGHVVKEEFPGGVRELKEWLDRVR GTLVDMPLLFMDGVDFAEEGLKLNALTDAVYT LY89DRAFT_61781 MRVILPTVLGLASLATAQTSNSTDCVSVYVNCLDLGGADNSCES ENAICKNLCANSYGSCLESGIGDVACMTEYNSCLDGFAIFTTAANSAGKDCVSVFSDC HDSGTADNTCNSGNAQCKDKCSTIYGTCLTSGDADNASCMTQYNNCLDAFSVFATAAT SQSIDCVSMFSACHDNLTADNTCDSYSAQCKDKCSVMYGTCLSSGAANDTLCMGQYNN CLDSFTPSISVDCVSNFTICRDNGIEANTCASYNAQCKDKCSVNYSTCLSSGDADDSA CLTQYDGCLVSFTTVAGSSDCVSKYSLCEDDGTTPDNTCAANNAVCKTDCSTSYSTCL SSGDSSLAAPCLSQYNSCLDSFTWNTNTTITGQDCVSKYMNCDGEDNSCSAANAQCKN SCSVSYDDCRSSGDSSLDEECLIQYDNCLVNFNSVITTPDCASAYLSCDAADNDCEAD LAQCKNTCGVARDTCETSGDESLYPQCLKQYDSCLVNFTVAKAAIGEDCVASYLSCDE ADNVCFADNAQCKNKCAEVYDTCNSSGDNSTAAACLNLYDTCLVSFSANDTIAAGQDC ATEYTACDASGVADNVCNSYFAQCKNKCATADDTCRSSGDETLVSMCDSMYNRCLDPV MNTNITTNATAVVNATAMVNSTSSYVLPSKTASLNTTYSTRVNLPSGYISSIPTASAN GTGIFANATSSASAKLAVVTPATASSGFALPSQSTVATPSFANATSTYIPAQSTALPT EIPSSALAVVSASSDVEDETCES LY89DRAFT_733629 MSYDHRLLSPTTSSPRSSRRPSRNESIELGPWASSSPEYFDPPP HTYHSTDKLLPEVHEEYVSQTSPARSFQRIDANGGRWKGWRAGLFLCVLVVTGALILN IAVTVWAASAFGLSGGIGTIHVGTCGTIKTTGRWLHIGINVLSTIMLGASNYCMQCLC SPTRQEVGIAHANRQWLDIGIQSFRNLAQIKRSRMVLWLFLAGSSIPLHLMFNSALFV SLTANEYVVATVSEDFVNGANWTLASVDTRHQSLISQMQQNISSYEKLDDATCIKEYG VDYLSDRRHVFVVVSGQFADPLLGYLDWNYDGSLNSWVCGTSQGPNSTIETISIDIYD CSIPVALGNITFWTMADQPVEYCLSQKVPDECRLQIAVPIMLIVLICNAVKLSCMTIT IWKFKEPTFVTLGDALSGMLENPDPHTVGMCTATKKQFQDGAWPDREPQRWTLQRHFR YKAVGMRRWVLSNSICTLAVIASAVLLHFAIQNTTTASDITTLWDLGFGTVTPASLIR WSSPIFGSPGLIKNVLLANSPQVILSVLYIAYNRVYTCMSFSKEWHDLAHHRRALRVT SPRGDQRSTYFLSLPYRYLISILTVSVAIHWILSQSLFLVAIDVYDENGNFDASQSIL SCGFSCIALIFLVGIGSLVLLSGIGMGLRRYKPGMPLAGSCSAALSAACHPPSDEVDV AFVPVKWGVTGVEDGIGHCALSGRYVSPPVTSKRYQGLAER LY89DRAFT_645475 MAAAGIAIIGGGPCGLTLARLLECKGIDYIVYERDESENSNRAG GSLDIHAGTGQHALREAGLLDGFKKYARYDDTAVTIADKQGKRLLQMGQNRDAPEIDR KDLRQILLDAIPKDKIKWGHTLLNAKIGEDKRPMLEFTNGVVLSKFKLVVGADGAWSK VRPMITPAIPQYSGKIFLESKVGHENPLYETTVSRVGPGMFLATGVGKSIVTQRQGDG TYRNYFGIQVEENFFRNGVLKLDVEATRRLLLSDFYADWAEEYQDLIRHATDLRVWSL YTLSTEDMNWKSVPGLTLVGDAAHLTIPNGEGVNLAMTDALKLASKIAEHGIEDVNRA VQEYENDMFPRGIATIAEGRRMEKVMFDEDPQAFFQALSDAAAQ LY89DRAFT_781936 MAYMIRAPWAAFVGGYSTSFFLQYLDVALLSRYSFEEVDLKKSE TSPAITLESFLPRIKWAISLLVNFRFIDTSQSLKNIPQFSNGNPAYIPSRKRFLCETA DTAAVSYLVLDLLTSTGDPEMSSKYLSLANIPFFNRLATISGIEILICLSATICLGIS MNYVQGGIYSIMGFFSVLFGISSPKSWPPFYGHLLQASSLRKFLGFILSDLYELDPKA PLTRYLRLVIIFLLSGLMHLCIDIASGIPLQDSGAFNFFLVQIVGILMEDAFSKIRQA LFNPDNHQSLAKRLFGCVRVLTFLSWSVPVYLYPMLSRSGPEHSTIPFSIVNKLRHGT W LY89DRAFT_733632 MFKAPLSITFLALLTTVFASIFTEDLTNALLKRQEPGTPAYDCH LNCGTAITISRSATDPCTNSTFLSDYDACLDCAGPDNQNIWQYYGTALSIVATKCGLS TTPVANATTSGSVNGTVSSTGSASGSTASPPSTSSMSLSASSTASGVTNGTASSTPVV AGTGTMMDVGTFMLFALAGAVSASCAF LY89DRAFT_684741 MKIWDTQHTHIVFQAQEYSKMFTGISYAFAALPVFIQLALGAHG DGAEGTVMGPVAFLWPSDRVWSAAYDNVGPCGSSSSVTNRTEFPLGSIGSIELTIADE SYNLAVRIAYGNDPATQEDFQAVKNNVSELEPGHQCYSMPAEPSTVVAGSNATIQLEY WADDSDKNESFFACADIVGHSAENKDHTDLPQTFVEASAFNEQVICFNVTASEFDTPS STASSAPTATQTPASTSATAQHSSGLSGGAKAGIAVGCIVGGILLLAAIVLFTRRRRA HRVTEVVTKPVEKPIHSADIVSIGSEDTAH LY89DRAFT_747710 MALTRILLLLWFASVVQSQSLSPCALACIQQTVPAAGCALGNIT CQCTSKSLPQLSAACMLANCSMADTLGLSKLEAQTCNLPFQNRSNLVIITFIVAMVVT GTAVLLRLASKILDNKIALEDWIIIVALMLAISANSLAIICMNHLFCDFQRLTLSVAY EGFGKHGYSLQNGDLLAILEHFPVYIAENIYVVALSITKLSILALYLRVFQHQHRFKI AVYALIFVIILSTTILSALTIFQCHPISYFWDKDIHNGACLDVNALAYANSGSSIAQD FMIIALPIPVISKLNMETRRKVAVGFMFAVGGFGCIISIVRLQSLLVFGNSIDPTWDY VPVTIWTALELGSAMICSCMPALRTLFHRIFPRIRFSSSSSRSSASASTLTSVWQSRR VMKQDDGFMELPNIETGTLNIKWGDREQHVEDSANDVRIALNNFQNGASPPDPKRITD S LY89DRAFT_669100 MTLYFLSLLHYPLAQCFFLLSQFLKPTYRVIPRDRMNPPPPNDR FAKAKNIARTSLKRFGPLLLTGLAAVVEHHWLKRDDDPPPPEQPQCDDRDRSSIKELK HEVKKLRKKLKGKRKYRDDSSSSSDSSSTVAYHQRVVESPMRGRRRPLGEEQTRERDE YYRGFETRGNPPPPVPDPPQQYQRYQTFQPPQLPHEMTMLGQASSSRDIQRPPPRQVS HHRPRPRRRHHSLPSIIDAEFSPTTIHAGKVAAVAGFIEALHVGDFRGDWIGRKGARV GTTMAASFGASVARDKDPRDLRRREIVMDVGKGLAVSRLVHGRVERVEDGYRRRGRRW SSSF LY89DRAFT_747712 MWLPTRVRRPGVLVACAQRIMSGNRNNNWGCYPFLSWSSRVRRM SDFKQPPTANQDSLGDGKVLSQMHNAGGRLRLVKSWGGTSKIRLPKRPLIGDRSDAKG IVSEFLGLTCLELRAHIGPEYDRRRKLFPVTQKRKGLIWYPHHRGRDEGQS LY89DRAFT_584494 MHPETEKNTNGSETEREDEIEEKRRDEEVLKLARKYTTQSQTSV YQKNPFEADADSPLNPASPNFKPHAFAKSLLNLQARDPEKWKLRTAGFAFKDLNVYGF GTATDYQKSVGNVVLEVVGLAKKLLGMSKPRKIDILQQLDGVVHSGEMLVVLGPPGSG CSTFLKTIAGETNGFYIDEKSSINYQGITPKQMHNDFRGEAIYTAEVDVHFPMLTVGQ TLEFAAQARAPRYIPGGISRQEFARYLRDVIMAVFGISHTINTRVGNDFVRGVSGGER KRVTIAEAALSGAPLQCWDNSTRGLDSANAIEFCKTLRMSTDLVGSTAVVAIYQAPQS AYDIFDKVAVLYEGRQIYFGSCTEAKDYFVNMGFECPDRQTTADFLTSMTSSLERVVR EGYADRVPRSPDEFARVWKSSPEYANLRKEVDMYNEQYQLHGQHLQNFKDSRRIQQSK HQRVSSPYTLSYVGQVKLCLRRGFWRLKGDPSLTFTQLFGNFLMALVISSVFYNLPQT TSSFFSRSAVLFFAILLNAFGSALEILTLYAQRPIVEKHSRYALYHPSCEAVASMLTD LPYKILNAIIFNLTLYFMVNLNRHPGNFFFFVLISFMLTLVMSMLFRTIASVSRTLSQ AMAPTAILILGIVIYTGFALPVPNMRGWARWINYVDPVAYGFESLMINEFAGRNFTCL DSAFVPQGPAYANVSPLERVCSAVGSVAGSAVVSGTTYIESSYQYTPSHKWRNFGILW IFAIGLCATYLLATEFITAKKSKGEVLLFRRGHTPAALKQKGSDEEVAATAIAGAEKT SSHVDVSTIIKKQTAIFHWEDVCYDIKIKGEPRRILDHVDGWVKPGTLTALMGVSGAG KTTLLDVLATRTTMGVITGGMLVDGRERDTSFQRKTGYVQQQDLHLSTSTVREALNFS ALLRQPAKIPRKEKLEYVNEVIKLLDMEEYADAVVGVPGEGLNVEQRKRLTIGVELAA KPELLLFLDEPTSGLDSQTSWAICDLMEKLTKNGQAVLCTIHQPSAMLFQRFDRLLFL ASGGKTVYFGEVGNNAAVLTKYFERLGAHPCPPSANPAEWMLEVIGAAPGSHTDIDWH QAWRDSPEYQGVHRELDRLKAELPQITQPSASADDKASFREFAAPFGLQQWEVQKRVF QQYWRTPSYIYSKLSLCIFAALFIGFSFFKAGTSQQELQNQMFAVFMLFTIFGQLVQQ IMPHFVTQRSLYEARERPSKTYSWKAFMISSIVVELPWNTLMAVLIYLCWYYPIGLYR NAEPTNAVTERGGLMFLLVWEFLLFTSTFTNMVIAAIDTAETGGNIANLMFSLTLVFC GVLASPEVFPHFWIFMYRLSPFTYLVDAMLSVAIANTDVVCASNEYLKFSAPAGETCY QYMNTYINTYDAGGYLQFPNATGDCSYCALDSTNQFLTQINSSYSHRWRNFGILWAFI IFNAVMAVFLYWLARVPKNKKAKKAKKE LY89DRAFT_62039 MSYFPDGLRGADSHDGLAADEIAADIVSNSDALSDDTDKTAVQD NANSPRTPTPDIAKEKAEPIYASDEINDDDAAAAAEDDAANELPWHVRRIVSLHDDTT LPTITFRYFLLVLIFVPPGAFLEQLNMFRTTSAPYSIFFVQIAANYVGDWLAKTLPAW VVRIPFTKKSFSLNPGPFSVKEHVLVVIAAASGATYNLAWTPLSLSELYFKERLHPLI WICFMWAIVWTGYSYAAIARQFLVYDPQLPWFDALCQTALFETQTLQREHPSPVSRKQ MKVFFLALVTVFFWQFLPEYVFPMLSSMAFLCWVAPHNATANFLSSGLGGMAFLNLSF DWSTMANLSNMGSLFLTPFYTQMVVFLAFVANCWILLPAANWGGLGSWHLHLMSLSLF QENGNDYPILELITPEITFNQTVYDQNGPVFVGTQLLWNMFFDYASYTSVLTWMALFG YPHLKAIFLKFKARQTAKTKISVNEQYTDPLNVLMRSYPEIPLWWFIALFLASFTIII TILASGHLYIPIWTYILALVTGAVVVVPLGWLYAVSNFQLPIGTTNELLYGLMINAVS GHKSPVGASVYSSIAGDAWYRAQYMLQDQKLGHYLHVPPRTVFFSQMLGSFVGVPINY AVIRWVIDTKGDYLNGTLEDLTHEWTGQSLTSSLTMATQYVLIGPKRLFSGELYHPLP YGFLVGAITPTILYGLHRRFPNAKFNLWNSTIFFCSLSNFYGNISTGYTSGIIGGFVV MYWAYRHHYELWARYNYILAAAFDSGYNFNALIIYLCFCAGTRIMMPNWWGNNWKSVE RCFAMPDAADA LY89DRAFT_684746 MPPTTLHVQPQLNFFTALEDHQSHTPETFYDAKPVLHYHSADIT ALSARDQVARLPFFSSATDGTATEVEGDGSAVNEQVTAYISSENVTIFNSNANIGLSI PYPAISLHAIQRLDDPCHPGQQVQGLYMQLELLDPLAVSDDDEPDVVELTLIPPTTGN TSDIQKLFDAVSNCSNLHPDPSFEGDEDMEDGDDRIVFEGNVGYEGISGLPGVQRGAA DGSLPPPFPGSGGWITAENVSEYFDEDGNWIGGEEAGNAESLGDGAGRIRTREEMDGG VNGDGDNHELDDNKRPRTD LY89DRAFT_562480 ISINTSPLTPFESPRLSAVNYTAWEQWYFDSVASDGSSNTVITF FRDPTSHTGLGSLWVMHDAVWPNGTRSSSITYVEEAQITHCPSYTYGLWNSSAENASF TFNVTTDLKEAAISISTPETKGTWSITTLGPARYPDGFLYPNSNASTLFAPMLWWVEA MPAGDVQTRFDIAGSPIAFTGYGGVDYFAGSFIWDYICKEWYWMRGVVGPYSIVFWKF TSAIDNNTYTSAFLVQNDTVLFSTQNSKEILATNPHASYAKLSLLYGGKVSATMGAND TGFALDLI LY89DRAFT_718435 MSSISWYFEPSEMELKNFLPLSNFEFKLMSKLIHVIRLGLHLHG LQCGQEDLTITDTSPAAVAQQCRSFVKKARVSWVNCDKFFPLRLTAPSMALIISHVPL STSVSTTSVFKICVLRTFGVGSEEAINDLGITFKESTESSSESEPDYDKIIAVISALG KGIKKITRPVYGQMQIARASVPTMLEKFWVFAGKVMEKVEPGGPTQSFEEVYNLLCSF NIPTVPKHGLLAWLITSDLTEWEICKPPTIKTLARHMGVSSDGGSSSKRGGPSGPSKA LVLVEQIYKEMVAKDGAEYVQPDVGAGLVNVWKVLEHPPVDAIWLEELMEECRKAQGR SISVIDMEHLLCKIARYTAKSR LY89DRAFT_684748 MASRRDQIREDRRSASPRAETEPTSSKDKSPIDARDDHGEDERV SSPEDDDRDEGEASSVEDEGRVITTEKDAEWLEKHNATSELSGADGSAEAPPLPEEAI PPLPSEAPPATEPDDGWAPVWEESAQAFYFYNRFTHATQWTNPRVPEAGPPGVDPAPV PAETTPAPATSGYNPAIHGDYDPTAWYAQPAAPEPAAQPIDPSAQYAASAAFNRFTGR FQNAELTPENFNDENKSKRQMNAFFDVDAAANSHDGRSLKAERSGKKLSKTELKQFKE KRKAKKEEKRRAWLRD LY89DRAFT_584813 IHVSSPMLNGHKLEILVLEMDPQNVGDEGAFTEAVLVPTMEIPT SSTGRYTPVTTPVHKSLIVADGVMGAATVLSYPIDTDRSVVGAAVDLQVVNLQKEPLP FQTIDVALAEKALGSFRESVDNALSYEENWFKSGLPEILDWVRDGTASTDGATKQPLR QLIESVLRNASVAIEAERSRQLSAALTAKVSSSDLVGLRKELSSWAERAHTELRDQLD IAFNGRRWRKLGWWKLFWRVDDVSMIASDILNQRFLTDAEKEVIFLAGRVVEAGALKQ IPEASPKNWAYKREIQEHAKLQLGSSPPPPVIQDLIESPRDHLPVNVKLHPWPLHIPA TRTYLSQETVPALQALAQKLVLQTLSTSSLATVFGGLIYLSSVSNTLYEAGAVAALGV VFSLRRMQGKWETARTFWEGEVREEGRKAVRGVEGAVNGVLEKPDQPLEGDLELEKAA DAVEKAQAALTETR LY89DRAFT_645498 MHDNRTHPLLQQVPLTVSPFVSLPTATTLPYTYKTLPSTLPPSS TGAPSSGEEKSQYVISSSGHAAHPDEIIASCKALQSYIQKVQDDADKELKAWEESIAA RELAEKRRVAPGWLDSDARILQPERRPASSIGSPGYQSQGNLMDVDVSSAEASGLRHA PVVATNGPGDELDRAFGGLGLSK LY89DRAFT_62108 MDGEDQPHPPPRENRSQVDNAVRAIQQKKPVPEIDFTLHTMEDG SQVSTMERVCKDVQAPAMHPPTDQQFFSPQDSSKPNLAFLKQHFYREGRLTEEQALYI IEEGTKVLKQEPNLLEMDAPITVCGDVHGQYYDLMKLFEVGGDPAETRYLFLGDYVDR GYFSIECVLYLWSLKIWYPNTLWLLRGNHECRHLTDYFTFKLECKHKYSEKIYEACMD SFCALPLAAVMNKQFLCIHGGLSPELHTLDDLKQIDRFREPPTHGLMCDILWADPLEE FGQEKTSEYFVHNHVRGCSYFFSYPAACNFLEKNNLLSVIRAHEAQDAGYRMYRKTRT TGFPSVMTIFSAPNYLDVYNNKAAVLKYENNVMNIRQFNCTPHPYWLPNFMDVFTWSL PFVGEKITDMLIAILSLCSEDELKEDTTSPPTPGPISPPVNAPLDPESIEYKRRAIKN KILAIGRLSRVFQVLREESERVTELKTASGGRLPAGTLMLGAEGIKNAISSFEDARKV DLQNERLPPSHEEVTRQSEEGRAQALERAKDAADNDKGLQLLSRRLST LY89DRAFT_718440 MTSRYQLKGGTFVAYEGGSQPGDIIDQDRFGPSSPLTRVRYLGS LSTLQPVSSTEGFIIQPVSASRGQQRPAQSRIPPVPFLTLPLLRRDEAQGSQSLSPFS AAEHPFRAFLGLCDSEQGPAFSVPLAEAEIPPEPRSKHPWNYPHPSPNPNPNPNPSLD SYPAMEGQDYNQSSEYNHEYPPPPGGYYQQPQQPPQQQQYYAASSSSNNSPGSPSTYQ PIYPSVGYAASAPGYGTSQPAAGYGYAQSEGYTHTSASASATLNENEAELKRLRNTAA SARFRAKKKKREQSLERQSREKREELQRLENRISELEQENKFLKSLILTPRARKDDDA AEEGEEESGKGKGKEKAHGSGERRGGKGKDGVGTSRH LY89DRAFT_684751 MSSPNSEEQFISTTLSQLSLEEKVALLSGSSFVAASGVPRLGIP NPNLLDSVNGVKSPSFESTSTLCFPSTSCLGATWNPRLLERMGKTLAGQAVEKGVSVI LGPAVNIHRDPRGGRNFECFSEDPLVTGKLAAALINGIQDRGDVAACPKHFVGNESET KRRFYGVEADSRTLREIYLAAFQYLLRESTPKALMTAYNKIDGVYCSESPIIKDILRD EWGFKGCVMSDWFGTRSQLPALYAGLDLEMPGPSVFRGTPLVEEVKHGRVNMEVIDER VQRVLSLIHKTADSRGIGRPPVPQSELSDLARLVASEGIVLLKNKDSTLPLDFNSNAR IAVIGAAAKDPPIGGGGSARVAPQYLQMPLDCIISACTDPSLVSFSIGCKNNCTIPEV ELQSTCAKNSQPGIDVEYFVYGSSRAVLEEYLPSTKTTMLGFLKPPLTQETFSHFTVS TTITPKSSGKHTLAIQATGAFEFFVGEEMALVDDMQPPPSVEDFLFVPEALERKCWVQ MEAGKPYAVKAVVQPYVPAEETGEPRVYSAKLCFEEAYSKDDLSLEAINKAKSSDVAI IFAGRNAEMESEGFDLDSIKLPEDQEQIISDVARASKKTVLVLYGGNPIDVSTYEHLV DVILFAHFPGQEGSQAITDILTGKVCPNGRLATSWPVKLEDVPTFNNFPAKQRIDGSW EIQYAEGLGIGYRADGYTPRYPLGFGLSYTEFRCTDLQLSWIESEESLAVDLCLANTG KIDGHEVVQVYISERRAVVSRPKKELKAFSKCWIEAGKMERVRMVIDKRTAFSWWDNR TIGGGERWRAEPGDFEVQVGGLSQNFVLEEGFCWRGL LY89DRAFT_62297 MCETVRSRAWYSSFQSSLCSNLHAGETIQRASMIPSTSTVRMSI TIHVLVFTIMVFVPLGCIREYRLWFYHRSSRSGLLPKWTAGSRPPVACPTLNVPRTSS TFHMQSLHFSLFIEVLKSFRRACCSVQ LY89DRAFT_616122 MDAGSSRDEDGLNASTASKSTAKASTKRQPKDAAKPKPLPSTSG TRFQCPRCPKNFSRIENLTRHQANHEDVGKFVCVICRKRFTRSDLLNRHRRIHGSQAA EGSKPHVTNEFASSSQSGVPAFDQDRRSNSQEGSSNLSNASPNTTYQTQIQQHVYSDQ MQDMYQQPLPGPSASMVPNQQMQPQGLTSLMEAALAPQDAFSFTPVDNINPSLWDGFM RFGDTVDVYMGSYDADMSWTLDYLSSEASPNYLLDQDMLGGFDDFGRDPCAYQQPLQF NQPTVNDTEDAEADDEDTTDWPDKVEKPDGSERHANRVIPFQILPISWQPVLDEARAS GFSSSTIRPFQTLNESLRQLLLSDLNGLNFKSEISRPEISDAMFPPVEVLDFFLRLYV RYIQPRFPVLHLPTFDLYNSPPLLLVAMMFLGSSHSSVDRGRFSRLFHEHLRIACIRI QELDKKFLRSADNILTYFLLCLAGTWSGSKHSYEFAEGGRGILVTACRRSRLLDCRHS ARIEPDETSVRGMLDASWMAWIETEKRKRLGLSIYIFDCQYPALFNNQPYVSKAETTN CVFPCPEEYWEAPTFEAWKMLLGPADMPPSTYYLHALNCCLLRKWVKPPPPIAKTGEF GKIVLMYALHTHIFEWRQATSMLNPTGLMGTFGNSAYDMGEGLRERRKWLVDGLDSFA ECYHGPGTSVAASLLHHLGYVSLDVSLSDMHLVAGRSVNKNDANFAEQNLKHWANSEI SDNTMNHVFQMLDLCHQCIITGTAADSSYEIAVCLFTGGMVCWAFAKLRRNAPREQYV EQVRKASMALRQMGCWRMCSMFGRILTGFEVPKQG LY89DRAFT_584072 MHVNAEDQAQLRSLQNQRIKDVERLQRETTSSALSQRFAPGAQS TADQVSLEYKRADGSTRPRDLLILFPDEVQNKSLFEKYNDTLREHLLKPKRSLITKAL GSEGSTLKSPEAYAQPFCSFLTENPTVFHAVDYFEKKLDSAGFKKLSERKSWTEELEV GGKYYVSRNSSSLIAFSVGKGYKSGNGVAMAAGHVDALTARLKPVSSKKTSAGYVQLG VAPYAGALNTTWWDRDLGIGGRVVVKDEETGKITTKLVKLGWPIARIPSLAPHFGVGM LGTNNAETEAVPIIGLDDSETSSDEGFKNYTLGAQGTFAATQPPKLVRAIAGELSITD YSSIINWELELYDTQPAQVGGLEKEFIFAGRVDDKICSWVAIEGLIASAPTSSEDDGI VKLVGVFDDEEIGSLLRQGARGNFLPGTVERIAEAFSKSGSSANILSQTYANSFLLSA DVTHAVNPNFLSKYLEGHAPRLNVGLTVAADSNGHMTTDSVSTALFTRIAEKCGSKLQ LFQIRNDSRSGGTVGPMLSSAMGVRAIDAGIAQLSMHSIRATVGNLDPGLGVKIFKGF FDHFESVDAEFV LY89DRAFT_645512 MVFGWGESEQAYQQAQDPNQQFGNESHFSHEALAGAASFGAFKM FEDRQRAEGKPISHQFAKELLVGLAGAEVDKLAETKGMDFIDREKAKHHAKQQAERMY DDHYIQGQGADQYDPNQYGPPQQFNNY LY89DRAFT_684756 MTSPRLPTKTDLPSSLTLDIIPPSTGSPINILILLHGLGDTQAS FAQLGKNLNLPETACISIRGPNPIPPIFTGSDTPAFHWGDDVLVDEAKGDIDLDAGFD TCQKIFGNIIQDVLITKCGYPPRNVLFLGFGQGGMAALHVASLSSGEFGGVVSIGGRL PSSSKSGPKSKTPVLVCGGSRSSQVTKSAIEGLKERFVDMEYVKWSKNEDSMPKSREE MLPIMKFFARRLRSRAGVPEGAVEV LY89DRAFT_645518 MSTKISPFLFPGPVSSIDSLSIIHLKRDLQIPTILKEHDEDDEG YAEGKVVNTRFGSFPHTTLIGLSWGSQVRASKVDTGSRGRRVKGQEKKRKREESESVE PSKEPKLDNDEAAGDASTPATTKEESEAVAASSGFIHLLPPTPENWTSSLPHRTQVVY TPDYSYILHRIRARPGANIIEAGAGSGSFTHASARAVFSGYPNNGEANGSAPRKGKVW SFEFHEQRHEKLEKELQDHGLEGIVQVTHRDVCEDGFLVDGRSPGADAVFLDLPAPWL ALPHLSRSRPTKEACLNVTADESIPFISPLNPSTPVHICTFSPCIEQVQRTISVMRHL GWVDIEMVELSQKRFEIRRERIGIDNGAQRGVQGTPATVEEAYARLVEVEGTFKAFHE TGEKVGSSRRDKANPNNRDKIMESLTERKVYKEGRLVHRTEPEIKAHTSYLVFAILPR EWSEEDERKAREKWEVKIRIEDEEKTDERGEKKMGKKAKRRAERQAQKDKAAAETEAG RKEGNIDDTTKVDVEDAIE LY89DRAFT_684758 MAQLKVHNSLTPGGTVPFLPLEEGKVSWYACGPTVYDHSHLGHA RNYVSTDIIRRIMRDYFGYQVKFVMNITDVDDKIILKARRAKLLELEKSKTYTEPELA KLAATAFQSYAEGNLPELLKDGSKLSPRNYAERRDAAYGKVLAGGTLNGEGKPGDAEA KMKMHLSNLTAASQAIQENKVFGGADEVLLPYLDSLYKDSIDGKDHTIFTKVTQYWEA QFMDDMDNLNVMRPDYITRVTTYVPQIVTFVDMIVEKGFAYEADGSVYFDIAAFEKAG NPYARLCPNSRNDKALQEEGEGSLSKNLGGKRGPCDFALWKKSKAGEPVWPSPWGEGR PGWHIECSVMASDILGSQMDIHSGGIDLAFPHHDNELAQSEAYYCSHGQVHNWVKYFF HMGHLSISGSKMSKSLKNFQTIKDALKNDYTPRAMRIVFLMGRWNDGVEISPDMRKQA EGWETTINNFFTNTKSLIMQSKSAEEGLKTLSINGKSGGLHETLEKAQSEMQSALTDS FDTPRAMRILAEIVRDANKHDSEPGSATDITGLKSIARWITKMVGIFGLDANASPPYE GLGWTNTASNAHLSTKEIVEPYVKVYQSVNSEVERLALHSDSLDALMAEDVDTKFSGL EASGTKDSEALAMPYLIAVSKIRDELRRIAPNSESKKQILTLSDRIRDNDLTDLGVYL DDRSVDQGALIKFVPKAELLAQREEKAAKEREKTAQKEKARLERERIELEKAEKAKLS PMDMFKDDRFSAWDDKGLPTKTKEGEDVPKSALKKLGKEWERQNKLHQEWKAKSG LY89DRAFT_616141 MFQKFIGLLRVLAASSQLQPFQNITIQSSGIDRYYLLTLPPNFD SAVPTPVIFSFHGGGDTANEQFELSQISNPEFNDFAIAVYPNGIKKTWEGVPKVKTND IQFTSDIITNLSSKYTIDTSRIFATGKSDGGGFCNVLACDPVLSTQIAAFAPVSGAFY VKNETACKPETITIPCNPGRTKIPMLEFHGGEDNVIRYDGGGRKKECLPSIPHWIHDW ARRDGLSVKNESMELKGTNDTVVYEWGSGDDEGLVKHVFDSSIKHDWPSTVNSSDNTR TGHERASFNATPIIIEFFKKHQLA LY89DRAFT_733655 MPPNPGGDPPQQIPSYQGYNEEYQEGYQGSQLYGPYLGVGYHTQ GPSYQQPPNHGHGGFSNYNQTFSQHQNMRPPFSPEEARQMAASYHGMPPPPPLPPGPP PHHPYHQSSSARSRGNAPRGRGALTQQSGIQKKKKTAAGDKQLPRSKVRCGWCKAVGH YLCDCESKIDQKGFICGCPICNVPEEEHNLDSCPFRNKRPNNYQYYAGERRHGKAPLK VNFDLSSIVGFEEDKWRPHTPEYARSLGRSHRTRFRYEEKWSDNQRIMDPAWAKWEEE LKQDPDAPPPSLLHPDYISPDSFLPSVSKLPAASFEPEATEDQDNMSTVANYGTSDSG GDDEDHRTYGRQGPSDDIGDRL LY89DRAFT_669120 MWSIHSQVFKDVQAIPRPKRPEIDPLVSLRHSMVMVHEIVEVIA RLGMGNAVFELHSGQHRNGTYPNRLHSGVVPVGIVGACTTGSCGRPLESRRLLDHLSA NGVTTVEDHTNHLQELRPDGERQALVEYQEEIEGAQGEAIYWRNMFETITAPLTIYTQ DHNELTTNAQRLVEEGIERMTFQGAGHQNEQYQ LY89DRAFT_733657 MDPQNKRVLNWLNNQSTSNTDTRQEEDQSPQPSEHNEHDEDASS AWETILDSVTSNMPSNRNEDHDNEDGPSARETISDSVPSNIPTVHTRSVLIGYTTPAS TVSSPGATSITPEASVASEGAASVTSEGVSGTPIPSRASDGESPSVAPVPAAPATFAP APTIPAPTPVQPDLLAQMQSQMQQMQQLLSQMQQMQLGYQTQMLLQQQQQVFATSQQQ QPQQQENQEEEKGAERIQGAEASGY LY89DRAFT_733658 MPPKRKISSTITAARKRARIDTDQLWTPTDKYLESKVIPAEWTA RNRAHRYFKCAPEDLLPEDTYIDTSGNGTTTWDDMRIPNTNWTASLATAFSATLSCTT FHRQPELLRLAIQRAMYLRLGKEKIRRPDRANLRQFQHYKFVHHLIDVIYNKSKPRGG FVPDGVGLVARDLDCINEAWDEYVKSSSDQSLRTIKAYQKQASLSKSKADLPKKSELR DERKELVLRHRELESRYRARQDQDLSEEDTSSDESSEEEEEQEVEAQEESEDDPFRDD ESFGGFPDSPAPSPEPQPQPVDVPEMEVWPQTPLEPLPVDEPSVFYIEHRRHTSRPDL NLRLEDKENFDMDEDQEYVRGASPIGRESWVESGLILPRDLERWHSHR LY89DRAFT_747744 MKLTPNLSRRTSKGAKIDRMEFKDDPEPRSSRFRISERIKSSRC NESRSSLLLPHNPVKKGPLNSDSRDGDYENEQRGKAAKSRAQRKEKYTAVTLTQPAGK VVTKTTSPNNVPGRNSSTARSRAQPKEENGTIAILAQFVEKYLPRPPELHGQLMFVAA ILLLRRSKARPKEENGTAVTPVQPVEKVDSYQYQPNSIANVRGRSPPAKSDQRKLVLP SRPPELHRQPTFVAAVLIATTRFGERSLQSWKDFQQFCCYNGLKKSGQRLDTLRKPLA RRWLLLGGISCGGCPLHRAGGVFFSKTGTPASDNHCTIRTSSILERGAPYLTHADHSY QNLKSISIPQIDRP LY89DRAFT_733660 MAVAGLRYLAAYEVVICVECQTCLLPGRSSQERHLRQPPHSSRG PQLQALLDLLVTYQLQAPNQAALPFPPSPAIEGLRCYDAFACSLSPPNKALDDKDTAL FRELEQDNNRAKRDLVEQASVVQDFSDSRSARVPWLERTDFPSHLAKLKDKEIKSSYA LPPKKVLDAGADAKDDIEEDAAALDLNLIRILVAAKAVLRDAYRLYSDTSSDRKMTQ LY89DRAFT_747774 MPPHHRKGPQLQALLDLFATYELQLPSRVVLPNSPCSAAIDGLR CYSAFTCCLCTSCLTRSKHALEVHVSKAHQQKLAQQVEGSSWRECTIQTFFAEKQHIR YFVVDDAKEAAGASDASIKSLDSGEANFFKLVDEDVAIAEADAKAEANIVHGFDSHRS AVILWLRRTGIEEHTRGLKKDEMHASFAVPKTAESEPELFLMLEIMDEIFTEAYS LY89DRAFT_684764 MSVLLIALICHEFGGNRYSSPLLSFCAMLSVKLYTKTWKEPGNY NSCLSSVIWVVQLIIFHTSACLEKAELGEILGWRLLLFIVSKEVVLTYRDVDLHIDHV PRLLLSDF LY89DRAFT_684765 IVPLLGYNPNVSLNLFGILVVSPVVNLKAPNVSCKLSFNDIDRY LPLVGNV LY89DRAFT_684766 MGWPPAVIIVVRHGARLDAADKQWHLTSPTPYDPPLTYGGWTQS RALGARIANILRTRETDDEIPVFPGAENGGNLRKRRHKVAIHSSPFLRCVQTSVAISA GLAQNPGHIQPKTSGSPAIKATHISGSPRIRPLTSTGSPRLAPIQEPSKSLKANGKST PQEQPENIKKSTVRVDAFLGEWLTPDYFELITPPPSSVMMVAGAKADLLRREDYSNLV HFPDVKTTQGFPGGWGSPVVMADRDRDESPLPSLSSLGAALPRRDRTSSLSSVGSARS MHSHKSGVNLQLAAPPEHGIYMPPIPSYAISNADPIPPGYVAHARDACVDVDYQWDSM REPQNWGTGGEYGEEWSQMHKRFRTGIQSLLGWYTTADDPGRLLTRIPKSPTHQGTTE DEDAEDEDTDLVIILVTHGAGCNALIGALTNQPVLLDVGMASLTMAVRKPTPVNSPIS SPGASPRHSRVSSRNFTISDQYDVKLVANTEHLRTNSTSSIPQASRTPSIAGISAFRE RYAGGSLDGSNFSKSKPGVTTSSAFGSIRRTATIASAVPRSYTPARQSSIGLWSAPSA QEEDEDAVEEPEDDMILNFGDDGANDLKREDEKENPAVSLLDEKIGPTHAVEEKEDDV APLGLWGSPRPPGYAEKIREIRPKRRWTVNERG LY89DRAFT_684767 MKLNNLLQLPLVLASFLAPTFVSAEHTSNWAVLVSTSRFWFNYR HLANVLSLYRTVKRLGIPDSQIILMLPDDMACNPRNAFPGTVYSNADRAVDLYGDNIE VDYRGYEVTVENFIRLLTDRMGEEMPRSKRLLTDDRSNILVYMTGHGGNEFLKFQDAE EISAFDLADAFEQMWEKKRYHEILFMIDTCQANTMYSKFYSPNIIATGSSEIDQSSYS HHADNDVGVAVIDRYTYYNLDFLETQVREPSSKMTLGDLFDSYDESKIHSHPGVRWDL FPGGEPGGRERLVMDFFGNVQNVEVDNAGNATEWKEDILALGKKIAELRKRADEADAA AAESENSSTAHPLFEAYTPQRIRASKVEVDDKWWGKKAIGAWALAGCSLVWLVGTYLE AP LY89DRAFT_718452 MHFIVTLLFVSCALGAPRDLSRRSQYEAVKNPRRGRSVIFITTE LQETVTNTVYQCGLSSSLAYSSMSSPSSESSSQIEASSNAAQYSSSSSSSTAANPDQG SPSTSSVENASTPASTTSSNAAVSSSSPASSSEAQTTSSVPSSSSSPNNAAVSTTTSE PNSPDLSSTAAASTIQSSASATYSSTTTPAPVVPIVPITTLLSTVVDDVTDFSTVNGI LILISTVEDDVTQQVTIEADVTQFSTVQEVYTQISTVEDDVTKVVTVQAQVTQVSTVE NDITQDVTIQDLVTQVSTVQEDVTRFITQQIQVTQVNTVEAAVTQIVTEQVQVTQVAT VVDVQTQESTVIDAQTQESIVVEVQTQVSTIIDIQTQQSTIINYQTAVVVVTAYATAP ACSAVPTIVNGDFETGDYSGWTPGAFDPNYISIGNGYSDGDGIEYSYTGENSIAIQFQ QGRQHDTVPVTLSQTLAVCPGATYDFAMYATSDDYNSEQCFAQICAYPAAGTPLCGRP QHLFFGDDPEYSWSPVQLTFPNTDLSSLSLEVTIGAWCAPQFSSWRNNWDAVYLDSVV VTAGGGG LY89DRAFT_669130 MAFVQLWGQQRTSRSCLNDEDDFGVGVEQLCNLLLGRRSDWGRF VVMWKWWPLDRAGPLERNHEARAMTPDQKKEEQIGSDKLIANKGSAAAQFDLLISQLI CSPIFAILDSKQTEYTEENSRYSPADRKI LY89DRAFT_733668 MRLNTASISLVLCFTFTVSAILALLFPELDFRAAVETLATRLAQ EAEQQFGSGSLEDARAGGPLNIRGNKEGVDLYLGWEKFIHT LY89DRAFT_684768 MPVSGNLGAPASASLLELSNDQLFVAFISSKSPTTNEAWCPDVR AVLPILNAAFSGPDTPELAFIEVGQKPEYANVQAPLSTC LY89DRAFT_747791 MSTNNTPGTNVPTPRIVPFRLALPYDGDPKPFTQLTHEIKWTPS PPQIGTLIEDMAYLPNAKDHDLEEFLRDLLKAKCSVDIGHREESGQCRGRLALDWQCF DHNEEWYEGDIGKDMREIFRRMFRLGLRELVLVIGQLEVMELVKQQDPKSFILVRPDI DGTYAEFEDDCYEWRNFLRKSNMEPWEGEKTFQEVEEGIKRAIAGSESAWRDFCGPEI GRMDHETFYRFYKKWICGKGTEEEALKIAFMELREA LY89DRAFT_616153 MSNSEVESPWYAEFPEPKATATTITRITLLSWMTEGKLAGKDFV LVDLRKADYEGGTIRGSINLPAQSLYPTIPSLYNLFSSAGIPTVIWYCGSSGGRATRA ASWFADYIKHQNDVKMESDILEGGIKGWAKAGPEYVGLMNAYNPSSWQ LY89DRAFT_747795 MSTGDSPQDTETQRVSGSLVTGFQVSSSSEALTVDFLDTTFTFH AQWLHDAQVDAGPSKDAIDVFTQKGAVARIRNTKLSGQELRSSLDVTWDDGSTSCFPT IWLRAFAPLVAKPHDSEQKTPFEASRGWLPTTLKILEFSYKDIFPKDPYSDTSNATKE QIYDAILKKSSAGIVKVIDLPEPNLEDERQKENTFVMRVLKQLFGSVFLHPIRGTEKT FNISSHHEEDAKRGANLPNYNAIKALLPHADHAHYIHPSRVQGLYALEGESQNTFVSC YAALETLNSEAPELVKYLKSVPMVIGRVADFYDPPLYQATVDTAITMEPGMPDHVKRF RWHPHLAGSLLSPYDTFAEARTAYRAFQEIMRRDTHQLNVLFKPGDLYIWDNFRILHG RERILTTPRTVVGQTVPEQVVDDAYRVLKMRRLKGFMDEKWLVHTPLQQLEEMVRLAE T LY89DRAFT_747799 TVSALVLNKIIGTGIYTSPKIVLAATGSKGISLMLWLLGGVMTW AGIFIYIEYGIRWPVTGGELYYIENIFKRPPRLLTYIFSSIFVVVNGLQVNALVFGQE IIVASSPVNAPINQNLQKFFAIIIATFICQLQAYSRFLYIKLGNTLAVVKVVALLFIV ICGLAALGKARVTGANEIETSYGKADLSNAFASGSGNPYQYALALLNVMRAFLGYENA NFVLEEVRAGPPGDERRIFRRAVKASVGICIFLYVMVNVAIFTVCTTEEIINTPNTIS LFFQKVFGVSDHAHVGSAIMIAISGTGSMIASTFANVRVKQEIGRLGVLPIPEFWSKT SHRRTPAHSLFLHWIFSVILIAVTPLDNAAGFLIMSTFWTYMHTYIGIPLGLALLCAP WISAFNFEGGRVWKPQSSTMGWWLLAPLTVIYVASNTFVLAVSWIPANLQQTTHSTGS NLPYFTGPVAGLGVIAFGISWWCWDLHVLPFLGYKFWTEEDELEYSEEWNVKVLTVHF HVSIAFSMFYDTNRVETDSFAASA LY89DRAFT_781965 MSVCHQSHIDRCEELVGKLEQILHDVNGTHDTHDVQPEGLRLED DMKRWTEALKIHIDAIRQKGEVSQHNDSSGADTIFSVLSNGMDDTIDLIRDTATLPSL QQTTRMLSDLRMRVALHTESTTLITDHLDRWSFRYTPNAQMELSKMVTAYFNQKANLE ARLKDAFRCNSFALLANHKSTSQDKCGGLDFSPGNVLVVPDSKSGRYLESLKAEAAVS LPDFREDTAMETVLRVSIYLLDVLYISLHGTRAGAAHEIKTSKLHGDLYKCAWIVHNL INYYASRTTNAENFEVNQIVEMVLEKLTRAFVTYDKIARASVDFEPDSLDLSIRDPFA SSISRKKKVLSLVINTLPKVDSRLLDFAEPKDGPAFTSSPYSSPHAFSRGKGQASLFQ ADAAPHSVGIDEPFELLTASFDAEQSLENQKWIPSTIRITPELSVVAKFRVRICQENS LIREIVVNAERAEVVPNYCFRKTPAVLSFREGSQSSSILFSTKSEIADLTDLTSCFVE DQFESYFPSINEVFFQGRKLSSSIKLLSPTAQVWTVADPGRRFYPVHAHCSQPIVSLQ QKDAIEAIADQVEVSRILFFGGSSIFSVLVTDQTQLTRSGANSVTVSCNSRAGSSLPI TIKKGTDARPAGIPMTSSARARDKTAESKEFSSISIMFPGPKGADEFEEAYQRCNERW LSKAARLKAQRNLRRDPIIRSKSHFH LY89DRAFT_684770 MNSTDGEPTQDEPQDSVTTSEAHQADPSEPLLDAFIDHAGLHSA LDSLDAANSAPPRSVTYLNGLALVIGFQIGSGIFSAPAVVLSNVGSPLTAVLVWFLAG VLVWSGASSFIELGTRVPQNGGIQEYLRHCYGDIYGFLFALIWILVSRPCAMAMVSLV FAEYLFRAIWPGDDPSVWILKITAFAAIICITYLNCIGTHYGIGAANFFLVLKVFGLG SIAVTGFASAFINSGSQPNPQPNTTMLISESFPIEALTASTWLWTSLGGFADATFAAL FAYGGWEAISFVIGEMKEPHSTLPKVLNSSMAIVVTLFVAANIAIYNTLPLEILEKTN AVAIAFGMKALGRPGALFYAWIVCLSCLGALNAIVFSAGRLTQAAGARQYIPSFLNSA NNSKATRAQSPHIHARRNIPNDDKAQNVPLNAMAFNATVASMYVLVGSFRGLLTFKGM IEYVVYLTTVSGLLFLRFRRPLDANTLGQMYLTPIINPIVFCSVASLMVIRSAIAHMI QALIILLILGAGILVYRSRWWRSLVAIRPADSV LY89DRAFT_684771 MEISASEYFRVDLQDQLPQQEIVRRQEIKRWLEWQFDRWESDEQ HLWSAIPHANTLSFIGLNWSSILPKLRHYINHKHVAFEILSELQLCPIRASIVNSYRS RHKRPPDTWLYSQYRQYGIRIQDFGETIQKYHIPFIDVTGNPDVPYDSHLKFRNTSRY LMSRMAPRPFSQGVSSTCRLIIFPQTRYSFIEDEMFHSLYPLPEEQAKLMAHKLLHRL QGNSGYFNALPNPYTDSFTQKTYDGRSVRAFQYFAALYPVIEFQFVDDYNNRKATEKF ITSIQKQDLKGFPTISQGRYSRKFDLAEESKFRSTVLHGDTCPERIQSARALFEYACG DHDTLKLDARQTSLLGDFEASISIEKRLIVVDPLWILIFPESDTICAFRNESMDDPFK EIWGDMNGPQDMGHLLIQLVNNADKCMGSYESIYRKRLSSLQFQLHSTGDHHLVKLLS DYVAELAILLDPLQSQINTLKHWYNCQESIWRQSSALTRDMFENAIKTREIHLESLKR LHERAREHQALLFQLSNTEAAYLQSQVAIEMAKETKLQVQLALKMAIQNKSILIFTII TVIFLPLSFFTSYFGMNTDDIRSMEKGQWFFWSVSAPLSIAINVITFLIAFKGRIRET LSKPFRRRNKMIEVDSLSKVDD LY89DRAFT_547698 MAPNSRPPLTSRIRASFDGKRTKSEVTSPTHTNGFITQDPESLR SAIDEAINSETFQKAIAANLAKLIKPSIKSALDTIQPVVEAVYSHELLLRKTNQSVED ILLRLDEKGVTASRRESLVEPLGPEPNDGVPTAPADEGDDGGGKTLTQETPRAVTTSS SDLAQHKKLLEDNHAKTTSSLAELSSNVEVSNGKIAEALQGISDVDTKLRWASDSIDS LKSSSEQSHTTMSVLQAQLDQLKEDIGQLMTAVGSDLGKNVQALSQHGGAQDTSLFDA HTTKLDAISTDIAALKAHSDTVEKIDAVSTELASLKTSVEAGIASNSEGFSGLGSQIS TVLTTLEGHTGTLSEIKEKAPHPEILSALQQSNDSHAAHAIALGEIKERSGAAPTPIA TDNGSSDSTAALQELKADLASLRENIEAGLTSNNENVTSVGAKVDNVLSTIEGHKASD PSADILAAVQQSNDSHASHTAALDELKSREIAPAAALDTSEIDARGQTHASTLDEIKL ASTTHASALDELKSPGIPSMGAVDNTSFAALEIQIGSIINTLNVQTAAIKELRPTSSE STEIASPPEGSEGLGGIMTTVVETLEMHTMLLNEMKEDVSAEILTTLHDLSQMQVNQT NLLTEIREADLSDEVLTLLHATTSLASQDMHAAHATSLDEIKSRSIEPSAASPVDLSG LETQLNAISASLEEHKTMLSNITDASLLSNISHTAHTSILSDIKDASTASNESHSAHA AALSDIKDATSSWNEAHSANAFTLAEIKDATLASNENLSPIEGHLTSIISTLEGQSSS LAEIKEASSHEDILANISDLKSIISESHAEHGILVKDLHTETKDSHSHLAEAIGALAL GGAAGAGTSVSMDDSSKEVLSEVQAMRVVLDGTKEKVESVAAQIDINHTTVTTSITTL SDELKAEIDATGTHVTDHVLSIDLNPLTQSVKTVESKLEGLESSVKQTGSFVVGLYEG VHLNDTGVGQLQE LY89DRAFT_684775 MSPSSSSTGTQINQTIDKLPEAIADKLPHVPETKQQLKEELKEA EVKAFAGLRSLAAGGFGGVCAVIVGHPFDLVKVRLQTAERGAYSSALDVVRKSVARDG LRRGLYAGVSAPLVGVTPMFAVSFWGYDVGKGLVRRFSTVENDQFTVAQVSAAGFFSA IPMTAITAPFERVKVLLQIQGQKQLAPGEKPKYSGGVDVVRQLYKEGGVRSVFRGSAA TLARDGPGSAAYFATYEIIKRKLTPVDPLTGKPSGDLSLVAVCTAGGAAGVAMWIPVF PIDTVKSRLQTMEGKPTVGGVISGLYKNGGFKAFFPGFGPALARAVPANAATFLGVEL AHKFMNKTFG LY89DRAFT_669142 MKLNTLAFTFALLAANILGAPLDVKREPEVADDTFSGATWFKRE VADESLAVAWLKRDVSDDTFAGGSWFKREVADDDFAGEVWLKRDIADEDVAGGVWLKR GVADEDVAGGVWLKREVADESLAAAWLKREVADEDVAGGVWLKREVFLPGAAAMVKSK LY89DRAFT_669143 MSSHEYQSLQEPLMLEEKAGLDDRPWTPATDSDHRKGGKHQRSL IVSLIILPWLLFLMLGPVQHLLEYQLTYFHTGVDDNLSEYMGEPSHELDANWSSLYPS VYQLIPKEEAEKLYAKTMIFPHDKERRYVIELDVFHQLHCLNMIRQTLRPDYYKPYVP GEDGEDLLFGHHHIDHCVETIRQALVCASDVTVYTWHWDYEVNAMRNQFATPHTCRNF EKIREWAAIYGGEELFDDTYREMNDPLDPATWVGGYSGE LY89DRAFT_718461 MLLAFPRPRISVGRFTQVALVMFVSCSVFFGFRHLAAQTSFGSD ILASFDLSSSSKDGNATLLALAPSYINAIMNPNDESFSQAECPKLKAG LY89DRAFT_62824 MNFLGPEKCTLSIVEGHSTDGTFEILKLLRSTIEQLGASYSFVS SGLDSKVGGRIEILAKFRNLALEPLMGSSNLDKTTVVFLNDVAICSEDILELIHQRVQ QKADMTCAMDWTYVGNDPTFYDVWIARGMTGDSFFDIPEDGNWDSAWNLFWNDPMAQS RLNNRQPIQVFSCWNGAVVFAGEVLKKIKFRRSGEVECYQGEPKLFCKDMWYHGYGKI AVVPSVSIIYSDDDMRKIKALIGFTSDWTDKEREGQQIEWNENPPELVKCMRNYQDQT WVPWNESLPLKSF LY89DRAFT_733684 MTQSSSGSMVQIPVDILQSLVTSIDALRHEVVNLRQERLTLQFQ TPNPATPASTITTQSEVPRDDTTEEYGKTFQCFNKLPPEMRDAIWRVAAKAPRVVTAK IAPRSGPESSDTVYAPSALPPMLSVSKEPRKVALEVYTCFTDPETRLPRLYMQPDIDT MLLLNRDFNRVFQGKARKMWRKTPKFKHLALDWKFCHELLLHANDDRVIQGMFNVLAI TGVKVVSIVLGDIDESVENVAFGSPRGCPEELRCRNLQAFLIARRGKAVGECSWRTIV FLISEYMQAILDRNLSVDRITASVPRETDNALQKYANGLWVPPRFRYVTIKGQEK LY89DRAFT_733685 MALSTLCLMKELMSSHETSLLSQLKQLHRKRVRQLFFVYGCPDF AESWKCRFVDPRTSTQAVFRRFGSNKVSSYARLKAIARRRGFKNDTKLMTWEIAEKTT IVLFEEAFQSYLNKFFLSTNGQTPAALLPEYISMSLDYQTKFWNLESVKFVEVVID LY89DRAFT_747811 MDEGQKDASTTSLGRGKGTSSLGNLTFVGLRSADFFLQLYLLRQ YPLITSGSSFLGLTPYYAIVASLALITSVRHDFWKLYTSDQKASVSFAITVSAFNTIF NSLNIATSLWSITSNAPAIEQGMFASSSRSLGLGLFILGSFCETFTELQRKAFKDNPN DK LY89DRAFT_684777 MSDAIPATSASLEASIELIMPYADFYHEVTVNQPRFLHRLMSGS IENILLIIPGSSTSDDFIAPTKSPAEALDNELDFMENTEGGESRINLATADWAKMDAW ADKMLEDLKLMAKMDVRRAEGGDGDVDERTKEIVEWVAPEVRFVEREAMSGSESEGAE PGEGMEVEQDQALPPKSGPESSIDLAFGQSIARGRRKTCNQKAR LY89DRAFT_645547 MFSAFPSWVPRSSTFVNILLLVTSFTTATTLGYDSSMMNGLNIS PSYTDYFSLTAATIGLNSGTVWVGSVIGGIAFIELPDYVGRKPALFHSAMIAIVGVVI EAAAQNIAMFLIGRFIVGFGSGGTYVVAPLFLAETLPNKYRALGLGGLNDLYYVGALL SAGITYGTAKMDSTWAWRLPSALQALFAIISVLTLPFVPESPRWLAYQGHQEEALNVL AQTHSNGDTTDPAVQLQYIQIIDAIKFERELESPSIKSIVARPSLRKRMLLILSIAAF SMLTGSNIFSYYLGTVLDNAGITDSTTQLEINIILNAFCLVLAIAGNFLADRMGRKVL AAISTFLCVVFLFIIGALTKFYGTSANQSAIYANIAMMFLAQGSYSFAWTPLFAIYPP EILNYRIRSIGLSLFTAWESMFGLIPVFAFPIAIEKLGWKFYMLNGAWDVFELIVIML YWVETKSMTLEEVDELFDGEVHSKVLGISGLLGSGSPEDAKEVLAGIDIDEMVEPNTT EQSKELEKRNRKVEINAA LY89DRAFT_684778 MVRVGPPTGSVDSTSSTSPTCQILIRHELIRLEKRLVWAKRMVQ RRHDLGYERRDKEMQLLVQKVSHLESFIRDRFSLMRALLPEQQRQDQIEVRLEEFETL HDDLAFWVDVADRVTDTRPRAGRWTPHQNRITPLEHKVGFQRLALLVLMDAKEKDEWN GKVPI LY89DRAFT_645551 MAQESTAEPPRPKLKDSWSSLQDDYELRNVQKFRDAEQGSGLFG TSEDNRTTTLNDCPPPLHVPTRTRNLLFNIGLGIAVLDLCVMPIVYFYSLTFGAKLKR QTVFIVITCLFCMMTFAHYTHRCFRLMLKCSSRYGPIGWQRKWRLLEFTNVNFALCAS IFEIVLVIGTSPESPLLRICTLPSAIICYYLGILFLLTSTLTHYQVPIPFEMSSTPKG APFRPALLAILEDSGAIEYRGEVPARLAVLRRYEASPLFRRMIQRLSWFWGVGFLVIA IITTILIFSLEEEVAFGVGWGVPYVWATIYAIGTIIFVKASLREERRVWRSNLGITT LY89DRAFT_616169 MGTFQYGLDYALVGGFLSMPGFLEVYGYYSPELKKWNIDANDQP TVQQLISSLMTIGTFLSSLLVGPLSSKFGRKHGLWAAAILNAVATTIMLSTTSIGALY TARLILGVSVGWFLTFSQLYIHEAAPAHLRGVVFGFYQIMLSIGSIVGASVDFGTHTM VSKRAYQIPLSIFFVAPTVQSIMMIFFPESPRWLMVMGREEEAESALRRLRGGGIQER ELRAELGEIRGSTREQVEGGRGRGWVEMWRGTNLRRTVLCVCVVCFHAANGSSWVNIY TTYFLTIANVPNPFSMSILITTLGLLGCLLSLTFTRSLNRRTILIVGCSACALCQLLM AVVWTVRPGSEVAGKCVVAFIALFTFFYVAYSPTAWLIGGELPNNHLRPFTFGLATAL NFVGNWLGTFTAPYFINPAKLGWSAKYGFIWFGTNALLVLFTVLFVPETRDRTLEEVH EMFENRVRTREFRTYVCVGVEG LY89DRAFT_684780 MIAADPDNQLRYKPMKYGGSLDHLEFVEVTPIIGREYTHAKIKD ILHAPNAEEQIRDLAVIISERGVVFFRENQLDLSVDELKEFTDLVAKSSGRPKENGLH VHPLYRDPGNIPMGNGETDENIYVINSEAAKKLYKTMAGKDSKEPKNLSREWHSDATF EVCPSDFSCLMMEETPRHGGDTLWCSGYEIYDRISPPMRAFLDTLTATCAQPVFRSAC TAGGYEVMSPRGSPLNVGDEFAPVHPVVRTNRQTGWKSIFAGVGLHVTKINDVYEYED RMIREYIMRLITVNHDCVARMHWTKGAAAIWNNSCVWHAATPDTHLVEGALRTGIRAS SIGEKPYLDPASTSRREALGLPRA LY89DRAFT_747827 MQLTQPETQPFPYTKLSANPPTIRLLHLKRTSIGEITGSLEQFP LETAKYNAISYVWGPKTHSRLVKINNHPVLFFENLYQILEVICSDEKLSKCWWWIDYI CINQGDGFEVETERNSQVAMMKQIYQNASMVLGWLGPGDEETERGMMFLHVLKKNRNR LNKEKNGKERVLGVELEDREKWKAVENILLRPWWDRVWTLQEYIVPRNFKFYCGKDNI DRGDLKVAIYAIYLSLAIDASLMAKKAYDRAWIRRRLLMWYRECLPMHLLGLIAYVGD CRFTNPRDRIYSVLGLIDDGDLVGQPRYGDDVSKVYTDLVMRFAEKYKSLDIVCLADR FNWSMVKANPMSRLPSWCPDWRAEDIPWVVPAMACQSSGNIDNFRPTKSASKQREKEA TYAAGISRRSFQVGVSEDRKILSCQGIFIDYVDGIGGLRVARKRKDDGTDIWEEYECV QSSTSKNIPLSQQGTNDNHMTVPELKSRTANRIMWEVTRCLMLDRKDRYLDQTLSPGF CHFRDLCLTAVHTPENVSRQFFLDWFKRNRQLHIQGHSLEDICKESLEVPSDENESFP DQETFLSRFQDSTRRMSRRFMTTRNGNTGMVPCRTEKGDEIWVLHGCRIPMVLRKADK EATFNVVGECYLNDFMNGEAFNLLDGETTAKLESIRLV LY89DRAFT_645557 MYQATPKQSAPEPGPQAIHHEWRTAENSATYVQSKLRSMKELKP NLTLLDVGAGSGTISITFAKAIPKGLVTAIDLNPDILTRAATVADSEGVTNIKFQQAD AYKLPFADETFDITHCHQVLCHLKAPWGVLREMLRVTKPGGILAAREGDLETECVWPE LPGLLRFHRLAVDIIKIGGGSTNAGRQLLSWALKAGAKREQATATFGTWCYSERADKD AWAQGIIKMVGAGRVHDLALKMGLATEDELVGMGKAWQEWQETDDATLGMLHGEILIQ K LY89DRAFT_707217 MTTAIPSPKPWADTPLAPIRTPVFLTKKHDLWTEGASHMCMMHN SLFRGYNTIYHQACHISDADKSDFIGYCLTWHKFLKAHADNEDKSLFPRIEEQLEDKT IFVESHKEHDAFMPQVENFHTYLASLPTPSSFSGPALLEIMSTFQSPFEAHMRAEVQL IASLASHPKTPAPGSKLEKTILETNNTKEGNAFVASGFTDVVPFFMFNFEGDYEDGLW KDWPPIPGPVRWGMMGIANMLHSGWWKFAACDAKKRRRELYALAG LY89DRAFT_62953 MTQSSTGTAGLLQRQLKAMQSAKDLPGISCGLVNDNVFEWEVML MISDDCKYYGGGNFRCHLSFPQDYPHAPPSLVFKTPIPFHPNIYIDGRLCISILHPPG DDQYGYESASERWSPVQTPETILLSVISLLHSPNDESPANVEAARLWREEKKNSDKEF RKRCRKIVRESLGED LY89DRAFT_645565 MATHLVYRLTNRGPKCTIKQVSERRPTPDKHEVLVKIHAVSLNY RDIVIANGTWPFPAKDNLIPCSDSAGKVVAIGSDVEGFAIGDKVVAAFDVATLYGPLK DWNHGHGGPIDGVLREYAAFPASVLVKIPSESKLGFPQLSTLVCTGVTAWNALYGNIP LKPGQTVLFQGTGGLSITGLILAKAAEATTIITSSSSAKLALVKSKYGVDHTINYKTT RNWAAKVNKITNNNGVVFILENGGSGTIKQSLECITAGGIISVIGFLSPAKQKDMPDV AGLALAKGVVVRGITVGNRNMLKDLVRFVAARGLERPVDKTFGFEQAEVEAAYEYLKS GKHVGKVCISLE LY89DRAFT_684784 MGEIENVCGRGPKETIQLDVKWQKTLDKAAKGVVTVKFCHTWSF DAEDACSSEATGFVVDAERGYILTNRHVAGPNPFWGRCIFENHEEVDVHVIYYDPVHD FGILRYEPKSIRHMFVSAIALRPDLAKVSEEVRLIGNDAGEKLSIQSAVISRVDRNAP DYGKSTYNDFNINYIQAAAGATGGSSGSPVVNIDGQAVALQAGGRNAAATDYFLPLDR PLRALECLQKGEPITRGTIQCQWKFNPFNECQGLGLSSEWETAFREAFPNEVGMLVAE RIIPEGPSDSKIKVGDILIKVNGKLLAQFKNLDDILDSSVGCIIDVLLQRRGEDVEVQ VEVADLHKITPNRLVSVAGATFQDLSYQRARAHGVACKGVFLCNGQGSFFPIPDNRLI QKVDSKATPDLDTFIEVMKAIPDQARVVVTSTNLNDPLTPQFHILCIDRYWSKKMRQF VRNDKTGLWDSTDLADAITRLPPVPRTATFVELRGVPPLIADIARSVVKVKCFAHQEL DNTWTEARPSVGVVIDAEKGVVLVSRATLPHGLCNISITIADSITVEGEVLFLHILHN YALIKYDPKLVIAPVKSVKLSSKPVEQGASLYFVGFKNNNIGGPICTRTTVTEVCNLQ LEPNSDYPKIRAMNSDTVKVDTRLANDCGSGFLINDEGIVQALWLTSLYYNGDSNAFH YYGLPSSTVVPIVSRVRKGEIPKLCILSVEFEGITMSQAYDMKVSTEWVNKVEVANTS HHQLFKVKKRTIQCEDTSRSLLEGDIILTMNGNIVTRISELDIMDDAETVDVRVVRDG EEVNLKLQTVLSDDLETKRALLFCGALLHAPHHDARLRVGKPPSEVFVSCILDGSPAR HYGLHTTMFLTHINAIEARDLDSFLEAAMAIPDNTNFTLTTRSLSGIEQVMKMKRCDH YFPTMEYIRASPKWIARSI LY89DRAFT_733700 MYFNLNSTTVYYYSLIVNGTSYLYDVTNPNQIAITSANGDSLLV NSTGFYIFGANCNGALAVNIANFTQQLNQLSNTTSVSKRSAALHKRRETSFTVDAVIE DQCGNPVTDMNPDCDVGTSPCQIQQAISGSFAWTCQFPGANAPETMCENSVNNALNYV TQGVGGQLTNIGGAAGFIAKFFPASVIGQLISRLAVGFAGLAAAEFIIDGLAALALVE IAIAAVGQDNIAYALCTIQHLDDPPLPLLIDTSYQQVTLAVIDSAPTPTFDAGTVSIS DPASTGCPCVPGVCGSFNVIYSPNCGEVGDCVCVTDANGQGVCVEDVYCDSTTACATD ADCPGGACWVNSCCGGAICAPLSNICSPSTKLRRNELPLPREHEKRDGGCLSGALCP LY89DRAFT_669161 MELCVVEEIEESTRELDVGDLEDVLVIGDEVGATFKLDELVLDE LTSLELLKLSSKEEELESTVFELVERLLVFDELLNAEDFPEDDIGVEVFDDCDVEIVF GLEGRLLVLAELPMVDTFPEDDKELELLEAEEPIEITREFVLVTFFEEDVLVRVVVGG MTTDRQEHPLDTRDDGY LY89DRAFT_707221 MRSLAAALLLPAFANGYKTFKTVCSKPTESVNYVSSADTRSTLD ILWSCVLTIIACTWSVQHLNVPEQRERRNPGWIGNIKWALKRTWTSTKWMLITIMAPE VLLVKDWSGRHLAKNNSASLQEFAMTDGVPWSLSHTLFADMGGFVLRSYSSERLHKET KTGHPYKSTKSKYSQPFHLTALELSKLRAAGFLDRLPYMTEEELLDKSKGDSFVRTIS LIQILWMVIQIIARGFRHLAISQLEIGVLAFAACAVILYIINWSKPKGVQTPITIMNF EGEIPDEVITIIEKQRAGNDIILNILGTARDSTEIRGTHIPNDYVYDPDLPGYDDNQE VWAMIAGTLIFGAIHLAAWNFVFPSRIELVLWRCSSMICTFFTVLILVSAAPDVFLDR LIPDRTTKFSFFLMKTAMLIYVVARLFLLVELFRTLCFLPPSAYIATWASNIPHLA LY89DRAFT_781987 MHLSTPLHLLLFLPALVCAIASTSIKQTPVQDPTSTLSSSTSTF HTLLSSSSSTVKTTTPTLLSSPSSSLKTTNPTLTSHSTLTSTTTSPSPTATNGTCLNP GTCGTFTIYPSSLCGPLARCTCALTSSNVGICVQDVLCAGATPCAKQSDCPVGDVCWV QNCCGVSICAPVGTGCSNGTVVARRQGGTGLGVGGIGGSGLGLGLGKRCASAGGCL LY89DRAFT_616191 MASTYALPASAMTHSHGHNGHLHSHSHSHSPSRQYSASTPRTLK TERSNGNLHSHSCSDTNVDLNHAHSYNHSHSHSHSHSHEREPSYSGYPPPILSSNDLP PTATLEKHNYEVSPAASQIDSFEPLNSANVIPHDHGHLHPLALQEEPRSRFTSFILPL TLPWPLLHIIMANMDSRRIFYFMCLNFSFMLVQAFYGYLTDSLGLLSDSIHMFFDCLA LGVGLFASIASKWPPSERFPYGFGKIESLSGFANAVFLMLISIEILAEAAERLIDGRE TKRLAELFVVSGLGLAVNLVGMACFGHHGHHHDHGGHSHGHSNDHSHSHSHSDEKSHS HLDCSHDHDHDNMHDHDHGHGDHSSHSHSPLLMPPSPSKEKVHVHTAHSHSHANENMH GIFLHVLADTMGSAAVMVSTALIYFTKFNGWDPIASCVIAILIFFSSIPLLKSSARKL LLTVPDEAEYTLRDTLAGVSDLRGVQSYNVPRFWMGENTGSENATEQVLGVMHIIATR GSDLDDVKERATDFLRLHGVDVVVQVEHSHETSCWCGGAAGSRSPSLY LY89DRAFT_697098 MLPSRYVKHSPPKATSIASATEPKISAQVLVLGDIGRSPRMQYH AASIAKHGGRVDVIGYQESSLHPALVGNPLVTIIPLPPPPSLLRSNALPFILGGPLKV LWQIWSLFYTLAYVTKPSRWLLIQNPPSIPTLFIAMVICFLRNTHLIIDWHNYGWTIL AGTRGDNHPFVRISKYYEAILGSWAPTASFTVTHAMQKQLRNEPYNIRSPIFTLHDRP AAIFQPVSGTEARRAFLERLPETAEHAEKILAGKTRLLVSSTSWTPDEDFSLLLEALC SYASSSKPLPPILAIITGKGPQKQMYLDRIASLTRDSKLQNVKILTAWLSAEDYATLL ACADLGVCLHMSSSGVDLPMKVVDMFGAGLPVVGYGNYESWSELVKEGVNGRSFVTSA ELAQVLGELFSDPEAKELSMLRKGAVSEGKRRWDEEWDEVAGRLLGLCD LY89DRAFT_707224 MAFLDGYLADISQFLKTKDDEKLQNYLRVEPPLPDDFTQLSLEL KKSWRDSSRLEKHVERLIPMSDDDKADEGGSWPGFLAFIQEYLEYWRDVNFDDLVRTH DQLSSLANSCITAMSNATLGIVLLPATIQLCTVLAKLATMLDKRPDLTSNLNTITDAE QGERKTLVEATAELIQRAFTICLTERTANRNGVSRDGKPEGKKVGIYSFANMVLKLLF QCRKTRLANQLFTNISANSPPLSLYPASHRVQFLYYLGRYHFNNNLFFYAQLCLQAAY DQLPRLATSHRRAILIYLIPANMILGRFPSGEFANRPEAADLLPIFMPVVAAIKKGNM VAFKHSLGPQLGNEKWLFHHGVLLPLLSRCEPLVWRSLARRVFLLTYTFPWDPTSNKA AVLNLSDMLAAAQLCQKLLEGWIRPIDNMTEMQNGRVHPNAMFMKRPSLAPPPEGRKK LSPKQGIIFCNKMPRMLDVESIVAGLVAQGFLHGFMSHAQGKFAIIGSKQKNGPLNAG FPSPWEVISARAKSEKRDEEVPGWIQKERKIGMGGVVNLSGIARPVGSGG LY89DRAFT_684790 MHPLIEVGLAYVVFGTCVVLLSPFLLLLRIGKKHQSSRPATAPR SATAQTPSTSKSTHFTKWQYTKAVSTSSQAAL LY89DRAFT_63037 MSIGNTTFHIQLQEGRLRGVMCTSLTPHLCNGCGIGRACRVQDT LSPVTTKTYNALAVLQTCHVIYFETIAILYSQNTFVVWQSDCIEFMPRLFLPQRIDSI RLLRFTWTYLGDPPLQADHNIKDRRVRFRNTLWTMVWRNISRMAGLQDLRVTLRVSGI HWSSLPPENAAATIEPIKNITGPKIFQLIVPDDIGAEKAPWTKLPCVIKTVPSATQYS NPQL LY89DRAFT_63047 MRCGPNRNCPCLMLMVAIPQTANASMLYYAQTLIADFIQSAAQA EYASVSVSGCSTFTSVYPSSQSVLDSGAYTFCSCGDDIYGLARGVSGTSTTSWCKGIE ATPSGFTQVSTTTHAAKTATQQSINPSAVASSILVIPPPITTTTSTSSTKNPGEPYSL TCAAPFC LY89DRAFT_747835 MAATWTDMCPPSPQFTEANMSDQFGRVFLVTGGTSGIGYETAKT LYHLNGTIYITSRSESSALKAVESIKSSLPHSSQVIKPGRGSISYLQLNPSDLRSIKS SANELLKKEKRLDVIIHNADVMLPADPKQKTEQGYHQLGINVLAPFILQHYLTPLLLS TASLLDAKAFSTRVIWVSSSGHRASPAPDGVAWDNVNLEGTDKKAMNVMLAYEFARRF QDNGNRILSLSLHPGALKTGLQGNLPRWFYAIFGRLRYETRMGALTECWAAIREIDIG NGEAGLLGNKTRGENGGYVVPWGRWGEGHEGVMNGLIEKGTGERLWGLLEAILMEYM LY89DRAFT_561096 VDQKLLTLLHFNLVRALTELVLILRLDPDKMNDDIESPWIEGSD LAVENLPETMRPTRLQREIPHHPEADMFPFPEYRDNLILAGKEVDDVELCMDILYGVD PEEIRGSASGRTGLIVWDDPWLQTSWEVEEGFARKWKRLVGNCGSLINSTNYWRRSRG EKPLLLD LY89DRAFT_733712 MNSTTPNTSTSPWPDLKDNLPTGSTQQTRASSSATSAHPRWPNP EASIGIPCCSLGSQKCWEVAGPALHISRTILRDIAELLNQHSDYLHNVSILFSITFGV FMIGSNEHDASPTLVISTERKVTRIRCLNIVRRSGVLSRFPGVLLGSSSHSPTSLQRI QSRDTLSAADREFVFFSPSNTNDVCGRSIHVMERSMPGNLCPTSISQKATIGGFVRLR TSEYDYVYCGLTVAHAFKDGTYFPATISKMDFTFDGETPHSQDDTGDIMMSDGPESQS LGHRSQICNMINVPPQDKTYSNLVESMSIVGIGNQLASSLNGQHPDLDWALIEIQRPH YEPANVTSIDWITGECQLYVERVVPSIRDTVSVLIVTSVGTQTGFVSASSIYYKSHQG ASSEEVYVVRLDGRLDSGVTGCWVIDPESGDLYGHIIASCPEARTAYVVPAYRIFNDI REQLGGTLELASRTRTDADSTSQMLGMNQSDDAVIRQLQIPKAIFAEMAVETEAMYQA LIVNPSNLKPYIKKLSPYSWHDISDKAKYETMIRLSCSTNPRAQPYWQKGKTGHSASN LPAKWFLFRRFRLAGTSQQRSFGEAHPEDLDMMFVGRPVSRMSNCSGMSVLTEGPR LY89DRAFT_669173 MSNAVLPTRRRSNSRSYWQNAKPTPSINATQNVQPHPSILGLAL FIDAVALTLPTVLVASIILEMTTTVLVTLLAGFVLNTLPVLETLPNTAPTDLAGTTEV KLSARAKTLFLFEGDTGATAFVTVGRREVDDIERDEVEEVRLVLVTFEDNAEAESHES FEEDEEELEMNWAAWVDLVLDTDDDGERLGLLELKTGTVELVCMVPVDVSFMLELVDV DFRLVLGTLLVNLVELTRDICREVDANVAAVPLDKTSSGVDNKSCALKPKLNIDVEDR SRLMLELVPRTITEVKSEGSTGNTDEDVRVGATPRVELNNRSGKTLELVTDKLRDVVL DSRNCGVDDEVREEAAPRMEIDTKLLDDDDNIEAGTAAVVKLENVEIGVDVPLGTELN SDKTGLNVEVVATWLVTEIDVDINSTVVIVAAATRLLLFDISSDAELEAAVVELALGT LV LY89DRAFT_707226 MSRTVSKLFMVGLCLCRGVATSTYQLDTKYAGTTFFDDFTFFDI ADYSHGFVNYVDQSTAIEDGLINTAANGSVNSVRITSNKSWTHGLVILDLAHMPGTDC GSWPAFWMVGRDWPNGGEIDIIEGVNSNTVNQVSLHTDDNCTISSNPQLGALINPNCA EYQSSGCATILSSSSYGTPFNALSGGVYATEWTSSYIRVYYFPRSSIPADILAGSPDP AGWGLPQADMQGNCDVDAHFYEMQVVFDTTFCGDWAGVVWAYDPVCSLKAPNCQTYVA GNPGAFEDA LY89DRAFT_781998 MSYGSVATAVTARVRATFEMLAPDSLMIYQAAGKLAITFAEDRS GPESSTDILAASLQRGCSAVPNTRIHLEFQDMSAQQIPNLLTLRGGPRSRGGRARGRG RGGPHSGNPELQAQLQKDRDVQSTDTDAAVSRLSAVDLGYLHDPFASLFVNGPGTRRL PIINRGTYTRTTALDILINAFLSHPDSTPTVPQTKQIISLGAGTDTRYFKLRAQNKHR HIIYHEFDFVSVSITKERIMKNNGLLWEDEGEAFFKALETVPGFGEHESEWGLERSKD GITETTYCFHPLDLRHLLKTSKPVRGLRTDVPTLIVSECCLCYLEVETSREVVKWFTS RIPSIGLILYEPIGLDDSFGQMMVSNLAARNITMPSLEAYKTLPDQKARLAQLGFTSE GGGQEAETVEQIWEKWISIPEKERVDALEGLDEVEEWQMLARHYAIAWGWRGTTGWEG LATLRA LY89DRAFT_63125 MKLLEIQAAIGTAILLLSAPCDAKLSRHLSHLGSLERRHNHHKK AHTSPRVEGIEGPHTNDLKKRSTCPFPSNAGLVAVTPGSSNGGWAMSPDQPCGLNSYC PYACPPGQVMAQWSPAATSYTYPESMAGGLYCDENGNVNKPFPSKEYCVSASGPVQAV NNCGSTVSYCQTVLPGNEAMLIPTAVDSSADLAVPGPSYWCSTAAHYYINPPGVDTGD ACVWGDGSKPIGNWAPYVAGANTDNNGNTFVKIGLNPIWTGCDLSQTTPTFGVKIECV GGGCNGLPCSIDPSNGVGVVTSPDSATGAGGADFCVVTVPSGSTANIITFNVGGSSGS SNSGSSSAAASPVASSKAAPTPSPTPTPTPTPTLTPSPSSTSSTTSSSKAASSTVVYS SSSTWSSSAFSYSSSSAASSSTSASATADNSPHVFFQGNSTGTTNGSSLVTGTGALPT NPTSPAGSTSTKQSGASETFTPESLFGLIIMFAVAGILL LY89DRAFT_733717 MGTIDVIRVPLEVGVRFVAKLLLREEAAFPQSVLGEPPICEEST HPFVKCEEEAVAWGEKRLALAQKHGKISPSSTVAEVKEVVLIRKQLAENLEYYDDPPS FKMGRWI LY89DRAFT_782000 MHPYGRLQLSPDDYEFGLPSGEPVLVSEGELAPEVIAEVIEILK VDWLVSERDRFHQQLQHDWTPPDSERMGSEMIQVYSRRQEEGDDLLGISKLLHATETY FCNKATVFYWMESAKINRSDVDGLVILGSIWNTWVDALGRQPNFQLNDFFAVPVNITN KVARSQYVETVPEILRAHVSICSQVREAFEKKESRWWRGRDSRFFSLHPLCEAMIVVF DEYKFVQSGYVKQADGFRHYENVAQHQSVLMIRTGKEDNLSAPISFDS LY89DRAFT_782001 MVFIARAGKPVFTTTFATNPWVFTTLQSTKVVDSCGPKKRKFSE ANGSDSPSPPLRAMYTTEASPFDATEVVRTLKALSEEGIPVDGIYLAYSSAKPEDVNL LWEKLEAENLALFATFTVRVQYLPISGEFTIHRNNPVTHPRTVGAHLSMIGKKLRPFR SGPYAIFVDHICGAGSSDIELKFDLDKINWESMGSFAASSWGNGQKGIKGRFCSDSQW VFNVQGHGSRHLFASFPKLKTDHASKVWRITLFSAPKAASDASFSSRWMRVDLYSGLR ESLPRISPLNSLSGVSIKLTRIAGYRKKSYHQLVAYQIPLNEFSSLRICKHYVKDPEA RKNIPALTVIMQDVHNLFEQVFRVASDVHKPATSRLKGKGCHASMLCLEQGKGLRESK KHSDSEQNGTETRKGRSKNKKAKKET LY89DRAFT_669178 MECVVCKKSATLQCGGCKNCLRYSNNPPVARYCGIECQTVDWTK HKSLWYLARERSWYYYDIQSVDRKPVEPEYFMMLEGSRTIETTLNMYGRNLIEDGTFN QDSPVAIPFTAALLQSKEEEEAALSFVGGIQAAAWMVEFIQGMLSSVVKRNTAVQHRT KGEKRRTRFSHGRPEDAFITNIPMAFDRRKPVHTVLKVVLRSGEVFAIDLAGAQHGHH EPVVPWEKYKEERILNIRSIDPAAAPKGLLQVQDYSIAKIASAHNRHHSSHVGKMITD DLMEQMNLTLLKWQKDNVGLKTVWTLPPDDYAVKQQAIVDQMHWDLTYTTDADSQATR RGLELRLNSGN LY89DRAFT_585493 MGFTPYSGPASAFPPSSTWKTFDEIFNANKAEMLQTGDTESDVS HIHTAVLQAAKEIGVEERVIFCIIMQESTGNVGVGTTIDNGQKPTGGLMQAEESPAFP GQHNLPQSDITAMVMAGTKHFKGNLEQEGDADNAVTIYEALRLYNSGRIDKRNLSNPE GATASYVSDIANRLQGRTN LY89DRAFT_63153 MSSHINLQLGWPSPRLYPAEQLAAAAAILSDPDVAKVALMYGPD LGYAPFRESVAKWLTSFYEPPSGSIPIERILITGGASQNLASILQVFSDPHITRRIWM VEPTYFLACTIFQDAGFADRLRGVPENEQGLDIEFLRKELAKFEYEASQNGVFHEIKP SSQYGKIFRHILYLTPTFSNPSAKTMSTPVREQLIALAREYDILLISDDVYDFLRWPA EDRKAHSIKLAPNPPRLVDLDRATSSDDSWGNSISNGSFSKIVAPGVRVGWAEASPKI ILRLSQNGATTSGGAPSHLTSTFLDHLLSTGAMQKHIDEVLIPTYQSRYRVLMAALKT QLEPLGVRITTGAPYTIPADENTIVPVGGFFTYISFPPRLPSAGVIAKRAKEEYQLTF AYGEMFVVKGDETSVERSKQGFGNGARLCWAWHEQDEIEEGISRLAKLLKILLAEGSA LY89DRAFT_718492 MPVAITPPPSPMKSGIEIAIDRGGTFTDCIATNIPGREDIVVKL LSVDPTNYDDAPTEGIRRILEQVTGKKLVRGEPIDTAMIASIKMGTTVATNALLERSA DSCALIVSKGHADLLRIGDQTRPKLFDFNIRRAAPLFSEVLEVDERVTPEEYTEDPNP KSAAELDSLVDDVQVVKGVGGELIRILKPLDAEKARADLQELYDIGVRSLAVVLLHSY TFPEHELLIGKIANEIGFTQISLSSQLLPMIKAVSRGHSAAADAYLSPVVRNYIDGFR AGFIGKLEDSEQGARCEFMQSDGGLVGWQRFNGLRAILSGPAGGVVGFSRTCYDEEDK TPCIGFDMGGTSTDVSRYGGLLTHTLENVIAGITIQTPQLDITTVAAGGGSILTYRNG LFNAGPQSAGAYPGPACYRKGGPLTVTDANLVLGRLSPEHFPKIFGPNENEPLDLDAS RAAFENLTETINEDLKSSSGRSLSVEEVCLGFLNVANESMCRPIRQITESKGYNTGDH NLASFGGAGGQHACAIADRLNIKRVLVHKHASILSAYGMALADTVQEKHEPCSCDYYS SVDEVEFRLANLQDQVTKELKLEGFNPDQMIYERYVNLRYAGSDTIIMILQPDDGDYA AAFVKEHQREFSFTLPNRRVLVENLRVRGRANAGTQLAKKKSISNELASIPQSTVSAY KQKTLLVYFESGWIDAPLFVLKDRIPGDTIPGPAMIYDETQMIVVQPGAIARILQSHV VIDLASDKVSAVPGETTDDDPLTEDPIQLSIMSNRFMGIAEQMGLTLQKTSVSVNIKE RLDFSCALFGPDGGLVANAPHVPVHLGSMEHAVRFQHNLHSKNLRPGDVLVSNTPLAG GTHLPDITVITPIFDQEGKNISFYTASRGHHAEIGGILPGSMPASSTRLYEEGAQITS MFLVRDGVFFEEDITKVLVEETGSHPGCSGTRSLNDNLNDLKAQIAANAKGANLVQTL IEESGLKTVHFYMNAIKKNAATAVRAYLQKTYTKFNGQPLTAIDHMDDGTPLALKITI DPTSSAVFDFTGTGLEGLHCFNAPSAISKSATMYVLRCLINEDIPLNEGCLLPIKFII PEGTILNPSGQAAVCAGNPITSQRVTDVLIKAFQACAASQGDCNVFSFGFGGKDLETG NEVKGFGFGETICGGSGAGENWHGTSGVHIHMTNTRITDPEVLEKRYPCILNRFVIRP GSGGQGSFNGGNGIVREYEFRRALSASIVSERRVYQPFGMAGGAPGESGKNSLVEQLG DGKERWVNVGGRKDFKVGKGDKVIIETPGGGGWGVPGDEKVQVVRTEPEESVTGYVNS FLMRQEQSN LY89DRAFT_669181 MSSLSYSSRDTSTFSQTASSTSASSTSNSASEVSTTLFPSEFGF YRFSSSSTVALTTKDPSPFASIQSNSGFRSKPDLTFYQGRNKWYRQLASAQFVGPEGK PHITLGSTRYGAAPTEKVECEGFFRVSHHFSLYLNSVRYREHFQWSECSHAELKGFGI GHSSGMKLVRMKTGEVVVVYAKVSCAVKKIGKMRFLEVELGNEFQVMAVMSLIAIF LY89DRAFT_733725 MSNTDAAPCMTAATDSFESDETPETYAEAISAHARKIQKNSFVC GANYRDFSKFVNLSPSERNIQLLDQLQPDLTRAHRSVVCLRFDSDGTRQPNTYAGTEG PQSFLDRTPLKTGCAEFLFIRGYPSAEWIRVIGSQLRIDPEVFRRHAGRQHSSGDIRR NYNALVKHGVAGQSIVRRYSIHNENTYTIDQLITFCVVKKNGGYTAVICLDTGRDLRQ GPEAPWNKAEAEPDSCLPTIQHPAKVALRTNDQTTSFASEEDADRLLQSTSIPTAKHL PLQYGMLADEDQSLMRSSPIYALNELFLFAASSNSQFLNFVKGQVEDALLNAQSHEGQ EELSLINLRFTKDLLEENIGHYSEVIAFLQSKLAAKWKGSDADLAQDVQDSILRDFES LLRRAKELAARCLDGTAIIMNGAMLRESRKAIEQAEKVERLTILALIFVPLSFITSAF GMNFKELGQGEVGMQVFAEVAVPVLCVSLIICFWGRIHRAITSLWD LY89DRAFT_63258 MVLISSGLGGITLKRALVGLYEQSYNPAYQMLQRAQSGVVFLGV PHPTYPRRDLWPKLTDMLRACTNLNKSRLEDAENDVATVANLSDKFEQAGITIKVLSV YETVRTKVRMNWYTPSRRIMLVGEVISETSVKNEHPLGVHSDHPGICKFSSDSELFNQ LSALVLHAIENPPRPQLQASPTSGTSLNRRQGKSAWASTDSNPDTSDSARELSQSLPA AIHGSSDVSSYEIIPRVEEFLPQDRLPRLPCYLRNPQQPNPHFVGREDISQELDVVLL PPKHLGRRSELRSFALCGWGGLGKSQIAINYAFTREEFFDAIFWVQADDTTKLSKSFD DIAQALGLVGAADTGDRVVSRSLVLEWLSDPRKQQPESNSIELPSTFSTATWLLIFDN ADRIELLQDYWPIGATNGSIVITSRDPLARRDLTSNIGIDLAPMTTQDCAKLLLKLTN LADTKESRSASIAIVEKLFCVPLAVREIAALIRRREMKLEEFLSTYENTSLLAEISKA DQLPQRDQYHLTLASVWKFEKFKSPSALCLISLICLLDPDQISESILDQRLTPGNRFE YPASSCLYIDARTDLLSTSLITRNKDKNLITIHREVRAVIATYMSKETFLQSFQLAVQ LLSQAFPMGGDRFNREQLQIEKADMVIPHVLSLKDRFSGLQSETQVEVKRKFVDLLQQ SAWYLVQRAEYKAAKPLFEIALSICESNQSQMLDLLADTLFSYARYGEETSTAPELVR DYSARHLELCRILDDGSLNKHEDVGTAHTGLGKAYLLLDRNEEAVNEFQQCINIDSES PDIISGDALSQFALIYQAWAYIGLGPERYNDAVSNLDKVIEFRKLKFGVNDVQSPKVG YALHALGVVRKQKMWKQSFEAYENALSNFRATVGNNYFHTGHICLKLAEHHARASEFD PCIFCAGYQSLPKRSS LY89DRAFT_747869 MFIRFWIAFYCVAILAADRILREQKSFLDTLGTNPGSIIEQFRP NLSDFEDAYRYIHQHPELSCKELQTATYIEGELEKIGLKTHNKVGGHGVVGILENGPG SIIMLRSELDALPIREQTGLPYASKESMEDIWGRAQPVMHACGHDMHMACLLAACKLL SNAKSSWSGTLIAIFQPNEEHTGGAQAMVDDGLYDLIPVPDVVFAQHSLAIKTGMVSI KAGPVLVSAETMSIRLFASVGFPANPQLTIDPVVVSARILVQLESLAARIAGDDYASV GVQEIHAGQPGEDFVAFVDIILDVKAYNPSVRQDLVVAIQDLVEFESKSAGIKIPPEI TTKLRAPTTNNSKELVQTLLPAFTQFFGADMVLDRVPKHPCEDFSLLASSKGVPYVFW FLGRVDPVEWERSERMGNSLETVPIEHSPFNAPVVYLTLKTGTDALSLAALSFFQETE LLGKQLAHLG LY89DRAFT_645599 MLTKLRFLEDSPRFETEIPYELYGHPKFDSGRITNCTYTEVDNI LIEDVRQNPKNFTLENAGFTYITHESICSLASEHFETAGSNLEKSPIVTAYLEETMSL VKEQTSADRVICFDWRFRRSGGPNDIKSDPFEVEDIRFQAVRPGFLVHCDFSHDGGLE RLEMHLLPEELELVRSGKVKARIINVWRPLGTVKNAPLVLTDRRSVHKEDLIEVEKVL PDKVERAYFVKYQAYHRWYYLSEQSPKDVAMFVTWDCDEEEVKAAYPPHGAASQFTED PYECPRESVEARLIVFTSRM LY89DRAFT_645601 MPRAPRDSGLQLVVTVYILSYMFRLVNELQNVPRIRLLERAVCE VYYRKHLTGTSLTDGIPELRCKNPTIQNEVVLIMGWKISLDALPGILTGTYFGVLADK YGRRPVLMLSCLGELLSLLSVMFICLFDAFDIFPVRLTLLSTFFLMLGGGGRIFSSII QTLLAEIGEELTQRRTRRFYQLAAIEMLGNLSAPPIEAWTIPVSVWLPFCITLALYLL VLVTIWFLVPPKVKQRIPIKNQPSPDSSVTQNLLGRPCDGTLPESEENHAFAIPSAST MWEEVWELLKNKTIAMLLFVTFAKRIGFLSEAFFPQYASEFFHMLYSQTPWFSWANYA GSMLSQGVLLPQLTSWLLRQSLEQISIDVGLIEMNLGLLSIGYAAVWLAFSPVLFGIG R LY89DRAFT_616218 MSSRGFGRSAAGNGFGGATTRSTLSFTTPPPDISKISDPNLVVS FKSLLKKNDTTLAKALDEIQTYVKSQKAGEIEDAIIKAWSEFYPRTSINNSRRVRELA HLVQHALSDSAQSKIEPYILQMVPAWLAGTFDNDKGVAKAAKEGWLSLLNTHEKMSSV VKKSQPAFLAYVQEGFDETPETLNDERTMSPDDLKATYYRLIGNCLDMVTYLIKSLGE PEVKKHSGKYEALLGNQEFLGCTSSDDVHLRRSSIQLITVCAFRQPSFIKANLKAISR ALIAEALNHTQLGTAYDLVEAFKILTVKFPEIWTFPIKSKKDPMTRLRGFIEKGSQRG SSDYWNSLFTLLLIIPEEILPHKFFTMMEFASAFRLGIKNRDEPRSNLQIAWSTYFKL VIDMATKHLSDPDSQVRLIKEAIFPAIRNFILLDPEQAAWTVDTPDNFKDVLIQLSSL DLDKASERIRNALKAELKSCAQRLEESMLSPVPVDALEVKKFEDAVESRFLRWFSLFK WVLSDAKLESYLGNPEPKDENIVAITDNLIRMCPKAIERRQGKSYGAASGLLTCMRHS SLFVAHHPSLVDFIRDYLEKQLFALLETPCGEYLVATLKTLKACPGQQDAFKEIWTRT VGSALRLPSGDQQSTCLALMLLRGDGSELALQDDLLQQFIRNCSRDAVVSNDGPSWSV FHAAAASKSISDGMASEIIDIIVNALLSPNDDAIDSGLKALTSLSEKDVSLLVSSQER RLSLLTLLMNLNEYDTTTARRSTVAALTKVLSEGGTDSNGPSDNPQIAVIRNQLKSIT DTPLSVHTLANLASKIFEATSEEDKSNTIAALFPTLLEWEQAYQPFYDHRQTPQLAMC EPFAGALFLIRDPQPHLYDVPMDDEDHSVPFRMALYACTVTQSMMLQMPSKQAAELLF FLCLAQQLVRSQHTTGNSRFMYNNSCNHISAFDDNLHSLLATIIGGSTNWKRNKTRHV NNDTTSILENLVDIMLDKSSYNNALGYHSGVALSRLFSTISSINGPIFDCGEEWLKAR SVFTKELPRPFTTLAILTGFGQALSTSESVKWFCNHLFSVIYGISGPLSESNIEEAFL TIVLLNGCLCAYDEDEIPTPTVRMVKSVNQILSWTDGKDFSYQKFAVASEACRALTKL LPALKYSSGEHWNSTLQKLCLSPWKLYASIDKLQFLPENVPHPDEQLVLCSCSLRMYS RIVKLKEDEDATDELKDLFKKQHASLNQGLLDLMNMPRFVDNPVLEIFDGYLTAQVLK IPIEEINLEEIYPLLGSNAQATQATAFEILSKVLPKLIDDMTVRVMVDKKGAELPDEL LSLLLSDALQPREISSPRLDFLDDTQNARRSVLLAWKLVLQWFGLAPYKVRAKLQQKL QEADELKKLLEFVYHTFNAKGGGLRPLSDFQLGKESVREYDVWDVSHPSGLLHNVQWL SAHVYFLALKYIPQLVKSWFLDFTRAGKVFMGDWTERYFTPLVLEDCVEEVRTWRGNG DVMDTQDGKQLIIKLPAKKGSTITVSYEIDEQYCTITLTFPSRYPLDSIEVESVSRVA VPEKTWNSVLKQIQGAVRFEGGNIIDGIEVFRQNVAGFLDGHDPCSICYSIVSEDMKL PEKGCATCRNRFHTDCLMKWFKTSNGNTCPMCRQPFTGLSRR LY89DRAFT_63392 MLSSQALTLSKTSVTPGSLRALPTVLARSGGLPRRPRPYQQVRA FRIGLWSSYLDANFQKEFRRRQRMVKHKYIEALNRKLSWDRHLPMHLRHLRHSGLKSF MCSAWRGQDSRPGGRWVNSEDLEYMNERNTEKKERGIEDVEQNALNHLLDNHHKFIRA KARIFGPGWSTCHSTSWVSRPAQDSNTPPTNDMSDSSTVYGSEGFRKRFHVRDYQEEE QTEYEIDPITNRKVQKEPSQPTSDRSTSTDIPVKTFKGYRSQFKTFEPPNAPPRDTTP RSVSKQTTAQHRSSQPYSPSSHQPESFTTRPHDKEVDHLAKYDEKVGFMSGRQYDTAE MDIDYSHQQGLKRYDEKLSKKTPTNATTENTSPGQKHAQDGLEAYDEKVKNQVRQFFA SPHEEIEQADPVKQAIDRYNSFKELDASRVVYPNKTNTEDKILEAKRDYEHSASRKAF MREQQADKLLKSIQNYEEIMSAERLKGHVMGPMGHQHLHPLLKAIREYEASMADKRTN PREAAANDRTDPLLRAIREYKGLVSRTGESNPATTKHLEMEAVDPLLSSIDEYEESVL QSGAQSSSHASTDECLKSYDAKVNYYQGPQTEDSSRRVWFSTRLDPAKAHSEALKDQT KARSSSVDETSTPKSWAHLPQRIRDLFAREAKSEAEVLDRAKDDVTEDISRLNALRTA SDVQEPGEAEEEKTARRKALEHDFETAHMAEADANLSAERLRERTMLLKSDLDEARNV EVVKELKPTGTPKKMTGNFVRDFPEEFQTAWTASEESSGGLTRKIPSDQEVESSVQGS EQAYIIGLGAKEAFSRNPDVERIEPSLDRTVSRNSKSIAAEKDRSHVGVGPAEQGEGD ISASVSAYVTPKDNETMNMDAHTTKAQLQKEKNAQKELDRELVREVRRIYEDSYGTID SKHRQVPSPAKGESSVSKEAAALEGATETPEPAMYKILAYDPTMQSISSAETTSVVPD SSGPLTPAEVLLRLSNPAKFFPHFEPLRSQGYEIVSGSGDVLVFRKVREASPMKPEKE YSAAAKEDQSSLEHRKNVMNPIDGMTRGPIAATGDFASPTGFVNHDIPRGSEPPFKSN IDVRREEPVFSGKRNWEDNEGGRKKRGAAKTVLIGAGWLAACSYAIGVVSEYFKTGGV DGQGPKGF LY89DRAFT_63403 MGMGLHCERSPRTLLHSHTFYTCCLTREGLLWSLSDFLIHGILQ WSASTSGRRLLAPVPSFIALNSDKGFMPISEDVSLQVPNTHYLCTTLHYPHYTYASVS RSFLFFTCLSITSSLSRIETHYEAILISFFTRLHTSLPVVPSCSSFAYR LY89DRAFT_669189 MGERFLLGDNAKELFPRSDNQSKRRTPTTIAKILENSSKCITSW GVRFLSVDYAVNRLSQFDSGTTMAAQEYEEDGEKGLFSNPAKNPDSHVGPYVFILAQT LLGVSRGGNQGCSSQQAQALLAFFLATAKNPQVMILKVDDARASGSNLVVHHNKLKPS WHSSWLRPRAKVISIVIREIEIGFERYVSIHFAADNINSFEADLNLSDYYGVQLIEPR TTKKVTVGNFEDPVVKQSLMARPSPSLLTAS LY89DRAFT_669190 MAFRPERATRPAVYIAARTQAIGRARRHGQTRPVFVYNLLTAGT IDVDYLGGCGARLFITISSSLYGTSSFEGGGGYGGGNGGVVVVVVGKKDPAGPVPNVS IYDVQSAENGAEAAEPFDRAGVTLLPEQRRALEWMILQERKPRPFKQEQIVEAWLFIT ISSRLYGNSCWKGGSGGYGGGNGGVWRRFDGRGANEPSSHGR LY89DRAFT_684805 MPWVVGGWHPLSCAYFPSYRDVIIRLKPIIMELERSENILIVTH QAILRCIYAYFMKEDQERSPWYMTTATFEEKRECCSH LY89DRAFT_669191 MASNMIQAEGYSPLKLTMVSERLPAISGLAKQQGKMRPEASTHG HVTFPVCGNHGRNLDEDRLLQSYFSVSEAQTILATKDPTSEVRGRSIIITGPTFGVKF VGKPNVIRMSSLGYTIQQDLLAAEDEPNLISPPKLLYAIGNIVKCIRLARILRSFQES RVFEKSMPCG LY89DRAFT_669192 MTTSQWTPLSPCSSQDPDTWFTEMQPIPTLDSHGGEDISRSSSP STTRQIGERQRVNAKHFSSRTPLIREPSLPSLPPNATGVPTVKNRLYIKDLLAAAISL VCFALSFIAVENNEVSWRLGQGTNQLVVLGFLLSIMNLCLGSVAPTLFLLLEAKFGSS TLQNYDGILRNQVLASKLGKVWRLVLGTMLALPIFLSVAYKRFGGGESSKVFNPTAYT GNTSYYGMFAPPGLQFLGERTGIMFFANSTLPFLVATSSTDDTGAEPPLPSQEQSYGY NILLLNNESTAILDIPQPDYVSSVQGSLAIGESWRLTAPVLLEGSCNGNVLPYAKQLI ITDINFFVGYYYMPSLIQFLGPFATTRNGSVWNYSYMATGIAAMLWSRIIAVEGAAGG AAEINATPFWVAGNNSVTNPTLEDVGLIYPINDKVVYTRPTLRKSRWLYLVLGTQPLL LLVVILLSISLYSTPLSNGFGMIAILSGIDRISLDSLGGAALSGELATSMKLAIQTSE KDNTGSIGYQVMPTTNHLRNGKLETSVVYH LY89DRAFT_684806 MVSLDVVHASNARLRELGPGLVALFVGGTSGIGEYTLKAFVKHS VSPRVYLVGRNASSAERIIEECRGLNKDGKIEFIKADVSELAEVDRACKEIAAKEKSI NLILQTQGNLNLRGRDESPEGLARKFTLNFYSRMRFTSNLLPLLRAATTSPPGFARSV SVLGAGHEGSINLDDLELKTTFSTTTCADQSITMNDFMAEQFAAREPSVSFMQTSPGV VNTGLARELPFWARVAMKGLTPVVKLFATGADETGERQLFHATSGMYPPAKPLAGSAV ASGVPLPTGMAIGTGSDGKVGSGGYIVSPVGDITGNAKLLSDYRAKGVSQIVWDHAYS IFERVQKLNESRNAS LY89DRAFT_585266 MQKPPGSTENETCSQPAIYNPRRAPKSRGGCLRCKAKRLKCDET KPGCNQCSRKNVACPGYKSKALVWSTKHEKMLVPGQEAPFPAPSTTLAFNLTEAISGS RNDPVYETSDSRPESKEVLDETSANLKAHSPPEPTHLRRDSNPPTDSLSAIRQQLNRS TVPEFLLHLPTMLVEYYFSYVCQIFSSFDGTLNPFRSTVGRLWDGSAPIYYAIQSMAA AYLANHFPRMLPVGVQMQRETYRCLYQLPHGGSKGAGENLDKTLLTVLLVGQTTAWHD PKDLGLVHLKTAKRLNRRRLEQQQATPVDSRAQRQNEFFEQCILYWDMLAGFVEDDVD EFGFGEFELTEYFTPSPGAESSSSDDNGQVFPHPWTGVAPKVQKLFAHVGRVIRRYRK SVAQDAASVNLLAMDLGFDIDFPQDSLATLEATAKAQSLEEELLAVELPLPSNLVDAG DENTPVQQYLILAEAYRCAALLEIYRVFPSVLSRRVPYTDSGFTASDMPDQELHDALP IPVSQPPDDFLVSLAIHTISLLEKLPPTSGTRCLQPIVLIVAASELRYPSTSLSSFSL PLSPNSLPIGDHLPTYMPASSSIFNSLTNREVDIATARRFVTTRLQEYQLSLPAKPIL RAEMLVRETWRRSDDGLGMNGEGVFWMDVMDEMGWGTIYG LY89DRAFT_782015 MPFATINSKKLFYTDFGPTSTSPSTTLFLHGLGSSSCFYATIIP SLQETTRCIAIDYPGSGLSELGDEELSLDSLARDVIDLLKGLEIQENINLVGHSMGGL LASHLASTNPSLFSAIALLGPVDPAPTLASVFEKRIETIRSSGSLEVLADSIPSAATG SKSSSLHHAFIRSLILGTSQEGYVALCNLIASADRPEYGEIKIPLLMLVGEDDKTSPL AGCEAILRAYGTGGAEEGEMGGREDKRLVVLKGVGHWHCVEDGEGVMRHLKGFLESVS LY89DRAFT_782016 MDKSSINTDTDGASPTEAIHVETPDPETSKRLVWKLDLIVLPLL AIIYFTHSLDRANLGNAKTDGFEKDIGLKDNQYSLILILFYIPYGTLNIPATVLAKRY SPAVVIPCLMFCWGTIAASTAAVHNFGGILAARICLGVVEAGFFPSAIFYLTMFYTRT EIAKRISLFYMMGFVANAFSGLIAYSVFQWHRSLYNWQYLFIIEGSLTVTLAILAFIL LPRSIAKSNYFTEAEKQCSKLRLQAESEIEGDSFSWSATLTPLLDWHSWMYGFMALCY GCAAASISNFLPTIVKRITVNTVKANLYTVAPNLSGGVFIVLICWLSDKTQQRALCAI GAVAISMIGFICLGTVDITHKTRLGYFFTFLLTFGSFTPAVLVPAWLSSNSISTSGRA TTVGLVAGLQNIAGIISSESFRSQDAPIYAPALIISGCFEGAMCVTAAFAYLYYRTIN RRLDSGKMQFVRGMEQNPNFRYVL LY89DRAFT_585654 MNTSLSTNPYLVLGVSAHSKFPEIRTAHRKLVLKHHPDKVQDPA LKAAKRDEFFRIQQAYEILSDDSKRQRYD LY89DRAFT_585378 MSLPGRFRFAIIGSGPVGKLLIASVKQHPRIEYVQYEAETLSLR PSFGYGIGPQTFATVRILNPPIWKKILEQSFTSPYWMHFLHATDDNVKLPAVAVTEEL GVYGRIGRQELMEMLDDFAPRDHEVKYGMRMKDVNRGENDRVKLSFEDGTEDVVDAVW TCDGMNSMGRKLIQGDAYESAEYSGIICFRAKVETGKVEAAVGEVLASKTHMFIGGSG YFVLIFPIFEAKYVNIVGFTRENEFKKRERAWKTQLSDMLAYFPGANRTLLKLLELIY KEQDCQCLDLMVMKKLGLFYNKELRMTSFGDAANGMPPHMGGSMSTGFIGVTTFLYQE LNPRIESLSPQASNAEIAEIVMEASLEYEEKHRPLAQKLCDYSIEQGDIFTGGVIDID EITRRPKSLWEAATLQR LY89DRAFT_733743 MPFELNIHPESLCDMTDQPNPMPEKSSDKALEIEDEQNKPPRVQ QASLLVTKLNFDIQYQIFEQLGPASQRLFGATCSTFRDIQQEGFPQSQIDVHLSEIPV GLDGTSAYVHILAAWLGGKAFLADWKDITGLFNHPPGHYLRLAINDIAGFSYIARYLC DDYRAMNANRPGEAPRMVRAYSHGGPGSVLVDHTEVAKKVDEKK LY89DRAFT_782019 MSEAPQSRQLDQETGNTPAPQHTKIDWSNLPENVFKSMEARTAS TCAAYLLPTLKEMKAENPQLTLLDVGAGSGSISAEFAQLVGPNGHVTAVDLNPGVIPR AKVVAEQWRVSNISFQTADAYKLAFDDETFDIVHCHQVLVHCKNPWEILREMLRVTKL GGVVAAREGDLETEVMWPPLPGLLKFHHDFEVKLITDRGGHKNAGRQLLSWALRAGAK RDQITTSFGTWTYTEPEDRKMWATSMMEVALGPMLREKNVKAGVAEAEMDEMREAWAE WMNLDEAVMAQLHGEVVIRK LY89DRAFT_684811 MTVEISKKVALVLGSTRAIRVGPNVLEFVKKAISTSSSANKATL TTIDIGTFNLPIFDEKVLPKMVPAHAQLEHEHSKKWSEAIKPYDGYIIVTPEYHGGIP GGLKNALDYLYHETQHKPAVIVSYGGHGGSQVSSHLKDVLERIEMKVVETRPQLAFAG FDETVVAATTGKLGEPTLTAWGKENEALVVKAFEELVTALEAEVSPADPAK LY89DRAFT_63501 MVTIKAIRDANKSISSNFTVIFVGATSGIGLGAVETLLKSTTAS KIYIIGRSQSSFAPTLAKLKNLNASAEIIFIEAQVSLLKDVERVCTIINTQESTIDLL WLSQGGLPGGHILTPEGLFEFLAIGYYSRLLFMSRLVPLLNKASNPRVVSVLTASQEG AINTADMGVLDPKNYNLFPTMRQGVMMMSLSMKELSMQNPKISFVHTNPGMVSTNVHH NWANSMTGYFTVFRWLLEWVLIPLMHIVGSTSEEAGEIGLYELTTERYGVGTGNNFFR LDEKAEDAGANSLLEKYEEDGTQKKVWEHTLEVFDKVLAQ LY89DRAFT_63513 MASTLIHSVRLFDGNSTISQNGCILLKNGLISNILLMTPSPLPA AETVIDGTGHTVLPGLIDAHVHAHDGEPELKQALGFGVTTVLDMFNEPGHVQSLKALV KNRLDLADFRSSCHAATIEGGWPAPVLLATLDREIATEKIKAWPKLASPSEAEAFVLS NIEKGADYIKLMQESRSVMLGEPIPVPSPELQAAVVTAAHKHGLVTLAHALSQRETIL ALEAGVDGLAHCFCDEPPSQELVAAYKRNNSFLIPTLVVAASVTGEENESSEQHAEHH LSEKLLGEEGKSCFCKKLMMGRPACRVEYSYQAVKLLKENGMDIVAGTDTATGLAGTA FGLSFHQELSLYVGRCGFTPAEALSSATSVTARRFNLHDRGRLAEGLKADLLLVKGDP TDDIRCTMNITGVWRGGQALIRGAN LY89DRAFT_63505 MAPPSATNDTVETGASVPTNGHDEAGTAMHEPGLTKKQAEKHEV KMEMPKFRQTDKDPYKEREFQKGRLALAFRIFAKLGFDEGVAGHITLRDPVEPNSFWV NPFGVAWPLLKASDLIRVNHEGKVVEGGPCRMLNAAAYMIHHAVHTARPEINCVAHSH SIYGRAFCSLGRNLDIITQDSCAFYDDIALYTSFRGIVLAAEEGQAIAAALGGRKAAL LQNHGLLTCGKSIEAAVFWFMSLEKCCHAQLLADAAAGGRGGETVKIDEKDAAYTYQA VGTELAGWFSAKPTFDMMEHQAGVDYKW LY89DRAFT_63736 MATGQGSTLFRSSVKSCGLCQELCNDSEQLLFHLEDFHPDMPNS CGRKDCRKSKDRRTLLRHIESSKAHRSSKGSSFRCRCGKVFTRKDKFRNHFRKCSRTG NLPYTCLCDEVEIRKDTFEAHFESCRRRPRGRPRKERDGAAQERTNLVAVLAWE LY89DRAFT_733751 MSSISGIETHIPPEDLIGDFVRKDNHAYIYSVLYNPGLEAHIYS LDGISPKVRQYRLRHIKRLKTRVVCERRWKSQGGVMGTTIICKGIEGEIEDEGIDRKE ANMEPEPEPEPEPEPESVKEEIQELEKPCDKSEKARIKQLEKRRARRRKKRGLEAVES VETAITECTSLEPAPIPTEVTRLVQELLASFPQSVETEVTECASLQPAPVPTKGISDQ EILASYRVQLDDLTQGSGVLSREEIAAKEYLILDNIVFDDRLESENEGVMEEKVKGKI RGMPQNKYSLSEEAGEKGHQTRLMELLDLEEVIILEPTMVVDLEKGWQRRRRRGDKRR ESSIVEQRKTSERESSTETFLERLDSNQIQRMNEVLRRISGGC LY89DRAFT_733752 MIIGFGLLTLLNASSSTGAWMVYQSMIAAGSSTILSSILPAIQT SLSDEDPAASTALFAFIRSFGAIWGIAIPVAVFNNQFHRLLYLIQGPAEGPPWRMDKH MIMLRAYLLTHFGNLRS LY89DRAFT_63756 MVPVWDTEENIRLARVVNNAYTRHTEGNPPFESTKRLRQSTPGL TLLSPETIFAILAYWFCVFFAVVIFFGFCFDRA LY89DRAFT_645634 MGIRQTLSPKKLGYWIEKRVDAIWANLLTNHLWQRMLKNTIATT LTVILALIPAVVTVYGKAAYLGPITTVFGHPGRRFGMMAEALVLAIGGTMLGIGWSCF GVYLSSLVYHQNAPAAYTIRGLFLVVALIFHGFLRSHTPRLFIFVLLLVIVSVVSLTS TATAVSKTTVTTLLYPILTASGILLLVNTLIFPEFSSSFLGITTIETLGETVGTLRDA GKYFVSIAEDSDDENKGTENQGADLEHQTTSAEKPPEKTGKDAEPTKLRKLFRKLMGG KKDKETGNEGLFQPPVPKAVKLKSLTDSKAKLRAKLASCKAAQTECNFEVAWAVLPPR DLKPISDTHMKKLVANTIALIGACESKYALMGDVDDKKNEESKDEHQLKGVAEAADLE SADESSRPGTGANSSYDGSGDEKTDAKKNRKEQKKRTQGLTRLERELKDLELIKPKKE IQSGDIELLRFLVRQITMPLTNLQEKIDRSVDVVTSSLAYCYDVPKLPSGARAPKGIE LEEIDIRVDILTEALADFDRDSALSLEKAAAMNDLDHPLIDVMPRMETFLISSFLLNL RQAALHTLEMLNHSRFMVEKRQARHGRPRLYAPRINWRKWLLSGGEEDGFTLPESGKQ DARRGKRVESQDDDDSVTSEETLLSKKKKDEESGQSQSRSPQPAIKANQAAAAKKKPR KGTRMIRFRNSLADIIEYIVGSEDVLYAIKLTVAVFLVTWPAFLHQWNTWYSLNRGLW AALQLVLITEVAIGTSVMTFTLRAIGTTIGCTWGYAAFEARDGNKIVCVVMLVIGIIP STYIQLGSKYIKAGMVSIISMCIVALATEDHTVPGTGTENSLKRLIAFLIGGIVALIV EVVLFPVKARDRLVESLAASMRQISEMEACLAYGIETEVNVDVHSAEVSARFERAKGK AQGALTAAETFLPFCANEPRLKGSFKGLALVYAEILYVLHAVVDRMDNMLHLRHEYGS GVLEELNEKVYPYRRNVAGAITLVLFACHEALTTKLPLPQFLPSARLAHLRMVNRVRE VVLAGDVHKMHTNERSEIEVSMVKRVVRQKFLSWNAASAGQIEVIEYLEELVDLVKLL VGANEFRSGILTRPTYREYVDRIDRNTMEQEKHELRDIVTEAEAKKRPTRRRTTFSRN QEGMDTLNKIPSETQKFEKQKQANEEELPRSLQRVRSRRIEEMEMQQSWSREDHAKKK EGKQVDSN LY89DRAFT_782026 MAPSSSLIAKLKVQLKLSIARLRMVQQKDEAVSKQQRRAMAQLL EAGKVESAKIRVENIIRSDITTELHEILELYCELLLARTGLMEGATCDAGLEEAVKSL IYAAPRTDVKELQTVRALLCDKYGKEFALAAMENTDEKVSEKVLKKLTVTPPAQELVN GYLEEIARTYGVDWPKKSKDDLGDPPDFVDDDDENPSGGQAQKNLEEPLLADGSVKDK EVEEREALSKATPPRNFGPSSPLRVNPPSPSTDNLHPRVKGTLDLKPTKKMQDVGTGK GTQSKGPVGGTIPDVDELAKRFAALKK LY89DRAFT_684813 MMLHTISESGAGMAGAQSIHANVYATQPVAKFATPEQRQQMLPK LINGTWRACFGVTEPNTGLETLKLRTQAVRDGDNYKISGQKIWISSAQVATKMVLLAR TTRLEEVTKPSEGLSLFFIDFDPKQPGLELKRIKKMGGRAVDANEVFFDNYTIPKDTL IGKEGQGFKIVLHGMNAERCLLAGEALGLGYAALYRASNYAKERVVFGRPIGMNQGIQ HPLADAYMHLEAAKLATYHAARLYDRSTTDESVTQAAVGVACNSAKYLAAEAAFTACE RSVLSLGGMGYAQEYHVERYLRECFVPRIAPVSREMIMNYVGEKVLGLPRSY LY89DRAFT_645645 MATARANPRLQVGSASWIAEERATALQFAGEETEEFTFSARNDL DWLNEHMAEIFSKNQVNVADIFKTPGKLRGKTPRTARKGNPLEARAPLTDIFSAINQG TASPFKQSHFPKPVATFTVAEDERDQIEVEAGPSSPEVQHKSPLKLPQNFVDSGYHGS QPEIATQATQATQLNDPAVYQQTPSASPERAFTLASPDGDENVVILGRDSDARRTTEE SFQSAKEEQTRNVATVRQEKAEEMEVDGENIAPEPVSYPVLESPVQERHEHPESPAKR SSPRRPSPAKQSPVRTSPVKSSPKKASPSKSSPAKQVDALVEDPASEAEDEHIQDVQS PSDGSPSPIRPIVRKSSLNFASLPAREPITTKKSIGNRVSRTSQLEQPRTSYYGRQTG GKSLGNVRQDEHDHDEMDLDRADDEEGTEDDTDSKMKRLHNKTSTQRLQDQISMLGQS QSNMRSSKSIPNTTVQPPAAQFSQAPAMPETQRGKSPVRNSKPPPGAFPDDEEDSWIG PPPAAVAEPSIFSPRPQLPKSHTTDVMEEIHGKDSIGGSQFNIPKRRDQTRHMSPVRE PVIPERTTSTLGHVKSVSTSVLRSPKKSGDSPGQMKPISVSNPTPSIASNDPDASTTP PKSPSRSYKGSPLKAAKDKFSSILKTSRGLFASSAAVSAEAKSSALSPVSRTGLKNAP SFEDVMSEANNKSPTSSHAPTNKSQMSLRLPDSPFKHSARKTRASTEREEKKKEESAR LAKEAKEAKEAQKQADQLEKARMKVREEARVYHIEQERVAAMQKEVAARKEQEKQAKA SQVDIPRATRTSPRKTKAQLEAEGIAAAASSTHELAHTEVEMSEASIAMPPPAIPRPK SQIGRPGPKRPLKPAKEAATKVKPPTVIRVDTGSQRGHHNHPSNVALSASLQDSLASH QSAPTQGLRHKASTSSIQSKASTSSFKSAASKALEAAARKKEQDELAAQRKREAKLEI ERQRAANKEEERRKEELQRKQELERQREVQKERERAVSAADAKKAASRQAAEKRRLEM EKAKETRAPPPAVRPQPSVDLGSSMLQEKALPPVPPQRGDFGQSKASRVNPATQRPQE DMSRSVNSTLHSTAKVPPKRPLPQDNEDHHVRPALQRIGPSYQQEHQSKRRRTSEDFD DDEDMTEDHPKMTAPPIRQSSIRQKDIPTKSLFPSGYANAPPPGNLQRSTLIAQHNLN QSKPAHPMDMAQVSKAPIPFASSSSQANHKTPARPGAQNGTGKSAAKSAAKSSPRYQN GENIVLPDILTDSEDEDGEKDDFVPADWTNSPDLRRRLVEQERMDPSAVFGQPGPLNM EEVFSKSKDRFHKFRARTSSANWSGTDRLTEDEIRKDLQARDQVRRQGGWTYDSMV LY89DRAFT_63797 MVKADLSRDYYGDLELPPNADVADIKKQFKKLALTYHPDRNPGR ESEVTAKFQKIQSAHEVLIDPTERAKYDANRIRVSSSSFRNTYSGGQASGVRGNPWAN AGAQWAPPPKPPTARNRAQPPPPSTGAGRYAKFTPPNASAYQAAQEGPQARKAQYEAW DRTRHQNQTEHGPGKTWKAPQPPPRGTPTSGRQESNAQSQTRPPRSQPGFDEFRDSTS SHGRSQSTNASNRKGFMPNTPGGDEPPAPRGAYSTQRDKPTVAPEPPPRRPPPATTEN PRPSTGRTDPLKKFRMDPPTMEERVSTPYATHGGEKFNPFESAAAGINRSKSTRERSE GFGKDNFPRAGSDTNLKSKNGTPHRARSFADRKSHAPRPSYSESLDTDSSSDEPIQMN RPTPRASARRSNGASPRTTKQAGSTTDPETQTTSKQSRIQQMRQWMKENPGQEPPPNG FGADGPPLRSGQPTPKANGEPSMYASPSFTTPSHCPTPTSFDSRRPSSYSVKFETFSE KLAAASPLRATAYPPATSVKYPDLSSATPATPPSGVPASPDSLNAFEQMQRSHLDRLL SNKRQASLDDQYKTPNEKAPKTNAGSHSRLSMNDVKQDDWTQYRDPSTEPGSPSKKFK TLRHLQANHTYDFQKRRLFWDHHLHMKSEANRANTSFSFNLNNDSFTKTQPPKTNGFS NSAENISTKFTPEDWDGKFEAGGTYFQPEKVSSTPQRPRSQSNSRARGRSPIKVQPMF SMPSRSEEQTPIESPGGTKFSAQDWAETFKPQTFMPPPMTPRTGPARKRSLKPTMGGN AAVVDDGDSSDEKPLFTGRKSASPPKASPPIIGSPEPMDVDTPPVSNTVPQFAKPNGK LNTEHLKRPAASASASPVDSELKVAFEDLKVKDIISTLAMPTPPLGPSPPVTDKDIPS RAAYDDYLHRYEKYMQEWDRFNAKFLLHMVARKNQNDDLKDKRWADDKGLETYRMGLK EDQAVMLKWAEHTTVHEAVVKCFVIMKERMQNGDVAADSRRPRKKTH LY89DRAFT_684816 MYAPAYGYGPGNTSAQAQGPFNPNSSLPNNPNGVPPQQQQNQQP HAPLSHHHLHQQQQQQQHHPQMYNPQAQHAQAQAQAQAQAQQYAAVGHSSPYGGAPGP SMGGNAGAMGMMQQNNGMAQMPASHVSTYQTPYSSSPYGGNIPTSTGANMPPNFMPTA SNAPSFPMNAPSMNPQHQAQRMQPPPNSSTPTQPGQRASPFPGVQHNTPPNAGQVQSQ FSTPQSNNQTHLQTPNHNQQAQGQAVLTPQTPNFPPGASSGGPVATPLSPGSESREKE RVTLLLEINNGLLKEVMRVQAIQAEVKKDEAAAAAASPDGADKEKAEKEKAEKLKTPS REYVECMRRLQSNLAYLAAIADRSHKPSSQIPPHPAIMSAPPLSPKSTSSPLSETKKE ESEDSKKPDESPSEDHSEILKDLYKKLQALFPGVDPKKEPPIPAANQTARAAAAQQQG NKPAGDLNAQQKMQNDMLRQKMMQAQQNQQQQMNMQQQQQGQVQSR LY89DRAFT_63852 MIRKNMRRTEWKAHLQSLRQEQYYINYTDESRLGYFISHNGTAP PAGLLLPESFNTPGGGITWDRWVSNYTGAKLYDYAVSGAVCSNQIVYRYLASIYGPFP DVVYEVNAFIADVDYINATTHTNTLYTNRRDSNTVYSMWIGTNDLGVDAFLTDSSLNM TTIPDYVDCIFDRFDSIYAQGGRYFVLMNTAPLQLSPLYGMPDAGGLNTSHYWPDKPS NISEISGKMKEYTSLVNSIFSYRTPYELMIAKRYPGASFALYDVHSLLTDLYYNPTAF GLTAPAIVDVPYYQCDPSGVTCVSSNMTLDHYMWYDELHPSQQTDEAIAREFVNVVKG ASKYATYWKQ LY89DRAFT_707247 MGSITLTEINFRLGWPNPGLLPVDLIKEASQIALSQSENFTRES LLQYGPDDGYVLLREKIAGWLTSFYAPERAITQERLCITGGASQNLSCVLQSFTEPSV TQFIWMVAPTYFCACRVFDDAGFAGRLRAVPEDDEGIDIGYLAEQLERCASQEQIPKD SMKTFRTWSKFYRHVIYTVPTFANPSGRIMSLARREALVRLARKHDALIVSDDVYDML QWDCHASKEFKLRKTACQSRFVDIDAHLDGGPIDDFGNSMSNGTFSKIMGPGLRTGWA EGTPKFAWGLSQTGSTRSGGCASQFGAAILAQLIDTSLPSYLHTVLQPTYARRYYKLI SAIKEYLLPLGVAVSPPDKSGMAGGYYVWLQLPDHVKVQQVVQLASEQNLLLHPGSMF LVEADLSKCQQSFLNGVRVCFVWADEDLLIEGVERLAVIVRALSVDTIPTLAL LY89DRAFT_63859 MPSTLLPTLPTQVEVTWKGQSPDTKKVAKSTISCKKCSKGNKVT VIFPDGEFTFHSQWLHDARCDDGASRNTVTAISTQSDETVRIDSAEMNTQDSMVTLDV TWSDGLSSKFPVEWLRVMAPIVALQSNGNSAITTDAPTQGWTVESLNIPDVSYKELFD TETEPERMDTLMLAVLDHLLGASSTGLVKIVDLPDPNYEDELNHVNNLCTLILKKIFG SVFIHPTRGADKSFNVSSRSSEADKRKELPNYDTSQVLLPHADHAFYETPIQVQGWYI LEGESENTFVSVLAALQTLKEEHPESYHHLCNDPMTLGRVSAFYGEAVSQMTVDTAVS MQPGTSDQFKRVRWHPNFIGSLLSPYEEYDEARLAQKRFLEVMRRDTHQLKVNLKPGD MFLWDNYRVLHGREKVFAVPRTGVGQTVPEQVVHDRYRALCISMLSGFVGDQWLVHMP MPQLREMVKLVQEKRFLV LY89DRAFT_697126 MSAGERHSLRRVPGRIPLAIWLISISTLLERFAYYSFIGPLQNY IQNSFHDPLRPGALGMGQSIASILNNAFLALSYITPMFAAVISDEHLGRFRTICWSMS IYITGVLILTITSIPALLEKGAGLPGLIVALLVISVGTSGVKAALPPFLADNCGTDAE ELKVTKNGEKVVIDRDATREYAFNIYYWCVNVGALSRIPSTFMEKDIGFWSTYSMSTI FLCLSLLVFMSGFRLYVYAEREKNAIRPACSALVIAGKNGFRMEAARPEEVRARDGGV VDWDNKFITDLKTGLIACRTFLPIIVLTVCQNQLSTNTVSQAANMQTHGIPNDVLPNV NSITVVILMPLITHILYPLLRRFRIKFPPITRMALGFAFEVPAMAFAAGVQGWIYDSP PCYSAPRKCAASNNGLLPNAVNVAAQVPIYVLEGFSEAFAFPAMYEYAYTKAPKSMKA VVQAILSLAFAFAALLGIALSPTYHDPELLAMYASLAGAMCLTTILFYVFFRKYNAQE DSLNAGVNDD LY89DRAFT_733763 MSQITRHGVRTAQDLIKPLINRTPVLVSENLSHMASSPELNINF YFKCENLQKSGSFKFRGASNFIAEQPSEKLKKGVVAVSTGNFGHAVALAAKTAAQKRN MTIDATVVLPKTSAPSKIEGAKKNGGKVVLAGANPEDRDKTAKKIIKDTGAIFIGPMD DPSIVHGQATTTMEMIEQVHEMSGQRLDAVVLPSATGGLLTGAAVVCKGTETSVYGCE PQEGGPDLQSGIESGVLSNPKNQNSVADGLRASTSKGNFDLIRQKDLVAGLYTATERE IKKTWRLLMEELKLVIEPSSAVSVALLLFNAEFRAMLAKQRKNWNIGIVLTGGNTTVS RITEELGDFQPRSIASEKDWSEMYERSA LY89DRAFT_747893 MYHIAFLGTIFAIFCATQAHQLKQKPLAQYISYSSVPGYFLQDN NSTNSSTFDFVATNFGLINQTYPTDAQFDPKNTKSQWERFANQVFRLNLVSPSNIEYK ILFIGRHGEGYHNAAETFYGTPAWNCYWAQLDGNSTVTWFDAHLDANGVAQAQTVNNL WSRLIATQNIQTPQSYYVSPLTRCLQTANVSFSGLDLPASHPFVPEVKELLREGIDIH TCDSRSNLTYIKSLFPSYTIEPGFTEYDLLFRQSTSETPTAQGLRSKTLLDQIFSTDG ETFISFTTHSGEASSLLSVLGHRSFSLSTGAVIPILVKAETLSGTAPVTTVLPYTSQV VCNAPPITSQATGTCVCSSGSTISTGLAKMR LY89DRAFT_684819 MSSAKQTVLAIGGTGAQGISVVKGLARDSKYNVRVLTRSTKSKP ALELAALPGVTIVSGDGYNEAGLHSAFSSGIDIAFVNTNGFAIGEKAEIYWGIRIFEI AAEHGVKHFIWANLESSYKVSGYQPRFRTGHFDGKHKVADWISAQPKDGLMKWSILTS CMYLEMFSELLRPFPETINGEEVMVFKAPVGDGRPPMIFLEDLGRYGRWLIDNPEKSS GMNLKISTDQVGWEEVAQAFTEVTGKKAVFRDVTLDEYFASGAFPDPDAKVGHSVGHD DDTLQTYRENFSGFWNTWKENVLQRDDEVLDEILPTRVKSIKEWMQITGYTGKPGSVL KDYSDARRAPKVEV LY89DRAFT_63897 MSNPTPAQRLESILSRNEEYAETYKAPGKLMDGIEKRKKSGAKS MVIIACADPRVHPMEYLDLNRGEAAILRNAGGRTQGVIRSLLVLDALGDVGTVVVVHH TDCGTSQFGEKGEDEFQHRIEEKHPGLAEDHHGCWGAINDPYKTIVEDVDFLKSFPTI AENMEVFGLVLDTFTGKLKQIV LY89DRAFT_616276 MGDNIQAADVSNIGVDPDVVTPVETVPGECCELKSLVLRSKKGE IEITEKNSRSKDDDPYIKFALVSRQSFDENHTHTGTTLEINSPQLLKALKDVITYYPG EALEFRAKFTIEAPYMMLVHYREDIRAYGEASGDTETKAHVKLLMNYLDDEAGPKGVE TKEMINTGVITFPLLWMIYKPGDIICKRENGHSRLFQVRRHGYGESLNRGKYFDIGCS FVSYDGEHAGMSRERLRIWDRQEFFGLFSTTITFLSAFPVKFLEKSARLALEDKMAAR GRRYLDINERCIKQYDGLFLYLKRPPWDYYNENAAYDGTFIPETMSGRVVIDPKTFNE EARAQKEQVESKADNNTEEVVDSKKPAALDFSEDLHSNDLDPRLCPSYIYGFSLEKKE WCKFFVDFMSPVEWKSNSLDSLIIPAPQKRLLEGLVTGHSFPDKARDEKNLKGKGLVV LLHGTPGSGKTLTAEVTAEHTQRALLNISTGELGSYQMRIEVELKRLLTYASTFQAIV LIDEADIFLEARKSGPADQLEQNAMVAVFLRQLEYFQGIIFLTSNRVSVFDQAIKSRI HLALQYASPGKCVRRTLWKKNLESVPREDLDLDLEKALDAVEGTEMNGREISNSITTA KTLAKSEGSKLKLEYLQTIVQVWSEFEESLSKMSVLEGSWVEK LY89DRAFT_782037 MVWTLYVRYARKYHWMSLIPHKAFVTTKSAHFRTRLKILMVTLC KNLYSILAQNYNYQIPTLEGFDPSPEWDGKQLRLFLEPNGILQKLAQGTNDLMRSIVV RLGTVDDCALDGYTRKPEYLIFLRICTFNDDPASKFFGHRQPWKSASSDVAFALIKEW LRDCDSNHTLCKTPARDFFPRRLIDVGPIDGSAEPFLIELDNGALASSPNRASESALE YFALSYCWGSSQQLVTTPSNIARYKTSLPLSQFPATIKDAVTVTRQLGVRYLWVDALC ILQGSSLEAQQDWRRESSRMSSIYGSASLVIAAASASDVSQGFLADRRSYDAHTAPLK LPFKSSILPDDSGSFFIHPFLEEPAGFGYWSPFPNDPLSRRGWALQERLLSTRLLTYK ADDITWECQTCRRREKVAEQVDYCKAPNGSDERFWRLSKIRAITSLEWCDIVRDYSSR SITVASDKLPAVSGLARLCSKTTSDEYVAGLWKSDLLASLLWYTITWSGSQFSASSVY RAPSWSWASLDAEVNMRPTRKPAANKVKLSDQTSKGDLTEILECVAVPSGESEFGGIK DGWILARGPLRQVRLSLTPASDHGRPYSEFSLTDMATKEWAKDPKIDLMHKESASSSN VSELSKDLWCLALTNLHDDEGERGLLLTHARDGSSDYKRVGVFTNRRRHGDDLNFKVG QWERQTIKII LY89DRAFT_782038 MSSLDDILNDNAAFSVQNAQASQASLAHLTILRMFCAVYEKLGS SPAVATSWTSQDADARIDTFLRIATYRYTLYLDLLVSVTEKAKETWPLPPWDVAIVMH THLLAPKKFSDDITSNPRYSTLASLIDFPLMRLLDKIRRLDRDKQVRLNVGQWHRKFP HFPYDLITVGSSGPIFPPHLSLSRNYDPSTFSFPASSTSIFSFDLPQAVKRQMVFAQK ITSVFPWDPVPEEVLLDSQFRYGRFLNLFRLNTLQQLVPTMNIDLFWHTHQLSASRYL PWCVQHLGQYLNHDDSIDDVELSPSLDETSAAWKAHYNEDYLSPPPQPRSEEAPPPYP QQVDPFLTPATSPNIGGQFSRASLNEAQLNLWTYDVERQREHETYTLKHHRLQAELAA VNRDIANRRAQPIGPSRIVRSVFRVAIDGVTHSESAKAHRLKLVKKMNDEIAWYAELR KKWRHTRWPLLVAARGWGDPNLTSGAYSRPSQGTRDLPFAIYGATWYDNKPLGYHDYP NLARDAENGIVGGGLGADGGMCGGKFDGGNCAAILYRRPAGTSSSGGGCGGGGGGCGG GGGGCGGGG LY89DRAFT_567489 SSNGSLPASSGTSALSAVQTIAAGDSFDGGMVMYDRGVSCTGQS EGGDSDAVFQIENGGSLSNVIIGPNQIEGVHCQGACTLTNVWWSAVCEDAFTIKNQDA GETTKIVGGGAFGAEDKVMQHNGGGTLSISDFTVETFGKLYRSCGNCDTMYERHVIMD GITATDGSELAGINSNYGDSATITNSALTDVSDVCVTYTGTDDNDEEPVENGSGNDGT YCIYGSDVTES LY89DRAFT_551569 MPTFALPLASSTRTTHAQSHSSRVRFSNLPTRKRKRPVPTSPEN SSPEVSQDEDDSENIGLLPASTNPLSLTPAEIAQYRLAGLRLDEEIPSVKSFPHRGLP KRYDLKLDSGSKPLKDRKGKGKAVEEDTDHNVEIQKSEEEDPRQGVSRGSQLRVRHLG VLTTMLQRCLMEGDIERAKRAWAMLIRGQFGGKPVDLRQSGYWGIGAELLMRSMVKPS SRPSYDSDSEEEDQAERNGTRDGWRWGSKEGLEKMKDYYERLILQHPYKRHFHGSVNA LDFWPAMIGCEIYGIQAEQKDSSWKLEKEEENDDGGERSDSQSDGSEEDEDLFDPTDS GFAADQRRKDRRLKRRAYRRWAQREEIRQTALAAAERIAARLDDLMETPPFSDSQNLL RLRGMLGLYIGDLSVPDQPIDDEGDEAEDKSSLRSQRLSQGGNTARYSFRQRMNDHER GKKRQAEEQANAQRLFSRI LY89DRAFT_684822 MSAPPILDFSPFYSNDVAAKAELVRKVKDCCLHNGFFQIVGHQV PAELQTKIFDCSKAFFDLSLEEKSKVNKDLNTWNRGYELLRSQMLEVGTSPELKEGFY IGEDLPTTHPYFIEKKLNSGPNVWPSTLSPSPLSLEEFRDTTTAYYNHVVSLAKDILK VLALTLDLEEDWFNEFTDGAVATMRLLHYPPQEKDSDEKLSRGIGAHTDFGAVTLLLQ EEVDGLQVWEKETKSWLDVVPTKGAYVVNLGNMFMRWSNDRYISNLHRVINKSGKERY SIPVFFSGNPDYVINCLPNCCAEGQNPKYPPVTVADCVGGSYKESYGRAAAHKAEQAQ KGTMTAVGNSVPIAV LY89DRAFT_684823 MNTVSRQLASRLSRATTKISRTQLPRTSQRFIHSQQPTMTTATF KYIDQSSYEGKPWGKVDGPGTSFTLKDYQRDVTSLRGAESQYSTENSGFAVYNHPAEE ELFTNESAIRNGYYAEVEAMLRARLPGKVKKVVIFDHTIRRRDKNSPRQPVQQVHVDQ TPGAAAARVRRHLPAEEAEELLKGRYAIINVWRPIENAATDFPLAVIDWRSTSASDFI AVDLMYPTRTDADDDDRGKEKLPDEKTLQSLEGYQAKGETFAVAPKEGHKFYYVKDMR PEEVMLLKCYDSAGEGEPLGKKGLAVRTPHTAFFDPATPESAPGRQSIEVRCLVFYE LY89DRAFT_645675 MADLEKVGSKSERKINTTADVEQSSFVTVDPAAEKSYVRKLDFR LLPFLSLMYFCNSLDRGNISNAETDGLSKDLHFVGQEYSLLLLLFYIPNGFLDLPLNL LTKRFSGKWVLSSLCLAWGVIATLQAVAKNFAGMLVLRLIIGSLEAGFFAGTVFYLSL FYTRNELAFRIALYFGSAIVAAAFSGLLAFGVFQIKSSLFGWQYLFIIEGNLTILVGL FAFYWLPATPSQCRWFTEEQHVAARVRMLRDGSKNVDEKFNLREAMGAFKGWKMGLYA IISFTYAMGYTTTSTFLPQIVGRLGFDTVKTNLWTVAPNCVGVVILLCVTKSSDYFRE RTFHLVFAMSLTLVGLIILASIDVLAHKAVAYFAMFLMAGGAYIPSCIVHTWHNSNSL GENQRAAVTGVFVGLGNLSGIVSSATFRTTYAPKYLPTLIATAISLGICICCTLILGL WMKADNRRRNKEQGVKLGPGDVDTEGLTEGEKSREWRWSA LY89DRAFT_718530 MRSELEQLDLQPTFAQLQQQLTAFNHNMTACIVNASLRNDTDEL APLVNPQTNAPVMNFPVNSAALSGLSWPQKRAIGISLAMNDPGVNPNAAAKSTFFTQL RVKIGLRPRPA LY89DRAFT_782045 MDEPISYIPTQHAHLLPSLVKIHMRCIMEPPYTPATFLPPLNEE VMTSWWQDRAKEVEKDQRVIIMQMASNATTGKEEVAGVVMLEMPVTETGPFRGEVQKL LVPPEYRKMGVTSRMMAKLENVAREKGRKLLGNPAEFVYPKLGYIKVGEIPGCDISPL DGSLKTAVYFYKDMR LY89DRAFT_616301 MSSAIPNGSLVLVTGVNGYIGSHIADQLLEAGYRVRGTTRNLEK VKGLRALWEEKHGTNKVEFVVVPDMSTPRAFDDAIKGVSGIAHVASDVSFNSDPHKVI PVVVDGINSILKAAANEPSVKRFVYTSSSAAASAAIPNKEFTIDSSTWNDVQVKEAWA PPPYEPERAFVVYSASKTEGEQALWKFVKDQKPGFVTNSILPNLNLGKILVKGQPAST CGWLVALYNGDIGPLKDFPPQYFVDVQDCARLHVSALTNPDVKNERLFAYASPYTNNL LLRILRKLRPDRKLPEEWTDENVRDMSTVKNERSIELLKKDWGQEGFTSIEQSIKNCI DNL LY89DRAFT_645687 MGPGKSRTHSRTEDVDNSTQSILPTHDIHNTERPLRELIRTTTG IQPAGESGRKGIHPYYFFRICWRSTNRASRLCNILWPVVPAAIAVKYARHDLHLAIFI LNYIAMVPCANLIGFAGQEMGRKLHKVFGVLLETTLGSVVEIVMFMVLVKNDQFQVIK AAILGSVLATQLLCLGLCFFVGGIRHNELEFNEAVGEVGSDLLLTAGFGLIVPAAFHT AVTANSSITTGDVAGRVLHISRITAILLIIAYAVYTYFQIRTHESIYDAIFEEDENKD HDAHKGEHVKPKLTFTECILALVISITLVTIIAISLVEEIEFIVRDRGVSDSFVGLIL VPLVEKFAEHLTAIDEAWDNQMNMALAHVLGATVQTSLFNGPLVVLVGWGLNKSMDLN FDLFNIIVLILAILVVGNFLRDQKSNYLEGSLCVIVYINIAVAAFYYPNPDQESSAIS AAAVTAKRLLGLS LY89DRAFT_733779 MKTFAPSWALLGIRPPITQEVFDTAQQFGIQINPNYQGEYGEFA FSNSGCSPNENCAIFITRIPPNATKKEILDSIIEGKIFNFSRTSPDAVHDNAAAHVTF FERSAVDWLLQRAELVEGFRIKG LY89DRAFT_669233 MSQDKMPVMHINTLRGGEAQVVQHVDAEEQDIKYQRYYTLMKAI VAADEEWEDDYISRHQLRKIVWEIYPGDKEFEKWLLLRVTHFPRHYPNWRTQFVESTI RMHFSRNAFEHETRSFQAANSMNSLGPAPQNYMVATLSNETVRGSAFPGQSIDSTSGI QKCLSFTYPGQSTAPTSST LY89DRAFT_669234 MTYFHNHQRFGSPQYLEQTGSTRTKSNRKSEIAQFFVAAMPLMY TFWKNGGGVKHVSGELRMFSDEDSPPARLFFALLKTLKLCAENDKDQHIAMNKLRACV RAVYPDGKGFEKWLVQEVRNFSKSCPDLENRVCLGEIHQRFCYTTFEYRDKNRAIFET SEALVRKNRAYLERAKYLASRRQDSMESSGDWTLV LY89DRAFT_733782 MAAQAFLMVAPFLRPPGGVGSTPPLPYWLYSVVGIAILVAAVIY WLVWVKVLPAIGRYRLVPEHEKLADGTAVIVYQKVKTTQSKDVKVFSGTIWQNGCVF LY89DRAFT_585563 FNVSRMVREERAGIVRSPTENRRYLSNSHSRAAARTIEDLHPSL NCVLFWKDLPGDITEKEIIDQIDTGAVFSVHIRPGVPPLHPFPAANIAFMRHDGATAF LSKVRDNSLWLRGAQIDSANVTWNQHGQLDYENQDRSRVIEIKGPKKFMNWPVWDDFM KSCTKYQLCYHRFRPCPDDDYQRILEVAFARVDAQAEAIYYAIRKQ LY89DRAFT_548638 SRVLFVEGPEQMMTVDFWFQYFRQVSVFQWDRVLEHPPVRPGRK CLEFRFARINGQAQTCLQQIQRQEEFVGLVGAWYGLDPCAQ LY89DRAFT_585643 MALEAAGVTSRDLARKPLGSSYQGDVFAGSYLHRVNGLPDHLNS AIWMMKLRPDTQHSQIFDIIQCGSVWSLHLKLPTADHSTMAAQLAFVSPQAAAIFMHH YRTYGIQIAGNWISCIYDKHGSPGHTTSETRVLRIEGPATVMNPQFWDAKFRSACSFQ LDRWGYLQCDTPGKLRMEFRFSRVDGQAQTCRQMIERVLVHYGISVWYGPDPCGQIGN NK LY89DRAFT_718534 MERNSVDLRSDGTGPYNDQSNPQLNNRDTMATVRDPDRPQIHHP SDLEGAIRLVENTLIRQKQDRVALCAHIASTQTTNSTVASTSTITTIATLSVLNAAQT MPGEIPKSAAVGPASSPRAHPNHAMQRRPIRHREFRSSHMLLQFRGQARRDESQLPLG PIILGISTPMDFFLKSTDFQLEGTVRALDVKAPTGGYTTFAFSLSFNTPEAALAFWNR ANNGNVLLIGGNVVRVAADRVGVRRLDTIETRVLIIDGPSYLVNWPYLKAQFDDACYY NLDRWFMSQSPTPGMVRMEVRFARVVGQAQSCKQKCDWNPAHRNVLYT LY89DRAFT_64000 MLQQLQGRAQRDDSQLATGTNYQGNIYTESFLSQVGGLPDEENA SLYITNLSSGDYKAFFDLIYEGAVYSLHFVPPSDGYATWAADLTFMSPQAAGAFFNRA NNGQILYHLGKRLRVMRNRVGRRAQNIPETRVLLIDVPAWLVTWPNMKAHFDAACVYG LDRWSLGASPDPSLVRMEVRFARVNGQAQSCKQKLDWYPAHQGVLFTQYGPDPCDPRT RV LY89DRAFT_684828 MASLQAATVSNKIVRKRKRKDAEDDNCQSPAPASEAGVEASSST TSVLTKTHDGGAKPRRATKSKQPPALARNASSFSTPVTSDIPWPAYFQSLEKTHRALN LVFTFCSTRKHLATTFDTIKTAVEGHTKRTLEIEEVAKIVALRPGGMNFSYVDEAMLQ VDVRGSERDDTFKGGRAKSWHAAGPPPDVSVGGVTGGEGLGDEEIGDGKEVLFFEFVD GDLKRQVENKKTGEPTKATRKLRDEDLKMPVFSQKQMMGLIEKRNKKFTSAINAFLNR CREENVDPAQTLDKEAEAYIPQPSVSRSTTPKPDYSILPKTIPKERESISQIIKELKD SEWYTGQIVPDGHRVFDPQEAIYGDLNFQLSQDLVNALYNTKNITQLYAHQAEAINNL HDGHHVIVATSTSSGKSLIYQIPVLHELERDHNTRAMYIFPTKALAQDQRRSLKEMMR FMTGLEETIVETFDGDTAMADRNLIRDEGRIIFTNPDMLHITILPQEEKWRTFLKNLK YVVVDEIHVYNGLFGSHVALIMRRLRRICTAVGNRHIKFISCSATVANPLEHFKTIFG IEKVEMTDFDGSPSGRKEFLCWNTPYKDPGDPASGRGDTMAEAARLFCQLILRGVRVI AFCRIRKGCEALVGAVKTELTNLERAECMARVMGYRGGYTPQDRRRIESEMFDGKLMG IVATTALELGVDIGSLDAVITVGFPYSIANLRQQSGRAGRRNKDSLSVLVGDCFPTDQ FYMQNPDEIFTKPNCELQVDLQNLLVLEGHLQCAAYEMPLRPDEDAQYFGKNTQELAE ERMTKDELGFYHCHERFRPVPSKYVCIRDTEEDHFAIVDISHGKNIVLEELEASRAFF TLYDGGIFLHQGNTYLVKEFNPERKIAKVELVRVDWTTQQREFTDIDPVETEAIRRIP KSLSRAFYGQIKIQQTVFGFFKVDKRRRILDAVQVDNPPIILFSKGMWLDVPKQALEI LVERRLNVAGAIHAAEHAVLSLMPNFVVSMPGDVRTECKVPQKEFAQKESSRKRPARL TFYDAKGGAGGSGISTKAFEFIDLLLKQAVRRVEACHCHEGCVECVTSELCMHANEVM SKAGSEVILKSLLNMEIDIDALPMGPEEFSPAGIETVVLAQPVLPRGRMVDVVDVKNE GGARRDRVVGHVDEDGVEEAVRIKAEPED LY89DRAFT_684829 MASTAGADAKSSQTSNHEDKMNHELDVTESTNHDLPARTMAEDE RPGQTRDAQRDDPATVAASEELKHTTISDKIATVSRVQPLDVQQTTGEDKEMGDSGRA STPDTDPTDAQDEEMKERLASPKKKRGRDQEDDSKDLEDDAADEPGSSADGSVVNGSR TTRLEPEKKRPRDTSEDTTKATETTAEAKLQTAPADSAETETTKSEDKATNSSHKDSK TSETSSKPQTSPSGFASSGFASLAASSTSPFGSLGASKPSVFGGNTQAPSGFAALAAS KPAESSTASVPSGFGALGAKPTTGFGFGTGATSGFGGLASGSVFGSKLGNGFAGGAGP KLSSFAAPVKETEVSGSKPIKAFGAPESDEEPDSDEDGDSEGGDGNEDEESGKVEADD KKKIRLTKVHIDDGEAGEATLLQMRAKVFAMDKVWKERGVGVLKINAPKSCVSFDENG APIPGSFDASGLDDEDADPNAPKVPRLIMRQENTHRVVLNTIIVRAMAFSDKQPASSS AQILFTAFEGEKEPKPINILLKMSEANATLFRREIASIQRELES LY89DRAFT_684830 MASIKKIEDKPKTSSSHPRMAKPAKLSFTKLPAEIRYMIYPYLH LKLDRPIKISPSKGIFKRGLKEQKTGILRVDRQTYLEAGPFFYSQNTFLIGNSPNPSN EEPNLHGLKQFLTRVPKHHLAAIVNIGLVCYFDHKRSYASRAEWIFMMQTSLKRFTGA TMLQCYAERWLAHPQNTLWDGGRPSENKASMKKLLTMWLDSSPEHNLSIDPVELIALR PLLRELTEDHPRVREFLQSVNSS LY89DRAFT_616311 MASLLRQIVAGPRSRHPEAGLDLCYVTDQIIATSGPSGTYPQRA YRNPLDQLVKFLDYKHGDGWAIWEFRAEGTGYPDEEVYGRIRHYPWPDHHPPPFKLVP MIMAGMRNWLQGGEEGRTLGDKGRVVVVHCKAGKGRSGTMACSYLISECGWKPEEALA RFTERRMRPGFGQGVSIPSQLRWVGYVDRWTQNGKVYVEREIEILEVHVWGLRDGVKV QVEGYVDEGKTIKLFHVFTKKERVVVEGNAPGGSGFKDMISDMAGFQNQANIKPTRTQ TPQFDGTHESKPRAEASSSSSSTDITGGELGGGAVVFKPSTRVILPTSDINIDFERRN KASMGWTMVTAVAHVWFNAFFEGHGPEQNGHADETGVFEIDWDKMDGIRGSSKKGTRA FDRLAVVWKAYDPEPGRGRKESVIHEPGIDSPVPEMSPADWKGGHQTSPGLGKDLGLR TESPRSAEVSKASSIKSHHSKDRDNDSEEGVRPSGPAGEDDINVDINELPQPHGNASE PTMEAVNARLDTASSTTPTVDLPGGVPEDQLEDAKTHRLGHVQATRKTIDS LY89DRAFT_566116 MLRSSSESSFDESYFDKTYVPLSSLPTPPLSHSRTNSRQQSPDT LSPDEFLDPDLLGPAIHLTNLIPSSTSLTTPSIPLVHSILNRSDLPLETIALAVCILD SLNSRFALQWRTACPLSIVSLPPPFNANGEKLETQHIDEIHPEIIVLCALILAVKFLD DHQQSTREYRDQWGLNLWTCEQINFTQRILLENLGYRLLPLWEETIILEALEDMERAS RQYEPEIFNDEEEEDWESENKHYNLVRIGGKAVLGLGNQLTPVETPMAE LY89DRAFT_64100 MMSIERLASCLRIKQRELEFCPQPQPQPQPSNASRDPPLVLTPH RQKEQGKARASKRRLKPTSSFSHNSGITAHVLLTFPSLLPLVYSLSVGLSAGRNENFT LINCLLVVWFIHSFVPLHSFNHTITQSHNHTITQSHNHTITHHIYPPARIRITSSYAF DTLERIPA LY89DRAFT_64183 MVSIQAFKQSSTAFVIRSCCVPRYNRLLRLLLDFWALHKIYSNI NRVSFSQAQPPGGESLPLSIPPARQASQSNARESSLLSLVHRIYCKTFTAYTSLNLIN GFIYFPIQRQPALLPSVHLFHFLTTFHCFCTNRFLRDFRAPAPYSRTSSATSPFFARH QKLGSVAGHTMIAKIS LY89DRAFT_585653 MSRIAITGVTSVVIGAGLTYILYQNNYVQPLPPTRLRSEIRAER EKAYAVEEKKQEIAAENAELLSTLPYQQDKVVAAASSDDSAWGSFTTKFAIFSSITDL QWGAVTDKIVDFVMPDWAKLLPDYISKLQRELSMAPGSLADEIWQEAHDPYINPEIEY SASVRVSSELCDEEKEFLQRRKKVTTTALAKYLGLPEEEVHPEDVPTIAMVGSGGGLR ALVAGTGSMLATSEDGLFDCVTYTAGVSGSCWLQSIYHSSLGGRRFDKMVEHLKARIG VHIAYPPVALAALNSAPTNKFLLSGFVEKLKGDPNAEFGLVDVYGLMLAARLLVPKGE VGVDDRDLKISNQREYIERGDHPMPIYTAVRHEIPIIEEASETEKATGSPSEETKTKA RKEAWFQWFEISPYEMFCEEFGAGIPTWAIGRKFEGGKDLPYDEDGLRVPELRLPLLL GVFGSAFCATLSHYYREVRPLVKGLVGFGGIDDLIEGRNDDLSKVHPIVPASIPNFAY KMQDRLPETAPESIHKNTHLQLMDAGMSNNLPIYPLLRPGRDVDILIAFDASADIKTD NWLSVADGYARQRGIKGWPIGVGWPKQSDTAEKTAGQLDEAQASTAAEADSKLEEAKA DQASNSQDDDDSGDLGYCTVWVGTTQERASSTENVKSKAVKEDWQLMQPDAGIAVVYF PFLSNPKVEGVDPMTSDYMSTWNFVYTPEDIDNVVALARANYEEGREQTRRCVRAVYE RKRKKREERENAERELRWRRKVRLGIVGKKGEGDHFHLT LY89DRAFT_718541 MATFEEGVEALMKLSKVEVENRKSEDMKEIVNYEKNIAKLHQRI NHRCSKQLTSERFAHFRELREQAKKAKCRKSIAELEKKRSKKLNSLAEAEHALSKKMN QEDFETEVEKARALKESHVVRLELNAREIRFINVTLSKKVSGEMAENLWEQRYMVMSE EELQEELSFRMRDDLIFKEAIRRNALNDGTFQ LY89DRAFT_733795 MRPIYDKWSGKLNPHYHAMIPIVNEKLRSAARNEKEFARLKTAD FALFGAMWWPTANFDELRILIFWAVWIFLWDDEADEPTGQCADDFETAQNFRQETIQF VHGCLKLDKTEKQRQGLFYQLTKSFQVFGHQLEATFEYLLGLSSNNKINSAIAHKILR SLTVVGTRLKSSLTWILGFSASKSPPTASSPVIENFRVVGEALVRGYTVEQRQNFFEE MKLAISSTEAEQKPKLEGRLPTLEEYWSTRMGISAVGVCIALIELASGIRGPYETNRE PSLKILCDETNIVIIIANDILSLKKEMAKECADSLIPLSCLKNGDVQSVLDQAHTDLL AAVARFEVEAEKVLSEPRQTRMSDEELRVFIDGCRQLWVGNFEWSLRTGRYGLQSIDK TNGSFSVVL LY89DRAFT_64305 MRINACCPIFLLCRLLQAKKAILIFVSASIPVSHVSTLAGWSLK KVVSDISLMIGLTSKTGKYFHVSVDTRSDVFSGYITNITIPQSQEYQ LY89DRAFT_707261 MSGLGRKAWSTKYQESMMAVGLGTRQAADEEARAEVEVLNSRLE KTRILNTKLSACLGRLETSGKSVKEAVGPLYGNTQKLQVLGTNIDGVISAIERIRQPS DIKSNEEDIIRRGPEKVGLASYLSSVKRLSQALSGLKNTNLRSNQQAVADLSRLLKSG NTQLEAHFQKLLQEESRPLEPLHYITKDKPFPTLSQDKMTRLGLIVSYMASVTRQSGS GDSPLLQQYASVRGPYLTATLQNLSAASINTAKKKSPDAVYRQGTNGMGTYASGMERA FLSEYENVSALFARDEWGKVFNLTCQGAISELARTLRELNSHIKANLTTDCYLAYEIV EIMSNLSSNMESRTGELKPSFAAALKPIRETGKSSLSDLLEDTRRRVANLPVVPLDGA SLPITTETMTRLHNMVDFLRPISSIMISIGDGGWKSNAASNNSSDQIPSLKSFDVSAD GTDIFCHYCIDTIETLLNALNQKATPVLKGKSALGVFIANNATVVERMIRTSELQPLL SNRIADVDKWRKNGASMYSSAWREPCGHLLDVQYTNRGARPQSGSAQAIDSAAILKSL SSKDKDAIKEKFRLFNTSFDELVAKHKSLSMEKEVRDMLAKQVQQMIEPLYNRFWDRY HEVDKGKGKYVKYDKGAMAGVFLSLS LY89DRAFT_697142 MDPTKPLVIIGCTPVYGHLMPIRAMAKLLVQRGYEVTFVSCSHY QKLVEEVGCHYVAIEGYGDWYEAELNTRFAERNTLPPGPVQLSYDIEHCFVRPIPTQH EAMQKAIKMNMERHPGRPIVQLSESVFQGSLPVNLGAGIKPTATMGIGVIPMSLSSCD TAPFGPGLPPDSSLEGRAKYAAMSKQIAELFFGKPERVWKEMFVEVGATIPELPMFDA PFYLQDRFLQMCTPSAEYPRSDAPDTIRFTGGLPKGSRDPMTEFPSFWKEITAGDKDI VFICQGTIALNYSDLIIPAMAALKDRPNTIVVVALGIKGEKFPEGTFVPENARVADYI PFDELLPRCSVFLTNGGYGAFQHAIANGVPIICGGAGEDKPEVCARVEWSGMGINLKT GQPTQEQISEAVDQIIKNPKYRKRAKEMEAEMASFDPISVVAANIDELAALKAGK LY89DRAFT_684837 MSDFDTAVADSKKLTSKPDNDDLLALYGLYKVALGEDISKAENP GTFDFKGKAKKKSWQSYVDKGLTSDEAKAQYVELVEKLKEKHGYDANKVPEAVGAK LY89DRAFT_585461 MEDSEERSRKRPRLSNDEDVTTSPSTLPRTLTSAISPPPLRRNR KVEIPESKVLKSPFQLTWIRDLPESSNVDAVSLKDILGDPMIAECWEFNYLHNLDFLM DAFDTDVRDLVKVHVVHGFWKSEDPQRQRIKLQAEKYPNVSLHTAYMPEMFGTHHTKM LMLLRHDDTAQVIIHTANMIEFDWTNMAQALWKSPLLPKMSSDASEPPESPPMGSGEK FKLDFLNYLRAYDGKRTICKPIIEKLLQYDFSEIRAALVGSVPGKQGIETDSKTLWGW AGLKEALKSVPVTEPETEPEIVAQISSIATLGPNDKWLDKTLFKALSTSKNVISSKPS FKVIFPTADEIRRSLNGYASGSAIHTKIQSAQQQKQLQYLKPLFCHWAGDGGSTPPPT HDAGRKRAAPHIKTYTRFTDSTRTAIDWMLVTSANLSKQAWGEATNSAGDIRICSYEL GVLVWPALFGEKSTMVPTFKSDTPTVDAENSGTELIVGARMPYDFPLVPYGKDDEPWC ATASYTEPDWKGETWKVE LY89DRAFT_684839 MRLLPVSLICALFASVAIADSEERLGATEIPGEITEETADGPDP TVFNGIAVPPLVDIDGEKFNTTVKDGYWFVKHHSPYCPHCLHVAPTWQTLYEFYYTSK PVPAGSTVDSSSTSMNTFTAYYNYHFGNLDCVAFGSACTAHSVGAFPTFILYKDGEEV KRFEGTKDMAGLSKFVEDSLETIRPGSRTPGGPELPATGDSHSKDYNAVEEAPDSASK KPDTPVKDTELTPSVSKDTKESKTGSSVLKATPVKNKPKKTKAAKPVATPNPLGKSIS FTAESFQTQVTMTQDPWFIKFYAPWCHHCQALAPNWVQLAKEMKGRLNIGEVNCDVET RLCKDVRLRGYPTILFFRGGERVEYDGLRGLGDFVSYANKAIDLGDGVKDIDAAEFKE LEEREEVIFVYFYDHATTSEDFAALERLTLSLIGHAKLVKTNSAALAERFKITTWPRL LVSRDGRPTYYTALAPQDMRDFRQVLHWMQSVWLPIVPELTASNSREIMDGKLVVLAV LSREHTDEFLMAKKEIKSAALEWMDKQTLNFQRERQELRDAKQLRIEEAEDRNDQRAL RAAKSIRINMDKSEQKEVGFAWVDGVFWERWIRTTYGIEVGKDGERVIINDEDNRRYW DNTITGNYIMASRTSILETIPKVVMSPPKIKPKSTIGNFEKFFFDIRSTISSHPYLSI GGIIGIVLGMAIYWRKRVRRSRGGFFRLDEKDGLLGGNMNGKVD LY89DRAFT_542623 ADFWSVKFYPYTEPGVDPVFAVVGGKRILVCRPPTGKETSSKVE IIRLIIDEEVNSGGSNHFACAWSKDRETGDPLLCVAGSTAKIKVINVITGECLRVLAG HGGEINDLAVSPTDPYILATGSDDCTVRIWSLDPAHEAQPCCAILEGEGHKETILSVS FHKSGRYLLSGGVDHIINMWTLPELPDINTGTNRTTRIFYPHFSTSEIHTNIIDCVAW HNDLILSKAARDHSIVLWSIANFDSTLPPPLPSAAPIHDPSKDTRSAFHSSTTPTALY TRHLQFSIPDSDIIFMRFSLF LY89DRAFT_733803 METTFMTIHNLGPEANILYASESIVDILGFQPEEVVGKSCFDYF HPDEVPIARVVHSRGVQMDKAAVLHYARIINRQGEWVGCECVFTIVYEVLVACTSIYK GDAKNERRAIEAPAIRRLFASSPRDPRYHMLEHLSSKFRTSPQASLLEPRAALILNRF TRTLTVMYATDAVQSILGVTPDQFKDKSFYECMQENCLPEAIRCLESAKANDSIAYLR FWYRDPRREEDFQQEMHDASQSSDSEDGGVDLNVPMDLDPESAAHVSPSMQHESPVSQ QRSQNSRTSSGESTDLEHDASNAIFDRAGGPTSSTSSLIVLSPEARRRRAPTRSPQPA NEPYEIEAVVSCTSDGLVVVLRRARPLIPTLQPPPQVPQYANGLFAAPWGANPIRPQL YHPDHQVPFQHGLQAPQLPAGGPPQDEFMNSIREVAVFAWSLVGINGNIASYGRGNPY GEAQPPGGLPVWDPYAQPTPGFAPPENQAVQRWSRMGQKTNEPGDGNTVPYQHGRQEE LLRSQNGFGSGPMQLDRPDQMGQAPQGHQFAFSFQPQTQADMLSGAHPSQEAQTDWTP VNPPDQHQSHQGPSEGFGGNRYLWY LY89DRAFT_684841 MASSSNPYEHYNSPEVEDEDDLIDPDDANLDDLDDPLQSSSRAP LTGNITTNSSRPLNENYLTSRIPGEDRRAPTNTIDESVWDTLRRDLLAVWSKMREVLY PKYLFGGSMLENTTSFRGAYENIRGAGISGARDELVGLAGRALDPEALLAQGNMSEGL RDWDLWGPLVFCLLLSLFLSFSADREQKSLVFSGVFAMVWIGEAVVTMQIKLLGGNIS FAQSVCIIGYTLFPLVIASLLSVFHLPTVARVPVYLFLILWSLAAGVSILGGSGVVKN RVGIAVYPLFVFYLGLGCLCFIS LY89DRAFT_64372 MKMWVTGFRFVPASFGKGRNVKRDGSSCREKVFRLSLFCERASD RIRASGAKSVSFSACFASLQTFCPPRHSTFFYLGVCFIICRKNLYCLGSNYDLHLNSL EQYFRALLTLRRSSLPLLFVSTLLKATIPAKRAQCSTRCPATSPPFMKPALKDSRCSP NPGAPRSELVLAPFLATNICSG LY89DRAFT_782064 MGKTRVWKLENFSQTVGASKVTTGQMTGLFKHAPGGKNLLIDLP LEILEMIYELAFESDDCIRITQHRPSTRAYSKELRARMNTRGVTPLLRVNRFLYLNAS PVFYRINKFVATAAKRRHWDCHELSRSHPDRGTKFHSLEQFIRVIPTQHLGCIRHLQL NMDGDNCSWCCRSILDIVTCHFPGLRILELDRFCNERVPDLVSNPKKCQRVFERTLQT LQRMRKLKKLQQLRITGFFKDLEFPRRVRESLKDVCRVDFQGAAFQHPDSFSQGWMGL WNECLNAVF LY89DRAFT_616348 MSNKRIEQSEIEKYWEIFASLSNGGTHLTGSQAAPVLKNSQLRD DQLERIWDLADVDNDGNLDFEEFCVAMRLIFDLVNGEYADVPPQLPDWLVPESKAHLV QANRALTGRQVQFERIEDDDDSPGLKDGFDWYMSPSDKAKYEEIYSANRDGRGDITFE SLDSLYSSLDVPDTDIRSAWNLINPSASSAISKDATLAFLHILNNRHEGYRIPRTVPP SLRASFERNQIDYQIDNQRTSSPAQRWGSTGSEETSTGRKAKFGDTYLSRMGMGGKSS YRPAGTDFSATKTTEDWEEVRLKKQLSELEAKIEKVEAASASRSGGKRDTKPALVKRE LEQLLDYKRRELRDLESGEGNSKVGASLKGVADEIATVKEQVDGLEAHLRSRQQVLAE LQQDIENEKSGR LY89DRAFT_616353 MASPEPQRTAFPNGSYGNLLNRENTPATTSAINGAQPNGQYTFR APSPPHIHIPQANEDPNFLRNVPANREYSDDEQAILARVVAVQHSLPAAVDWRYELRR KAQQILPFLYLGPSSSARDIESLRAEGITMLLVIRNTMTAQASLLSGEKVAKQLGIQS AAVDVAGNQELIAAFPRATKTINDHLISSYRRLACDDDAQNGRNTWGKVLVFCESGNE RSAAVVAAYVMSTFALDMVTALQYVQSQRFCVAYDDGLKNLLQAYDDILSARRSVSRG TSAAPQVPATQAKRRRDDVDEDEDMDMGTMDVDRADDMERFAGRSSYAPFLDVS LY89DRAFT_684844 MSNLDQLVKGAPSPGSQYPAPSTSPIISIEGSNTSVPDPLASLP SSPPQIYLNLLILEASLRAQWLQLRTRRRQHTFFLTLLGLWTLYFGYALFLAPREDGS GIGGSVYWAFEVGEKVCFMGGIVTGILIWGTGQWERGIRWPRRWVYTTNRGLRGFNCK VVVIKQSWWRELLSTLSFLFSYGLFSSNTGSSYRYVDQTLLKESEKAQPTGGHHALPN IQEDDDIAGYEEDLAPGGDYIKLLLLPKPFSPNFRENWDIYRTEYWEKENERRSILRK KLKERDRRLAKEQGGWLWWTGFRGWNRGKTPDVEKSHHVAHKHATHEKEPKRTRSGSV RSGSHSRNSSRSSTPAAELEEGPIGHVRRGSSSASDRRKRKTTTSTSRVQKLTPGSRS ANPDVPSPLVRENSFTSVSSLDSERPATPARDADTSKLSTRASAARAAKQS LY89DRAFT_584900 MSASTNSDSSAGRGQQAMLASQRAKANNEAKKAGYFPLGYKEGI SQWWASISPAVAEHNVMAFVPYLQKPPTHTQTGSAPVSASTSMMSINTDPARAAAPVR TTSITDPYGPRSWHSNLVKLSGKNRALNEFSVQRDGEPVEENLVMLHGYGAGLGFFYK NFEGLSRVQGWKIYALDMLGMGRSSRPPFKIHAKDQAGKITEAENWFIDALEEWRLLK KIDKFTLLGHSMGGYMAVAYALKYPGHLNKLILASPVGIPEDPYAMQADMPEPGDSTM ANEFTQDQEDDIVNRPTNGQNNFFNAKDKAEAAKKKNEAAPRRPLPKWLTYLWDANIS PFSIVRWAGPLGPRFVSGWTSRRFSHLPDQESEALHHYAYSLFRQRGSGEYVLAYILA PGAFARSPLIRRIQGVGRQPILATSSLTSSPCSTSQNLPTATSPSPSKEMGFPIVLMY GENDWMDIAGGYAAEQKLKEEKAKALKEASAEEKKRENGSAKVVIIQKAGHHLYLDGW EQFNEVMREEMEETKRDTKKFSRL LY89DRAFT_684846 MTEVKPLRIVVGGDDAGYDYKTLLTTDLNSSPHVLSVHDVGPHS SSDKTAYPHFAVTAAKMIASGAADRALLICGTGLGVAISANKVTGVRAVTAHDSFSVE RAVLSNDAQVLCLGQRVIGAELARRLVREWLGYRFDPNSSSAPKVKAINDYDLEN LY89DRAFT_64863 MSSSFQRKRTVGVSLKMYFDLEKTAEYIRSCSPLAPHALSHSVD IFIIPDFLSLTAASTILRLEAPTIRLGAQDCFWEDNGAYTGEVSPAVLKSIGCSLVEL GHAERRRLFGETDEHVAKKAQAAVRNGMTPLVCIGEKSQGSVEEAVEECRPQMESVLG VTDEEIIFAYEPVWAIGQPEPASAEYVVSVTKELRKLCGQRPVRFLYGGSAGPGTFDA MRDGVDGLFLGRFAHDVKNFYEVIKEVGAS LY89DRAFT_684849 MAKTIVILGASYAGLIVAHKLLKHSLKTAKEELKVVVVSPTTHH YWNLASVRAIIPGQIPDEKVFSSIPDGLAQYKESAVFVLGTATGVDIPSKTVLIKTPS GEQKQAYDILVIATGCRSDDDAPWKSSLSGYEATKAALHKTQEHVKAAKTIVVGGAGP TGVESAAEIGFEYGKNKEVTLITAGSQLLTGVPPSVATFADNELKKLHVNVIKDTKII SSTSTTEGKTELALSNGEKMVVDLYLPTIGVIPNSEFLPKSLLDDKGNVMVDQYLKVK GAEDIWAAGDITDCQPAQYIYCEKQAGALARNLDLVLSGKEPVVYKTDGAPIMGVSLG RSRATGRFGNMKMPSLVIWFVKGRTLGVQNMPGLVSGASA LY89DRAFT_64902 MSAHVDNKAAEAGVSQAAVEPEHDENGELVLPPGWLYRRFQIGN WLTPWYASPKVQLIIVSFVCFLCPGMFNALGGLGGGGKTDATLADDMNTALYSTFAVF GFLGGTIVNKLGVKVTLGFGGLGYCIYAISLLVSVHHDVFAFNIIAGALLGLCAALLW TAQGTIMVSYPKEADKGKYFAIFWAIFNMGAVIGSLIPLGQNFHVKTNVTVSDGTYIG FIVLMALGAVCAMFIASAREVVRTDGTKVVLMKNPTWWSEIYGLYESLKFEPTVILLF PMFWSSNWFYTYQQNAVNGAHFDTRTKALNSCLYYLAQIFGAMALGYALDYTNFRRSL RGKIAWGFLMAMTLVIYGGGYAFQKQYTRADTSADDWSSSNYVGPMFLYIFYGMFDSF WQCTVYWYMGALSNSGRRTANYVGFYKGLQSAGAAVMWSLDSRKISFMSEFASNWAIL GASLIVAAPVVLFKISDHNRIEDDLRGTDETLADVVPAAALADKHALEHASQEGEVV LY89DRAFT_585086 MEDEFDPEFATAEERAVYEEEKKARIQNDRAEERRRKLALKKRK QVQETRAEREAKAKQLDGLLANCATFSDILTKKTQVLGRVGSGFDGKALGEHNLELSK QPECMGGGTMRDYQLEGLTWMYEICSQGMSGILADEMGLGKTIQTISLLAKLREEEGY MGPHLIVAPLSTLSNWMEEFNKWVPGVPVTMYHGTPSHRQNIFRDSLKKNLDKANRAT SKFPIVLTTPEIVIRDANDLSKINWEMVIIDEGHRLKNSDSKLFRILQTFNSITRFLI TGTPLQNNLKELWSLLNFLLPKIFTQWEQFESWFDFSDLQDEEGTEEFLQDKMKQDLV KKMHLILQPLLLRRIKADVEHLLPKKREYVLYAPMTKEQTDLYNVISDKTRDTRAYLE SKVVERLTGVTNTPAMSRKVSPASTVVSSLKVEESDSEGDIPMAKLAIKKRGRGRPPK SATPKNAFQQMMERKASTSTKPGRKRKIAEPASSPAPKSSKSSRQSTPATSIRGRKTK RKGYAEAHSSDEDALSDNEFEEKLAEEFAEKEADVTSEGSEEEIQRAKTLELAKKEIS NKKLGNPIMQLRLVCNSPHNFYNPWSMDSGLEVDETLVTSSGKMLLLDRLLPSLFSRG SKVLIFSQFKTQLDILEDYARDLRHWNVCRIDGSVAQEDRQRQIKEFNEEEDCRLFLL STRAGGQGINLATADTVILFDSDWNPQQDLQAQDRAHRIGQKNPVIIYRLATKGTVEE DLLLSADAKRRLEKLVIKKGGFKTMNQKMENEEGMSEDALKTLLLKDGQVYQYKGGEK ILTNEDLDVLTDRSKAAYKRAEEGLGDRAGFKIVETKVGGLMTGMERRKEKA LY89DRAFT_782074 MSESDNRTMEPNVDESFPSVNNMSNEASDKSPTVEVEAQEQPAQ MTTPSRGNSESPQADSSPSDQKTAGTYAPPAPTNDPTGLYTEAYRRSIAPEDGPREPS PPAHTADFPPWPAVDSLNRGQGRRVRPQSRSSIPQYGKVTFLQRQRALISGNMPWQRA QRRTQQQSPPNGYTSPDGFSPDHSRSERGGQTPSAPGYGGYQRYEDNQVQEPVRKVVR EPTRTGRGGYECYEDGELDQPAPNVVREPTRKRAREPSREPARNSGGHRNTRPYQTER ENMMHKRSVDDKPNHNFEDSEEDEEEDDLFVYQGNYRARVSAGKSRSEPKYHYTSNDW ERLPSARTPNSQPRQAPLNDEKYYENVAEDLRPSRYSGAPMPWGLNQDPPLVDQDEVS DAFLLKRGLTRGNSDKVAARAYGANDPENIRIVNLKEGDDLSFKEIADILNAERIKKG NKPTLTGISCNSRYNRTAPLLFATQGKTFVPLSKRKKGFMFDKHGQPTGQLAWNATLD EALVKAVKSFESSRWSTVAGVLRDQTGLPFTEEMVARRHAIL LY89DRAFT_684852 MASESTDDSFPWHLGVFDAHCHPTDTMSSISSIPHMKARILTVM ATRGQDQELVAQVADSYGLRSQSDIEKDTEDRCIVPCFGWHPWFSHQMYDDTEAAISN ADTPEFKTRHYQSVLKPRPEDSAFLNSLPQPRSLKQFLQQTKAYLEQYPISLVGEIGI DKSFRLPGPWSQELEEERDQTLTLGGREGRPLSSHRVQPDHQKAIFKAQLRLAGEMSR AVSVHGVQAHGVVFDTLQETWKDHEREVLSKKDRRKAERTTHPKDEHQPETVVPLPKP FPPRICLHSYSGPPDPLKQYFHPSVPAEIFFSFSEVINMSSGPSSKATDVIKAVPDDR ILVESDLHIAGDEMDKKLEEMCRKICEIKRWNLEDGVAKLGENWRRFAFS LY89DRAFT_684853 MALFNPFYGLILPFLFIFTIPIAILASITTACAFSVLLFRVTLV YIELALAVIPHWLLGGEITAKQLRRTKSLTNTSPGRRKRRRGSTSSNASATGMLTPVS SDNVMGLSAGPTRDYEGVGGWRIDNPSDDDALWTKINSRLELPADHVRRHHRSLTSGS MPGESTAKVNRSYSPEAIMNTSRARTPPSSAIIGRDEGYFPQIPISSKTSKRNSSSTG STTSSKGSGLCIKPI LY89DRAFT_669265 MLLDESPAFLIHETIGNFNTQPDKLVLCRINDSLSRLNEGREKR VMKAESSLKRLARTLTTLNNHHLETLSSHSSTAHASEIATLDTQKFRIAKTANDLEIE SERLSSQLADLQARLQELELQGVDGGDNARRGLVDDELTLRLKLYRGLGIDLEKDTES GEYTKAIIRNEKKGDVNVVNLDNKFSRYFYANYFWQQL LY89DRAFT_707282 MLTRRVLLDAADAESPLKPAELQVLRSQYEKEGEHVGVQTKFNF AWGLIKSNTRPDQQEGVRLLSDIFRTSPERRRECLYYLALGNYKLGNYAEARRYNDLL LDKEPANLQASSLRGLIDDKVAREGLMGVAILSGVAIAAGVVGGMIFKGVGRKR LY89DRAFT_669267 MADRDHSMSGTGTRHLDAFGDREVVYCHQCDNEWHRDEHGLTCP RCHGEITEIVSADSDPRPFHDEPPPRAPADFRSLHDHDPWADADISDPEEADIEEHIT HGPGGSMMFSRTIRSSPNIRSPRRRDPISQDDPDHVLRDFQNMVGNMVGPGFRAGQPG RSGPDTLFEQGGPFARNAFLFGGNGGNGPRLMGGRLTFTTGGNLRPRDPNGPQLAGPQ VDDLATYASPLRTSPNGRSLYLVSIRAPPDHLARIIGGLFAPMGGPGGPNERGPAGMP PGLANLFQAMLNPANARSGDAVYTQEALDSIISQLMEQHPTSNAPGPASPDAIASLPK KKIDEKMLGPEGKAECSVCMDDVHIGDDVVALPCSHWFHEVCASAWLSEHNTCPICRK GIDAETTPPSNSRRASQTGSPSGRSPRPSRFGLGSEYSTTTRNETRLDSIRNARYSPT EEATSPRRWQVVGDGSPHATNSPPNEDFAAPMPGSFYRRPSDMSDNQRDSRRGNTSGS DRSSRDSRRSSHSGGSGGPVSWLRDRFGSNRRSD LY89DRAFT_585042 MDQGHYAISPEATESSFLASPSIEQIKQEDGSSQPPKKKQKRNK PTLSCEECVERKTKCDRGRPHCLACIKRQTECKYAHVANILEETNRSVSNARRMTKPP KKKPSISNGFEGPKQAPNPQERSASRASTSSSVGLLSNVPYSHPTASNVFGIGSEHPF ANYWTCQGGLPETISVLPEKVQAGILLERYFECVDPVYPMIHRQTFYADYEHFWSLPQ PEKDRVDGALVAMIFAMLAMGTQFVTTTGPKERQQTAEFYVSAAHQSLRMSSYLNKAS VRSIQAMVLITYFLINDNHASDGWAFAGILIRQAYAMGLHRDPNIVCPNASIFDKQLR RKLWQAVLLQDTFLTVLLSLPPSATHTDVNVEDLIDDSSSIAHSNPTDTAYIRGCWTL ANLVQETICSPRSLDLPICATPRQKSKLIADFRAVYRSFPDIFRSWDAESIAILAQSN KRIVRQTLFLTSNYFHNVMLLHSSGSAEVPVNVRGALEAAHDAINAFFLLYTLFESEA KVWWVFNHRAFLEAMCIGNILREEAKEENGEELLAKDPLFVRAKADIIRMIEIMQMMS EGEHGSAVALTRVSVLSTYL LY89DRAFT_718564 MPSASSPINYPPSSSPPIAKRQHPEKSVDDRKPKTIGGFLQDDD SDDDFARVEPAPKRRMLEKSPCPEEEQGLGQSSVLNTLRNTVQEEEIPNGIEVADDEI LEPDVPGEVVLPVGEASDDEFHDIDSEALPTFLAKRKGKSFNIVTSSGKTIIIHERKK TAPLPFEQLVASRSTTKAGRAKKSYYGIDIHELIDEASEQQKMVAKAPQPTNEVLPSV ETPGAKPKSRRTLMWTEKYRARHFMELVGDDRTHRQVLRWLKAWDPIVFPKSGKAKPA VIKRPGAEVEEEKPHRKILLLTGPPGLGKTTLAHVCARQAGYEVMEINASDERSRDVV KGRIRTSVGTESVKTGSTTTTKSGQVKKIAQPLCVVVDEVDGVVGGSGGSGEGGFIKA LIDLIILDQKNSSSDISGTGQTRKKKKGDDFRLLRPLILICNDVYHPSLRPLRQSSFA EIIHVRKPPMDAVVGRMKTVFEKEGVSCDSDAVRRLCEATWGVNAAESRKGRETTGEG DLRGIMVVGEWAAGKLKASTKDGKARLTRKWVDDNMMSNLSHGGGGARGIGRGGAKEV VNRVFLEGAGFPKTAFANPLPGFSNELPQTQLGVAELAKKAGMDRLREMVDTSGDIER IVTDIFSDYPIQPFNDDSMLTKPDAAYEWLHFHDACSSRVFTAQEFELAPYLSQPVLA CHHLFASPARHHFTGAETKKWGEEEEKEEPLPFSGPRADYEAHEAEKSNRAAIVELQA SLNATLLRSFRSPEDIATDLLPYLVRMLTPEIKPIIVGGSGDQKGIASVRKEAEKAMV KRSVEVMSAVGVIFERGKLESDFGNRATQWVYRMEPPLDTLVTFETGLSSASAGPAPV RYAVRQVLDQEYQKNIIVRENAARQARYKAGNPHDDTDAILQDKENPMYQIQDKLSDV KKDFFGRVIRDARPLQEIDGNAEADGKGRQGKKGSKEDVKVWVSYHEGFSNAVRKPIT IEELLRGL LY89DRAFT_684858 MAPKFVKKLLVILHLRKPEIEKYDYDAHMHLEAQGFYNEGLEGI YKCPQPRCKNTISVYRQVRRQTTHFHDILRFEQTVPVHASSKLKPASKTTHLKPTLPG KASVSVPVVWNGENRKPVSEKEYIGQKKTVDGKTYTLAKHRFFASVQCVACKKDMHLW DELRKYEGEEEMRWFLARKEGNPGLKDVEGGGSDAETLVDV LY89DRAFT_585833 MILRRSFLAQRPFLRTMATSPPKVIHFGPYEVTDQVGLSSTVFY NTPLCYCLVNIKPILPGHVLVIPYRPVPRLTDLTPAEVFDLFSTVQKVQKMLAMNYFK HGDEVGKLEDGSFNVALQDGPEAGQTVAHVHCHIIPRSKDTSGEGDGIYDRLQGEEGN VGGGLWDTKRPIPIGKFPKIEDADRKPRDPEVMNREAAFFREQMKSVELGEFFRNVAR SPF LY89DRAFT_645778 MLRSVFLLNSLGLALGGTILWDGRFNDMTSSTELDNWSWSDETG PYQYYIHGTENVTSYVNLDSTFKNPVDTGSTQGVKVTLDSTSYWDGQTMRRTELIPQT SAAINKGTVYYHFSMQRTDTNAPSEFREHQICFFESHFTEMKAGWISGESGTSDALLR WDVSSATQWNTTWEAGVWHNIAYAIDFDAGSVAFYHSTGADDLVLTVPAVTVSASSNG ADWHLGVLELPRDGYTDATEDIYFSGVYVESSDLTTSVSGPGNTSASGVASSVSVASM SAPASSSVVGSASTVSSAPASTSSTAATVASSSSAPSGVTVSSSADTASVSSTLTPST TLSTVIVPPTSTSTPTDPDDDDDCE LY89DRAFT_697169 MSKPIIVYGHDTGPNPWKVAIVLEELNIPYDYKIVDMADMKKPP FEAINPNGRVPAITDPNTGITLWESGAIIEYLIDTYDKESVLTFSHFPEKYHVKQWLF FQVSGQGPYFGQAVWFQKFHEPVVPSAQERYTDHLKRVLGVLDKYLDGKEYLVGNKCT IADLSFVTWDMMVPWILEGDTDASQLEKHYPNWAAWHQRLMQRPAVLKIAQDKQNAV LY89DRAFT_585728 MCHQVIQIFECGHNHASKVVQCKRPTDKCNGVFLRQDLQDTRGL CVACRRTAKLKRAQQKEAAVAAEDEGYWS LY89DRAFT_65417 MPPERTAMRLFRAVQQSCNYSTNATAAPNQLVYPDSQSPSHYDL PSFLEYASRIDMDMKSTTYVGTHYEYTVQSALERLGMSLKRIGGKSDCGIDVLGSWSL PSAPQPLKVLVQCKNIARKIDPSQARELEGAFVGAPLGWRESGVLALLVSQKSATKGV RDALRRSRWPMGYVLCTPEGKIMQMLWNSRAEQEGLGGIEVGLKYAGGEAEEKEVILT WKGEAITN LY89DRAFT_669275 MADMLTQLQDAVDQLAQQFVASLHYVNKHHDYALLGPTDRLVTA NKNGGVAAPQEDEIDPKSEAAFKDGQRELARDIIIKEQQIEYLISQLPGLENSEKDQD ETIRRLEEELKVAEEERKEAVKERAAVLAKLETVIRSVKRP LY89DRAFT_645792 MTESKRPVFFFDIDNCLYSKSKKVHDHMTELIDQYFVKHLELTQ EDAFKLHQEYYKTYGLAIEGLVRHHKIDPLEYNRQVDDAIPLETILFPDPTLRKLLED IDKSKVKLWLFTNAYVTHGKRVVKLLGVEDMFEGLTFCDYAQPKMICKPYPEMYAKGM KEAGVEEYKDCYFVDDSFQNCRKAEELGWTAAHLVEEGEPLPAAKACKHQIRHLEELR TLFPQFFKTS LY89DRAFT_65684 MAQGAVAMAPTSNGVSLTAEELREILEYDKIVQFRDAIFAGTHP RIKIPAHLAGKQIHSTRQISSPSSFTPRTNLPAQLAHSTMSTRTEEASSSFNKSPNYQ RARGAATMSSKSEINPVLLEKSDDLIKAEIQLQRQRLERGLREQIEKQRLEAKALLQT SESLPNFDLSEVLSKALEIVHPSTATEAEPSAAARSSASDSFDENTFYSSQHDTPIPS SSPREQTDSGILQSQSATLADEHTNELISAQTQVKNREVVMTGTSLSNDNHLAAPGRH YEPHSQNLVDSASLHDSRVETSNSDSSSSRGAGASRAAHGKNTNPDPLRQAFDDVVMS PVMRAHNLSPLAPQPSRVSPLATARGPPVLREAAAVEEVHAPQVTALRTQITGISSTD SSPKGTKGPEKKKDKKKKGKRKAKDSADAPDSPYIKPEPRSPSPYGVVPLPRPQKRQR QAGQYAAELNYDEPRYEGETGRVAEPYKEVPLRREYERVEEHYEPEVRRPEPVYRRVE REDDGYRRVEPPEDEYRRIEGTQYARRPQSPVYALPYAPAEMRPVRAASHAITERRVY EEPRYYREPPVRASVRPEADRERSRSPVLRERRSPIMRPPPQPLRLVIDEYGHKFYEP IPTSSVRQSVAPPIRYREPEVVYERAPTRAVSGRTPTEVYEDDAVVYRRPSPSVAAPR RVVTQPEYAMPPQPEYREYRQREYSVRPSTMAPPEGRIMVPPGEDYVPLRGPATRQMS HFEEAPREYIRRAASVRPEAVRYELPREYVGRMQSVRPTEVPAREYAGSVRPEARREV VPQAQREFSVRPVDAMPRREPMVGPEGERYYEEIPSRRPAEIAFIERPRAREASVLVY TDDVRREAYR LY89DRAFT_585110 MNKLAGMRHWSERMGPGFGMSRPNMNMTAITPQHKAAHGSSPIL SPTAAETPIHYSFNVPFASDLAGPNVEDILYATERAVERWTHSADAPDDVPIHELPVH RENVANLRNICRDLTNGVLPIEAYVTSSIPKRVKGQVTNVCLSGTSELVHKSRETILN ETPLALRCTVVDVDGELVIDSAQTALKANVVSHLDDIAKFCGVDIFLLGPKFASLGET QNGNGDAGRDQRWRIAVYGDMESAEHAKTRVLIFIDKLLGRIVDGTLLELSLHTVVCG RSRKNIKLIESTTGTAIYFPPPFSQVYRYCPVGAERRNPEEIFITGETPQNIAMAKQK IHELVTRTRIFMKDAVVAAPKIDSILLGRLDKVRKIMETNGTFIQFPALASQRNMIRI QGVEGLHVERTVRDVMSLTGQFYSASWWIQQANSTRLPSPSEIRTMLSDICANSDADV QFDKLTFSITGSDDAVKMALMVISHIKFVTSAQYQIRVKIELANEHKEFVSGKKNGKI NKIMGQSSVQIIFDGFNEYNFNIDVCGANYEAMKSGLMLVEQEMPASISFHVPDQYHK RIIGIGGQHIQRIMKKHSVFVKFSNAMDRGGVGREDDDIKVDNVICRTPARNAQNLEL VKSEILDMVDRVDSEFTTQIVNVDRLYHRQLIARLGQLEELEKKWNCKIIFPSTEQAS DDVTISGPEWQVPHCVDEFLGMVPDEHELVLASTPDLVKFLNSKQFKNDLVSKLKAQY VVEVQVREDPNEKTEEDGPTITLLWSFTRNNAGGVRDAIDFLTSNLASAGIETTTVKG AIPRPKSDSFEESLQFFDSKLLQHAPAPVSTDSPTKSSFGDDVARERSTIFDKLRKPG SMSSISSFLDRRKNSSQSPAGSFFKNGSSNVSKSSLISIESTRSFNADRNPWNDSGVN LPDDDSTPWPTTRHFGNGSGSSNDHKPSQLQHPGDATPRHSMRASTDSGRPSTSHSTN SGYPGPIGPPRS LY89DRAFT_65492 MSSTPGLPRRRNGKQQACEPCRKAKVSCDHTLPICVRCQRRKLS SKCVYLAAPMTRPVPDGRKEVVNLPPTPSSLSPGGAATVAAAGSESKVVRPTPESGPF VASGGFFGPTNFSAVFMENRENLGNDDLQISVDSEPYVPSYESLQSQTFLMLAGKEQR GSPRVALGTKVLHALPDQHTCKFLLEWYFEKCHECSLPQKSVLSIANSVWTTFGKYLK EPRLTEDLEHISTIICKNAEKSLEEFDDYEKWLEACSGMNIRWESLGGVFGAITSAIL SLPERDAFFTTQRGDRRNRRNFSVEMKDCVQACITLSNYMDLINCMMVNLLVKNLILQ TVISGDASLVVWRQLGDLIASTTALGLHRQVDNGRPVTYLSEIKKRIFTVIFNIDKGS ALLLGRPPALSYRYCRMKIPLDLSEEVLMEGGDAIQRAVEQLDEKGWNREGLIHPSTS TRAYGQLSPILNEVLELSLGDPENCTGDQIKHLMTKLLNVYDNFPPYLHFRLSELGSP DVPDGIFWRRLCLRLILLEHRLLLERLAHKQGALDGQSMIDCAREMLELTVLLWVQRD RFVEHHHDYDWMLMCWGVPSSGVLCVELLKQTKNPTGNTLRVPRSEIVQNLSLLIGFL EWVKPAAGNYQLCGRMRIIIKRILDQILNPSPPSSMPAATQESADTPGFDASGVGTGV LGYDPNLFEPGTFDDATDNFDWLNNVDWSRGPWFDLGQDFSAARWS LY89DRAFT_669280 MTKPSQPQVPPAYTEVCKAPSTSKPSKSSTTFLPKFLQKKTPYQ KLASKQPQWLWTQTECREWLTAVCIWNLNYTPEKAHEVVERLKGFGPTLFAMKIEHWE RVLGDYTDAAAVYALIFSSKTARGAMPKGVLYSSFSTSFHSPAYSQTDLEADLVPVII LPFEKERSRPSFISALFGT LY89DRAFT_782092 MRLFLLPISTRRTLIYCQRLNVTTAEQQGLLDKATNRAAALWAG WEKKESGWQRKVVDYGNAALKRIPYEEWGLKSIPPLSARRKKEELSGKELVEVSFPSS LMPENTVPEILRKLGTERQKLHRSRMIYCFIGMPITAPVALIPVIPNLPFFYLVFRAW SHWRALSGSKHIEFLLDNKLVKPKPSPILDELYASGKLRFDDTSKMTPEKSPEDTEDP IEEMVLHKSDGTRIAEALKIPELDIELDRAVWQVEKAIQAAKELKEEKKDMDAATSKP REKK LY89DRAFT_718576 MAGSDDSTLNNSPHAAQLDAHHILQYGPSEAQRNPSTSSASSSQ AAMRKKRESATRPQAYSSSSRNTQTSSSTKAENKAAQRDVRTLPPWIDSYEEGDEILT AKPTDRLLTAPSQAHSAQHHYDPKAPERRRSRDGYIDPYEEIPKKPAETGFFGGHKEP VKGRKWDHARDGDPVIMQSGVLPNSSSWRTYIKSSMYGPRLDEDGERVDEEFLQQQTP GYQKPWRGDIDGGDDPEKLLPGLIHSKKQRRTFVKRLQHNLLMHPLVPLVFRVTVLTT SAIALGIAGSVHHLSDRYTYSQNASTTMAIVVDVVAIPYILYVTWDEYTGKPLGLRSP KAKIRLVLLDLFFIIFESANLALAFGALTDANGSCRSTVSSPFNALICDRVKALCGIL MVALIAWSLTFSVSIFRLVERVGGREESD LY89DRAFT_65883 MVRLNLTSLSTLKISRHQIPAHGLIPNTSIQKQPLLIYHSCLPT SATASTIEAHLNAINVVTPQWRYTMYSTTHFHSTTHEVLCISSGRAKLCFGGEDNPGR FEPVVEKGDVIIVPAGVGHRLLDDFNSGFEMVGSYPKGKQWDMCYGKKGEESKVKGIG ELGWFEKDPLYGDEGPVLQSDS LY89DRAFT_684868 MSQTRTTTATSMPPVPNREDLDATWKYLEAGVSKIMSNLQEGMD MPTYMGVYTAVHNFCTSQKAVSNHSGVIGGAHRGAHLLGEDLYQNLITYLNSYLRELV AVSRTHADEALLTFYIREWDRYTIAAKYINHLFRYLNRHWVKREMDEGKKNIYDVYTL HLVQWRMTLFSEVHEKVMDAVLKMVEKQRHGETIEHSQIKSIVDSFVSLGLDEADPTK STLDVYRFNFERPFLEATKAFYQAESKQFVAENSIVEYMKKAEIRLDEEEERVNMYLH QDITVPLKKTCNTALIADHSGILRDEFQVLLDNDRYDDMQRMYNLLSRIPDGLDPLRA KFEAHVRKAGLAAVAKVAVDADKLEPKVYVDALLEIHTQYQGLVKQAFKDEPEFTRSL DNACREFVNRNQVCKSGSNKSPELLAKYTDALLKKSATGAEENDLENTLTQIMTVFKY IEDKDVFQKFYSRMLARRLVHTSSSSDDAETSMISKLKEACGYEYTNKLQRMFQDMQI SKDLNTGFKDFESGLMEPGDDKPVDATYSILGTGFWPLNPPNTDFTPPAEIAKAYERF QSFYNQKHSGRKLTWLWQLCKGEVKVNYPKGMKTPYTFQVSTYQMAILLLFNESDKNS YDDIVKATQLQADVLDPALGIFLKAKVLNMSPDGDKPGPGKMFSLNYDFKSKKIRVNL NIAVKSEQKQEVDDTHKTIEEDRKLLMQSAIVRIMKARKKMKHTQLVSECINQIRSRF VPKIPDIKKCIDILLEKEYLERLDDDELGYLA LY89DRAFT_669284 MARLELPAVRAASDRGAERVIAGSLVRVEGLSEAARNRHGERMP AIRNDGPGRPAKQWNNWRRRRMSWRRVIVIADAGVGDDDGVVGYGNCDCRDTVVPSGK RRYADPFVCSLQCVRDSRRHEIQNQIQVTEAYGAGAATPRVPARLEDGMRRDEPATVQ YTRIAWQRGSGSGTRKPATVLDAETLDKRTGSLSPSLLSVVGVGFIRFQRPFSAQTRW VFSMARGQF LY89DRAFT_65907 MGACMSSNQEESEQKKRSQAIDKKLEEDSRRLRRECKILLLGSG ESGKSTIVKQMKIIHQNGYTVDELALYRLTIYKNLVDCAKALVGAMRQFNIEPINPVN NEYGDYLLDYNVDPNPHTPLNPKVAMAITSIWADGSIETLMERQSEFYLMDSAPYFFE EANRISAPEYIPIEADVLRARTKTTGIYETRFTMGSLSIHMFDVGGQRSERKKWIHCF ENVTSIIFCVALSEYDQVLLEESNQNRMMESLVLFDSVVNSRWFMRTSIILFLNKVDL FRSKLGRSPLSNYFPDYSGGNDINRASKYLLWRFNQVNRAHLNLYPHLTQATDTSNIR LVFAAVKETILQNALKDSGIL LY89DRAFT_684870 MSAPRERRPSTSAPISELQGPVGPGFSRPKHKRTFTGFGAGEIK SVEASIPEPQREAWKKYAAHGFKTKEDFEKEVVRHVETTLARSMFNCDEGAAYSAASL AFRDRLITEWNRTQQRQTFSDGKRVYYLSLEFLMGRALDNAMLNVGMKDVARGGLADL GFRIEDIIDQEHDAALGNGGLGRLAACFLDSLASLNYPAWGYGLRYRYGIFKQEIVDG YQVEVPDYWLDFNPWEFPRHDVTVDIQFYGNVRKYQDSQGKQQVSWEGGEIVKAVAFD VPIPGFDTPATNNLRLWSSKAASGEFDFQKFNSGDYESSVADQQRAETISAVLYPNDN LDRGKELRLKQQYFWVAASLYDIVRRFKKSKRAWSEFPDQVAIQLNDTHPTLAVVELQ RILLDLEGLEWDEAWSIVSQTFGYTNHTVLPEALEKWSVPLFQNLLPRHLQIIYEINL YFLQTVERKFPKERDLLARVSIIEESQPKMVRMAYLAIVGSHKVNGVAELHSDLIKTT IFKDFVKIFGPDKFTNVTNGITPRRWLHQANPRLSELIASKTGGIGFLKDLNLLNELE KYVDDKEFKKEWAEIKYANKVRLAKHIKTTTDVTVNPSALFDIQVKRIHEYKRQQMNI FGVIHRYLTIKNMTPEERKKLAPRVSIFGGKAAPGYWMAKTIIHLINNVGAVVNKDPD VGDLLKVIFLEDYNVSKAEIIIPASDISEHISTAGTEASGTSNMKFVLNGGLIIGTCD GANIEITREIGEDNIFLFGNLAEDVEDLRHSHTYGSHTIDSDLAKVFEEIKKGTFGDA GAFSALVGAIEDHGDYYLVSDDFNTYLHTQALVDEAYKNQDEWISKCITSVARMGFFS SDRCINEYAESIWNVEPLAPENGDTSGLQKGEL LY89DRAFT_66083 MAEFVRAQIFGTTFEITSRYSDLQPVGMGAFGLVCSAKDQLTGQ NVAVKKIMKPFSTPVLSKRTYRELKLLKHLKHENVISLSDIFISPLEDIYFVTELLGT DLHRLLTSRPLEKQFIQYFLYQILRGLKYVHSAGVVHRDLKPSNILVNENCDLKICDF GLARIQDPQMTGYVSTRYYRAPEIMLTWQKYDVEVDIWSAGCIFAEMLEGKPLFPGKD HVNQFSIITELLGTPPDDVIHTIASENTLRFVQSLPKRERQPLKDKFRNADPLAIDLL EEMLVFDPRKRVKAAEALAHEYLSPYHDPTDEPVAEEKFDWSFNDADLPVDTWKIMMY SEILDYHNVDTANAEAQAMEGFTNGH LY89DRAFT_66177 MADADLETVDLSPAAEATTMPIEEPVTKNGNAASAGFVPLVTVV GFHHARGPEVENWFGAEEGSDPAIDNEWPLLPFMALSDGAHASTEDFSYFTLLRPATK SLPATSLFGISCTRQMDASALLNRPADVTRSTVQKAVVVIADSPQFFGMLRERLGVVT TAWFAQREFGDTEILKRFQESLREEKERGVGQGEEDREEYLGMSLRELVREFKWQTLV LFKCCLLQPKMLFFGSRCERLCMMQFSLISLIPGLIRNLQDCADPELDGYEKHMSKPT SLKTSDRSSLLNYMGLPLQIFGKGSLFGPYTPLQQLDILADYGTKSYIVGSTNSLLLQ QKDRYSDILINLDNTTINITSSSLRAALALSIADRRWIDFITQSVNETWDDANPGRPK TMGYVGSEEFIRLQFEEYLLSLISAVKCHNYMQHHAHNPNVMLPQVEGDPSTDFGADW IEAWSRSENYRIWDRYTDSHLFDIVEPKHPCAGGLTIEDVQRRLSEQVKEFHLDERFA VGKEVLGRNLAAGKEKASTVFNKLYADMEALRESQRRKHEEAKREAERNGLPSPGFQA PDLNGARQTVQSVSSKAGAYIGSWGTWMGEKRKAGWGRNAAPVVQQQQPKKEKENLPR PPPVVEKEERPKTNESYKESLFDAESPKKEEHATPVHRYPVPSKFHEAVDEDVPVPVP VAAAAVPDEAAKEWEEQTSSKVDVEERNSKVAEALEAANV LY89DRAFT_616443 MSLVVDQTLPYTHQQLQFDDPNRWSRLFPLMRPSVRAIDISNGQ TVVIDTSLVKSKDVLIAAIGSLGAFSSKILSESHLATFTSESTGKTITSAEDISKVLK ENGFTTENGLVVVRSTSKKLDLEFRAESKVLEVAVIEELELDHILSLLSATQPKVKAS LEETKAVLEHFIKSATKTNSTFHEKKAGNGPSVVHTVGTAEFNSAKKAIDKDLAHLLS LKPSHAEDVVYSVHFSDINGLSRLENNILAHEISEYFAKKNLPLHLTQSSISNPHPLA RGWSISLTTIPLTYLSPQQKPTSPLSNTSSQPSTTNNSVSASKSQPGLQFSAPLLHKI ITAGCENLIRTEPLITEYDTIVGDGDCGYTLRDGAKQVLAFISLPTTNLSHLPPTLSS LVELLEISMGGTSGALYCIFLSSLSNHLSVSPDLSTALSGALTDLLRYTRARRGDRTC LDCLIPFVETLGKGAAEALEEARKGVEGTKRMEAMVGRSSYLDESATRGVPDPGAYGL LMLLEGMVSAL LY89DRAFT_782101 MATETQTKQPTKETFHFINDPADAINEAAIGLTEHNPTLSYEVA HKIVYRSDLESFRRDHVTTIGFAGGGHEPMFSGFVGPSFLSCSVSGNIFASPTAAQIF RAIQVCQPTPGSSPGTLIVCGNYTGDILNAGLAITRATAAGYKVRFIPIGDDVAVGRK KGGKVGRRGLSGHVVGLKIVCALADRGESLERVGDVMDYIAGNSGTIAVAFDRVALPN NIITEIQTLPPSTIELGLGCHGEPGLRQISPVPSPEALIKEMIALLTDTSDSDRAFIP FNKGGKEEVVLLVNSSGSTSDEVLARFAELAIEELKSQGIEVVRLTLGPMVTSLKQSG FGFTVWRLPGEGEKGPLSREEALRCWDRKVETAAWRQ LY89DRAFT_669291 MASAPPALDLSSAPAEDPPNLSGGEKDAEATLSDHPIAPDQFDP KWETDKWEIWSYYAYYIGNNGLTLFNFAPTAFQNLLYQAAGDGTSLRFAGSFALQIVV FLFLGSFADFGTWRPNILIVLSLVAWGIGFGWLGVHDASKWEIATGMYIVGRLARNTP ELRHKAEEYQAGEITREQYDYADSLKRSELSNMAFYIQSLGEIIILAIIVGVLFGVNV NASTANNNWGLSVLIAFATGMWVLLAIPWFLKEKRRAGQEIPPGMNIISVGFWQLYRA LRQIWHLKQSLIYLIGYFLLGDSLNTNIVAYNTLELTYLFIVGIAAQAIGIYSFWWAQ RHYRLSTKTMFNIVAFGIIILDFWGMIGIWTQKFGFHHVWEVWLYQVYYGLFVCPWYS YSQIMISEVTPRGREFLFFSLFSIIGKTSSFIGPLVSSAIIDASPNGNNSTPFYFLTG LSAVSFLVLVLFVDLEKSRREQEAFLEKEEEARRKLVGVSGEVVEDDVMPSGGDEEKK G LY89DRAFT_684877 MDKVSAFGKNFTASFTPFAARTQQYVKEQLGQAEDKTQLPPDYI ELEKRVDALKQVHQKMLQVTSQYSHEAYDYPANIKESFGDLGRTVSEKVHLLSTATSP AEAQAALTAPPSAKPQPKTFNHAIARASLASSQLLQQQNSGTGEDPLATALEKYALAS ERVGEARLAQDSQIQSRFLAGWSTTLNTNLMFATRARKSVENSRLMLDAAKAKAKTGG WKLPGQKPTDHDGEDPSEEARVEIEQAEDEFVGQTEEAVGVMKNVLDTPEPLRNLADL IAAQLEYHKKAYEILSELAPVVDGLQVEQEVRRSEAFSA LY89DRAFT_585188 MILPEIALKKGRQVVGHNIQSWAKQSKVCRGSLDSDIRCYGTER GLATQLREELAKEHVKDLSKQQKAKKEARAIDKDFFMSVLGSSATKREARSYLQRFTP SKDSQLKPKFVDTKPVRGNEVNLGGLYGPTAVEQSPQFIQQPDRIAKLPVESQLHIAL VKLRAPQTLDDETLTGIGRTLSQLGRLGLISVVVLDCNVEATPVSGRQSREPDWRQLE TAQANRLVAAIDGSGEADARLVDNVIGISESPGAPAYAQTKTHITLRKLLMTPLRRGI IPVIPSVGYTDVSQTAVPVDASDIVLALTKELAGIPSALLPDEDPNDTRDRLRALREE VSLDRLIILDPLGGVPALDRPNGYHVFLNMEQEFEPAKEQLQKVLQENEEEMSLGSES IKRKVTDLAMGNPFSKFAETEFGVAGRSVDAGSLPEIRSKSKTDTWHHLQNLQLVRSV LAMLPPSSSALLTTPEEAANSGKDVPFQAARVGTRRQRNPLIHNLLTDKPVFSSSLPV GRLGPQVVVTEPVSPAESITPTTFAKHGISVTIFPDPRISPWEPPMPGKSHLTLTDSQ IDLPRLVHLIEDSFGRKLDVEDYLKRVDGRIAGVIIAGEYEGGALLTWETPPGVEDDG SPESRARMVPYLDKFAVLKRAQGSGGVADLIFKAMVRDCFPDGCVWRSRRNNPVNKWY FERSRGTWKLPGTGWAMFWTTPELSMDKQLFLDYEGVCRGIEPSWADNKAVLD LY89DRAFT_684879 MAPQLAWIGLGNMGRGMCKNLVEKGQLDKPLIIYNRTTKRSEDL NKLLPSGKSKVATSIKDVVSEADIIFTCVGDDTAINETINEALKVEAKGKLFVDCSTV HPETSDELAKKVTGAGAEFVACPVFGAPAMADNGQLVCVLAGPKESVEKVKPYTKGVM GRAFVDFGGQRVGQATLLKIIGNTFVLNMVEALSEGHTLAEKSGLGSENLHQLIETMF PGPYTAYSNRMMSGDYNREEPLFAVDLARKDARHAMALAKASGTRLRDVEVADAHLEQ VKKHKGEKGDIAGIYGAVRQEAGLKFEK LY89DRAFT_684880 MANGWSILIGFVVVALACAAGWFLSPKGETQTIWRSSLILSFAS CYLMWVITFLAQWHPLIEPRASGLRSHAVYENYRPA LY89DRAFT_616455 MPICIECRYPVKALYTEYSGADDRSSGHGVRLTVCKNCGRFCDK YVEHDFVVLFIDLVLIKPQVYRHLLHNTLMREQDQFDPSIIRLGVLLLLFDVYLTWAR IEKQTSSTANSSSDDNFLLLAQQPIVFQYVFFLILCALSTLAFHLSIRFLTSSPFSPL VLFGFIPRYPRPNSVSTALLVSSSTKLFPILMVIWEYDVPAAARSLGWAVVANNVEAL KILLDCGYGTAGILATVGAISRWMVGRGILWAVGLDGVDTVGDSGVADDGKALWALVE LGKVWGGRLGLG LY89DRAFT_66420 MADRKRSQLTMSSPPSPHKRAKISPNTYELRQIFGEAKMLLDNS ANKTWTMTHESEQKFFILFDERGEHVQAILTAPFSSIDYTIGDPKVIAHSHHQDRNLN PRQLYMEFSDPQQSANFVEDMVSTCNLKARRRETDYLSKAFTILENNKFDHTRSISKR IAEEQPEDVRLTLARSPGVRHANKPSPDSGMSTATNSQIAPLDRSSTKRNVVSLEKDF ERDGTDELTVYNTGTPEQRHNLQEVIQVLAGQRLEALFEEDQKLVELTKTSITLYQGM RMPVFIEQLEMLPNVQISRQPNQAFTLAFLKRVLGGHARAEGCYAVPPKSRTNRLFPD VIAFKALNPNHDPLLPRHPGQHGAQISCIPRSGDESLGEFPLFIQHSEGGYRYFGYYR EPRELDLIGGSEISQVPKHVNQYWAKYLGRATRHTPKSQMALNALVHGWPRVAIGWLP KGRKDLLAYDPDIVEDDDDLAIQRPITEAEAEEIEEARVLEALEKADNGRGETFRLWY EYLECVSYDQEFYKKLVIKQHEFNT LY89DRAFT_616459 MSEFQDLADNYKRCYGKNKLKFGSKPVLVVIDCVKAYHEPSSPL YAPERFDIALKSIIRLIEKCRAVGIPVVYSSVIFESPTAGGKWYTEKLPNVLCCYDAG NPFREFAEGVKPRKDEVVVLKQYSSSFFGTSLSSLLTSLGCDSTILCGYSTSGCVRAT ALDSMQYGFSPFVVREACGDRHQAVNDSNLFDMDQKFAEVVSEEAIMAMIDSK LY89DRAFT_584909 MAVANLELLSPGEGYGIVIGLGIGFTLIMIVLSLIQNRYGKHNT FKSAEEFNAASRSVKPGMIAAGILSSWTHASTLLTSCTLSYSYGVGGELWYGAVGTFQ TLFFAVAAFKIKEKSNNAHTFPEIVLQKHGAIAHCVFTFFGLVTNLINSSALMAGGSA VFYSLTGMNIWAAYWILPAIVTAYIVVGGLRATFSPCICDYLHTIFLYVCIFAFMFQT YSINPNIGSPAELWKLLKDKEVSSPADSYNGKSATLSQCINGLVTAATIFLSGFSAVW TDQAYWQRAIASQPATAVKGYVLGSFAWYAIPFAMSSTLGLVAAALTGTSVLPIKLSA AQVSAGLVGLAAAIALMNKVGAGLMVVLVFMATTSSTSAESIAASSLITFDIYKAYIN PKATTRSMFWVSVFGLAIYGAFLAAISCIFHSVGISLNWLIKILGCLLGGGTIPMACV LLWDRTSTFAVIVSPIIGLVCGLTSWMVATQLRSGVINITTTSNVWSSLTGDCVSLGM GGVRVILFTFLVPNKKKLAIIEATPELESTGGARAEKTDLPVDSEASPDHKIGRVVEE NVAAQDLKPIEGEEYVPEEALTLDEVKSQKRMAWLSLIIGTLIFMIVIPFSLYGIGYD FSEHFFTGFIVIAFLWIWASFVICVFMPVWESRKDMWYIAGAMYRDVTRSKVHYAE LY89DRAFT_669299 MATLAFKPYTYVPTAPRSNSATSRRKPASKPISIFNKFQRIKTS SAELSTLQSAAIDDCGRNELGIRENGIVTDENDDDCDLPSIEQLLYTTLQEESFVVVD QPLNNTTFKVGNRIINERGGSLGDNGSASGGNPSGSPGDPIVLLGDDDSSASGAEVDD SGLLAESAAADEGRLDSLETAIDSTTPAPPAPPCSSDGWHDIDDFPELAPRLRLAEQG ASTPESLPSLPSQTSSHLSSELLHDTTSTESNATTPSLRMPSLHRKASLKTQRSQEGR LHTGRGVADEHELIDHALDTLLIDEGARKQQEVEHEQKKDDGNTEDEDEGPQQEMNAV TQAVMAEGPGESPRVANRRQSLPNLDSSPEPSHNEARSRSGGDSDSDDELNSTDLAED NEEEPRPAKRKQSSFHDGPTRKKCRRGLQYKLLTQRRLLSEAYRLYPGSQSPLDQRSR VVATSSRVKGRFLSPTPSIPQSINTKMSLGDPNLSPSLRATLPTLTEITFRPHSTRYY SFTATIWDGCGGQGVSLAQLARLIASTGHVGKIDDFTIKPIEQHSYLLSGLSRHTSSS ITAEACHDRVDATCTRPQDGKAVDARDDDDSGLSDSDPDPGSDHNGDGDSSEDELGRL SMRMNVPWDPIDEQRLLAYKKEGKSWKWIFHQFPGRTRAAVRTRLNIVQARGE LY89DRAFT_697189 MSVQGANPKSQDEAAFSSLGALRSAKSTQRLNQIAHVRAKGVGE HISLPQIAVCGDQSAGKSSVLEGITGIPFPRKDGVCTKFATEITLYHSTETSSIIATM IPHISRSKPDRERLQAYHRVLTDFFDLPSVIHDASCLLQLRGFGTDEGLAFSEDVLRI EVIGDTGLNLTVVDLPGLIAVPNEEQTEEDVQLVERLVDSYLQSSRTIILAVIQASND IANQGIIRRARKFDKAGQRTVGIITKPDLINKSTEGRIALLAKNQDTTKLKLGYFLLK NPDPEQLDEGISLRERKQKELEFFSSAAWTEHRLDPARVGIDALREFLQDLLDRHIER ELPKVRNEIKTLLAATEAELANFGDERPTPTHMRMFLTRRSMEYYNLARAALEGNYYA QDTDFFGLEGYSMRLRAEVHKLNGLFATHMRETGSKRKIVGIQLGDTSDEENESVSDD GQLLVTKREFDLWVNETYIRTRGRELPGNYNHILLAELFHEHSDRWSDIADRHLTQLF QVTSNFVEKALTKVFLEDDARQEILLIARNKLEEAWKLANDELQKILADEKRQPITYN HYYTDNIQNAREDSLKKAMQAAVRGAVEEDFKGKFHISNTAVDSERLLNSLQRRLIVN MDAQACAEAVGGLNAYYKVAMKTFVDNICRQVIERHLLSNIPDIFAPTTAMAFSDEDL IRIAAEPAKQKEKRTTLAALAQGLRDSLIALQN LY89DRAFT_697190 MSGSGITSTVFKKPEPTALRDAIRGHRSLLEEGKILHRDISENN IIITEFPAKEEPKGRLIDLDLAKELDSIPSGARKGHTYRHDLESFFYVLIWMCIRYGY EGVVRQKLNRLLRPKTNILRGWYTGIYTEIANTKQGYMGKNRFENIIAEFAPKFENLK SLAREIRSTLFPIRDGDIFTGTFHEHDIMYNGMITAFDRAIDHLGKEEQVDA LY89DRAFT_782112 MSDLDQRFIHKSTVRGASFVQEILNHLRDDSQSEVQGSKGFETS TTTKQSSKRRKEADRSYRLPKSSRTQPEITRDGAEYSNEASSVKSQVGDDTATCQSGH GNRTVIQSTDSLATEKPFQERERDIPKITAEEVPKEGTVPQEVVPRSQSFADSHTLPS MGGESAVHQLKSTYSSDNAKMNAVHRQLSVALDKAIEDGQTTIRTPSPSAEQRETALS EIDGKGSDAQASSDIPSTPVGKISKGFRSPISDDQTATPQTTVFTPIVNGRLLSLLTE DN LY89DRAFT_733858 MKPIAIKWVVEIKFKDLGLGYIEVKDGWSYGLMVIRNAKRRMMG LSVGTWEDEINPDRVVKEVVGDC LY89DRAFT_733859 MFNVSRREYEDGICLIAALAKVSYGFTPPAGLPNGVYVYDPADG THTSIDGTLVSRDLDYLSTGTSFTPRALNSAKFSPRWTGITCGDTVLASLDYASALVQ LEGQCDGVTKLPKKNYYSVYGDAMAFICVYKKNTICNVGTATSGGTDMLFALAQLDNK CSATLQSEKSPNHVDGYNRVSNSIVFGFTTSTSSAC LY89DRAFT_684885 MTSLESSERIKVELEDFISNSKSLAPVRPANHEYPLIILYGQSH LGIPLHHIRLREIEAGREPPEFKACEVLLRGTVRGHVLFQMTIHNSNLKDCILVGCTI YGGKIETSQLKDCHIRMKTIGEYDTSPTIPLLSCCEIEGGSAHHTEIFNSTTNGVDSS RSVIESSLAIYSSLYACELSNCGIYKSKFGNCKVIEGIQESTLEYTLEFRQLPPEIRK MVFSYAIKMDGLSTGLIAALRPDPLLYAEVLETFYKEHIFVLSDENQAAFQSMSKIKL QRVTQICLKDMNNIPTKDASVFFPIGTKLTSIAIEYQGVPVDIQHFHNITKRWFRYFG TVVDFKVVWKEGDFGGLPNPPKGLKIAIQAADMRMGIKSVLTRGKSREEKPIDTRHSN LRPCRPVVVLGPELETFIWTWKGNVLRWNPL LY89DRAFT_558927 INWPPTSPDLNPIENVWRVLKQLLRKRRPHGNWTLEELKDAVTD IWDNEISAEEHFNKYIDSMPERLEKVRFRKGGQTHW LY89DRAFT_585568 MTSAESAAAQPRPAYPSASNGPPGTNPMPPNQQAGHPSFRRQRA SRACETCHARKVRCDAASLGVPCTNCVAFSIECKIPTPKRKKTAAGKAKDSDSDRGEG VDERSPRNESPGTGRSTSVYNTQDGTPPTSLTEQHYKVRQDDEGTYAQFMKPKFTRAP IKEAGRVAYLGESSNLTLLVHDRHGTADVVHYPLPENVRGSRARLTELDNVEIDILHQ RGAFLLPPRSLCDELVEAYFKWVAPIVPVINRNRFMKQYRDSKNPPSLLLLQAILLAG SRVCTNPQLMDANGSTTPAALTFYKRAKALYDANYEDDRVTIVQALVLMGWYWEGPED VTKNVFYWSRVATIVAQGSGMHRSVEGSQLSQADKRLWKRIWWTLFTRDRSVAVALGR PVHINTDDSDVEMVCEEDFIEDDSGDPPEYPPDPLHVQFFLQYVKLCEIMGLVLSQQY SVASKARRQNAIDLTHSDMALADWLQNCPKEVYWEMPRHHFWSALLHSNYYTTLCLLH RAHMPPASNSKTGYMEEGVYPSRNIAFQAAAMITSIIENLGAHDELRYCPAFVVYSLF SALIMHVYQMRSSVPSVVQATQERIRICMGALKDVSRVWLVAKMVYTLFESILGNKVL EERLQKAAGKRHKKMAQSITQGINQANQVKREDSSGKRKYDDMALDFNVNNGPAPQES YERSRPQTPSHTPGREMGHNIAPMAAPPVTSPNSRANQDTFMGGSNSRPHTRPPTPFN PSFSVPATPPDLYLVTRNSPNLSQSIWENFQPDQLFPEGSTNIHATQFSPPQPNHNLD PNLMAPHVNLNQPMPNQGQSAQLPQRMRPGPGSSPPQMIQAGMGYQIQPQMWQPGFDP SLQDAQGHSPSDTLSNASAQAVPMTMNVEDWFQFFGINGDLSGMNGDASLC LY89DRAFT_684887 MIPSRPPSETNTDAARNSSVPRIAGGGDSSIRPRNRRLISTEQE LVSTSATSTPGGSRAVSPLPAKHPSRSVPRNAGGRADKNGNGGLLAPSGSSSRKGGGS LVGGKGLWDPPGWMSSWNALQGLASSVLGGEAEDPVTGRAGTATGKKPRREGAGRKKI PEQWGPPEPATQRQKDGGIGWGSTEEMQAEVRARKMRGVLEGREEERSILDTNGNYKR RSSTEEQRPGSSQEDDFALVYIHHVQKQDTLQGVVLKYNCKPEVFRKANRLWPNDTIQ IRETVILPVDACAVKGRPCEAPSLDQGVDLLAPTPVTEDPFSNGGTWPGASSPYNNGT SAERPEDNDNPWVHVRWVLLDASPNAKPVEIARMPRKTLGYFPPRRRKSQATISTVST PRGSTEFPRIAQTISNDLPGSTSSTPRRTSNLGPRPSQPVTTVGSYFPPQRSSTRPRR ESVGEAADRLGWMRGPGGVGTFATNVRRPGPAQDGLNAWAKKHIPGLAIDGMSSSSIL GAETAHFGFGDELASIAEGPGTVNGAAVTNSGIGNLTPGGLGLENAAAAIEGWVRRLA TKTPGTPKGGGRIDAPDLIELLDGTGSDDGRGFELSPGRIRSSTPIGTGREDLDGVIR GRATAGAKGGKSD LY89DRAFT_616478 MARVNRFGFLAIALIFHLVYIYSIFDIYFVSPIVSGMREFGVER PAGVEAPAKRLVLYVGDGLRADKAFQSFPEPHPKDEADLVPRPLAPFLRSRVLNHGTF GVSHTRVPTESRPGHVALIAGLYEDVSAVTTGWKLNPVNFDSVFNRSRHTWSWGSPDI LPMFQLGAVPGRVDAFTYSAEEEDFSQDAVHLDIWVFDRVKQLFADAAKNATLDAALR QDKVVFFLHLLGLDTNGHGYRPYSKEYLNNLKVVDEGVKEITALIEEFYNDDKTAFVF TADHGMSDWGSHGDGHPDNTRTPLVAWGSGVAKPVTTPGKIAPGHDELSSDWNLDHIQ RHDVSQADVAALMAYLAGLDFPVNSVGELPLSFLSGNIAAKSEAFLANARGILDQYRI KEEQKKATELRYRPFKALGDEAHSVDHRFSVIRDLIDQGKHEEAIKETAAVVKVGLQG LRYLQTYDWLFLRALITIGYLGWIAFALTTVVDLHVLHGKTETSRTLFGSIFFSSVLI MLYSSFIISKSPFTYYAYAIFPVAFWEEVYARRKALIEGKKLLFGHITSAGGSVMLII KVVIGLGLIESLALGYTHREVFSVLFVAAAFWPAFYGTGFLKANKELVGTWIVACAMM SSFTLLPAMKTEDVALIMSGGFLMVAIGIAYLLFEKSVLAKSKLPGDSTAPADDTLSR SLIGIQIGFIVLAMIVTRSSALSLQAKEGLPRGNQIVGWIVLVLPLLMPFLHRLQPNN HYLHRLMVIFLTFSPIFVILTISYEGLFYLGFCVTLITWVRLEHKIYVSTTPSTVTKS NTNGHTVPAIPTSLSSSPFRSLTLSDARTSLFFLTLLQAAFFSTGNVASISAFSLESV NRLIPIFDPFSQGALLILKLMIPFALISANLGILNKRLGVAPSALFMVVMAISDVMTL HFFWVVRDEGSWLEIGSTISHFVIASLLCMFVAALEGVSELFIAGVEVEESGEQLEKE KELGGVVVNGNGNGKKSV LY89DRAFT_707311 MPKLKKSTLSGLVDSDSDDAQFLDAMPTPDSAAENKAPGKKARG RPKVAPTKVTKTKAPARRTSGRLVAKAKAQAAAPTKSKRPALKDKTNQQYASETEEVD DFDANDDLVMDGMEVEETVVAMKPTKPRVTKKKAAAPRSKAVKDTSRTDYSLEDITPV APVVKSRVTKRKAPVKKDVPPEPSPEKVIMETQVQQMEADDADEEVEETITKSINVVA RPRSQSRPRQHSAHRRRAGSASDTERNDPALRRKLGEMTKKYENLQLKYSDLREIGLK EAERNCERIKKNSEEKTKISNDLIASLKADIAAQTTLAKESRGLKKKLESQNTDLATL QAQVKQLTASLSETQAENKTLSTKLAANRTTAASVESANSKVPGSAMKANGGIRMMGT AEAAQVAQAAQLKEDIYSDLTGLIIRNVKRESDEDVFDCIQTGRNGTLHFKLAAANEK SSESYEDAQCSYIPQLDPSRDKALIELMPEYLTDEITFPRPQAAKFYARVVKALTEKP A LY89DRAFT_707312 MGDGATRKYGLLQDLLLIVVSSFSMYYIAKSVVASLPLGTDPEK EQHEQARVKATANLRRLGISRGEEDSEDESGRNTDSSRRPRKEDFNLDQYESQIAMEV VAPEDIPVGFDDIGGLDDIIEELKESVIYPLTMPHLYSQSSSLLAAPSGVLLYGPPGC GKTMLAKALAHESGACFINLHISTLTEKWYGDSNKLVRAVFSLARKLQPTIVFIDEID AVLGQRRSGEHEASGMVKAEFMTLWDGLTSANAAGVQACIMILGATNRIQDIDEAILR RMPKKFPVSLPSNSQRRRILNLILKDTKIDEDNFDIEYLTRVMAGMSGSDIKEACRDA AMVPVREFIKEQRERGVAMSGVNPESVRGVRTEDFFGRKGGGQILKDNHARHSHKQTQ KKPEASSEEYEDFDDEAAESMD LY89DRAFT_707313 MSTPPGPSGAGKLNGAAGKAFPHIDLDGHNLPPSPAPSSPRNGR KYALATELVYTESKDQYGASSMPIYQSATFKQTSAGGGSEYDYTRSGNPTRTHLERHL AKIMNASRALVVGSGMGALDVITRILRPGDEVITGDDLYGGTNRLLTYMATNHGIIVH HVDTTQPERVKDVVSPKTAMVLLETPTNPLIKIVDIPSIAKIVHNMNAKALVVVDNTM LSPMLSNPLDLGADIVYESGTKYLSGHHDIMAGVLAVNDPAIGDKMYFTINATGCGLA PNDAFLLMRGIKTLAIRMEKQQANAQRIAEFLEDHGFRVRYPGLKSHPQYDLHWSMAR GAGAVLSFETGDVALSERIVEAARLWGISVSFGCVNSLISMPCQMSHASIDAKTRKER QMPEDIIRLCVGIEDVDDLIDDLSRALVQAGAVTVTLDGFHATGPAKALADVPIEESD AAAAPS LY89DRAFT_718601 MAKCRLGILTLLFHVALVCAITWDAAPSTTFDASTLTTETLSQA LSATPAPAVVINGTTYEPPNLCGYVEYSSGPHHNYSIFGCEATRIFGRYKVHLTYSGQ TTTGHLPRYLGDDGVITYGTRIPKNTTSSSTSSAASTGSTDPFLVATLSSSVTDGTQP TGWGNGNWTACLNGSYCQTGKSRWVEAQIRTEIIAGSVIGGFMFLIAAGLLIWLFFAW RRLKKAKLKHESEPKDVRLQEMGRESVITSEASGSVGSSAIGSRRMSSRTVSISRDAV MRERDREEVRSPVSPVSEVGSAYMSRGTSTLEVYDEIYDDEDEHRGRPLEIPALVLSE APENVPVEEIEEIYEAENTDGEGRLTVPVAEIPEAAKNAPADSPVEDPTEARVVPPLE SETTTPVLEKTEPHAS LY89DRAFT_782123 MSSIFVNNGSFMEEATTPGHNHESTSHPLDVHPITLYEGEISTE DHLVPMDVNFSLLGIGSKSNASIHNDTTFNVPALPPTSTHVDPSHVAERTGIAGDSAL LYSTTARNTTQFGASSSNRLHLACGRTTGEIEQQDGNEHQEEKEQQEWRDHQEEEREG GEQQAGKEQHDGEGQQGQAGKGQQEGGEHQEGKEQQVGKGQQGQDGKGQQDETDSTME MGTNEGNVVHPRQVQGILNALGKWTGKVFGCYETTSGQDIHELDETSPKRPQPIPHLS SATTATTQSQSPNWQHGSDHQLSRWTYETLNEEMTISEKMLKYDWTGRE LY89DRAFT_66797 MTNTHSKNCQRADKEGKSSSKIGIDARALITEASLSGPSCATRA FVVIPLQASNHENLNYDATTHHEHHALLLPSFRPVKSYATTSHDLEQGTTASLHDLNT FQNLEYPSQDELIQSSESYVALGFVRNVDIGRHQGTSITNDPTIDYSNVLHETTPSTE RIVLLPIDTSLTDKKVSLSRGYENTIRIENIPLARLESRRKLAHQILAIALEAGTGYT TTHTAPYPETLQVYAGSYQDFNFEVPVPFTTEHMVESHQLEAWKRQTSGQTMTLAEKL EMNKHAWGKCE LY89DRAFT_733873 MSGSRKKPERYLNRQTSPSISSQDTSQNTDSQAAELSPSENKKG LSTYTHAVQSPSDPKTNNLILPIRPSELDTYSQQSLLRVNTSANERQEFPSNKDSHAL KDTSQESPEIWSVSPLHYDENDEYGALLNTWIYETSHTKLGLPEILEKRKWEKCDSNS LY89DRAFT_616489 MRFAAPPTGNLRFRAPVQALSTIGVQNATNFQPICLGISATISS SEAEDCLFVNVWGPAKATSASKLPVWVYIQGGGYVTNSNANYNGSTVVAASDQNIVFV NFNYRVSAWGFLASEEVRADGDLNAGLLDQRFALQWVQDHIEQFGGDPSHVVIHGVSA GAGSVALHLTAYGGRNDNLFVGAIAESPFFPTQPQVPELEWQFETYADAAGCGNATDP LTCLRSKDTAILQAANVPAPYPGRSSAPLFYFTPTVDGDFIRDYPYRLIEQEKLVKVP LMVGDDTNEGSGFAANASSPPDVATFFQNNYPLLTTNDTDAINTQYPLMPPLPKHAAY FPSASAAYGDSTFTCPGNLLASSFSTYNTPYQVWNYRYNVLQANNVAAGLGVPHTFES PAIFGVGNAGDNPNSSYATYNADIVPVLMNYWISFARDLSPNRYKFAEAPHWESFGNG RRILLQTNGTVMETVPEELVQKCEFWKGLAVTMEQ LY89DRAFT_669317 MSHHWCVGVWSQTSCAQSAISHSRNRRPSRECNAANITRSIKSN LKAITAPTSFAKQSINMIWSIRPKPKYHYNGYEVTQVDKNRLKREEEKATEDEKHGYR PPRSTWDRY LY89DRAFT_733876 MANSDKAIGRDVPWEGKSKFRSNQECTILTSQADELNVYTGKKY RFDFFSQNPTILLPETLLEGKTAFVNYICLDSEHMKKISNSVKETVQTTSLDSRPEQP IDLSTINTTLATMHVPPMSAEDHILLMKQHKRGIDIMSFGTEVNSEAVLTIFSDKFGT LPEDSQLRQILADLRKGCRVTIIRDHSNVWCNVGDKTWLHRLQKVFTHGLYPDFLSFQ EEQVHDEKSSDPNATKPKQRLQCYLDGDLLIPNLPWMKLPPSRTDVVLDYEGEPVPLS DRYGTFSIRRRFTSLQDYQIFMALLALKDHHYQEEMLNKIFNRRIKHTARVSKMLGVM GYYLYISVDNLVNTTMPKISDDTTFDITEAIGPKENEDDKDLEPFAFSARVTDKVWNS RSCFVLAYFPDVDPDW LY89DRAFT_733877 MDINTERIKRQVQALEKISELPKSTLTQILLGINYDKDTVEAKN PISNKIASLTTVATKYALDMLRGANFNGLQWKAFDSVVFGTDGVSFLQGPPGTGKSHV ISFIAVFCNLIGVPILAGAPSRVATKAMALKIIKQLQSLPEDARQDINLTISPTFNES QSMALEHLGQRERTAKWSSPEDILLRDYQLFVKILTGVESHWDPKDKVDQKAKRDWVR LYKGILTNDQKLTPQQWDFFWSTARSFRGIFLDKSTMIVSTVNNQGALIRTSTKFLGR IERDGLAIIDEANVDPEFDISITLSFNPYCTSFVGDHFQLSPICGSEGVNEFGSQGTM SLFQRVAEGQDPKLFTMLQMTYRFPARLADLPGAISGYVGLCTQDPSAHDTDPTWQIP LHKAIKRPGNYQQEFDKLPKGDARRLFINQRTRSGKANHSMSTVNYGNINAIYAYVDH MVAAQQTLKEKITILVPFAAQLTDMNDFFDKTPLQGIRIKTIDSYQGSEEDIILLDLT TANEHDPAAIGFLRKWNRINVAITRCKQALVMFGNLDLLRTRIEAINDNASSNFALML MDIIDRGDVIDYCNLDAENDFLPKDAIEWATQRFTLKCPPSQMKELRGRPALPIRDKK APETISSLEWKLIRRLDDFRVAAANGRLAAEAQSSYVTGLFDMLESKIDHDDNIEGKV KDKELDMEMDACPVVDDDNYEMGIRLIE LY89DRAFT_669320 MDSDCRVAVITVAIATRQAKAAKDHVINNLIVLYQKCLRAIPQK TSDLPPSYTQKPMKTNEQVLKCIRWDLGALDEIINIQWYQWHLAHRAQHKLTLALEQV ARYFNQCTDSHKMNIIRAQDMCDELRFLIYGLGDEIVLATMEECKEQRVNYEAVERTL GIFKEESPPEYTA LY89DRAFT_645874 MSTSFSSLPVVSLSALSSPSPDPGELTALSTRLDEAFSTTGFVY LKDLPLTFSHEDVFALCDEFFGANGLSMEEKMTLAKKTFIKTNKNTYRGYFPTQAGAD NVKEGFELGTPSIKTTVLPLTSSKFDLTEPNVFHPSYADFQARCEMLHTELQSLAARL LSLLAISLGKPATFFDHYLFESLSTLRLLHYPPVPAERQQELICTPHTDSGILTLLHQ DSTGGLEVQNTEGDWISAPYVPGSIVVNIGDLMAKVSGGRWVATFHRVRSARRESGEA KGRYSVPFFFEPGLKCLVKSVEGDEVVYGVHVLDKMKGWVEFQDVAEEVADIVGDGRM EVEAF LY89DRAFT_645879 MHHRKAHRKSRNGCKNCKVKRIKCDEVHPRCANCTKYSFDCDFE PRKNEIHVFPLPPVRIATLRRHKSSDSSRTYGDLTPSRCGSETLSNATSTSGLIRADS IMTMNTPSDRLLELRLMHHFTTTRSETYFRFIGSLKSRQLDEHDTCSVWMIDVAMSNP GVMDALLGFSAFNLRHLLPSDRDLCHASHKYTMRAIEAHTQQLQKGISEQNAGILFAG SITIAFIAVDSHQYLAPENNNMLPLHWFQRWEGCRAVVSASWQHLHNKAIARKLVEVE EGARLTAQCLADTSYTKFDFLLEDLDRDGITLETLSAYENNVKWLSILHDNPGVDYIF KFTTRVGPRFVEMLEQGDPRTLTIVGYFFVLLKIRKPVWWLPQPKRKEFLILMDLLPN EWKPRMKWAVKVFEGCEE LY89DRAFT_585286 MLTLLPRTVANTQNFTKETCSVGESVYGYYPSQPVNVILVAIFA ISFIANLFQGIKSRSWTFTIALGVGTSAEAVGYIGRLLLRNDPFNKAYMGIQLVCLTV APAFIAAGIYLTLKYLVIVHGVGFSRLPPKWYTRIFISCDIVSIIVQTAGAGMASGGP SMIKTGNDVMMIGLAFQVVTLAIFRAMALHVWSRISKYKGELSHSTKAMRNTKRFKGL IAFIIVVFTTILIRCIYRVAEMAGGWGNRIMQNQVSFIVLDGVMCFIAVLALNVFHPG FLFQESHGTVEGGARDSTVVQKV LY89DRAFT_733882 MNFSRLSIRWLDPSEDVRPTNLNAPRDSPSPIRLPQLLKTLHHI KTDLKQPPNFPLFPQLPVELRDLIWKHAAFEPRVIYIRHARVRDNCTDVSLFHRGHQK YQDQPIVPILLQVNRQSREEGLRHYTKCFEKVVDPRDWAFFVSVEDHPKHAKLVYINF KVDKFLFVYGIDVQANTYQYNLGAPDGLPLENFNFQPDLMQHCRHLVLTAEHGVIQDF ERVKKLMALKSLDEVTIQVYESDKYRNAKQGTVDYDLLRAKMMVILRDIIEAERQGLR VSFSWVSRQEILCNQHLLGLDPHYYVDPQWSLGNRYEEQE LY89DRAFT_697200 MNSRTIRTSAKKRDSNLVEFAPNDPSDPRNWPAWRKWSIILSIT FANFTVIWNASGYTTALTMFEEKWGTSAEVAVLPLTLYVLGLAIGPMLLAPLSEYFGR TPVYLSMFLISALFLLGTAVVPTITGFMILRFITGFFCSASIANIGGTIADLWDHHHT GFPLSIFTLTSASGSSSGYFAYSFIAQLHGLKAVLWAMTGVTGGFFVMLCLVLNLSET RHSVILRRRAAKARKEAGNQDLEVAEDMRAQSLKHLINISLARPFHFMFTEPVIMFCA AYNGYLFGLTFLFNGAFALVFGSEGYGFDTIGVGLDNLGVVAGVCFGPLTHLWQERYY LRRVQEAGGKNVPEARVQMSMVAAVVFPVSLFWFAWTTFTSVHWIVPIIASAFYGWSF YTLILMTFMYVEDTYKVYAASALAGVCFARNIFGGVFPLFGTQMFENLGYRWAGSLLG FLALVLMPIPFILARWGRILRKRSPWAREHMDDLEDYEIEAMGVKVGHNSS LY89DRAFT_669327 MSTSLQDAEYDDEELSDELEDPSTDELPSFDIGLSPTGDAGSSF RTQNDPAAPLQRSNYVERNKGGVDIRCSCLDVIHGLWSADSDYSATLLVLQFRFDPRK RARRIQDVNVTLRFAGMKARESDPEVASIAPDGTFNLVETTQHEEITRGGSLALGAAA PVGGLTATGTLSLEKAVSRDTSDHTTVTGSIDLKERNWGAKNCASWTLLENKTTKTGV PKSMRTAILLKRKDDNPFKCIVKIDAGVDFKSSLERMFGGKPKDDPVLFDPDLEPTNN LQKYDREELGAFDIESMADVTHSTIVESVIKKKFCDRVRFKLNSHLSSKIAVERKRRS GYQGLLVSVAIWGLQTKRYLLLAALSPSAGAAMSDAGSALDDEAVVIDSPNRGRDIDA QDASDASSDEDDEEEEDDEVSLTKRFEGLLKSLKEGTIDLNDPLQLQEFTTHHGPYLG QALTGDADEHDTLLHMLVDDAKDKVFDRYQPLVKLLLERHPDLMERDSNEKVPLYIAI SKKRDKLVRFLCQSYSDIDSILRIPCWHHENCLHVAMRKQVAPKLAVFLIKQASEKTL CAPDQNGATPLHVAVEYKYCTDTQLEIVEALIQRCDKAMDRRTKEKNLSPYQHHEQSQ IEYYKKIESDLKKAAKEKASEAGRTKKEENNIAHDGKGSEDASNSKLKLGDPKSTKQI VNPKSQEAVSLSVKEPKFGPIKRVNTGAEPGKPRDPPKLGIMTTSANGTGGTPRTPAA GTAPKVAAKKKKEKEEIKVTEESAKAIKNFMKLHCMRTRNQDDAVDFLYGRTQEKQIY FDLYEYASVTMSQERIESGLDHLKFEAMLQYVALPSMKLEKRPVPKLRKQPRPDGKGR DDMVFLFDWLRNKAVDRVIRVIVDDTLDPAHSDEAIEKALWNLKVDIWDWKKIDICTE TIITASPDVSEVCLYWSGNNAVLRGWSEAGGLPLLRKLKKVHLHVDQGLETAKRARNN VKDFQARLKRLRSDIVVDVVEENQPEKHAPTGGAVDVVAEQSEHRHKWLTTMDDFADF IQNVDSPLPFVEPITIALIDDGVDIGEQSLHAKIIGGRSFCQRGENLNKPYYVTSGGH GTVMASLICRVCPRAQLYVVKLDEHMSENSKRQITAKSAAKAVRAAVDRKVHIISMSW TIERTAQNVSDIADLETAIELAAKQGILMFCAANDQGISSDQSFPAAASTKHLFKIGA AEASGTVWKWVGDPAQVDFIFPGHNVVKDRPNDAPLDKCKTLTGSSVATAFASGLAAL ILCCVQLGALTTQAANERLAQAPGAVSMEDYKAMKGHERMKEAFMGIGSKNKYLEVWN VFGSAASKAERVGGRDAKLDIVSEIAQRLKTRKTME LY89DRAFT_67055 MATIDGDADLSEKADDAQIIAADENTETGTIESHKLVVLAGEVS EDEAPESAFDLVAVHGLFEDSQETWTPADETKTWLEANLYETYTNARIMTFGYETDES KDGISTRGSIRKKALQMLEELLELRKELKPNVFRPLIFVAHDIGGIIVKEV LY89DRAFT_536367 ILRKLLKVGATIKDAETLHGMTPLHVAAREGHSETTKVLIENGA DIMAKAKFGRIPLQTASVYGHPNVVKVLIKAKVEVNYLDEFKDNALDLATHWGNFQVI EALVEGNIEIDRRDKE LY89DRAFT_67050 MRDSDGATALNCMDKSTPLSFVKRLVNAGSNIESRDNDGYTPLC NAVMCEDIDIVKYLVKKKAKLEVTGNLFGSPLHIACRRVLTDIAKFLVEAKADVNQVQ PTIMGTPLQAVARCWTSRKGEDQEKLIRYLVEEGKADVRVVGGLLGCALNAMCGWASA EMVKYLLGKGAKVDVPDSQGRVATHYAAVQSVHHFTPLINAEADIELKDKMERSTLHW AALGGRLDVVERVLYLSRSLVDQPDVDGWTPLLWAARQCGTEHNVGTVSAQKQIINFL IGQGADPCVVGKTLDGREWTTVKVARYHGVDEEVIELLIEKIKEKLENEGSADTWDDA VHASAKAQVHTGSCDSCLSVSSYSILGAPANNICRLCMAFTICARHVSTSRIAISATL PGISSIQTMNSRLRERNTKILSRLQLQNPRRMRIRTMIRTTTIHMKSRRGSRVKMELR RRSRRQPQMEPWRIRKTLMTRMMMIATDGVLLKTIGVPMAVNSLLSQFGRHQKTTSR LY89DRAFT_733887 MPGSEWIQMQSPPQFSEHNPYASSSSLNKPAFGGKYTEVAVDEN STPPYRKQNFKQKYFGGVKRTLRAFAAVACIVLFVNVSWLGYARTHYGITDGFGVIQQ GDCDQVGMLSTWYHLLINILSTLLLTGSKAFMAVYSCPSRQEVDKAHQRGRYLNVGGL SFGNLTGIAKRKGLVLVLAMSSVPFHLLYNSLVFTALATNQYYWSVVTDDFLTGASFN LTGPWRFNDSMPIFTASPLNSSFGDVFELDLAGQLNYETAMDDYWTDMQKNASSWERL ENKDCIQQSSNVFISGRRNVLLVSSTKNDTNSVLMYSDADIDGSMDGNCWTVFDYPIE YCLSQPTKDICSVNFSMTTMIVVLSFNALKVVLMVWVLFRFDAEKILTSIGDATASFL TFEDPTTMQMCLADKRNIRTHWQARGFDDILILRRIFLRCMGKDQGIDLTASGLWKLG FGELNQNALVIYGAEAGDNPIVMAIVANIPQIFLLMVYLMYMGIMSSMFLAQDWSRFA SQGQTLMVSTPSGKQRGPWLLGAPLT LY89DRAFT_697202 MLAAFAVAAPNKVARAPLPENTSVNPAAITGTTCTDKSTTLVSH DINVALLSICGGIAGTIEQCGGEPTNTTGTSGTAKFTLSAATSGQTIDITKGRWEGCV RAARAVCGDTPFTSTCIGGADVNAGNVDFTLSAA LY89DRAFT_718614 MPSPSDINVIPVGPRRKKKACRRCRHRKQRCDFQQPCHNCEAAG VECLPVIQEPQQNYPAGYVPALENHAAMLERTLNERFPALDHLGSGPPVTGDEVGQSY NYDYLAGTSPDTERIWQWQVPQTPNTPGRTGGSVQLRDLGTNQPSPPDFTAPRQTPIQ AIQGLSTNSEQIPTATAASFFRTYFQAIHPQYPFLSVQDCGKWYNEWKLAPGGDSISG WPAFFVKMIFAIGSLIQSKSDAAPRYQHQDLKSQAQSEDAIIRSTKSDPLTRLQAMLL SAMHALHSESTARISHISGAIIRFASLHGFHQLVDLGDEDSQMKIKAWSCAYILDRAV SGTLDVPVSLADAYISSPLYVVRSEEPYPMPWLNDAPPPVTAQNVSNLGTFAHICKIR LIQSYIIHVMHSVPIEGDATREWQDSMRIQIENWADEIYSHSLMDNEGYQSPLWLGLI GQLSRILLCRPTRLNINTHVSDVAFQASCDACTTFRALQKKRQVAQPWLVVITQFQAG VTILYVIWARALANIPSQADSSIRDCTSVLAILADRWQNAEHYRDCFEVVARAVPRCS KPGYLDREAREELADLIEKVSEAGVHRHVRTMLCEMAALNEDEEMGI LY89DRAFT_67100 MSSAVTIALRGGQAFHSVVVLCLSSYVAYENNHSLNPSSTEINF LLFASSFSIITLLLLEVVPLISPRRTSPYAALVVQALVSFLYMGGFIALSVFLSRLLF CEGSVCLAARLDAVFAAFSYAVWTASATISGIQISKRLKSGMHRDLFESIEEKVVAEK V LY89DRAFT_645897 MIQNHRNLTFDTGTIAEYRVQRFRGSSYFPSPYKGPPTDAVEDA WAALWDIGAIQISEEEFSHVNASPHAVEVPPELGGGRMAMLEVNHQIHCLHMLWQHSY PDYYQEAYNYSIEKPEHWHEHMDHCADMLRQQLMCSADNTVVTYNWLKGHYAPQPNFN AVHRCGNFDAVLAWGKTRVIDGTALSGKDYWTRPERYVEFERIPYEPNEAGDQFPVD LY89DRAFT_782137 MHLLPLCLPLITITLSDLAAGAPSNKISPKITADYLYDDVDVDI SERSVGISPKITADYLYDDVDETIPS LY89DRAFT_707319 MGAIPEKGLVALTWIFTATALLLTAGRYGIRYRLRREFFRAFQL DDFFHGLACLALIGYMITSNLAFSLEYKIAADSSGFSSEEPTVDDLSRYFHFIIAISA IFWVVQYLVKLAFLAFYRSLFFISDGFMRAWWCVLVFTLVTFLANFISVFWSCGAPKN LYVVEKCSSLDSEIVIFRFVKMWCILNVLSDVAIMTLPLWMLKGTRLPIGQKVGVAVI FLLAFTDVIFDILRTLYTLRGGAVSIDILWDILELTIAIIISALPTYRSLLPGSSHKV STSYKDLDNTGRQLKTKTASDGIVIKKQSYF LY89DRAFT_585428 AGLVWDTPNQFKSNTQYDSSNETLMELAWEALEYNLGSIALSDD YADSLGLPRARRYPWDDSKGLYFLNGYHSIHCLKIIRRTITQLYSNEVPSSHPRHWKH CLDSLLQDTLCVADDTPRHVPVDPLGLPGMGQARACRNWNKLETFATKHWSCWKNVKP TDSSVDGILRFIYCPPDSPYQEKIHQALRNSDDEE LY89DRAFT_616525 MTASELTLHSALQLLNSPIKIPLLGFGVYDSHTEVCIASIQTAL KSGYRHIDTAHPRRSYGNEEEVGRAILSSGVSRSELFITSKIRVPADGAQDHYSSILE SIQKIDPGPEGYVDLFLIHSPKPPTNVRMRVWFALERLLAERRVKSIGVSNWDVSRIE EMKTWGKRDGAVWPPMVNQIELHPFCQQKEIVSYCHTHNIAIEAYCPIVRNQRANDPT LCSLAEKYRVSTPQILIRYCLQKNWVALVKSDTPKRIVENSDVYGFELTGEDMRLLDG LNEGAAGAIVWAVRK LY89DRAFT_733894 MQFITVIVTLFAAVSAIPTGTEASLNEARALGNEVAERSIASTV CNGACDVTCNSTVLALAQTECLKICKAKC LY89DRAFT_733895 MQFHVLATITLLIATASAIPTTTSVETSIEKRTCGTLTGTALSV CQTACEAACDVVTAAIAKSLCKDACKAGPL LY89DRAFT_585180 MFNHSDEDIAAQYADRLDGEDAYTTSEEKRLRWKLDLRLIPILW FNVTLGAMDKVTTSTAALYGMKASTGLTGNRYSWVGSAFYFGYLVWCFPSAGLLQKLP IAKTMASAQLLWGLVLIGTGFAKNFETLIALRVLLGVLEAPIVPGNYLIVGMWYSRRE QPIRTGLMYTGLSVCFTGPIGYGIGFIAGADKWRYFFWITGAMTCVWAIIVGIFLPDN PVKAKFISERQKAIAIDRVRADQTGIENKTFKREQMIETFLDPKTWLMFLFNIWISIP NGGLTNFAPLIINGLGYTAQRSTLLTMPTGIIQTVSSYMCNGGVFLCAKYLSNYQLRG AWVMFSCIIGMIAAVFLYTLPLYNLHGRLAALYVSYFYLGGYIVALGMNTANTAGHTK KVTTNALIFIAYCVSNIIAPQFFLARQAPLYVLGMGAILASYVLSMITMAIYMAYCAW ENRRRDKLDSAAGQKIHRDTDFKDLTDKQNIHFRYVW LY89DRAFT_616532 MPKITQSLDAEQAEFDAKVKQIEEWWNTPRQAHIQRPYSATRIA SLRGLLPQKYASSDMALKLWAQLNEHRANGTHEMTFGVTDPIIASQMAKYSQSLYVSG ALCGFSEVSEPGMDCCDYPLDTVPKVVDKIFKSQCWHSQRQNHYRMLQSKEARANLEN WDYLTPIVADGDMGFGGLTSTVKMTKLFVEAGVAMFHLDDLAIGKKKFTVGQGRTVVP TSEYLDRLTAARMQIDIMGADTMLLCRCDVDHSEFITDVIDVRDHKYVRGATLPVKSL QETLKEAVEAGSPNLLTVRAEWIASAKLLTFDEAVQAIATEAEYAAYTSKLGSGVKSI RERRSAAKETVSEEIFFDWDLPRSREGQFFYKSCVSAIVERALLAAPLGDVTWARMDM PNWKDIVSFHTQIREVYPDRLFAFGYTGDYDYPKAGFSPEAIKSFPSDMAKLGVAWQV QPIWALQGINYQTEKFAKLWRERGIEGYVEEIQKPALATKPLTDGFEKPSYSGSYLCD TFWDTVAVRDIGEGQGGKISEKRIR LY89DRAFT_733898 MAPLSLHIVVLDCDTPVLNVYAARGTYGDIFEALLRDAAFHTQG VPELDLEFSSFDCVRGNLPTVENLDGVDGIIITGSAASAYDNAPWIHSLIEFTKELYN NRPHIRLFGSCFGHQLIAHALFSTPSNPVVARDPKGWELGVQPISLSDPILSHFGPVL SNPSSPTQLRMQFVHADHVVLHPIPEGFAALGSSEHCALQGIWRQGRVLTFQGHAEFD RFVNGETFKVFSKAAGWEEKYLVEQLKGVEKDDDAIWAAGMMLRFFLESERMADEEVG RGSEGIEEDSEVMARL LY89DRAFT_67158 MANDNILNPFDGTEGYYTLNPDCPTQDYLSKTPVNFLNARYWVW TISPTRTPSEEIEKLRMFLDEKQKTVDILWSPEIHFNKCLSDRYDEEDAALKKSVEQS EARAPLPDRIITNLLNLRKKIPEDRFQVWDDLARTAEPRIALRLKCHDCGYRSQTEGF QGEILAENKLSLELWWEQLPFHFDSDRHMMAKDSKMIKANKSIARTSSLHRRLAILDD MVKEKRYTPWGYSHSVTLVA LY89DRAFT_669340 MTNTACVAYNEIVSWILNKAKDKYGIKDGVFTIGLTPPIGDLRG SLPWQREKAILLRKVTSKMIADKIIMPAAAAQDLTHGKSLSFQCHPEFVFTSTSVAQL KNHDWTTTFFKLCDDNLWVRGKMKSIEEFLDTMSAELASSKHSKTLASYVAKMTLPFQ NEPALQGYQGYDMPQTRTSLTTKRKRVDMEGGNMAALPRKVDMGLTPKPRKTIDLSAG ETSEGVRKSSTLTSEPPRSLLARFEAQDRKLQDLTTQVSEGLLANKSLMQQVRDQEAT ILQLKSAVERSKEGEEDVQERLQARVARLEQSIVDYGKWNEEWQAI LY89DRAFT_645919 MTTHQTAKTQFVTSKDVKFAYRRFGNDTTNEVPLLFLIHFRGTM DFWDPLLVNSIATKRPVILFDNAGVGQSTGTVEDTIPKMAARVLDFLAALELKKVDVL GFSMGGHIAPYIYLNGPAGLVQHIIIAGSGPSAGEDIVVNSDERKKAIEVNAGAAQVE RKNFEYLFFGPSESSQAAARAWWDRIHERNKSTSGEERSDLVSAGFADGGAGMMNMLK AFGVFANLELRAEGSYDRLGNIDIPVLIGQGKDDVMIPTVNSFVMQQKMPNAYLKIYP DSGHGFLYQFAEDFAQQVGWFLDTTAK LY89DRAFT_718624 MSLEPKFGSGGKIRVTTGCVTCRIRRVKCDEGRPHCKKCTSTGR KCDGYTGAPLPRSRSKNPSPDPGMASTSRNSPSPHPTIKLPAFDNTEQLQSFEFFINC TCSISPTYFGANFWSFRVLQLSLSEPAIKYALCSLSLLHRGIKNINSLHGEVDTAAAE RFRAESRKLSLHEYNNAVAHTQRLLQESSNGSEDAIIKGLVACILFVCYENLHQNFTV AQMHLQNGLKILTRYASSTNVIENTQPQIPLDIIHTIERLDLQAMSIGDATSPYPYHS WPGSLEFPTFETPSSSLSDALASLITLTRWFFALANYAVPNLLAQSDLDKAAMILFER RVDLQRIIDSLNLPEATKQEKTMLLIKMYHNMLEILIGTRCFGFEMLHDDFTLQYEHL VELGLQILALEKGTVDQPFFSLEMGIIFPLFYTAIKCRDPWIRRKAIDLLVNMNHQEG SWESSGAAKYATFVMNVEEDGLKPCWSIMRRGGLM LY89DRAFT_684907 MLFSSLVSNSLFALTACSTATASRIPLSKRNLHRRDASAVGAIS SSGGFWFGDFAVGGSSSLNMLIDTGSGDVIVNAGLYKPSSTSKSINATFDNTYATTSS DGTGTGDVVGILYMDRVSFGTLSATQMVGEATGAAMIPSDGIVGFAGKMFWQFPDNST SFMQTLCTQGKVSECRFGLALANENNGSLIMGQLDTDMYTGDLAVGPIYQTGWATKMD LAVNGTIVTRDISVELDSGTATILGPIEDVSSIFEANGIKGVLSNSSSGLQLTGYFPC DSPPNIGFSFPSQTNASTAVGTISKNSTIFDIPANTWAAVDNGNNNCTAILGGQDVAA FPGLWVVGQPFFQGRYLDHNLAESTIGIATLKTSSNATTTVSPTSSPTPEATTTTSGA TPRGGIQRGALFGLPLMVAFCML LY89DRAFT_782148 MPRISDMSVDRFPEVPDFVTHYIRDQNLADDDGIQGRTLIRVCL EEFCDEAVLRDTVLMKSAKKDIVASIRRMISDGVVCLLHQEDKPIFRLPREELAAEDV TTIVVEQRDRDAEEAETSLLEEDPPVGEIDVDRHAAGYTEMIRGARPMIEPPAEEDIS TPSRKRRRVEIAASDSSSWNSISLSPIRHSNSTPRRRPQPPASPLSGSRRRSAPMQYF SLVKARDTRDYQAEERLRQTLFKREKKDTSPPWLLQGLEGLRSRYADDTFEAENGMIK CSDCGRDFKPANNGVSNFETHLRSKGHRALVAKRIECGLTYQQSLRTKKFDIDYSEQQ IPYMVFAQKSQQTLADLRTKYFTAIEGDTHTQSIRLSFLERRLVEADERNVKQREHFE KVVETSERSSKDTKDKFNLLSEVLKSTETKCETKIRDVTDRLDSSESKNAELLKSMKQ QIVAFQDSCEKKLDETNTRIAKNLHNLIKSELVSRTKLEQLASQISQLFEINKSQDEE IAKLRSQAEEQKNVVQNLHSQLEEAKKIQEENMQRQCDSDQSFRKTITDQMEAHLQHT ERCSKKLAAENQAGMKSMERFMSDRIKSTEAQCSKKFRSLEKKNTEQSSKIERFEHVI PVILDDAQNMREYMEELGNNIAAETDAAQSSSDEVRRDGRKTSLAP LY89DRAFT_585163 KVATEMCLAHNLIIRNLNAIYLQAPNISSPTDISDFILFCQVWH QSMKHHHAYEEDFLFPWIEAYSGEKGIMERNIKQHEAFHGGLDEFEKYISNVKVEDWD AGKLLKIVDGFGEALSLHLGEEIQSLLALEEYGGEKLEKAWADLEKKIQAEMTDKTKV IPMGFGGIDRTFEGGKHKHWPPFPWFVPYLVKFFFMGKHKGSWRFSPCTIFGEPKELP FVKVEQ LY89DRAFT_684910 MPTYLVHGFRWRRDSIVIHTILQDLEDAAPNWIMAPRTSISMLN SFYSMYDFLPPSSPPPATYSPPVPQVEKPAPEPKKENNGPRTLTKANKGSMSSLRSLG RKNKPPNLGSKGTNGHTASTSSSDSRPSPSTQTSACEVKKEPRFNEWSVVKLLEQYDP EDIQTASQPYAYVADYMVEVKLGVSLNEEMFKYEADQKAEEAILNAHNAPPTPGTPAS PAPNAIGGMTSPSLSIREARRRSRRLGWFEKLRDGLQKEADIGWYVVVCGDEERMVPT TIEEESEDESEEERHMKSPRSAGLRGMFHKRKDILEEE LY89DRAFT_707325 MLYLIGLGLSDETDITVKGLDVVRKASRVYLEAYTSILLVDKSI LETYYGRDVILADREMVEFASEDILDNAQTEDVAFLVVGDPFGATTHTDLVLRARSLK IPIQTIPNASIMSAIGATGLQLYNFGQTVSMVFFTKTWKPTSFYDRIKENRDIGLHTL VLLDIKVKEQSYENMARGRKIYEPPRYMTVGQCAQQMLEIEEIKKAEGAGGVYDEESL AIGAARVGGKTEKFVSGTLKQLCEADEELGGPLQSLVLLGRRTHELEIDYAREFAVDR EGWDRIWKRDYDAK LY89DRAFT_67212 MASKKGAYENTASDTDFRKKYDRAEYAQKAKDREAKEKEESKLR YEAKLAGKKYYAPLTGEETLTQARASRLDVSQNVGKVMLMPAGAATGKKGRGAGFYCE ACDLTFKDNLQWVEHENSMQHLRAIGQTGEVKKASAEDVRERIERIWERMQEEKKDDV KTLGERLEIRKEEEEKDREERRRKRKELVERQRAEKEKEVKIKKEYGEDVRVEGEHDE DDMMASMGITGFGSSKK LY89DRAFT_718630 MNNNHSRRVSGGARIPQEVLTLIFTSLVEAYEPTCLLIEPADDS TDDLRLRSLRRNVPAVLHVSREARGIGLRRYRLAFGAVDGRPQFFDFGRDTLYVNSRL LYQGRLSLWVAAHAAIVQDLVEIQELAVYIAPDPRSLRAGEWIFNLGRFFQQDNWRRS FERSFVASSHLPLVMGSSSSSEARFPTIQNIAFKGSLEPSGETMPRVICLVDIYNTHS LTEYKYRGWLEADLGMINDRVSE LY89DRAFT_782153 MPPKRPAQKPTALRNVYQFRSEDKVLFKTCKELKSGLTPLDVPG DGSTKTAINLHKKLQNYQRERFRGFNAEELRSLDSLAPYYEFEENLLLYTLDNPLHPV FQKERWSQPLLLHQALFPLDLGRNGFWDVQNDIVWAQLIPGLRIASQILEQAQSWPWW DTLLNGDLKGIELALIPESAQKNGLNRIFPREASIAGAPKEVARNRDRLKKMAKSIEF HLTSERVDPHTSLRRQWTMIGETESQTDGPTIVWIGIETLEPLLNPQITRAERMLCHF RVACTVIHEFSHAIWHHTIQKEVMEDDDPTTNPEPYTGDEIWAEGFSMEVQLFGGLTL SFNSPTSNGIAGLPVAGFFQGEMSESLCPSLLYVETNPILLLDPPSGWDTPVYYPVPL PYFYNLHNSDLWNHVRKRDVTALHLGPKVLGIRDLGFAKYGQVNMPRPDNEETEDDIM TDDSMPEDVQDTIASENGRRRQVKKLLEALENPQNIPLASPALVGAEPNVESDAGTLS LDKFNEIVTFLRLNRFKLAMHTMHFNMGVHVLFAHITRAGLSISYEEFRAFLNNLKKD KKDQRMLLEVTTMSSGPLPTRATVAVLQNGWTGIDSVTQKPIPPAVTRPPHTKPSQLN LDWFTKCTDLVMDEDGPYGYFYQPDLFDFDIEKFRRLANQASLEIGTRGNFEFVGPLF QDCIREFEAQNYKWALGPPGIIRKLKNGWPVLAAGNKRKKPTAVRGVKNNKKQNKRVK R LY89DRAFT_645934 MVLSFILIQNRQGKTRLAKWYAPYNDEEKIKLKGEVHRLVAPRD QKYQSNFVEFRNNKIVYRRYAGLFFCACVDANDNELAYLEAIHFFVEVLDSFFGNVCE LDLVFNFYKVYAILDEVFLAGEIEETSKQVVLTRLEHLDKLE LY89DRAFT_67316 MLFVYLMCSIGRSFDDNSPWRLYQSWAIHTFLSTAVAGIISWGW VVVAISHLSLQCFLLKLVHVSITRAVLLKPRTGRASVLVVTHFVCFVGIVVASSVASI CSVFRCRFGIYFRCGCLHFCGAFSFGFCSEPGTWVAKCPSRTQISYSCYVSSYVQSFS VTF LY89DRAFT_67305 MASRKSPFDLTICARANILALEPYRCARDDYKDDGTNILLDANE NAYGPSLSLNGSAKPSASSNDPSIDFLGLNRYPDPHQHDLKQLLCNLRNTHAHTTKSI TPENLFVGVGSDEAIDALLRCFCVPGRDKILVCPPTYGMYSVSAQVNDVGLVKVPLLP APEFGIDVPAIASTLSSSEASAIKLVYLCSPGNPTGSLIKKEDVKQVLEHPTWNGVVV LDEAYIDFAPEGSSLAEWVAEWPNLVVMQTLSKAFGLAGIRLGAAFTSPPIAALLNNL KAPYNVSSPTSAIACQALQEGGLGVMRGNRSRILEQRDRLLAELPKVKGVGRFRGGSA SNFLLVEILDTQRKPDNFTALKVYERLAENRGVVVRFRGKEHGCLGCLRITVGTETEI TRFLKEIADVISQVHSEGEKTNSVMEEREEEAANGIIS LY89DRAFT_684915 MAIPASNGKTVLITGINGYIASVLGLHLLEKGYSIRGTSRRAAT TEALLKGPYAPYTERVKMYSVPDMAVDGAFDDAAKGVDGIFHTASPIDFSIATYELMV TPAIRGTETVLESALKAGSQLTSVVITSSVVAIVNPKEGPYTFTEKDWAAVALETVTK NKEEGVTSPGGLLYAASKTAADRAVWKFRDEHKPSFAISTVNPSVVIGPPITLPASGS KFNETLQPLFNILSGAAETVPPSIGSGSFVDVRDVAFMHTWAYENPKKADGERYIACN GFGPSQALADILREHYKGTTIGEKIPVGTPGAGYVGYNKETGKVESVQYPPENIKVDG TKAVREMGIKYISFPQSVVDTAKALEPLV LY89DRAFT_67667 MSGVRIPYRPASVLPTGIPPLNLFRLWAHSPSTLPHAISLGTAC FRDTSLTPYQRELVCLLNAQRLACEYQCKQHIQIAKTVGITDGQIEALISGNISSSVW SASEKALLAFVDEVIAGPEVSDEVFANVRRCYSDQVLVEVVTMQGFYYSLARIATVFR VDLEHTSRMVDSEANGRGD LY89DRAFT_748077 MENSTTADRGPREPRYEGEDVSPTTDRELKGFYAYSLAAEVFAV CGVGSFLPVTLEQLARERGTLWSDRTTSCMAKSATDAGKTAVARVLSRASDSDNNQCI VNVIGLEITTSSFAMYTFSLAVFVQALTLVSFSSVADHGTYRKKLLLTFAFTGAVCSM LFMVVVPSIFLVASVLTIISVTCLGCSFVLLNSYLPLLVANHPESRGNQRDLDNAAEL HIPQSPGLRRRESSDQYLSHIPHAKASKSDAAELKLSTLISSKGVGIGYLAAVGVQLL SILILFFLSKVSVSSTLALRLVLLLVGLWWFAFSIPSMLYLRARPGPPMKSMSPNGSR WRSFFTYVSFAWISLWRTIKVASKLRQVVIFLVAWFLLSDATATISGTAILFARTELK MGTVPIALLSITVTTMGIAGAFVWPIVARRFHLKTNHTIVACIALMEIIPIYGLMGYI PLFKAWGVIGLQQPFEIYILGVIYGFVMGGLSSHCRSFFGQLIPPGSEAAFYALYAIT DKGSSAVGPAIVGAIVDASGTIRPAFAFLAVMTALPAPLIWIVNAEKGQIDAARMADL VQKAGNDGDSLELHSVGYEEAEGLMRDHD LY89DRAFT_684920 MTDKMAKYRTEIQQMMYVSGETAEASPETTGMIEEIVRQQVIEM LRQCTEQASRRGSRSISTDDLIFLIRHDQAKVSRLRTFLSWKDVRKNVKDSDDKGGDA DIGPTDDPAGAVPGGPVVDTAKKNKKAKVGLPWEVPSFYNQEVPEREEEEDEDEEEMN YATLQRLKKADERTKIMTKEEYVTWSEYRQASFTFRKGKRFKEWAGFGVVTDSKPNDD IVDILGFLTFEIVQTLTEEALKIKEGEDLVKDKSGGEQAGKKRKIQGLFDPPSEGRTP VEPRHIQEAFRRLQQRPNKQRAMCNFTRGLNRTPLKLF LY89DRAFT_684921 MIQSTGLKTLVAVLLSVGVAQSSAATREPQYVTLPSLREQLAIT DAWRSERIANIPNILKKYDVDAWLISQHEHAEDTVFWSLKRATQFAARRRTLQLFLAS PAPGLQSSYSWIDNTPEVWSNLLDVLEAQDARSIVVNVDAEIAFSGGMHAGELVEIQR RVGEKWQSRFVAEPMVGVEVVGTMPRGKEGDRLKWYKGLMETAWAMIGEAFSERVITP GFTTTEDVEWWLRDKVQAMNYTTWFQPDVSIIGKDDFGDPNPSPIQPKNVIHYGDLLH VDFGVTAIGMNTDTQHLAYVLYPGETEKDIPQGFRVGLKKANRLQDIVRENMKIGMTG NAILTKSLEQMKNEGFDGRVYCHPIGDWGHSAGTLIGMFNLQDEVPVLGDLPLLNHTY YSVELYAEHFVPELNKTLNFYLEEDVHWVDGDQWDWVWGRQENFHLIHSKAANQEGFF VQEL LY89DRAFT_782161 MADTHNHVPDHICMMEGPDPELSISTSQFDDLRDDLRRADDEIS RLQALLRENNIDYRRVHRPSQSESLDNSQDSELEVGEKLPDLPQEIMFQILGYSLTSS TTLTDPFYKGRKSNMTPEEQFARKKINVNFLATSKVFRDEGKALILKNNDIVFTQVAA LANFAKIPAALRVKIDHVTLRVVGRYYGDKAKKEFNMQEESRYHALVPKFKIPLLARP KGMIKEHGIHAYCYMQVGDFLKALFVPRRISNGRQYKLLPSLKTMRMDLINFSDHLVL GRTYFTPIIRWHLNKFLDELLVTGGSLGGEEDEEMYVLRNLVSNGGLFSENGAVFISV PGGLKPLPPYGITHELVRSEEVPMKSFQKYHPEGGTPPKSHPERKTVFKWAADSLSER KRWIEFDYLTGEELTPDWNDIESEIDSEDMYDESEDEGDGSDFDHGSYDSSSSNHLLG TDDFYAGSDDGFESAVETLEPIFKSQVVVDETTD LY89DRAFT_645950 MSFSNTDTGDKPADPYKATNIDEPSLKEKVEDLSEFISSSKFGM MTTRDGSSGALVSRCMALAAKENGGIDLIFHTNTESGKTSDIDSDPHINIAFLNSSGE WASVSGTSSILTDRSTVKKYYSPALKAWLGDLGDGKHDGGPDDPRIGVIRVEAKTATY AITRKNAISRGIEMAQGIVTGKAPAVNKLREISEQEIQTWRSSNKMVE LY89DRAFT_669361 MATPRRSDEISNPIWSGTNLPTRVRHDVPLSIRTSTIMGGSVGM GLFCDRDVQDGELIFAIKQPLIAVACQEEAWNHHHKYECRTYAKCLESRVASGTNLLI FNPTFRMLARLVCLHDKQKLKPEYSWREFERLATYETHRSQVMELPVPAAILLKKVTN TTLSHEQIRMLTYTVGGNQKHMAAPVIRGSQTWLDPLMDWGAAVGYCLEPFMAVLQHS CTGNVYVMYESNELRVRALRDISKDEELLIPWVEISDDRRVRLDKLIYNWNLTCRCDA CRNGPTMTPDLLKRSFKLINLKEEKECLSLLPDIESTMTELQSSGLGNSQAMRRLVRR AIAGHLGRKNDLSLTKALKLFLKIYYVIDHQTSPPTRRLEHLATMHDIRSLLYAPLLG WARDVQPYPERIKVLLVNIYPYWCVKIAEDIRLAFGADSAACRVEQRWRDEVLHYFNI PGHYDTLLADKSLHRRYVDISQDLAARRLFVKDVNALLAWAGIPTQTYEQMMR LY89DRAFT_748087 MSDSHRSNEEDVQGSKFKAPKHKSAAGSYYSSQDSIEEEMSSGS KKGSKGSGSKDESLNPLEEMMKMRGGPGRNPTQQLLMQYGAPPGVGMGMEGRGGVGGI GRLADMAKNGRTDVEGELARAKMMKKRKEFEIAAEKKKIERFELMQANKALSAKDKQK LLEDAHQKKQQLLQQLYENEQELNDAIEVNGGRRPKPRDYYHITNDRHYERTRR LY89DRAFT_733923 MSDAFPNKSEAPTENPSTPSLPDKADSDAASTNSWDSDSKVPQA TEPALDVKGKGKEVDTPDDKQVSGPSTVPSSTPKAESQQPEGSKNTTEPVSHGYHRPS VEDSEDSASEIDYLPPRHLAEKLRDMILELASIPTRPMNVCGTFRNLDPSNGQSTPER RYPIFDEVMSSSKLPVDQIDRLRKEFELGEEKIKIEKRKKEQDSSTAGGAAKDPFPLP PSTQAFVEACYQRLRAEKIRQDNDPFIPEIVSTVHDTPAPENRQQLPTADMLSNLQNT PRDQVPADKKHKRRVSFTFDPSTSGPRSANVHTTQAPARGILRRSSASSSGSTTPLIN TPGTLKDQTRQTTATEAFKSSSSNAGSKRTTASSSSKNAGTSSANNTAATPTKGSSAA TPPCGGRSVPDGARAVPSDANSSKTSSREPKSRARGEGTTPNFFRQYYGPPPLRRRRP VPGSGSFFSGAAAAGPHTSQTSDQAAHGDQHPRAQSNIPQTPEEPCTCKCARHQHRPQ MPHFFNGANFPFQPCFPPFQPYSPFVSQFSTTTGFGHESRSRSDAHGIGGGWEEMARE NILLGEELIKKVDARIKKREEEAANRKAEAAKRKEEAAKRKEEEAEREQRNREREERA REAEERCIVREKRHSERKS LY89DRAFT_707335 MESAKVQSSPKPPYNNYWVGLDDLKYVPPYLKDVPETPEEMTCV TKGTWPTWLQGSFMRIGAGKYVIPLSEDGSKPPAILQHFFDGLGMLHKFTFENGQVRY ISRHTAEGVVRRARREGFLSVTMFGLNANTPLKDAQDPCSALLGAQQSLWIPNGHLEP DAANLNVVPRRGMHLPKDTNPLSRGTASENPEEDEVMVFTDFNMLQVCDAETLEPKRL LTYADIDPELSGYGICAHPPKDRKLGTIYNYLISTEGIMSVFALDFKSKPVKLLWKTP LPCPPCYIHSLAMTQDYVVFIRNPLHMNISDMTRQVMEMIEYEDGETTKFFVLRKSDG QHIATFEAPNFMFFHSVNAYDYIDKSTGDVNLHVDLCSYEGDYVTYREYNLSNVLEPA RPFMDATLVRYELSAVNNKADASKIRRATVAQAIPGAPMELPRIRKDMSMVPGYRYVY ACAGNAGPSPGTEVTIGRYGNGIKTVQAAFFGCIGKTDWLTGKFIKWQPEDGESCPCE PVFVGRPGSTEEDDGLVLTIVIDRKGTKSILVALDGRDLKEVARADMPQVYALGPHGS FIEGSTM LY89DRAFT_697221 MWWRVLILLNISFYNMMGNVFAAGISPLFGLVIKEFHCSVDQAS RLASYALLMLGLSNLLALPTVEYLGKRYTILMSMAVFLASNIWAAKAESYNSLVGSRF VGGFAGGVIEALGPFIVSECFHEHQLARAMVVYVGFLAAGSAVGPIVAGGIASGLNNW RWFFGISAIAIGTNLVTCVLLLPETTHITDDYALEATVSSLEEEKDAATCIENGIERG DPASTEQTQSLKSIWIERSFFTRLAYAKKRENPVKLFFQPFPLLLAPPVFFTTLVFGL TIGWTALISIVVSNVYSAPPHLWQAWQIGLLNFGPLVGLLIGLPLGGMMADMLSKAAL KRSDGEHDPRARLPAVILGALISPAGLLAIGFALQRNLHWIIVAVGSGMLAFGLTASA NVLLTYSVDCFRMHAGHVGVLINVVKNSLAFGVSFGSMSWYYESGPARQFGTMAGLLW FAYLCIIPVYIYSRSLIRQSERLLHV LY89DRAFT_707337 MSYSDAPDAPTGKRRRISLACSACRTRKSRCDGSRPKCSSCEEL GFDCIYINPASGSNVIIGKEYLSGLEHRLKIVEQDIRTLRAQQDNPRQHARFEDNFED EPRGQSAASPSHTRTLQSEEVEVNRDELQDSFDNENDADGMGAMVFSAEEDCGFFGPS SNIAFMRHVSRAMARTNRSMFATGDSFSPNIPLDSAVISISQPASPSARASATMQSSN AANRSNVNIHALPPEATIRSLIERYFGDTGLLFPYIHEQAFLDTYNEIKANDFTKIRR TWLGLLNMIMAMATSTTVENGLSADKRAQASDIYYLRAAGLCEKQIMRGTSLEIVQYL LLTSQYLQGTQRSVEAWTVHGLAVKGALQLGLHSTAAASRFSSLDQEFRKRTWFGCVV LDRTLSMTFGRPATIPDDYIRIPLPRSLLRVGNSEEYDANDHEREVSVDFFNATITLY KIMWNVINELYGGNIGCGTPTTVLNTVSQLFSIEQQLGEWQLLLPPSLGLCNVNDLFQ PPDLANSIPSPIDRFQVILKLRYCNLRTLLHRPILVKFLDIIGKASPNSDAGEVNLLQ QIGSNSIQICVQSSMDIVAIVRAMVTGSGAHRSRLGAWWFSLYYTFNAALVIFASFLI LRDRSANGAIRLPLAVSELDLQRSLIDAALALRQLDCKNRMVDRCAVYLEQLASVSET LSTSSGFDNRALPNTYNEPSATNVPSLYPNGQMFGSMMMNASPLGMDLGEFLLEGDLE FLNHITSTQQAQIINRS LY89DRAFT_67819 MTLEKNIFVPLIIDNIDVKLPENSLTFKPDSSNASTNTNLNVIA QGTDVANCHAAVDSCVRAFESWRATPPAARRALLLRLAELLRSKGPEILQIMREEIHA SSQWATINLEESILMIQETAALVTSPSLAGSIPSSQDPEGHALIFVEPLGVILGIAPW NSPLILGFRACLPAIAAGNTVILKGSELSPRIHYFIVSLFREAGFPPGVCNFLLHRGT DAATVFEELIERHEVRKCNFTGSTPVGRRIASKAAAKLKPSLMELGGKNFAVVLGDAD IQQAAEMVCEGAFLNNGQICMSTDTVLVAQSIFAEFRQALLSILQDPQRAPEITSLIT TAAAYRVHNLVADAVAKGATAHTILGASSSWMHATPGKTLAEVPATVIEGVRRNMDIS TQEAFGPLLCLVPFEVEEDAITIINACKYGLSSSIHTRSHYHALLLSKKLNVSATHIN GSTVHDESTLPHGGHGDSGWGRFGGHWGLSEFVHTKTVMMKK LY89DRAFT_645965 MVVWERLIRFVAADGRILRGEPILPHPNFDLGQTTAATKLTAKV IYGDDIYDTTGHTKVTDEVVTVKQLLGPLAQEDVPILRCVGLNYAKHIKEAGRSPPPF PFIFFKPSTCITDHNADVAIPKICQDDQADYEGELCLVIGRDAKDVSEADALSYVAAY TCGNDISSRKLQRDPAFAGRIPQWGFSKGFDTFAPLGPCLVSSALLPDPSKLQLKTSV DREIRQEEGVADLLFGCAYLISYLSTGTTLQKGSVIMTGTPGGVGAGMNPPRYLVPGN EMEVYISGIGTLKNGVTFA LY89DRAFT_67856 MSPTLEVNLDTEIHRSVSSKVNSTQKTENLIQEPIHPTRSLQFL RNSSSEYNNDACSLQAKVRLRIIVVGAGLGGLACAIALARRGHTITVLEQANQLGEVG AGIQIPPNSSRLLHSWGLQPFLKSAVVEPDGMTFRRWLDGKVIGYTKLVPQFRENFHA PYYVIHRAHFHDALYRKALELGVQVKVASRVEKYDIEAPSVELASGDILKADLIVAAD GVKSVARKFVLGGNDIPPERTGFAAYRATVDAAKIKDDPEISWLLEKPSVNIWIGEDR HVMTYTIAAGESFNMVLSHVDTSDPATWKPEKALKDMQDYFTGWDPRLTKIIAMIDKT IKWPLLSGKSLPRWVTPSSKVLIMGDAAHAMVPYMSQGAAMAVEDGAALATVLSLIDT AEDIPAALMAFETVRMKRSGQMQAASLLNGKLWHFPDGPEQILRDESMKAEVEGRSFS WSANQWSDPVTQWWAYGYDAEKEVEDEWERCQKHVRPAVKL LY89DRAFT_684927 MTTTTTTSQPTAEPNHEDTTTALLANLEKHHTKPLWAQMNRLNP PRPNPTAIPHVWKYENIRPHLLRAGELITEKQAERRVAMLVNPAREPPFTTDTLYAGL QLVMPNETAPAHRHTAFAMRFIIEGNGGFTAVHGRRIKMNRGDVILTPTWNWHDHGKD GSGPMIWLDGLDLPNFVHYPVHFVEHYEAPRYPAEDVDTSASPIVFPWSKMKAALDAA GGDWVVKPYLKANGKDVSLTLGGLAERLVAGKSSPAILETASSVYHVVEGSGHTVIDG KEYIWNRGDTFCIPAWHRYQHFADQKEDVYLYRFDDKPMLKALGFYRVEGQSDDSLVT PQ LY89DRAFT_67884 MAVDIVSIVIAAVSLVGSLVSAGFTGWISFYIDQVKRRAEAKAL VHKYRDPLLLAAMDLQSRIYGIVQASLLSFHADEEKRDLVFVYTSFLVGQYFSWTWIL RRQAQFVRFSTDKQNRELSRLLETITDEIATDANAAEHPFMLWRGQQMAIGEMMTEKD GDELHCIGYSEFVRRYHTNEEFHNWFKPIERSLQDLVRARERHDPVATYRLRRLQHRL IDLITLLDAEGLGEGRDRRDKVHAATDCKCAGCPGRPKPKTPLLSLKQKSEVTSTTTE S LY89DRAFT_697229 MAGTSIPDYVLTYAPLVFLDRGDPYFPSDMATHISHTHPTKNWK NITDAPTRLTLDNLDSLNQFGEEDVYLTSTEELIKLPSYLKGQKPDPNTLQTAGAISC VIIVVDKGDRIMDAFYIYFYTYNKGPSALGHEVGNHLGDWEHNMVRFKDGSPQAVWYS QHDYGEGYTYSAVKKIGTRPVSFSARGSHANYVTADAHDLHNFNADVPAHIVFDRTSQ GPLWDPTLSANYYTFSTSTKKFMPALKDTPVNYLYFQGKWGDDDRPDDMEGQEDFHGF KKWTGGPRGPIDKHLDRIDVCLPNRETCEIKSRI LY89DRAFT_718649 MSQDHDRGSSQSLSQTKFGDYARVHQGPIHNNYLGGPESDSNAD FLDALSPIDPAVERTIYEGMNGFLPESILEWIFRDEGYKEWHLGDLQQQKPLLWIVDN TGKGSTAIILRLISHVEGFKHQPPFLGYCFCHPTEDLNKTTAHVLEGFLYLLLSSNDG ALTHVKKKYKQGLRKSLKGPQRFFYLAKVTGFNFFIDGIDQLVNADRDFIHLLALIRS VTARGHDAKWVITSRNKEDWRTLELGFDHRDFTSFINVKAEQVPSTFSLSDAVDVIES YGLQMEGGRRKFQFQHNVLSSSLDWFRYTMRGNSWLSDCPQLGTGVTPSALLWYRPEN LGSSSEIASELGLHIGELFGNQTDRARNVEAYFSFHYLRTGNQTPEHARKLDVGPSIA LWSLFTALVKNCCIGRDDLSLMLLDLPYNTQDLVSRVCSRAAKMTEESKPNSLSQEEE SVQSQKFIEDYWFDMFKDTDLPLLVDLIEKTRVLPAIKKYRFLLIVDSFHLIDKHRWA ACLQLLDGLVIPGKFRVLASGGYRSKDCDESMLGLETINAASHPVSESTELREFLDML HFDEIHARRDQVAQALPGTNEWLWSNAVYKKWSSAGGFLWISGKAGSGKSVLAKTIRF DFLRGLDTRKMFLCDWFYNRRGHEIGTAHISMLRALVHEIVGHHKSTFDTIKKYYREE MDVAFRCGEFPDWSIHILKVMLLALAAAPSTPETLAIIDALDESKDGQSRKEIIEMMF DITSTMHGRLRLIVLSRPEPQLLDRFKHCFHIAMQDNNRADIGRLVDFKLDDIRRAWV PNSSPTLESAFEKLRVSKTITTNVVKEPATTNDQSLVKRSSDRDQEHSDYRLPSQEEQ ELKNIRLYLMENSGGVVLWAHLILQELSMLVQKKTGFNIRLLRECAEKLPKELDYLYV YYFESLGVLEDPERLKMARRIFLWVVGTRSRESLQLQDLLDAIAIPELQEITEEDVIG IERWQIGGNWNKFRDIIYEHCGPLVEIVERRDASKEGHRIGHVEPVQPNWTIQLLHQT VRSFLENRTGPLQIDEHQAKQFVQQQTNLYLQLVLPKRPTSYCPILPPLKTIRRRSNT SLWPHASKSLEFWSLLPKNRGLNQDIIDELWTRSAWLSHIDYLSDRPFCRYALKILEK DGDTQSWVKSSVISEDDILPNHSFPLWWAFDSCLPRDEHNHRDYLREFTEQACLMGQP IAMEILLRFMELLKEMSRRKNSISKLRVYPWNRTLTMGAVDAFLVSANDHPTCVYPLA TTLAKLYSEQDEDLETSSNVAISGLESIKENDRAVALAKVIDRRVKRHACTSLITPGI DTTTKSSLYELHCKLEIGTKIFKDSKEKPRNVTIGRIHEVIKTTLETLELTQKRNSGR RSPKGSMIDFWVNSTDEPFRWFTLASGSDEQALSRELSVEETIKSLDEVFSTWDFLDL THLETAYDSFSRALPILPRDSQGEIRRGKRKSRASKSDKDEDINGE LY89DRAFT_782172 MEAFFDKLQAEQKKSGVRQFAHALKSGDKEDQELAGIVSRLSNA RQELSLRVSVVNVGLTGNVNDGFQVAQQVLIETNENVRKVLGIQLMLAERLQERQLIN TSQEMIQLDGPDVVALGLYPDTSNDSQHADVDDQNLNWQRNETGDAPRLFAGNMGLED SNSADSVKGTVKDSKFGKDSRFMFGHVGGQSSQSFNENFWKSKE LY89DRAFT_748112 MPNIFITAAPVGSMPRYLNPCEPKFIPFHFLQTHAALQTAISNS KGWEKCTSGGLLISQSTNFLHGMESMESDDQVTQFKSPFVRREIPASWFVKINSQTII KKLVLHLTSHGWEAGDKNNLFWKHGEFVEAYIPPSLVANIRIYPGAIGQLLLLGWKEA GPGYYQHSKGTTPYLPITPDAIITESLKAALEGASIIHLHTRQRADMTTFSLPWSDLP ITLGCQTNKIVVEDYEEIIPALRVLCPAAILNVSTSVRGGGDADGPTRRAHLKSYGEF RAPEICTMSPAEVLFQSGGGYQNSDHFLTDQLSSCVENWIRPEIEVFNHTILDKTLGV FKERLLAAGTPPILMLVAGIDQHRRNGNALEDDSLIPVEERKEIFSLLQDEEDERALE MAMAALKPIVDEIREKLPEAKISMLLPGLMHCLLARLAFKMSLDGVRIGLEDGLSVYD SSVPGGIRKGRTCEQVRNLREELQGLGFKVLTAEETRDVLDMPMSTQMLS LY89DRAFT_718652 MDPNDISSWQETSPGIFCRPLDEPDLYYLTNVKIWESTASTIEY EPQDETEVEKWMNATLTIDKSGQTSAEFLSLDAPVPHSAIFIFPKNTEQDKLEHDIIF RSGHDVIDGMATYALLNNLIKYAAQAFSSPDINLAVSFGDEYKNLTPPFRNALGLPSP DALKFTQTELDAIRAQRIKNRSGSLGIPLRQQSSIPSKSGRAALSFTVEETKAIITAC KSRNTTITPAIHSPGSTTKRKIAETRKYCRPPYNGPEYNMMICHTNSTSVLVVHLTIP SDSAPHTAQSPHEFQDVLEQVQHFYHKNAKEVNLLDGARCFAARTLEWPTSAQLPELG IPSVSLSRRGVTDQYIQARHGRLEVVDPWAVGTELSAGLGVSVATCQGAMCLRAAYNE AYHEEVGVLGFLEIVKRVVLEGLGVV LY89DRAFT_67974 MKGKAGKWFSSLDDEVFGIMTYELEGGKEDPTSFEGEGGYEFDF WCWGFGYLFILSFITVFGIFSWRGIQKFKQCLSD LY89DRAFT_707346 MVSHDHLHRRHARAADPFAAAWAEAEAIANALANPNPAQSTVVS VVYVTASPTFTGAIGGYTTLGVAIDTDTDTDTTTSTTPATTAAGKTSSTGLLADTSST KATTKSQTTLATSISTLPSSIASPSTSAASSIGILAATSALSSTTTSQATATHALAAS STATSETATSSGMSTAGKAGLAIGILLLVGAVLAMVLFCFKRRREAAAQKIPDEKHDL VEVTPQASQFNRHNSTATNATTRTQATAPRLSLRPVTQFLPNLAEKRVSKGNALNMGN DGWQKPKDNDANKDNPFGNHAETIDTTNANGPGLVRGVSPAGDVVLADPLASAAPVGL KRGASKRDLGDKALDFTRSGPFLGPPSPAGTEFSMSSDAGTPVQTATSVAIAAAGGPA NSAVHRVQLDFVPSMGDELELKAGQLVRVLHEFDDGWAMCIRLDRSQQGVCPRTCLSA RPVKPRPQNAPGARGPAPGPRNPGPQGRPMPPNGQGRPMTPNSQAPRPMTPNGQAPRP MTPNGNSMTPQGLQPRPMTPTGPLPGTPNQRPRSNSAASAQAKRNSPPGPSPMKPIPQ FDGPASPPTDSPISRKPVPGQAL LY89DRAFT_707347 MARSFVILLFPSLTYAYTTFETNCSIPTNSTNFVSSNGTRGTMD ILWSCLFTIITCTWIVQHLNVPEQREDRNPGIWGTIWWAIKRGWTSAKWMLVTVLAPE ILLAKNVGDLTTVKLDLEKLQDWAAQDGVPWTRTHSLFANMGGFVIRRSSPKRAQNPT ESKEPSTAELGLSANASQPVLIHLLTQDIVLLRSKGLLPKLPYITLEEINDKSKSDSL IRAIIVVQIIWMVIQIIARAFRSLAISQLEVSVVAFATCAIILYGINWEKPKGVQVPI TIISYPGPFPKDTMEIVERDRNGADISVFSGLMFLVEKLFTCLYDGESTVKWPLGKPI PNVYNRDDPWVNRIMGESVLSQSDIFGLFLGTAVFGAVHIAAWNFVFPTPVESLLWKI AAILCTTMGLVFGGYMVTLSTFSDIESLGYIYKIALAILFFLIPASYVVCRLFLVVEI FRSLCFLPPSAYIATWATNVPHVA LY89DRAFT_733938 MKRHRRPYGCTFSNCDKTFGSKNDWKRHENSQHFQLESWRCNQE EPEGSPCIKVCYRRQTFQDHLTKDHSISDKEVVKSELESCRIGRSFQTRFWCGFCIRL IDLTKRGLESWNERFDHIDDHFMGRQGLPKQDIMEWIDIDSNKSRGGGLSLGSRSAGS PIHASILAIDVTDSINRKDAKIVYSAGNTVSRPSAQQDETALEDIPLTDSGYASAPNR NNTSNLQLMEQPRSSQKNKSTGTINKTDGEDSKTIYSAGSTVARPLAQQYIADLCSNI FGKLGKQFDSQIWSTISSALPELMKAFAIKIGYDSSTPVNQEIMYFIHKRHEEIIAQL EAMVCHPEEDDEPDSWQDGSKGMSIADKMKMWSSKAEEDHPLSERDEFFKGVKDEDEI IPEIDLAVYQQVILNSPAYEWFISSVRKELSIQCSTNQPPVMIENIRRKILEKLPTGV LSKRRALGACEVQFELRWENEKEGELPNELYTQLTQVENSLVLTGSPKVAQGLTVREY LSQTWLANGSRLLDVILEAVHDTEDTHSEILPDNTRLAAKIWGSHLFVKATGPAHFIA ECGEQLAWFRAALRNSTGSFHSYTPSITGFAVDANPSLSRGLKYKGWCAIGEGLIRPI DSNLYWHDLVGSEGSIIQGFPISRRPEGYPGLELSFDKLLYYLRANEATIANEQILLQ GKHLTVQLAKHTGNVFLWHPFRPWRLCTCCTSQHPWIGPIDLHVLKSCRHILSQCPEP QADAVENPDIETPMDTPRLFQNQHVVSEEENVFTLSGSEKGEHVSSTSIIKKGAYDVL ENSPSHLHMDDPKCKLLVEDETQLAEGYQSMATTGSLDIYLDSDLFSTSDSSNQDDTL DIDEPAYPVLRTVLLALLTKFRGLTQYQLSPSSNAGQSVTYASGNGSGTTTGTSNNCR KRDREEEEEEDDDDDDGANKDNFKPPRKKHASEDKATQRSLACPYLKFDLVKHRSCCT KKLSRIRDVKQHLCRKHTPKRYCQCCLETNFQDAQTLQMHVGVGTCQANDPETLDGIS TEKERQLTRKSNRKLCEEDQWYAIWNILFPRHPCPASPYINTSLSIEMRLFREYCNTH GPIMIREQLDSSPGLVGQEITEEQRQMHLERVIAQGINTLFEEYQRLSVSSTLPENEV SASSTHRSNDLQATQFETSTSSNADSGVVLENQISSRATQSQENIAIQGTSFEWSFPP LVAAEDTRQDTWPPPEGPPQNYEQNALYNSYPFSSEILFNGSEMSTFDEPESFNTGNT SEFLSQSNQGHNNGFQAEDGDSWMYR LY89DRAFT_557592 IIRKLIFQRSVREIAHDFKSDLRFQSSAICALQESVEAYLVSLF EDINLCAM LY89DRAFT_616603 MDTPMANTDHESPTLESIPTEVLLHITSYLTTPEYGALRLTSKL IEDSIHTSFAREFFAKKKFMISEFSLQALLDISQSRFAKSLKHVIFGLERLDFTAALR QMTILRPGLELRKNNILRQQLDNIAMLNTSQDVEMLAEVFSNLTNLETVGMRDFNSRS RTRDHPEIEWRSYGVSTFLLETGIALERTAYSTGHLGMNERDLHISRTFLSLLRALGK SNSQAKNFEVILRNCTLQDHAFNIPKHLERAIEPVLGKLRTLFLDISVGFFPLYVNEG NKLSKCPAYLLRKFLSKVPHLEHLRLNVKDRESDQGGELLSWFSKPNTSVSSNGTFAG SNLEPPPPVDLRNLQQLDIGMLSVSPKVLLAIFQKFQATLHTVSLHKVKMLEHDQEKL AEKVNLWAEFFGRLSEYKLKLSAINLSLLSQELTDLEYLDPQRITFNNTSDPQVKRWA GADLQGGLRDFQNDMVVKWTPPRRPIDEDVGSSDGSDSGESD LY89DRAFT_707348 MASLQGCVIALSGTFSGRTQQELEEEYIKPLGATLSKTVTKSIT HLIATEADFSKPSLKVKQAWSHDVPIVKLSWLEDCLEQGTRLIEASYEFNSSSTPLAQ SSVNTNVAPRKRAVITIDDSDDDQPTVPMKRKKATPANASSIQPQPASQLPAIVASRV SGFIAESKTKSDLTKGPTNVAKVASVVNIPLDETCPLAQYAVYIDGDGMIYDASLNQT NAGNNNNKFYRIQLLRNGSGDHKTWTRWGRVGERGQNKVLGDGSLHDAIRHFEHKFKD KSGLAWADRTAKPKSGKYTFVERSYAEDSEDDDAPRVVKAGEKLEPIESKLHPAVQSL MALIFNQEYFAATMIELNYDVDKLPLGKLSKLTITRGYQALKDLSALFDDPALAQTQY GTTFQDAAEELSNAFFSLIPHAFGRNRPPIINNLQMLKREIDMLDSLSDMKETASIMK KDTKSVDQMNALDQQFKGLNLSEMTPLKKNSTEFLELGNYFVDTRGQTHKLTYQVDQI FRIERQGETDRFKAGPFSGPPRDRRLLWHGSRCTNFGGILSQGLRIAPPEAPVTGYMF GKGIYLADMSSKSANYCVPQISNGHALLLLCEVELGKPIQMLTDASYTAGEDAKANGM SSTWGMGITGPSLWKDAEILHPTLKGVKMPDTRLKPGSTNVMNAYLQYNEYIAYDIAQ VRLRYLFRVRMIPDYDSD LY89DRAFT_553204 ESLLCSGQTILITPNLLSALARLHDDIKTTPIWIDALCIHQESA TERSAQVARMDSIYRSAQKVIIWLGPEDEN LY89DRAFT_684937 MVTSVEGDGEEEGEVYVGNTVTNQWLFESLREQKGEVRLETLLS YARYFGATCRSDYFYAVRGMWNPSSALLKELRESIKPDYKVPVEDVFTAATYASIVEA GDLNILCLVEDYSLREASGIEEKMNLPSWVPDWSITPIADPLAMNPRPEKGEERWTAG RSLPYSTPLAPIDGHLGVTGVEVSIITSTAEDNEIFGLLEFLSLLSSNPTQAEKIIES FWRTIIKDTYRDQPASESARRAFKGYLSARVYELGEETEGIEELLNEISVRDKSGTMP SWEEVSEVIEKEKGDREFKGVNEEERFFGESVRVACVGRTMFWTGGEEVGELGLGPLE TNVGDRVWVLAGMDVPVVLRKVEEGWRFIGECFLGSLVEGIGGRRGEVKDAVLV LY89DRAFT_684938 MLLFPLPRALLLSVFVYGVSNAAPTATASAGNPQSTACGDIVND PRSNDPNQNAVFNASLIYECLTSVPFNPAVATDFIQYYNDTIQFQSTLQYLKDPPTSY QQPGVDLVVGLAKLQAGVNNAVFQNQYEFEAALAALLYAAHDSHLVLDSGILAAFSFG SPTDLVSVSTDGVQLPKVYIATDIAYGDGSFTPSPITTINGQNVVTYLENFAAMNSLG GLEAHTDWNQLFFSAAEGIQDAPNIFTGDSTFYPGDTITFVFENGTSITEYFLGVYYS QGNTGPLETGGDFFNFFVLGIYPDSYDPDLIDNNTVNITASSASVSDAPEATSTVLST SGTSTVTEVFLGGAIISIGGFAVTTTATETAASAATSTAVSSCIGLGSDFYPACADVA QADLVDDGPGVTGYFLRNDSIAVLSIPNFYTPTDDSLADFDAAIAEFITSSKAAGMTK IVVDLQSNEGGEPLLAIDTFKHFFPNIDPYGGSRLRATTPANVMGQTLTSVFQNLSST DTDDDQYYQLVDSEWVATTKLNANTNQTFASWSEFFGPSEIDGDFFTTPQRYDLNSDD FVAASFDDTVSNFTVFGYSDQKAASSTAPPFAAEDIIMLSDGICASSCALFMEMMHHE AGVRVVAVGGRPTTGPMQAPAGTRGAIQYDTDNLDGSISSVQTVLQQNLSPEQNFLPN RSDTGDVFVTYASVNLRDQVRINETTPLQFAFEAADCRIFYTINTVFNYTLLWKYAAD AIWTNPSLCVANSTGFATAANAPSNFITGPNGTVSKPQVLTMGQIASSLSITNTSLLD LDTDTTLYDFIPSALSDPPTPCNADSDCIAEETSEACLSNVNCDRRRCVNFTDACGVT SGQCLTGCTKLKSLCGPNRAVCTDGLAIFQTANKATVEPGQGICPWKAACKPVGKTRK KVVGSSPIKSKSTSGGTKKP LY89DRAFT_616615 MAYPPSQQPDSIDQVIQSGQSLQPVNAQDNKTHSNISLNRTSPL PPLPQSSGSPRRENGMRPTSHSSYDDQRAGSSGRGAERESPKKKSMRQSDGSSRSGQT AVVTAPGRDNMADFFSWEVFQIVLHNPTTAHRFLRFCQSRACGENMEFLQQIDKYNRL LDEVTQTLASIHNTYTSPEAPRQVNISHHLIKRVSADIRQSTQFTLPTLDSIFINAQE HVEKLLASDIYPRFVKHQVTAQATMALADHRERFQGLGDCFCLTDPTIADNPITFASD GFVSVTGYTRKDIIPRNCRFLQGEQTDSQSTKRLRASIDSCEETVELLLNYRKNGDPF WNLLYVSPLLNERGEVSFFLGGQINCSTTIHSCTDVLRVLSVNDDELDKLDEMARNKP PSVRSQPSNYGPKPRSAFFKSWRKYNASSLPTSSKVRVREEAGMEQELIKRVGKLSFR TQVEAFYTAYSKYVIMTWNPQTQGLSIQHYSPGIIDMLCLNLPNGSIAPIYNKDIFKV LSEHTSSASSSSAAKAFRYSVRESLKVGKAVSVETGLLTGFEERKGTGWFGGKDEGMR RVEERYVTHWTPLKDEEGRVRWVVLSIAPKI LY89DRAFT_585731 MISTAEAIEKEKKISLMDLPTELHLQIASYLTYPDALSLKHTSR HFYGFVYTGVNLKVEWLIKRRILHLDCPHDRGCELGSDMRFCRGSVRLLMKRRREHGE CETREGGRGCLVFETKVCTYRKERMGRFEVFREWMTSSKKRREVLWWILVTIMGIVLA GGLMLMRNWLGVGEII LY89DRAFT_646003 MASPALPLEDNNDTDYGSDFSAGEEEIVSRLLSGASPSPAIIIE EDDNPITTEIEHHDTAQTLRLPRLPTITGERSPLFQAARAAEQVAEQLSRVVAAKGER YPDLSTPLRESTPETQGTETQATEDPNTPDARSPLERFRTQPKKALSVTDLVSPAWCE LQYWYTLTKHGRKRQTAAMREGSRVHKVLEEQVHTTVHVNIETKEDAWGLRIWNVIQG LKTLRDTGQTRELEIWGTVDGLVVNGVIDELSYVCPDAELEESVENSKKQDEPPADQT TIADFFKAAGSSILEATRPKRRSQSKKVYICDVKTRGVKSIPSAAAFKPTRIQLMLYH RLLSLLATNNVDVSILASRYNLDASKTFSDSFIAQVGSLNEEFFSAQTSPAQSQESSQ PSSSQDSMTVLLEHNNLSALWSLMIAEFQLTLPDGASSLGGVLKAEYRSRNDGEVVGS KTFLMDEEELTRYVEREMQWWKGEREPVGVVVEEAFKCRSCDFADGCEWRLKKVEEAR EKVRMRRRRSSNV LY89DRAFT_68160 MQCLPSTASPETPTPTTVIDSASASGPGTTLQSGYLWIRAVESP NFHKYLQSRPLYSPGQAILDTYTTAGQFQIISGQLVQLLNSTSFLYANVQRNTSAAVK LSVSFNTTKNAYGTFVFSGDAVTWSTPDISRPNLSAWLVCGEKSLWINLGNYDYMTPS GCVDETIHFYNAATPTPRAV LY89DRAFT_733946 MPRATQHQEGHGEGAMNVQIGNIIITSDPASAQPRASQDAQTEP QRDLLEIMSGLVPFLPVEIAELVAEAQAGRVEEIVRAVEQQRAMLQSFAPTVAIRAEN LRGVESQREIREMMEVSALTEAIEAEELARETMEASALAEAIEAEELAREMELQRATS NRRTDLRNRSRNQADESPISNLQWWLAGGRGPSPTRNQMRRMTVGSWR LY89DRAFT_68141 MPQTWLIVGATRGIGLEFTSQLLSLGYIVLATARAPATTPSVHS GNASNLWSLTGTPNGHNLTILECDVSDEASIKDFTERIRKLGRKGCVLEKGIIDVMVL NAGVMEYPNRISEISFQAFSHHLNTNTIGPLLTASSLLTLSSLPPLTLPSVPPNPDVP LSPFLPSVPINIHTLVFMSSDSGSTALFRSHEDGFGAYAASKAALNQGLRHLAAELQR KGVRDGSKAPVVLAIHPGEVATDMAANVNFEWEVEGIISPEESVRCMLKVVGEKGWGG VDEGGNVSRQGRGEQGEAYFWTWEGRRYPW LY89DRAFT_697239 MPPKADKAPAFQKQKLRPYTQPPPKKRPETPFAVKPIGAFYLFF IANLIAALYAPIQDCDETFNYWEPTHFISHAYGLQTWEYSPEYAIRSWLYVALHALVG SFRRLLPFSTKLGEFYFIRYVLAFVCAICQTLMFRVVNNTLNPRVALFFFFAMIFSPG MFHASASYLPSSFAMYCTMLGMSAFMNWKGGLKTSWGIFYFAVGGILGWPFAMALSLP FLIEEVILASLSSKEAFIDTIIRTGRGVIAGLLVLLFEFIITGFFYKKVVVVPLNIVL YNIFSGPGRGPEIYGTEPWHFYIRNLLLNFNIWFILAVLAMPLFALQKVFTRSQQTST INGLRSIVFMSPFYLWLAIFSFQPHKEERFMYPMYPCLALNAAMALHIILAAFGNSSP KSFIGMLPARLKLLIISMFLIGSVDIGAARIYGIYKAYAAPLKIYQPLEKVGGHGDSV CFGKEWYRFPSSYHLPRGMRAKFVKSDFSGLLPGEFSEAKLGFWAGAWLMPSGMNDQN LEDMGKYVDLRTCDFLVDTYYPGSSPSQNEPHYILDVDHWEPVACQDFLDASRTHILS RTIWLPDWPIIPEKYRRKWGDHCLLKRKGKK LY89DRAFT_556107 NEVPEVVVPKPDVTITPEMRIEELGSVEAIVENILLIKAKTSGE YRVLESGSVLCLEDRSVFGVVAETLGRVQQPLYSVMFTNPTE LY89DRAFT_707355 MAEAMHQCQNWAAQALDRSIQTISSHPRYVLYGISAVCLAAWLL ISATRYLKQSTNTRPATPDLEKPASRSLKAPSRAPGVWEPMKFDRPAAVPALNWNVYT SKPKPYRPFRHGPYHITMGLRNMDWNEWIELDNHYLKFHADKAKRIIERGPNCSHTDP SAFDGAVELLEEFCSYLPERYPTLYKRTPVGMDNIVTGETFNIVERPLIEDPMQMAAR MVQDDLAIMFEKADGQYYLLAGSILLAGFWKLEDKLGMPLSEIHTHGDVPGYKEKLEK GMMNFFRRVQPNGPVQRNNYFIQVDDELAWSSSIGSEDGPEGTVGWFTAEKNKAIEHH YFRSERQSLRRLSRSGGVVFTIRTYFHPITEICEEPYVPGRLASAVRSWGDDVSKYKG KERYQDVLLEYLDAKHKEQVEAGLEVDKEEEVRAYPF LY89DRAFT_733952 MSNPLEPRRTTSESPLKPPPTPSKAALEPQLPPSESKERFRILL RVLIEDNNIATLRPYNHFNKSKRLRSMVARKAMKDAIGRGEMTSGKEPENADNSGSGE EDKRKPPMTREEYEATNLETRQAARAAAEKKKEGQGLSYDEAKKLKKAVYEETDKQTA ALQKAKALGYTK LY89DRAFT_669392 MDDYIKSFSRLQAGLSPLKYERCSWHEFEADFDVHQEFVQLVGA AIKRSWPGRSSLLVVIGGLGQALSTWDQGFEIQRETPPSPPSPSLRPEHLGCWTPANN APENLAAVDLDRPELRDLPERYLAVFLNHKKKHGKESYFQLNRETFASSPVQFVEEAQ SLYRAVENKIRWDSQHSKFDHSPAEKHPIIDPKMGCRSCSRDRAMLSNDPLEGLFMSE NASKVSPTPGEWRMFWKRWKFHTSALFRAACHFLCSPEMPREQFERLILEHLEHDMNF GNYNIIRMATKELKRNPLDYIASKLGFAPRMQPLTPEHQR LY89DRAFT_669393 MDDDRLHRDLGSGLVTDLSQAIAPAQNLALAQTLEPTSEPISAS LALAPHSEPCAPQSKKRATDLLPKKECGATLTHDADIHSVQSLWDCSTNHTAFDNPIN SNIFKDARLMDRSLRIPPSVPLHYELHFFRQTSITLLRNLKRRKLSIGSYCIMEEGRE CSKDSKKLKRQGPVAKDQASQSQPKVHLSSWDCWWEMACSNGKETSQNYLWIDREE LY89DRAFT_669394 MSFHVSGDVAHRTKAPAAAPVNPVEYEKTIYQKGLQFERPLFSF QTSKWESEAEQRMSAESKGYIIGNAGTGETARKNREAFAKWSIVPRRLVKTSGLPHLG MKVFGKEFPFPIATAPVGVQRIFNPEGELATAAAAAKESVPYIVHLQARLEEGILTEE LYRMSTASSTSIEDVAKANKEDGVRWYQLYWPSNDHNEITASLLSRAKKAGFSALFIT LDTYILGWRPSDMDNGYNPFLRSDKIGVAIGFSDPIFRSHFKAKHGVEIEEDVHTAAA EWTRIVFPGVSHGWEDIEFLKKHWDGPIVLKGIQTVADAEKCVELGLQGIVVSNHGGR QVDGGVSSLGVLPRIVDAVGDKLDVFFDSGIRAGADIAKAMALGAKMCLIGRPFIYGL VMGGEEGAQHVLKSLLGDLELTLHLAGIQSARPEHLNRSLLEREDRL LY89DRAFT_68234 MAPVSTLSFKCALITGGGGGLGRAMAEALIGMGKSVIIAGRTEE SLKKTAGEIGAKDYVVLDVSDIQSINRFVKNLVSKHPELDCVINNAGVQRPFQILGPD YGFDLDKADQEIDTNIRGPMHLCVSLVPHFNSLPNGGVIMNVSSVLGYNPFSLVNPVY NGTKAWVHFFTMNLRTQLRQAGSKIKVVEIVPPTVETALHRERKDPDDNKKSHGSASA LSVPEFMEQILEGWKKDEDTCAAGMGHEVVEKWYAAYGEAYTKAGG LY89DRAFT_697243 MAVFALKTASRAVVRRAHFPSHRQPTNITKRHNATQSKPDINPA NGNGTSIPVPNTVATLPLWQRLGPLSRAFQAYGRSQRKRPYATQFCSSLVIYFLGDLS AQNINGDEYDSKRTLRALIISMGSSIPSYKWFIFLGNNFNYASKTLSLATKVTVNQIC FTPIFNTYFFSMQSLLSGDTLPEVWERIKRAVPTSVINSCKLWPAVTAFSFTFIDAQY RSIFAGFVAIGWQTYLSFLNRRAEMAAETGARMATSSESGGKKSEREIEIRSRDAQKI EA LY89DRAFT_646023 MVESRAPTVTQSGSITSSEAQRNISNDDDTITAALDDPYALRDS KRADVTRKSILIDYPHGKSRKIKKYYNRQNALIDSYLGSADEEALEHEDALKNGGKVK FAIYGSSTVNFFLFIIQLYAAVSTGSLSLFGTAADAFMDLVSSIVMLITSRLASKPNI KKFPVGRKRVETVGIILFCALMTTVAVELIVESARSLGDGPRNDDSLKIIPLIFVGIA IFSKGCMFIYCFLLRRYPAAAIFMQDHRNDIVVNVFGLIMSIVGTHFKKVWFLDPAGA ICIACLILFSWASTAFEHMWYLVGKTAPQDFLNKLVYVSVTHDPKILKIDTARAYHAG DKYYVEVDVIMGQEEKLKTTHDVAERLQRKLEGLADVERAFVHVDYDGIHDITEEHKP LYSPQEVKAPLLERMKEKLPFKHRVREVPDGGSV LY89DRAFT_68524 MPSVWQRFKASFFPANVSTYAAAPTIKVRQEGLAGRASPPPGYD EKKIVVTEESTQAERTTRRKPNAFDKVTKLAGSSVVVFVMLGILVLWLVMGLVYGTTD TWQIILQNASSIQVYVTDILLIRQASNANQSLMITIAELQSRNQTCERLLAMIPGCQW METHKEEPKKLLLNGRPIEDEVEESLFMVTGRQSRIRTAWNKTCHTIAIGVGSLYAFI IYWIGICVWIAIGPAYQFSDTWQLYINTATALSLTITSVFLQNIQQQQEDKLEKCLEY ALKIDAEVEYKLRELTEDEKPNLIFEIAAPKRNRSERAITRFAETMGSGLGVLISLAA TAAWIAIGPLLEFNDNWWLIIGTFTGLVGFIDGFVLRNLYHRDEKYAKTQFRFLELSD MKLLEKLNVPPPNRPRDQRSLSTRISIAVGDACGHRFTSIGAVFIVVALLVIATILRW SETGQLLCNTPTMIAEGFLLLVLIQAHNIANVERGEDFNGVLKRRLMLNSYVHAIDA LY89DRAFT_684953 MATLPTAAFKLHGGCFCNAITYTISVPDLTSRPLNPSKPSKPLG PRNEVNARLPCIALDHCTTCRRIPGSILQVWFICPQSWAEFSLQPRSHDTATTPIKIS TSEFLLPDKDVQERTWVTGFQSSEFAHRTFCGRCGTHLSFFYTGLDQKLAEEANWGPY FDLVLGSLNKESLEVEGLWPDRQVWVGSGIEWVKQLVAEGEGSLC LY89DRAFT_718674 MLQDTASRVCAVTAFSLIITILMYTALTRFDKNSQMVEEHIFQP TIYTGWGDGWASLLAAGEPFESTILQSASGRFAFSMKSGGHLLFEDRRLKKNTTIFSQ TAGGVHGLEGEPAPEGAAMHARLSSRGTFVVYYDVELPLSTPESPSNEPASRARRSAV MQTKGKRDDESLADLLRLIDENINTEEDDDDKDHGLGSLSTVIWDSNRLPNCHKIPKG AYPPSTPFLQLDDSGLLYISTSNEINCVLKHSED LY89DRAFT_718675 MPSVQRATSRLLGGPYLTTKIHLVICFHELPFIYTGNKLNVMTM PNTITFGMSKSVVAHLIKTAVQAYRAKGYKSIIHCFRHERRDCADKARFYYTVERLID GTHAGVKYDGPARGKEYVDLAGDKEQREWHYTFVKEVGYVDFSDLDSKFV LY89DRAFT_718676 MTSATFSGLPPEIRYDIWQLSFEPRLLCLHMHRLITPEPSDHSP SGLSKDSRKVIAVSFTCTALDSRSERTPNDVFVEYSNISPPVSVDFLRNMQVGPPGPT ALGPVQLYVCRESRALAMRRYELAFGGTEQDNENLNPRQYAALEEWHRKKLWEKKIWV DFNSDVVLVEVIPRRLEFPAPPLRLPQPQPGWRALRCLNVYAPDEAKKIKRLAIGGKW PLESDLWRELGLIICLNTLLTSHTMSIEFFTNMEKLLVDDSFQNPEDVQEQVQTEEQD KLEDPKMVEEGVQKWIRDLVDCQQRRLRTDLGPERVITRPDKPVWKELPVVKVVHGNG WKRLLQNSNPEQLQ LY89DRAFT_669403 MQGDGGRLIILADGSLGLEPQRGSAFETSIDKHHFYSQAHCVRC SWLTSMASFGFGIGDVILACQGVIFVYQRCKNAPKEIDDVAEDVKRMEATLGLLGSVI RDEKSFIEDHTEDM LY89DRAFT_718678 MDKIPRAALLPRAKFIVMDLGDVKDLKSDLTDHRENLVYAMQVI SFSHDAAQSAQAKQQAVQRRLERAIEENARKLRDAREELHRRQIENFQNRIYEVLKKQ EEKEPNSVSAIAKSGNTVLLGALERNLIESGLPAQDVKTHMGNFLASLRQDLTPQPAQ NKPLLNHGGSLKGRTGVASPVPKPSTRQQHNSNNSPTQFPVRPMPITSSEGIKILCVD NSNGARSILAATYLEVMRVWTSNTTNRWLFKRVASAGLAVRNSYTESYFRILDPDSSK LYNAGVPPDTRIMPSLLAPNLYPWSNGYPSETSSISQRMQSFSSTGITEADFDSYHYI ICFNTAAEETLKGMLREYRKRTNQGAFSPQIRILPGCDPFEVASSLADPTKMAGMVNS IKSAIKGFLTNEFRWDIDACATGTSRLRTLQIVLQTEQMRHFCSEESSNLIILNLEVM KRWREITICSFWVAFNRTWIGRTEWLVSIIGSQATLKQAEQLVRGAQYQLPRT LY89DRAFT_68586 MRPRLRPASTSTALGIMNFRNSLLFTNLNLNLHGTISSTRRRHI FGYDKRLCLFHVPATSWSIKHEKRFSRRTGNLTLVIFCLGKSLPIPAGVGFPHLLTSA WEQGDSYPDT LY89DRAFT_707360 MKAFTIAALFNFSLLVSAVPPFDPAGAKNVGNGAGVQFIGGQCL SDADCQSACCANPTGICSGPGASTQNGKTGCGFGGSSSSSAVVAVSSAVAGANIAAAS GTSAASTTPANGGPAFDPAGAKNVGNGAGQQFIGGQCLSGADCQSTCCAGPSGICSGL GAQTQAGKTGCGFVSGSASNAGADTASSASAAAPVASSTSAASTASKGGPAFDPAGAK NVGNGQGTQFIGGQCLSGADCQSTCCAGPSGICSGLGAQTQAGKTGCGFVSSAKLLRV LY89DRAFT_68601 MEHNTFDPFDFLEQNPIDPVNFIDSYTTPLTFDQTTNHFENYRV SDDLLYTNDFSLWGNQMDRNLGMSLQRDCSPCEPYSSSNFMTYDDFTSDSMSLIDMER LISTSPGLQSSPAISQQQPSVSSASLSDSSPSRSDQTAISPEESPRQSLGASSSDVEW TCRHCARSFTKKYLRTKHEQVHTKPILCPLAPRCQHRTAMKRDMRRHVAVHHAGDNAV SSPVSAQSFTCDVSGCRYEHTGFKRKDHLTRHIRKVHARLVP LY89DRAFT_782200 MPSKLALVLAAATFASAQNITVANLTTGANCACTELAATYGDLV ITSNASTYTAEATDYWDIRADLLPGCIFMPTDADQVAVKILTPPQFPGSNINGGVLLA LNNMTTITIAADNSTFDVSPGNRWVDVYEALTPYGLYAIGGRLKTIGVPGLELIGGFH YLINKYGYAMDNVLSYDVVLGNGTQVVVNSTSNPDLFWALKGGANNYGIVTKFTVIAL PIPYISTTIQTFNESAVPAFIDATANFAANNPPDIAAGSVISITYNQTTGEIVPSLLG VQEGTESPPSRFANFSAIPSTLALNNVLTPIEWHSQLDPPNQMFRVQFASKTMKPNST QLYQIYEAWKSALEDVSDVEGLVPTFVMNTMPASAASVAMTNGVGNTWGLDDTQSYII FQYSTGWANASDDLRMLNWASKMLDYWHLQNQELELASEFVYMGDAGDFQDPFAGFPL ENVQKMREIRDVYDPLGTFKRLNWGGFKLGN LY89DRAFT_782201 MNTLKNQIKMSTPTNLKVLISGAGIAGPCLAHWLSRTHLSPSIT IVERSPSPRLTGQSIDIRGPAIDIVKRMGLEDAVRERHTTEEGTRMVRSDGRSFAQFE TGETFTAEYEILRADLSQLFLEATQRLGNVKYVYEDSVQALEQGEKGVDVTFNGGSKE RFDLVVAADGSTSPTRSMILDEHVLRDSYNFLGQYIAFFSIPSQANDTKMWHWYNIPK GLAIMTRPHRTSKTIGAYLCITTSARGKRDQVVEEALDSGTEETKEMLHSYFENTGWE AKRVLDGMDTADDFYMSRAAQVKLPKWTNGRAVLLGDAAHATFGVGTSLAIEGAYLLA GELGKIESSEDVPRALEKFEGVFRELYKTMEDLPPGFPQLAFPQTAWGLWVRDTLLWF VSKTKLYKLLKEDSGMDWKMPRYDWRDV LY89DRAFT_68642 MDQAGHALLAANLIYARANTTNGTTTNPATASRPPVYKAIGISL AIASGVFIGISFVLKKIGLLKANEKYNEAAGEGYSYLKNSFWWTGMTLMIIGEICNFV AYAFVDAILVTPLGALSVVITTILSAIFLKERLSMVGKVGCFLCIVGSVVIVMNAPSE SSAANIQEMQHFVISPGFLVYAGLIIVGAVFTALWAGPRYGKKSMLVYLSICSFIGGL SVVATQGLGAAIVAQIGGTPQFNQWFLYVLFVFVITTLVTEIIYLNKALNLFNAALVT PTYYVYFTSCTIITSAILFRGFKGTVTSIITVVMGFFIICAGVVLLQLSKSAKDVPDS AVFAGDLDQVRTIAEQEQPESEPKADAIRGAAAIVRRFSQTRQKMEMAEARRLHEEKQ ADLEPIGENEQIEWDGLRRRRTTIGTNPSIRSRGNTTPFPPFETPHTTTMQSPQMHPP LGMSRFPSDSDSDHDEEDRPGTASTMGFFGRAKSIIGGPGSRRSTNQPQVQSPMHPVP LTEISIPAYKGGQDGNDTAYYGHEPDGGDHRYGLPSQLKTEADHRDRHITIVDDINDQ RTGSRGSSLHPSSVGPTPPPHSARRQFSFQNVFRKGQSQAVPPHDETAHQPQSRSPMI RKGLSARRGSHSSAVKGATEEERLGLVKGDTNSGRQPVALESYDDEEEEEEEEDEDYA RYMEDKARGSPNRGGKRDLTPPRRDEKDEEAGMALGREQEERYYEEQRRKFEAGRGRG RGLPPPPFDEGPGRDGGAGAFI LY89DRAFT_585846 MNSFKSNCTLPPDGTAFVASPDVRGTFDVVWSCISVLLICTWSI LHLNIPVQSTPRTKVQKYVRASFRTCTKIKWMLMNVVAPEWALGKAWSDYRSVASLEA SFKPYEWSRSHIYLANMGGFAISFPSSTMADSKATERDIWILDASQLLLALKLGIIAE LPYVSEDELNDRSKSDVFVKLIAVGQIIWFLIQLVSRLARRIATSQLEIMTLAFAACT VVTYALLLDKPKDVQTTITIPAKRYATAAEMNRLANMGPASYLTNRGRNVCIPNNAIH AEDGSSSGGVVALSAGAAFSVLIFGGFHCLAWNFTFPTPAERVLWQAASIFTAAFVPA FVPASWGIATVVILAWKKFRPPPPREKSPSGARFSASDTGMGVSTTLFVGGVLLFFAA RVFIVVEVLRTMAFLPPGAFETTWSSELLHIG LY89DRAFT_68688 MPNDTSCSPSGTCVILTGTVTNGTDFVAQYDPSAAAPHWHSRME LATIIVCNSLSFIVIVSRVWYRWTKLKRFRGDDRWMLFAALILLPFTASQIGTNAYGS GLYMRNVPKSWRPMHWHFMLGWVGYYIVVTSIKLSVCFCFLQILTIHHKSSTYCVYGL CTLIASLGLTMSFSWLFDCSPFLSNFVWSIQTNTCINYDIFRYLWIGVSIPIDLAILS VPVQFLKRLKLRTHERRILKMVFAATLLGTITCAIGIYGAFETRTAEGNNAFYQETAF VMMCDIEILMYTLGASFPSMYSCLPSIPVFGSLAVFIDPDNYSTLPVYGATSRPRSWS NTRKLLLMGTLRSELLFFPYPFHESPRHSPTLSTSRYSYRRGGTEIPGD LY89DRAFT_782205 MIPSPTPLSPPTTYETLPLTHIKVTHYPPGSPTATQVVVVSLNR PEKQNAFTVEMMGDFETIYPLLDVDERVKVIVLTGAGRTFCAGADLERGFKGGEERAV DHRDGGGRVALAIYRCRKPTVAAMQGSAVGVGMTMVLPAAIRIAHETSKYGFVFARRG ITMESASSFFLPRLIGYSRAMYLITTGAVFPPTSPHFGHLFQETVKDANEVLPRAIEL ATEIAEHTSGLAGFLNRNLMWRGPGSAEESHLVDSAVLYHMFSNRDQREGVSSFLEKR RPRFEATVEEDAPPTFPWWVEVDTGSRAKVRKGPAKL LY89DRAFT_684964 MSSLKADVYVSPPIPWKKPNGTVGGPWSPITSTLIHSPSSAILV DTPITISQTTDLITWIKATIPTKKLTKIYITHGHGDHFFGLPLLLKEFPEAEAIATKG TVEHMKQQITPQLLSKTWEIQFPDQIAKPFILATPLPENGEIDLDGHTLHAIDVGQAD THSSTILWVPSLKLAVCGDVVYGTVHQMLGECDTRAKRDAWIASIRKVGALGPEMVVP GHKRASEMDGSYHLAATRVYIETFEEMLGRAKDARELAGWMLERYPERFNEGALIVGC VNAFRALKREREGKL LY89DRAFT_684965 MHKLHRTRLKIGARSITAITCSSPVRKRISTARFFTRPSSQTPE LSPCRSEVTLLKHKHIHSHTLNSQHWSGACYIPHNHNTAQPKQLLRSAHSPPKLANQM EYAKELHIASIAVHHASILTNSVQSIIQQKGNSLEKDEFGDPVTIADFASQAMIINAI HHHFPDDTFVGEEDESMLRSSPELLERVWALVDGMEIEGDEVPRLGSREDLLRVIGLG GKEETAKGRVWMLDPIDGTKSFLTGNQYAVSLALVVDGSQKVGVLGCPNIKSGSSEVR EDVVDRDGLGVLLSAVEGQGAYQRIMTQDDLGEPRKMEKLGDVEDLSELKYVNSMASD HLSKPIYLVVKDACGLKTSDEVDLWSTHVKYAALTFGGVNCMVRIPPKRSYRGFVWDH AGGQLIYEEVGGKLTDINGTRFDFGVGRKLERNWGVVAAPPSVHGKLLEVVERVLEEN PIY LY89DRAFT_684967 MFFNVSPHPRNRFPNSKLVFTTPLKFKPNSPRLSLLPSSSSSPS SPPVSPSPPLVTKPPPIFSSSVMSPWSALPEPLTTAHRSAVIAIPMAISTLLVLRMED SARVRNASVLKARGLVGSLLWVWAGTYVALLDTLGLG LY89DRAFT_733974 MTSAANWRSDLKATERYDNIDRLRQSIEAQGLSATGASHKAAFE AETEAYNTSKTRKEYDVACKALIEATSATLNIIDEEPTTPGISIGPYPHCHPIAVGLV SEVYRNKTLALKVITETRNVEPHNSDVEIKILKSLSHPSIIKLNSTFRDQERRLVLVF PYMPLNLAKIIANGPVPPAVTKTCFHDLFSALVYLHEQGIIHRDIKPSNLLLSSPTGP SQLSDFGTTWHPSISPLSSLPEPPEHKVLEVGTTCYRAPETLFGNRAYGTSLDMWAAG TMLAECLRESRDPLFESRETSEDGNQLGLILSMFKTLGTPTEETWPEAVNFTTPPFKW YQEFPGKSWDELLRGVGEVEKDLVRKMVVYESGKRLTAAEALEHPYFTA LY89DRAFT_646059 MEALSRQEYPAMLERLQPAGAVTKFNERVKRIGKVNSEIADWLL ERRKVEELYVSGLKKLARKPLQEVGGELGVFESPWRRIVQSTEEIAKSHALLSDRIDK DVEQPLRSFSSTNREMQGITTIQGNLYSMAKELEDAQDKSEKLNKKGGKANAQKVEVA TSRLQTATQQWESQAPFIMENLQALDERRLNHLRDVLTQYETHEADLVERNRKTIEET LTSLLEIDTSQEIRNWAAASVSGLPITERRARQLSSVGTENTGNASLPPPPMTPRSTH TDNQSEHSQTARQDTMESKEGKEKESKLKSRFGTMLGRRRQSIHGGFGRAPSPNKGFV PFGRGTSSRDGRPSPSPRTSSNNLRESPPRDNRLSSLAESPTATSPTKQTNGTAPDSH AGSSFIADSVAGRSSANGPSAADIFDMSDVQPPPGPPPSHLKAVPELQKDSEGFSVPA PMNDPISQAESDAALENDQPQFKLDIRKEPIPEQDADAQAALSNVANTLRSASIQTPN RKAGTVRGRRDVRNTMYLPSASNSLDVASPDSNIPPSPGITAGRAAALAALSENHGAP SVSDTTSIRSGHSLTNHATMKHADMHEPGLNASIIETVSASFENGETKSMKISGEIAL SHNPSDDLTPSSIETIRIDNFPNLEAIGPNRTFINAVSEEKPDEFAIDISSLSSKPSA AFTYRVHIDDADITTHGPLFLKVAWRKQGADKLGLVLEYSLNPACGTGPITFNNLVLV AMYEGARASACQTKPTGTHLKEKSLVYWRLGDVTLTNEWHKIICRLVGTEGAVPEAGH IEARWEINSPTASGLGSGISLSRLEASKGKDKEEDDPFADETMSPTTVSPTGTWKEVA THKKFVSGKYEAKQV LY89DRAFT_733976 MDNNNQQQGGSYGSSDNSMGGGNSGMGDDSSNQQSSGGYGGGQG NDNSSSGGGYGGGQSNDNSSGGGYGGQSNDNSSGGGGYGGNSNSDSSMGQSGGMGGQG QGQNQSSGGMGGSSGGSGGIEGKLEQGGMQAAQGFAKKEGW LY89DRAFT_646060 MYTIFSVLILAATALAAPAARAEADPPTLGINLGVPTQNSTGPD PSQVTINSISYGGTGCPQGSVGSFISTDRTTFTIIFDTFVASLGTGVAVTENRKNCQL NVNLQYPSGFQYSVLGTTFRGYADLTAGVNGVQSATYYFSGSSTQASSSTTFKGPTSG DYEVSDSIPFASTIWSPCGAALPLNINSQVRLTGPSSGSGLLTEDSVDGKVEFVVGVQ WQKC LY89DRAFT_684973 MGSSAIADQYFTYDSRLSSFQTAHQLAKRRASNASTKGSKSIKW PHKFMTGEELARAGFFYHPTQSNPDNVACFLCHKNLDGWEEDDDPLAEHLKLSPNCGW AIVASIEKGDEELSLQYPASSSMIEARKATFADHWPHEGKKGWKCKTKQMVDSGWKYT PTSDSDDMATCVYCSLALDGWEPADKPMDEHFKRSSDCAFFTLISNHKQSPAVKRTKG KGRASKVSRLSTQSALTVASEAPSVSELAAEDDDSILTTATNATATTRGKKMGKAKKA APAKRTRAKKGEPVEVVAAMEPEDDNLEVKVEVAPKPTRGRKRKSDEDTESVPPLEDM APPPKRRATRTRASTAMDDSVIRQAELPKPAGRKGRASRKTSAASVASMRAPVPDDDE IDRALEAGLARSLTDDEEEPAEMSTTSHIQNTNHALFDTEPVRIDEAVIDAELEAMET ESKPLPKAKGAKAKQPRKVSAKQRAAAKKAEAEAEAERLAAEEASQQIAAELEHSISM QQSSPVVVPKKQRASTRQPTKQLPGRATRASVMDNADNDTVMDDETAQDENDSGNETD ASMASQSTVVRGGSTRRGSTMKKGTGGKKDSSRNIEEIVHKGSESEAPHFEEAPRSAR GMKINHAEEITVTEEVYYAQPDAAQPEQKEEEPAPEPTKAKATKARGRPRKISAQREP LPLMLTKSVNTKPAEEPTPVEATTSMPGAMPSSSPRSPTPPPKEMTPSQSPQSSDAEN HPPSSKPSAATKKTVTPHSSARRIPLADATPGMLSPSKRNIIAGLQSNVPWTAVDLDA VFMKSPSGENTGNIFGGAIEKAKNGVLTSPEKKMTVEEWIKYNAEVAEEKLKGECERM VGLFETEGTRAMQALEGVEYLE LY89DRAFT_782213 MRAHTPQQGNDASRRRSSITSAHRTSMGSSIPRVQSRIAAGRAA SSNQPTFDFLTGAELRPGSRGEPFRQSARVVSGGNKDLPLFETETEREKRHKELEELK AEVKTLKYTIDNHKQEEELSKLRQESELRDVRRKAEEDFKKMQAADSEKAKAVRQHEL LLKEVTEVRDAASNEKAALERRLHEIEDGKRLLEEEIEDVRSEKEETLRTIERKIAEI ESRNETLQRTVEELQQDSDRREALLQDAQQQLSEKENAYGSLEAENIRLKAQTGDADT LAIIKRELSEQVTHIRKLEVNNREQAAELKHFKRLHKAVEVVEEEKRTLQRKLDAVEG LENELGEARIQRQRLEDEQLAWTGFLESQAGSDGQLEFDSPEAIARALVAERLQTASL VERVGAIEAELSTKDSTIQSLEEEKSKFSEQLEKVKSTNGTVTNSKTHMRLERQKALA IKEAEYLRAQLKTYDTEDTTFQPETVDEAKIKRIQELEDMVEQYRGEVQTLNTELSTR EPLPLAPQSPAKRPHDDSEDNERVGQLLRKKRKLEDEFSTLQNSTKLLQKELSVTKER LTAASQQSKTRILSLRSNPTSDFEAIKLSTLNALRQENADLLARLLSPDANIESVPLS TLKASQRDVDDAKAALASEKKRNDRLMKVWGAKSTEFRNLVISLLGWDVVFMKDGKMR VTSFFYPSKGDDENSIVFDGEKGTMKISGGPESAFAVKISDQIKFWVKERGCIPGFLA ALTLEFWEEANNDGTLRVD LY89DRAFT_646069 MALRHLTTTLRSSGRPYHILPSKSTSFRPIRSTYPSPRRFLASQ APKESATTSSQEISAKESASDSASSKPSVPSKPSPTATPPPDWEDNPNYDISQFSELP HSNFGVNQHIVINDEFKEALRQILWQFRAPIRYAFAYGSGVFPQSKPSAITPSSATSI HPKAPLAVQKAQGGTPKMIDFIFGVSYTQHWHSLNLTQHRNHYSALGSLGSGAVSAVQ EKWGAGVYFNPYVTVNGTLIKYGVVNLDTLCTDLSEWTTLYLAGRLQKPVKILRDDPR VRLANQVNLISALRTALLLLPPTFTELELYGTIASISYMGDPRMALPTEDPSKVANIV GNNLHNFRRLYAPLVDNLPNVKFNDSKCSNPDWADDPSANVQLAQDMDPVKRGNMVRR LPKAFRSKLYFQYQKKFQIPQLEFNKMLEASADEDATRINRREGGGFERRIASEPPED LRAEVRGVIKSTISWPSTSQSLKSGITAGFSRTWRYVGEKMEKYRAGKRKAAEAAAQA REEQKQEKEKKS LY89DRAFT_616692 MLFNPSSWLPALQLTGIVEYTQSLVGSPHVAQGSLNGFPEVRST TNIQRDAFLDSLVANMSIPELVLQLHLMSADNIVGQYSNNSLYDFAVRSAPGAAVGVI HDLYVTNSSQYNSIQALNSEKARLNVPFLQFGECLHGVGSFKQSMFPQSIGMAASFDT DLVHRVGSAIGAEARSIGIHACLSPVLDLGLEPRWGRVQEAWGEDMLLTSYMGVAIAS GMSKNGSWNRPDAVAPVVKRNHHFAAHGSGQGGINGAPSTLLGTRQVWQSMLRPFKAV VDLGGAKGVMMAYSELDEVPSHVHPMLYKALDDWGFDGFVTADDTGMAMLQGRHKVAG SPASAIQQWFNAGGMIQYYDYPLDVYLNATIDLVANGSVALSTLQSHVRKILSVKHDL GLFESPYIPQNVNSQSLTASHVPLTLEAAQKSIVLLENRNATLPIQPASQNISKIALI GPFSDVLNYGDYSGQFGAYPTASSSTIRQGMLSYLAANASNVELVSSWGVNDWYINAQ RNIPNYLLSTPDGKAGGLEATYYADVNFTQPMLWQQETPNRDWGLFPPNGLPSNNFSV IWEGLLTVPVDSELNGWIGVATSANCTARLYIDNVLVKDSPFSSQSTIQSNIPGLAFT QVNSTAPPAGGVPFTFQKGEVHKIRLQFQAFNLYQKFENIQSVNSEVELFWNLVDRND SIQKAVAIASDADVIVLAVGANWDSDGEGGDRSTLSLSVNQTQLTDAIFALNKPVILV LQGGRPFAIPEYYSKAAAVISAFFPGQSGGQAISDIVFGVVNPGGRVPITVPRSVGTL PVFYHYKQTARANIYVDADWTPCYSFGYGLSYTTFATSNFKVWSSSSAENFTDGDTIY FEVEIKNEGNREGSYVPQVYLLQRVSTITQPVKQLMAFKRVYLEAGETRVVKMELEVD RYLPILNRVWEWELERGGYTFALADDSSVNADLSVNATMTCV LY89DRAFT_748175 MSEPAWKKVAEAKQEERVSKIPKEWIIPSHLLPSEEVRDVQDFP HTSGLFTAEELEITESTASIVVSKIASGEWTAVQVLLATSKRASIAQQLINCLTEIYF DEALSRAKSLDEYFKKEGKVVGPLHGLPISFKDQFNLKGVDTSVGYISWCNKPAAEDS TLVELLMKAGAVPFVKTNIPATLMMGESVNNVFGRTRNPRNRDLTTGGSSGGESALVT FRGSFIGIGTDIGGSIRHPHGRVSYQKVANTFLGQEAVRSCAGPMTRSVDDTRLFMKS LASTEPWLYDPQAVPVPWREELEKLPEKLCFGFGMGDGRVNPTPPLRRAMEMTKAALL AAGHEVIDFIPTEHIEAMEIISKMWSADGGEEFQRDTDASGEPLHPQLEAWLGHSAEC KPMTVFETWQNQQRRTVLQMKWLERWQATKELTGTGRPIDGLIMPSTPFPAIRHDGGY PHHWGAISPLLDLTTGVFPVTKVDLEKDVVPNDWKPISDLDEKVTKYYGHPKNHENAL VGLAVIARRLEEEKVVAMMGEITKCLGGK LY89DRAFT_646074 MASTAVFEPPLSQGVAYGIALGFGVVFALTMNAITWLSRRYLHE SNDTQMLMTAKKSVKTGLVASAVVSSWCYAATILNSVRLTYLYGFAGLWWFCSGATCQ IVCYAIMAIELKRRAPRANTILEAIRIRFGTSSHLVFFAYGVSVQILITAALLLGGSA ALNVTTGASTIAMNYLIPFGVVIYTYLGGLKSTFLSDYVHTVVIFVILLITMFKVMAS SSVPILGTPGKLWDLLQPAATASPAIGAKDGSYLTMDSGEGLLLAGVILVSGFGSVFV DPSYGQKAIAGEASAVVKGYFYGAFAWFSIPLGLCATMSFVAVVLQDTEYWPASGGVT AYQINNALILPLAAQAIMGIGGVVAIILMVFMAVTSSFSAEIVAHASIVTYDIYQPYI NPKATDKQLRLVSHLSLAGFAIFSSSFATALNSSGVSMGWILEFLGVVLGPAVFPITL GVTSSHVSPIFMTYAPPIGTVCALAAWLGCTKGMYGTINVTTTFENWPMFAGCLVGLM IPLLIWLAMRPFTKAYDWDLLFLMAGDRSFTLEDDESLGLDWNPQELARASFLAKTVS AVLCLIFLVLIPFPMYGTGYIMSRKFFTGWTVVVFIWSWSAALLIWCLPIWQSRAAFG RILAGMLGRKKIVSEGVETPVVEIVHGSEKY LY89DRAFT_669425 MTVISPLGSSLSLLNRLDGSKLPSGRRSQSCLPTNNHMAAIAAV RWLFVAMFRYIFYPKRWDKELIADLHKFEQTKYQKYEPQLVLNQEDAKKRSKELANEH QTKNRTKIDVDDGPFCCGDLRFNARRSTMSESDPKFVLVNDLLEALNILDKVQLYLGE VSENALRTIKTVYKVNLYGPLAPFDPHSTWLYAKARNGTFYAFMYCETLQPFSSVDRY MSVTCEGEHSDQRQYRIGVYDADWITDKAGKPEWGTFGDRNLDKVMAMPAKLHLKLEH TTLDGYFEDMVQEAAEVEQSF LY89DRAFT_585000 MSYSWRSAISISDVEVPLDFSKATTTTSAEPSSTPSSTPQAEPE TKEKVSEAPPPPEPPAKVIPDHPKYKPDRPPPPEVVDNFPIAAAAHSAADLPSIPPWN LPPSPHVPEKTPLFIGFTRNWLLLQQTVVSHITAGWPAEDIYVFENTGTMNSNERGLL SLQNPFFLNHTRLHMFGVNIITTPTLLTFSQLQNFYIYTAIEKNFTTYFWGHMDVIAL PFEAKYDGRSGNATGADVGRFESFKSPYKLAVEALRNATSSAPDVNAIDPTRPWAIRF FSYDRLALVNREAFEKIGGWDTSIPYYFTDCDMHERIPMNGFDFPPGDVSIGNFTDVA GTLDDLIVLYRKKNTVQANFTMEWDPEEARKEEEEKAVKDLDNRAEVLDTGEKWISDV PGSAAYKRLLEVMEQMTKHKNKNGGGGRNTWQRLQTGGQGEPYYRDPEGFDRAVGIIT QAGRDIYAEKWGHQTCDLLKYNRKAGDEWRVEHDWE LY89DRAFT_684980 MSTKTSIPALLDNLQQALVSASESTERIRTPIPPKDGISLLDVK NELFLSYLQNLVFLIILKLRHHKGDIEEQDEGLDDSVVKKLVELQVHLEKGVRPLESK LKYQIDKVLRAADDAKRIEDATLVQKNGTRRPGVEDGSSEEDEDGDSDAESLEGSSLK ATEIDDLQYRPNPSSFVRPAGTTETEANGRSGNGVYKPPRIQATAMPTTGPREKEARR PNKSATLDEFVNTELSSAPLAEPSIGSTIVSGGRRSKSEKEKKEEQERREYEERNYVR LPKETKKERAKNGGRKDAGYGGEEWRGLGEGIDRIERLTKRKDAPRSAVEKSRKRPTD DGPRGSGGAEFGQGMQKRLKTLDGGRRDRGRK LY89DRAFT_616700 MRLRDLSPSAALLATLLAAPELSAAFYLPGVAPTTYKQGDTVPL NVNRLTPVGSNTDGQLRSVVSFDYYHPAFHFCRPDPQPEYVSESLGSILFGDRIMTSP FKLEMMKNDTCKRLCDPVKFDQSSAHFVNRRIAQGFALNWLVDGLPAGQLIEDEVTNT KFYSQGFALGSSDDKEMHMNNHYDILVDYHELTGDQYRVVGVIVQPSSRKTQQEGNGE GVNYQNHCGTGGPPLTLDENGETEVTFTYSVYWMPSTTAWATRWDKYLHVFDPKIHWF SLINSAVIVVFLTITVVSILLRALRKDIARYNRLDSINLDDLSGTSAAIEDGVQEDSG WKLVHGDVFRTPGSPLILSVFLGNGAQLFVMTGFTIAFALLGFLSPSNRGSLGTIMVL LYTVLGFIGGYVSARVYKSFGGERWKLNIALTPLLVPGIVFSTFFLLNLFLWAKESSG AVPFTTMLVIVGIWFLISVPLSFAGSWVGFRQPPIAAPVRTNQIPRQIPPSTTYMRPI PSMLLVGILPFGAIFVELYFIMSSIWFSKVYYMFGFLFLCYGLMIITCAAVTVLMVYF LLCSENYHWHWRAFSTAGASAGYVFLNALIYWVTKLQLGGLAGSVLYIGYSALISFLF FILTGSIGFFASWAFVQKIYGSIKID LY89DRAFT_782222 MSGLEAIPIAALVCAIISAFTGSANLLKRVPRDKRLKYHHVGKS LDHGSEKVQNDFNRYVSRLGRRFERGDEQARQELRNVAFMLQSNLLKSVYHVLDGRNA AFGDVHELSEQGRRDAVWALGSQYQRILSAAPFARALQDGYEMATRRPVEYSQREALP PQVEERVVVRRRAREPEYTVVESRGRPSYYEVERPGRWEVVEERAFDSYDSRHSTTGW RPRSRSRSRRRSSSRSRYSVY LY89DRAFT_733989 MGKSQSKLSQEQLNELQRSTHFDKKELQQWYKGFLKDCPSGMLT KEEFQKIYRQFFPFGDPSSFADYVFNVFDSDKSGSIDFKEFICALSVTSRGKMEDKLD WAFQLYDIDGDGKISYDEMLAIVEAIYKMVGSMVKLPADEDTPEKRVKKIFRMMDKDE NGSLDMEEFKEGSKRDETIVSALSLYDGLV LY89DRAFT_718704 MGQSPTKITKQWADGPFQLLETPRKLRNITNLKTEPGSMNAATE MCLIHNVFIRIINCIYLQARNVKNDKDVADFAIFMHALCVTIHEHHGNEEKYFFPWME KTIGVEGFMEKNVDQHHAFNPGLDKFEAYVDALMAKKEKYDGEKVIALIDGFGTTLTD HLKDEVQTFVDLAQYESKIDWKVWNKMLQDIALKTADVNYEIPVVITNFDVPFEEPYH QAVWPAIPWHVSLIFRWIYIPRHKGAWRFSCCDTHGYPKELEFVD LY89DRAFT_684987 MHTTISPAILYWGSIVVLITTENEDGTPNIAPMSSAWWLGHRCM IGLDASSKTTENLLRTKQCVLNLATDSMGANINALSHTTGTEIVNPSKLTRGYRHVKD KFGVSGLTPTTSDLVTPPGIKECPVQMESELAGVHKMMQDVSDLSGAILAIELKILRV HVEESLKLEGHENRVDPDKWHPIISNFQDLYGLNEDKAVVSKLATIQEELYRPFTA LY89DRAFT_707382 MDAIIDKHFERVEKALATLINSISTYNPNPSLANDLVTADAELS QGLEQLTTHQNNHSKLLALRATSSALDTQIRETLTLLVTTRRDLIATPASSFPTNTNP VTTTELLSYARRISKFTLPPTTRQTDHQATSGDAGDNTPKEPQSQTQTNGTTTPVVAT NGVISGEQTQATQSQAAPSSAMDIDQSQPVAGDTQTTDTTGVATNSQIWGSFFDAARE GMWVPWPTEETIRRGNLASIQVLLDQGVDPWTFDPEKSAELEADRKRIAEEEDMAREV DKARVDEERRREMERRMSSSGGAGMERREEAPKVFQLETFDDDEDDD LY89DRAFT_782227 MARDDIVTSVRGEISRTLAVPGAKDRVGAYGMHNKTTEQSASAD PGTPVAKSKGGRKPIYTSLEQKKQRNRDSQAAFRERRREYITELEKIVQEQKEKLQTS EAAQISSKDECLMLKYKNSLLERILLEKGIDVNAELNRTLEFPPRLPSKRVHSDGSSM KRKHSGLDAQHKSHRMGSHSRCCCHTTESYAGPNMDFHPVQAPSQSFASRHSRHYSPS TARVHKHARINPQFSTHHQSFPHLQGKLSLAPDTRATASTAGTHQPSLEFSGLFSPIS ASISPLGKLPLPPPHSFMSVELCSS LY89DRAFT_616714 MGNQIKKWNVAHSLQKRNLLIAINCVAGLSIFFFGYDQGMMGGV NNAKDYIELMGFGHVETIDGSPNTPVITNSLLQGGIVSVYYLGTLFGALVGGWVGEKI GRIKTIALGAAWGVLGASLQCSAQNHIWMIFARLINGWGTGILNAIVPVWATESVPHT GRGQFIAIEFTLNIFGVVVAYWLEFGLSFVDQGASPVRWRFPIGFQIIPLLFLFTVVW WFPESPRWLVKVGREDEARFILGRLRGDEGEDKILAEAEFQDIRSVSQLEKEAGNRTS YLSMLTGRGSGDLHTGRRVQLVIWLQIMQEWVGIAGVTIYAPTIFRIAGFNTEKSQWI SGLNNIFYMFSTLICVFTLDRIGRRWTMYWGSIGQGIAMFLAGGLSRLGINATDAGEA AKASQYGAAAASMIFIFTFVFGATWLTVPWLYPAEIFPLEVRAKGNAWGVVGWSIGNG WLTLLCPVMFDSIGEKTLYIFAISNVITLPMVWALYPESNQRTLEEMDLLFVAKSPWV WDAEKNFARLKEEHPEIAHAAHQGNLVHDVETGKVGGSVHGSSETKIDEVEKKHVEG LY89DRAFT_646098 MENPLLHPFVFLYQLMQWFLDKLLSPEPPNPGAKLGRPKIAIIG AGLTGVSAASHCVGHGFDVQIFEAGPRKQLGGIWSRVNNTSGLQIHSIMYRFHPSIRW DGGYPNRQQIVSQITELWKRYGLEEKTKFDTKVDKVYQDEKGRWVINNPSLGRFDGII AAVGTCGDSKMPHIPGQEKFKGEIYHSSDLTGKEAKGKKMIVIGGGASAVEALEFASH EEAAKTYILARSDKWIIPRNPVVDIILSFNIFGGETPFSFIPEFLLKKFFYRDLEDLA PTDKGLFTGTPMVNSDVMDKIRSGQAEWLRGDIKGFTEEGIMFSQRGKGVPAGGPGRE RLIKGDMVVMATGFDRPSLSFLPEDSFGEPYNPPNWYLQTFPPTHPSICANNCTYINA IGSVGNWHIGIYTRILLMFLSDPLTRPRPFWMERWIDMTRFVKNFAPTGAFDFFTYLE LVWWFTFCIAINPFRWKWAFFVFFGLGHGLPRKVVDAEDRIRNGLGVKNGENYDAGKS F LY89DRAFT_669437 MPTQVHAIVAKALLEGAPQMGTGGVVWNNGTQDKFPNQFAAKVT DTDEDRVEYLEMSFFYHMNCPNTPLRLQLSYPEVILRLKGVPSEFHVQANCTMKTPLI GKSVLQEKRKEEVPRPFRPILQPFPACASYPSEKSRPIEDIGTYSSTFETSLHYSTRY DQWIGSSQRKKPKVQAWTCPVSTIFKSSLRDHEHNNNNQPRSPGSAHQRFSRDYYRFA PTKITKETTGKKPYKKEMKATYTFPSTHAYSGMSKAQLIDELKRKQAARRDVKVHTWH TKDAMMCALLKDDGVDVPVVLSARPSKRVNDEAGAEDDGLDGDEEMQDADYESEAGDG DEEMQDAV LY89DRAFT_585023 MVTVRLSEPPPALHVDTSVQQNENSELQKEELDTPDILLEETDE KGVDEDSPRITTVDPNGNEVLSPSGSESPASRQDEFSRRGSDSSEASMEGGGVNWEEL EKTEEQEPRNENSDDSTALLLARLEQENNLLATNPKSGITKVTIERQRPSRQSRPPSI QQLKRMVNRPTPPALRYSMIPAPPMTDLEFYAALVQDYTRTAQCLPTLLSKKIRSGIP PPLRGVVWQSMSGARDNFLEDQFDRLCGESSPYEGIIGKDLGRSFPGVEMFRDPEGDG QRMLGRVLKCFSLYDHKIGYCQGLGFLVGPLLMHMGDKQAFCVLVRLMEHYDLRACFL PDLSGLHVRIFQFRQLLKLHLPKLSAHLDHLQIDPAYVSQWFLSFFAVTCPLPMLFRI YDVIFAEGASETIMRVALSLMRKNEEKIMACSEMEDAMQLLLSRVLWDVYHQNADQFV NDFVSMTGAVTRESLQALEVSYKEAQMADAVSPSENVGSVAARFLGRLWTGSNSSTKS MNLSPGFIAPNRPVSFLRRTPSRQSIASTLNSVEGGGSDSLLSATTDATSISRDSSNT DGSSVRGQSVSFNNMKSKEDKNLHGQIEDLLTALSELQRGHAILATELQKEREEREED KIAVRSLLDGLRKKASVETVESEKSDDSVETVKPAQDSEESIEGQGSETDDLTPTPEV TADQLSRLLDIVEDRFSAPTDNRRSSMLQTKSQLREDLVRAKEQLIIETSRAQDFSRQ LSEHQQEISNLKDQVKEGHTHIRNAHQEKQRLERQIHDLRTKSKSAATTPDGSRDNEL EWPKRTSGNNGGLREFRLGRTNSNKSQKSASAPAAPVFNKRTSSLNMGAIQAKENRDP NMTPPSNDGSPTKQDVDTDALVLELVQAKTAEAIAKQEAEEAKSKLESLKKMMSMGTA NSELSKLGHKSNPSQTVVERSVSAQTPPTATPTSGGGFWTGWGKRTVSTGAE LY89DRAFT_782231 MEDSLLDESSAFDVQSDSDVFSPAAAPKTKAKPGPKKGAAPKAP KATTKAAPKKMVQTTLKAASKKRPKPESDDEDQPSDVDSMQDASLLSNTPPSAKKQKK APAAKKSGGKPLQDIANESMNLDDAPASKPMKKKDATEQYQKLTQLEHIIKRPDTYIG STERTTEKMWVFNSETSQMESRPVSFIPGLYKIFDEILVNAADNKQRDPSMKQIKVVV DREKGEISIENDGAGIPIEIHKKEKIYIPEMIFGHLLTGSNYDDDEAKTTGGRNGYGA KLCNVFSTEFTLETQDSNTGKRYKQTWTDNMTNMGKAKISSSKSSDFTRVTFTPDYRK FKMQGIDDDFEALVKRRVYDMAGTVKGVKVSLNGTLIKLDFKKYIEMYAKAIQKERGA EEGAEGPPSASVIIEDPKAHDHKWEIGFAVSDGSFQQVSFVNSIATTSGGTHVNYIAD QICDKLLEVVKKKNTKGAALKSNQIRNHIFLFVNCLIVNPAFTSQTKEQLTTKVKQFG SKCALTEEFLKKIGKTDAVQNILSFAQAKADQMLTKSDGNKRSRMNNPKLVDANLAGT KHGHECTLILTEGDSAKGLAVAGRAILDPDRIGVFPLRGKLLNVRDASVDQISKNAEI QNIKQFLGLKHKQVYHNTHGLRYGHLMIMADQDHDGSHIKGLLINFLQVQFPSLLKLP DFFQEFITPIIKVWKGPNPKKPIGQKAFFTMPQYEEWKEKHVNDKGWKHKYYKGLGTS LPEDAQVYFSNLDDHLREFEVMKPEEEELIDLAFSKKKADQRKAWLGNFLPGTYLDHS TKTLTYDNFVNKELILFSMADNLRSIPSVLDGFKPGQRKVMFACFKRNLVRDMKVVEL AGYVGENTAYHHGEASMHMTIIGLAQNFVGSNNVNCLEPSGNFGSRLAGGSDAASPRY IFTRLSPFARRVFSPLDEPLYEHNVDDGKSIEPTVYCPVVPMILINGADGIGTGWSTT IPNYHPVDVVNNLKRRMGRLDGSGEEKPFETMMPWFKGWKGTPEVGGPMRYNFNGIIK ESGDNEVEITELPIRLWTDDFKGKLEDIIKGEKAPSFIKDYKEYNDHNSVHFVIQMDP NHFKAAVSDGLHERFKLNKTVATSNLVAFDSRGQIRKYEIVEDILEEYYVYRLAMYTK RKTYWLAKLNTEFRKLKNQARFVMEIIENKLVVSKKKKPVLVAELRKRDYEAFPKIKD AQHAGETDDVVENEDEASVDEDSGARDFDYLLGLPIWSLTQERVDKLKAQMEGKKEEH DAMEKLSEKDLWCQDLDDFVHEWEVQLNEEADYQKSIRNTNRRTSKKIGAGKNANGRG RPAKAAADTEYNPKPLKANPNKGIVKVEQKTHQRFLSMFDQKPKPKPKPNTFGSDGTE EPEEASGLEDDDFSVLKASSAAPQISRDSSEQRALPNGRTKRAAAAAPKKWVIDDDEE SEDDDDKLLGDVGAMVKGIGGGDNNETTAANGRVSLFAMSRPGSSHGRSTSNDLPKLK AKPSRTFDLSDDNDETNYEMLARSSPHKAAPAPKDNLDSFLSDDEDLVPIPKKAPVKA PVPKAAAKPAPKPKKAPAPKKTAESSQPKPTALSPAAKAYAAKQSKMKLTSKKMVISD DDEEEEDEIMEDSPPPKPAARGRGRPARAAAAAKPKQPVYIDSDDDEDEMDVDDNDES ALVEDASEDDFDASE LY89DRAFT_718712 MLSVASLLNPVKTEPRGTRLPSSPSSSLRTSSSIHGSPQLTTQS SFKKQKMTKDGAIFAKGKIKGEVNFPPFERLDEETMREVQKFQVYPLVFQYVFKVPGD DKEYTVMWDYNIGLVRITPFFKCCKYSKTTPAKMLNMNPGLKEITHSITGGALAAQGY WMPYACALAVCTTFCSHIAGALIPIFGPTFPSQCVPPEAPEHGRMIIDPQTVLAATAE AEAYRIQYSSSSFTPKRDSYSPQHPMMRNNNNDSMRTTPPNLGRRLRLKRAFTGEVPY GLNTDTDLDTNGSEASSGDGYYCSPGTPVSANSLSQPHIWHAHNMVSHSANSSLNVSP PFKTPNPILSAIPRSTGLAEMHMGSVWRGVAKRRVDEVDADDEYDGEESATSVTDDKG SADEKGSDREMEDVSSNGVGGAEKKAAWLLMKLSVKDGECGVEAAKEKEDEGPRIKRR RATSM LY89DRAFT_684995 MKIEELSDEFADTVKVSDAQQNASQPPPPQADLLTQAMNAFASS STQTTNEDGSTGPALPPAMAALRNKSGEEILAELNKTPLFMTSLEENDDLEALKALAY EGTPFEVAAGFKERGNESFKEKGWKDAKEFYGKGIQVLLLEVRKRQKGEGEANDQEEI KKEVGILEQCLVNRAACHLRLKNYRSCVQDCGSALRINSKNVKAFYRSSKALLQLDKI AEADDACARGLAVDPGNRDLLEVANEIIKRNEEVEKRRKRELERENRRRLEGVTLTAA LKARGIKTRKTSQPPEMEDARIELVPNPIDPQSSVSFPTVLLYPLHLESDFVKAFNEM ESVGDHLSYILPLPWDKQGVYTTSGVDCYMETVTGGLIKVGRKVSLLKVLTSGNVEVV DEVVKIFVLPKANSDAWVQEFKSKKLVEKTTG LY89DRAFT_734002 MGSTSPSIILYTNHNCPWAHRAHIALAELSLPFTEEIIDLSVPR TAEYLKVNPRGLVPSINYNGAIITESAIVSQFLADAHPSHLVKKSDEEGGALQRARIS YFVDAYFSKVNSNFYPILKAQSEQEKEVEAQKFVDAVVKELEPQLQNAGPFFGGSSKL TLAEVLTGSFLLRALTIPKYEHLNLFPKSFLPNLEAKAPAFWKWAHAVIQEKSVNHIY DEQGVAERTAERVKKMIASK LY89DRAFT_585852 MAPHEKNLKKAIVQPGMFHEPPFSLEVPGVAKKDGETIPRRNAK YIDGLVSRPRPEIATLYNVLQHARDTFGSAAALGSRTLLSTHKELKVESEREVNGRKE LNNKQWIYYEMSEYKWTTYQDYFNLAIRIGQSLRNAGLEPGSRVHVFAATSMRWLAMS HGATTQSMPIVTSYATLGESGLETSLVQTHAKAIFVDSDLLSKLGQPLVRATDVKLVI YNDDHDPKEGDIDRLQSLHPSLTILSFSDFLELGKVGGLPPVPPLPEDLCCIMYTSGT TGTPKGVPLKHRNVVAAIAGLNSIFKDYVGTEDSVLSYLPLAHSFEYAFENACLFWGM KMGYGSPRTMLDKSMRNCKGDIRELRPTIMVGVPAVWDQVKKGIQETVDRKGRLSRWV FSHAIKWKTWLCDKRLPGAKILDKFVFKPVREQFGGRLRACFNGAGPLSKEDRRFLSY TVASMISGYGLTETTAMGALQDPLEWTDNTLGDIPACIEIKLVDFREAEYFAKNNVGE IWIRGDAVMDGYYENESETRKAMRPDGWFKTGDIGAWDANGHLKLLDRKKNLVKTSLG EYIALEKLESIYRTSSVVSNVCIYVSGEQRTILAIVVPRKDVILQFARSCHRTSILAG MSYSELLKDKQIRQFVRDQLREVGFKGKLEGSEIIKWPILTEHEWTTENGLLTPAQKL QRRKIYPMFEEEIREVYQSADTY LY89DRAFT_69371 MSDKPRYFPNDSPTLAKVSDPRSELIHSLTTIILGAPPQNPWNG QYKGLFTGPTSIAYVFLWLSKTHPDLVIEGKSGKEWCLEYLDCGSDQIALIPEGIKMG FKNEYVASRAVRAAITKDMSVVDEVLAGVRKMHEVIGEGENELFDGRAGTLVLLRTVR FWVPESKERVNEVMRMLCEHIIPRRPWTWHKGSEFLGAAHGLAGIVLQIVLCDLGFEE KLESSVEELLDQQEEDGNWYIMMGSSDKGGYVQWCHGSPGVLVSLLPIRDRFPRLKEK LDMAIEKGRKNVWEKGLLVKEPCLCHGISGNMLVFEKDEREHFMSYATWERVQQGIKD GSVIEANDHRYGLLFGEPGRAWAWMVVDTGENLGCPAYSADV LY89DRAFT_718717 MGRGNKRGGRGGGRGGRGRGGGSRRGGEDNRVSFDRVPKQNEKL EKYYNSVLRLSVEEKDDFWDALKRELPNSFRFAGSKGHALAVQKLLISRYIPEISKIS HYDGTLVEPPKVVPWYPDDLAWWMTTPKNVVRRFPPFAAFQKYLVSETSVGNISRQEV VSMIPPLVMDLQPSMTVLDMCAAPGSKAAQLLEMVHMGEEARMRQSLRSHAKEDGREV SPDLSVSMDEADVGNDWTDNGRATGLLIANDSDYKRSHMLIHQLKRLSSPNLIVTNHD ATMFPSIKLPPSAKNPAVNRYLKFDRILADVPCSGDGTTRKNVNLWQDWNPGNALGLY VTQVRILVRALQMLKPGGRVVYSTCSMNPVENEAVVASAIERCGGLDKVKLLPSDDKL PLLKRRPGLKSWSVIDKGGIMWFSHKDVEKYQDEHGVTPHTERLVPGMFPPIDGSEIS QVPLENCMRVYAHLQDTGGFFIAILEKVTEFRAKPESPAKNVQTEAPITSIVDEIMSR ATPENGDSVLRKIEAADALIQPSEPSNAEVAPVARQNQENTTPSSTLHARKRSVDEVE DAQVDAVMQTKKLKTKNEVDVLEPGVENVEVRRPPPPGAQLDLTKRPGDFRAEAEARP ALRNRAGQQFEEPFKYISGEHPEVKVVEEFYKLSPRFPRDRFMVRNAMGEPTKTIYYT STLIREILTENEGKGIKFIHGGVKMFMRQDVQKEGVCKWRIQSEGMPILEGYVGEERI VRLYKRSTLRKLLIEMFPKLSEGAWKDLDEIGERVRDIGMGCCVLRVEPSNEKDGFDE RIVLPLWRSLHSLNLMLAKEDRTAMLLRLFNDTTPLVNNQGASKKREEVKEEDEEKPV VKSEDEDDELAVKDEDEAMQDVAPGFKVENGEIKVDTNMAIESAAPVNERPLDGIVKP GPYSSIVAEPKTGGHTDVKADKINKGEDTGGPEQQLNGTGGVEGQIGNSV LY89DRAFT_69867 MLNLGQSLPGSAVKDLLIVGLDCENNSLGQLPTQFQIALSILDT RHLQRNTSDGDLLRTYQFIVGSPKYFKEASKAICFGQSKHVSFQDLNKEIGDAVAGRD ILLVVYGAGYTIPFLEIAGIRLRPLFILDVLKVAQHLLDLSYRIKLEEMLKLLGCMQS TWFLRRR LY89DRAFT_69881 MPPSLSGALGYIGFLREQIQDSLVTSISERLFLPTDKLHKIFTL ATIKAAVEELICGPDDRIKLADTIHDEGQRLFAMLIYNGWQDLIINFRKHGALDSRLP LSEDDAVKIAGHSIGRRLAQEVQWVFYPYTFPESMWKCYREVERKIILPFTAEEQLGT GAFSDVNKMNISPSQQNFVDKGVEKVQVVRKQLKVKGMTEEFKREDSCLRLLNQLQHP NIIPLWGSYTYRGKQNFLFPYVDMDLGKFLMAKTRYRDFQWDFTFYSALTGLASALSK THRLHLDQVNHDVDFEAIGYHHDLRPPNVLVSPDTFILADFGLGHLKSAEALSHTPYK SISGDYIAPECTDMGENPQTVNRAIDVWAFGCLIAEVVTYMLKGADGVEEFRTKRLTP GRLPQWKDAGFYQPHGDVKQEVFDWMEALKHDNPHPDLVLQLVELSLHALRADPRSRP DVNNMHHRLAVLSLQKHFQSVQDMFCKKVFDSRRNGSKYGATL LY89DRAFT_69875 MKKIIHALREEPERRPPGDRSAMFSLERVIVQSVEDLWTLLPEN LLQSAENQWQEALPRSEVVEKMHCSRYVTLGELILPSASMATPINALQCEFEETARVF KDSLPDSIPLDEILKVTSSNDVYDITDKIQQTGGGLRNLPKMRLYLERLKGYTGVIND IIDGSRDVLALLWGPIALLLQWSRTPSTAYDSIINVAAEIGQALPDFQASAAIFDQNM EAKEILMLFFKDILNFYREALEPFSRPSWMHVFDRMWPKHNAHILEVARHIGRLTRLM RTEIRLEHIKQEYEFRKDALEGFKAQKREARRQEFHRIMTFLSPCRYDDTLHRLRDRR CEKTGGWLFMDETFTKWLNNSQEENRILWLKGIPGAGKTVLSSAIVDKLRCTQGTTTA FAFLTYQEAKTSALSITHSLIFQLAGRDEDLMAIICDSMVDDLKNDLTAAINLLSPLI QYVGSVYLVIDGIDEISETERRRLVIELLRLAKICERLRIILSSRSEADIMRLLDETA VVIPVHDHNEESIKDYIHERSQYIFHTRKIFPKAQVEIRKLLVPLANRAKGMFLYARL IMDTIATMHDMSEILKELAVLPESLDHAYHRIIVRLGDHKDKRKSEQARRLLGWVACT STPLTIEDAQQALVVRPGNRDQVFDIVAKLDVIEVLGPIVETVDTYIRFVHFTAKEYI SSPHLGAQLIDMNQATLDLAMRCIEYICQRHHDPGLTAKERSENVSTGQYSFHAFSTR MWFDLVCQYLRSIKSASPSANLIDVIQMLWEARKIQDFDSTVRGGSEDESDNEATLGT LDVKHPLLHQLLCRTSRFRNSSFQFTGKTNRDSQKEKRDPLTISATSQRIRQALDDAL CNSPTGWLISGEPGCHENCAYILQYYGPRPFKCRFPQCEFWQHGFQKRVSRNRHEHSH DNPLTCPVPGCESGLIGFLSERMLQSHFKQAHQSDPPQVSFDGQDLAQDGVEALLSDL VQEDQVGVVQKVLSTFPNALQVNDSRHKLRMLAAFAASDAMLELLEEPADYDEAKLVS EAWADCIAESIKGRNGSTLRYLLSRAKPFFDSKLKIAYGSADFFRVPEGKDHSRRVLL ISQLVSNDWLEGMKICAKWLRSGLNIFPSRGPNLTLIKRLLGGNKTILAAASHSLGDQ QLLCLWRDSGIVAILDQKWASQTLRSVAEFGCSITLATYLLGHGANINARPGSTRKTA LHCAACNTSAEGAEMIRFLLLNGADPEADQKTADSAGKRRGKKIRDEEGANGIHRWLG KTWDELIEETKRIRHDREAGKELISTIGEGKEIGCSDREHIAK LY89DRAFT_616746 MNHDDWLQHLQTPVGSKRPSPSPADEDREVKKSRTQPYINGPDH NILNNELPFASYDDFSFPSQDLYHEYENEFNNGSGSQFDNFTSGEVSNVSFHSMERGQ HMLANTYDSVPCTGGGLPTSNLGVSELLDRSHLELPSIAEPWPGNLPNQLTPINSFLR SSDRLDISMGNTMHGISTTSRPFEETLPLIGFSGLEGLSGSYSQGHTHTPDVLSRVDV SRNGQLVFSESPVNQPFSQIPTALLSAESMDVCCDYDTCFGVVITELTSSFALENGTH SVPVNLKPFGGIFMLQSQNSGAHVGILSNSGLVNALRQLRLKLDATVRISEIKGIRES GKPKMKKFAPATAAREYSIRIILYGLRDDKVAMGSLLSDAGFFLQHPYATEIISEVQY DNPHYLLRPGAEMPELEHLHLDIVDHSSAQTEPGNEISKSRFLKIFETAGADWGTVTA PNMSLSPRLRSPLMSHQITALAMMLEKESGYVEQPMFPSLWRKELSENSKIMYYRHTV TRSLEPRPIPAMGGILADDMGLGKTLSVLALICSSVDFDSTTISHSKNAMYQGTLIIA PKSTIYGWVDQISEHIHKEQIRVYIYHGSGRESHANQFRDADIVITTYETLRSEWAAS KRTSPLYSWNWLRVVLDEAHHIRNRSKQAFQSVCELTSRYRWCLTGTPIHNSLDDYGA LLSFIRVFPFVERSNFMYWIVKPVEEEHKLGVERLQGLIRATCLRRTKQKTLSSDQLK LPPRSERIHEVHLHWDDQVLYDTVRKYNAEIATCAEKQPEKDSIPKGKEKNILLLINS LRLICDHGKQLLPEAIKRRMEESSIVSIASFASEMQQVYHVRCSVCEGELDGSVAPAD GQDSICVNCAISEQGSSNTNLQADFLGRKGLSASQSTSSGSAKLCHRPSAKVLALLGN LEQERSAAGANHRPRKSVVFSCWVKMLDLIEKALYEARFGVRRIDGHTSLEGRRKAVQ EFNDSPDCTVMLASIGSSAEGVNLTAASIVHLIEPQWNPMVEAQAVDRVYRIGQTQEV TIIRYIVPNSVETYVQQVQQEKMQIINQAINMKGVTGADLESERWKRMKEMLA LY89DRAFT_685001 MLSMQVPATGTSSPRTIPCRTAGLDRLGRTAYQHGLGYLWRNFE SKVPGLFVDDATENRIGVVKAGRDQLHWVSTPEELYTTLSERMSTLLILNRYNSWSRI GITIHAFSVVCDFAAITPFFLHFLVGMGRKFSSKDEDFMSCYSTFVSENTPLVSSLGS GMEKHSDSLWGICYNIRYFEQHTRDLEDPWSCRQSALHHSFLAVSKQSTWVVIQPPKA FDLTVSSTQHPMSLHLRYLHACLANWREYLDSFAQRLKPLNQQIAIPNPYESFKINFS HEQYLHHLRGKLHYARSILNNTMNTFRVIAEHESAVAQEQSLCQAVHHEFQRELRNLS REVDNYIDTSQRFLRMADDLKSMYDNILTFHGQELQHDTSLKLAHLAQADASGNRDMA VLADLTQKDSRSMRIATAIAMFYLPVNLVMSFFSTTLVWYGTDATESQSSRMQLRSEV WIATVAAIVLASSTACWSWWWNWKDQKKPYKKAIQETAHP LY89DRAFT_734009 MSLFDQPWMEDIPNDDVTHMTGLGLESNFSTLGRDRIFYYQRGI YGEISRPILVLLHGYPQTNFTWRHIIPLLPKDIPLFIPDVYGYGRSMGLLTPHDNSLT CANLLNTLSLHLHPALASRVPIIFVGHDRGARICHRLAVDATRTSSLNIVGTILLDIV PTLVQFQSFSTPSSSVSTFHWPFLANVELASEMIGAFGGDNWVRMCIDRWAGKDKKSL ASLKSDKAVDVYAQFFKKPSVIHHSCDDYRAGSNEDVKKQEKDQKAGRKIDIDVLVLY SADYLGKRYDVKKVWEEWMGKGDLQVQNVGDGCGHFIAEEKPQECAAAVVKFYESF LY89DRAFT_70009 MPVHAVLGATGGTGSAILRCLLSSQIPDLRINILVRSKSKLFQA FPQLKSTSSATITIFEAPISNENTLKACIKGASTIYGCVPTNHASRKVDIALTTAARI VAALGQLRKDQGSEYETPVVLFTRSVALNSDVQLPIPGFAKRFMVFAIWAIYEDLLNA GEVYAEAEKDGLLTCITADPPGLMDNKMEATGHKFIQRGKTTASMNYADFGIAMVELG QRREEFGGKIVGVAATGAVRIIIFANLWIIFLGLKSRLIPF LY89DRAFT_646134 MSLKTGESSSNIEDLTIGNLTAHVIQMSTKGEDSRVKYLTAKLI QHLHDFVRDVELQTDEWEVAWQFLTRIGQTCTPERQEFVLLSDVLGVSALVDAINSKQ TSGATESSVLGPFFSDTAKVFENGESITSNSIAGEPMLIRGAVLDTAGKPIPRALVDI WETDGNGFYDMQDVEYNEPDCRGKFFTDTSGKFYLKGVKPVDYPIPNDGPVGEILQLF NRDWYRPAHVHYMIMHPEYEKLVTAVYSRESKYIIEDPVFGTKKSLVVDFVWTQDEAL AKTYGISPIERIMGSEKSRGFWLLERDFVLVSKKSKGGRKINDTVV LY89DRAFT_646137 MATSNANKMHVIIIGSGCTGLLLAQALKKRGVSYSIHDKTDPAF RHRNWSMTLFWSFRYFPHLLGESLQARMHEAQTRPHYEATETEELVLRNAATGDVLKR VNSPYAIRVHRQGLRRLLLDEINVNYNHELCDVKFTDDGVIAHFTDGTTEVGTNIVGA DGGQSFVRRFLLGKRAEPEVFPQYEMANLSVAYTHEQGKYIAETMAPHVDYGVHPKGI FFIMLYRTVVEEKDYSTWTFHYVITFPKELPGMPFGCESNAERLRVLKSFADDFAEPR RSALKWMPEDQEIPNDSIKVWIPTQWDNHGGRITLAGDAAHAISFHRGQGMNNATVDC AHFATAVDKIRAGKEPIATAITKYEEEVINRGIYESTLSRNLTLTIHHWDRFLESPIM KYGGNLAREIKETERLSIDASTTAVLECAAVQS LY89DRAFT_646140 MDDGTLVEGPGSGGGEQQVADQLPTIAHLPTENEGGSSLRFENL NLASDNLVARRSKPLLPLFCGPTNPSFCINVISMSLNETEGNWEYAASTRGRETLSIL DGEIIVDREDETVEKEPTDQGLYTSLALLTASGNGLLLDPLQEFDTGRAVHLVQLYDD LVGVIHPFINADDQIRIVRGLCTGLVQDPRITGPTDSESAKMQMTQGDVNIIKMVLSI ALLMEGKGQSSLATKLYESLRGDVESKMWSMAIEVNDLDLLILVSLYHFIKGDWRLAW RVTGTLTRIILEFGLHRRKVVLRIFDDPEEATKAVNTFWTIFVLDRQFSYALGLPKNL QDVDIDSDFPLPETAPYLKAMVEYCRLGARICDSLSNALSGQPRGVAEWKESLDFFQY RLNQWQERHIPQELHFVHENPSVWRIRHIRTLLYLRANHLRLLMMRPVLCCSNLYIVA DAPSWTMAVNIACETTQILIELNTTTDIYQLQETQYNYFLVTALGVLLVVLARESQSS PSKVLQDVQIEQITLNEARKSLSTALDLLQTLAVFSIASRRQSLRASALCYRLGLLPM ALSNDQIPTSNDMAFGLPVQDSFANTENFPGLIFPGVDSESFWVGLDLPSL LY89DRAFT_646142 MATNGQTNGHTNGGVAAESRFDPTFTQKVIDATGPKCTPRLRKL MGSLIRHVHDFARENELTVEEWMQAVDMLNWAGKMSDDKRNETQLLCDIIGLESLVDE ITFKLAAETDDAATASAILGPFFRHDAPILKNDSCIVHGVDDGESTYMHGKILDSVTK KPVAGAWVDIWQASTNGMYEQQDPNQVEFNLRGKFKTDENGYYGLYCLRPTTYPVPYD GPAGKLLDLLDRHPHRPAHIHVIVQKDGFKPVTTQIFDRKDRYLVDDSVFAVKDSLIV DFKPLSGNPKAVLELEYDFFIAPQGESHKRE LY89DRAFT_748217 MSSPSQSLPQERPAKRRRVIHEQATSNRGSLSPIEQVAQVPSPY AIPTVQAEDKEVFHAPTKEEAEKAIENNLKRAAEHRAAENIRFDFYYEAFSQEITARG IDFARLRRDCPEDLDEQIDIGMMWSNFVFARLPWVKIKDSSMAEMRGRILGNLPRSMA TITVSATFVDVNNEIIGAKIIGGVDEVFRSVPNYRPLADQIMDWDNELEAVHPAKPNQ KSRHPMGDEFHQGEQDYRESINSPYGRQHFCIWCIKGQDKNPKWHANGLYNHVVSADR KGGRAGANKPREQKRWRNFYTKWSDQKLLVMIAAIAQASSMQDVEYGFIGPRYGNGVS VIDGVPIINDPVLAVSQEGFRLLHVPVNPWKLEKAVRKKKADIKALKKTGAHPGGLPA AESAAALLSYEYGKEELIRLRRDPTANPFLSEAAKKEIQGQAYRAPSRKARRATQSGS RKRQKTS LY89DRAFT_669457 MSLTEQKAYRDKRDKRKTKVADSQKKARDKEWRLVLAYILEYGF QTEMANSRDDMKLLHTAVYWRRLDDANKAKFRALRQMKADVAAGLVEQDELDWQQEFA PASLYRPFTEREETRFLRGLIPFEAQFKKKAKVDDQEEGTKTAETAEQRGMDSTVHGD DADSDSSHGSESGSSESEDSVHGYRLLHVYLTHSKLKKAEKAKKDAIKKLEKEKDFAK AAADSLLSATLWFELDHYEAARWQKDPNSDPFTTAGQKKTMIAAGSKRKQREGVEKHG HEREEDNNEIDEEYGDE LY89DRAFT_748218 MFVTKHAGYINDIVKPGEHFMRQYRYGWTFLRICQAILPTMTLP RTKAEHMAKFGSGPVSEKDLLPLVTRYPTILKGCIINWADGTQALCWMPASWDLLYWG VPGHSPLGPQVTQWLADFFAVFPSHASRNPDSRDAKVPYNISMAKYFAEIKRIWGVIH AGIWSIQGQEKSETRRNSGPFCHIPTEDSKGGRNKDSPVKAAAVVKIMRQMIPLFNVL AASFDAGSPELYREAVDHREVMAKAGQNVKHWIEDNGEKTLYHLLTAFVQNHSVVLHR DPKDKGMTIEAVCGHFVEGDICVPSIGQRLRLRAGDILQMDSSALAHCLRHFFGERVA VVIISQSTKDGLTRHMSQLPPGEELDRLQAVRDQKDAQKLSNNQELQSEELESIRQFT KYAIQILLDTTQKLWNEDETQDPWVSKKERKSRKRKLGQIVSSSALDASYKRSRGKTG YFPERQTSEGDNLLKQETSDRRTSSRSLQSQLSQGSPGTYSEELPQPENIRMTSRSGQ QRQRRQAQERTAEAAEVSHATLVPEAEARRADLAQRQEEQIRIARQFRGHRGQYNNGV DDEDESE LY89DRAFT_70153 MRGLLGAFLLAPALAAALVVPDVQIEDWEGAISSRPVAAHDRAV AYLGVVVPQASDVQSPDEDRLLQFKLEVLSSEQACGFGNVTLDGQVLPQTLDGNFPSG TGPISTAQQNIVTGSWSFHCIKINGLPDSQLMKFTVDAIDGEIVQDVGFSILFRQAGQ VSIMNIETDLSIPDDVVANPNPEGLRPVKEMDEPYFDVEEEVAELEYLWSQLREIKYL IHEKEKALAHHGNRQHVSDLKDCDSLKCVVKAFAQHAKNAAHDLYGKVRGEFDEEEDE FGHPHFKHPKFPKFKNPFKGGKNHTCGPPKHGKGNHTLPHPPWKKPHHRPLPVCRYPH PRFHHGPPPFHYGPPPFGRPHGMLHGGPGAHHGPEDRPHPHHFMPTPNDFEWPSPKHH WNHPPPPPEDAPDMPLPDFDGPQPHHHEESPEFDGPHHHQGPPDFDAPPPSHHEGRPG REGPHHHENPPDFEGLSRHHGGPPDFDGPPPHHEERPDFEAPPDFDGPPPPHHGNHPP PPPPPEFEHEGGHMGPPGPPHRNGLVKALIITKFTAIGFLFAFLIIALHRRLCTPKRR ADRQARREERHRRRAYRRAAHKHLITRLLARLSGEETDSDDEDYEEKRERLLADAEDG MSTTMTEDIREMRTTADVAGEMVIADSQPAIVPETQPLMADDLTSQIGEGEELPAYED NDGSEMSSVIADGFRYTPGGMGREEYTPSQSDAGSVSDILGPDTKS LY89DRAFT_585054 MESTLGKWSNLQPFQQRRNKVELRGDSLTIATLVAVARCDAIAS LDNLPRHIRASIDDSVKFLMESLANGHSVYGVTTGFGGSANTTTSSTNALQVALLQMQ LCAVLPLSDSSASSSHHGTTSDWNAIAKLSMPEEWVRAAMVIRCNSVLRGHSAVRLEV IQAIVNLLEHNLVPLVPLRGSISASGDLCPLSYIAGVLEGNPDVKVWSGPKESRRLIP SNEALQQAGMSSVNFGPKEILGLINGTAFSAGVATLAQHQADILAILAQILTAMGVEA MLGALDSFDPFIAAIRPHRGQIEVARNINAFLDGSDFVRTSKHHGCGDGLRQDRYALR TSSQWLGPAFEDMSLAREQIEVELNSTTDNPLVNVAEKHCHHGGNFQASSVASSTEKT RMGLEKIGKMLFAQSAELLDNRLAYNLPPNLAADEPSLSYTLKGVDINMAAYYSELAF LANPVSNHVQSAEMSNQALNSLALISARYTHMAIDIVSLMSSAYLYSLCQALDLRAMN AAFMDKLKPEITKCTNTLLGSMLSQPILKKLDHHIWGAMNSALANTTTMDSHDRFTAV ARAAQHLVVDALEAAHHHDDASDPNRINTLSLVSEWTSTIAVTTKTIFLANREAYLAN PDASKHLGKAAKIMYQFVRKDMGIPMHRGLVDHPTYKAEGMVDDGTDKVTTGTQIGRI YKCLREDGMVDAIMKCLSESEKVEVPVVEEFERGMVSAKL LY89DRAFT_585444 MFLYLYRAQLTIAQQLPLGLLTAAQGHPMLVELKNGETLNGHLV TCDTWMNLTLKEVVQTSPDGDKFFRLPEVYVKGNNIKYLRVPDEIIDLVKDQQQRDQG GGGGGYRGGRGGAARGDHRGGDRGRGGRGGGRGRGRGRGN LY89DRAFT_585556 MVKEHYSAFRDNHVHPTSTSQQVESRKTEDHSSLEGGLHPKHRT DFDDIPLVHLHDQLAKLIKTKDGYFRICELVTYLLEDRGEKPSLVHYDALIRANAVAE YGGADVVKHLLQEMKELGIGADNGLYHGVLQVLAIHPDYLLREQILQEMKMKWFGLSP EGWHNYVVGLLRDRQYEVAIDKLEQMHTDNIMVQPWLYDIFMFQLCDAGELDEVFKLL KHRFENDRKEIQPSVWYYVLDAFTKAFHYEGTKYIWKRRIQTNFLVPSDGICTVALNM AARYADPGFATSIVRILSSRLSVLAPYHYEALLAAYAGSGDMKTAFRILAIMSKAKLE PDTNTTRPLFIQLSQSNINPLVAWEDLKSLSKDGHVIPVTAANVVLEACCETNQFEHA ITFYKELHEIIETGPNTDTFNTLLQGAVYAGRKDLCMFFASEMAALDIKADRLTYDRL ILACLPDPDYEDAFRYLEEMTLVGKDKGDGGWWMRAGTARAMVERCSAAGDERAWGIL KMMMKRGMRAPETWVKDNWGKEISGRGIGMKLKERAPGLV LY89DRAFT_685009 MKVYLAGPDVFMPKPEARGEELKALCTKHGLEGLFPLDDKLTGF EHGSVAMADAIRTANMELIKSCDAILANMTPFRGPSMDVGTAYEMGVGAALGKLVVGY TMQGDSYVEKVKRLHTVTRDKDGYLRDEAGMSVEEFGIGKGEEPLVDNLMIACGVHKL CKSEEEGVKAIADVLLSKT LY89DRAFT_70222 MDERRRPHKKEGANASSSSPEPTRVKSKSKPQRKKGVERQQVAT SGPVFRDRVLSKRITKPVTVKKSSQRGRRRLGGSDANIETSAQPARAGSRSTEILGSE VPSDAIAKNTRRSTKLKAIAESSATGVDDDMGAQQDDTDFMALTDRETLLSKEIHELK QRCEKQKVRIAATRIALHAQQTELQQIQLSLENTRGEYNTVQTNLQQLQF LY89DRAFT_734025 MDMDQNSRNSTENSSRRVKSEPSDEFGGLFNTSNHRSKPHTRSF GNDRFATVKSDCSQRNIAPRYKTAADSQKLGESYQHTLRPSRQDIDNPVRDPGNRHTE GQIGGWSNNESIKSSVEYSSKGRYFTPVQIARFQAELKKNSILTSDVRKMLATEFGRT EESIQVTPDSSLDFIREVNTVNGCFRRQRKISTNFPTLADTLTSEKSSADEDGAQPDA VKLVTRSQELIPKNFRTSAQHESNFQDGADNFGPAVKIDLEGERTTSNKRRRGSSEDG RLEAGPKETPSMVPQESNSWHQQTPTTMVGTNQGGGGERLHYSNTREGLPGLRELRAK IVGDIVMLKKKLHQSRIDLLNVVEEIEDMGRMEGAL LY89DRAFT_669463 MYGTMTAGAAGSGGNGLPPNGQQPAGTRAPRLLTRFTKEELKRL RVIFATTPNPTEAEIKSMNTTDFGGKYEVNKFKMWFWHERNKAVQAAIPKSQVARPMN SVVPESQVAHSMSPPPRGHPRPSTFARQGFAYKGPYDADFVEAPSAAGFNDAQLDILH DELLKYPKKHLKVPSDRDENLAEMTGLSKMEVSNFFYYHLDNLENMARSSARASGPAP PVFSCPAPLPPNDQVNERAKKLLDDDSE LY89DRAFT_734027 MDRPIREKRAPEITYTPTDSTKIKYTLEERDILRSAYDKHGVTP KKEVIESLVAAIGRSSTYNNIQKWFVNEKYRREREDINHVDAEAAENSSAAVKSSTSV ANVSIVPQGSAGKHTSGDRRSAGNTFAPRSLIITLKVTYPVKEKLLTLRIPRRAAIAY RSFLKSNEAARDRLPDQVDSLHPANVALWMNRYPGLINIERARDDECIKVTEWPVSFN HQTSKVARGTERSLAQGRQVVKVNVGVAQIAQGKGFVSSGTRDAMLAECWTEAKAAKA AKVAAKEANPYLEPWARVDPNPESYERES LY89DRAFT_685010 MPTNENHDSQSGAGIEKRENVQTPIPPKDESSPDLPAARQEENP EKQAQRGRIVGGSFLQALHESDSDNADEGPLKEVDIQLPLTLWEITLKNDQEYIVLKF TDDDKEDPFNWSVGRKAWITLLLCLMTLFIGLATTAYSSGIDRMCDDLGVSTELGQLG LFCFNFACAIAPLFLAPFCELVGRRFIYVGAYACFAIVFIGLALGKNIATILIMRALS GLFGCVGTILVGGTFSDIYRPDHRAGPMACFSYVAILGTVAAPIYAKFIDETIGWRWI EGIQGLANIPLLLIILISLRETRGGVTLHKRAKALRAATGDERYKAKMDLEAKNIEEM LHNSSVKAVHMLVTEPVVFAFGLWIAFAWFVTFLFLSVIPITFQTKRGWSEGIAGLPY ISLCIGVTLAFALNFLQIRKYKTIMNSRDRKILPESRLYGAMFGAGLLPIGLFIYSFT QYGYIPWIAPTIALAPIAMGIFFIFESTYSYTSDCYGENSSSAIAGQGLMRNTLGGVA PLFASQFFRNVGSQYAGLILALAGTALTLIPFVMFKWGHVLRERSRLANSQLGGEEQK ERTGLYTAPCV LY89DRAFT_685011 MSFPQFTAFPPEMHSLILDSCTPNDLVCLRLTCKYLYDLAPSKK EPISLESTDTGPLCQCKDATKDWQSRWTHRRDCHKLSYEAAHKRNQALGRSTPEMKAK CRTSYGSDHCECFTRRSRLHFRLKSWMPSGMNYCGHCERFTVRKRGHNGRCYHGSPKP RKFEGHYWTHTSRGGAFGRKIWKKWFNNRAMNRLETRLQKQILVDERKGSDRYSLRQL EAKTVDTRVDRDNKSSTRYGYPY LY89DRAFT_70251 MAPIPSLKLNDGNSIPLLGFGTGTAQSKRRQEKPGFNQDLVDIL EIAIKQGIRHIDGAEGYANEEEIGVAIKESGIPREELFITTKVRDIRTLPGAIDVSLE KLQLEYVDLYLIHSPYGAKELSELQTAWLAMESIQKSGKAKSIGVSNHLQPHIEAILE VATIVPAVNQIEFHPYLQRGNNLVPWLKEHGIEVTSYNGLTPLRKGLGGPLDGPLKEI AKKYGVSENAVLIQWQIHQGIVPITTSSKPERLAEYLQGVELKLEPEEVEEITRVGLT HHFRASQLTRFEPDDRS LY89DRAFT_718732 MSTSTSQPEGISIADELGLDTVKLIVGSGDQKCSFAVHKNPLCK KIPYFDTMLHSKFKEALTDQAEFQEDLPVAFRILINWIYQRKVKALPPSDPRSGGLSQ ALQLLQLCILAEKLMATQLLDDALAALSKQYMYTYATSPENSNPFEVTPAELCGVYNE LPEHSKVRTWVVRVIAHQFSIIRRKDYNNSAFQELLADNFDPLGEFLTGLRMDKHENS DISAYLYAMPPPNHYPSNLYYALPTQQR LY89DRAFT_734031 MALVVTKSPLFKRLGDETVKLVVTSLCEHPRIFTVHKQLLCQKI PYFDKMFNGPFAEGTTQSATLHEDDPLAVESMLGWVYLDKIEIVDDGGSLYLNRYIHL FSLAEKYHITTLAD LY89DRAFT_734032 MKLCYDLTYENSKLRLYAARNFVYCTLSMTDDYAGGLWNNDIMH QAVSDCGDLSKDTFAILRNQSGKVFKKPYTAPRCEYHQHAKEEKCGSETPAQGAKDEW WSDHPGSNDWRGSGPTSDQW LY89DRAFT_718733 MSSSPSTMSAAPASSSLVGAGNTKGTQPKPDSQDISFADDLGNE IVLITIGVAPNQEIFPIHKTLLCSRSTFFDRMFNGGFREASTQSASLPEDNIDAFKVY VTWLYRNIIEVPKKAPIAPVLIRLFAFAEKYCLEELVDNTMDYLVGHLHDKNAIPWLD LMVSSYASTHTKSKLRILMSRINLYVALRYTDAKWEAFNTDTVIPFLIRCPDLTTDMF RLIKGSSGKKAVDPRSFSCEYHQHSSTKPCPYGGKSSVDSRG LY89DRAFT_734034 MSTEVESESSLSMAQEASPDLEEAQPDMLPENMDFTTSPLFLDS DSSDMVIVHLGVSPSMLSLLVHRHIVSEKSSILSLLLNPEESQPPEELHLPDDDPQLF IYLLNYIYTNAVLPSLTPSIVTTKSLTPATATHTPSPSINGPTKDHLIKTFKSLSTNP TYTPFHRPFTATIPTRSALWQHRIVTDIDFSTMQSKLVQGIYRDLRSIDRDFDLIYNN QLSFHGSKHQYTDLADSFRALYREKTAELRPKGSEGDGGRGVLKMPKTHLQQQFERMA ELAVGLPVEVLLGLEVLATKYHIPTLQDLILTSILSSHLTSSTFLTLPQINTYYPLST PNSPLRKYILKTISFHLFSKTALPTDTIGDLNRTENLYQLIATNEELGMEVFGMLRGR GGIAFGDPRKGGFCEFHCHGRDGVCGLGGEVWRGVGRKRAAVEMEGWF LY89DRAFT_70326 MASAFNSLLPHSRISRSESSQRGTTMALKEIDMASSSQIYPGSL HRHQRSIPSVTHYRSMLDVDTPSLSPMAFQTEESMENWVAEKLDQTSRKHKTENWADI LCFYTATNGVAPAAPTRAPAPVPNGKAVSPTLEAPQTVSSTDPKIAAQQASDMRNIVR RKLTGYVGFANLPNQWHRKSVRKGFNFNVMVVGESGLGKSTLVNTLFNTSLYPPRERK GPSLDIIPKTVSIQSISADIEENGVRLRLTVVDTPGFGDFVNNDESWRPIVDNIEQRF DAYLDAENKVNRMNIVDNRVHACVYFIQPTGHALKPLDIEVMRRLHTKVNLIPVIAKA DTMTDEEIMAFKARILADIKHQGIQIFEGPRYELDDDETIAENNEIMSKVPFAVVGAN SEITSADGRKVRGRKYPWGIIEVDNEEHCDFVKLRQMLIRTHMEELKEHTNNALYENY RSEKLTAMGVAQDPSVFKEVNPAVKQEEERTLHEQKLAKMEAEMKMVFQQKVAEKESK LKQSEEELYARHREMKEQLERQRMELEEKKSRVESGRPLEEKGKRKGFSLR LY89DRAFT_70362 MDSNASKTKEGTPDGMAKYDDPDYYSPKWAKAAPKIWKPYLTSF TLFSDLPIEIRQAIWKWSLAARVVEVKFNVNHGFFSTNKPPVALSVCKDSRDAVKYLY PICFGTIIHEAAIAFNFSLDTLYLDADLAPEVVPFIYSWKGLEAENLQTLAIDRYLDE EMEEFGYGIAFEAIKTLRQTTRLMPALKKVLMVAKLDDYWHEHGLPEGSGEIKLMESL PYDLQRYIDEEWHMDDEDGASECHQLPNMDDVLEGFDAPSKSVVWGWRPTELPLQPHP WDELIGDSEGHTPIQMSENEGDDD LY89DRAFT_782257 MMVHSTVEKKKRIGAPKSRRGCQTCKVRHKKCDEQKPACTPCVQ TGRTCDFLHPTSTPLSIQKSKGPLKPVPRSRMIQLPKLIPTPTFGSPFLSHELPHFEH FFHLLTFSSLPHHSNTFLRLILQISHEETCIRQAVIAISVLLKYQSRHHHPSVNVKAY LVEGYLIYAKALEGVNRRVAEGNVEIALLGSILFAMFEVLAGREAVGQHLLGDGGNVL RERLRNTKPSDTLLDIHQAFCCLDIQACTWDTFYGSFEVPDPIIPSTFHSLQSARLTL DSITYRMWHLLRPIKPHEQTLPYSPLPSLLAAKIYHINNLLSIWQERFAQMEFKPEDI AGHNILKLQYLAATITLSTMFYRDQLAYDAFLPSFRSIVDLASCVLFPPSCKQKVPQG KTAPTFAFETALIPSLYLTALKCREKILRRRAIELLGYCGTEGVWIGAAMAAVSMWIM GLEEADQAEGGFVREEMRCKEVRVNIDRRKWRLLVMTRRRGRDGEMEYLPCGLSWGDE EVVVQGKEKEEEDWELLNKIADWRASFRTLDVVLRIPGRERRGRR LY89DRAFT_697291 MSFSKISALGGLVAFASKVAAHGTVTGIVADGVYYEGYSASFQY LQTQPKVVGWSIPEDLSNGFIAPDAYNSSDIICHLAATNAQASAPVKAGGIVELQWTA WPSSHHGPVIDYLANCNGDCSTVDKTTLEWFKIDAQGLYDDTTVPGNWASDTLIANNN SWSVTIPTDIASGNYVLRHEIIALHSAEEADGAQNYPQCVNLEITGTGTATPSGTLGT ALYSETEAGILVNIYASLSTYDIPGPTLYSGAISMSETQQPSATAVSSGIIGAGTGAA SVASTTEAASSVAISAVAASSSTSSAAGVAVTSSSISGLPTQVAVTSAPYGNSTVPTS TKKSKSACKSKSKTTSPAILGTSSAINVGAVVTSSSTTITNDFTTETLAVTSADSVTI ATSAPTSVAAGTSYDSATVARRHARDLAARQMNQFTGLGVKATGTATAGFGGHHHHHH PHGTGAFGSGGFAKPTGKSHFKAAGF LY89DRAFT_646167 MAPSTALTTKVEGDPPYQLNSEQTLKASKALLSHIQSSAAELTK SSGKQSLLPTEDDDEEADDSLSTPIWLTLTTKKHITDTKRLKPSRITVPHPLNSSTST TICLIVADPQRTYKDIVSSPAFPPELAARITKVVGLDKIKKKWTQYEAQRKLMAEHDI FLADDRIIVSLPKLLGKTFYKSTTKRPIPVNIAAPAPKTDGKRIARAKGDTETRGAGT AKQIASEVEKAVSCALVHLSPSTNTAVRVGYSSWSAEKLAENIEAVTKEVVDKFVPRR WRGVRALHIKGPETAALPIWLADELWVDEGDVLGEEEVKAKAEKAANVGKKRKSAVLE GVVGKEGKKLKESNDDALDKEIALRKEKLRKQKEEASKDVEGEVPIASVKVSKKGKKS HGVVA LY89DRAFT_70432 MHLLSCPVLFSLPGVCRVHGCPSSSLLSQHPSLFSHRRVRAGWV CRATVGILSQPAAERTQGRGFPARMRQIGSRTPPAN LY89DRAFT_646168 MSAMMDSSPSKTNARTVQNPVPSTAGVKRPAPSLLPAFEPSSSP GFPRPSKRQTRSSPSQREHAYQKYPTPIPTSTTGILSSSPPQIHVRPGLQRTQSSISE RAPLSSVPSVTMPENGETLKMGRSSNSSHYQLSANRLISRVHIEARYIAASVPLEPNK VEIKCKGWNGVKLHCQGRTWELAKDDTFTSETEFAEIMLDVQDARVRIEWPNRERKES VDSWEDESSPKGRLSAINARGQIIESSPLRRGQRLESPVSPTPARPSRNSGDLAHLFS DDIEPNVVQVYEDSPSPKRRPDIEDGESFVSTQAATSFAQPPIESPTSELSEPEDDPD EENDPIVHSFGPFGANISSRMASFTTIASPEIPRRDPTPSPKARSSSESTNEADAVPI VNHVVNQLAFSRLSSTPLSVILNNLPAEFRNPNPTGQENKGLSKDDLRRMLNATSCIG EIHREGKDAAGKALESEYYYIPDEDQDEHRRAAVVDGLRKPSLRNCRKQHKQYYWKRP RTP LY89DRAFT_70463 MASTTPSTTTQPTPLNTTLTSDPTSSTSTEPISISPTTNTEQHR TDGRRNSFGEILPSPTESWKPTFNRVQSWNREDRKREIVLQREIAAHEGYGGGFSEKK LY89DRAFT_70453 MYRERELVLRIPATLSATLSSAVNLVFVAKRSFFHEGAMKKDFV TICNRERVQEFRSSRQCTFDNLVRKRDRGALGCVAMHRVST LY89DRAFT_734043 MTKLTKLISFVSVLPLLQASIIPFQTPLRSSSDDEDDTPLPLVI WHGLGDNYAAEGLAEVASLAESTNPGTLVYIIRLDEDSSSDRSATFFGNLTLQLEKVC ADISAHPILSTAPAIDALGFSQGGQFLRGYIERCSAPPVRSLVTFGSQHNGISEFQSC GATDWLCKGAQGLLRSNTWSSFVQSRLVPAQYFRDPMQYDDYLLYSNFLADINNEREL KNQTYKENLAKLEKFVMYIFEDDTTVHPKESGWFAEVNGTEVTALKERTLYKEDWLGL KELDEKGALEFKTTDGGHMSLSEELLTDVFKKYYGPYGKKFESEVWKEDL LY89DRAFT_646176 MAPQVAFQAQAGPHPSFIHVAQQFTFQQQLQNQLVAIGTNPTRE DNFRLQGVQWINDVRTALQLPVRTYCTAVWYFHKFRLVHKDSEYQYPDAAAAALLTAC KIEDTLKKSREILAAAHNLKVSASEHLSSDDSAFDGSSKVVIGLERLMLEASGFDFRV RYPHKHLIKLAREAGFDKDVTRVAYNMMIDLYRTFAPLKQSCATMSFACLELATKVLE KQQEKIHGKHAPQYKKWSTRRAEVLETILDLLDLYTHFQKSSIVGPGHSMDKFIQLKI KVNQEAGEGQSLNRFTEFFDPPKINGFRSNIKTPKTPVTPASPAEVRVNGKDASPATL SPRSSGSGRRGIGARGQDGTVRFMLDAEQAKEEKDITSKYFTEEWEEYEVEVEEPIKP EKEDRGPRPPYRGNGRDDRPTFHQNKRPRR LY89DRAFT_70489 MAPPPSASLPLQQRLIQLAQTLQFAWFVGHLTLLFCIARYGLSY ITFNYYSRWARFSYRTAFVSAAVTYGIVVYKAFRARSRPGAKAPPSALALVADENVQY LAMALVWLFSPQYPLAMLPFGVYSVFHVATYTRTNLIPTIQPGQPIAPAAGASPSAKP ATKTSPYADMIGRFVKEYYDTSMGLVAILEILLWGRLFLSAVTFQKGSWILIAVYTAF LRARFAQSTFVQGSFKQLEARIDNLVGAQSTPPAARAVWDNVKGGARTFHDATDVGKY VNGPQAQKKAS LY89DRAFT_782265 MTSSQCDRAESSISTMCGVGEAVCPSDSPAVQQQAVTRHISTAD VECLQKLTIKGETNIAQECKGDCSSSTTRYDAAEPPPPHTPKDRSQSDNTDWLQQKLP LLKIDGSSSPATDDATDIRIAAEQSLGWIGRVKSNNTGRKIAASFSRMWPWLGIYHVS SGGLTSDLAEKLTGTANEKRPVEVSLSDGSYRQTRGKVERPDSSTQGTRFLLAPRLLG MVDADQMSIHSVQSEDSDSGDKYTVHVHMRDLNGNTWELVVLVDTGADRSFISRETLS KLGPFTERPIPESSRTTFSGPIGGNETQPRSYINANLAFQRIESGNIQVRLKIVEGTD KFQIIAGTDAIKAYKKKTGKSLLLLLEHYAASTEQPISAIGRHGIFALRSDKKSKKQE AIDEAYRAQTTQDKQNFQALKSLSSASSSSNSPRMVETSRTARTKEQTQDSASPNDDF RQSSRRDYEDFDWQSRRTGRTDSSLSQASQSSQFTQSTQFTQDSLSMRSTTSTWSSVS SVGSTVQEQKPSRPAPALMRQGLEKHFHEVADDCKLN LY89DRAFT_718744 MSGYTKLAKFMTQKHHPILRKFQHLATRDLLYLQAELCELDFKH DTIAKKDALETDERQYYGRDWQYLESSNQRGFGGEQWAVALATRAKLREYYAALSQYS QIASIPEPSPSERTILHDWIGSPAMGGGCGFLGRDLGGYEQLSVYEDLHQSDLAILSD AHGEDDLFTRFVTGPLLTLYHWILKRRRNPLAIDPENPPVGDNRSNLHHYDDRRIEMV TNILGTVFSSFAPLLSIVVLSFVSNAKARLGLVCAFTILFTCCLAVATKARRVEIFAA SAAFASVQVVFIGSSNSNSIVL LY89DRAFT_70599 MWKDRLLRFFGRNPKKHKGVSGYIVAVEIFKTPSDELHPLSLKA AYVPTYKRHRMSQALAQKMDLNIDKDGMAEALWKEQTANSKSFVCKSRFIIIPGLPWD VVFGDYDSGEMFRGWSCPFQGATKHDFGDTLELLNDPAPQANPWAKFTQRTMSWASFD RIKDTSRRSSFPLRTSRRTPTMRSLLAKNSPNPGNVAIATNGPDPRVALVSSQNRAQH ISREGGYRPGDQLQIEETSLKVVLSNVTEEAKTPPEDTNNPNSIMREVAYRSLKDGPP PHLSDSTERKQGSSLEENFPINSDLAPVAFDTLSGGTEKFQNGSIEQQNSQKLIKMLT SEAERREATTPQVVLEPTSKVNVAEHVKESRKESANVNRKRIAVAMLEAREQAKIHLE QMRDVKAGSSTGDRFSSSELSLMGNNIWDSPKTITRHTVSSDGSSVPPTLRAAGPEKA SRVGLENLTLSDVVEHVGTQFSETAEIPPTHSELASYKVKQFLEQDAEENERATHSAD PGNGSAHTSTHEAPRPRRIKNQRNLPKIRRAKAKQPTAATNLDVSAPHSDDYWTWDDT VKQHFHVDSDTQSIVWYEDSESDSEGSLKSNRSITPASSPVDVPTTHQNF LY89DRAFT_669485 MAKPQLWLLIVSGLEAETYREDEESISRIDNKRIIIPYLWLRVG LFLHGQSLKAIERTKKCLSNEKLHQRERLAQGMEKKCGVEKIRTSLSHEYNNRGPPKI LADFVGAELYVSMVAMDMSKIRARLGKTKIGEKISGLKMYNVASERDLDHRDTCSAFL HDRDRPRRVRNYIHLLIQPLPVPQTSINNTNTTIMVRPSRRAVWVASTMRSAGLIMQD SKYRAAQEMKKRDHFKIEVCFSYNLREARESDTFKREIAGETKGERRLREAALWDIED EDSDDGGDYDEIVELSGEDCYAGSLMLHDLCDEIDAEGLDRVFHVRQVIGPSWVTLEA SMSAGTLPELFQWFGSLIGYDYKDCDFLNGTDSIIYGKKEEFKHLHWIDLWRLADRLI SSKICNLAARMIAGATWVIDEKEDSDDEGTPGYWKYTRPTPHGPYFEHRPFEKDHNRE EGEDDGDHEDGANDHDGMEYEEEEDGDHEDEANDQEGLEYEEKEKEDEDEDGENGQDG MECEEEEEDEDEEMSDCNDEEDEDESLWSEGSWSDPVEFRRTVWNEYTFINAGVILDV WRATDFAEDVKYDLSAGQINWENKKLLSFLLDCVIYKDVKTFNGLKHPSGVVMGPEIM ALLKNGSELAAELGVRCYRAGMNPMDETCPWKEDNINHYLEVIQHYD LY89DRAFT_748262 MAGSNVIGRVSKEVHEKLPTSGVITVDCSEEDEPTRVLHLPEVL ACAVSSRFRDICKSTKKRCFQAEELLGQSVEKFRKIFDYNSIYDLLEVFSRWLYGIDF SEAKTACYNLWFFGQKIGSPFFQNDAIRQLCSTVKVEKSELSDMFEDCYNMGDLAQIW DRSDFAPDNELEYDQDTEVHWDNKQMLKFVLDVHAFVSLQDENVVRMVFLAGGRCTYH LTQIFVEAVKAGGFDGAPWEERNIHKYLLEETLADEGDAEEDDDDSHDEEEHEDDDST DSDSIPKPVLKLSLKRKSPTED LY89DRAFT_685026 MARPIHGSEDELTFWARVMNKDTLQISCRCEPKEAINLDEDVSI DVDPDLVYVHRILAIQLSHHFKRCVGDFPDENEDIEPFEISEKTEPNFAQWARNAQLR HLMTCFGAWVYARTLPHETTQLVDLWRFGQIIGSPSFMNAVIIQLSANIEVYFSSGDT ISKVITADNVRRCWETSNFVRENKEAVCMEVNWDNKKMLKFFIDVFAHKALHVKNFFD ENEDLKLLVTGRDQLALQVLRAIGARGQLDEAPWQYIGIKQYLVNEDMSESRFESDCR WETDAGN LY89DRAFT_734052 MSEDEIDIIDLEEFEEEFEEDIDPSLLADMANFPGKARNEVIAA RRLWATNTIEIYNKNEDDPYTRRVFIARDVICSLSGRFRKLSPTSRSWPIIEWKMAVD QQWRKNFNPWAFIQDIMKYLHTFAPIETEEELFEFMCGEWTLGAAFEAPKYQNYAMKR AMSLHTAEFQVRARVYINSNEKNISIWDVVQLRRFEPTYAKEGDLNKYKLATYILDSL VWDTFAGGTLWLNMVKDGGFLAEIVATAHFEAAKKPAPRFPPWHIFNRHKYLLNEDPI TPPKRAGDDMVSESGKRARYM LY89DRAFT_697300 MADILREAPLGQIIRWATNNRVLKYPEEMDDFELPATYNAVLNS TSSEKKHTFPIASRTQSAATAPASRVRTRQSSQSSISETNDNSEDLEKQETVEPNPYD KDVEQLELSRTKSRLETTPYTEERLEVEAVLALERTQTKPIVPMKTADGNVLVEWYTT DDPANPQNWSQKKKFFVSLIICLYTFVVYTGSAIYTSSELGVIEKWGLRPVDASLPLS LYVLAYGMGPLLWAPLSEIPSIGRSPIYAATMAMFTIISLPTALVDNFAGLLVLRFLQ GFFGSPCLATGAATMQDMYSLLYLPYALVAWVSAAYCGPALGPLLSGFAVTAKGWRWS LWEILWAAGPIFLLMFLLLPETSTPNILLRRAARLRKLTGDNRILAQSEIDQKNMKPS KILIDAIVKPIEITIKDPAIAFVNVYTAIIYGIYYSFFEVFPLVYPVYYGFSLGMIGV VFTCILVACLIGIAIYCSYLHLILIPDILKNGLRAQESRLVPALFACFGPTIGLFLFG WTARPDIHWIAPTIGITIYGASVFVVMQCIFVYVPLSYPQYAASLFAGNDFLRSAFAC GSILFGRPLFINLGVGKGVSVLGGLSVIGIIGMYLLWIFGAKLRARSKFAI LY89DRAFT_782270 MEKARIDNLALWAERLSFTPAITQDRKTRLPTFSNLPREMKELI WELTIEPRVISIYAFDESRGKFGGNAPIPSTLSVSREWRRYFMTLHPLCFGSNNHAPK IRFNGAVDSIFINEERCLFNASYFFYFCMSKKEAATVRYLVFDEHFKTGNGWETCIQE EVIANLTALEHLTVIGDTWCKKLTDEKSDEWEVHDGCRKCEITDGSWMEPTDTDDCIP FKFLVGAWKLFRTLPREKLRFGYAGIPDEHYINPEGRWEY LY89DRAFT_646183 MEFPQRKIYKIVERLCPDVQYWANSPWGGAKEANDPTIGDIHQW DGTFSRSYQDYKHLSGRFVSEFGMHGYPDMRTVNEFVPNPQDRHPQSRAIDSHKGHLA ETRIARYLAENFRYSNELEKFANVSQLMQSEAYGYACRDWKRKFGGKGKESCAGLIIW QLNDVYPCTSWVFYTIKKSFAPISIGIERTPWSRWIDDDHPRMTEIPSFETFAHNTTP FEKKFTLSLSAYDMYKHEYITLPPDHAAQEVTLEPGQNTELGSLAMLKSVGEESLIIL AASLVNDKREVEARIVNWPEPFRYLSWHEDTRVSVAVREQGER LY89DRAFT_718750 MDLPPELYGEYSQPSPKYYWNPSEYDSPENFLCCPHARSREGWL DVETLRSLRLTSKAFNQAATSILFRSVRILCRPGLENEVTGFDSMVESLLVPRATFEP SVKSLRIFLRNHHVQRGFLHDTSWNFVDDKNAMIAFIDKMPRVTKNLSQLESLQIFFP TWSSKYSDMDDGTVQLDLDLLSHFRATISTALSATPLSNLTELRLSLPCTHDFVEVST TVPDSLLARLKALFLSVTDATGPGGRKEYLYWADETTDGDDGFPHSNLQQQYPNTGHM QGIFAIVSRCHNLETLGLQGTQLLDGDLLEWSPASSGLQSLFLERVKFSSSNLIKLFS PNKSLEISKSRLMRIWLEVVDLTADFWEEVFSHLLLCPKLEFVHLDEVSYARGSENFD LKLWFGRPWEDSTELMSTREEDEEAMLAVRDYLVGKAGGSEAYSEKFGERYGLQPDQ LY89DRAFT_70702 MPVNNSVKKGLELIEKDTSKVLGLTVGKNVVTPGMYIPKAEAQS PPELPFAAPSPSATYLVIGLDIDAPFPAFGPLGPILHWIQPGLKASPSTSTLTSNEPF VANYIGPAPPPFGGAHRYIFFLYEQPAGFDGKQLAPPGGKKLGNGSRMRFDLDAFEKK EKLGPILAVNYFKSN LY89DRAFT_685031 MPRDVPAFRTNLRDDQGKTVLGNADNFWIWTKEPGFDLTHPSTP ESKPVEPRIKMKCEMTPFTIDPSWVQAGRVESLL LY89DRAFT_585025 MVAFSSLFFGLAAVAGVFAAPVEEASPVGEAPSTPTGTGTNNGF YYSFWTDGGGSVTYNNDAGGTYDVKWSGSGGNFVAGKGWNPGAAKTVVYNGTWTTPGN AYLSLYGWTTDPLVEYYITEDFGTFNPSSGATKKGTVTSDGGTYDIYLNHRVNEPSIK GTSTFDQYWSVRQTKRVGGTITTGNHFDAWAKAGLKMGAFDYMILATEGYHSSGSASI TVSEAGGNTTSS LY89DRAFT_718753 MLRVLAKSRTQSQVFRGLSAAKVSRTAGMAPAAKRSNSSALPAG YKEDSAKGPMLRFEESLPKLPVPTLEETAVRYLKSVHPLLSTSELESTTKAVQEFIKP GGIGSKLQEKLIARREEPKHKNWIYEWWNDAAYLSYRDPVVPYVSYFYSHRDDRRRRD PSKRAASITTAVLEFKKQVDGGTLEPEYMKKLPISMESYQWMFNCCRVPAVPADHPVK YSPEENKHFLVIRKNQFFKVMHEVDGKQLNTSELEQQFKAIYQKAEKVPAVGVLTSEN RDVWTNAREVLLRAHSSNAAALKTVESASFVVCLDDASPVTLEERAHQYWHGDGQNRW FDKPLQFIVNENGTSGFMGEHSMMDGTPTHRLNDFVGEMILHNKLDFSNPSVRSNLPD PTVVKFHVNKEVQAEIERATKDFTEVIGAHELRVQAYQGYGKSLIKKFKCSPDAYVQM IIQLAYFKMYGKNRPTYESATTRRFQQGRTETCRSVSADSVAFCKAISDHSVAPEEAT ALFRKAINSHVEYISAASDGKGVDRHLFGLKKLLGPSEEVPAIYKDPTYSYSSHWFLS TSQLSSEYFNGYGWSQVVDDGFGIAYMINENSIQFNIVSKGLGSERMSFYLNEAAGDL RDLLTPTLEAPKAKL LY89DRAFT_718754 MPPRKKTAKGSVRAPSTPVADEDAMAIDTPQPAEPAGPAKPSYD ITKDPWTDEQETSLFKGIIKWKPAGMHKHFRMIALSEHLRNHGYDPTVDQHTRIPGVW EKLRTLYSLDLIDERENFEIEDQDKYLEFKLPEEEYDEVTFMRGKRSPSEAPSSPPSL SRSPSPRGQRKRKRADTVAAKDTRASTVEDTDEPRTSPAASSPPKSTRTGRGTNRSMG RVKADSGSRHQSKDTTADTADEETGREGTEDAGEEDEQEGEAEEENEGSPSPKPSRGR TKGAASTASSAAPTRRGRRKRQ LY89DRAFT_646195 MDDNRDFGDVFAIPDLYGPSKLLGGAPENSSFLFSQLKLDDIDE NLPNVVEQEGQDDVFFSIPDLSFGLGDANTPSHSTTSGKETPQEPGNVSNDDVDIWAL MQEPQIKVANYQSWDAFEKQKTEQYNTPYITEAGPAIFDTALAGREDYLRVANTEYLV VDAKVYVKSLLALGLGRSSVFFIWDEQKQTFVSALPKIRLSGYTGESLDGLLATFMEC GNITRSLQSFVDKTYTINASPGRIALADSVSSLLATIQSSLSRSAASQNSILQLQALF QPAHSILVCFRRIIMNVSVTRNDEAMLSTIFEEIQLLEHRTDSLREILLEILTRVSQP WLEFASEWLGLQREIGLPLTKEGKGKSFVKVENHQWIDEQGFEMHEPDYILDYDKVPS FILPDDARTMFEVGRSLRFLRDHHATHPLARADVVASAQPPSLEWKFSWQDVAQIETK ALQYEKNLLDALQHFSKDDPRPKAQHQILDIDTIKLDFFGKPEEDMQAHLLASIDTFD QPLNDHRPADPLSAGLNRYLFQQDGPHSESASVFAPPIALVPILSFNPIIVTQARIVN GTCMRLFFDSHKLREHLAVQRSFHLLGNGVFSSRLSHALFDPELESAERHRGVARSGG IMGLRLGGRDTWPPASSELRLSLMGVLTESYETKQPLNESHRGTYLDDTSLPGDLSFA VRDMSEEEIEKCMNPDSIEALDFLRVSYKPPAPLDAIITPIILFKYDQLFKLLLRVIR MLYVVSALFRDATDRTSYWQGIDHVAQRFRIEAHHFISSVSGYFFDTGIEATWRIFER KLDQIEERINTDADNLTLGQNEGLDKLRDYHERVLDRIMFALLLRKRQQPVMKLLEEI FALILQFAKHSRERALGLKRKVGADDEVREMYLRFRKKVSVFITVCRGLSEKKGYGEK KVVDSRTSEGGLFDGDDLAEENTVVHLLARLEMSNYYSKSVDV LY89DRAFT_734063 MRRTLTRLAALKPGRYLEPGTPTGLTGLLTHNSPRSALLYLYSS TLEKLESFPETSLYRQSTGALTTHRLSIVEGVVPEGYKAWAEKAKRVMSEHPEVFNTP VGGVDHVGDEGEGKLTRVVVDGRVFVTRKQERVVDEVADEWDGEEDKGPELEGPRTRE ERKSLVLLAKERPGVDSKTVELDPEPKLTVEQIEEIENKIGAGLIEEVIQVAEGELKL VDVMLKAKVWEDLEEKPVEGQWTYFMRDTATPTTQQPPQK LY89DRAFT_734064 MYEDDEFARSLRPAQDAVDRESRIFTAEDSEMMNMIFQYLDNDG DSKQIETKATKAWEPPRLDFDPALVGDFQRFADYNGSSQESSPSPSVSLFSEVSGMTT PRTLSNRSSRSPSGMRHQDDFRMTTKPADKLTVVDRPVRLTPSPPTAAQNPPTFENRE VHDEASLPQQSTSPVAPQAANSSVRRHELRDPQEKRQNSYNEGHWPSMATIASKYERK GSRKDAYRHKMLPAIPPPMASGARIDELEELAQAVQPSQRQIYLPSAYVPQESKRSQD SSRTAHGPSRSPPLESTRAQSQRSSHEVSPSPYQPVYSPENSSARTYESRSSQNTFQT YRNPLPALPESGPQNVEPPYSPTLNRPQPPPTDPSLRRYESFKMAGASAGRYELKDPH EAIPVDEARNKSLDNLVGPKPSLRSRFSFPKPTRATITSFVDILPQAPPSPAVTPPGE SGLFPIQLRIPTPDFSLPPRPPKKELPVEPLPSVLSIGQKDASTGISTFVSDATPSRS SSGNSTRMLTPSLTIQIPESTRPAPQKVDSERPGLSEALNKFKQDSMLARPRPKPQRM DAQDNVLVTMPMWNPPAVPDKSKIARTSDSILARPRPKAQPKFELADTNGKADDWRAS APAPSRPEILNVSAPEAQPTPYRPASVPALQANTKETFEEARPKPKKITFDESSISPQ GYPLESAAPMIPAPNFSRTAHTYAFHSPTAHELQHAASQFEVQAPIIPRPLNIAPKPR DFDRESAISKAKLAQKAASQAKWFHSGFCASSTGLQMTMSRRVATFSKLEWAVESSDS KRGIRCFTQTNTLSRRTDFFDEKGERLFCFQRKTGSTRVGETGDGVGLFSVKNSSFGL SPYWVVELGAGEKLMDGERRWVARGNESLTVVEVSWGGFEVGRISVKAQLGKHTYTVD VSAEMNYAIMAALVTVFDDWRMDHAC LY89DRAFT_685035 MAWRSPRYTRRAIRGFTFLSIVLFLLHSFGLLDFAARRYDTWPW TPCSASSLFAQSCTASRATIAQDIQIVVKTGGSEPQSRLRYQLVTILSQIPRENVLIF SDLEEEVDSYSVHDVYADLSEQERRSYPEFALYDQLQEYKEQGKDTRELQGGWDLAKY MNLAMKRKIWKMQREIGGEHRAKWFVFIDTDTFVEWDNLLELLEHHDPANKIYIGSPV WLPGLQFAHGGSAYILSYGALKALNSPYSHDQEEPLYSQYGLNVTELCCGDEALARVL KPKGVKLKGYWPMFNGETPATVPFGRDLWCEPVISLHHIAGEDMQSLWQWVEDWKVKT MNMHPLLFKDLFDYIAPQITSILEDWDNTDDSMKIYPTKKASHTTFDDCKGACEADKL CFQFVYNGTTCALSHHIRLGRARSSKGDGYGAQRYISGWNVERVRDWTMKTDCASAHW VRSNP LY89DRAFT_685036 MFNNNTYITTGNQEYPYRPSLPSNLNFDLNFASEFQAPPDWLQP PPAWTPNRTPFTDADSLSGSGGAGVSYDSNWNPFDCSFSSINSTVNNGAFVSRNPFTP RISNPTHLQTGYTIPKDSSYVSLSSISPSTDIESPHFDYDFGRQDSTRNSVTRQTAHQ SRTSFTSPLPSPGLAPQPQLQPRTRQPAWGHPRSRGTSSSTSSHSHSHSRRKSVDHKP RLRSTSSLSGTSTIHPKPENATKSNHNQIEKQYRNRLNSQFEVLLSALPKEENGDRDV EDRKVSKSEVLDLAQKHIRRLEREGEELEEENERLREDVEEYNRAWVEGGGVVMP LY89DRAFT_71254 MRLLVPACSDPILCTIYSGQVLSFPGRILPSGIEGIGVRLPNNG SLSAALSLTRKDLTRCSLLLQAASKLSDSIRNGNSLNQQSPDPGYCPETKLRALYSSH IPAGIYRETHPLLSISSQNWNSEELETDSDRTWFVSAMMLLPIAYGCVHLGTLNFVFP TSIERLLWKISCIILISCGASIGVYYFMVYATGLLSKLWRRFGFGRNKQIDQDTLTNA HNTIDNPLRFVKRQVYYWLTDDLLGRSQYAIEAMGFFEAMLFCIVCVLYCSARCYVVL ESFISLRRVPIGVYQTPAGNFLNYIPHL LY89DRAFT_550286 VNLTALRTDIAPAWVSSPGGRGTWEILYSCLFTLLLCVYTAIHM NVPPAGESKFAFWLRKTKWVAIAVFAPEIVVVNAF LY89DRAFT_707421 MNISTKLATRYHAPDSGVFLLLPSSWVPYAELMRLDRPSGYYAF YWHYAIGLAFGACIAPQLVSPTALVAFTGYLALWVVILRGAVCTWNDNLDQEFDRKVI RTRFRPIARGAVSTAQGHVFTLIQTVVGAVMLMPLPWAVPAYAAIMMAILTIYPLGKR VTDFPQVILGIGFAIPIFMCCAALDTDPLLQPELFGEPEAVAMKWGGACLYCASVLWT IIFDTVYAHQDIKDDVNAGVRSLAVRLGDHTKPGLGFLGAIQISLLVGVGRLCNFSVF YFMVSCGGAASALIAMLWFGPGSRFVRASVVVGLLGHYAFRWTSK LY89DRAFT_707422 MDSYLLSLRIFGEMTTLHMGSHLWVVINSDRVASEIISKRATIT SERAHMPISSDLISNGKRSVLQRSATWSEGRRLMRPLLTGPLAKTFVKWQDLESAQLL LSCLQSPEKWYLHHSRYATAATYGVVAGHRLNKSEEELAEYRKVTKEFLASLFNTTVD FFPILSRIPRPLQLWRQQWEAVGLSHRRIFKKCWGPIKEKVEEGLAGDSWVRDVLLKS DKGREEEALYLTNSVISAGGDNIRMTLNTFAMVSIHYPQAFQKCRQEIDEVCGGRGVR LRLPGLEDISSMPCLCAFIKELLRWRPVVPLIPPHQLTEELKYAGFVFSVQTNFVINT VAICADCEQPEKFLPERWLDGSGNEAILTHRFWGFGGGRRVCIGYKAAQPALFLALSR LICCFDFELNGNYDSKRLNHGSTTEPFPVRVSKRSSTHEHLIWKEGAARGLC LY89DRAFT_707423 MYDNHGSRLMPTILHDEAKHNPQRTFAVVAKSDNLEEGFYQVTF EQVARAVNHPLRHTTLSYIGVPDLRYNILFYAAVQCPYKVFLPSPRNPTAVNVSLLEQ TQCLRLVHSSEVGSVAKTLQAAVYGLQCEQLPSLDELLVATPAEYPYNLKYEDIYREP ILILHFSGSTGQPKPVINTHGTLTTYDVRDWPSVPGRINHDGLSTLRFSQPDSRIYDV FPPFHIAGFMTKVMVPLYNLTAPIPGPPLRPPSGSLAAEMIRLHKPRGAVIPPSIVEQ LYHEHGGADLFKQLDVLLYAGGPLPQVVGDEISKHTTLCQFYGSTEMLQPAGESMFEL VVFADKDLERTSSLYHNYPDVREWRTKDLFKPHPSKPDLWKFHARRDDILVFSSGEKL NPIPMESSITAVLGVRGALVVGQGYSHAALLVELGQDVASSSDLPQDLWPAVEKANTL LPGHGRIAKSMIIITDASKPFVRAGKGTVVRRLTEELFAEEIQKVYGSASTEPLRVPI VLKPPFLESDIKELVRSILAHVSIDDQMKDEDNLYAHGMDSVRTVEAVGLLKSCLLQS KPEADLDWLSAEILYRNPTIKRLISLLFDFLENETTPRKRDRIAEMQAKVAEYTVDLP QTLESLVTKEHTSRFSVAVTGTTGYLGSRLLIELIRNPRISRLYCLNRSTRAQTIFEN HIPGKSTKLAFLHVDLASSYLSLSNEDYTRLLQDCHIILHNAWRVDFNLALDSFEDNL QSVKHLIDLSAASALRSPQQSVPEDIVENLGATMDIGYAESKHVAEHVLHAASRVGIP ATIIRMGQISPSSAPEEEGTWPDGDLVPIFLRTCKASGSLASDFVDTVDWVPVDQAAI VVSEIVGHEIDSGSCVRFYNVVHPRPLLWSAVMDTVRIWCGEGTKTVSLQQWLQRIRE LDTRDPRTLDRFPALRMLRIFDIMASRGPTHEYAMKNLIATSKSMSYFPVVDAALIET WLQKLSL LY89DRAFT_748303 MYCIITWLAKATLLLQLQRTFAPIKSGAVYIAIQVLLWSNLAFY LASFFVLVFECVPQERIWNPLITTGHCIKTGSLLIAMGVINVVSDLMILILPIWAIFH LHMSMKLKLGISAIFATGLLAFACSICRLVYTVPPLHSDDASYLFAPVGLWS LY89DRAFT_707424 MARKRFHQLKKVKFRATWNKYNLYNLSRLSMPRTQSLTFYQQKW KAKSITRAYHGEQIREKQWQRMFTPRLNAVVPMDHRYLAEFDGSELAAGRGSGLEKPF DAEKTKRKQAIPYMHQTYAPIERRLDMAIWRALFASSARQARQFVVHGKVKVNGEKMI YPGYLLNPGDLFQVEPEAVLFATGAPKDGPQLRVGRRVLRVRRNRNVGMEKLRAQMAE RKAAKASDKASNKDSSKALISSKTPKTLLEDHFEYRKTREADLSTMIQRAEWKQHAKS KMLSAKRKQQIRAFIRHAKSARSKVFRKPFQELEKERLAFVEEFRGSVGSNTLDKAWR QAAKKRAAENSVETEAGAAEGASEEADAPKRTKTPYGERVAEEKLVALEKRIAAMRIQ GDGVDPSKPYATPWRPRDFMSAFAFIPRYLEVSPKICSAVYLRHPVARPGLTEVPTPF PGEIQQLAFNWYLRRR LY89DRAFT_585366 MSTPKIEATEPDPAAASIPNDETVNKENGDASAPTDVDVVAEET KAEVKEENGDAAEKKDVKSEDTEEANEEGKPKLRFNENGILKTSAKPIEGVNNSKYDA SVLSESEDPVKIRAQVEFYFGDSNLPTDNYLWDLTGGSANKPVDLAKILGFGRMKRFT SREIVLAALKESKSLQVTGEKGEEQVNRRIAYDPTKSSRAESRSIYAKGFGDEEPSSQ FDIEAFFAPYGPTNAVRLRRTDDRLFKGSVFVEFQDDETAKKFLDLDPKPLWQGKHTL LIQSKREYVEGKAQDIRDGKIDPQEARIFGNRGRGRGRGGRGRGRGGDFKRGDRDRNG GRERRDRDFTDRDPDDWKKRREEDRASGFKDDRKNGRNARDHHKKGGRGGRRDDRGHR DNDRNRERKDRDE LY89DRAFT_685041 MQASLASTRTRSQSSSSTADVIFEDAERIPNKKHPLSQYASPTP EAALKSAKLAALHARLSLPQKLPLQTMARTLVDPSADSNPNFNNAALAQLGGSIISYH VAEYLLCTYPRLPMTVLFSAAYAYNGPKTLELIARELGVETAAAPGSEVDPGLLQFSK MASGTKIDEFGASTRPDSKSFYRRGMSSRNVYDDEFGDTIPREREPYEPLSTEQAYAG FVKAVVGSLFLHAGREQAKRFVKRHILSRHLDISSLFQFKTPERELTRLCQREEFEHP VARILSETGRHSRSPVYVVGIYSGNDKLGEGAGPSLLEAKIRASVAALKAWYLYSPGN NVRVPSEMESKGAREWEPVHIDLGEVIH LY89DRAFT_71708 MGGGGKIPYPKHVWSPAGGWYSQPSNWKANTAVFGVVIVGITAM MWKLSADREVRTRFPEEGRFFPSRYWSKQIIEHEKSAKGGS LY89DRAFT_718765 MGDATTPPPESIDSEKEILPQNIKPIHYDLRFEPHLEEATEYDG SAVIDLEVLEDTSSITLNALYLNVITLEVVDKNGVRIATSPVQFDTAKQRMIIELGET VKAGSKLYVKTVFKGSMLHQARGFHRAPIQGPNGKTTWMGATHMEPVDARKTFPCFDE PALKATFTVTIVADKHMTCLGNMDVASEVEVLSNGKAKKAVTFNKTPPMSTYIVAFAV GDLQMIETDNFHVPVRVYAASDKNIQHGKYALDIASRALSAFEKIFDIEFPLPKMDLI AVPGGQGAMENWGLITFGESLLLVDEKETSAEAYRRAGSVIVHELAHQWFGNIVTMDF WEGLWLNESFADWAELYAWETLEPSWQMWQDYAISGLQAGLALDSNKASHPIEVPVSK ASAINQIFDDISYNKGCAVIRMIASYLGTEKFIKGVRAYLKKHAYGNTKTIDLWDALS HASGEDVGSLMETWTKFIGYPFVKVTEDEDKITVTQHRFLQDGSCSPEDDKTLYPLSL KLRSKGGIDDGLSLYERTRTIDISPDFIKLNANHTGFFRVCYTPERLEILGKNVKEGL LSADDRIGLLSDALAMASSGYSKTSTLLNFLKIFDEEENYFVWKQALKTLDAIQEAWR FEEKSVSEGLKSFKRDLIRKCAKSNGWDFKKGDDIVETMLKATMFAQSGSELEVQKVA KSMFDAFLAGDDEAININIRAAVFAIALGHGGVAEYDAILEALKSSSSVFKRDTCIKA LGASEDPALIQRTLNLSLSDEMIAHNDTRNIIRSLTKHKPGIEALWAWMKGDYGKIEK GLGHGLGTFARLVQIVTASLATREQYEDVKGFFEDKNTESYDKYLAQCLNGILAKATW VERDGEDMKGWLKKNNYA LY89DRAFT_71765 MAFSSSEYREELVPGTEILLNTDLNSTRSGDAELVLVPEPSDQP DDPLNWSPTWKTIVIVNQAFFVFISILTPLAIAPLTPIFIEEFHKTLPQVNMLFGAAA MALGYANFIIVPFSNIFGRRPSILICGLICILANIWQARVTSYNSFIGARVIGGLGAA ANESIMPMVITDVMFLHQRGLWMGLYFWAYFIGVNIGPIISGNIAAHISWRWFFWVCT IFQGVSLIMMIFMFPETRYIRALPSDHTSQTSAPATLSSTSAKNDEINITTQTTTKQE PDNHNPKRSIDHIYSTSSRPIGRPSKAQFSFIPTLHWEGSLLLFRDFLAPLQIFTFPI VFWATMSFGFATNCLLALNLTQSQLFAAPPYLFNPGKVGYVNFAFVVGGIIGLVTAGP FSDWVALWGAKRNGGVREPEVRLWALVPYIAICLVGMTVTAVGYQRHWPWEAVVVVGY GFVGIEVIAIPAIVISYAVDCYKHIPGQIMVSATIIKNTFGFGMIFYFNDWAVSAGFI PPVLTIMALAVGITLIGMVLFLAMGKRFRRATMGSKVHEL LY89DRAFT_669511 MPGCYKETVCQLCGVSFAITRFRRAEEDSSKAWAYYGSDYSDSP ICGVGSGCTALPRDDSENGEHLAGPGCVCTSGYSGYRISVEEMRGCRSVVVILKKEED WEEESDDQEFEKEGGYFLTGVGDGSPDEAPLEGLTPVRHGVEGVWIWNTVEDVDEEMG LPMHPSCFEIWKRISIEKTGKVDLHDIWAWRQLEGSYEAFFERFPRHPAVRQGKDQWW EHKPGAEWLAANPIDIPGLNLLINSCYREKYNVNRSVHPVWRRPFPTTYSSSTTPNDP FWKLPQELRFAILEPLSGRDIANLRLVTPAYRDLPVILFRAVILSDFPWLWEIRELEV GTTMWYTLYMSIKFGFGQLMGVRNRRRVWQDVEEIWRRVERYKREGRLEVGLLKRWMH VVKTRRERLKKS LY89DRAFT_669512 MDDKVVPTVSRMDLTAIINRLEAATSRLEDMAASAIDISGAPAP APTGPLPPPPVTARAAPEQPKPVQEALPESVEDFDAFITGPVKKYVNLSDELGGLVAE QASAVLRAFAEQRKFILITTKAKKPDMNGPAFMQLLKPFNQLISTVTELREGNRGSPV FNQLSAVSESISVLAWVTADSKPHKVVEESLGSAQYWGNRVLKEYKEKDPKQVEWIQA YYQVFKELIEYVKQTFPSGMAWNPKGVTAEEAMKAVEQNQPSPPAPHPKAVAGAPPPP PPPGPPPPPMMIDDKPAPVADAGGLGAVFSELNKGSDVTKGLRKVTADQMTHKNPSLR AGATVPTRSDSASSISSNRGKSPAPGKKPKPESMRTKKPPVKKLDGNKWLIENYDNET QPVEIEASISHSILISRCNKTTIIIKGKANAISIDNSPRLSLLVESLVSSIDVIKSSN FALQILGVVPTILMDSVDGAQVYLSKDSLNTEVFSSKCSSINLLLPEGDDGDYKEEAL PEQIRTFISKDGKAVSEIVEHAG LY89DRAFT_543090 MEDEDGVPSSQGIDFCTMGMFIIDEIHYLPPKPPVFDVLGGGGA YSALGARLFSPPPLSQTISWIVDRGSDFPPHLTTQINSWSTSCLIRDDPTRLTTRGWN GYDDLENRAFKYTTPKLRIDEHALTPSLLFSKSFHMVCSPTRCISLVTGILRHRKAIN PSAPKPLFIWEPVPDLCLPTELLNCTNALPYVDICSPNANELAGFMGDDEIDPSTGLI STTFVERACEQLLGSMPLQSFALVIRCGAQGCYVAKNGGRSRRASIVKRKRPRNYARG GLTLDMDMESLFAGLLNEDGSFEREEVPIDPGIELWLPAFFSKGEEERVVDPTGGGNG FLGGLAVALARGRGVEEAAVWGSVAASFMIEQVGVPVLGVDEE LY89DRAFT_707431 MDGLQLRDEAVRDRVRAAQEFLDPSDPATRSYRSDIILMLQKFQ RRLVVSIDEVRARNAELAEGLLQQPFDFAQAFDRALKEVIQTLPNATSKQTSEDTMYY CAFSGSFGQYACNPRTLSSSYLNRMVSLEGIVTRCSLVRPKVVKSVHYNETKKIFHFR EYKDQTMTASGASTSSVYPQEDDEGNPLVTEYGYCTYRDHQTISIQEMPERAPAGQLP RGVDVILDDDLVDKCKPGDRVQLVGIYRSLGNRNAGHNTALFKTVILANNVVMLSSKS GGGIAAATITDTDIRNINKISKKKNLFELLSQSLAPSIFGHDHIKKAILLMLLSGQEK NLENGTHLRGDINILMVGDPSTAKSQLLRFVLNTAPLAIATTGRGSSGVGLTAAVTSD KETGERRLEAGAMVLADRGVVCIDEFDKMSDIDRVAIHEVMEQQTVTIAKAGIHTSLN ARCSVIAAANPIFGQYDTHKDPHKNIALPDSLLSRFDLLFIVTDDIDDTRDREISEHV LRMHRYRQPGTEEGAPVREQAQQTLGVGVEQEADANRPTEMYEKYNQMLHAGVTVTSG RGANKKVEVLSIPFVKKYIQYAKSRIKPVLTQEASDRISEIYVGLRNDDMQGNQRKTS PMTVRTLETIIRLATAHAKARLSNRVQEVDAIAAESILRFSLFKEVVEDEKKSKRRKT RPLEDVSSDDDSDSDSDSPPPTTRAGTSKSRTPGTTVRTRGVARPRAPNTSSTPAPGD GSEEDDLYTATPQRSRTNGTTATAPTQSQTSFASSLPASQIPSRSQSQEDSELATEAA ALSIAPAITPARLQVFRTTLGQLMQTALFQDESAIVDDIIAAVNRSIGGAAGGAFERA EAVAALKKMDDANNIMYPDGDMVYKI LY89DRAFT_669515 MATPHPAAASYAAADGVTDLQTGMRMVRNVDFMGAVITVMVGDI TAVPGIIVTTPSTDFRVNGTGVDARVHAVAGWKLRLELTLNWTGGEIGQAYQTGGWDM EPACPLILNAVGPDYGVAIQANDENVTAIMEEDLRRTYVAALQTLLDNGNEDWKVIVF PLISVGEYQFPPEKACEIALKTVRDWLRRPDSNNISRVIFVVPGDDASDSENIYADYV PSFFAVAPRAAGATPAAETPAVAPRAVARRTIARPAAARPTVIRSTVTPSVVETRTPC NAPLRTGARCPVTVTRTADGLPRWCPRHERAASPPPRTTALSEASRSPTPEPVAPATR SRAPSPTDGVPGVCGAIIPMDLPCAVVVIRNNGLPAFCPLHEEGAITQPSSPGNDQVV NTASPSDNGQIVSTPASPNDNQVIRSPSPSDNKQEPASDNGQVIQSPSPSNNGQAPAS LDNGQHLYLHLTLLLHLDHHHPHPRLHLLPPPPPPASPKEVEGEVDSAAGPRERRERR LY89DRAFT_782295 MISPWNDPRNRLLELCCIEERLRAAYDCLCWIMWASDDEIDVSI ALNELAGKRKREDDASNTDNESLPPAKISKASRESSPLQCPETPRLTPRETKFPESRQ QLTRESLQIVRDRLSSLTRKNNEIKDSIQSLRTAVIDDLFSHMKIRQIAIGQLGRDDL DDDMRQLCTGALESRRDEIHTKQRELTTIEELLGGHKAVLEFADSAYEELEQNIQVLD RALSLENSATVLSLFDFPHLSALAKLTGGTTPFWSPPPEPAKARRRTHSFPQFAKLPP EIRLQIWQSALPNPRIITHNPRHNRNLALLAVNRESRAAILTKLTRLLSPTFETSKTD TKVIYVDLKNDTIIRDLANPEGEDAFDLEPTDFNLVCYRLFIGLAKVKHLALAFDILH SNGGQLFAPLQSCCPELENLILFPSSMVEGGPLKLPKQSSHHRLKFIDIDSNVIDYVF QRREQLSDRNLKRKALRGIAILITLYDHAQQYRAVFPEYIEQYGRSWTPSIRVCLVVK WNEKYRAWQTRYLEGDKYSKGYRGKDGKLYRGFVESDMMCGEDGEVLSRYDGIAEMFG RLEI LY89DRAFT_71969 MTSTNPTQNLAAVLESPGARLKVLPRPIPKPGPNELVVRNHAIA TNPVDWKIQDYSFAIKTYPTVLGSDGCGVVTEVGSSVTKFKKGDRVTGFGAVIYNDNA DHGSWQTYTVLKDIATTKIPDFMSFEEGSVFPMAMATSAVALFTILSVPLPTGSAPTA QKSGLLIWGAASSVGISAVQLARNLGFKVFATASPAHHQTIKSLGAFEVFDYRDANVV EKIVAAAKAAGTPISLGFDAVTEGKQSAEILVSSGGKRGKLCLALEWDEKEPRPDGLE ITQTGALRAGTDQAEMGKWFFNEYLEKALQDKSIVAAPEIEIVEGGLASAQKAFDKVK AGVSGKKVVVKVE LY89DRAFT_71959 MRGSPLPGFAQMFYLFQRTVDISDPFDIADSEYFYVTITNSFQW TFQTMLSSCNDRGTDEIVQFLIRMLKHHGWKGHANNGLLPLVKFLLEGRDMKLVCKVC DDKGFTLLSRLLRLLSMATGTHEPHRRISAPLAMENANDEYGRPKLSEIFAIASTLIQ NGSDLHALSMNWGLFSTPMLNIMCGSVYWWNRTPVPGLMSWLHLLKRCTIDLEEYGRM EKHIHLTLEVKRSWRGLKLNPPRRFCGIQSEKIKPERPFEARLVNFVFGPEPDDWQFW FTHDLERWFCDFWEMIEHPERGMPGSWTDFAEDKNFHSRDHRKEASSTRKKCLHEDNF NKRWCLDQ LY89DRAFT_71983 MRWRLLSGAGSTGPGRRPSSWLSSLLFQITVLAFFCTFYFFCCL AESILRHYNLRVFFDIQNLRQMAELGLIASGMGIASLGIQIGSGIIKLKQLWDDVNDA PEDIKYLLEEIETLSDLLSSTDENDNLPRSAK LY89DRAFT_685051 MAELGIIASGMGIASLGIQIGSGIIRLKQLWNDVKDTPEEIRYL IEEIEILGQVLAGVDDHSDISPSGRRCLDLCCRGAQLLAETLNDLETKVTKRRRIGSL KAVLGGGAVDKLRERLRSAQTMLMLATQISLHSLSDRRHEIQRELALATQQQVQNLTV LCSQPVTTTSFASSLSQVLVSNSEIDEEIDHGNKVQSQLNLQSRRKELKRDYRQINNT LAHQRTTIAQFRPPGWLSSYMRSFEIYSCKAPYGWDFSIRPYCIVPRGSKFFECASNG DIQGIQNLFQKGEARPFDRSEAGKSALHFAAFSQNVEVFRSLVEQGVELNSWFYELEL VLDVSGGAVPIEDTLQILDYIWEFVETEDPLVWIRRAYIPYQSRGSILWIRKKFQALG LLQSAESIVQFAIPVLQRCRDRLVENSEDYCSVVKLILGSKQIDEVICNAVDSKGQTL LSQTLWQFGQSFIQTIDSHFENTEISVTSTPLKNNRGKLEDLVQLLTLLSDLVKGGSD LNSLSRPELGTFLTPLLWIVSGSCRDRAQDLYGIPQPALAAWVQFLEDCEVDLRVYGR KEKAIHQTPDICKNWWILEDTARFYKKRQWGCIRLINFVYGPEPRDWKFWFTKELEPW FFQFWDMIDHPERAMPGAWNDFGVCGEDSMEWMEWYTWPNDWYQ LY89DRAFT_685052 MIQQILLNLFLVSLAMAEETVSTSQHGNAWKYGTGGGLLGFVIL ILDIMVFMEVLKSNRPPSHKLLWCVVVFLFPILGLVFYWLFSNREKHMGSGGYEAIP LY89DRAFT_685056 MHFTPLLLLSLLGPALATPTVYSTRDTDDNDSIDGPTTWTQSEL VEVNNAVQSCSTDCGDAAVDAVCAVALIIFDDYEGVANCFGGVKSVSC LY89DRAFT_72063 MVLSTVRSKLHSSTTTQLTTYLDASAAADAIKNHPITQSVTNGP VAENIMDQHAKTQAEFSNLAASRQTPSTPAATGQPLTHYHGFFGSLLSWENPRASGIA YASVVLFIFAARYLDIIRYTFKISYMVLGITVFAEAVGKALLSTGLTSQIRPKKYYTV SRETLNAVIGDVHELLNFFVIEAQQIIFAENLFMSFAAFLGAFLSYYLIKIVPFWGLS LISTSILFLAPLIYKTNQELIDHHVSNATKVLNEQSQQVKALASQHAATVTESTKSLV GDYSAKAQEMIGNARGRSTSPTLSAKPAKTEEIKKENVPAYKAEDFPAAPKEDFKAPP VGDVAAAMKTEDEPLIAS LY89DRAFT_734086 MRLPLELLPTLVADMVAAVGLHNTTRLRLEFGPQNTSGFAAKAR DVSRKIPSSFIPSDLRTSPPTNICLLLESLIDRESFSSNNYELAAHHDGSIYPWTDAM VGELKLGAFVLAASVKNPLVFQRMVHFFHGKPCPNEITPRYFSSPLTAASRCGNLDVV KLLLQRGADAHDFGYGMISPINVATFAGHVKIIRQLILFGSVVVAETYINCVESAIEG GKRLDAVEELLKHRHIYSKLRLKDFVEKYVFFVAARTGNVPVLKMALKLSIDVNRSES GPFPPLNALTIAAQNGRTEVIRHLLDYGLDTTHPNFHRSLVVAIWHRRTETAMFLIEH GADVNAHMIVDTNGTCKSALAAAASQENRALIGVLIRRGARLKAHGHETAQAEELCKK SHEKEVIGVTKKHELDKAEILLHFAPEQEKNVSTPGTLEEGMKQLTISP LY89DRAFT_697321 MAEDKTNPQFTLYTHHTCPAAFKVAHILSLLHLTYKPIYITITK TTKDQKEPWFLSLNPNGRLPVLIDHHHSNHIIWESASIITYLLTLYDPLFTLHPSSPF LQSEVNSWVALQVSGQGPTIGQAFWFGYWHSEILPSAYNRYVEETKRILGVLEGRLEG REWLVGNKMSVADVGFLAWYEEAFMVDIEIEREFPRCWGWIGRMKVVPEIVEGSVGRE MIAPRKLWERGVEE LY89DRAFT_685058 MAYVPIIDFSPFLDPSSTDENKKKTALEIDKACREIGFFYLSNH GVDEELRAAMLSRAKSFFENATEEEKKSISIKPAGTENGDDARGFQKVDGRGKGAHEV VDFFRPVETSTPPYETGLGQNLWPSTPNDFREVSEKYIDALFALATKVVQALALGLSV DESIFMSRIGKAFWNLRVLGYYPKPEGSVVGIGDHTDFGILTFLLTDPQKDSLLVLSK DGNWEPADPIPNCFLCNIGDMLSKWTDGIYKSTRHRVLHNSDTMRISVPFFFDPDWDA VISPVLPLDVEHREDEGILYREKFVKAIKYSVVT LY89DRAFT_585544 MAEKLPEKIEYVQTVADPLAAGEIKDDLLLEAMGYEQHMKRGFS MWSLTAFCLTGLGLLPSLGGTLWFSLGYLGLLPMTWGWLIATIFIHTMVFSLAELGSA YPTAGGLYYWTYLLAPKGIKAISCWITAWSMVISAVLGAASMFMTQAQMLESFVIMFN PDWYPTDWQTYLIYLAHIILCGLVMCAPSKTLGQISTVFAWVGTIAFFIILIVLPIKT PQHTTAKQIFTEAYNQTGWSNKGLVFLFTFLTPSWCISGYDSTVHLAEETSNAAWVVP NAMWISTLSLSILGYIFNVVLAYCSFDIDAIIGSSLGQPLGAILVSACGNGALTKVLW AATVISNFGVIFVMTTSSSRIIFAYARDGALPFQRWMSHVNRVTKTPINATVALCISV SLVGLISLGSSTALNAFFAGSSLAGAIAYLMPVLMRCINENNPDYHPGVFSMGKWSKP VRWIAVIWAIFMLPIFSFPTTPNPTAKTFKWTVPIYIGIMCIIVPWYFFRARKWFFGP AGLVEGH LY89DRAFT_616861 MNDKNVSTPSLYPTLIGRKEYDWCMSSIPQPNAGGKVYSMPRGK GLGGSSAINYLMYVRGSKKDYDGWAEITGDESWGWDGMAKYFKKHQTLDHTNLKSKDP HFHPHAELEKYHGTDGPIHTSFNDWYMPLEEDFAEAAYAVTGTKKTVKDAWSGDHLGF YSSLGAVNRSDDKGRRSYAATGYIRPNICRPNLKILTGAHVTQILLDNKTATGVEFVH QRRTYKVPGSREVILTAGVIQTPQILELSGIGDPAVLEKAGIQCKVSNSAIGANFQDH VLGGLLYDLKPGVESMDALRLEEFQKAQQDAYDKEQTGVYASPGMMMGFVSYASVATP EEVKSTVASIQKNSLAKTKFEKAQEKIIVDQLTDPTFANLQTFCIPCRLDVAKGSDQT LFFGAPPTGKQQLSLLMCLEHPLSRGTVHIESSDPMAPPRIDPGYFRNEVDAKILAAG MKWMDKVAKHPLLAKSLADRELPPQDSELDTEAKRIEYVKNHISTQYHLIGTAALGEA VDMKLRVKGVERLRVCDASIFPGHVSGNIMSTTYAVAEKAADLIKADIY LY89DRAFT_697325 MSTEVVLSIEDIQNEATKKLPRFKREFFNSGSTAQVTVHENSTA FAKYRLRPRVLKDVSKADTSTSILGGKISFPLCVSPAGLQAMAHPDGELATSRACAKR KIHMGVSSFANYSVEEIIKAGNDTGPITHVMQLYTMKDRGLQTRIIKRAEAAGCVAIF LTADSPVLGVRYNEWRNDFRTPDGLAFPMLERTSEMIMAQTHDDGFLSLTSDSHSWAD DIPWLRSQTKMEIWIKGVLTAEDVLLAREYGCDGVVVSNHGGRQLDGTPATIDALPEC VAAAEGKIRIQVDGGIRTGQDIFKAIALGAECCWIGRPAIWALAYDGQKGVKKALDIL YDEFRRCMMLTGCKNVSEISKASLGIVRADGPLARL LY89DRAFT_782305 MKVSIRENEAIPRIARMIKSMGHKIELFNAHCNGRTRVEKSEEA ALDVLIYLVLFCTDAITFFHDHTGPSALSHEDDWQELIRIYKSTTSDIDDTFQRVEKL AQLAKLNAHSSEFEMIQQFLSSPTPSSTSMAAASLQEEASLPCIILPRTFTTQCYDRG SILADIEAHFDTKSESRTFCSLALWGLGGVGKSHVALKYAKSKVDDFDAIFWIHSEGQ IALAESFSSIAVRLQLPNTNPQNHEGNRVSVLNWLQKTPCRWLLIFDNGNDENDLLEY WPVAARGYALITTRNHNLAFQPADTGIEVFPFKTAEGSAFLLHLLSLDIVDNLTEKEA KSAFDLSERLSGNALAISQMAGLIHRRSVLSYVSPDSIPQVLFSDSSTLGPSLEIYQD ELKFSEVQEVLLNLSLIRRDKSSRTFSLHRLVQTQFRFYLSAEARQQVFEDASMILNK AFPPRNAGKSQYYDRWAVCRLYLQHVLSIKDNYKKDHAGTDSLHPCPEFCWALVNCGR YMMEIASFTELEDVAAVATQAFKALDTQKQDSELSAKLSNLSGVMWAHRGQFRKSEEY LKRALAIRTEQKPDNLDEKSWAYTDLGNCVSSLNRHDEALRLHEKAEELRRLSTDQLA DRFTSLELRIEDGRLSESTAVGNQNIGRTLRFLGRLEDAHARLKLAMGQLKVSENFAM IAYTRFVIASTFRAEQKLEKAKAEYIAAQEAWLQGGKLQTHHFNSVCIYKLGCVAFEQ GKYEDAVTYLHQALVVAKLQSVAMAGIYARVLYKLSQVLRKAENNLLEADEKRYEAES ILMEQKASLGIQDDSGEEAYDSLVYILWRPQELMGITGGMEDEGREIEDPPSNCELQD KVLEAYGWATVTKLTESDDTLSIDRYSKNIVVDVLNQQRQLLLKFGRLDGRLQPLANT IITEEQDSQNTSNRDARQGPQTEETLDSWFPDAGELLGKCVTFVRQTSKFPGQLRWAI SDKKATEELLKRLSELNDYLCELLNAHQWEMLVARQVRTDYMIVQLNNKLDHLQEIVE AGLAHNLTAASRADRRPLKWGGDVRPGAHRDSEHSRHLTSLAQFKALGSALETDSLTD EYAQKLDLGESVQDITSCELSWDDIQLLPHATPISNDQRTEAWYNSNLGRDRRVWVEW KPGDGQRPNHNTHDRKIIGRFEALVALLRENQRTEQFRAVPCLGYIRTRPSREDHIVH FGLVFKNPSGVALSERPVSLFELFQDTKSRVPSLTARFALAKDIVQCVEKLHAVNWLH KGLRSDNLLLYETREGTIDLSKSYLSGFDYARPAHRKDMTERTVGNVLHDLYRHPQVQ GFIQDDSQGRRYKKRHDIYSLGILLLEITYWESIDSILGFRNLEDIRPSEVAMLRGKL LSGRYLDHVQSCMGDNMYGAIRACLQGMSAFGISDDADETDVFVGARLQAKF LY89DRAFT_646248 MAIDIQSIIPYLKRDPLYHQFKPFSASFRVESVTEEQSSNHMFE YVPVTVHDVRGLEKPMDLDVNGFCYMKQKTSVTSEMANTIATYQELYFEEIEDFLYNN FPQYSRFECMDLQVRRRHPDFPNSVGKRVEFDQPAGLPHCDFSPGGAVIQMSEAFPGQ DKHWKGKDFDLLNIWRVLKGPNDDWPLAVCHCKSVDTKKDCATNDALYLDGFGENWLM QASPNHRWYYMSGQQPEDLIVFRNTDSFGERTRCFHMAIKNSLTVCEPRESIEVRVVA FRDVM LY89DRAFT_646253 MASATKTGLEVKVIGNASNFWLWSERDGFDLTHPETSSSPLLHP RISLQTTNSPITIAPSKTALVIVDMQNFFLSRSLGRKGQGHDAEAALLQHALPAARKA GIQVIWLTWGLTDADLEVMSPTMLRIFKFDDNGSAQVQATGALSGVEQTTMRMAEDGA VRRRTEGIGFDIGPVKLANGQVVEGGRMLVRDTWNAAMPLDLNDSFKEGQASESNPDI LIHKNRMSGFWDREMKRVKVLEEKGVRTLLFVGIKTDMCVMASLLDAWHAGFDVILLK DACGTTSPRYVSDAVEFNCARIWGFVSDSKALEQGVNNMLDAV LY89DRAFT_718784 MAPTTRRTSRITPPPGPSSGHEANTIKKTRFFTAYDKEISFKSL RQIAKDESTTESTARRWLKQCENMGILAYRYTRGRSGKLGKPSKVTKAMCKKLVDPAR NPVRNQPYEAQIAYHKIPSHIDPSAQQAPGILRELGTRYDDENIVERGERKGVKFYVA VWVTWFDKAKKLEFYNDEEEYEEQPPMPTKPRRRPTTETPEEYQARLTDWEAQKPHKV DVKPQGNSMTQKYYTERLLPVYIDAIQKARIRDPGPWLFQEDGDPSYGMRKRGLAQQL KENNWIVNLKHPA LY89DRAFT_585569 MPQATATSDYYAILEIDQNATPDEITKSYKRLALLRHPDRNTTH NSTKAFQLLGEAYETLKDESKRRDYDSTYAQIKTTRSSQPNTTERRRTSSTTNSNPND TKTDIARIAAIVRAKQERFAGWSQTQTIFNEKIFELKRDIIDLQSAIQGFEDTETAER AKDEGTKRWPARIFSHRSRKSVQAQEKKEQKERDRLQRLHSKTLREIELKKKWSEIRD HETLFETKRQEFYDANKADDIIKSAIEQVMRIKQQIHQQAERYEKEKAAREEAQRDRD EQFEQGQREAAREKAEREEMKMRREELAEAIEKLRVEEALKLAEENRKRNELQQKQEA KRRKKEQAAEQQRRENAEKAAEQALEDYRVGQTAV LY89DRAFT_584927 MASPHNECIADSLDDSPSDSTTIVSPTADAHTHTIIFLHGREDF SSDLAQYFFDSKSSDDRSMAEIFPSIRWVFPTAKLRFSAQRDFEFSSSSFTEALKGPE ERQELMVPGLRESIGEIVEIVKDEATLVPVGNIILGGISQGCATAVLTLLASDVELGG FIGWCSWLPFQQAIERIQKESKDTTPIFLAHSRDDETVPFAMGEGLYQTLERLGFNVT WKKYEDGGHWIHAEHGVDDMSTFLEDVMKD LY89DRAFT_685065 MPNEKAYFLTLEPTFQQLLGTDASIVLLLEYDAYPFAHEAGVFF ADTHELFITSNRFTRQDEPYIQISKVSLGDGSKKVSREEIPSLDIPLGNGGINYRDGI LFCSQGSMTKPSGLYWMSRKAPYSSKLLISDFYGRQFNSVNDVVVHSDGSIWFTDPIY GYEQGYRPKPDLPCQVYRFDPKNKSIRAIADGFGRPNGISFSPDEEIVYITDTDWIHG DGTTDGMRPSSIYAFDVTHYSGQPFLTNRRLFAMAADGIPDGIKCDMEGNVYSGCGDG INVWSPGGVLLGIIKIEGGAANFCFGKEGALYILNEHKLWKAQLSKSVRGALLEI LY89DRAFT_616883 MAPSAVETAATTIPEAVSTTPQVQTQVTLGGKIIAITGANRGIG LGIAECCLVNGAAKVYSIDITDPGDEFALSAKRFPGKIGSVQADVTKEDSVTAAVDKI IEESGALHGMVVNAGRTNHKSALDFTQAEIEALFNVNLFGAFYCARVAARAFIKHNIK GAIVFTASMASYQPNKRVPSTPYGDSKAGVRNMTHTLAMEWAKYGIRVNSVSPGLVNT AMTYWVPQQPDWEQQLKYYGGFPRLAEVQELGGAYVYLLSDAASYTTSIDIPVNGVIG IC LY89DRAFT_646265 MASSATPPVLSRDAALEHQPKKGTYQIVLANPYLFGVALFSSLG GFLFGYDQGVVSGILTMESFGVAFPRTYLDSGFKGWFVSTLLLAAWFGSLLNGPIADR VGRRKSIMLAIVIFTIGSAVQAGAVSVAMIFVGRAIAGLAIGILTMVVPLYMAEVSLP EIRGGIVVLQQLAITIGILFSFWIDYGTNHIGGTRCAPNIPYTGGTTGSRTFDPRSDV GPHGCTGQSDASWRVPFSLQILPGLILGIGMIFFPESPRWLMKQDRDDDAIVVLSKLR RQAPDNPLLLAEYVEIRAEVSFEQQFIRETYPNVSALKLQGIQYWSLLSNKSSFRRLA IGCCIMFFQQFIGCNAMIYYAPTIFGQLGLDGNTTSLLATGVYGIVNCLSTLPALFLI DNVGRRPLLMCGALGTMISLVIVAGIIGAYGDDLVNHKSAGWAGIAFIYIYDINFSYS FAPIGWVLPSEIFNLAIRSKAISITTSTTWMCNFIIGLVTPDMLDSITWGTYVFFAAF ALIALVFVYFLVPETRGKALEDMDAVFGDAAAHEEKQRMRQIEAQLTGQHIHAINKDK VVDEEHVE LY89DRAFT_707443 MTQSHLPFPVPVPNATIPFWRTNPHPLDTHRSTASLPQKTDILI IGAGYAGISTAYHLITSSSSSPPPSIVLLEAREICSGATGRNGGHLRPSVPFPELIEK YGIAACLEIVLFEIAHMDAMKSLIEKEGIVCDFTLTGGHTVTKDPATVEFMKDVLGRY IAECPDLAEDYTVVVGEEAEKQSGVKGVIGDWQHEVAHLWPYKLFAGLLEICVKRGVN LQTNTAVLKSGGRDEEGYWGFETERGDVRAKKVVFATNGYTAGLLPEYKDVIAPRKAL CTHIVVKPSAEDGKVKRMGNGWDSTYIIEPPLGGFDYLIQRPDGGVVVGGANRTYKED GSGLGDWDDSSMIKPAEGYFEGYLERYFSTFKKGEDEETVDMAWTGIQGHTPDSLPHI GAVPGKEGQFIIAGFNGHGMPVIHLSSKGLVEMIVEGKKFEETRIPKLYEATKERLGV KFVL LY89DRAFT_72402 MFAKILSAIIPLFSVFCFLSQASNLRSFRDHSSATVRKQSLSSS IYGTSLKHHPKCKPQLGNGSDSRNEIQPHYLPQVLA LY89DRAFT_72407 MGLGTKIADKFRKDANWVRLGRGVVLGARGIRAGTGRYLSNKVP IVQWIPAYVPKWLISDLIAGQSAGLLLIPQAMMYSVLAGVSIQQALLASWLPGIIYTF MGTSRDLSVGPTSTTAILTGQVLLGFKEFKVPPVLVAAALSFCIGIWSLIFGIFNLGF IFDFVSIPMAIGFTMGISFVAITLQIPTILGLMGIPPSFMAVMPAILKSLSNIKPITV GIGGTSILILAFLQFVGGKWGHKSEVLRIVCNSRTIFVLLIYTLISFLLNKNLQEPLW AILGPIKTNITTATVPNLQLVQNLFLSSAILFGSISIEHVALAKAFGNEHEYSINQSQ ELFSLGITNLVNSFFGALPVGGGDIARTSVLAASGVRSPLAGLFTSATVLVSMYALSP FLQYLPQATVSAVIIVAIISKMPPQAIINKYWKLSFVDFVHFILAFNITMLATALIGI GLSLGFMIAYTLLRTMFSRPSEVKASDLETSYSAVTPPWWAHNERIPAGTQVVRLETD CMFLNADRIKRHVIDTVLTYQAGIPSSRAKPEDRPWNLRMDKHIRDLRKRAGVNNADT FIPRLRIVVLYLSSTSFIDSSGIAALQAIKKTLLAYGGESVEFRFVGLCPDVKRRFER AEWTLVNPYEEIARIEILGEEEKDKVEEKKDLLFEHLPHAIGYQGAAGREHATFEFEA VEMYSKRA LY89DRAFT_707445 MWSTCLLSFLSLLSLALCNDGLVVQTTVGEIHGFINASSPLVRQ FLGVPYAEPPLGNLRFAPPQTKSNNGSINATTFAPSCMQQFSNSSTIYTVQVPQFLIN GGNSEDCLYLNIWAPALKTEAPQEVALPVFVYIPGGGFTSGGANSIAKFPDRWIQRTQ SHIVVVMNYRLNVFGYPNAKGLTDLNPAGAASVGIYGYAYPNDPIVSSLICDSGSANL LGSTDTTQSNFTFLAGLVGCGGLDAQPELSCMRNVSAVSIENALSNYAISGMKPAIAF TPFPDNKTAFGNTTDRAVRGLVADIPAILGSNANEGAGFVSYTPNGPGSAALFATTEN IIACPLAEEVKTRNLVGLPTYRYQYAGNFSNISPVFWMGAYHSSELPLLFGTHDQVFK GPSTALEYNVSHAMEALWLSFASNPSGGPARWTAETGYFAWPQYQQNSSSMLLFAREE TVQQLVTGERIDGNCTF LY89DRAFT_540798 ITPLDDQDMANAIFLPALLDFDPFLTSGAAITAMSDDVDSALEN WIDTLHKHYLSFAAIAVDEVDNIDQQERFTRLVARIPKAPLFTLATLIVLSIIFNGSI LGVSLWKTSLGKTHSKQVIVSVAGLAANIFEHELAKTGPAIGNVWDLFEESKEESPSS RVGISENSAGGHHFVTFVAQNGSP LY89DRAFT_685072 MATSSSNVQLALTTASSLMGIVAVSGGIYGMANPLGFSSTLGIP ITSSTSPALPFVSFAAARNLGSGVTMLALLATGQRKAVGTVLLCGTVVAWGDAWVCNS SGGSGDKTVGHAVAGVIAAALGWGMNWVF LY89DRAFT_734109 MKNVISIAIAMLSLSVVTLAYVGPCYNAADPDAGVGNYCQCEDG CYAPGTGANDCAPPGVALGFCPY LY89DRAFT_734110 MKAFLALSIYVLGSSLLPAVTAAPTSRVVRAPTSPARSGLVQRQ QPYIEGQQLSQECQTGSGNALGNCTFYDDYNDAETTQCQTACSVNNGLCYYIIANGVT TCY LY89DRAFT_782321 MDHSYFSYNDYTWLQPDRKVPDGGVQMPLSSSSYPLEISIDENH EENDEEFCPCTRKGSSLKGFLLQEQLENLNTEAVAFNAASSLYTGYSFGDRSYREWSS EWKCHPDPLLLEGAFIFSVRKAGDTMNVDFMSWNLYESVYSVVYGWLPLPGPGNQTTY TLDGLLLLRYYDKLSSVLKSLEVGPLRDELCLFVDGFLGDHEPFSSFGYHWLYVNGYI SLERWQSIESCLIENDLDVIEQGVQAAMDEEDTSKSEHEQDFNEILLPDEHSKLSLDT DVEETILSIISTFAADPPEPATSSETPSSNMLRDLKAEKSIATASTEMPSLKSNDISP LPLCTYQDASTYQDSIYSPGDHVILFWNDIATQVRYLVTDSLETDQICHRKKLLRYLQ GEYNSLEAAWFTAVSNGSVPQVKHILEDFRAFHNTYGEAVMPLFDLDMIVDDPWNQYF GMTGLTIAVVRGDAKLVRCLLAAGAAVDATTSQGTALSLAAKSAAKSSSRQIIRLLLA KGASLEDAIFVLSTEAATSDAKRLQAIQTLEELALTPAEEMKSEHLLRQLHSEFATEH AHVSEIIMKVTTPPASGFAPSFEIEHVLPGWAHGIERLKRPAWKVGMSILRKLTRGQV SRKVGETVMVLTILKSMSNVLSLRDRESSDSQAKFLNDLARWQWIFSSEDGSLQDFRR AALVLWGVTLTGDSDLNMPPEVDQLTSMLFQVMAMNLMAKMSPLLHPQGSGDFEENGL LASQSRWKASHGKHTCGRRNLDTEYGESARAPTFMAPGCREDDPDEFSRRFSLLQPTP VVTFEPVFQSLASGAIFCIMILFFIILRSLLPSTGSESILPLIYNQASLQKPVLQAAF QHVLHILQTGIVLSSEHLSEMKSSVEVAINQGSMPSFSSLIDHLLNHALISHWDPFTT QNVQSQLRSIKAYWSSTYSVQQNYRALEVYLGFKQFVHIVPMNPLPTLSVHPTISSHT ALEATEMDVVRSNEGTNSNISSKSPTYGSSLSPLTISSNTAHSSSSNSTPRSLNMSTR APRNTFCHDCNRDYGKVYNYNKHRKDKHEKAEFPCRIPGCKKVLSRNHYRKMHEKNQH LY89DRAFT_782322 MRDLGVWCLIYNSQDVGGFLVLFCSLHYLVLAWRSYSQNPEIYY RYRYRYHFLKYLIDASLFAMSHICIEKAQASAAVRRVALLASRTPLSLAGKPRKGGNN DGGDDDGDDAGMGL LY89DRAFT_718796 MFDEGNYSSEPEEVFLGSSSASDIISSDSSQGRRRSAQSRRLGS QLSERRVTPSDTGRTSYSWSSFASRLSTCSTGSVLLSHPSTASFLGVTPYFSAPRKAR ESSSWASSSSHFDPILENLALGTPPASAAASPPRHISRPSSLSSSEADESQESDSSAE PNGVDAAGALGTPPTSDATSDAAADEVFVTDQDFEDQIVVIPIVEALYGLLTSGPDEH YVESVSNDEDEIDAREDILNGGIGQLREYANLQTRGSEEPWTPGTQEVELSWGSSIVA PSAGRVYNLYHSKTGVYKARHDSKVHKKKKKAILANRDSEDIDGLVSGTSSSEDRSDS RSRRTSDHYEISVECSQICRGLGCSQFSPETLTSLFYPQPFFSHLTINSLDIFQRELI APNSLNQLAEYAIDLSLGFGPPIPYQVCAFIPNKKEALRSFQKFKDDEPLHMQESLPI VVNLFEAESHAGDIDAWLETLIDSESGLREYVELVERKKKGDPAVKILRCIVQWYQAS KNDISEGEHRTSRATLKLLMTTTLLTNVPRVQSIPEPLRQLIHPQPVSVENPHISPLG PKLLTRQIKASIHYLQHELLHAIFCYLECNLNLTAEVKVAIALLVAIVLELAQSASRQ FAKFASKINQKVVVDDRDVTRYETDMRTQVFNRVRASICGIASEIGYLGEMLKGLGSL VVGNGKEKENFVSVILNDVL LY89DRAFT_697334 MASGENLQGVTAMVRAEMGNTGVKGLMKNKKVFFIAMFASFGGL LYGYQQGVLGQAVVMNSFIRDFPEIHNSSSKLGWLTSVLQLGGWVGSLSAGIFGEVFS RKHTMFAGALWVVLGSFLAAGAHSTTYLYAGRLFTGLGVGTLSATGPLYNAELSPPEM RSFLIALQQLTTTIGIMLAYWCAFGSNYIGGTGDGQSALAWRLPMIIQGIPAVVLCIG LFFMPYSLRLLVNKGKDEEALKTLSYLRNLPEDHYLVQVEFLEIKSDAEFEREIFDKR FPSLAKSTGNSVWRREFAQYSNIFRSKDNFKRVALASLVMFFQQWTGIDSIIYYASVI FQSLGLTSSTISLLASGVIGIINVMTTIPAIYVIDRVGRKPLMMTGSAGMAICELIIG VIVATCGHDWAAHSAAGWAAVVFVWLYIVNFAYSWGPGSWILIAEIFPISIRAKGTSI GASANWMNNFVIVFVVPPMLTGIGWGTYVFFAVWSAAGGNFIWLCMPETKGKTLEEMD QVFGSHTATEDLAAFALVQERVGLTALIRRRAGEMSGEEIDSSMEKDGEVGVQHISKV LY89DRAFT_616898 MAPNPDWKATNTTIQILKAAEEGKYGVLATVAYNIEHILGMVRA AEKARSPLIIQFFPWAITFSDGLLIRSAADYAKRAKVPVAIHLDHAQDEKMIRLAADT LPFDSIMVDMSHFEKEENLAKTKALMKYCHERGVAAEAEPGRIEGGEDGVMDTEGLEG SKTTMEEVDDFVATGVDALAPAIGNMHGEDPYGSTGPQLDFERLKQIRAQINGRVRIA LHGTNTFEPELMKECIAQGVSKINVNRLCLDGYMDHKKSYAGKLTHTKLMEQGVEKVI EDTVRWMEICGSAGKA LY89DRAFT_697336 MKSPNLNSCLGVASTVLQHTSTRLPLEGKLALITGASRGIGAAI ARNLASKGSSIALNFTSDSSAAHCEALRKELESQYPVKCLSVKADIGTPFGPASLVEA IKSHFNHTSSQPFQIDIVINNAGVASNATIEEFKVEEFERMYRINVLGPLLLMQAVLP YLLPDRSGRIVNLSSISSTEGFTGQSVYGGTKAALEAMTRTWARELAERCTVNAINPG PVKTDMWDRMPPEHRGGLRPWTSHSPLAAVREGVDEEDLVRDASFVAGIVAMLCSEDS GWCTGQVVCANGGMRMAI LY89DRAFT_707448 MAGLLLRILEGKVAAITGGTTGIGRAIALEYLRQGCNVAINHLG LPKDEVHKDSLLEEAAALKAEKVEGETTSKAGDLLEVVGDVTKSDTGTILVEKAVEKW GKLDVFVANAGVFEPADFLTLDHELFDRTIRINVNGCFYTCQAAARQMVKQGHGGSII AISSISALLGGGLQTHYTPMKAAVLSMIQSMAISMGRHNFRCNAILPGTIKTQLSEDD MKNDEKRTYLEQRIPMGRVGDTKDIAGPALFLASEELSPYCNGTQLLSDGGMYVHLQ LY89DRAFT_685078 MVDEERPTTPRKPRNRQSRGKGLRKTTGCITCRRRHVKCGEEQP YCHRCLKANQTCIYCDPHKPHVSARQESRLATTPTAELPRLPSIPQWHNSPEHIVRYP PPPPVTSDNTSVTSIPGPPYIPTPRNRQNFSGTSQSNEYSLNGPTNMTPEATNQQVMS PEMSTYAATAFSLGSNDSLVPRSASSQPTFNVAITRWFDMLVGDSAFESAVPDIDGIV EGRSSVDTPREPERATIPFIASLRGTPEGSGAAAASPISTSPQLLERSAPGFDSNALA EKLRWQSSIIELLPYEHFIFSNFVQRISPMMDLFDPTQNFSAFVPHLAMRNAGLMKAI LALSARHISLVPSVAAEQSHDRNDALQYYNETLHYLSKAMQYDTFKTSLELLATVLII STHEMLDGSGKDWERHLQGVFWIQRSQVIHGDSKGLRAAVWWAWLCQDVWAAFREKRK TFTFWKPTRNFTEMSPHEMAARSVYIIAKVVNYCSKEETEAGDIAARIERANQLQAML EEWERYLTVEFTPLPYRGDVSSTAFQPIWIHPPAFAVAVQLHYSARLLLLLNKPSRGG FGGYLEQSRMISKYVNNICGIALTLTDHASSVMSSQCLYIAGMCVQDTRQRETVLSML ESCRQRTGWPLRSLGEELQAFWESSEGFPNG LY89DRAFT_72644 MTNFVSKIVNKLKRNSTTSDAKTSDNRPYTAPTPQPNDWEGLPK YRGNTAQQTVVTHRGANRDSYAASIHGESSESLVDEEDNRPGRPDQAGPGSGRLGLHV APPPAPPPPPRRKTGITGTNLNRKGEPMRPFEGSILDNWDKLEAIKAQQDAERPDLEH VRRRAQEEEKQRLRDVEAARQRRDRHREVGVAVPMPPPPHVRRTATEPSPRHGLPPPR PARPEWKRPLEVESRPAPVAPDLSWKPRRGITPRPPPQAPTGGLRRSGAIRGDPGQRG KEQTPHSRYREDAVSEGSCGPERRP LY89DRAFT_669548 MVTYREGIAIVQVAFYILALIGGILLCLRHGFGKSSGWVLVTFS LLRLIGAIFEIIAVDHPTKQVVTGAIVCISIGLSPLALMSLGLLQRVNQAVGQPIPDI IYKGVGIGGLIALCLGVYGGTQQASNANATNFTQVNRDSKIAVVLFTIIFIITIIIFF VLVTRLSDVPPGEKRLLLAVALSLPFLAVRYLYALLADFAQNKDFNSFFGNTTIYLIM AVVMKFIVILTCEPIGFTLHKLPKGELVNTSDIEMDNSHVPVYSEDSYNREEDVPLRY GRGNMASPAMLPRPQRKVKGPISWLYYQAKDNF LY89DRAFT_697339 MECAQSTPNTLTQGFGSKSTVFPLSNETQFSFTLQGTLSYSDGY GANPGEVLTAAANITPSDFESYYRAFYYLAKHIYNTSQQIDSKRYPVSAREALFRSST YFRSSPFYLIGNISDPRLYSTWEQQVTAFNEAISLLPVPGYRITLPGPEFQIPVIFYP ASNDDVERPTILVGSGYDGAQEESLHYMGFEALSRGYNFATYEGPGQPTVRRDQQIGF IPQWEKVVTPVVDYLSSHPKVDADAIALVGISFGGTLAPLASAHEHRLAAEWPSDLIQ QYNHTNSQNCSSFDEAVLAIAANSSWPTSIRWFINQGLWAFNTRSPYDLLQQFSQYTL TTELLRDITCPVFVGMGLDDSASIQAPMVAEALGQNATLFRFGADVGAGEHCQIGAES FFWLR LY89DRAFT_72705 MLAPTRACTNCSLRRKKCDRSLPQCVRCVKSGKVCPGYSSETTT KFRDMTQISERKVRSRTATTGRGHEKSIPSAGEIFSNSSSVALHGFSKAQLDAFQRPR IGMQDEIARPLSTNWHETAIPRFFADYVSPSNVLNGGSFHFLLDLCNQQMCNVHFDEA LRAVAYMSLANQLGKNNLSVEARKLYGSAIIRVATKVQNVEEALDDAILASSFLFSLF QIISIQAVPKGFWNVAHDSGRISLLRARGQRKSSGSASLNILGLVLAHNMHSQPPKPA RGTTSMDQPVQHDGLRAWYSMHTILEVRLGHCKILRCSSRTIR LY89DRAFT_718802 MSLQQRDSLYYELEQPDDNRYLQNFHQYLRESLIRTDNPVTTRK EEFVPFEQIEEHLGDEGRLIDILSAVFPEEEPLPVDDEVVQNQYLKTLCILLSIGGAG YIRHFVEHDGLADVRLPHTSKPQNFPISPSRLNLWDLFYEKQWVFCAAEMSYKINNSL EPHRILPIVEREVLGRGGSAVVSKIVLHKSFNKLRSNSASSDSTADNDTFVLKTYHKH EAEQYYHDEVEAFRRLRPLRGTLDPNIVHFYGSFTQNGTYNVILAFADKGTLEEYFRT VLPPTSGKDIEHFWTTLCGITRALVHIHEVDSQNTLGAPQIFQGWHQDVKPDNILVMT NGINSPYDWKFNLADLGTSHFKKWSKEGSDIDTRGTEAYGAPECFRADRFLEDCTIMV KQNCDIWSFGCILAEAAVWLIHGFPGLQRFRRNRSAAISAIPEMKNGDWFHDGTRVLP IVMETINSLQSDFRKSDRVTGPILEKLVKVMLDEPEVRYTAKQAHTHAMRILLSPSIA GPPAASHSPQGSDSGRLAPGSLSVLNQQDGNMSNVNIASSNISTPEHRTSVTVPSRSS IAGDRSPTRLGSSTSYVPPKRGHTQPNKSSQPELPRLDVDVAERWIRDKRAGRPRRLP GEYLLKRLATRDHVFLIDDAASMKAHKVEVKRILDLLAYLVKDSDPDGIELYFTCSKQ QIKAKEPSKLVARFENNQPAGLTDIRTRLTAVIDDFIKGLGRGWLKRKFKPVRSLNIY VLTDGIWQPTTDLTSPIRRLVEKLNELENGQYQVGIQFISFGNRDFALERLNQLDDDL GEKLGRDIIDHEKYNGNVWKMLFGSTDPWFDGSKPSQPP LY89DRAFT_585234 MYTLTYHQVMPAFLDFIFPFGQQIYTRDFFFGGIRHENRLLDSD LGPDLPKLRRSGQEIRVCYSLKSVEKTDEPGEKHSPWSVRQTATYHSFDVETGSALWI SVKGNDLIQDRVMTATENLGPDQRPFSTKERAFEEAMSIHLINCKWAGEQWRWYLNYL EEELQGGAGRRALSAKVVRERRPEEKEKLEFLRARSMSTKGTSKGRSGMTTFCQSPKP QPNRTRSASRESGAELDGHSQFSFDDSRDIQSLEDKTNEAIFVLNANIDVLGELKVYY HDLVVSQEHVKLFASNHNRVVERFGKQITSIINDLRMQITRAGALLRMLSDRKALVSL YGILNYRNAAASMTFAAKSQESANNMERMTRKMEELTVDMNELAQKTTQETVSMRIIT LVTLFFLPGTFISTIMSTDIVRFQGPNGGPGPKTFQAEALKLYVYITLPMMLLTFAAW FLIYKLESRKADKKRRRKSLEKQTAENV LY89DRAFT_734124 MPGVSDVVPAYRLRQEDLEDYLRRTFPEQSYFSIELARDEYNIV IPRLLDRVSPKFQAPV LY89DRAFT_669553 MFSILRKRAAEELRSDILAWIAPTLTRKPIEDQHTVFEKRDPET GRWFLDGDLFRSWRNPNGPFLWMYGDVGCGKTILCCSSSIINELKRSQAGTGTCQSTN LVYFYFTFDDVQKQDLGVFLRSALEQLCPRDGILPQVEDLYQRCEPDPPSTFELQQTF LQTLRSVSKSNTQSSHAGDSKSHEESSCNGTRHTYIVLDGLDEVQYGPKRNAILKLLR MISALDLRQLHLLVSSRRETDIEVELLSIVRWQPLQVTQQNIEKDLNIFVTNQISESQ KLRSQSELVKDEIKHKLVGGANGMFRWTALQMQELNKKHIHTTYDRILTNVEASLVHE AVSILKWLSCSGRPLFIEELTEACIIHPEEDPAIEIDMRLTPSDILEILPGLIAVDPP IDVSKKDFEARRHIFSLAHFSVKEYLFKRGTFVIGSQNIELNAVLAQKHIVGCCLSYI SFCQLNNSYVQNEQSAREFHKVVPLRLYAYSRWALHAAPIPDEHSKEFVTKSIQLLCQ PKICHEWMTLTTLANSLNEEIKPCVLGPPRSWHSKQNWPPSYLLCYSVVTGNTKIVES LLKMDVPISGPHAIGEPLRLAAEPNQTSLVDILLKAGASKDKALVTALQKGNEDIAWR ILQSSEIVGSDELIAAAKCSSSILTKKLIEKAANVELIDINRAILAAILSSNFDTANA LLGGMIARGQLSNIISQESSSSDGETVWQDILSSATTLDSRSLLRFLLQEVPLDVIER LNTQDLYLLSVVLGCSQSTRAFVSYPWFKIPPVARTLAKHWESLSISNPISTMVVGVH ELCTGRDYPIASEPIQESTLETWNLLYRWRPEIVSSMLKKWGFKTIDQIRKGDPCFET DYPQKSSIQRHRVQPFSNLDDYNLDLTCQRMSHKYISDLLKSSSHAL LY89DRAFT_748406 MVSAWEKLNNKLRRSKTTAGPVRQVSQANRPQTGTTQRNQASRH PVTDRDSNSSIASQLGAAGFTREENQSSEFHSPPPPRGAERSVTPWPPVRRQSSAQAA QVGRANTMKPLPPLPSHSSVKPSANFDEFLQAGREKNRWTKDLNEHVRPKQWAGDTLE YAGISEAFQINVQSRPPLPVSNPSSTSKLKRSKAVRVPSKEQKAGGRVEAPRPSVPPG EFDPNNRF LY89DRAFT_748408 QLPKCQKSTVSSAARPQLDLLATHATPSSKPTLLTVPTLSERSK DPDLSDTPTADLLLRAKKRYRPNPTTRAKPSQPHHARSPLLSPHLMRRTGKVLWMNRT SAESFVIAMSSLLQWTWSIRRSSRLSRLDFCPEVRPHEVS LY89DRAFT_72788 MPPRCPTPQGTLTPFLYPFFFSQTTKASFRPLLSAIRKQNNSTH IEPLPESHLNPPPSDYGRTIFSDRATVTLVAGSGGHGCISFLREKYISAGPANGGDGG SGGNVYIQAVRGETSLHKLARRNILKAGRGKNGKGKTKGGERGDDIVVQVPVGTVVRE ISRVDPVADEEARRRVEGGKEDAVEGADGKGPWKWDREKWLLYPAVTPEDIATADFPK LPRGRRSPLAMAQVKGPVALDLSRPMDRPLLLAAGAVGGLGNPHFVSKTVPRPKYATK GEEAVRITLELELKLLADVGLVGLPNAGKSTLLRAMSNSRARVGNWAFTTLQPNIGTV VLDNNKGRPLSQASLENGEPRTNFTIADIPGLVEDAHLDRGLGISFLRHVERARVLAF VVDLGKGDAISALKGLWKEVSEYERMKIEEEKELIEPIVHWSPFTSVEDALTNRQETI IINSGPTVENPLAPELPSIADKPWFVVATKADLPDTQENYQNLKQYLERVNAGDEPHP NGQTNVWPKRVEVIPVSAINGHGVEKITEWIVGLLDA LY89DRAFT_541730 MLIKHSRSKKPVAIMDPDCAICSQPALAQCECEAKGLDIAVRQA EQRMMTSVFNDIRAWVRGHAQDYILSYFSMLTTRRKDHHAQTIHRITERAAYYYRARP HPTEIAAADAELKRGIDEDWKASVQRYPEVLEYFYGLVDLNLPGDEEPGVRDPPLSAL GGVAVGRERERKVRLREPSEVEERRRRRRSRGAEDRDRDRDR LY89DRAFT_72783 MLAALRSSATSASASFSSSISFATEPPSSTEAVALVSPLACGAG GMLPLAAAASSASSRSTSFWAFSMFCSVLAIMSRNDDQYGRTYSLSLGLLVRLPEIEL LLSGCQSFRDFSSSHRRLNLESDAIFEDRRWAVEFGARSWSWRLCCFLGVFALLAFLS TGASKVIFLFLLDNLHAFDTRIIDSSLGGGCELRRGLEGCFLRGVRRVQESHQRLSTT DLIQVGLVACLSKHLLSTLLSLLLVVLVVLRLLALPESLTSFKFVLLDRTVLLEVVVL DLLDRTVLLLLLILQLCTFVPESVDALECIILLSLNCIKLALDLVVFLRQGLRLRIFQ LVAKSLKLLLLFIDLLLTVLKASETLTLFAQVRDLSQILLLLDDLHITAVDLLLQSVD LTIQFIDILIVNSTASYFLLSDKVLNLLVLRFYLVEDLVTTGLSCTLLLTDFLQLGEK FSATLSRRGIFILRLCEVKLALNFRL LY89DRAFT_616916 MASTDEDRMRKQREAGERESAKLWRACRTVHEMVRDRGYQLSDA EVTMSFDAFKQKYTTDDGSISRKMMNFSASPTLEMIKRYSNPVTAHDLHPSSPDIGTI WVEFLPDSNVGIKQMRAFAQHLTANNFHTGILITGVNITPAALKIIPAVASETRIECF MEADLLVNITHHELVPQHVLLSKEERTALLQRYRLKDTQLPRIQLGDPVARYLGLRRG QVVKIIRKSETAGRYASYRLCV LY89DRAFT_616919 MPFKSSQPDIDLPTNITNWTWLFNSPASPLQSIPSSQLAGYSNA NTKERINWANVKTHTTHLSTALVRHYGLQKGDVVALFSQNTIWYPVAMLAVNRVGGII SGASPAYNVEEMTYALKTAGAKYLFTIPGSMDVASAAAKNAGIPKQRVFLLEGTMEGF TTMYELLELGKREGEKGQVEEYKLKRGEKNKDLCGFLSFSSGTTGLPKAVMISHQNVI AQCVQIKQITPGTHKKVLAVLPSFHITGLVHALHLPILLNAEVYMLSSFTMKSMLDTV VKYRISELLLVPPIIIRLVRDPIVDDYDLSFVTRFSSGAAPLSEEIIQMLKKKFPGTG FKQGYGMTESCSCITSHPPEKYGYEHAHKVGTVCASTTIKIVDEDGKELGVNEPGELL AKGPQIVMGYLNNEQATKSTFDSEGYLHTGDQAVIDDEGMVTITDRIKEMIKVKGIGV APAELEDLLLGHEFVEDVAVLGVNDDYSGEKPKAYIVKKKGSPGEKDVGEQLLRYVKE KKVRHKWVKEVEFIGEIPKSASGKILRRLLRDKSRGASEGVVVRDEVAAKAKL LY89DRAFT_685086 MADLEKTTVKATSLPTSSVEHGVGNVGPLREYVGESSAAANSGQ LHRKFKARHVQMIALAGNIGSGVFISIGAALQVGGAPGILIGYPLICVMAIAMLTVLG EAVCLFPTSGSFIDHASRFVDPALGFAIGFCEWFGALTVVAAEGAVFPVIISYWTTEI PAAALMTIYLVVVYAFHLMPNRWFAEFEFCTGAVKVVTMAIVLLTSVAIVAGAGPTGR TSGWGANYNGELPVFPNGFRGVARVFLYASWATGGQEILGIAAGEAKMPRYDMPRASI NLFIRIILIFGSSCVLVSVMVPYNEPLLLNTSNVAASPFVIAMGYAGIKVLPDIINVV LLICLCCIGSESIFIASRIQTAMSKMGMFPAIFGRVDTKGRPMISLISCFLIATAMTY MCVSTTGAIAFNWFSSISATTTFFAWMVIPVTNWCMHRAIKAQNDPAFSLAYANKNRF WPSESIFLFVSTLFTFACTFYVSVIPDSGSPSASTFFETMLCFPVFIVAYLGYKLWFR TKIQNPKTADLVSGRRELNEEELEFLDKYYGQPMWRRVLSYVRF LY89DRAFT_585123 MNQGIFVPVSNGYVVDEDAFGEPRKLRIITLGAGASGLNVARQI EQHMKNVEFQIYEKNGDVGGTWLENKYPGCACDIPSHSYQYTWATNPNWKKFYSPGPE ILEYFQDVADKYCLRKYIKFHHRIISTIWDEQEGAWNMRIRKLETGEEFDDYCHFFIN ASGFLNNWKWPAIPGLESFKGNLMHSAAWKPESDLSGKTVAVIGSGSSAIQIVPAIQP QVKHLTTFIRSPTWVTAGFAQSKAGPGGTNFDFSPEQKESFAKDPEKYLAYRKDVEKE MCSRFKMLHKDTPEQKAAVEFSTNDMKSKLGPNNPLAEFIIPKFAVGCRRPTPGNGYL ESLTKDNVRVVTDEIEEVVPEGLILTGGETLKVDAIICATGFDLSFCPQFPIIGRNGA NLSEQWKERATAYMSVTPENMPNYFMFMGPNSPVGHGSAIPIIEHVTKYILKMIYKCQ TESIKALCPSPSAIREFTLHADVFLTRTAWSTKCRSWFKNGKVDGPVMALHPGSRIHW FHMMTQPRYEDWEWTRLNPLNRFAYLGNGFSVREARGKDLTWYFDDPNEGYEGFLY LY89DRAFT_616929 MASLRENASSGPHGLAPRRKLSRAACINCHDRKVRCDAHKRGFP CSTCINSQRPNCRIHEKKKRTIIRPSSNPVPIRRRSNDQASLPQGTSSDRASSSTTQS AGEVQNTNDASTAVAKDDSGRRHLVEFIGQKDIGHRSIQRGVRITYVGKDVSNINFLV RQRDGGEDETVYHFPSDQIARQYITHEPDRMPREAFILPDQALADELVEAYFTHINPG CPIVDEDIFMGQYRGRNPADPPSLLVLQAILLVGAHVLRERPDRDVLKATFFRRAKML FDARLEKNRDVVVQAALLLTWHSDGNEDIAANAWYWVGIAARIAMGLGMHRDAGSSTL IHHDMRTWRRTWWILVQFDVTVSLSYGRPQAINLEECDVQPLSESDFEGCGPNVQIDY VVQHTELCLLISKIVKEGFGLRVSPEDRKAALGNGDEALAHWCLKLPSYLQMCTSDMS LWASSLHLTYNNFLILLHRPHPRASQRSDHHGRNDSDICSAAAIAIASIFEELRQKNR IKYLWISDINALFTAMIQVSVELRFSNPVLAINALRRFDSTLLSLRKLAEYWINAESI LRFFEESSHVQHGIRLGKASSEQDRAQTPSLQKGKEVAPTSNASTSRDENTWNPSGRT DLDMLAAAADAARDTTLAMVPNASDEMQNWNGILSQNNSPEDFVLNSSDMMTLDNEWR EIYWQEPGLSGSFPDGFWGWS LY89DRAFT_707459 MPKANSPPTSPVAELGLTVKSQVKTSSGLSAAVEAAKQRFVERN PASAKFFEDATEYLPGGNTRTLLYSAPFPICMKKGENYQVFDEDGHTYTDFVGELTAA LYGHSHPLIKESLINTINTVGLNLGATIAQEAKHAKLICERFNLSKVRFCNSGTEANL HALAGVRHFTGKRKVVVFSGGYHGAVLGFWDGVQENGVDKHEWVIGKYNDVEGAKKLI EQDDIAAVLVEGMQGAGGCILGTNEFLLQLQESARKVGACFILDEVMTSRLGPSGLQG IEGLSPDITTFGKYLGGGLAFGAFGGRADIMAVYDPRSPNSLAHSGTFNNNTLAMNAG FVGLSEIWKPDVAVEFNKIGDAFRASLQEVTNSTKMSVTGRGALNAIHFSDNGLTKIS CREDIDEDWGLKDLFYMEMMEDGFWITRRGSIAMILDTPQSELDRFVDCVGKFLERHK SLVSL LY89DRAFT_685090 MSPPIHFLNTVQGQQEASDKFSILTPPRTDIFASPTHGYHFSAP IIYTQLPILEFGSAKATISIPFTLHSPKEKEVENPTLQFDQGGLVFVFPGAGTEAKDL VQAEKETHPRWIKAGIEVWEGKAWGSIVVREKWSDWSLFEPGQITATGTYKMTLEMER FGDALMIYTVSEVKKRTLVRKVPWVFLEEERSGVETIMIGVYGARPDPFNEAKGKLLE IGIKSFGVKDQDGKRII LY89DRAFT_646318 MGAIEPLSNLKWETEEPRKIYKFSPKYFLTMGLTNTNINFITQM DKQYPSRLIERQKIHDTHPNSLKCLPTAVPMVNEIYTFLVTTYLPQRYPTMFTLQSPS HLLNIATNKLLPTSPPQDPIEALHLLSVNIDEDFLMLLPAPDGDGYSLQSFIWCYPVG FDAGSKLGLKLREAHKPVPEYKEKLQGSMDRYFGKLEVGRVVYRVNWAIATNDSLCED GEYHLYEGQEVSTETDIDISNCWVRCELQTLFALPKSGGRILSVHLYLYPLQQIKDEG LGEELCEAIDGLKLGNAPSFWRYKRAPVWQEKVKEFLRG LY89DRAFT_569766 MFRVFFLITAFVVTTANAQNQTSASQPLGKVGWEPGPTRRGTLT LVWSCLATIITCTWSILHLNVPARGDSAWTKRLRKLKWMCVTILFPEFIFAKAVCELK DAVDNTFEMKRHEIELNWYVEFGRACRVWYRIFHLGNPGRGGTMMGNGPQWTFWTPVH SYFANMGGFDDASVSTIDSLITTSGLLARCRGEPSKSNLFPSKADIEDKGKADLLVKL LAICQILWLVLCVIVRRTTRLPVSPLEIAAVAFSTIAILTYITNLWKPKDVDVPIKLS IPNQYGRVSTDRTALSTARHTLIPFFPFLVKPSGIRFPSTPQSHNSLAVWRASRARIS NDSIRKEGSMGMISIITAMSAFVFGSLHCVAWSFEFPSRAEILIWRVGGVASATLPCV ALL LY89DRAFT_616940 MYLPRSLISSLYLNLQRAHHPLSPPVIILAALDPDALCGCRILT ELLKRDYMPHKIQPIAGYGDLEKAGRDVVRPMMKSQGGEGGVVVCLGVGGLVDLGGLL GIENEDGTSYDGVEVWVIDARRPWNLGNVFGGHPTLPQVEEDGQLVDQKHSGIDGGKL QRNYKSGQGGIVVFDDGDIEQELDAERDAYLALVDMPEVEDDEGEDDGSDSESEEDDF AEDSRPGQKRKSWSDRDEDDESDEDDDRPRQRRRSNSSSPIPESPSRPRQRGLISLDG PSALASSVSRSVSPTPAQPPKGPSARTLRRRLLKLRRKHESVLQKYYTLGSSYSEPIS SMMYSLASELGREDNDLLWMTIVGVTSMELYGRSSVGVAISTQKALASRGWLGTRGSR TRQLLRDEVRRLNPPELAESSFNGNRNALPENSGIIPTTARSPTDTSIRLSPEPKFLL IRHWSLYDSMLHSPYLSAKLHIWSDSGRKRLHKLLAKMGVSLVQCKQSYTHMDMELKR GLRTKLLKYSEMYGLDDLVPASDTDGKDRGGTKEGWGFVRSWGWRATLSAQDVGVVVG AILEVGKKVITSIEGNDRGREKETVDENGKLEGEEWVGRFWDAYDALEKIEELKAALP TAQHLHRAILRTGTSLIEKRQIKHLRAFRMCIVKDGPDVALFTHPAALTKLALWIGEA IAEQERESKGKLGHGGRGTPLVVAGLNESRGVYVVVGTGGGGGGSMGFGDRQAAKEKK EKKEAKERARELKRANKQKVREQKREARRLALGDDEVDDEEDETESEGSDSDSDDEED EEDDEKAQAKGFGRNKFGNAFQEVVAETSARVRIDSFEHCVVEVKKEDLQPFLESLSM KGVVG LY89DRAFT_685093 MTTSMFSSKLSGLWSKKQVIDLATLDFYLFQKYHRRHLINVQTS DGYRCEYSTTQDRLLTLANIMIFFLTYCGIDLFPLQDSIDLSVQV LY89DRAFT_734141 MPLNITIPFDLLPSPEKTYSVYQEVLQGMGLPEYLLGVHIDFLI YIVCLLVMVRFTQTILHYEGRSWIWSISCYFLIQRVVSRFEYRPFDPELARIQPLLAA VPAAANLMLWSMAGLKAERNRLRFTEGERNNLLILGAFELGMGLAQFRDVYVEWARVH SATKMAGDVAGF LY89DRAFT_782345 MGDISGEDLPPKRVAVIGAGISGITAAKHLKHVGINAVVFERSS RIGGNWLYDARRPVEPTYPSLKASIADPWIAKTGDEECTSEDDLKFAPPGPAYPDLTN NVSTKCQSLKEFPWPKRTPDHVSVHVKQKYIQDYAEKFGVVPLIRFNTRVEKLEKVGQ TWKVRSTTLIKDQDGRGRRKESVEEFDNVVVASGHYHASKIPDIPGLKEWKAAWPDRV EHSKRYRGPQDYRDQTVLLIGGGVSSADIGRECSGVAKKIYQSSRGGTFDLPESFISP ETERITGINSFSPPSSDSKNAPGTVTLIDGRILSDIDRVIVCTGYLFSLPFLPELHND DLSAEEANETVLVTDGLQLHNLHKDIFYIPDPTLSFIGVPAYTATFTFFEFQAIAVSA VISSHAFLPSEEEMRKEYEERVKAKGYGRAFHSLIRGQDELYVEELVGWLNRDAEKTG GEKFEPYDDAWKEEKKQFFEKYRALLESSGKVEKASGFGVETELEKAFRGVNIGKIEV EN LY89DRAFT_734143 MANTFDYIIVGGGTAGVTIASRLSAALPALSFLLLEAGPDGDPR LEPTQGYRAGLDPAIEWNHKTVPQEGLAGKFVSQLQGKIMGGSSAINVQGWTRGAAVD FDQWAEQVGDDRWSWSGQLPYFKKSETFFPAKSNTVDLAKLHGVDGPIKVAQVTNSGR QRNYPLRDMIAQSYQSTGVKWIPDGNAGDPLGYCEGAHNTYDGKRYYASNCYKLGENV TTYNKVLAEHLIFEGNKVVGVQLSRDSERLIVKAKKEVLVCSGVQGSAKLLLLSGIGP TEELKKHNIPQICHLPVGENYSDHPNFQTFWKVRDRGLSLGDMPMVTPECDWTAGLPG DLMAWHNHSDLLPKIENASIDPNIYALLTANGKPHTESFALYGHIDLGQCGVENPGGS CFTLANVLVSPTSRGTLKLASSDPLDAPILDPNLLSNELDTQLMYACGRLTIAMMQSP TAQRYGVMEYGVDESIRNDLSDAAMRKRLLYTGRTLNHGSGTCAMGSVVDTECRVKGL EGLRVMDASVFPAPLGAHYQAAVYALAEQMAQLIIDSQVT LY89DRAFT_72922 MLSPSGNWKPVSNNRSKKKSKQGCRTCKTRKVKCDEQRPVCGNC NIYYSSRIQKCDYGNAPNPESDEKPSNRSSIVRSTKGLMFDKHVIANPNTNTFMTLPR RRPLLPSTVGGAALDPFNTVARSDLPSADQLLHHYLSAIISKCLPAGHTPDKNPLIKG LWGLLQSDVFYHTVILQVSAVELELLQGKPNTFHSDKYTRESMRILRSRIEDPNEAVS DQTMSAVATLATLEYGKKNMTAMKMHLDGLKRMVSMRGGLSKVRASSQTTATMIHWFT MLMMQDSIFPPDKRDDLSSDEPSKLYSLEPSPQYLSLESFDMEEGVRVIMERTRRISE HLSSGVQSAANTPDDFHWQFNALLQRLCHLKVRAGAGSTTAYFTEACRHATSLFLFLP FDNHYPDPTLVINSMLHKLKSALQHLVPASGPQARLLLWLLAVGGVTSVNLPERDWFI GHLVPIVAHLELRSWADFTSAMEGIIYVRKFLDGSFRELWDDVKFATDALAEQDPYTS IILVSRSSSATLVESDGEALEAASTSREASQ LY89DRAFT_782348 MPLPDLIAEFASGLEAATRAIYHSEQEICTPTNLARVVQIYSNI TFDLEALSVKERNVALATTVRQSANTGGWLQGWKCLVESCPGCGVHEEMLRDVEIGVQ AFQKVEVATKHRPAIHDIHLTVVSEPKPGRIDQLGPKSLGGKFWEGDLVYLKEYEAWC GPAELLMGPCVFFAWIGICKKVPRFNDPKMLEAFWTAQMLGIVDYDLDQDDSNIKTKK FKEAMERTAKMGAENEAMRGVAWTGLLTMDQQTYNRQVQYKWVAEGKGCFVTGPSEIS PYEYLRAGVADCASLTPFAHQTAAEYIPSRKGMFLAVLNSNLHDLIYDMGSSSRISCA GYAFASGSFEHDLPQAFIVSTMDAAAEACLNGPADQSVLYGNNTNFVACLWNLFNIRY RTWERLIKYTRLLQRSNSPVASKILNHAKQNMVFPAVDIEADVEVAFKSCLEPANANK LVPRALHTSVYTIPSPVETLAQCKGFYLPGLCESCKDALEENIYREDTIQTIKGIPQF ILNGVPVTLAAAVRRASIWATSDKCCDGCACVVGEWTNSISDRVTVASMQSEQRLSPR DWLLECYAIGCVAFSPLRLISITGGFDAFVDIRFEPGAMGEHRDIVDC LY89DRAFT_707467 MFGKSGKFLGLRGVRLQIAVGVIAGMDFLLFGYDQGVTGGLLTL SSFRSQFPSIDPNAQGIVAGSAEQSNRSTYQGITVASYNVGCFFGAILCIFIGNPLGR RRTIFLGSSIMVIGAILQCSSYSLAQFIVGRLITGLGNGLNTSTVPTWQSECSRSHRR GQLVMIEGALITGGIMIAYWLDFGFYFLDPNPVSWRFPLAFQIVFCFFILAFVLSLPE SPRWLILKGKDTEAIHVLAHLNDMPEESPYIQNEYSAIKDTVLEMQKGTFADLFTRGP DRHFHRTVLAYVNQVFQQISGINLITYYIPNVLQGQVGLSETLAKLIAACNGTEYFVA SWFAVFTVERFGRRQLMLFGAAGMSISMVVLAVTDSIGGTKAGIAQTVFLFVFNTFFA IGWLGMTWLYPAEIVPLRIRAPANALSTSGNWLFNFMAIVMITPVAFNNIGYQTYIIF AVINAAIFPVVYFFYPETAYRSLEEMDSIFQKTKNAFTVVRQAKEEPRRYGKHGEALI NYEETEEHKRRASQANVEHKETSS LY89DRAFT_718821 MTSSSSEMVQPAAYGGYGRQTGLYGGLQGVEFAAKCPPDVQKNT RIIAVCGIPEIDAGPQDDGWFFSDFFLFYQMLGSRPELPNQLWFSSCSPSDLTRKHGR YLYGPANGVAGARRVVMNEAMLPEDEMKAGFRIVNPKDLLERFLATLKSEIQEAARAK QPVLVLIFGHGEEDTSGIFIGCGEGGLWKITQPRLTSMLQKAVQTTMILTSCFSGGWI MKPNFNKNFDKKPLFNHSFLTAAGAGTPSWSWGISETVGQQAGGSVFATCLLNSIITI SDRKEGSIAKEKLIKVKEDDEGEELSMAGLTQQIVNECQAQCGTIWTEHEFSFAVQDD LWAQSCGKRTGLPLLNYEERWRSLPEAPVMRKVYKSAGPLNGSVMSKSPGALHRMVKV KAIRYMNSNPGRDNEGGNTNCHPRFHRLIEGAEFDIDQLYRLNEILDYREGQMRLAEF YCGILDIGVPEGWQGNKFEEYAWKLPYLKEKSEPSTDRSNSAIEVLEKFSMIRSWVFQ LGIFDSPLPHQGFAYS LY89DRAFT_734148 MPPYENLTVDKTECLCIEDTQPISNANHPVCEVIQSFGPLLPVC RDTVIPNTPDSVFSAESIYVSLLNASTITQIGGIEIEWVSNVSSHLGFDPEIPKLMLF AHPSFCKINEDQSSTFAK LY89DRAFT_782352 MATSMSSKGLRTVATSKLRGFIPTFPDQIHHTPLFNTLTATASD LQKELSNGKLRSTQVVEEYHRSIIAHNGVLNAVWELALGAMKRAEELDLLREKGQFLG PLHGIPILVKDNINLDPSFGIGTSGGAVALVDSVPASSATIVEKLLEAGAIILGKTTM SEMAYFKGSGIRCGWSAAAGHSQSAYVRGGLDMEDSIIGHSCPDGSSSGSAIAVSAGM TRVSIGTETFGSLAMPHSLIKYVEEATTQMNKELRAAYSKIEKLAKTYHGNISKLALE KSFDMPDGEDAISAALMVEVRLCLRKLLAFDIGRDLDPFLQDLTTSKIRSLKELVKWN IDHADNIRTKVLFVAATEVDHDEKKREEIASYTKAAGAKFLELFTEYDVDVIIAPTDS PLFLFSGAGAFPTAIPLSTIEFNGRPFGLTAAARAHHEGVLIKVMSAWESTFPARKTP EAFLTLKAIDKTFPSAAGRITIT LY89DRAFT_584985 RVRILNCWRPLYNLADERPLAFCNLYTVADSDLRAADRASREYV GEIYYMQYSENQKWYWISRQTPDEILLFVNYDSDPKDGGPNGEIPT LY89DRAFT_685099 MASVEKMNDAEYPVDSKEAPGSESDIERGNGLVQVASNYVVDRK LEKKMLFKFDIHILPMLAIMYLFNSIDKSNLGNAKTDGLTKDLHFTGNQYNILLSIFY VPLVLTAVPMNMLTKKFGAKFVLPIAMIIFGSMAMISAGTTNFGGIVTTRWFLGMAES GFYPGVIFYLTTFYKRNELAGRLSIFYAASEVAGAFTGLIAFGVFQIKDALYGWQYLF IIEGGLTVLGGIIAIIVLPKSAATAYFLNEDEKALAYHRIAANSSTVVDSEFSFRQAI RVFKQDRLWPVYMSIGFCVGVPLFSVSNFLPQIVAQLGYSTVKTNLYTVAPNIVGACF VVFVAFSSDYWGDRSCHLAATLATTAVGFVVLACVDVPNHLGVGYFACFLLCAGGFIT SPLLSTWYNNNTPDENQRAILTPVMVCTANAMGLVAANIFTEQSAPRYVMASIICACF GFAGVAIVLSLGFWMKYDNARRNRAQGVNTKAGDVPTSELKGGQKDPSWRWMGGVP LY89DRAFT_585612 MSEVKLINPLHPSVKDRMDPQFAEIYTKYQAPRTRADQVSYEEY NSNRSKYTFSIAPGSFPEVGSVKIYKVPVSDPAGEIQIQVFHPTPEAIKSAGLATADG KLPAHVDYHGGGFVIGGLTSDESWNRQACQALGCVVVNVDYRLSPEFPHPVPLTDSWA ALKWTFANASELGIDRSRISIGGLSAGGQISAVLALMARDEPGMEKLVCQLLIVPAVD ARYVPIEDVSLKGVEGVPYESYVVNEFAPCLPLNRMRWFYRLWLGTDPGRKKKAEHFY ASPILAKSHANLAPASIHVAGVDTLTSEGIAYHEVLKKAGTPSTLKVYEGCGHPFGHW DGELDKAKEFVTDTIGALRKAYTV LY89DRAFT_718826 MAPTLLTKTTRFINDSGPSPPLPLQLPLLPSPLSLPLSSRATNP LPSLPPAGLEKTLRFLQALAQIIASYTLSPSSAKPWQTAWRQLALGRRYLRIVKFVDA FQLSLAVFESGNGNDNGKRGFVVLRTTLEAGKWSCLGMFLGLESLTILDTMGVYRTAW AAPLFVEAMKFWFYSISLSIVLSLVELWGLYSSPTSSMIALVPEGKEKIDRVKEKEME KREWKQKRGKIVKNIVTDSCDLVIPGSVTGWLLVSSRNVGFCSVVSTVLAGRDVWARI QG LY89DRAFT_73030 MPSAVSFLLFTAVPLVGIAVFWSSWLQDITNSFIPTWTASELPQ VTLSQGLVIGTVLDNEFPAPIQAFMGLPYAQPPTGDRRFRRAFPLPESNLTFRAQKYG PICPGKQLLSGKAGDNSEDCMTVNIVRQKPVGDDKVPVAVYIHGGAFNRGSSLMHNTA SMVAWSESPFIGVSFNYRIGALGFLPSKLTFEEGVVNLGLHDQVFLLQWIQDNIAAFG GDKNDVTIFGLSAGAHSIGHHILNYQEGVKPLFHKAILESGAPTSRAVHPFDAPVHEE QFALFVSEAGCSDVLPESITSCLRSQPEDVVTKASTTVFDKYNPSLRWAFQPVIDHHL IHGRPIDIWASRKWNKVPIMTGHATNEGTYYVPSNLSTSEGFTDFWHTLLPHYTPEDL ATINSLYPDPAKDPESPYVEQRDMKALSIGPQYKRVEASYAHYAYICPVRQTAHIASA AQEPPIFVFHWALNKTVKGGANHGDNMYYESFPEDVTSISESQREVSGKYHAYVTSFI TGGDPNAIQGRWGDRPKWERYERGSEKVMTFGMGNDERAGGNGVGVAAEMRGSEWVKK ECEFWWKKSVDTEE LY89DRAFT_73041 MTVPVAGRGPQVQGVAGLFLALSTIAIGLRCYTRTFIVKSFGLD DWSALIAWVFFVFFCTFAISGVHYGTGQHAVDLPPADIPVGLKWWWACEPVYVLSNMC LKFSIGVMLLRITVARTHKIIIWTVVVVLEVYGAFYFFLFVLQCRPSAYFWTRYTGGK GTCIDPTITVDATYVYSAVSCWADWTLAIIPVFIVWNLQMNIRTKVGLSFILAMAAVA SVATIIRIPYVKDLANAADFLYATTDVAIWSTAETGIGIAACSFATIRPLFRNFFLRS RLLGGSSSRGNSKSNPWPSSGRPGYIRSESRGGQESFGLRTDIGKNQGVTTVIASDAD VEKGEEKLPIQGSSRKQSFGHSRGNGWNNSESKLHDSSDEEQNWGFGIRKTTVQTQVA D LY89DRAFT_685102 MASLRLRKFGEKLQVKGDEAQHEKTDSWSNRDLIPLPPSRRTWA AFHYFGYWAISSLNVSNWQTPNTYLTLGLSVGQSMAVIVISRALIALFSTLVAWCGLK WHIGFTIQNRYTWGMRGSYIPLLQRILLNFIWTAVQCWNGGRLVAVCITAIWPSFATI ENTLPSNMPTTTYQFVGFIVFWTLSLPFLFIRPEKFKLPFQVVSAYCGIGMICMMIWS LSVAKGVGPVFSEGEKIPSESRWNSSWLIMSGINQMLGGIAAGITNGSDFSRYAKSPK HYILGSIASVWVVGTMVSFVGLVTTSACQKIYGEIYWNPPDLLMVMMDAGQGSSKART GVFFLSAGFALTAMFENICGNAVAGGIDLAGLFPRYIDIRRGALITFIACWVVQPWQL INKAATFITVLSSFAVFLAPMIGVMCCDFFILRKQKIQLSNLYRTHDTAYWYFHGVNW RVIPAWLCGWGPTVGGLILTVRGNTTAPKRLFELYYMAFFFGFFTSALLFYVTMRLFP VPGMGDFDDVDYYGAFTAKEAAKLGVMPLDSLDVPLERVEHIERLKSGEKGPKTEYIV ADGVY LY89DRAFT_697360 MKLSVYSVILAALGSLSTAIPAPEPRALPLVQSNQLRRVILRSE LLDKGRILQDFAYATEGRNRYIGTPGHNATVNYLVDTLDALDYYDVEVQPLTVPSASG TLSISEVDYEVAPMTFTAEGTVSGPIVLVSNLGCNAEDYPTEVSGNIALISRGSCTFA TKAINAKVAGAIGTIIYNNVEGLLQGTLGEAGDYAPTVGISLADGQTLIASLANGAVI AHLDVDLSEILTYNVIAETKGGDKENVLAVGAHTDSVQAGPGINDNGSGSIGILEVAI QLSKFSTNNAVRFGWWSGEEEGLLGAEHYVSTLSQDERDKIRLYINFDMIASPNFVFE IYDGDGSAFNLSGPSGSAEVENLWEDYFKNEVNIPTKPSEFDGRSDYGPFLDAGIAAG GLTSGADGVKTDEEVALYGGTAGEIYDPNYHTARDTLENLNVGVWVQLTKGIAHAVAS YGRSFETLPAKMKANEKREVHTFNRKGGKWLY LY89DRAFT_585753 MDSFVSRKKRKLSPPPPTSTIEDISALSAPDDESTDFKLALLSS LHPYLDQNVLMDMLLAHDGSVEKASASLNPSDSPPRKSSGVIGYQSSLSGFVSQNSTD EGLNKKAKLLSKKGKTLHLYDPADVAEHTPCSIIHNFLPAEDANALLEELLKEVPTYE RMTFKLFDNVVQSPHTASFYVDSYEELQRQKTEYIYNGGLLTDVRQLTPQMRKVSPQV EQAVNSEITKRITRYPDGKKLKYQSPAVWKPNAAFVNCYDGGGESVGYHSDQLTYLGP RAIIGSISLGVAREFRVRRIIPQDEEKKEKSKEDGDMEGQIAIHLPHNSLLVMHAEMQ EEWKHSIAPAQAIDPHPISGKKRINITYRDYKASLHPKYTPRCKCDVPGVLRVVQRKK ENWGKYFWMCHAGNVPDKDGCTFFKWAKFSDDGLPIWDDSDQAKAGPHADISEPS LY89DRAFT_718832 MEFRTTGFNGYAVKYSPYFDNRLAVAASANYGLVGNGRLFVLGL DASGIHAEKVYETSDSVYDLAWAESSETQVILAGGDGSIKLFDIAAPGNNPVAQWQEH NREVYAVAYNLVTKDSFVSSSWDGTVKVWSPIRSQSMLTLPTHSCTYSAAFAPRSASI VSCVSSDSHLRIYDLRTPASATNHLVHLIPAHGHAPVPGGPQRPSYEPAEILTHDWNK YRDTVIATAGVDQLIRTFDIRNPKGGPVAILRGHNFAVRKIAWSPHLSDVLISGSYDM TARVWTDGSTSATPSRPITLGPEAWGDEYGRFNAHTEFVTGVDWCLFGTEGWVATTAW DERVCVWDVRTVMGQNRTRFHTP LY89DRAFT_734159 MFFQSLTAVAAIPFASAQLNQLAQDAGKMYFGTATDNGELNNTQ YVSILTNTSEFGQLTPSNGQKWFVIEPEFGVYNFSMGSVVADLAASNNQILRCHNLVW HSQLAPWVDTMTWSKENLTAALIDHVTQEATHWKGKCYAWDVLNEALNEDAYYNDYNI EHVSNKSNAARANIIKLLQDDGIRIDGVGLQSHFTVANAPSLDAQIENMQLFADMGLE IAITELDVRLNEPENSTNLANQSQVYENTVGACMQVDECIGITVWDFYDPFSWVPDTF PGQGSATLYFANFTKHPAYQGIVNALTNGTDSGSDNDNGDDCDDDGDSGDKKKKRDSH WWRL LY89DRAFT_685105 MFNVCRRGPASISRALSAAVPTIRIPSTRPSCLSLDNQTSTRLS SESRWLHISSALRNQAPAYRHESNQESTEQPMGFGKEQQREVTKFQELMDHGMVHGNV VESITKGMGHHTMTEVQTMTINAGLLGTDIVAQARTGTGKTLGFLIPTVQNILRQSPE LAHRRQHTRAKASDIRAVIISPTRELAEQIAVEADKICRNTGLKVQVAVGGNSKRMML NKTLREGCHLLVGTPGRLQDLLSDEYSGIKAPNLTTLVLDEADRLLDAGFSREVEAII DLLPNREHVDRQTLLFSATMPREVMHLVRNTLKPDFEFVQAVKPGDIATHEKIPQKIV ITPGIENFMPALLELSKREIARYEAKEEGAKPFKAIVYFSSTANVNLAHDIFAGLRAG GGLFGKHPLHPATVSVMHGQLTQEQRTRVSERFRRAESALLFSSDVTARGMDFPNVSH VIQIGLPPNQEQYVHRIGRTGRGDKTGEGFIIVDKSQLQPARRMLRGLPITPDKSLES AAVDMTKDTQLPKSVADTLSQVGESTKMVSRGTKVAAYMGYLGQIQRSDAAESIDALN QWTRYGWGFEQPPMIAPILANKLGIARLPGVNIGREPRDESGGFGGSGGDRGFGGRSG GFGDRGSSGGFGGRGGGGFRDRGSSGGFGGRDGGRAGGFGGRGGGRSGGFGDRSSGGR GGGSFANREPSF LY89DRAFT_685106 MAQMRQRDAMSTSSIRPLSADFLPRPKEASMLFSILPSAVQFRL PRLPSIRRSVSMYGLASRRKSAGSRPSTGSSTSSGTRTPDVGYTSAMVLSEGRTMMAD EDIAGYYVESVSTSDDEGLQSKAGKERQPQRMELTEGASGIGWKFANQGLSLLSLAVD ESSTISRDPTFGNPSFARQVYIHSLAYLLRALPTDLTIEERMSVRGSLPEGIVEPLHL GLNPSYASNTSPQGEQEPSLLHRTLASTIIQLFIFMQFIMPYLKYLLSTAYAYDREHK ISEKVLAQSIETVDSLGKTGLNLTGAIYGMGDGKVGQMITETAAWFVEGVTGGIHEGV GEGMVIMGAAPRRSQVQSSRK LY89DRAFT_782363 MILSLALPLLVAQRALGQGGPRQGVAEQKSVISMRSLMYGELLD DAKSQISEGKTVVTATGGSGPFAHTTIVTATPGWDENWGPEGVYHAVLDVRGHQHIQV DGGEKVAIETSPSWASTATVTGISGWEPEHHCATPTMASAVGKRYWSGHEAEKEEEQF VNKLPHFNASAMRHDTRWVTSVYLGNPPILLQVEINTGSSDFWVYPEGKGWAMPGYNA SNSTTHVLHDKQTFKSVDQGGTVSGTVVEDAMRFGVEAPDADPSPDNAYGQLLSNNCT FGVVSKQNHASAITLPDETTWNSLVSPDNTQAYVDGMLGLGMAKLDDKSPTKFLPWLA RTHNITLFTLSLEGGRSSERGYFGLGYIDKKRVNGTISYTPAQRTPYRKFGPGWGITM TSFEVIEAQQPPTETNVQVVVDSGTEYIYLPKDLVNQYYRQVEGATYNTTYKQWFLPC EGGQMPEISFGLKGGYVGTLSRVVLEDHIPIWGLATASGPEEPELTVCAGRLQEVEKG GQAIFGWPFFSSRFLVFDLHGEKIGIAKQKKPRNPWGYYDDDEE LY89DRAFT_616985 MARITTRDLGYSPGQLEAGPKNSILDIKGVHVGQVTVGKDGDDV CNGVTIILPRDPSEIHIPCYAGMHTLNGNGEVTGSYQVKDWGYTSSPLALTNSCSLGI TFHAIWQWTLAQARKNGTSSEALNHNYATPVVGETSDWWLNDAHQTALEEKHIHEAFA NALTQTEVQEGQRGGGAGMTCHFFPGGTGTSSRIVRGHGEKKYTVGVICQSNYGHLPD LQIGGVPIGKLIFKERGSPVHQPEKTQAQLTSGGGKADEGSIVIYLITDAPVLPHQLN RMARHCAVGLAQVGGHGVGRNHSGDIIMCLSTANKPDERVSTPQVNMIGPIEKNQIEI IKNESIDAMFRAASEATEEAILNSIIAGRDGRTGFEGIHFDGFPVDFVKELLKMYRVD V LY89DRAFT_685108 MAQSTPAPVPELKTYNGNCHCGAFKFSVQMPDIKSVVECNCSIC FRKGYKWAFPGEGPFTIERGGGDLKEYEFGVKSMVHKFCGVCGIGIGGSRHAAPPGQQ LGINVRTLQDVDLWALEVNKYDGCAIAPAYEAPKYKGPEPTAEIENAKTYTGSCHCGA VTMALKTKEPLSGGSEHIQECNCSICARNGTILTYPASDQVHIENKGSLTSYVFGRGF QSHEFCGICGVSVYIKKLDVSDEAWEKESGKGRSKEVWKGICPVNLRCFDCVEWDAIQ VKKGYYKDVGREYVVE LY89DRAFT_73285 MIPREIVAQLIAERGDLNPAPRGIQEVITACCFLSILLPAGVVR LSAGPIIFGLYFYILFWTEQQSRQSYLLGVSCTILVYRWLDLVVIHKPERDFWKVEEQ DDGTVVQGRAPEGWWPRLKWAFFLWNNQRGVGWNIEPDCLPAAVPRGYSRSQFLKDTF RRFGYALLSQALTNAVLRIGYFYFLSQYPWDDQSYHFFRFPLTGQIMLSWVTAFKLYT NINMLYFALALVTVLLNIYEPRDWPPIFGSFLFDGWSVRNMWGRCWHQMMRRPCSEAG RIVKNIFGFRKGGFMSRYSQIWVGFAVSALAHQAGAKVGMYQDGGYWQAVYFMTQPLA IMFEDGIMALGRRAGVKSSGWTKFIGRAWVFLWFSWTLRFMVAYQPTSWLIDESVIPW NSATIAAADKIDPDLAVLLTAEHWKLRWLCNALGIECGYYSDKVYW LY89DRAFT_73338 MAPFKICSADVELLESEVSKPSPLRIIKRSQTVAGSLSSRETFR GGRQSSSCSSLQSKGSPPMGADRPLTVRKKRQGGGTSLNVGSDHRSWDKENEQPFSAT SVSADDDPDITPKARQRVPRTISAGNFLKSEFHRRSLETDQGRYSVRSNRNSFYSNQI AQHVPSPPNFGKFKPPKIPDRQPSKSKNFILRALAGRGSEESNHPQRVNSKGSRNTLV RRLSRNKTMDSDRSGRTSMSGETLYSIPMEELDLGNGGSDASTSQQETPIRALPQVPT VPQTSASPQELSQRQDVLVLSPLILSPRIKVTPETTSLPTTTSTFWVAIEIRGELHAA DGRYDMHPGRRTCSSQSADIRLQDLSRYGKMYAMNISILPPSGCTVLDIIGDLHFDLE PCETRFILAKVQVGGVDSNPSPSTRDPEILMTELQNHLGDTITPYLTVQANYKHSGYT RWESNYATREGTYVHSSFHYTEAKVAIKRHDPQSAWSPRTSETITTAPQSNVFNDLIK RRLSANKAAEAFRVIYRDQPKLSSSIRKPNVNRGTGDLPPTAFETDTSSVELGFDPQV RRTRPNGVPASRTLERSVVNPSPRHRRMRYGIDEEDDGEDPARKIWASIRETSGGNRL RRHPRQSISADHYTSLETNNSPGRIGSSQSSDGVLLNQTCESPDSSIEEERTKIKEIA LKNKRSVGTETLRSIAPSVSKSGKGKQGSTGLGLRVGGWGWGNFFG LY89DRAFT_73337 MVSRPFSTLSAVRKQHLLVEFSSLKYACPHGVFVSLTPGDPTLW SGVIFVRKGPYGPSILRFQISFPPTYPSLPPLVTFSTDIFHPLITPLTTYMYTTDIQD SGTVSATDDERLPPGGFSLRHGFPGWFGRASRRSAERVRTSAGNLQTPTRGGGSGVES PGSGVSGSMSPTPRGTAGTADSGTSAGRGKKEEITTYEVLRYIRSTFDDEEVLDSVPL EAAGNPGAWHAWRTHRIKSGKLKIKDERTAWHDGLDDDESSSASTPEGYQRLAGGTSA PSTARRPGEWNWDGVWEVRVKKGVDASITESVLFGKDAGDDLIRFLHLDPEQIESIKE NIKRSLEVAEPQRRGIV LY89DRAFT_585508 MAGKKNTGENSKKASGNAKKAEAAAQKAAQENAKKASEEDQEWS KGAKSNAKKEAEAAKKAEAARKKAEKDALLAEEEKEARAVPKNAKTAVKKSKGLDLSQ LDDDAPKSAGALNATGIDNALDALSLTAGSADKIDKHPERRFKAAYTAFEERRLKEME EDGSGQGLRQNQKKDKIRKEFEKSEDNPFNQVSAAYDASKEEIRALREAEKKKIEARL AEK LY89DRAFT_669594 MPLPDLAKVVISRNRFPSVRRGSGFGFTQWIELAWQLDHEGLRF HRPPRAIGPIDLKCDIDIWVQDLVGSWAAHPARGDYVSGCIVRRTGPLRLVQVAVCCL IYHANALPGATFNVPRDAQSEVVFAQFRVTRTALLGSRAWLRDPPSPCPREVMKSRGR IQSGWWRFRHDFKAFNHN LY89DRAFT_73422 MSGVQYTGSRKGTPPFPTRQMTILALCRICEPIAFMSIFPYVYY MIKDFGITKNDSEISVYAGMVTSAFAFAEFSSGVAWGRLSDRIGRKPVLLTGLAGTAL SMLIFGFAPSFPVALLARALGGLLNGNIGVLQTTVAEMVTVKEHQPRAYTIMPFVWCL GSILGPTLGGALARPVLGYPTIFAAGTIWDRFPYLLPNLVCTVIVSCGVVIGILFLEE THAEKKFRHDPGLAAGKWILSKFTRCAANLKASRSEKVEDLDEIRALLGEEQPPPGYR TAEGSPKLPSTPSPEPQESLDLNASHMVTRSKPAATNAFTRQVVLNIVGYGILAYHTM TFDAMLPTLLSTPPPENPEWNLPFKFVSGYGLSPKEIGVIMSVQGIYSMFATIVLFPI IVRRLSALGLFRLIALSYPILYMTTPYFVLLPDSMRMAGVYALVVWKCTFATLAYPSN AILLTNSAPSLLMLGTINGVAASTASLSRAFGPTVSGFLFSAGLGLGYSGLAWWCSAV IAVAGAIISLSMTDKGGRMDVDEKSDEEQEASFEDHILDHNALDSAIVAAEPRSETDT IEQTIK LY89DRAFT_73425 MNPRSPSSSTAATAAAVAGTGYPPHKRARHDSPAPKSPKSVLEE LETPAAVEKGAGKAGQSSSFRNVSACNRCRLRKNRCDQRLPSCASCEKASVKCVGYDP ITKREIPRSYVFYLETRVGNLERLLQEHSIPFPPADVLDHSSRPGPDPTTVQTPSDDG RPIFNGTDPSITSSLKQSPQEAAWNKKQDESEKLNKLVSNVGSISVQGASDSRYLGST SGISFARVVFAAVKSSVSGTNSDKSGVRPSRPPIPAKAAAAGPNGTSMRDSFFGLHTK PTIKQAPFPSKEVGRRLVEFYFEHANPQIPILHRGEFSKMFEAAYEEGDRRVRTSREL YMLNMVFAIGSGIFLGDHGEREKEKEERDKRRSGLDKQCQPEEYHASAIVHLEACLSS SSTSIIGIEGFGGGLEELQAVLLLAGFALLRPVAPGLWYIIGVAVRLAVDLGLHYEDG KDLDVGLEDMPEEKAGDPELVKMQREKSARERGRREYVRDFRRRLWWCTYSFDRLVST CVGRPFGITDQVVTTEFPSLLDDKYITPSGFMVPTGGPGEPSYKHAAYHYFRLRLLQS EILQVLQHQQAQQARATGANQQNPFMHTTLPSPFLSKFDSFRSWRIDIDKRLWEWKTS APTRKETGVGFSVEFLELNYWQCVIMLYRQSLSVPTPFEGEFNTSDEVNSPNVHNVEL REDEERVFLKVAEAGQRVLRLYRQLHRVHLVNYTFLATHHLFMAGISFLYAIWHSSIV RSRLTMDEVDFTILAATSVLGDLIEKCPPAEACRDAFDRMSKATVQMCMSTTGFGSSA HGLNSRQRSHSQNQRHTQSESGPGSADYFSKSARRQSRGPHGPPRPKPQFDMALNDLL GPVSTSQQSPSTPTQPQPQNFRPRSQNSGVKMELDPYASSSTATPRQTTAQHTTSPSD YAISPPLSQLDTSAIDPSLLPSPSATGPYAAAVQSTYPSNPYQEMQFPFQNAPGMDFL NSSAWDTGLGGNTTNGGNGGNNWMGGGDLGMGLGMDMQWIGDGVDGEHDFSEGGNGGV DLFDGFFFGGTGNF LY89DRAFT_73426 MKGRNGKGTGHSSPSHLVHSHNPQLNNIRRQKGEVVFIYPSTPP PIVSKNSEYQIHHFTTRTINLKITVNLIHPSSFIPYHRPPFPPAYRATGYHQPIDQLK GHPCIHFVNENSKNALLSPTIAT LY89DRAFT_685117 MSGKQPDLSTPWSEWMWSDKHGCKYAQRYGPDGKLEYDFLEPPE EDEPEEDEHEEDPETPQYTRESTVEDAQFYTTSQPPRNRPSYGYYDDNIVKNASLAGG FPSSYYDDNIVKNASLAGGFPSRGSQHNSQPGDIGSAYEDYESIGEPPRFFKVGRVLE VIWAEPAGEDSSVVGPNFMVSQLGANVFTKNRRFVVVREMRGCCICLSLFTYNGQGTT KDGVRPEDYAAVFPDGGQAHTLPGERLSKDPFPIIIENPSQRLHPMSRLSFGRVYTVE HNVRAIKIGRIPDEHHTRLTHYLVHTIFGPQWRDMSIDFNSDNPPLARSIPPGINTGY ATPWSETSTPRVPASSQRMPAQYTTPRTDIPERSTYTSRRSENFDSLDDAFSSIHIQD SSGQIKKARQHLPQKESPLLDARYIQIDERKNKRFWKVGRVFKMVWTEPARPNAGLNN GQYESVWLGETVFSEIRLFVVVGEGYGNVICSPIHTYSGQATLKPNLPDRQQHAIIYT SARPPEERWQYDTNGNVVREELTKDPIRVVRDLDDEESDLGDLARINYAKIYTIEKYV RVLNIGKVHANSMESLLASCLFVRPADQPPQGPRKATSSNKSNEDRRDERGQYRGQSS RSGHHHRRK LY89DRAFT_782373 MPRNQPTQNSRSRNKACQICWLRAKQCNGGVNCRCSVCSSMMAK LKNNGTDLNFPLKESQSHMYDMYRSLESQDLEFSKWIIKVERRNKPNTTVELPSYGTL DNTDGGLQTADLDNLILSQFAPKLRAKSGNPAVIANAVLASGYIFCLLSATNPSQPVS GMVNGSGNPCSNETLRHTPMFKGRLLMRLGAISDLFRASFRHEIGALSQNEAANNHAA AVIFLHGMVDLYEGLHNGVLQSLFPTELTERLSAWSNSFRDELYQQAGNDCSKFWNDA LKGPLKQLKIRRKEHVRMTVELQQYLRSLDDSIEALSESPGLLYNESGISFVDSAMIL PPTPFSMPTAGCAETSAAGFEDYNNQNFSWSGMPTQHPQYSAPGFEDHDNQNVSWPGM PVQHPQYSANAYYAFEQMATNDKVYSNPLFEPPAQWASHDPGEFFFNDRTLDPDPSPY VL LY89DRAFT_669600 MAEELRKLAACSTCWALKRGCLDRDPITLQCQGCKSYIKDAKLQ DGGTLLADTFFDAVAHSELYRQVSASSLPTLDISQGTRNQNIFGLQVASSAGNTSDPS GHVIDAHFNTRNRLLVEWLDEFVRRNCCLDIHGKGDHTQIVEDAVLIGGYITLLSSSI RVKEHVDTTRDGVIVENELEHYNLYLHLQHLLLSRLQMLIKEILNSLRFEDPHNEYPG YARTAHAAAVILWYDVDYFRRSLRHGPLLLQNSMPASWKGPGGTIVKWFENIGAELQS QLRRGCGQHVDWDSALTSKRSARRTHTTFKLKLTLHQIKHTIQYVPPIRTIFTPLGDG DVFLISPPRSPTLQVLGLRSHALDVEEEIPAHVSYLALLDQPSTEAETSDMDLNLLPD LKTLIR LY89DRAFT_734174 METIVGARGPDGPDISIAGPMMRTIWILYGITFAVVILRLYTQW RITCSLGLGDAIMALSLLCGTGILTTLTIQHHYGLGRHFSYLDPHQKVEALYFNFIGQ PLGRHSLLRERSIQQHILNFTGIMAAAFVCKDPRTLWDPIGHPGYCWRPFIQEYTGFA QGAINSATDLFLTILPIRIFYTLKMSIHLKLGLGFLLGLSAFAFVASIIKTVELKAIG NRGDFTFGTVDFFTWVIVEFTLVDIAASAPLALPLFRRLRKPPPKNSYQLQKPYGGHS IVILGGKSAHPQNRNKSRSKLAINDLETTILNSSEDNILTLVDDAGERAGERDLPRAA GRAVDLESGARKKVTSPVCDGKTAIIKQTSFDVSFKVNEGEGVNIDGTRRRDEMWMTK ELPKIPWEAQVKMYKR LY89DRAFT_718847 MKYFGSAVLALQLFHETATSKPITPRQLPTRSECPSESSYPTAF SLQAIEVATNSTMVLAPVDNISFDEPGTWYLGGGTDFTFNLTDYNLAIGNAPCGFQGY ETSSGTLGVPKCSVQPGGRGQLNPYFSIGYSDTLGISILQLAHPNVTGAGSACGEFAT SDWGPYGEVYMNITTCGSFPAGYDPIIVQIIPVNGTQS LY89DRAFT_718848 MADGPSSQISRRAQRRKKHLRPKSAQAYEEWKSKHSQTANAWLS KLKNHLDVPTLEVRVMQLLSGHVEISNEKKMSDGTGCLEERILDHAALVQRQSKLAKA AKKEFWKQKTRSEIEMRIEIGSKSKSNSFPFPKLPPELRDMVYKVVFEETSGMKPTLL WALQDYNKLYPEARKAWCGTNDFRFSLLESKANTRDGLEEKEMELLKKATALVSKDIQ ACSKLSLVVLRAQNLECLTLEVASKVGFVLTIHNIIPNLKKLKKITLRLSCGKTRKER MKDWTTWMVGHTYPDLFRNTVETRKMLDTMLGKEGKLIESESKPWMQIWVWEVKGKDE VFRPKKTKTHIKFD LY89DRAFT_782376 MPINQGLMKRNPDLTPEQFSESWYKDHRPLLVPYFLSCGITYYA QIHAPLSTTSPDIDISEWSGAAEMPSEDVLKIWALSTLVPQWKQDYYDEVIRADEIRL LGMLAHEQILQVGPGTVKGERKILIKDGKAVVEVPEGAWEVWRTYEKRGEGLEKSR LY89DRAFT_73602 MHRQCHLHCFYSYDHLLSTPFFLSFFLSFFLLRLLISLPPYLEL PQNLLRPCRKILLQLPKSQISKNSYTLAYKIMSGSLIYAPDWLRLLAFSALSIQ LY89DRAFT_585512 MVYCGKPSKGCQTCRDKKTRCDRQTPSCGQCIRANRPCPGYRSQ LDLMFRNESNAVIGKAKARERSKAKSNPLPPPEPVEPAEELFNAKSFKNDLELPEDLS MCRIDQGAFVQTLLPSCTMFPSLEERGLSYFSTLSSTWLRDPSLVDDISNQSNAEEHL LASMSAVGLATFSNSVHAPEIMVRARRDYVNALQLTNAALRSPTEAKKDSTLFAVMIL GIFETITGNNDRSLAAWTEHINGAAALVKLRGRDQFKNAAGQRMFIQVTASLMLSCIQ RTIPMPQHIVDLRKEAAKFVDSENPAWRLSSVIIDFTILRSDVRDCKIVGPKAVIEAA LQLDGRFISVFENLPENWKYDMAYTDENPHLIWNGCYHVYKESWMAHIYNSYRTCRIL LHEIIRDQLLAASTAMTPIIPIAQMIVQGEKSVAIMLELQADILASIPRFTPSPISES AQGLLEGSRSYFILWPLYLVGNMDLTTDPIREWAIQRLRNIGETVGIRQALVVAEYME RRKYIMVWNTKPDPVPHWRNIERSEQCQESFPSWEEGQELLQSHLEKDLAMMSQT LY89DRAFT_697376 MIFPTTFVTVNKCGKPILKLLLQLASQIHDQTRDFDLIITRLDD LIALATEKFYAFPFKDVPPCWRILFREASMLRVSLGPLQREEILDEIVKTIDMALIMT GPPPSETGQAEVETLFNLLQDINDARSEFEHEERPIKRQKLGEDTFPGTTSFIPPVSR PVPRMAAPTFDQFEKHMQHPKDTNLGPEPLIITDTLNHWPARNERPWNKPSYLMSKTI GGRRLVPVELGRSYVDEGWGQKIIPFRAFIRQYVLRERDSTGLATGYLAQHDLFGQVP SLRQDIAVPDYCYTNPPPPHPSSPLAEKHSKHLQLDEPLLNAWFGPAGTISPLHVDPY HNILAQVVGKKYVRLYAPRESEKLYARGIEDGGVDMENTSALDIGVLARWDGSDAERL EAHEMFPLFKDAQYMDCILNEGECLYIPLGWWHYVRSLSVSFSTSFWWN LY89DRAFT_734180 MADAVIRSGTSDIGMHRGFQVANGSALIAKATKMERSYEEAIKC LNSRFGLPKPNVEDMKGSDDMVEWLELLGHSVEDLDALNAIHVAGTDGKGSTCAFAAS FLKAHGNDTGYPQKIGLYTSPHMKSIRERIRINGEPISKEHFTMRFFEIWDKLPDQST TALDIPRYLQLMALLSFHVFIEEKVDVAIYETHLGGEYDATNVLRTPLATAVTTIALD HVKLLGPTIQRIAWHKAGIFKKGSLAFSTLQEPEVATVLEQRAAGKGVVLEFVGVDST LPTNAAVLRPKVQRINCSLALAVVHAWLSVKAPEGQSRMEDNIIQGIEQFSWPGRYQQ INERNCQWFLDGAHNESSVRYAVKWFAEMAREYQNNTVTPSRILIFSQFSSRDGTALL HSIAQSLRRNDIHMQYIILTTYDERRDGQTRIDRNLKKRFSAEVQERYAETWGNLDPT ATVLRGRTIEGALDRARGIGDQNNGMQALLTGSLHLVSGALCLLESDYSI LY89DRAFT_734181 MHHLGDIYCERGVPEKVEKLVLVEIKQLRARGKQHSKAFRRLAP PLAEAYIRQERLDAAKADLRELLDIFERMAGHDVSDQLNHVRSMIGLARVNWFLARRS EARQTLELALGLTERYRTFTKGNYYIGFINLFLSVVNFELHKFAESRLTLASANDILC KEVPRHFIPGLGSYFLQDLQRIEALQRPWSSIGATQFSTRFQQSSNKSGPEEPRRNNK ESGKVMARG LY89DRAFT_685120 MADNRSGQEAKEAEDRAAKQALTLALTDQETVKRMSSSGERAQR DRPNAMQRHREEEAEAARQAEAARQAGGSGSGGS LY89DRAFT_585829 MLKTLPLLHGNVTLESALANDDNMLQRIAYPDLRFEFYLSLFKR RSDIEAIVSHHLRLRKTETCHLGEFKDWKAGSFNVCIPVNINNWATFPNKRVIIRFPL PYKVGESRYPGNADEKLRCEAASFIWIQNNCPTIPIPYLWGFGFSDGQSFTKDENIPF LIRLKWNLRRTILSVLGYPVPCRYISRRCPSSLGIGYLIMDYIEDTDGRMLSESWEEL HHDLNRRTTLFRDISRIVLALGQSPMPRIGSLTIDNRGVLSLTNRPLTCQLQIMENEG IPTNIDRNLTYSNTGTYLLDLLACHDSRIRYQPNSIRDEFDGRAQMATLAMMRALLPN FVSRDLRHGPFVFSLTDLNQNNILVDADWHIKCLIDLEWACSLPIEMQHPPCWLTGRA VDELQKGEYLEAFARLHEEFVDAFEKEERLLPPTKHGALYRTNIMRTGWNIGNFWYFH ALESPKGIYNLFLQHIQPRFTSSHNESMFDRIVAPYWATDADAVIASKIKDIEVYTDQ LRKAFGAVSNESVKESSDG LY89DRAFT_734183 MHRKKCQILKGKHLAKEHFEWCWLQHVQASKRDADELQLILANN ERARFIRPPELVDPDERILDYFGRHFAYNRTAIRDNAWEAIVKIAPERTLLMLVLTEL FSAYIRCEYREKELMRVNYQSRASVLTTLHQWQSKVDLTGIDAESLGIVLLGGMMVVL QQMIGPDDDQQAWAHEILWIPFLIQKTLHAVTSVPKILPLTFELRAKLNPHITMANML APLHAQLPDSGYLAEAEWQNSQLMCTIDPLVGASHAIMWAIRETNLICKGSSQISPEK LLLYIKSIEQRYPEGGEPRDNDHIIYKQILFDGERGYQILAKSSCVVDY LY89DRAFT_782382 MSPPKTCRVIGGGIFGLESALAVATTGMFEKVILFDFPSSSAGS SGSSRILRDGYANPIFAKWAARAMERWETTEYKIFMRKCTRFLIYTSDRINILQGIDN TRKEQGKEPCKRVTAKEVEEAFGSRALGKLFGEGATYIHSPEDCVLDWQQYVDSRRES ATRNVEFIQEQVVDLEIDDDKVMQIVTNANVYEVTSEDITVVATGGWTSANLDLWGVP KLPESHQPETVVIMSFEIELTQEALTKMKDNPIISPVGLFEITPLVANKIKVTWVNHR FLEKGSGLLSNPKMLEGTAIMQVAVYHIRKWLREILPDLGIVDLRGDAQIDSMSKAGM PVIAMHPEMPRVCLVMNGGLTSAKLAPLIGELTVNAIFGKPEPDFSLQERDTNIHTQP ALTTCATFEEMEQHAKAELRDIRQEET LY89DRAFT_585760 MDSAADKEERKREAATRWLTLSYNRVAQREFRRTRKEHLRSLEQ AQKEQSFEQSEEIECLRCQNDELRRENEALRAQIYRQPSSHGMLSIQMAVRPLGSDGR QYSLSPSISAASIEFRHDANGGSIIDIFDITTLDTSIRRPLSFVIPAILYGTCIRTPS LY89DRAFT_734186 MASDTRAWLRKPRPWKTDFTGDKNRPAKRNIDAWSQSFLLGTGK NEKFTKRIKELDFSTVYKDGPYITQRQLSSLSDEEWAEEKQKLLDKDKGRPPQAFRSN VRDALPEALRNEVSGAEETRADDYTSEAETELAKLDTRFKAAFHELVIETTHDHVKDQ LEKFAVLFTGYRDEHRNAIPQLEAKYKRKVEQAAAKQAKKIRGGSTTTSEVQ LY89DRAFT_718857 MDEAPQKLLANQQANRNWWCHMHLSPTTENPGIEHELRPQHSHF VMITMKRSERSSVVQSEMVRVTGMERWKEGRWWREFLDERRTFFNKINISAKPKETER DALGVSFKDWVKASQEWWGGDWVDQSGLAEWHVSQYLRARKVSESSYQPIGSIAEAND AEETSVPPFDPVRDI LY89DRAFT_734189 MDSGLLPVLTSIALVLATFKFLAACQHRREKEARWNDVMASVEP LTCTLLVIAKVVVLAASFRQNEKFDTIALSAAARVLAIYQWWVSN LY89DRAFT_734190 MLLEAASREAEDDHSKTGFFSPNIPVHQHVVVWSEYKKNCELCK VLWVKHALKRLLKFRSLKGESTFDLRVKSSANGWQDVPVVRLHVSGQGDPNEKNAQEY ELRFLEQDHFAEYPKDYLKVRPLLLKELSSYSGSPECLSLVRSWYETCTTSQHLTCVR QRTTLPCLPTRVIDVGIEDANPSLCITEGAPGSWLALSYCWGSSSNFVLNKGSFERFK AGLPLSEFPQTIRDAITITRALKERFLWVDALCILQDSAEDWQRESGKMAEVYSKASL TIIAAADASSGVTTGIFHQRKISPCARVPWNEEDATRKDFEIVNWNNEIGDEQSRVAE IEICIRAAERPAGHILLNNGPKPKSSPWATRAWTLQEQLLSWRTLTFATSQMLWSCPC YNHGEDGKMIREDKKEDLPNMLEDENIESHIPAQRRYVKWYRVVTVYSSRNLTYNSDK IVAIASVAKRMNQLFRDEYCVGLWRKDLCAGLCWRLWEPEHKRKVVPTISDSYPSWSW ISVNGGIRYDMEYIEEYAAPFNPMLHVEDFKLTYVSDNPYGQVEGAQLIVSGPCLSFR YKDQDREREEQGIQTKFPRFIERRIEADEEFQRRRRPDANPELAILLIANASYGYKGS DLLNPWFPSNNFPHVYYLLVLEPVEGCSVEVSLQAPSQCFRRVAFIHLELGNVFKSME RHNQEEDIEIAKEMRAQPWPVRMVTLV LY89DRAFT_669617 MPKTAADVSLIVKALFKSNERFAIKAGGHNPNRHFSSVESGILI NLRDLKEITYDAASSTVRIGPGNRWADVVKALELFNVTVVGGRIGSVGVGGYLLGSGG NMIFHSKKTDQILTAVRNFVEDCQDPRAAIIATNFLVLRAHVSFWAIFLFYDGPQPPP GTFDSFTEIGPMRETCRTTTYVKLLTKFDNFIFTGQSYSIATETSPLPDRKCGLKVMR SYYDSLDRIVMEFDMSNLRPSQASRVDAALVEIYAGIREKVQGFIADGTLPDAHLPLF MNDANYQQDYFGRLRPETLAFAKAVRDEVDPDGIFRERTGGFKM LY89DRAFT_562270 ELQLSCSVKTLKRRCKEAGYYSCICCQKPYLTKTQANARWLWGI AHMFWTIWEWSQILYSDEVTFQVGGKKCKQRCIRNKKERCHPDCIQFQMHRGGTIPVH FFGAVGYGYKSPLINIHGTGKSGAFTQTDYLAQVLKPYIQDFLAAFAAVLGPGKTPQF MEDGNSAHGHKTTSNICATWRTSMGITLFPHPAVSPDMNPIEKCWRRIKQALHRRLRQ PTTEVQMVVAVLEEWDKIPQEWINGLI LY89DRAFT_646399 MSNSIKVVARFRPQNRTEIEVGGQPIVEFESEDTCKLDSKEASG SFTFDRVFDMNSRQKDVFDFSIKPTVDDILNGYNGTVFAYGQTGAGKSYTMMGTDIDN DEGRGVIPRIVEQIFASILSSPGTIEYTVRVSYMEIYMERIRDLLAPHNDNLPVHEEK NRGVYVKGLLEIYVSSVQEVYEVMRRGGSARAVASTNMNAESSRSHSIFVITITQKNV ETGSAKSGQLFLVDLAGSEKVGKTGASGQTLEEAKKINKSLSALGMVINNLTDGKSSH IPYRDSKLTRILQESLGGNSRTTLIINCSPSSYNDAETLSTLRFGMRAKAIKNKAKIN AEISPAELKALLKKAQSQVTTFEQYIQTLDAEVQQWRSGESVPKDRWAPPLDGVGVAK AKAQPPRPSTPSRLMTESRAETPAISERSSTPSLPMDKDEREEFLRRENELQDQIAEK ETQIAAAEKTLRDTKEELTYLKERDSKANRENEKLTGDVNEFKMQMERLTFESKEAQI TMDGLKEANAELTVELDEVKQQLLDVKMSAKETSAVLDEKEKKKAEKMAQMMASFDLG GDVFSDNERAIKKVIDHVDALHEQSSAGEAIPPDEFQEIRAALVETQGIVRQAELSVY APAESTANAKRREELEGRLEAIEREYEELLERNLSEADVEEVKTRLAQAYANKQDVQT SLVEDLKAELAQKTSEIQKFKAVNEDLQQKVKAGGAPNGTASMANGKTVQQQIAEFDV MKKSLMRDLQNRCERVVELEISLDETREQYNNVLRSSNNRAQQKKMAFLERNLEQLTH VQRQLVEQNGSLKKEVAIAERKLIARNERIQSLESLLQDSQEKLTAANHRFEAQLAAV KERLDAVKATRQMGSPTNGNFSFGGAGSRIAKPLRGGGGDGPVIPTISGLQNEASGNS GKRTSWFFSGQK LY89DRAFT_685126 MSTAARRRLMRDFKRMQTDPPAGVSASPVADNVMTWNAVIIGPA DTPFEDGTFRLVMHFEEQYPNKPPAVKFISQMFHPNVYATGELCLDILQNRWSPTYDV AAVLTSIQSLLNDPNTSSPANVEASNLYKDNRREYTKRVRESVEKSWED LY89DRAFT_707489 MSFRAARTAMSTLRNSPASQQRLAQVQRHFSATTSAKQEIQDAY ILSASRTPTAKFNGSFTTVSAPQLGAVAIKSALEKSKVPVSKITDMYMGNVLQGNVGQ APARQALIFAGLPNTIEAVTINKVCASGLKAVVFAAQNIQLGLAEAQIAGGMENMTRV PYYIPRASQQPAFGNTQLEDGLIKDGLWDVYNQFHMGICAETTAKKYEISREMQDDYA KQSYSRAQEAWKNGAFKDEIAPVTVKGRTGDTIIDTDEGYLDIKLEKVSTLKPAFIRD GTGTVTAANSSTLNDGASALVLGNAAIAREYGAGSRVLARICGSADAAIDPVDFPVAP AKAVPIALERAGIKKEDVAIWEFNEAFAAVIKANEKILGLEGANVNPLGGAISLGHAL GSSGSRILTTLLHQLKVGEYGVAAICNGGGAATAVVVQRIDSV LY89DRAFT_734196 MSTKYDPPSGPPPPAYGSETGVNSPQPAHIAAQSTGQTQSDYYG ASPQNVYPPQQPGAYVQGPQGQYQYPQGPQGGYYAPNPQMGYAQQGPYGGQGGYGPQG GYYNGGYAPGYGPQGQYLDDRRGGSSGFMEAMLARKEKEKTVGLGDTVCLEHRGSAEP RSCGGYSEERKMEIQDECIDRLYSE LY89DRAFT_669622 MAHKANIVEISPLVSDTASFEKSKALSQISIQECSNVESTNRPK EKITLSESVLLSDHLTEGKHKHYLTRRPKFKNIAYEATIFAKQYVVVRLREIRLKTPY DTLTSIGRCNHYCISPLYNWYGQNDRILVTAPLSQVGIRERDQSIKKVINCGSHNYAG LYDISDAELALHQTCLEQLPIANTAAASFLHEMLSGLASFFNAKSCFSTSTGYQSNML GIPAIAKEGWLIVLDEKSHNSIFTGAYSACADAMKKFKHNNMVELRRILEDASLNGNY TDVLHGTIPPLDALSELKQQYNFVLYVDEAHSFGSIGRTGRGLLELWNDEHPENTLSP DVIDVRSGVLSKAVGALGGFVCTSSSRFDECLLHRSQALQQWSDPLTTATIVQTLRFL KQPRRLERNLHRLKDISRFCHEELDRQGVHVYGDQHLNHLPMLPVFGGRPSKAAELSM VLRRNGVVASPISTPAVDIWESRVRVLLSASYTDEDVNSLITAIAAASRQIGLKKRTR IPRQKYCYNSEEMMADEDENNEMLKHLNCLIQEQVRRLPRLPAISLEIINAGMSAQHQ YGIPSGTSRWMLGTFSPHLSVESLVAQLTHQRSALTYPDSGLGLMSTIAGLCRPVLKT KRHYLLFPSDLEAAGEEGLRVASKKNKDIVCIRYTDFETLRKLFVSLGASKKIYITIY IQPSKVNLHQLAERLRGHSIRGCTLLLDINNDTLSNLDTMSVARLQNLLSARILISSS FYSEFSMPGGYLAGDES LY89DRAFT_73945 MPPITQSASATLRSLVDRSLHVSSVATRSLPTRILQLVPRQNSN PSIIPTTYGSINSGPAPGTVVGIVLGSVGGFLLLLWLIYTCLSLGGWGSRSSYEEEVV VHDRRKSRHGSTRSRRVSETVEVRRAPSPVRIVPMPMPMPPPMREPVRETVIVEEHRS RERPREVSRGSDEVVVIEEHSPPRRKKSVREREERERRESGYRTVDPGAYAGAVGGRR SSGSRRER LY89DRAFT_74048 MRSRIDSSALRAACAFSADCAICALSGICAIYVPTSSLSRLHSP MILRYTPFSLSLCFLSTQLHNQRRLNSNLKFRRFRTHQTEYGCTQLQNSFLILCDSRL YLHVKLGLVENAEGRGMKVGTRECIGICKAAMNGNGCPPNTALTFKSRTLLIS LY89DRAFT_617031 MTTIHEEAMDEFFNFDAAAHPLAQHDFDFANLESGPYDIDLAFN EPENDEDSFTALQHFSAPEQQHFDLQHPVTDTTMMSPADFTDFQRWIEGMNVPTKPCA YCSRMRIHCKVIKEGVRKGSCTSCVALARTCSLTVPGPQLNNDDQDLDLGMDVAEYDG PDQWSESQLPQDYLDWNMEDTILPSDAPLASPRRPDSFHGSSRSSSKHVSLRSSDENI NASLENNPKVGARFSREAIKVLRNWLSTHHSHPYPTDEEKESLRRQTGLNKTQITNWL ANARRRGKVRPPRSVSPNVSNYVHGMDIPRRATPAFENMNPLERWKNSPPENEPAAIT AIAKAVTSSTYSSGLDSPFSYGHSQTDDGSSRSLCNVSSTSSLGTSGSSGNSFASAFS HKSRGSFGSFGSFGQRGRRRRRRPAPKPVKVNPMIAPARTYQCTFCTETFKTKHDWQR HEKSLHLSLERWVCCPAGVTQYCADYGHNRCVFCGLPNPPEDHGEVHNYSSCAERSLE ERTFYRKDHLRQHLNLVHDVKFQSFSMESWKVVTPEIRSRCGFCGIVLDTWSIRVDHL AEHFKGGNSMADWKGDWGFEPEILDIVENGMPPYLIHDERNSMNPYEASKEFAGDEKT LEDVVKIGLVEYLHDVLLKGGVPTDADLLREAREIVRKADQFTVGPTLPQVSWFRDLL MLHGCDNDTSCDGPENNLLSWGERLSRTTQLAPHDTTNLHTIACPKERALMTWVKSKV MLGLTPTDRELQVEATKILDDIENTSNFKAKGAVGWFKYLVRSDTIWLRDFRRRAGLP RSSEMAMEHIRSKDDKSIDYSILNFARLENELKDWCRFQLALGTTPSDSDIQRQARLI VYKNDDPWNQTSADDPAILHLFKRQNGLAPKDENGADTMDLPTLAESSDMPMNAPSPR TLHWDLEHTGIGIASPNSGPSSAIGGTFPPALTVDKPLHTLIQNQPSTNTNPVQPLKY FLNDANCYGRLVRELSRFVTSCMSPNNPMQHVPTDAEIQNQARWIIYDDDDPWNQTAA DNAEWLIRFKRDSGLASPQDGPGLPLNIGSWREAEGGSGFSPPYLCPQNEPAAPYVED VPVTMKHKTYNIKASTAQKYVKSVGQRYKKPPTIFCSREMESQLTDYARAEMSRGVTP SDEALRAKAREILRVDRTGADEPVLLQKFKVMVGISRNASPLASSLPPIDDALLAEFD DEIGNMDLSGIEMPGSVSPILDSIPAEVAARGPATSPMSTSSQGQHSPTKHSPSKGPG LAQDYAELYRVSAATASPLRRHASAKMASQAGYSFSPQPQNRSPLGISPPTTTSALSF PEDENSL LY89DRAFT_646412 MASLPFNIFPSSSATAPSASSTSFSITSPWNTPTDIWRKPANPT SAGKEVRTFNSPMIFKKVDIQKFKRVGVTVKANWERLYDQGGLALILSPPNSSITEIH EPSLKWIKAGIEFYNSRPFIGHVACEKWADWSLTQAGITPDGNVTIEMERDAEAGTLW IYAIGEKGDRIPVREVTWVFADEELSAGREVWVGAYACTPILEGRESEKDGLTVRFEG WELDVEA LY89DRAFT_748545 MEFTPEYQSGFIAAFLASQPAPPANDHETPEQARTRIHDLFEDI RSRYLDSIYDRFYSKQTDPADRNLEVLGNARARFEEEIETTRFRYQTEAVNQTNNTQR QELEPEIHSLEIQATNPKNESGDDLAQQIRQAEILQSRKEALDREQVEMEAGFGIRKD EKSARECDERIRSLVRKEREKRQREQERLRRNRVELEKKRIDRLIMERERALEREKRL QKTMKDLERREKKIAGSIALAKLEAKRKKAILDKETKRVREERRRLPTGRQPRKYYH LY89DRAFT_585609 MAASSKYVLAEVSSLLTTLEELNATFLSGKTLDVEWRKEQLRSL WRMIDENETKISDAVFADLGKPRVQTQVLEMGMVKNDIVHCFQKLDEWLAIEAVEVPP PFENWSPVIVRRPKGLCLVIGTWNYPITLTLLSMIGIIACSNVGILKPSEHAPNTAAI LSELIPKYLDPESFRVINGGVDVAQALLKFPYGHILYTGSTNIGKEVMKAAAEHLTPV TLELGGGNSVVVTEKANIELAAKRIAWGKFAIAGQTCFAPNHVIVHESVHDTFVAALQ KIVKVGKIVNAIHWKRIMSLLASTKGTTVFGRTGKENELFISPTVVKGISPEDPLVQT EIFGPILPILKYSTDSEAKNLLQTLSPDALGLYIFTEDLTEARDILKWCTAGTACIND AMGQIAPTSMPFGGVGASGIGSYRGKASIDTFSHKQGMVTVPTAPEFENLLAWRYPQM ESQETVGFVKANLEMKL LY89DRAFT_74612 MVPTENQDSTRRAPQVCSHCKSIKKGCDKKLPSCTSCIKRRAVC RYGEPDQERRSRSENGSPSGAHERLAPTWASIPRHLRSRFSPSIRLSILLSNSLSDVL PSSLSESSASPAFTNTDSVVNAQVMLIIGVGGRKPEEVALRYFRGVHTWLPIISRRRF RDRYEHFQTSPAADFSVLLLAMRLITQHPSVDPEADQDREVLYLATKTLFAQVQAFMP SSLFLVQAGVIIGHYEHAHGMIEAAYVTVGTCGRMACAIGMHTKQCSSEMQGTDTWAE DEEVLCTWWGLMILDRVIGCDPQMHGRPFATRPIREDDYLPLESYDLDRDVDEMLEPT FRYFVSATSLPGVGTFGREAQATYLFDRVRLAIESGDAIAHNLYHIGRDLQNLLSVVM EQVAGRVGIYCGAMQMLITGLYNLHQAVHMHPDFDNQFNYKDTTEAALSTLTRMVLDI AYAFNRECGNVNIEILQPALAHIVRCAQQHILTAEDFNDPSWLEDFDQLRKMLAYFNR RWVLAGMELHRLNETVEISMALRM LY89DRAFT_734204 MAVSSEAPTKSSIRVTHEENRSTANAAAQHIIKPFASLILRPGA DELAGSPRLTPNKHAERVCTIKESQTADTWIYTFENSQDPKVQAAAEHRLYYFAGGGF RGVPAKEHWMFCTELCMKLPEYEINLVSYPLSPNSPALKSLPHLELLYRALAQQSIDE NFRITLMGDSSGGNIALVLGLYAAAEHLKAPSTGPCPVETVMAMSPATDLRNENPDID VVDPHDPILSRKLIEEVAHGWKGELPLSDPRISPILADLTLFRKAGIKVDGVTAGNDV LTPDAILFRKKLDECGVEGDWLEWEKQMHCFPLLSAFHVREGTAGKDWIVDVLRANLN SAANASKSSGAP LY89DRAFT_669630 MDAAEDDQDVKLQRASADLLADFQSSITPFLWKTRKSGKTQIRD QVRSRDTERLVNLLEPFQELPQLLDPHLDKFVPLLADAFLASLQAPSSKTPPINAHLL MPISKAICKLLYTFCKIRGDKVIVRFWSTEIKYLELLLTALESNKPAEPLEDKDTAAW DWEERYITLLWLSQLLLAPFDLASISSGDLDEIAKPTILGLLWPPDVPTITVRAISLG IQYISSSGKERDAAKVLLVRIAMRRDMQSIGIQEALMQWAIRALQFTTDLDHSTYYYI GVLSFLAAILISSVGTTNMDSFTKRIFRVIQNITTEDSAMSKMIRGSAVARKAIVKIL RTIVVLLLQHPDPSEDPIEFTIGHMLDSLGDSATPVRLAASKALSIITLKLPADMAHQ VVEEVLDSLKKNVLWKTKGGHDTRNLSRVNPLEWHGLTLTLSHLLYRQSIPAGDLAPV LDALRLALSFEQRSTSGSSIGTNVRDAACFGIWAVARRYKTVDLQELHLSPDITTIYH VEATVSALQTLANDLVVSACVDPAGNIRRGSSAALQELIGRHPNIISEGIQVVQVVDY HAVALRTRAISDVALKAAQLSEDYREAILRALLGWRGVKDANPAIRRVAANTVGELVW LRHLATSPSWRAVQSAIDEIARQVTRLEAREFSERHGLILAFSAVVVLVNSQLSAEKL HDEFHRILHEAPAATDESGLYTTIVNINGYQVEFFKELRERVQSSRHQEILSEAFAEL MIATSQIPQRLTLFGKFEASRTTKTLNLSQCGSECWIRQLIMTPLDLKSNPHEFTVVT ECTLLSMFVSSATSAANFGILAAAAESFVLLESGLVEKTPDSSGMIGQWIVRAVRGRG SKDLVYIHVLIPLFPLMKSMVRDSVTLEKFRTIVLGKMDDPTIDRMTKDWQFLCLHAI MNRWEQDSAVETRAAILECLARNGIREDLPTMLQIHTGAFIRLIEAGLQDFTTTARGD VGSQVRIAAARAATAILSSPMTDYIRELLEQMVVGGLLRIAAEKLDKVRVEGQKVLAH LNDHTSNLTSLAPSSQEYFSTLLNLQIQEWWTACPRNTGWAISLLEGYITSADTGSED LVRASRAALVDFCNSGHDTIICDQLFQLAKKSKVDRVLIPTLETIGFLFDMGIMQRNP PRYVTPSYLPESRRPENTWEEFCDVIKATHLKSSNVRKLQAVVKIYGGLIEVYPEATT KLSSMLLHSFPQIRNAVADELWVAKEVGKGVDWAKAGRNDLIKLRKEIKQRREEDASP LY89DRAFT_669631 MGNSFSQIFPPKPQFTENNLPDQTGKVFIVTGASTGVGLALAEL LYSHNAKVYVAARSSEKATKAISSIQTAHPKSNGELIYLHLDLDDLTTIKASANDFLS KETRLDVLWNNAGVMNPAPGSRTKQGYELQIGTNNVAPFLFTKLLTPILIKTAKSSPT GSVRVVWVSSSAAEFVAPKGGVDMTKLDGNDKSVFKYYGLSKGGNILHNKEFARRFGG EGVISVALNPGNLKTPLYRTAGSLQNKFLNFFILHEPIFGAYTELFGGLSSEITPEMN GTWVVPWGRFAPLREDYEASFKSKDEGGTGLAREFWEWTEEQVKAYL LY89DRAFT_617047 MSHTHSFHVSKSSVHLKWDNSLPPALTVDSGTEISFDLLDGGYN QFTSTSTASDVPNFNLALGDPAIGPVYVNGAEPGDVLKVEFLNLKTADFGWTAVFPSS LGFGLLHDEFPDPALKIWDLKTHASEGYTEFKSGIHIPIHPFLGVVGIAREQAGEFST IPPYETGGNIDCRHITVGSTLYLPVKVRGALFSCGDGHAAQGDGEVCGTAIECQMKAS VRLTVEKGKSWVTSPHYRTTGEHSVNQARLTGNGEYAVLGIDPDLREASRKALRGAID WLVGEKGLTREEAYMLCSVCADLRIAEIVDMPNYAVSCGVPLSIFVGET LY89DRAFT_585462 MSIYSSPPPARTFHDDKATLLVCWWCTIFAAVIILFRVCGRYIR TEKLFREDWLAFACLIPLFIRMAFVHVILLFGTNNAITTGLSDEDIWRRSIGSRLVLV SRIFYAATLWMLKLTISEFFKRLTLNIWTRSHERVLMGIRWFLLVTFVAVVIADLSEC QPFDHYWQVTPDPGPKCRQGYAQMLTMGTSDVITDILLVAFPIPIIIRSKMGVKRKIQ LVFLFAGSLLPAGTTLYRIPNILDRHGSQQYRSLLASIEILFAVAVANALVLGSFVRD RGVKKQRWKFGSMSDSIERTSSRRGTAIRHWGSDEDLVRDLGISVDPELRDPEAATFR PAPMAVAGNVPVKAHKIMGRDWQFPGERSDTSDEMDLMKIGEAHSPADVSSVITPRRV SFFDVGGLLDEDHPPRRHSSTKTMDTEGETSSFGGLQSVHSKNDDAGLSPTPARRGSA ALLQDVGGLLGPKPKKAPPYRGYELQTIHQERSSPSLGPRISRQQTTHSLQDVGGLLS K LY89DRAFT_554016 MTEVEEPQFTSLSARIAALNQQGVGKSLNAQSTGKRPPPPPPPS NRPALPSRNTTNNPPIATYSSSVTKQANNEPISARGQGLLPPPPIDRDQPKQIALKTP PLPARNAPPPLPSRKASQPALPPRKASAQLSPNTQLVRRGSNSSIMSHSSSVSGMSLS QGSSITSVSSVDSQRKLPPALGEAKLPPLPPTKRELEEKAAAVKAAKTPLVSTKSAPV LPLRQPPVLDRDIAPKMPPRPSGQPKMPDRPVNERNESEERAPAQPQRRLPPPSGPTR SILTMGFGNKINEIPPPTPGPRPSNSSNETPNPAPRPAPTYSR LY89DRAFT_554243 QYEIEGYPTIMFFDGSGNQPEKYRTMRDLDSLTEFLEDKTGIRV ADGAHIPKPSGVPPPINMSSKPSISQVRAIQARPAPMAAPPSGCLLCRDFSGPDGVAA QYPRQSLPRGPDMTGYLADVLCSPFSSATDKARAIFTWLHHNIAYDTVAFFGKNVKHV DPKDTITSGLAVCAGYAGLFSAIALKAGLEAVMVTGHGKGYGYTALKPGEPVPPANPS GHAWNAVRIDGGEWKLLDACWGAGNVGNQVYNKHFTPSYFTMSNDQFGLKHFPQDDKY FFHSDGQIPTWEEYYLGPTPAEPLQLFGSVEDHGLSATSFTPQQKQIPVNSNEVIRFQ FSKVCEHWDHEKNGKGKPYCLIIKIWGLDGRKEDFVAMENNDFWWWADISARDLGAPG QTVICYAVTTVNGKDARGMSRGEYLHKKGKCGMGFGGVSAWDL LY89DRAFT_718875 MSNTFVQVNSIGEQNGLGPRLVRPWTKPKYIMCSLLPCNTTFPC LQHNKHRQRSSTMAPNGQLPRLIYSLRSRPNNTQNADNINNLGGGAGGGLTGNTQATG LNPIVPSTGSVNNHPSPAPTVPSIVPLPTLPPNTTVAATLPQITAGANGRIISQRIMR DWPYAWIATARNNKESNPHMCRPCARQKKGAVNECFGGPWCLRCRRVGNSKHQCQNAP EFRGPQDRPDKGPPKNNQRGGRGGGGAGAGGITA LY89DRAFT_585177 MGGGGRPQNIFKLSRGDDPKEVLNWRLWFAVVSFGIMGAARGID EGLISGTLSKTHFVHLLGLDQMSKVELANVKGNISAMVQIGSVGGAALAFILCDRIGR LWATRQLCLLWILGIVIFLTNGGRLGQVYAGRFIAGLGIGQTTVVAPVYLSEIAPKSV RGLCTCAFSGAVYIGIMLAYFASWGSELHIPKGTVNQWVVPTTIHVMFAGIIFILSFF NYESPRYLVKIGQDDKATTNLARVRNLPVDDNHIIKEISEIQQQLHEEQEATLGQGWL GILKEMFLMPNNFYRIYLGLFSQLLSQWSGAQSITIYAPEFFALLGTEGQNEKLFATA IFGVVKFVAAIICALFLVDVIGRKRSLGIGITLQALSMCYIASYLTAVPTLAEGEEFT SSQKHASTGAIVMIYISGFGWAMGWNSMQYLLNAEIYPLRIRAISSSMVMCFHFVNQY GNSRAVPNMLLARSAGGLGPAGTFWLFTVITIIGGIWAWFFIPETAGRSLEGMDRLFM LRWWQIGRYGQRDADEQIVADEKLDREKEETRETVNEVERV LY89DRAFT_748562 MPPRTVQFLLVLFITIIVKAHKNLMYHWGTAEKIPITSMLSKIN TCLRIYQNRSIILVGHGVSNDLAALKSLGFDFQKNRVIAKLDTYLLAQDLQMGDFTLK NRLMELKCPCNFKFHNASNDTNFALRALLLLGIKAIGAAESNETSERVKILWWIAMEE VLSPKKKKFTQFKLPEAQESESNRSNQLTPKYYRQDDKTKICRDGRGGKRLYHIHRPG IVLDSRVYHVQDDIVPSYPPKDLRRGTDESIMKEQLYYFKKARS LY89DRAFT_669639 MLIAMPPSPTLSTFGAVSMAKEIYLDGPAIPFTVGHGSEGHRSF HVPLSVLAEYSLFFHDLHRHCDYIEAEALKFDLFDIDPRTFNRFTIWLYYQTIYVDEG VAQPLDYYEQFEQLWTLADKYRIPQLQRQAEDSAIVLMRALTEQYNFDVLGYWHYIYH NSYVGSPQRRFIAGQCAYLILSGQEKFNPETVHPALRGDIVAFIHNLYPQLY LY89DRAFT_685147 MSNSSRIPKITEGKCPTPRSIPNNNALPSSSLPRHSSARTQRLS RPVKSDFNSESSIDRHSDELRDNGFIKLNTHDVGLVEEHVPGIEAFAADLQAAVDAAW PTRKRSRYGKAHVLLLSWEDDNLGVNREMHRLEYVFSNLYRFDVQCFKIPRKTAGKAT TSRILSFLENDGPETLFILHYAGHARLSHRSSEPPIWSATDTSNSPTLPSGGVQQLFE EAESDVLLLYDTCHSSHPAVNIGGQGVTEVIAACGFETEAPAVGPHSFTNALIRELEE AFNGPPLSVAELHGRIIGSLKNWKPSLLRDENGHVWTDQHGQPRYECHKRRTPVHCFL TNETPYRSIMLAPLPSQLSHSAVARIEHSPSLKLTATNSLDASQSIRNSEHSTAPTSV SDSSKGSRGPQVLLAIRLEDDYLLDDRQSGGGKKLQTWAEWLRSAPNGIRDITIQGIY KSFSTLVLISMPVVVWDILPDNAAYSFVGFVQSGNLAHDILKNGRELDVDVPNDTNIN EKHAPHVFEGKAVESTQQRIPSIPNRDSGFSELKDTADELSVTVTNTTGDRHKLPYHM CSSWNVFDHAMKATFPSSDPTGRAVHQSHYQMVDQNHTIILPQMWEKYVRPGASLKIH VWQGPGHFKPLTPPPAPAPGASLSPQPLSCRPLVKESLKRQRTLKRRAGFVLPKPHVF ENRPPSPEESSDERFEPKQRDTMGLVESRSSHPPRSGSLSQKLPETPSAQRPRPTSSP PFTTSRISYPTTEKSRDTSRYETWSGFSKTSNQHIAEKTRLKIQIKPTRARSLHEKIE RQTPDPFAPRRSLSEASEKVIARAVVHKLRKEKASSMLKSQYSGSTLRTNSIKSQRDS GKFDGSDCDGHISKPQEEVVT LY89DRAFT_585777 HGIRGFNWPPSSPDLNLIEKVWRWIKEELKKLPYVLKSKEDLKR ELQKLWDRVDSRDFRPYIERLTCKIEDVIAVRGLATIH LY89DRAFT_685149 METPVILSSPLEKGKSQREIVQETQVPRRTVRRILKQKHSRRER KKKHSKPYL LY89DRAFT_617059 MTANPWLTSTAHSELVSVGTHRLHLQASGPARNPGHIVTIIIQG LSATISSWAAVTRLLSPHIRTFTYSRSGFHPSEPSPLPPTAENIALELDLLLQSAGID PPYVLVAHSWGGILAREFIARKKEGDLAGVVFVEANQERTLDALDWRPFFLWCVVGKV EFLKVSDLEKRRKLDDEEWERHLRDMRDEGNRIQGEKEWNEYEKSFESLTEKKGSSVD MLRHIPVSVVKGENGRGLRMLYDALIERGHGTEEDREKFKGFLETFDEKDVELQSEIG KLSSKGRYVEARKSGHDVQLTEPEVIVEEVKWVVAQFVEGID LY89DRAFT_646443 MEHPKRQISHVIHLLTEGSPADQRDALETYFLPDSTFIHPLCRV PSFSHVSLPLIGEINSRWVIWMIYRWYKILSPRILLDVECGAEFNQKSQILFVEIHQI FSLFFVPFYKSNVHLTTKLRLVHAEDDNKYYIKSQEDLYQSNEVVKFFWPGGATIIWC WQIFATWLCIVGALLLAPITWVEQRHSNQVNGVKKSL LY89DRAFT_782408 MPSLFLQILLLAIAEFTTASPDASGSCDSGFTICAPPGASSAIT PQIGSPDFQNLFVDIIQSSLPASKRSLPHFVRSSTSLCCNAHLSCLMMATLALPFCYD KFTTNFFLPDGSTGTVVGGAYKSAGGDVANLETGDYTLVDGQTGNIYSANPAAKPNTA TLPMPSQFTASGVGSAIPIASLGSEIILTYTTTLPGTTILASTLPPSTAPGTTSTETI PLPTTTTISATGTSPSLTVGVVTSYSVVDVSPSTIPGSTLSGSTIAATVATITTTEFS ALPASSTPSASTTKKNGGTRVSMNDRRCWVIGIVLLLLF LY89DRAFT_685152 MLNTIVPGLVRRGFEAHASMTQNQGGGNDGEEPQPQFPVWGGII LVSTFILLIVVMFTIDYTFGKLVPTLIMIESPPESIGFEPLSTEDPDSTINKDPEELL VKPQPITSSFRRTLRHLGGFRARFRGILMYFISTFAIGVVGSILSAFPILSYIPRPFW NGVATVVCALLPLTWTHIAISQPSPKTWFRRIPSMKTWKKVAAPTAVLAVAEQLSVFL PVYLAMATGLTDKKPKEIAYMNGGQQTATACKGLGVLAFSLALSFLIVIPAKVTLVRV QASLLPDTDESSVPFDRSFGGKVVPEIVGGSGVIGMLDAWKTFDWASRIRLIKAYLKV FAMQVGVTVVFTICLIAEMIMIAGVKDWARFFPKDGDQQL LY89DRAFT_707503 MEDLKNKVVIVTGASSGIGLATVEVLLKSGVNVFGVDLSAPPTI SSRSFHFLQANLTQVDAPDKIVEACLATFGDRIDALLNIAGVADQNNSVDTLTDGDWD RIIAVNLTAPVKLMRAVVPIMLKNGGGSIVNVASKAALSGAVAGVAYTASKHGIVGAT KNVAWRYKDEGIRCNAICPGGVQTNIYKSIDVTKLDMKATGILQPVQTMMMPNNDYSK IATPDKIANVLLFLTSNLSSEMNGAIIPVDHGWSVI LY89DRAFT_734219 MSQIITQKPSKVILRLNSPDGDSIREVLDTPPRPCIFGEIPCID LTGLGATDTGAIQRIAREIKTAAVTSGVFYIKNHGISREIIEEARLKSLEFFRQPMDE KWKVERSNFNHHNGWTAPGNARANASEGPDVKEQFRWHYSVNDDPMYDHLPKPIALGA LPAEIQPYIWADERLWSKTAALPNFNDAVKRYWRATINLARDLTKAIAVALEMPADGM DDLITYPSGDMALNFYPGIEHPTKTNMETSGLYQGGLGSHTDLQCFTILFQDHIGGLQ VLNKDGQWIEAPPIADTLIVNIGDFLSRLTNDKWESTVHRVEVNRTNADRISMPLFFG FNFNKQCAVLPTCTDENSPPKYAPLSCGEAVEPRN LY89DRAFT_547040 TTTASTIVSVLAVISVALRFWTRRLIKAGYGPDDWWILVGLVFM LSTGAILLYGVRKDPDGGQAINRDDPHFDFTPHTTYLKTSFIAATLYFSVVTSIKISI LFMYRRIFPIDEFFLQSQIVGALVLIWWLIGSVLTIVSCIPVNRLWIGPSASGYCFDF NIYWMSMGAVELVIDTLLLILPVRMVLGLQLRRQQKVLVAGIFALGGFVIVTGLVRVI LGYRPGSQNVAFARAELWSAVHTGTAIVCACLPTLRPLIKRASIIASSLKRIYGSSSS GGQDTTASGSMGSNAESRNRGPTEVLTLKNIR LY89DRAFT_748605 MAPNMPQNLITQNKAMNIVIIGGSNTGLMNGIVLKRLGHNVHIL EQNTQSERSDLAAGITTHPEFDEFMDVYNLVKEPWFVQSPGVQWLNKAGAVTREVKRP LKMASWGVIYHRLRANFDGFASGFCPNPPAAGEKDGTAEFDLGKRVTGLTYAEHCVKV SFEDILNGDKAGILGADLVILADGANSALRGMLFPEVERVYVGYVAFRGTVPESEVSE ETKKVFDANLSYFCFKSNYILLYIIPGADGSLAPGHRRYNWVWYHPLAAASQSLTEIM TDSSGTVHRNTLPIGGMNLDAWAEYKSLAMSQMCPPFAEVVEKTTQPFITAVSDLACP RAVTMEGRVLITGEALNLVRPHLALSTTGSAKQALLLEKVFRGEMSIEQWEKNVLHEG RLSALKTNAFGTYFLYGILPAIGWVAKLLGAMVGAMLPFSSLPAQSSAKEVSSKLHPG TSPKSGDTA LY89DRAFT_669650 MAFSSKYSPKPITDIFTSDTDINRRECRRTVPMKVLILGLGRTG TASMRAAMQQLGYVDTYHMMSCSIENPPDALMWMDALAAKYDGVGTPFTRDDWDQLLG NCQAVCDWPAVAFAKELIEAYPEAKVVLTNRDVDSWHASTMRTVFWRVTDPELRCLQY VSWAAGMYYPMLKKFFDTFFEGNFEHSGKEVFKRHYEEVRNLVPKENLLEFKVTDGWE PLCQFLGERVPMGSKFPNVNDNRDFVSRSRRRNRMQMLNVVVKFLEMLALAVMCIFLV SMLWRL LY89DRAFT_782413 MNLSSVFLFLVLAVSRCQSDEDVFHNDEHFDAAEYGVYPVQKYR STDLVSPHLNVLQSSSECAFDLYTFFTPRGPLTSEPNAVILDQGGHLVWTSSWEGQQI YNLMVQEYNGESYLTFWAGNDAVGGHGAGFYFMLDKHYKVFKKIGAAGGLDADLHDFR LTENGTALMTVYQVINKDLAELGKPIMGEIWDCLIQEVDIETGELIFQWRASDHYKVS DTFRPIDDDGVIGRAFDFFHMNSIAKDYKGNYLTSSRYMHSLTYINGSSGEVIWIIGG KRNMFEDLSDGQATNFAYQHDGRWSDDHTTVTMFDNGVDDPHPDIADTRGLRLELDEE RMTVKLVAVYKNPHNIHGISQGSFQTLPNGNAFMGYGNTAAFTEYSHNGTVLCDAHFG AESRFGAGEVQSYRAYKYGWHGWPTTSPNVAILQNEDGGWSFYVSWNGATEVNEWVLQ GADEVDGAGWVDLNRILKVGFETEFDINAAYPRYMRVLALNSNFKILGVGGLLDLTLE KVIDPVINSTADPGTDINPTQTWSLPPLRIEEGDTWWLKIMLGFCSLGGLCVGLREAR VVWRRRRLRRSSIAFQRLDDIRLD LY89DRAFT_707507 MPRNRYRGCSSHKAQAKPKSQPVTWMSLPRKDQLVILFLCRLVD FLQVASLQAYVFYQLKSFDPSLTNAQISTQAGILQGCFTGAQVLTAILWGKAADASWC GRKMVLIIGLAGTAVSCLGLGFANTFFWAAFWRAVGGGINGTVGIIRTMIAEITKEKK YQSRAFLILPMSFNVAGIVGPIMGGLLADPAAIMPDIFGPEGCLGFSFLEDYPYALPS FLNAFFLTITTYIVFFFLEETLKERRGRFDLGLHLKARFFRLLRGRSNPEGYSQVQMW DDPEVPLTNFDDKPAQKKQVTRRLPFSRIWTRNVIFTLITGAFYDFHLGAFTNLWTLF LSTPRFLAAESTFKRVLPFIFTGGLGMPAATVGIATSILGMLGMLLQVFLYPPVQARL GTLRSFRYFSILFPLAYFVAPYLAVLPSSTVAPAAASGAFIWIGIILVLLLQVTARTF TLPATIILLNNCSPHPSVLGTIHGLGQSVSAGFRTVGPVVGGWEYGKGLDIGVVGAAW WGTAIMSAACCVTALGMHEGSGHEVFLEGEQDESGRKSDSTMIVAGWMVA LY89DRAFT_585742 MNGVNGAARAKRKQHPSSVDERPQKQHRAINGKDSAGQNTPEVG MRYEDEEIEEETRIIPGMADTAEWQACIENVVRNVVSIRFCQTCSFDTDPALTSEATG FVVDAERGYIMTNRHVVGSGPFWGYCIFDNHEEVDAYPVYRDPVHDFGILRFDPKAIK YMPVAALTLRPDLAKVGAEIRVVGNDAGEKLSILSGVISRLDRNAPEYGDGYCDFNTN YIQAAAAASGGSSGSPVVNIDGYAVALQAGGRSDGAATDYFLPLDRPLRALKCIQEGK PITRGTIQCQWMIKPFDECRRLGLTSDWESAVRKGFPKETGMLVAEIVLPEGPSDTKI EEGDVLIKVNGELLTQFVRLDDILDSSVGESVKILVQRGGEDMEVEVSVGDLHAITPD RFVSVAGASFHNLSYQQARLYAVACKGVFVCEATGSFRFESTDNGWIIQTVDHKKTPD LDAFIEVMKDIPDRSRVVVTYKHLRDLHTLNTSILHIDRHWSSKMRLAVRNDITGLWD FTDLADALPAIPPVARSATFIQLENTQHPAAADVVRSFVRIMCQMPVKLDGFPRNRKW GMGVVIDAEKGLVVISRAIVPYDLCDITITIADSIQVEGKVVFMHPLQNYAVIKYDPS LVDAPVQSAKLSTTHVTQGASTYFVGFNQNMRIVIAQTTITDITAVAIPANSGAPRYR AVNVDAITVDTSLSGQCGSGVLVGEDGTVQALWLTYLGERSPITQKDTDYHLGLATPT LLPVIRQIQSGVQPKLRMLSVEFSAIQMSQARIMGVSEEWIKKVAEDNASRHQLFMIR KRTFERGEEQKGLIEGDVILSLNGKIITRVSELDVMYDHKVLDAIIIRDCVELKVKIP TVSADDLETDRAISFCGAILHRPHHAVRQQISKLHSEVYVSARTRGSPAYQYGLAPTN FITHVNGKPTKDLESFLKAVVGIPDNTYFRLKAMTFDNVPWVITMKKNDHYFPTMEWI KDAAESCGWRRVTYEQGKAVQGEGHDGINVIAGDTFDMDEDVGGI LY89DRAFT_585804 MAEITEIPSAIPTSISSSTKLSILDLPSETQKDILKYASSADLI ALSLVCKQFRDLAAEQLYRTFHIVFPDEDDPANESPIDGLAGGLDTLVTSDYDYAKHL REIILETVSVGDKGERAYRHYLYDVSCGKFMNTLLHLTLRKARALETFKWDIRVELSR QVFKALHQIQALQHLQLRMQAGPSNYQAPPALPILLPTTNPNSADPTPLPLGLYGPNT PNSFSLGSKISTKSSPKNAPSIQTIPLTLSGFKNLRTLSILDMDTLDYIDELKVCVQN CSSTLSTLKLSFSESLANRSRKPPPDVHSDDDSDQEDDFGLGGMPPPNLPANTSMPAS NFNGSAKALSAQQEKKRQEAVLGRVFGLEAKVVKSKVATTEPEKVTKSDEDPKRKFIR SLAPVAATLMAHIKPGSEVSIEGKETLAMIEKAAAMYIESIDKGKGKSSTTGSDGSST TKATPASSSASMNGGDDVLMSGAASEEPGLFDDPETRKKRTGEPEPGLPNPEDIDVEE PEGKELVIDFEAPSTESQPEENDTQKAEQSSTFQVDSINWVTKLHILDHHLAIRSSHD DIAKQGELLRKRMEDFKLKLQSGSVQDIDYKTIAEAEAEFKRVSKRVEDLQREMQEVT DHIDELKTSSTIDVRMTEYIRDTRGLTLTSLAIYLVPIKASILSRAIDIHVLRSITLL NVGPQIQFWNMLARENKVSALPLQKIHTDNVTLPLLAFIANLDLLTELFMLERTAKAR VESTAAKTTVTIDQIRRVVLKRHISTLKVLMIRNDAGAEWDLDVKTIVLLCHQAKVLE ELACSFGIRTMHALLQSMPKMESLRAIHTIQFRVDDTCVWVMREFRKFTVDIVSHNPG MNLEYLALEASVERLARRKSTPKKATKDGKGKGKAKELDLISSSTLAELELGTSGPNS SWDHATAYFDFGESSDEEDGLGKSGLRIETVEGVRFCDVTGVRIFEKDVLSGRL LY89DRAFT_718892 MISNPFSRPTRTIFFLVIFLLAGMFYYRPVHELASSFPHPDTGT LHQYLNRSDHAQKPLTFISKLQKQLRHSVCIPDTTVVSSTRHHYRTGTKTATKTIIST RTEAVLQPTCDPVIDAPRASKEDATPEQLAIAKLRDEGIVVIFKTGAQEVSHLAIQIG TTLRYISSNDILFFSDQQGSIGPFLINDALRNVDQKLKNEHRDFEIYRQIKEYQSTGQ DILEMPDEKKGADRPGWRLDKYKFIHMVEETFEMRPDAKWYVFIETDSYVFWDNLAEW LKRLDPKKPWYIGSGVTSSGILFAHGGSGYILSNAAMNKFLGPDQPQGLAASWDARMA GLSFGDLALGIALKEKGVTLSIAHPLLNGYKPSTFTYGPGSHWCQPVVTMHHIAPSEV SSVWRYERKRELLWMTNATLFADLYMHFVEPHLVDARDNWDNLSHGPEYSRKRFEDQK NEEAPVRQKGKEAKQQEKIMLNKMSEKKKDEAKEEASTAASEDKDNETVSRDTKSSED SEGTADDGTSGKAAKAVEDSEKPDSDASGKDNKPADDEKTIERGKVKKPDEDTRVSLR ESRVKEDTKSSKDTTRDKGNSTTLQDLAEDVGVSRGRRLRVISDTKSEHAVPQNETGP GALKNQTTPRKKTDIERIMENSYLHQFEKRAAGLTKAQQTAHLSFEDCGKACEENKEC FQWVYYSETYIFDSDHHHNEAYYREVPRDLSQLRPAGARVGSYQR LY89DRAFT_669656 MKKATKYSFHDDDSEGEALAQTNMSQHSGYGDDDFCVDARQAIQ RLIGANNATVCFVAGSTGANLLAIASHLRPHEAIIATELGHIVGKEGGAVEATGHKIL VERGVEGKLTPEQIQSAVDRSSEFAWQPKARMVFISNTTEVGTVYNKSEFEAIAAICK RLSLLLLMDGARLGAALTSAKNDMTLKDIYDLTDTFWIGGTKNGALLGEAIVIKDPAF GADFPYHMKQRGMLLAKGRVLGIQFSTLLQDNLYFRLARHSNNAAAEMSTALVRMGYD MWMRTDSNQVFVIFPPALVQVLAKDFDFFVWKYLSDTALVVRLVTSWATDLSKVERLC SIVGEGSERT LY89DRAFT_734231 MARSNKIESPRTTLIPFISAEEADSMAYPPNALPGARDVESFYG AMRVYEWGSTNGEKVLFVHGDATPCPLFSKIAQGLVDAGYRVMLFDLWGRGYSDTPLD CRHDDRLFASQILLALTSSPLSWMGNGSSFSIVAFSLGGPISLAFTGAFPESVRSLIL LGPAGLIRKLPDGYEDDYVLQPELAPSPEALREKVREILGAVSSSPALNIQTDKKRVV SSEQGPSRVEKSFNMGGLIQWQFDHHLGHVHSFQDTVRYGPLQKREDLWGKVCDIIAG RTRPDSALHNTKLLVIFGRDDDVVVGEETTEDILKLLPARHLQVEYVPGGHGFLYPNS EKITQTILSFQRSKLSKV LY89DRAFT_74967 MDPTPKPLSKGPSPIVLRGSCLCGMIHLESKMLPESITICHCLE CRKASSNPFLTFGLFHNDFIQWYMAQPHGSRKDLHGDLSKGIKLTYYSDIATRGWCPR CGTPLFMKYHCRPDGTSITMGLVDDEHIVGSMPPVKEHIFLGDKAVWWNVPLDDGTAR YQGFNEPFTRRLLEWSAKGRPQRLDMPPAPSKFKL LY89DRAFT_734233 MNVSTEATDDRVATLEGTFDEIHGSTYLERDSRGLARLGKSQVL KRRFGFLSIFGFSCCILATWETALALLGEAFSNGGPAGLAWGFPIVWMCSLSVYLCLA EMASIAPISGGQYFWVAILAPRKYKRFCGYLTGLLTSIAWIAVLAVGSIFTGTMVQGL IILNYPDYEPKALHGALLAWAVIAVSIFVNTVIAGLLPVLEGVILLIHILGFIAIMTS LLYLSPHSSVEDVFFRSVNGGNWPTQGLSYCIGFVGNVATFVGADAAVHMSEEIENAA MNVPRAIFTTVLLNGTMGWAMVLAALFCLGDIESVINSPTGFPFIQIFYNGTGRAGAT IMTAIIIVMIWCAVIGFAATASRMTWSFARDHGLPFHHFIRKVDARTRIPMVAVAVVN IIPCLLNLIYIGSPTAYNDVISMSVAGLYASYLIPCSFLLWRRVTGQIKPYGIDENPG AATFTGQDRQSSVPGPLTMEDDGDFETVLEEPELHWGPWRVPGLLGTINNSFACVFCV WVLFWGFWPPITPVTKENMNYSVLVLGTIIIFAIARYFLGGKIGYRGPLVDYEVKGFA TRRRAGV LY89DRAFT_75073 MDKREAITASNLGPVVSLLAWIMVASVLIAVGIKFTLSSVILRR WITEDIALALATVFSIGFSVSISLAVPNGIGRHQTTLSSDQLKSLQKAVYSASILLVL VSSCAQASILIFLYEITPRLSHQRLIYSVAGFTALFFIPSFFVAVFPCRPPDVWEVLG SQCIDQSFFWRTFAGVNLVIESALILLPAFMVWPMTMDRRRKSIVITGFAARLAVMGA FAAQFYEAQSLETQLLDKTFDTWRYHVTTVFVQGLSIITVCIPYIRNLLLGMESGMIQ TGHFRLPDRHGLEPEIPLRLNSLNNTGAKIA LY89DRAFT_75036 MTTFSDSISKILEEVPALYRGPGGAIAVLKNGELLGQHVWGFAD LERRIPMTADTIMPICSISKQFVCGLLTDLERNPTPAMIARGEEPTKQLSDHLGQVLN PKMLQDTGLTIRHLGNMQSGIRDYWAMTVLWGAKPEGHFSVADHGPMMMDRIKSFHFQ PGTEYSYSNTNFFVLARVIEKVTQKPLAELLAERIFAPAGMATARLCAHTAEHPRPCI GYEGDETQGFYPAVNCIEWAGDAGIVASLADMTAYEQFLDSSRTDSQGWYQANSEQQQ FKDGAPADYGYGLARQLVGGVETVRHGGGLRGYRLSRLYAPEPRISIVVLLNQEHGEP GAISNYILKRALAVPEAKQEIVQSSQNWIGTYLDPETQLAITVKADVAGELLVKYHRK AEKMRVIEPFRAQSDDMVATLEGSLLHMHVPKDNRNIHAQRVTTTKLAGNPSEIHGHY YCAEIDSVFHCNGSGGMLYGSFDGFLGQGPVHLIRSLGDDVWALACPRAMDSQPPGDW TVVVRRDDSANVTGVTIGCWLARNLEFNKTGEMKQPVM LY89DRAFT_782422 MASQESQFHHDELASAMDKVHEEEHEPHIAKVEKVVQMLSALPN SYKARELATGYLIKKLWYQLDHPPRDLLGHGPDSVITIDSDSRYRSANGSNNNPNNPS LGAAGSRYARTTQARRPKLAQPPDPADVFDKLLLRTGPPRTHPNDVFHTDERDQTRAK GSSYLDLAPLYGHDQVSQDTVREFKDGLLKKDTFAEYRLLGQPPGVCAFIVAFNRFHN HVVVELARINEGGRFSLPRGLVSGSDAELAALKTRDNDSFQHGRLITCGLYVNIILHD YIRAILNLNQNTSNVESDWSLDPRLDFPHLNVPKAVGNQVSVEFNLVYRWHATINTAN ENWTNNFMREVFGETYNPKTLSAGDFMLGARRWAEGILLVEPSQRTFGGIERLSNGAF ADDSLINILNHTTESPAAAFGAKSTPLLMRPIEILGIETQRQWGVATLNEVREKFNLT PHKTFQLYPEPDDIEFYPGIQAEETKKPWFPGSGLCPGVTISVAILADAVALCRGDRF YTTDYTPDNLTEWGFHIASSSFGKVQGGVLYKLLETAFPGRYKDNSVWSLYPLTIPEK NREILSKHKVVEQFDFEKPQLRKQYFD LY89DRAFT_782423 MHATAVSAKKPDVKRRPHRKVRSGCLTCKIRRVKCDELRPFCAR CIKFGIRCDGYASPKVAKGVPPTRANILPKHPYSIPLYKGPALMRFEDEDESRYFEVF STNTAYEIFPNIEMGRLRTIFLQACDAKKFIRQAAIALGALDVTSQASRPPSHTRYAA RSNFNNPPNRHYQYALKEYAHAIKCAQMDGENDFRTALMTSLVILSFEGWLGNHEVAV QQIRLGTRLLKEWKERRREKSAPGSSLLAASDDENVLSHVFTRLSIQLRSATLPLPSV SSELPPLRIDDPEKSERMPESFSDLTEAGKFYGNIVRFAVTFVAQGLPRIAGASSLTG AYQVGVATGNQIPDDVAKAQATLTKSLHRWMKAFAWLRSNRKFQTLAEKKASITLELQ MKATYMGAIKSLAQDELVFDAYHDIYRDIVNLSEALLNCSNTSRVPKFCFDSGVVIPL WFTGHKCRDPILRRKVISLLLSYPRREGVWDSVFAGLVIECLRAFEEEYMKDGEVPGW ARIRNTSFDVDLKKRVVEVKCQQRMSATSDEVVLRRRTVDYYVHTGVTLEQVGAVIA LY89DRAFT_75184 MKTLYIVFASVALSSPLLEKRYDLDHNGILDWCYTDGDVVSCLL SEASYVWTTFTATMSTQAIATPISTAASITTTPASFVVSTASPSLPTGTLPSPDAWAK DNSTRWHVSDVGSIYSSTLARLGWDNGRTSVLNNTPFWNFGDVLSLDGLQDGFSTGPA FYGTPDDILRVDMKNVTNVLDLLFCPPAASDPTPESPTPFWGLSTSNVAEVSPGLGIG FVWEIWRDTTGLTQDRGLGMYRATLGPELPIANRTGSLIAGLDALP LY89DRAFT_685165 MPHNFQFDPPKSSSLSLSYPRPGIMVVTISRPQAMNSLPYPAHY EADAIFTWYDNEPSLRVAIITGSGPKAFCAGQDLIELNSAKSAPSFSADPTGRGGRGH PPSGFAGISRRKGKKPIIAAVNGFALGGGFEICLNCDLIVASPTATFGLPEAQVGLYA AAGGLPRLVRNVGLPLASEIALTNRKLTAEEALKFNLINKISETRESVLDEAIEMAAK ICTLSPDAVVVTRAGLREAWEVASVEEARRLVADRYEKSLFSGENMRIGLKAFATKTK PEWVPSKL LY89DRAFT_646481 MLNKAGRAKLAKETVNTLIPHILKTNSRAHEGANSSELVSYSLL DTSRLRDSPASTKHTKSPTSTSQQTVKDVSNDMEETQLAESPTPVSATSSTHPRTRVV QSDTYDAVKDLLAEDTSSKDPPRVGTLNMASSYSPGGGFMNGALAQEEALCVRSTLYY SLKPSYYRIPELSAIYSPDVLVFRGSDMKDLPKSEWFFTDVISVAALKQPELKWNLDR TRLIYEYPQDKDLMLEKIRLIFQVMAEKGIKRIVAGALGCGAYRNPPEEVAKMFRNVL LGDKKRKGVEGIDEVIFAIFDDGPNLKAFREVFPDGENDGA LY89DRAFT_685166 MSTPAVASTNGDGLRERIVAHAENGSLASSSGENTPRNDELEAE KSKKTFGRTPDGTIFTVPQTHDMVSQLLDPRQPKNLSDAIVIAILCMHIAALYLLPSF LKRPGFAVIFLFWRGCYNVGIGYLLQIQSNHRRLVAWAKRFNLFEDPSTGKNPRPWLY KLMKRELETKIPEDYKFEEAPIEYNTWLVFRRVVDLILMCDFTSYCLFAIACGGRPEG EGPAMYIARWATGIILVGFNLWVKLDAHRVVKDYAWYWGDFFYLIDQALTFDGVFEMA PHPMYSVGYAGYYGISMMAASYNVLFISIIAHAAQFAFLIYVENPHIEKTYNPPPPRK RELQPNQSDTLLATTTATTSRESLDSPEPSGTVSPFPPVTSQPLSVHDLIGLRNIDLF RITDVSVLLLQLGMIIITAATPTTPLSQAMFVLNACLWRLWYAVGLGSILKQQSDNKL WTRHFLKFGEGTEEAWRQWKSMYHVSMTMCYVSFIAAAWKMYSFPADWNYGLVLLKHV VGAGLVALQVWTAVSIYESLGEFGWFFGDFFFDHRPKLTYSGIYRYLNNPERIIGLAG IWGAVLITWSPAIFFLALLSHVVTLGFIQFVEKPHMQKLYGRNLRTEAGLTKSIRRSL PPPLKKFQGNLDKVLGDTTHFVEDFLDAARPKLASGVSTIVRDTTALFSQYPARLTLT KLAPDLAGFDPRDYSIEVEGTQSSITALSERTTGKEGLSARFPRQRDDGYEPLSFEYG APIKVKWKAPVNHSKSDWVGLYMVADNASREVTRVSSAGRWVATVPNEYESGPGNNGI LVSNKLVKGAKREDGTTCDFMYGEMLFEGDKLWWTQGVFEFRYHHDGKHNVMAISLPF ENRIPRFDEYDETHMDANGLVRSAVQDALLPVVKNCFDRDPEIAPNTVEESFGSLVER DGKYAKRVVYAVHQMFALELAPGVVNADGNVKKLAWRICVAKQALAPYSMSHSRGTTT PTGEGEKC LY89DRAFT_669667 MAPSLEAPESVQDVLSNPLKSAPKLVAPEPEHCPGPESETAGKA DSCAGCPNQAICASAPKGPDPDIPAITARLSGVKHKVLILSGKGGVGKSTFTTLLAHA FATNPENTVGIMDTDICGPSIPKMMGVEEETIHVSGAGWSPVWVMENLGVMSVQFMLP NRNDAVIWRGPKKNGLIKQFLKDVEWGEMDFLLVDTPPGTSDEHLSVNSFLKESGVDG AVVVTTPQEVALLDVRKEIDFCRKAGIKVLGIVENMSGFVCPKCTHQSQIFQATTGGG RALAKEMKIPFLGAVPLDPRIGMACDYGESFFDAWPDSPACTALREVVKRVGEEMGLK PETLLPED LY89DRAFT_685167 MKDDAGGDKSSDDSSDSDSDSDSDSGSEPDDGELFVRPERPSSP AEAGPSTFKRQPSIGLDEGLLQRFRDEDEEEAVARGTTGLARSVDSDEENDGYEYLPR DSPNKGKGKALPKSNGDTGNNKDSGDSHQKGKSMETMKRPIVIEDTGSEDPVVVESPS KKVKTSPSPEVVIVIDDDEDYSEIEIDEARSCPRAEKDEIIEIVMIKDDEEDEKK LY89DRAFT_697409 MASESRLYVFSQESKDHLRKFRLGTSRASTPNAVIYLIDKNTLE IKQDEEKTVYKSLEEIGDDLPDHSPRFVLLSYPLTLPSGRLSVPYVLLYYLPVTCNAE LRMVYAGAKELMRNTAEVGKVIEIDSAEDLEELPSKLGAA LY89DRAFT_586847 MSRERTNQPEAGWSNKIHLQHNVPVIRDTSRTPNLDRAQVNATT ATTGGQSAVGNADTTDIVEKGILAQNNVSDSDKSTITPYEEKDDFPEGGLRAWLVVLG AFCGSFSVFGIINSTAILLEYFSTHQLQDKSASQIGWIFGLALFLTFFCGAPIGPIFD AYGPQYPMMIGSVFLLASMFLLGLCTQYWHFIMVYSVLNGIGGCLINTPCIASIGHWF LAKRGNATGVAMTAGSIGGIIFPLMLQKLFPAVGFPWATRILGFILLFLLVVMNLLVK SRLPRKPMTSLRSVSPDLAVFKDLPFAAITLGIFLMEWGIFVPLTYITAYATSHGHSS AFGFQIIAILNAGSVFGRFFAGLVADMMGRVNTLILSIAMCVLSCFALWLPAGNSTAM IVVFAAIFGFVSGSNLSLSPVCIGQMCKTENYGRYFATCWMFVAFGTLTGLPIAGQIL ELCNGEYYGLIIFAGLSYVAAGICLITARVLKVGWRFNVIY LY89DRAFT_697411 MPFPPVPPQPKSALGHYRMLAPTASVRVSPLCLGAMNFGDKWTE FMGECDKKTTFEILDYFYEQGGNYLDTANNYQDEQSEQWVGEWMKERGNRDQMVIATK FTTAYRTAHSSKEIIINTTGNGTKSLHHSLESSLKKLQTSYIDLLYVHWWDFTCSIPE LMQSLNTMVQQGKVLYLGISDTPAYIVSKANEYARQNGLRQFSVYQGRWSAENRDFER EIIHMAKEEGMGLCPWGALGGGNFKTDEQRRSQEGRKMGEASEAAIQISKVLEKIATA KKTQLTSVALAYVMKKTPYVFPIVGGRKIEHLKGNIEGLKVDLSDADMEAIEGAYPFD IGFPMNFLGGPKGVHSPNDIWLMAMAGSQQHVAWQQPIGPAKN LY89DRAFT_75320 MRICQRVFPISILIMGIPSWFTLPRFLTWNAVPPGWNPINRLPP CGPDGPSPRPAAMSSSNEALPLLSSEVAATMDEPSPSALANAFSSMNLSPVPSSVAVA TPKTPSLAQEAVAATSILAESALPINPASSQILPAASSEIPQVVPAASSGVEAFNVPV SSQIEDVLQPSTIGESISPLATPQVPDAPPTTSNPPVIVNLPGSASVAEILPSALAQS ENLSPPASSLVQDFMPSASNSPNSLPAQTIEAARPTEAIVSSSPESSVMIPIPQAQAG DLAATIVGNFSTPATTLEDTSETTSQDPSKETLARVSPLYQNQTIHSSNATMPSNGTG HNSTITATTKSSSIPNSGSTDTSTSQ LY89DRAFT_553130 GAESVDASSIEEEVEVDDDENSAASASDEGDIIDEEEEEEVEED EVEISAKEAAAAEARVKEYLARQAELALRREDIEKAKAAGHWHPDAIILFERLSLRSF EEVLPSDYQIDFPTLPEDIFAPSGIDTFVNHNCRPAHTGVRALQSLLRVGVRAREKLE ATGVAEQVIAKTIKDYIKWSERDGGFERLRFLPVLVVVAAKPKQSTETLSAAIEAQMK FLAEQHREYLELPAEHVNELGEVEKYRRQPPLLYGLIIARTMVIFVTMDSADPESKVQ HLTHFDFNDKPMDVWNGFAIAYVVIMARNYIMSFKDEFEEEPE LY89DRAFT_75342 MIVMRSYLTINFGAWRLGGSITAWRSRLSMDGIPLGCSNQRDRA HALQLVFSDTRLHMFSIQPFQSYMSDLTDFM LY89DRAFT_685170 MNTLRLSATRGARCIRRSTFSSLRASSYLPKQTTLRPQLQSSLR TGTFSKHVARRQYSTKSSADSLIEDIQEQYATARDEFEIAAEETEKKSVYAADDRAAA REELDKLKSMYEEALRGADGEEIKSRVGQRIRELDSAVQAMEKSAMED LY89DRAFT_734249 MAKEPNKDRPMQADYLRQVKDLAMGEHPLSRYVPPLLLLADALL TSAVIFKVSYTEIDWKAYMEQVEQYIAGERDYTKIKGGTGPLVYPAAHVIIYWLLYHI TDKGTNILLAQRLFGVLYLGTISIVMACYKRAHAPHYILPMLILSKRLHSIFVLRLFN DCFAVFFLWVAIYIFQRRIWTLGSMAYSWGLGIKMSLLLALPAIGIVLFLARGVQASI KQAWLMLQLQIVIAFPFLPTNGLGYLSRAFEFSRQFLFKWTVNWRFVGEETFLSREFS LTLLVGHVSALALFATTRWLRPSEKHLTELIGNAMKFQEPCGRMQHAISSRVSPNYIL TTILTSNAIGMLFARSLHYQFYAYIALATPFLLWRSGMHPVLQYAIWGVQEWAWNVYP STDLSSMVVVGVLFVTVASVWWGTRKDFVNPKGEEQQVRS LY89DRAFT_75410 MGRPKRNIFAAAEETSTPPSALTATQSLARVIKAEGNSLYSCSL PNQKIILVELPSRFRNTIWIKRGGYVLVDTKEAEVRQNKIDGEILNVVRDEHLWRKEL YWPKEFLKTSVYPEDSDDEESTIGKMPPSDSEDE LY89DRAFT_697414 MASHAFGAFIDVETSSLSDQRHTIPIAPQKALPRVYHSVHSIPD PVELDNLQWGTKLNTPTGSGAVSPSGHQTPRVPMDLESRPTTPKNEQDGADALQSFSY PPMNRFRMLSVCLMNFGNGLNDSAPGALIPYIEKHYSIGYAVVSLIFVTNAIGFISAA FIVDALRAQLGRAKTLMMAQSLMTCGFVLIVCTPPFPVVVVSFFFLGLGMAINLAMGN TFAVNLHNGTKMLGAMHGSYGVGGTIGPLIATAMASTGGLLWSRYYLLALSVTLFNLV FAGWSFWHFEKESGGSLLTSIERVASQTQNIQAPKSRVKEQMASMLTAFKSKIVILGA LFIFAYQGAEVSISGWVISFLIASRHGNPSAVGYVTSGFWGGITLGRFLLSHPAHKIG EKLFVYCIIAGAAAFQLLVWLVPNIIGDAVAVAIVGLLLGPVYPCATTVFSRMIARKD QVSGLSVISAFGSSGGAVAPFTTGILAQAAGTFVLHPIAIGLFTVMMVCWFILPTPRK RTE LY89DRAFT_685177 MADSVSKFANDIIAPKVREMDENESMDPTVVEQLFEQGLMGVEI PEEYGGAGMNFTAAIVGIEELARVDPSVSVMVDVHNTLVNTAVIKWGSTELKKKWLPK LATNTVGSFCLSEPISGSDAFALATKAVKTDSGYKISGSKMWITNSMEAEFFIVFANL DPSKGYKGITAFIVEKGMKGFSIAKKEKKLGIKASSTCVLNFDDVEIPKENLLGVEGQ GYKYAIGLLNEGRIGIAAQMTGLALGAFENAAKYVWNDRKQFGQLVGDFQSMQHQIAQ SYTEIAAARALVFNAARKKEAGEDFVQDAAMAKLYASQVAQRVSGLAIEWMGGMGFVR EGIAEKMWRDSKIGAIYEGTSNIQLQTIARLLKSKYSTS LY89DRAFT_75381 MNNDEDALTSVYKKIERERALLNAANSMRQQTQNEQVRSRIDLQ IREGRRNIQYLEERLQELQMRRMGQGMNDMNLGSSGAPGRPQSAGIPPTPPPKDSRGG YMDAGSDRGGYGTQEYSEIGGHGTMMPPRHPYAPPGPEQGMPKSRPNYTKLDLIKYDT PHLGPRIQLMLSQLEFKLNVEKQYLKGIEKMVQLYQMEGDRKSRADAAGRRVESTQKI QLLKQALKRYEDLHIDMESNADAPDDDSINTPNMRKPLTGVLSVRVHAVRDVDHAATS RFSRAPDTFVAIKVEDNVVAKTRATRTDKWESEYHNLTVEKANEVELTVYDKLSDHAA PIGLLWIRISDIVEEMRRKKIEAEINSSGWVSADRMANGNMPAAPPPQFNMNPTQSQF GGPPGSAGTGAQPGGAMPGAGMNNPPQPIDAWFALEPSGAIHLTISFSKQQGGGGKHY DPTGLGRKGAVRQRKEEVHEMYGHKFVQQQFYNIMRCALCGDFLKYSAGMQCEDCKYT CHTKCYPSVVTKCISKSNAETDPDEEKINHRIPHRFEKFSNMSANWCCHCGYILPFGK KNCRKCSECGLTCHSSCVHLVPDFCGMSMAVANQILDGIRTQKRRQTSQTTMTGRTLR PQSKASTPSESTTPTSAYGPDPSRPPRTQSYGGPSSMEATEAAKTMYSQGQMSPQSQR PQGPDRTASSSAAAAAMAAMSGPRSPTQRDPRDPYQRASADYPSGRVPANSGYGAPQH DNHSPDVPYGSPPKPQMPTQPAYNPAAYAQVNSGYQQQPMSTPQVQPAQISPTAATYS SSVPSAPTIAPEPAAPSAAVIARKAAPPADTPGTGKRIGLDHFNFLAVLGKGNFGKVM LAETKASKQLYAIKVLKKEFIIENDEVESTRSEKRVFLIANKERHPFLLSLHACFQTE TRVYFVMEYISGGDLMLHIQRGQFGTKRAQFYAAEVCLALKYFHENGVIYRDLKLDNI LLTLDGHIKIGDYGLCKEDMWYGSTTSTFCGTPEFMAPEILLDKKYGRAVDWWAFGVL IYQMLLQQSPFRGEDEDEIYDAILADEPLYPIHMPRDSVSILQKLLTREPDQRLGSGP TDAQEIMSQPFFRNINWDMVYRKEYPPPFQPQISSATDTSNFDSEFTSVTPVLTPVQS GKQIFAVT LY89DRAFT_669679 MADAPAEPSKSALKKAEKQAKMAADKAAKAAKQATLAVVGGKKA DDIIGMTVSKTENFPAWYQEVVLKAEMIEYYTEISGFFILRPASMFIWNTIRKWFQER IEAMDVEEASFPMFLSSKSLEKEKDHVEGFAPELAWVTKAGDKDLEVPVAVRPTSEAV MYPYYSKWIRSHRDLPLRLNQWNSVVRWEAKQTTPFLRAREFLWQEGHTAHLTEELAG EEVLQILELYAGVYEQLLAVPVVRGRKTEKEKFAGGYYTTTVEGYIPSNGRGIQGATS HCLGQNFSKMFDITVEDPHPKGDEKAKHIHVWQNSWGLSTRVIGVMVMIHGDDKGLVL PPRISKIQVIMIPVGITKSTAPEDKARHYDQLEEIKRTLKSAGVRTDYDIRDGYTPAW KFNDWELKGVPLRLEYGPKDAAKSVVSFARRDTGEKGTIAISELSTEVPKLLETIQSD LYNKAEASFRSHRIEITEWEKVIPALDVKNVVLIPHCLKEKCEDKIKDLTTGNQEETE GPEQMKAPSMGMKSLCIPFDQPAGIVKGETKCLNPECEALAESWCMFGRSY LY89DRAFT_782439 MGSLFEQPRNAGTLFLGGQKISGSDIRDQNVLATQAIANVVKSS FGPSGLDKMMVDDIGDVTVTNDGATILSLLDVEHPAGKILVDLAQQQDKEVGDGTTSV VIIAAELLRRANELMKNRIHPTTIITGYRLALREAVKYMHENISVKVDQLGRESLINI AKTSMSSKIIGSDSEFFSNMVVDAMQAVKSTNNRQEVKYPVKAVNILKAHGKGALESI LVKGYALNCTVASQAMKTRITDAKIAVLDMNLQKERMKLGVHITIDDPQQLEQIRQRE AGIVMDRVEMILKSGANVVLTTKGIDDLCLKLFIEKGAMAVRRCKKEDLRRIAKATGA TLISSLSDLNGDEKFDASSLGHAEEVVQERISDDECILIKGTKVHTSASIILRGPNDY QLDEMERSVHDSLCAVKRTLESGSIVPGGGAVETALHIYLEEFAGTVGSREQLAIGEF AQSLLVIPKTLAVNAAKDSSELVAQLRSRHALSQRIQDGDANEDEKTIAKKKNYKNYG LDLTKGKVVDEIKAGVLEPSMSKIRQLKSAVEACISIMRIDTLIRLDPEQRGGEDDGH GH LY89DRAFT_685181 MASLSQTSRTLLRTVPRSSIPSMPVRALSTSAAKRDTSSFDSPF KGMGNDKTAKIPDFSHYRSSSGTNRNLLFQYFMVGTMGALTAAGAKATVQDFLVNMSA SADVLAMAKVEVDLSAIPEGKNVIIKWRGKPVFIRHRTADEIKEAESVKIETLRDPQK DEDRVKKPEWLIMLGVCTHLGCVPIGEAGDFGGWFCPCHGSHYDISGRIRKGPAPLNL EIPAYDFPEDNALIIG LY89DRAFT_685182 MAASTLPAMKTNPKYIFFTDFDGTITQQDSNDFMTDTLGYGEKL RKQGNKDVLDGKMTFRDSFREMLDSVKTPFDQCIKILCENIKLDPYFKEFFDYARQNG IPIVVLSGGMTPIVRGLLVHLLGEDAHEMQIVSNDVAPRDGKSINEEGGWQIAYHDDT GFGHDKSLEIRPYANLPENERPVMFYAGDGVSDFSAAKETDLLFAKKGRDLITYCIRG GMPFTIFEDWSSILATVKDIVDGKTTVKEVSAAGVKEAKADGMANGKA LY89DRAFT_75528 MQPIARFAPRLFFDAIAGTSKRTTRFADRPSLRPSICLQCRIRT QRRLFADSPKPPATSENTTSQSPPSPSRPPSSKQEELYSNGVSEDFSSELPSAEEGRR LAISKRFSKVMDHLQGNIFVASQRINDLTGYSGIEALKERIAVLEKRVSDAQELVRSS RLTYKTTVADRASTQREVTTLLARKDSWTPSDLERFTALYRMDHSNEQAVQEAATKLA DAEREAEHSASKLSSSILSRYHEEQIWSDKIRRMSTWGTWGLMGVNVLLFLVFQLGFE PWRRRRLVHGFEEKVREALEKEKAAAEMRHERKDEVDPVSLDAVVAAGIEELQEEVDS GVKTSEPAVDAIVACIQGQEGEPQQEEEASLDSAEMHVPTALMMLRDQQKTWTAAFQD LFSDKLVEMRKQDITMIALEGAATGAALVTIIATIIFRHA LY89DRAFT_75555 MRLLRAVTAAALAAHAAALAIGGKQMHVERESDGLQNIVTYDEH SLMIYGERVFVFSGEFHPYRLPVPDLWLDVFQKVKALGFNVVSFYVHWALVEGEPGTY LANDVFAFEPFFAAAQQAGIYLIARPGPYINAESSGGGFPGWLQRVKGQLRTRAPDYL AATDNYVANIGASIAKAQITNGGPVILVQPENEYTGSSGPVDGGFPDPVYFAYVKKQL RDAGIVVPFISNDASPTGLFAPGDVVNGTTEGDVNIYGHDSYPLGFDCANPYTWPAGD LPTYFHATHEEQSPSTFYSLDEFQGGSFDPWGGLGFAQCSVLLNMEFERVFYKNDFAS GAALLNLYMIFGGTNWGNLGHPGGYTSYDYGASITENRELYREKYSEVKLEANFLKVS PAYLTTSVGIASTSAYTDNTSIFTTPLFGNGTATNFYVVRHSDYQTEVAASYKFNVAT SQGTVSIPQLGGSLTLSGRDSKWHVTDYDLGGTTLLYSSAEIFTWKKFDNKTALVVYG GPGEQHELAVVSSSSAQTLEGDGVTTKAMNGTAILNWQTSSTRRVVQVGSLFVYILDR NSAYNYWVPDFVRSDEWGAYTSNIGNTTSVIVEAGYLVRSVYIEGTALHIDGDLNATV PIKVIGAPASTKDLHFNSLKLSFTTDPVTGEWSSTLPYTAPQITLPDLSTLDWKYVDN LPEISSSYDDSAWTSADHTTSNNTVFKLQTPTSLFSSDYGYHTGVLLYRGHFTANGQE TTLQIETQGGSAFGSSVWLNSTYIGSWPGIDASSAWNSTYILPNLVSGKPYIFTVVID NNGLDENWVVGPDEMKDPRGILNYSLDGHSQSDISWKLTGNLGGEAYIDKVRGPLNEG GLYAERQGWTQPYPPNHNWVSGSPETGISTAGVAFYQADFSLDLPNNYDIPLTFDFGN TTINGATADYRAQLWVNGYQFGKYTNNVGPQSSFPVPQGILDYHGQNWLAIELWAQQA SGAHLTNFSLGTGTVAWTSMPRPAMAPIPSYSKRPGAY LY89DRAFT_646533 MAGSRSRNASPFRGAEEDTAIISTRGLEAFGRKVTTTAGHLMGP LADPSASTHYQNAMSDLHKQLRRPNLQRSVFSFAKTTPTDLVRSKLSTSEIQYRALTY LPDELLKNIPEDENAYSLFQGFQATLPESSPESKRHRRRISRGRKLLDDDKSESETPP TLGKLKKEQNSLNHQLEMMSIRKNMASSEIREIDNKIANLNSMRKIVLDRLAGLEQEE ALLEHDILDVENRLEDAQEQLDDAEAMAKTLKAEDEEVIEDPAMDASFMSESIYEKLP SVNTTPTRPKRHKTIRRKSMPILHEHFEPGSMIRELHAHHDVVTALDFDVPFGTMVTS ALDDTVRVWDMNAGRCIGFLEGHTASVRTLQVEDNIVATGSMDATIRLWDLSKARYDP QDNRINKDGDEDDDPLAFGNPEDGEPEPPAGSMADCPLFTLEAHVDEVTALHFRGDTL ISGSADKTLRQWDLEKGRCVQTLDVMWAAAQASATMGSNEGAWRQTGRLPDASADFVG AVQVFDAALACGTADGMVRLWDLRSGQVHRSLVGHTGPVTCLQFDDVHLVTGSLDRSI RIWDLRTGAIYDAYAYDAPITSMMFDNRRIVSAAGEDVVKVYDKTDGRHWDCGSGVTA EEDGRHPAIVEKVRIKDGYLTEGRKDGIVGIWTC LY89DRAFT_697423 MDAVIDLSDRSKALDLNHIRVQLIRMEDSIIFHLIERVQFPFNG TIYKAGAIDIPDSSLSFLDWLLCKREELDSMIRRFQSPDEYPFFPESIKKPILKPLHY PKILHKNDVNVNEKIKNSYIENFLPGACANFGRQDRGETEENYGSSATADINCLQAIS RRIHFGKFVAESKFQTETERFTKLIKEEDREGIDVAITNAKVEQQVLDRLRLKATTYG MDPAIGAEGPGKINVDAVVTMYRDFVIPLTKEVEVEYLMQRLGPDE LY89DRAFT_685185 MVRKRIRDPEVLPEAPAQDADNDSGSDDDVDMVNVEFEWFNFKP DIDFHGVKSLIRQLLDVDSQLFDVSVLADLVLSQPTVGSTVKVDGEETDPYAFLTVLN LREHKDKKPIKDLTEYIISKAKSDPTLNQIADLLSSSAEVGLILAERLINVPGEIAPP MYSMLIDEIEAAVEDKEPYEFTHYLILSKTYHEIASALDQEDAPKSKKSKANRGSKEV FYFHAEDEVLMKHSLASGSYDYTKDEGEGMADSKRAFSEMGVKPQGSMLLIEAAKFEG AVKAISQYLSPPQ LY89DRAFT_646542 MATNGEILVDEPHKTFDTILTLDFGSQYTHLITRRLRELNVYSE MLPCTQKLSDLSWKPKGIILSGGPYSVYEADAPHVDPAIFELGVPILGICYGLQEIAW HHGKNVVAGTEREYGHAELQAKRVEGHVDKLFKDLEDDLKVWMSHGDKLGALPPSFHI IATSKNSPYAGIGHETKPIYGIQFHPEVTHTARGIKLLENFAVGICGAKQNWTMAKFV DKEIARIRALVGEKGQVLGAVSGGVDSTVAAKLMHEAIGDRFHAVLVDNGCMRLYECE QVKETLTKHLGINLTVVDASDLFLDGLKGIHDNPEAKRKFIGSTFIDVFEAEALKIEN EAENSPKAGRIEWFLQGTLYPDVIESISFKGPSQTIKTHHNVGGLPERMMNGQGLKLI EPLRELFKDEVRELGRQLGIPHDLVMRHPFPGPGIAIRVLGEVTREQVAIARKADYIF IEEIRKAGLYDQISQAFAALLPVKAVGVMGDKRVHQQVISLRAVQTTDFMTANIFRFD WDFLERVSTRICNEVDGVCRVVYDLTTKPPGTIEME LY89DRAFT_697426 MAARAHSKRKLRSTTRLNTRTALLSLLAAAPGAMAETCISLSGS TQCSAFSSASISIDSTLVGFFPFLQYVSSTATFDEQLASYVSTSYVQEKYQTLFGCGG INLTNTTNLYARFTTTVICNAIIQNSRTPCGLSTAASRPVCADTCAEQAESEAIIIAN SALCTNPSSNADSQIRADFTNCALPAESLTGTCIEGATNEPDNCGYGNSTIGLCQYCG SGGQNSTDTCCYNSDAQTRCAGVVLPTITAFMTFTTSSPTASATSSSSATPSSTGVAS NSNKGLSGGAIAGIVIGSVVGAALIAGLIILLILCLRRRRGSQNGSVFNQPSPARRGP APGMAYNPVGTTTTPEGYEVLPGGRIARMSALEGHSGDSPPRGELGAVGAGGAAAGAA AGYAAGRRRHHDQSSSDEYSPVSNSGGGVLRPPPTGRRNGSLSSNSALGLEDPSSPHS GSGGDMSSPQGVASQQSEQLPFFKDYYSQDEIHPGDKVATLWAYQPRAGDEFTLERGD MLKVVGIWDDGWATGVMIDERAEDWDAKRKAQRDSGVSNTSGRRDESPAVSGEIKAFP LVCVCLPDHWRKTIEGDGSTETGSSAPHGAGS LY89DRAFT_685188 MSGTSNVGQASVYEDGDQKNVPKSELEQEKKDARFHEGKQNSHK ANDSKDERSIANKLAREEKREKEPEEESEETKALKKDPTLPAKMHGNEPSKGAKIDAQ IQAEEEEELRRKGKA LY89DRAFT_617172 MGQGFSLTTLNAGSAGIDVPELSDLVYEKSMGTTRFMKSIRARH QDGVVLVKVFIKPYAMKLEKYKHEIIQERKALADVPNALAYQRIVESETNGYVVRQYL YSSLYDRMSTRPFLEDIEKKWLAFQLLCALRDCHAREVFHGDIKTENTLVTSWNWLYL SDFSASFKPTTLPEDNPADFSYFFDTAGRRTCYLAPERFLAAGEEPDPKAQVTWAMDV FSAGCVIAELFLEAPIFNLSQLFKYRRKEYDPVSAHLNRIADTDVREMVASMIQLNPE SRYSAEEYLDFWRKKVFPDYFYNFLHQYMALITDPSSGRRPISGASANLGEADERIDR IYYDFDKISYFLGYENDKEEEKKTPYSASGLQLFPVHLNIPNNDHQAVVLSKRPSDDG TLIFLALVVSSIRNTARATAKLRACDLLLAFSERLTDEAKLDRVLPYLVSLLNDKADI VKVAAVRTLTQLMSLVTVVSPVNAHVFPEYILPRMQVFLPNSPSDPGPLVRATYAACL GSLATSASRFLDMVATLRADGSLPTADPETEDGGHVDAALQGLFDNSRAELIEVFASH TKALITDSDSAVRRAFLGSVPELCMFFGTADSNDIILSHLNTYLNDRNWMLKCAFFKT IVGVATFLGGTSLEEFILPLMVQALTDPEEFVVRSVLHSLASMAQLGLFQRSKIWELV DVVGRFTMHPSIWIREAAAMFISSATIFLSIADTQCIIQPLVSPYLKTSIKDFSELGL LDNLKKPLSRAVLDLSVTWATKVDRGLFWKPVHQLRTFSFDSNSLVIPTISSKELGHH AIQKVSRNEEDEQWLSKLRNMGLGHEDDFKLLALREYIWRLALSKARESSPHPSYLNN VIQLRSIGITPQTVMFDDQQVMEEPIRRVSSAVDGGDKVPHTIADALLDASMTIDDSI SRRRRSAFNTHKARIISSQVNVPSGPNRGDDRSPVSPSSATSTSPKEIDPASRRKSSL RVGAPNGRTSDDEGGSVPGSGSSVNSRENHHRLRHKVSAMNLMNRTDSIKTVAETGTT SANAFGKVEGPFSRLAEPSALALAKDQDQVGQDQIRFRGAHTYQGNDPSILKMLDAMY VDNYPNDIADFGPMIAPTSRRKTINKSTNQANDRPWRPEGGLVATFSEHTGPVHHIAV APDHLFFLTGGDDGCVKVWDTGRLERNIAHRSRQTHKQGTDASITALCFVEHTHSFVS CASDGSINVVRVECVPVAGTVKYLRLRVLREFQLPKGEMAIWADHFKVEANSTLIVAT NRSRVLAIDLRTMTIMYTLENPVHHGTPTCFVVDRKRHWLLLGTSHGVVDLWDIRFKV RLKAWGIPGATAIYRMCLHPTKGRGRWVCIAGGSGQGEVTVWDVEKTQCREVYRAGGS RDGPKGYDPWPVDEDRPEGMLGRFATALEPNASTNADRGVRAMIVGTDNHDDSRESKY GFIITGGSDKKIRFWDVTRVENSMVVSGLDGEEMKPTFTSAHPTASLTLNTERIPRPG PTAPNAGAGSLRSASSKGSSSRPPRSTVISLQQQQLLKSHLDSILDIALLESPYGMMV SVDRSGVVFIFQ LY89DRAFT_707532 MRLTAELIQSSLSYLNPLKERELDLRGHKIPAIENLGVAGPHDA IDFTDNDIQLLGNFPLSPRLHTLLLARNRISSIQSSLANSLPNLTTLVLTANNFAELA DLDVLGTFPRLTHLVLMENPVTRKENYRYWVLWRCPAVRFLDYTKVKMVEREKAKELF GTAAEPSALASKIMGVKSRTFDIPTANGTSATSSSKNYRVKLTDKERKKVEELIRNAK SLQDIIRLEKELNEGRVPVGAQGADDEMEE LY89DRAFT_734268 MPYNTTAIPRRSEKEPTGTTQLPLSRVKKMMQVDQDINASSSAA SFVITLATEMFVQYLAEQAHNVVRSERKPRRNIQYRDLAHAVARNDNLEFLSDVVPQT VPYKAVKEKQVAKGAALNGESSIEAGQTTLDGKKPTLNGTNGISGSVGDDDDDPNAQL EMESRGAGNRTSAGSAGAFSGKETSQDVEMD LY89DRAFT_734269 MVRDWTTLHHTYDGLVVQPSATKPDGIVDKAQCIADLRAHLDNL YPSTRKIASAVPGSRLPDHIFHDYIVNVVCDQYTLNVRAYSVLFFLGPPPDDLAAYAS H LY89DRAFT_748627 MSHSLDDDLLALMTRAWKLYRVLSKGPVQLQPLCTALLSMIAGL REIHEIISDHQISNVDEATLLNLNGRCEAVLSSAENNLKKLKSKETDILGLTNIQIQI EDQTNELSSVLEGLQERYSRQCLIRSLEQLLASRPQDQVQEITADWPILAKELHQPGL SSQSIDEEFFFINAFLEDKLLRFQDEEEEEQLPDYSPGRRPGTVAEKVKSLEQTTRLM SLSEEIVELVATSSKASPPPYTFESNADQDMDEELVKNIHRAIEQESRRRFLRHERSP TAGPQPYSWLPLDLQTRYSGVKYLAPLRADCFTVMAYETVPQCTEQIARLTNLFRVSF DHKLQVATEKVIQEAPATLKVLSIAISKFILLQDQRTANGSFSDLDSLEYEHSTILVE SKTVSSFPHEEFELARKSYYNLLTYIMGMLHIFTDIFQGRTYLIRPPNELDFLWQDKK MASRTAWIEKQLTAWDKVENAVLHCRSLRALQPGLRDQAIKVLNDWKEAETILSGRSG DEMITLTVISVSGLPKPTLGQLSTFVRVVFYGPNILGGAYRLFDVKTDVSAKTQFPVW NKSFLMSVPEGARFVDLELYHRALGMDNYLGRRRLEFSFVPGVEATFANRSLMHGIDE EIDFPMKLATDAKGKGKAVMITVGLKWQGRFGRVEEMGLPSQPPGKMFRSDIVGIPV LY89DRAFT_75870 MAPKIFITGVTGYIGGDALYALEKAHPDYEYTAIVRNSDKGAPV AAVYPKIRLVYGTLDDSELIEEESARADIVIHTADSSDHPRAAKAIAAGLAKGHTKEN PGYWLHTSGTGILIWKDVEDGKFGEPPSQPPYDDLENVTGLTGLPDKAFHRDVDKIVL AAGSDSVKTAIVCPPTIYGPGRGPGNKDSRQVYYLCKLTLNEGQAPMVGKGLTEWDNV HVHDLSDLFVLLVDAALKGDKELDSKLWGKEGYFLAENGHHVWGELSKQIGEVAFEKG YIKEKDVKPMSREEVEKVTGFQGQTWGLNSKGYAKRARKYLGWTPKSRSLKDELPYIL DHEATLLGIKKGHAEKAAGAN LY89DRAFT_669696 MRFSQITLGGFVTSAAATNLWVSSYGGNITSVQLSQSPNGTYSL KQTSFNDESSDPSWLTKDQYNDIVYCVDEGFDSPNGTISSYKTSPSGELTLIDRHITI SGPVASVPYNGGKALVVAHYSGSGVSSWTINANGSLTPMQSFLFTLAKLGPNPARQEA PHPHEVILDPTDSYVAVPDLGADLVRIFHIDPQTSLLTAQTPISVPPGSGPRHGTFLK TDSGATYFYLISELANTVASYNVSYTPTGLNFSTIAISGTYGNQTTPAGAASAEAILS PDHKFLLTSARNATLFSLKNFDPNNSTKIPSDTLQTWAIDDTTGKLTFKQLSPSGGFY PRQFSVNKNGTMAAVGLQEDARVVIVSRNTTTGLYGGFLASINLPGEITSVIWDE LY89DRAFT_586178 MNSRSSSISLPAPRLQSRGSFASRLSFAVSSVEQGEAGAAPTAA EHQIEEEIDEIKRYEDAAKEQLRRKVRRKETAGFFERGGHAGWRYKIWESYEAAQGWI VVTLIGAAIGLNAAFLNIITEWLSDVKLGYCKTAFYLNESFCCWGEDNGCDDWHRWSS LSLVNYVLYIMFATIFALTSASLVRSFAPYAAGSGISEIKCIIAGFVMKGFLGFWTLV IKSIALPLAIASGLSVGKEGPSVHYAVCTGNVISRLFEKYKRNASKTREILSACAAAG VAVAFGSPIGGVLFSLEEMSSYFPLKTMWRSYFCALVATAVLAAMNPFRTGQLVMFQV HYDRSWHFFEVVFYIIIGIFGGLYGAFVIKWNLRAQAFRKKYLTKYAILEATLLATGT AIICYPNMFLRIDMTESMEILFLECEGAEDYNGLCDKENRWSMVFSLTIATILRVFLV IISYGCKVPAGIFVPSMAIGASFGRTVGILVQALHEAYPGSVFFSACQPDVPCITPGT YAFLGAAAALSGIMHITVSVVVIMFELTGALTYILPTMIVVGVTKAVSELFGKGGIAD RMIWFNGFPFLDNKEDHTFGVPVSQVMTGDIVALPTTGLTMKNLEKLLAEDKYQGFPI VEDCASKILVGYIGRTELRYAIDRVKRDRSITSTAKCYFSPPTTIGQSQPTTPAVTSS TFEGMASASVDFSRFIDATPVTAHPRLPLETVMELFRKIGPRVILIEYHGKLAGLVTV KDCLKYQFKVEASENPRDDRHLIESQERLWGVLKLSAIWVSERISDVSKGRIRIGGDH GRERDIPRPPRGQTGPTSYVMDGTEDDIDDGVELEDR LY89DRAFT_697433 MQEQAVNIVVVGGSLAGLLHAIIFKHLGHKVHVLEKSSQSTLQS QAAGLGAGPEVRKLIDEYIKTDKPYATTSSLLEIVNSQGDIINSIPTGEVIYLTTWSL LYNMLKAHLLGDRTTPPATYETEKTVRDVNYDGNKVTVTYSDTNTGISNVLQADLVIA ADGAHSSVRATVLPDVHPKYAGYVTWRGAVPEASVSEASREVLENRVIIFRTEKGYTI SYHVPSESGSIAAGEHQFIWIWYEMLEENSEEFRETFTDITGVTRLTTVPRGKMNPTV WVKRQASGATLSAPFAELLSKTSDPFVSAIRDFVSPKAVIYDGKLLLVGDAFALFRPH LGASTNQAAKQADGLVDVFMGKGNLAEWEKASVEYATRTSATSNALGEYCFTGNVPGR LSTAIQPDKKSP LY89DRAFT_669699 MSSSLANPSCYLYGPGDARIEESVYPTIEDPHDVVVRIAYVGVC GSDVHFWSHGGIVNKVSETNPIIMGHEASGIVHEIGSAVSTLKIGDKVAIEPGQPCRR CKACKAGHYNLCSTMKFAADPPHSHGALRRYFKMPEDYCYKLPSSMGLDEGVLVEPLA VAVHVARLAEIKAGQDVVVFGAGTVGFLCAAVAKAMGAKKIISVDVNGSRLKFAKKFA ATGTFLPSREDSAEDSARKIIEENGLDEGADAVLEATGVEICIETGIYTAKRGGTFIQ AGLGKSKIQFPIVKLSEKEINMKGCFRYNAGDYELAMHFLESGKISVKELISSEEPFE RATYAWDKTKRGEGIKNLIRGPQD LY89DRAFT_586075 VTGGLGGVGAPVVDSILESGGDVVCLDLPPNPDNLTRFQTIASK HGTQLFYYSLNVTDENQVSSVINEAVSKLRYPLRGVVTAAGISGDVDAVDYPVEKFRK IMDVNVLGTFLVVRAATRVMQKEKVAGSVVLIASISGSIANKGVNTAAYNASKSAVLQ LARSLAMEWANSPNHPPIRVNSLSPGHIYTPLSAVSIADEQTKKTWTDMIMMGRISYP EEYRAPILFLLGDGSTFMTGADLRVDGGHCAW LY89DRAFT_75975 MPAFTSTYLLPAILLNPALVLHIINTFASHFMPPAPTVSVSPHP IMESLGPSPGSTLYLDMHADDRLCWGYTGVMVVLQMLAIGRVQDNRDRRRAARTAKLE KERGNKEKLESMSSERRALGLDGTDDPPLRRELDRVSNGKQEHPLTGLTRERDVDLME ESDLEERKSGMDSDTSVTETSEEEMML LY89DRAFT_617193 MNTLFNTALKQSTSLKRDLSSTPSGQPLSPSFLGQISASLTSFS RTLDSYADLIKSELNPSKKEKAEERLKTFRSELQSFREEFKELKLQNEDAQSSVNRQE LLGRRPHNTATPDNPYSNTAASTSPSMHSPWAPKESAGSLSMQSGDVTREAHAFREQN FFQNTHTALDEYLARGQAVLGDLGQQREILKGTQKRLYSVANTLGVSGDTIRMIERRA KQDKWIFWAGVVIFFLFCWLVLHYLR LY89DRAFT_685199 MQFSTLLAAAPLLLAVFSIPATAEIEIRQSSTTPINTTDLLIGA CLSMGTCYYGGNQIGCLTHGCANETYLGGICSCNADVQSAIDIQAAKGQKQWPVKCPY LY89DRAFT_685200 MAVKNSVILKKSIIGGVDLTKSQGFIELSVLHNKIEFIDILLEA GVPIHEKHQEGFSLVTTTFRKNRGDILTHRLSKGADPNAIGDGLSLANAARFTDQRRL RFVLDAGAFVNKQIKRRSALVIRT LY89DRAFT_685201 MKTGTFRSFRFESRLRESIARYFWICVMPGQQIYLVFSADFTSY PEKRTFYL LY89DRAFT_685202 MPLSGTSPTYLHVLQNASLILLSICCFPLCTFIAALSSAISPYL KTARHIQHYRKWRRVSSSTFRPRTILVTGVGMSKGLTLARTFYRAGHRVVGADFEDYM IPVCGHFSKSIETFYRLSRPSSEMGTQRYMKDMIDIIKKENVELWVSCSGIASGVKDG EAAEIIEKETKCKAIQFGLTLTETLHEKHSFIDNTRQLGLNVPDTHLVTSETESLAVL YPEKPRSAQGTKYVMKSVFLDDSSRADMTLLPRPTLKETETHIKRLNPTPFRPFVLQK FISGPEYCTHSLVINGKVKAFVACPSAELLMHYVPLPTSSALSQAMLLYTTLYAKKTG PSMTGHFSIDFLVEADVAQDAERRVGVSDTEVRELMSRIYPIECNPRAHTAVVCLADE SEDHAEAYLSILPDHEPKGISNGHRGEALVVPRPGIQGYYWAGHDLVTRVLLPLLRLL RFEIGVMDMLELWMEFAEHVLYWRDGTFEIWDPWPFWWLYVGYWPGMFLVSLWERKWW SRCNVSTQKMFGC LY89DRAFT_669706 MIGTKPAKRGRGRPRKHPEAGRDPSINTPAELAAACPPKIKPRR TKAKAKRFTRPARNSTVRNARRIPGEENERQYSDGIDELDEFAFMDALLNAEDQAYMR GVLDALATQPEHDHECIDNQKTKVEVKAEYQAAEVIKLENTPPEVGEWRLLNVKIEQG EIKLPISVLPDEVNGHDVPSKVLDALGECDMSARSGGTQEVERPADEMIWKLDAIHDC NVNLGATRVYDTDKEMDGLNLLAGSTAEAEPQTNDLVLQDLDAVRDDNVVLNATHFYD ADEEDLIGNLVQYQVWHEALQGELPAQASEVTTLGRIRGFMINLIWGRQ LY89DRAFT_697437 MPLPRASLRSFLSSAKSALNNAAQQSSPVTFVIGNESADLDSLC SAVVLAYIRTYSLKSKTFYIPLSNIPRADLNLRPELLSVLSHANLRPSDLITLSDLPS ITSNSSRLSPEKTKWVLVDHNVMVGELGKIYGDQLVACIDHHDEENKVPKDTAEEPRI VQKCGSCSSLVVQYGREAWDSLASKTSQDHQAKWNAELARLALAPILIDTSNLKDESK TTPVDVEVVKYLQHWITSEEEGPFDAEDYFKEIADAEKDVDSMSLVDLLRKDYKEWND GGVPLGICSVVKDMPFLVKKAGGTEQFLSAVKDFAKERKLSVCSVMTRTLNNGVFSRE LLVWGFGQKGIESAKSFEQDAKPKLDLQTWKDGSLDLDNNQWCRCWSQGKAQDSRKQV APLLRTAISG LY89DRAFT_585884 MDESSVNVHDFERIQVPETAIKQLPPKIHERNIAVKTCPPIWTS VIATLITLFTDSTVKPAQCNDNARAAIRACFHDCGTWNTSLGSKYGCDGSLILAREAF TQADDNALQNISNTLTAVRAQYPSIGMADLIVVASSVAIRVCPGGPTVTTYIGRNDSS ILNPAGLLPGVNDTATSLITLFKNKGFSTNDLAALIGAHSTARAFAQPNIQFGAPQDS TPGAWDIEYYKQVASPPAGVYSFLADRNLAADKTVGSPMAGFAGNKTSWNGAFASAMG RLTLLGVEGGSSLLVDCTGFLP LY89DRAFT_76157 MAPFSAGMANAVHDLRLESRGLGGNNTGTTLSKSASQGNTLQIL ACTFSAISVTSAMLAFLWFVKMRRTFRHDLIMLLIQSDMFKALWFMIYPIVVFTHGPV PDSSTFCQVTGFFLSLGIEASDFAALMIALHTALYIFRPRSSNGEGGLFPYRHIAYTL WIILPILSASLAFVNKDDGYVSDGTYCYLPVRPFWYRLALAWIPRYIIFIFILGIYAS IYYYVRYKFNGFEHLGGMDAGTVNTVSSGGPQIRAAKRTVPPTPTLVSHGLIPESRQS SMAEPDGRKQSVSTVDSARYPRPSRVGAHRFIWGSFSAGNGLPPTPPSETSNVDEDSF TGPSPPHPIPLTSLHTITPRPEGSDDSNTAVASRATSWRDGFVRRFSPELSGNSSTQH SVTDIFTILRHHPDSSNNLTPVSQLQLINSRGQTFAAAEMIRTRDKIRRQLRFLFIYP LVYMGMWILPFVSHVLQYDDRFAVNPPFGLTCVTTICICSQAAVDCWLFSTREKPWRQ IPGTDGTFWASLKLWSGWGGLGKRVRVHGPGKSREEMAREARAAYQRRDEELAQRRNQ TDSIASVGEAPRRGERSWWEAVGADGTMSPVSEEVSNPMENVITITSEGVASDDATLQ EPATEVSEGEDGRVSPGGTVHNIQEDTHTT LY89DRAFT_782465 MIETTLWMVPSASAALAILAIFTLFQACQMLYWSRLHVLSKIPG PRFPAASSLWIRWQRWHGRLSFAADGLLSKYGPIVRIAPNIVLVNDVQSVQSLFMRQD LDTAPKAIRALRIGGHDWTVTYPQNAVARSRRRPVMMATTTKAMRYWQPRFEQNIAEM IVDLGASQGGDPEDIVYHLRIATLLNSQIVMAGSQANLEPGDFPHVVGEYNFLVVWRL CLPEWLFEWLKLSPFRHAAFRVRSSDALLHLGRDLVEQAEKGAGNGDANDVSAISVYD QLMANMKYEDESESKNESENESKSKSKSKSKSKSKSKSKSKTKSKSKTKTKTKTKTKS QISAEMAGQILAATETTSSALAFIFYYLARDPKLVEAMHAELQSVEGFNGIENLKLLN ACITEGLRYRPPVALTGSRVVPRGGLHILGYFVPAGTVVTTQSLSLSRQRPDLFPNYD EFDPMRWLDDDDDDDDDKSVHTERRRCLAPFGMGARRCPGGNMATFQMRMILAAVIRA FRVSVAPETTPLSMAPFEANGFRSRWDACRLVFTPRDLRPQPGPRGCECGTKSTCDCV ECHCVHYEEEEH LY89DRAFT_617204 MVDEDVSHNRSSRFQEDINEETSFLSTSSQDESSYGTVSSNTTL QDDESDDNEAEFENYLARAQTIGHGTEAEAQVGASLRNPRKYRFLPRIPLALNYGTVS TNGSHSRPTSIDEETETEEEADPKSRYLGGVSKPQFWLIFASLTISYFVSCFDGTIMV SSHPVITSYFQSANSASWLSTSFLLTSTAIQPLFGRLSDAVGRKYPYIGTVAIFLVGT IWCASARNITSFILARGFCGIGAGGMMAIGAIIISDLVPIEIRGAYQSYMNIVFGAGA ASGAALGGAIADNLGWQWEFWIQLPFLVVCLCLAIWTIPNELGTVESQEKRLWEVMKS FDYMGSALLTTAITFSILGLNLGGNIFNWTHPFILSCFTIATISFPAFILIETKAKLP IMPINLIKHNPRAGLILSNTIAAMAINAITFNLPLYFQAVLLETATTSGLRLIIPTLV ASLIGAATGFLITWTKKLKWLLVTGNIFLLLGGIVLSVMHRGLPPWLYILCIVPSSIG QGFMMPATFMAVLVASEQVEQAVVTSTLMLWRSLGFILGVAMSSLVLQNALISNLEQN VTGADKESIIRQVRESVQAISGLEPVAREQVINAYSASLRATFILAAVLGAVAVLITI PLKVPRLGYRKG LY89DRAFT_646584 MLKLPLRIAVLECDTPMETITSRFGAYPRIFSSLLNAAADQLAY PGLSSKEGLELSAWDIVNGDKYPELENIDAILITGSKYTAYDDDPWILRLVEYTKKVL DQRRVRIIGVCFGHQIVGRAMGVKLGRMEGWEASVMAMDLTKKGQEIFGKTAVALHQM HRDIVFEYPEGVEELMYTEKCKVHSMYIAKRLITVQGHPEFNEDIMKEIIRVRHGSGL FGKEMYDDTTSRADRYHDGVLVAEAFLRFLLED LY89DRAFT_617209 MKLATLNYLHALCAFLPTAAAWGSLGHETVAYVASNFVAAGTQE LFQTLLYNETSSYLANVATWADSFRYTAAGRFSAPFHFIDAEDNPPTSCGVTYSRDCG EKGCVVGAILNYTSQVMNTTLDSYTRNMAAKFVVHFLGDIHQPLHDEGLDVGGNTVLV TFGGVKTNLHHVWDTNMPEKLIGGYTLTDAEKWAEILTTAITSGIYQSLAADWLDGID LADPVATALAWAEEANAFVCTTVLPNGVDAIDGQELDGDYYQAAIPVIQIQIARAGYR LARWLDLIAQAVNTVGKPEL LY89DRAFT_685209 MVVGRALRATGCAACRLTLLRSFTSLAGPSIRIRQPTRFSRCTT QPRQLRYSSHVGRNDESPRTNEYHEGGRVVEEKDEEDELDGDLEFTEDIEDKQVSAIP WYLQVESPHRAPRPLSERQLIPELPELPPPILAPLMQQVSIDLGLDDLKLLDLRKLDP PPALGANLLMLIGTARSEKHLHVSADRLCRWLRSTYKLKPDVDGLLGRNELKLKLKRK AKRAKLMGSSVDDSQDDGVRTGWVCVDVGVVDEAEGVEESAPIRDFVGFGRRTEGVRI VVQMLTEEKREEINLERLWTGILERGTPKDIEEGQDLDSSETTQQYFPISASPSDRNS ASSSGQNRSYHTSARRLAADVEARSGPGPRSTFQPTTASPFEKFDLQSIQDAIMQDLA LGNYNKIKNDVIRYSQSVPELQKDGWRPFLLHSLQSHLESVPAEEALKMLGTGDSDRS STPFLVCFYETLSPIYVSEAEAEILIWLSCFAQEIGHPGYEYFRLLELLDQFQVSGVQ ISLSSYRRLLRAILTPPRGQDFYHGASHAALEAATGIIQTMHDQGFDILSEDLLIELQ ELTMPDPLTVVPEHKIYTDPEETFDLPSLPMPPISQRFHVLLVQLNLPLFRDDSRMRL MDLYANRQYWQQFWEIFRMAPRQNKPQSASMYAFMLFQVAQTKHQKACMTVLRTWIVD LQQEDPPIELTGDVSEALKACLLVADPHVEKDANDNLEARGEWISLWRRCQ LY89DRAFT_586798 SSTASTATPLRHAFERRAEDVKPLKRYDSSNIFQLTVASIDSDI NALIFDYLNTEGYPSAAAKFSKEANLKPQQGEESLLARRQIIQSIHAGEIQEAIEALN DLEPQLIRTCNATPGGDITPALAFATTQLAPRAPTNKEFMEDLERTMALLVFPSDTLE APLAALLKPTLRREVADRVNKAILSSQNNRRDAAIRSLVRLRAWAEDTARQIVGSTYP RNRQSIGAMLRRQPVGYIARFARAEPARTTAHTSRPASVSYILASSRSYTFPSRLLRT KPAFREAQWRQLLSRDIIRSVSGKPLPQRRSWVVNFVYRSAAWLGGSITILGVGVVAF FLYDASTYREDLSYRDIQVSEIALSPRRGGPKNLPIAEVQIDDDDCLEMQKQKDKPKL VVLGGGWGSVALLKTLNPEEYHVTLVSPTNYFLFTPMLPSATVGTLEFRSLVEPIRRI VSRVRGHFIRAQADSIEFSEKLVEVSQKDKHGNEVRFYLPYDKLVIGVGSTTNPHGVK GLENCHFLKDIDDAQKIRNHILTNLEYACLPTTLDEERRRLLSFVVCGGGPTGVEFAA ELFDLLNEDLTSHFPKLLRNEISVHLIQSRGHILNTYDEAVSKYAEDRFSRDEVDILT NSRVKEVTPEKIFFTQKGEAGETITKELPMGFCLWSTGVSQTEFCQKVATALGSSQTN RHALETDTHLRLNGTPLGDVYAIGDCATVQNNVADHLVTFLRTLAWEKGNDPETMHIT FSEWREVAQKVRKRFPQAADHLKRLDKLFAAYDKDQSGTLDFGEMRELLMQIDSKLTS LPATAQRAHQQGQYLGHKFNKMARAAPGLRVNDVRDGDLDEAVYKAFEYHHLGSLAYI GNSAVFDWGGGWNFAGGLWAVYAWRSVYFAQSVSLRTRILLAMDWAKRALFGRGKFLS AQNN LY89DRAFT_617218 MTDIVSKRAHYSPPWADVSIIGIAGSSGSGKSTLSHAIVSKLNL PWVVILSMDSFYKTLDEEGSHKAFRNEYDFDSPEAIDFDVLVDRLRELKAGKRAEIPI YSFSKHAREKETTSIYSPHVLILEGILALYDPRVLGLLDMKIFCEADADTCLSRRILR DVAERGRDIEGCIKQWFSFVKPNFERFVEPQRKVADIIVPRGVENRVAITMVIQYIER KLIEKSKTHRAELRKLGQNSQDDPLSVKVLLLEQTSQVKGMNTIIQDIDTSAEDFIFY FDRMATLLIEHAMNNVYFKEKIVETPAGNKYHGLVAMGETSAVVVLRAGSVFETGLKR VLPDCRTGRLLIQSNIRTGEPELHYLKLPGNIEKHDNVLILDPQMSSGGAALMAIQVL VDHGVPEEKIVFVTYFAGKLGLNRLTKVFPEVNIVVCTMVADFEERWIARRYFGC LY89DRAFT_718947 MSKASATPFKSFDSLLFDFNALKNIPELADLVSLFLDGDYKAVL SSRTSQILYEELFETISSSRSKQDSALQIEELKTWNGAIKVLLTGLAALNAFLQANVT GPPTLWQDVFPQKPLDFDSKCLKSLDVDGISVYQYIPNLELFCLARFIFTVFFPRIVD GEYRDSKWMRIRINAFHQRLLSGVSAGRLSESALLVDAIDKDIKDLESEVFAEKSAFD TDAKVMFLLEEAQIYILQSLDLKAREKVRKAKEIAGFEYELSGALGKKTKFQKNDISQ LVVFAKSNDDEEVDTNHFTANKDLERSNGNAPTTLDLNDDTLLESISFAKCGNDVVAT SSLPPSLANLKPDEQPQLKPLDQITLLTEATLKDTLSPLDKLNSEEILPYAVRVLNDK PTNWQIYTQALLVRSQIESHRSRTQERSVLQLQVIVDQIIADTQEQSGVVNGGENGGI PEIKVTSFLPRAKVDESAPVTERLKYIYPLASPTRWEIETELAYAWASAGSFVSALEI FKRLRLWAEVALCYHSVHQEEKARQIVRRQLFFSKKGTEMDKYDVDEKEVVQEKWDGE IRDPSPPHSPRLWCILGDLDQDPSCWEHAWEISKHRYARAQRTLGEYYSKTGDLDKAR ASYLQATVVNRQNGDTWSRLGDIDLKVGNWDGAIIAFQQSIMIHETDAKTYSNLGSAL LSKHAELTKTQKLAASTAAPAIEPLDDEEISSVHKSTQDPQSLLRQALAAYKRGAAIA YTNWQIWDNVITIAGRLSPPSFPDLLSGMRSVIRIRAPAVGEFAVDIDVLRALVLEVT SSERPENTLPGESPAENNGIYIAPRGSLARAVIAMVNTNIIPLITKRAELWALVEKLS LYRKDYANALSCAEKAWRMLKDGEDWLLDEERWKSVVSATDNLVSAFENYGPMEMVGE DGETREVEKSWRMKARNAVRGVMSKGKEVWEGGEEWEALQALLEGLKS LY89DRAFT_685214 MPSHMPSSFASAAAGQSSSRDRNGRGDGRGSGDWARRENRPTNG IATFRRPSSTPFAQSTSQAEPPLPTPTTETPISHQPSTTLEQPTDSCRYSRNFLLDIF QQQKESGALKDDISHLYAESWNPEQSSAANGRGWGRSTDGRDNHGPEVCWNKNADTEP MAMQEMTEEERILFSSDVNSPLKPPPQNNKDGGAQGLGVNGRKTSISQGSSAFGLSSP ASASRPSTRRQQTSDSISGMQSPSGTGRFSRDEMSPFFARKANEPKDELDERSDEGKP SMLPFGGLSRANTAGSVLGNGPASPWGAPSATSMGNFGNFSLGNSANPPTPIDKRPVF GRGESRLAHLMPKASTEDMSKPAERSWRSSGRQRPDTADTDPFAGEAPSGSAALGGGQ DSSPPPHTQPRVPGGMDTPIRGTSGEFGMADVLGFRERGQAQQTPQGHHEEQDQMSPS VTNPYRSPPDDRHDDDSSYDDHPLHHDRQGLGGIPEHGAAFGTSSRGYPNVPYDGSDR SQNSSAGATRGFPPTLSGLTTLSGLGGGGWPTSSNALGTPDRENRPGFPSSAFGSSLF GGMGGDLQSPGFGSHSGMFGASSNANVTGAGRGIRSLFPAAMQAQMQGGEPEEREDSQ DMRSSNAFGTIGRNAFPPRDTDSPMRTGRLAFDDFSQSTLSDSAQGQPATGFPQASSA QSNHQPSQASSDSASGTLPAAQMRMMVMPDRMRWVYLDPQGTTQGPWSGLEMHDWYKA SFFTADLMVKKVEDEKFEPLGSMIRRIGNSREPFLVPQIGIPHGPPTTTAGAPFVPAT AGPGNSSAQPGAVQPPFANSFPSFGTTLTAEQQNNLERRKQEEQFLMARQREFLAQQQ VNMKQMQMSGLPSTLHHHSSAHSLQSQPSFGSMTSPIGMPQQPPLPGVAGFFDGPPRP MPAQGATGITPDFFREDELARLSIQERQQLFGPGAQGPQHSVHAQQIASIFGQPQRQA TQNEPMDFKARLQEFEHLRAQHEAEEAGGLPPTSAPMMSEPIGPPAQSQQSHSQHMFE EPSELEHEEEHHDQEQEVLSLTQRVQKAASAKESPATVAQPESPWAKVNTGLPMPFPP PPQSTTPLPAPTAQRSRSNLPEALNVEIRSRSETPEAANATPSLAPWAKEPVEAPRGP SLKEIQEAEAKKAAKLETIAAAARRANYEQELKMLASQPVAPAPGLPTTSTWGNSVSP ATPTNAPSAWAKPAATKVVAASNVASSKKTLADIQREEELRKQKLAAAAAAAQPTPGV SGGKRYADLASKATISALPPGGSAWSTVGPSGKARVPTGPAAAAPPTARAVSSATVAT ISAPRAARPAPATRSATTSGQSNVSAAKEEFIRWTKAALANRLNSGINVDVFVEGLTS IGNDPELIADSIYGSTQLMNGNDFAAEFLRRRNQAEKGIVEASVSGYGSASGAGDKSG GWSEVAKKGPPKEEPTAGFKVVPNKKKGKK LY89DRAFT_734296 MCLEARCHVRAKRAHHRDRGYVYGGREFAVLDERDSGGRFGERF GYPRGGRVRLDMPPMGRGRDPRVDMLNGVGMGGVYGGLPGGMGGDLGGPGGGLGGFGP YGPFDGGLGGALPPGLVGGIGVGVGMGHGHGLRGGLHQDARGMRGMPFIPGMEAQHRG LGGMRGMPGMEGLHGGFDTGLVLGLEERLNATGLGDPRDQFLQQMPRFPGGQYPPEHR FHGHRHHAAHGRGPPAGDFGNHGAERDSPERDSRRSTPEGHHDSDPGLSQYDHDPILR HRKFHEAKMRREWEEDRNYRQPYVEDYPSPRRSDDNLQRGAGRK LY89DRAFT_685216 MSGNDYYGGNQGQYPPQPNYGQQGQYPPQPNYSGNPPQHQAYPP QPNYGSPSPAPYGQQPPYGQQPPYGEQPQYGQQPSYGQQPPYGQGAQQPPYPSGQPNY GEDRSGPQGQLAPGEVGPNGERGLGATLVGGAAGGFAGHEFGGGALGTIIGGVVGAIG ANALEHRHKKHKEEKKWEEQQGQYGAQAQYGGSQYGLAPQGHHHGHHRKHSRSRSRGL GDDSD LY89DRAFT_685217 MDSVGNVPPKTLTGTFDIVETYHRILEDDPEITMPVAAIEALVE LLAQTDAVTVYETLDLIQTQSAFLKMNIPNSIPLQAGTELFQQYILSCLKPSKTSSGN FEAVRQHLVSNGRLFVHRAKNARDMIARHGQNYIRDGNIVLTHGGSRVVGALLRKAAD VKRAGGNVRFKVIYVVKDQRSIECRTVIDSLRAKGIPVAEIDEGTVAYAMRKVDMVIV GAEGVVENGGIISRLGTFQIAQLAMAAKKPFYVAVETHKFVREFILSQDDMESDIDQK IIRFEPDYVEDPTPKTPIRNAVDFTPPKYIAALITENGPNVVAAVSEQLIFLYSV LY89DRAFT_718952 MEPSIDPATGRPLPADAIQRILFIAASVHVYNIPPLTSTKGYVA ASWTADNNKRQIFTARLRILETAVPTATGETLKTDILLEDPSNGQLFAAAPYTNASIV EQVLDSSRFFAVRVEGEGGRKAVLGVGFEERSEAFDFGVALQEVRKTLGLEDNGKAGG KKPVKEEKKEEKRDFSLKEGETITVNIGGRAGRRNPPKEKEESHQSLGSFSLPPPPGG GSLGSFLPPPPSASELKAQKRLSQTFEPPNPNTIGDMGFDDGEFGEFQ LY89DRAFT_685218 MSLPFHQQIDVLIPPNPSPAFQDDLPSSKFPGGSGMNYFHALHH DRAYLLNSLQHENLKATDLLRRRTILEENLAKGNFIFSKRKMRSQVGWLTSRIQETGF QEKAILAQLGRVTYQIQRRERQIQVENEIRQIEVQVQDPSDNNEPVNQTPQLYLNPAL PAFEHADFTANQDQHMMPEWEQENGDYDWQQSTHDRLARNSQTEEISPMDQASDSHEE SGFCDSSRPALGQRTVSMNDAPSDTCCLQHTHSPRWKVKRLSLPTLPDISQIWHLTAD DEGALSTESGPRESNLELREEEET LY89DRAFT_617231 MPVPQRRFHGDEELGKKDDDHKPGVKGPMGRAWQQRRLPNGPRR SNLKRIALGFLVVIGFYYFFKNMPTDLEQPRQRPSYVPPSGPNAPASKAVPNRYDFVT KTAEDETPDAPLHNFNGPIKFYQLASTLHGVKTRGSDLVNQNVLFAAASLKSAANLLP IACDTAIRARNYVHFALMGRDDISMDILRSVNGIGNDCNIVFHDARPDFSVQSSDWRM EVSSAAAFKHINDFVNPQATFVDSSGDEETWFSKGLKQRAGSLGRTVIELPENAEQNL MWITLLDSSSLSAWNKVSINIIIHAQPSASGSLIRLLESLKKADFFASSYPPLTIELP HDVDEPSKRYLERFKWPPKGDQSGSLLTLRHRIPQHSLNADESSARFLEGFWPNNPSS SHVLVLSPQVELSPLFYHYLKYTMLEYKYASNNPSAQNLLSLSLDLPSTYLNDTASFT PPSANSTVGGNVITTGTSQFLWQAPNSNAALFFGDKWVELHDFVAESLESQRTLPTPT TLNEKLVSKTYPSWMEHVLRLARARGYWTIYPSFETEDRLATLHNDLYQPPEEYSEEV NAEIDQSDELTADPDHYLSLKHSETSLIKTSILTMLPNKGDLPKVWDMPLLSWDGEQI VVDDFHRQAVNYSNVFRREIGGCGTDDMEKVRVDLLAGDLFCLKDQKT LY89DRAFT_76535 MAKPLPTRADLIDYNYAVAGHDGTLCDVDGELFIKPCTPAEIAF YESSVASHPDFADFMPTFLGTLTLDEQTNGSIEDAGAALLAQHNGTTVEEASAAILAN GTARGGFPVAKHTVPEVVVPVKTGKRIVTNLAVVLENAAHGFIKPNILDVKLGIRLWA DDAPEEKRIRFDKVTEETTHKDLGFRVAGMRVWQGPNATGPEIDEEGYKVFNKDYGRF SLNKHNVHEAFENFLFSELAGIDEELGKLVAQAFLADLDKIEKVLAGQESRMYSASLL FVFEGDGPALRNAMEEASRSPSTLVNGDEENSEEEDDEDETAGPKIYTVKVIDFAHAE WVPGKGPDTSAILGVRSVSKILENLAGI LY89DRAFT_685221 MFPIDDQKDIELYTSTIRNFLNYVLHHRVCEEYTSDVLAARKVC SLAEKELWAIKQLEKKLPGDFNIAASTLHGGRYENLHMGTAPWAVESPEYQEYAAADQ GLEVRDAEMIFKTAIAFAGDDDMFIEAMKADIHIVKTTTRCFEVAGIERPDLLTASQY KSVPHVRGIKALGVLKLKHWEGPKIIPEDFTDDEDDQTNKSDAIESFWLEDDILQLCY IGLKMEIEVHELNIGVKFFDRLHGLYCSFFVALPNEKMLGYKESGKTSFPETQKTLG LY89DRAFT_669730 MGPTAEELPLRKIVLSSYAIAAIFIAASWSSYSYGISFSPWQAV LGSLRTPAFVCEPHQYTTEIVSIDPLLIYINNFVSASEAELLIAEGEPNLETSEVYRN GVKQPATSRTSRSGALPPSSAIVQCILSRSSAFMGTLLDLDGDFGTPQIVRYEKGEKF DTHHDWYDTPQRMKDGTSRWFNRVASFFVYLDGEGVQGGETWFPFIEARDGDGKWIRG EDGEGGGTKFVPRRGNALFWVNLHGNGTGDQRVVHAGLPLAEGRKTAMNIWPRKFYRR ERGWWNF LY89DRAFT_685223 MNISEKQREISEKDINIRKKTGESTKKDSNITDKDRHIGVKNID TIEGDINIFEKTSISAK LY89DRAFT_685224 MATSIPKMMKGVLVEKTGGVEVLEYKTDLPVPTPKEGEVLVKND FIGINYIDTYFRTGLYPSKKPEILGREAEGTIVSTGPGGELYNLKVGDRVVWMGTSAY AEYTAAPASKTHVIPSSLPQGVAAAALLQGLTALTLIRESYHVKKGDFVLVHAAAGGV GLWLCQLLKVVGARTIGTASTAEKIELAKKNGAEFMINYKEEKDFVGAVKKITGPEGV RAVFDSTGKDQFENDLEVVGRKGTVVSYGNSSGAVPPFAISRLSAKNVAILRPTLFNY IATREEFEAYTAELFDFIIKDNLNARVHETYPLADIARAHTDLEGRKTTGKLLLKP LY89DRAFT_685226 MTVGTVLITGGTGYIGSFTSLALLEHGYKVVIVDSLYNSSKVSL DRIELLCGKRPDFYQVDVTDEEALDKVFAAHPNIDSVIHFAALKAVGESSEIPLEYYR VNVGGTITLLRCMTKYNVPNIVFSSSATVYGDATRVPNMIPIPEHCPIGPTNPYGRTK STIELIITDHIEAQRNNLKKAGKPYEHWNGALLRYFNPAGSHPSGIMGEDPLGVPFNL LPLLGQVATGQREKLLVFGDDYPSRDGTAIRDYIHVVDLAKGHLAALNHLREKNPGVR AWNLGSGRGCTVFEMINAFSHVVGHDLKYEVVGRRAGDVLDLTANPARANAELGWKTE LTLEDACADLWKWVSNNPKGYRQDPPEALIKAIKEKNSV LY89DRAFT_76663 MSTRRTTRATSKASSRAVSPAPSTATPRRTRRAGNEALPAVGLR QSTAYGHNTTAKPSRVSGPVVSDQINTVLNAIINTPHPNTTTRPASPTPVTDKSFGIE SGLFYGAHVESSPASIPTPTRKSPEPPTTVSGVPSKHAAEIKAIEDLARTRLDKASNV GPTRKFFKNTTGSIWSFFTHFLALLWSSITALLSPGILKYCAICVIAFAAYHFYSSGR LPEIGPVISRVFPFNPRNPFEPDDLRVVNKRISDLEYDVARLKSSSDLDSRALTRLDE LLPDLLVVKKDKYQNMIIPDDFWYALQEKIRSDDTLVSDRLETPGNIGSTISNKEFTK EVEKVAKASWDRYIKQNANYVKGIVGDEITDKFPRLAQEHQLATKEEVIELLRRSWDE NSSNLQTELAQLSKKIAHATRSITKLQNNPGSLTKEEVQSIIADTLKKLIPNGQLGSL ADANIRNALEESLARPNFFSKATGAVVDPVLTSSTYVFPGSDVWFPSRWMRAIIGNPI TPPNPPEAALTRWEEFGDCWCSSSVDAEGYGPSIGVITGSSIYPEQVVVEHIPAKSTL EPGATPKDMELLAYIPDLDTYNAAKTLSEEFFADDIPETQAPYRFVHIASWTYEKDAE SVQAFQIQVDMTSIGAEVNKFIVRAKNNWGGEKVPYTCLYRIRVHGETIPNSGDV LY89DRAFT_646626 MSLRAPEDPGDNTPIITANGYHESIYPTCAALAARVNNFLETEA PTSLLKAVQEQIRTALGVIGSALERYSLDEISLSYNGGKDCLVLLILLLCALAKYKKD ALPKALHTVYIISKHPFAEVGAFVDESSATYKLDLVRYAMPMKEAFRTYLEEHKKIKA ILVGTRRTDPHGENLTHFDETDSGWPAFMRVHPVIDWHYAEIWAFIRHFEIPYCSLYD QGYTSLGGTTDTHPNPALKAQENKENSHQTFRPAYELVEDDAERLGRDW LY89DRAFT_617252 MASSAVERKPKPSGRSKNDVRLLKRKRDIDEYETLQKAVEELDL KADTKDFSKLPLSQPTSRGLDASHFKTLTDIQSKAIPLALKGRDILGAAKTGSGKTLS FIVPVLENLYRAKWTELDGLGALIISPTRELAIQIFEVLRKVGRYHTFSAGLVIGGKS LQEERERLGRMNILVCTPGRMLQHMDQTAAFEVDNLQMLVLDEADRIMDMGFQTSVDA IVEHLPKQRQTMLFSATQTKKVSDLARLSLRDPEYVAVHEAASHATPTTLQQYYVITP LSEKLNTLFSFIRSNLKAKIIVFMSSGKQVRFVYESFRHMQPGIPLLHLHGRQKQTAR LDITSKFLSTKNSCIFATDVVARGLDFPAVDWVIQMDCPEDADTYIHRVGRTARYERA GKAVLFLDPSEKEGMLKRLELKKVPVQQTKAREKKQQSIQNQLQNMCFQDPELKYIGQ KAFVSYAKSIFVQKDKEIFNISKYDLEGYAVSMGLPGTPKIKFQKGEDMKKLKNAPRA ALSSDEESDEENKGKKDKKSDVRTRYDRMFERQNQNVLSGHYSKLVADDDRHQHVDTD EEEDFLSVKRVVPVESESESEDEDVAASGPAPKLIAGIGKDPLVIDSKRREKLLRSKK KLTKLKEKGTKVVFDENGVPHQIYELEDEDDFQQRGPAADQRAKFLEEEAARVQEADL DDKQLAKQKKREKRAKRKAREAALAAGIEDPEAPALADADDDEDPLALLASLPLADDE QEQDERPPKRPKKWFEDDSGDERKAKKKRAHIIEAEDEPETLEDLEALAAGLLT LY89DRAFT_697458 MHFHSIAVFSFLAAQVAAVPFSTRHVVHERREAVPEAWIKRDRI DGAAILPIRIGMTQSNLDKGHDLLMEVSTPGSAKYGKHYTAEEVTEIFAPSQATVDAV REWLVSAGFAAEQISQSVNKQWMQLDIPASDLESLIQTEYHVYEHSPTGKTTVACEQY HVPGHLQEHIDYITPGIKLFTHKRRPNPNFDIEKRTFGVTSGDGNGDLLPPLLKSLGM TLDALLAIPELQVCDIAVTPACIKALYNVTSGTTAASGNQLGIFEDLGDVYSQTDLNE FFLTFASNIPQGTGPKLEAIDGAVAPVSVLEAGPESDLDFQIAYPLIYPQNTILFQTD DPVYENNYTFTGFLNTLLDALDGSYCSTISPLDPPYPDPSTEAGAYKGQLQCGLYTPT NVISISYGGSEADLPAAYQTRQCNEFMKLGMQGVSIVVASGDSGVAGAAGDGGNSDGC LGTGQIFNPDFPATCPYLTTVGATTLPLGANVKTDSEVAVTRFGSGGGFSNIYPIPSY QSAAVAAYFNNDPPPYASYSGNNNTNIGANGGIYNNDGRGYPDLSAVGDNIIIFNMGL PTLIGGTSAAAPLVASLLTRINEERIAAGKSTVGFVNPTLYANPQVLHDITQGDNPGC NTNGFSASTGWDPVTGLGTPNYPAMLELFMSL LY89DRAFT_782491 MEKTVGVVGLGVYRHVAASTDTTFENTPYRMAAIVDLSNPPEAF RYTPHNLGVVLHCLQPRPLVFVTGAAISHEMTNESIRVWEEFVKATGTEKTLVINLQG DPPADGNWRAEIMRRLDEKYRNNNTSN LY89DRAFT_782492 MANYGYNGGNYSTASYGAQGGADGGGFMGGSQQGSQEGGGSKTY GKDTVRPVTIKQILEAQQPHPDSDFKIDGSEVTQLSFVGQINMISCQATNNTFQIDDG TGVIDVKQWIDSDQLPEHARPLPKEGEYVHVWGRLKAFNNKRHVGSHVIRPVSDFNEV SCHLLEATAVHLYFVRGPPPGPHDGAMKDGGGAQGMFVDSYGGAAPQTNGGGKQLPAK LSATARKVFQLLQSSPQNNEGLHVSMIAQKLSLQGNDVFKAGDELLADGLIYTTVDDE TWAVLEY LY89DRAFT_697460 MAALARGHTSTIAVEKKRSPSPSESEQVRVLGISEYEQAAQALA EAFAVDEVARYFIDTDDMASYSEEYKWKLHVDILRYVTAAHCYKGLVTTIGPNYDAVA LWMPPGKNMDDWWTIIRSGMWRLLYKLSREGKTRFYNEFLPLLHDTKHKVMGERDDNS WYLVYLGSKPSARGKGYAKKLIEHGTAIADREGRATYLESSALSNQAYYEKHGFKHIV DISMNRNPKPVNLHIMVREPKILAESVDGKTSEQTVEIRML LY89DRAFT_685233 MSATTTITQAEPITAQSILRLFPDIDTSSAELDGHDEEQIRLMD EVCIVLDENDKPIGNFSKQICHLMTNIEKGLLHRAFSVFLFNSKNELLLQQRATEKIT FPDMWTNTCCSHPLGIPGEGGAELAEAVMGVKRAAQRKLDHELGIKATQVPIENFKFL TRIHYKAPSDGKWGEHEIDYILFIKADVDMEPNMNEVRDTKYVTADGLKAMFNDPALK FTPWFKLICHSLLFEWWEHLDVGLEKYMNEQEIRRM LY89DRAFT_617265 MGKSKPRVRAKNRVDPTSKPIKPPADPELAAIREQRILPVLQDL QCSDVNKRSAAARAITNLIEDTKSRKLLLREQIVRILFEQTLTDSNLGTRTDGWGILR NLALEEDADFCVHLYRKDVLTAIQSVITTIIQTINSKDVPLMKQPKSQQQLVWNLATS VVSLLSSLCGTEEDVVEAVSRIPSLLDFLFGLLSFEPAPSELRHEVLSCMMALTEDNK DVAQQIVDSSDEFKLLLNIKDSERYESVTACGILHNIFGAMQWFDHNTPLEGTSDAIL IPVLTKHMDQIQASNGANGHSQHSSPDQVLQLALEITASIASGLQEALEHGSRNEKEF EGFEDEPTGDAVDEMDADVDDEAEDGEEHDVDGDHEMNEDEIDADMALVIGDGPSEDE NLGEELTLDHLIQIATPKIIVLAQQTLAASNAYGNKNHALAALNNIAWTVSSIDFSTG HLEKLKRAWISSAQSIWNDIISFVLASNTADVELASAVTSLAWAVAKSIRSTIRVEKE EQRKFMALYQASKGMSLGSQSNGAKAGGEDVVDAFQGLGVKCIGVLGTLALDPAPIAL NREIGVFLITVLSSLPDLPPADAVEALNQIFDIYADKSYAFDDPVFWGDNLYKHLEEL LPKVKAMAKTIDKRKFGELRARADEANLNLGRFLVYKRKERKSKADD LY89DRAFT_76970 MKLRNRMVQDRPRIEEPAGDETQEDTSSTAPQVGSPVSTRTRSI SASDSQQAALAATQENEHSPERGQHAQYYRLDLHEDFILYSQSDTDDGTEDQGAPAFL SPRVPQRSHRRLAPRTEIPQAQGSSSSSGPSGTQAVDYAQPALTPQRQQPPTRIQLPT PSQTDNMMPHFEREPGPWSPNSPINPEGRKRALSNDFPGINQYPKDRDWDDKMQEALK EIERRPEGREDSDEERQHRRRSKRRRKGKRSMETPPSKSTKKDDDRHGKDDDGRGNGQ GSGQAVMAS LY89DRAFT_617266 MPHRAASPAASENDFDITKSLFNDDIGSGDEDFALSNSKDLGPL DAGGILDLESDDGDEAFIAAQQAASNRKSSNLKGKSVKKGGGFQAMGLNAHLLKAISR KGFNVPTPIQRKTIPLVLDTQDVVGMARTGSGKTAAFVIPMIEKLKAHSARVGARALI MSPSRELALQTLKVVKEFARGTDLKAVLLVGGDSLEDQFGFMAANPDIVIATPGRFLH LKVEMSLDLSSMKYVVFDEADRLFEMGFAAQLTEILHALPTSRQTLLFSATLPKSLVE FARAGLQEPSLVRLDAESKISADLESAFFSVKGAEKEGALLHILNDLIKMPTGPPEAA QNATDANSKKRKRGADGPRPKQKPTEHSTIVFAATKHHVDYLASLLRLCGFAVSHAYG SLDQVARNIQVEDFRTGMTNILVVTDVAARGIDIPVLANVINYDFPPQPKVFVHRVGR TARAGQRGWSYSLVRDTDTPYLLDLQLFLGKRLILGRAGGTSPNYAEDVFVGALQRDK LESTTEWINKLVSENDDLSALRTVAGKGERLYIKTRNSASSESAKRAKEIVASKGWME LHPLFNDDTNDAEQARLDMLARISGFRPQETVFEIGTRGKAGHGEAAEMMRHRREKIV PRRQKDEDEKAAAAAEMLQESIDHPSLLSADVEDDDDDEMEVIVTGGGDDMSEASEGD LENTFSRTAQKGKGRTLSWKDSENFMSYTPKTINTAEERGYGVHSGSYNTASQNSNFV EAARGLTMDLTNDDGAKSFAEPARAKGMRWDKKNSKYVARANDEDGSKGKKMIRGESG QKIAASFQSGRFDRWRKAHKVDRLPRTGEAEKPGAGANAGVGRGFGTRYKHKMEKAPK EADKYRDDYHVRKKRVDEAKEKRVGKWKDGVGKKEIKSTDDIRKERKLAERKKEKNAR PSKRGKT LY89DRAFT_697464 MFSKNDFYTFVYGTRIGNSNAPSFLKLRSSNSFIVATIVIAVFT DIFLYSLVVPVLPFALTVRAGVKEQDLQKWTSIFLAVYGAALAIGSPIFGWFADRSSS RRVPLLLGLLALGGATAMLCAGSSLGLLVTGRFLQGLSASVVWTVGLALLSDTMDKEN IGQAMGYVAAATSVGSLAGPLLGGVVYAHAGYYAVFAIGFGIIGVDIILRLMMVEKSV AQQWQSPEVETPTSVSEPKEEPSQNPDPDAIRSAPTQEPASDTEKVDIKPLPAWTRRL PPFITLLRIPRILVALFGCFVQSTALASFDSSLPLYVKNIFSWNSSGAGLIFICLVIP ALSSPLVGIVSDKYGSRAITTIGLLGSVPFWVLLRFVTHNTIEQKVLLCALLALIGLC MTFVMAPLMADIDHAVALEEKKRPGSLGKRGAAAQGFGLFNLAYAIGTMIGPLWAGFV IQDAGWGTMTWTLGLLSGVAAVTTFFFTGGRIMLKGKSSSEGGVV LY89DRAFT_782499 MSTKPEKADDDIDALEALESEEKEYLKDAEIDRILKAFRLDAYA VLDLQPGVPESDIKKCYRAKSLLIHPDKTTNPQAPDAFDRLKKAQTELMDEKHRERLD EAIADARMLLIRENKWTVDSEELKTEQFAKDWREKTKLVLIDNEHRRRRQVKAQMQEE GREQKKADEELEARKRKREHEQDWESTREQRIGSWRDFQKGGEKKKKKKAKPIG LY89DRAFT_586844 MATGGRSGTATTTTTTKAIDHVPSTDFAPLYRSVFTIQSSTNPA LSTLLARLQYCNCSYLCTKGYAPTLLQLKQHAQALVILIKNVTVNTIPALIDNVNTRI PGGQNATFNDGETYDFLNDLNKAYDGPNNADYMHHHTMPLHALMNIVEENEDPLTGHL STINICPMHNAFPSKPNGKSLPYAQHQSLIAHANEILELLDHEYSAKGGILSILPDKN STDPEIKEQYEKSQTTLLGQMIQQHRRLVMRIHDLERVYSNALDALAGEAAVPSQQLN RLGPDARKGREVVFPQDRFVLANCGEDLWDFLEYEFTKKENSDEAVRLMYKNSGVVGA DMWRTKGLKEYERGVTALDITTRYIRLRKDPLKTVFVIPAHEHHPGTKATRDMEKVPT VVSVVKPIWPERQSIMEMKTRDDLQELRQLRINHDRTKHELEVANNAR LY89DRAFT_77078 MTTIKMIPAAAEVILKLRNDTKDKVMRRINSKTDRKDFMSHFLR QTNESGISHDEMVSNAGLFIVAGSETSATLLSGMTYYLLKNPEYLAKLKEEIRSSFNG VEDMTFAKEIKLPYLQACIEEGLRIYSPVPVELPRRTPPEGAVINGELIPGNTSVGVS HFCCFHSAKNFKDPDSYRPERWLGDPAYANDDLNGVQPFSMGARNCIGKNLAYAEIRS MICRLLWNFDIELCPESADWKDQKVYFLWEKSPLMVKLTART LY89DRAFT_77210 MGSTTTPAPASLSGLVATLIPVGLVALVYVSIFLCLRRSNRRWY APRTYLGSLREEERSVPLPGGWFNWIGPFWKIPDTYALQHQSLDAYLFLRFLRMTVVI MFVGACITFPVLFPVNATGGAGKKQLDLLSMSNIDKNAKGGKYRYFAHCFCGWLFFSF VLALVTRESIFYINLRQAFLLSPAYANRISSRTVLFTSVPKLYLDEARLRKVFGDSVR NIWITGDTTKVDELVAERDKVAYKLESAEVKLLKEANGERLKAIKKGGRADEEPVVAA ADADTESGSLAARWIPTKKRPTHKLGKFGLYGQKVDSINWCRERLEALIPKTEAAQAE YRAGETAKVGGVFIEFAHQSDAQAAFQTLSHHQALHMSPRYIGVNPQEVVWSSLKISW WQRVVRRIAALAFVTALVVFWAIPVAGVGLISNVTYLENFTWLHWLTKIPTVIMGVVS GLLPAVALSILMSLVPVIMRMVARRSGEVSLARVELFTQNAYFVFQVVQVFLVTTIAS AASSIISNLIHDPTGITALLATRLPTVSNFYISYFIVQGLSVSAGVISQVVGFIIFKL MYKFLSGTPRKLYTKWANLSAISWGSTLPVFTNIAVIGVVYSCIAPLVLGFATIGMSL FYLAYRYNILFVTDSQIDTKGLIYPRALQQLLTGVYLAELCLIGLFAIADSIGPLILM IVFLIFTILYHLSLNAALDPLLYTLPKSLEAEEESFRFAAAEALNTPDADTKSSEKNA ELTTGAAAPHKKPNFISKFLAPHIYADYATLRRLVPHNPLDVDNMYEEAVAENAYFPP AVISETPLLWIPRDEAGISRQEVSHTGKIISITDEGCTLDEKNKLAWDAEEATVRPPL WQEKIHY LY89DRAFT_545105 MPPHLHPRSRLTSSLFATTLFASFFVVALPHALPCPAPRVAYAD DGTPQNGKRRRRRKCSEKEESGDEVEAGGEEHRVRKSKRECPVPKPGGIVGKILGFKS S LY89DRAFT_617287 MSDLDRAIAQLRACRPIPEAQVRELCHKARELLIEEGNVVTVTA PVTICGDIHGQFHDLMELFRVGGDVPDTNYLFMGDFVDRGFYSLESFLLLLCLKVRYP DRMTLIRGNHESRQITTVYGFYDECLRKYGSANVWRYCCEVFDYLALGAIVLGASNTL EPSKRPVGPNESSTQEYINPDTEIEVLNSEGGLISSIPRQKPKVFTSKGSSPAKTGPP GTGASSFSKGTAGNSSGAVLCVHGGLSPLVDTVDKIRLLDRKQEVPHEGAMCDLLWSD PDEIDGWGLSPRGAGFLFGADIVKTFNHNNDLSLIARAHQLVMEGFKEMFDNSIVTVW SAPNYCYRCGNVAAILELSEDGSGDGIIARSNGDVNRSDGGIMKEKEKVLLNGPARRY RVFQAAPQDSRGMPAKKPVADYFL LY89DRAFT_782505 MSKVYEFSSFVTAPDVRRVAAPFGAPQIVTIPSTRIPSPLAANL APQTIKDLKEFLTGCGPDGVVKPGNEKGNEVWEAWKRAWDLWNNHYIEGRYNSTFIRE EYARLKALVEGLKQAQAPNRRRRPRNTGRGGTAAIRGGRGGGRWGGAAGPPPVEGNGG RVILSRVFARQKPPVTFLGREWIRHRYRTRKTKVPTGRKILKNNPNPPETTVTRTRQV LKVAAQQAGTDVPIYRRDPKYTEVEQTQTVRRNGPWQSNLLSYEEIAAQREAGTFAAE GLDPDLPTDPTVAPELEPLETRQVTTRVRRVLKKLDTVDVTVEIQPSPLTSWERLVNH RRSGTFPAARGRNEESQYENSRPQMWVPQFYTLVDTGRQETLLDDRTAEIMWTETYQE TVYGNPTEEVDEMEEVEFPQWLEVQLPVRGGRGTRGGRGGRGGRGGGGGGHGTPPPNL RPHVIPPTDPIDLAVFGVLNAPRYDEWAAGLPERWNEVNPRRLAESNDPEYLKWDASM KKRVKDLWEHIKKGDALFNSPAHGNGYSDFLNNGLGPPSCGDGSGEDEDMPDASDGEN YLDFYEDDEEMEEYHEQRALSPPGSPSSNGTVDLDMGRSGSDSDTAESDGGVADDETL RAPPRGADGSAGGFRPMRLFPEYVDPEPDANGDYPDYHDPLQNTVWRPRRVGEKTLKI DMDEPWENITDLEVDGGLDCGRSPFPVLRPITKYIKNVQKVNPAARGYTGGMITTFRK EKVWDRVTGSIFNMPTRPDEAGPETLDEREKRIKLLYGSVHFDDIHRHDLFPLDIRRA KLIDVTTGLPFESEIQQPLEAGEEETEFDFPWLNPLRQYPEMEENDAWWVTPEQEKFR DIPPRRHPQPEDDRPNDQLIPLDTYRWTEELSIDENNKAKYFVTNLHGGTILINGAEV KTGCVAGPLPSFAVIETPGQQVSFWWGPNGRDWGAGPPNRNFAANWRQLRRMSGWELI GLNAGIVWNRLIRDRLNREESGNDLEDDELWQRWLNPQPPAPGRNPVINPPGDSGNKG IDATPIAIVHPPVEICTSRDIGPPQHVTEFKTPDLFETENVELQWLYTRAKPLRDTLV GFVFDTQQQVVELKALSKLVAPGTDNYWPGANPPAHEEITSQQLSERAWMIRQRTVLA DQAALQARHAARRQAEEDAKNTEYQLKIDRDAPKRQAEQRERNDQTRRTRLKLEQEIR DAQANKLEKEQENTTAKQSKAADATRRKAVLEEAMRLTHIIGVTTAITDVAQLNAACV TRRTQRQDEIRVKAANIARVNATRATEGKPAIVLPAQLSDAEFDALLEEACEYLRPGR DIDEAAAAALKKAVEDKALRDAIKARAAQELADRQAERAKKKAEEDANPPESQYAKDV AERLKMFQAQKSNRAAQNQREADSQGKRDAELREQAERERRRKEREEAAQRRIREAAE KEERDRIEAARIKTPEEIQAELEIKRLQDQALAELRAKLQAEQDLKDAQARLDGDSQA NLRAANLRAAQASRLRRPPIPRPVGMTPEQAALFDQAVRETRAADDAARTAAQRLADL SGQTLQDYIKAQYGYDDVREYIDLLDNDRIIRRAIPTAPDADPPPPGYLPPEDNVPPA DSVPDPGKPVLPADLSAPGRAELTKLWDDMEHAVRQGVDARAILENRLPWEVAQERGY QNVIAMENALKRTMTPADLFTTTGVTPPLAETLDTWVARNLKILAANDWEAVFRVSAA EGPILIVANANDTRVGGDL LY89DRAFT_77292 MSSAKEKANLARIRDNQRRSRARRKEYLQELEARLRQCELQGIE ASSEIQMAARRVADENKKLRGLLAQHGVADDNIEAYLQTSPTTDGMAGGPYTSSSGAV QLLEQLLQTRKTCCADGNNPVLGQGTGSRDSSTSVTTVQSLWDPVYAQNPGRAGSLQQ TGKAASSAHQFMTPSTSSASRTSSVSHGPASHHQRLALGQIPRSPASNPSSHSQHQHL FDFDPQISVSGSYSSHQSHTHKHLQPHSGAQRSSVYISHPPTNTNVNNCNYAAEMITT MAGADPSTVRADLGCLPGMECDVDNHHLFEVMDRYSGVGL LY89DRAFT_77356 MRSTIFATAFAACLRLASANPWGTAPKGPTNNGKTCTVKALGNN KDDTPQILAAFENCNNGGTVVFPEGENYWIATKLNPVIYDVTVEWKGMWTMSDDLSYW RNNSYPIAFQNHHAGFIITGERIYINGYGTGGIFGNGNVWYNAEKAVTQPGRPMPFVF WNVSDVFVEHFYVKDPPLWSLNIMNGTNMWFDDILCNATAVNAPYGTNWVQNTDGFDT MDAHNIMLTNMVYQGGDDCIALKPRSYNVFVQNITCHGGNGIAIGSLGQYLEDSSVIN VLVKDANILTHNNDMENGAYIKTWVGALVPQTSYESDYLPRGGGWGVVQNVRFENFLV EGASIGAAITESSGNNGSYSGTSLMEITNIAFVNFTGYTTSTSRSAEVSCSNVVPCYN VAFQNFSLSLGSNGTAEGATGTCSYIEEGGVRGLAGSGC LY89DRAFT_685245 MSHLEKMDSPEFVFTSLHPAENKDSPVPYVPRARTCIFRGMWAE LPENKHNDAPQNERVYESDLLTLTTDVRMAKVPEIFASSSGHGDVGQSQGSGGGGPVE AVFWAKEVMTQWRFKGEAYVVGEDIEGSGEESSGTRTVKSKIGERMRVVKEEGKEGWS WGKELTAHFGNLSPGMRGSFRNPVPGSPVSIPPADKSLGLGQKVDDLHDEVARKSFRV VIIRPDVVEAVDLSVPDEARRWQCTYVGPSGGHSGQRGKVVGEWKVEELWP LY89DRAFT_77465 MANFSILEALDTLCTSVPEWNNRLDQLNGQIALRQIELARLTDT ERPPTRSLKNKGSTESLRPKDGHENPFLSHDPENPDDIQLNPFDSPKSNHNGFTSNPR SAGTAGRTKTPPKASSNPHSSPGGLMRQSSQPTPLQRPGGNVLRKRKTESLASNESSV APKYRTRSMIIVYYDSAVQTAFEELVKFVSGSRNAMRKGKMATKMAEMKRAAEMEVGD DDDDDEEEENVGDNLVANKKGLVAAQKNVSVSKQGQSLEPGGIAAGDSDSADMAMPKL KFVSTRQMGPSRDAAANKDTHGSTLSVGLLRGYRRAGGDAPDIFDELDKGLEWCQGQC EHAAHQFLRDGECGTEIANIKRKLAEVKETAEKEIEKLNKAEAENPTPKAAKATEERQ LKTPLVRRELGSFKDLEVDDMEVDDEGVDGLEPPKLVFKRSRDIGR LY89DRAFT_685247 MSSLTTQTYTPTEEAEINQWLTTSDRLKISSADQKPLLDTLNTH LASRTTLLGTKPSKADIALYHSLAPQVAAWSPAQKTGELGHPHIVRHLDFIQNSPVFG LDVSEGEKLKVDPEEILYVEPPVDAKAEKERLKKEKAAAAAASASGGEPALADRTNKK DKSVVEKVAEKVAGAKDTVVAAVAGEGAEKGEQKQKKEKKEKAPKPQKAPPAVTPLSP CLIDLRVGHILKAIKHPEADSLYVSTIAMGDKAGTEDTSEYEGQVVRTVCSGLNGLVP LEEMQGRKVVVVCNLKPVKMRGIKSCAMVLAASPRLKEGEEDHHGGPVELVTPPAEAK AGERVFFEGWKGEPEGVLNPKKRVWDTIQPGFTVTEDLAAAFDAGVVKELSKEGESAK TGVGKLVTEAGGVCKVKSLTNAIIR LY89DRAFT_77518 MGDWFEEYLVYAKMILYKKILKLLTHTLLASKRVTSEVTHSSLT LYLFLTHSLLIFYLFLIHSLLTPQSLFTYSLLTPLLLALRYLLSNIKSNQYFASSKVS NSKGGNSEVGNSEVGNSEVGNSEVVVVSSR LY89DRAFT_718981 MADADEKPRPNRTNTSGAASRRSGASRGTSPADLERVETGTHLD FDHSHYVGHRYTDHDHNVDAAAEDEISDELDLTEKETENSTDLDGASPGDIVPEVRDG IEDQRDIEAGPELEKKKTSRSARSARDPDLVTWSGPDDPTNPKNWSMRRKWAATLVVS SFTFISPVSSSMVAPALTALARQFDITNEVELSLTLSIFVLAYAIGPLFLGPLSEIYG RVIVLQAANLFYLFFNLGCGLAQTKGQLIAFRFLSGLGGSAPLAIGGGVLSDLFTAEQ RGRAISIYSLAPLLGPAVGPIAGGFIAEKTTWRWVFYATTIVDGAIQVAGLFFLQETY PPVLLHRKKQKLIKETGNDALHTEYDHPDRTIWTTLKISLIRPFRLLGTQIIVQVLAL YMAYLYGLMYLVLSTFPKLWENEYHESVGIGGLNYISLGVGFFIGTQVTAPCQDMIYR ALKARNNGVGRPEFRVPLMIPGAILVPIGLFIYGWSAQAHTHWIVPNIGAAIFAGGTI IGFQCIQTYIVDSYTRYAASAVGAATVLRSLAGFGFPLFAPYMYAKLHYGWGNSLLAF IAIGLGWPAPILLWKFGETLRKRSTFAAG LY89DRAFT_646684 MADIKDGDQIMMQGDGAGGVYNMNNVNDSGGPGSGAPSKKKSRS SRDAAAIKRRCVSTACIACRRRKSKCDGNMPSCAACSSVYGTECVYDPNSDHRRKGVY KEKIDSLKTRNSTLQTLVQAILNAAEDDVPNLVRQIRTCESLDDVADKILREEQGLDD DDDDFDDNTAYTTNTLPTFETELSGKMGELRLENGSVRFLGGTSNLIYLDPTDEDEGF AGVELIQQQDEPLTSWTTVTSDTEVIVHLINMYFTWHYPYFTTLSKSLFYRDFLLGKP HGTPKRTMYCSSLLVNAMLALGCHFTNSPKGCADPTDPTTKGDAFFAEAKRLIVDNDE YEKPRLATVQALCLMSVREAGCGREAKGWVYSGMAFRMAQDMGLNLDSSMTNNREALD EKEIDARRVTFWGCFLFDKCWSNYLGRLPQLSGSNITVPKYDVFPDEDADIWSPYTDN GIGQLHSQASRTRAVALQISSLCEISSDLLIFFYHPQHVERSVGRSQELKKLSELQTR LEAWRKELPKELEPKEGQLPNVLLMHMFFHLLYIHLFRPFLRYNPSTSPLPTHVSPRK LCTQAAGSISKLMRLYKRTYGLRQICNIAVYIVHSACTIHLLNLPEKTAKRDIIHGVK HLEEIAEDWLCARRTLSILSVLARKWKIDLPEEAATVFTRTDLKYGFFSTADVPSPKQ ELIVSTPPSTQTSPPRTVQVQSQIQPPPQPQHNRVRSQNQLQQSLYSYHPEARSMAAN PPIPSISRPNSIQNNMSPAMPSREFNSNGIGSMAIPNESLVSAVPYSSHLYNNSSASI SRNTMSPTSDLARTNSGNMSEVSGPTTRQVSPNTLFGGVDALVESQDWWLRDQASLAI GFDNWMSNGTSDLSGGGNTGLNNSINGSGTQDIVDPMAGVGSGFYMPPNGNLDNASDP FDDDWTTYS LY89DRAFT_77589 MSVRQRKRSSDQDSRSSISNRRKRSNSSGTQKSTGTGDSSDGYA FDTDEEFTSIRLGDVFNLDPRPNFALRLDADFDAPFEPVFINDALRTDHQLMKAMPFK HRKTTISQPALKLPSTDFRSWIRDVVQLVNTDPSCAYGGVLWTGILVRKQWIIISGDH VTSNQPVLMETQANANALLNTEPAPYAASFNTPGTPDWTVDHPEGQLSSHVIFARSID WAATPLGDMSTWSAEFRQIANLLMSNPHPCALFWGDELTVIYNKAYAEGVAGNKHPSL MGTGFRGPFSELWDMVSAIFDNCRQTGKSVAVTEQMLPIERYGFLEETFYTWSITPLY GGTKEIQGLYNAPFETTKQTRGTRAMKTLLRLGQETALATTVSTFWPKILSGLEENRF DFPFAILYSVAEDVEEESMSQCSENSQTFKTCALEGTLGVPDGHPATPRRLDLKRSKG GFVPAFRDALQTREPTLLSIKDGTLSEALIDGFQWRGFPEQCREALVCPIRPTTGENV IGFLVVGVNPRRPFDDDYQSFIQLLNIQLATSLASTTLLEAEVNRGLTEAEAAALERA RLSAELATQKNRMQRIAENSRVGMFSIDAVGLLLEGNESWFGMTGHSRDKIFAMSWIE TVHEDSMQEARQGWKIMTQEQVIWSAELRLKKPAIDDKTGEELENWIIADCLPEFDAQ GTFTGVTGSTTDISKIKWVDRLQARKLQEAEETRRAQNNFIDITSHEMRNPLSAILQC ADGIATSLAEAMENLDINRKVIELGRGRVVENLKESISSAETIQLCAQHQKSIVDDIL TISKLDSNLLLITPVPVQPVEIVRQALQMFAVECQKVHDIKMRFLVDPSFEILGVDFV MLDSSRLLQILINLMTNAIKFTKTAPFKTIDVSIGAHLEPPIEKLSGFEYFPTKKARS DVTASGDWGTGDVIYLSFEVKDSGCGLTAEEKKKLFNRFSQASPRTHVQYGGSGLGLF ISRQLTELQGGEIGVASEAGVGSTFAFYVKARRAESQQNNTEIQKRLSSEIQSNARLA STSPQSAKDHAASISTLVHLTGMAESSTQSTSFTNFGPENWHVLIVEDNLVNQKILAQ QIRKLGSNVSVANHGQEALDLIKETKHYRGREADGKDLSVILMDLEMPVMDGLTCVRK IREMEAEGLLLRHLPIIAVTANARGEQIAHAKDSGMDDVMPKPFRILQIRAKIEMLLA KMGAF LY89DRAFT_586259 MAPWPSLPKGDRQFPAPVFDDELLRQPHEPNIPIHQRTTPPNPQ KDSPLFNGLIPPEIRNEIFSYALTETTSTDPSALYPEHISRPDYTASTSICTALLQTC RLIYLETYRLPALNREHVFWHERGPPSPADSYIDVEEGYFSRMPSWQLDLVTEIHLFT QMYWLEQSFEDFCRRSFNAKVEKLKITIRRGDWWWNESNEPLFIHPKIDSPGFDEMVD SIRYDRAAARAGKPLQSFEDGSWGSAFKLLPSLKELEIEFETSDDKSEELENIVDWAK TWKFPMKEGTVLSTEGCEEENWSWESTVSYWSENCPYCGVSSRATCDFTQVPGGEANP GCVEMRARMARGEGPTLHVRSLGWKVVEDVKVEDL LY89DRAFT_782515 MSISIPRTQKQWTVEGLNGLESLKLNMEAPVPEPSDYEVLVKFH AASLNYRDLMITEGVYPFAQRDGIVPASDGAGEVVAIGPKVTRFEIGAKVVTLFNQGH FGGSLDTKTAKTGIGGMLDGTLRQYGTFLESGLVPMPPSLTYLEASTLSCAAVTAWNA LYGLKPLLPGDVVLTQGTGGVSIFGLQFAKAAGATVIATTSSPEKASILKSLGADHVL NYKETENWGEVAKRLTPGGEGVSHVLEVGGPVTMAQSLEAVKIDGVITLIGFLGGVGQ PQPGFETALRKLCTVRGVLVGSRGQFEEMNRAIEGNGIRPVVDGRVFALEDVREAYRY LWERRHFGKVVVRIG LY89DRAFT_77635 MRNKKSSRWLASFTSRLHSRNHQHEGNQYFTCRPSTGRPTRRCC NAQESHGTNEDCVIHLDKTHGTDTKVPFISNFPFRAYSQSLTLSVSASDDVSSSLDPF TRYQEVDHSVSPCEELCLLCTPQTQGQDIQQAKDEAQQQARKMSPMRRVSRLELQ LY89DRAFT_77632 MLIDVCGGELYFALVIAGVPCWASDSRFLKEAETLSTELGGGRR STLPRAHTVSSTHDGQQSISHLHEQQKITTYSINMGPKIIAQGCHWDGQDPGHNLIFV HFESLECTCERQPKPEPERVQ LY89DRAFT_685254 MPAAAWEKAGRPVNGQSTCAACHAIQYQIFPHSASVWGKAGAYR VRLPIRTLITMPVVHPPHNE LY89DRAFT_77675 MLLFVVVAAVVIDSSRQVHLVVEVHPMIAWFGGIGKATTSPTRA KPRWPTLPLPQPQPQPNSSAWRWHQHRPILRALYLLSPCKCPRLTDSEKVWPRSIERT DGSHLVHTREKVSFLSSEASRRDAWSCCWSCCCCCCCCCSWSWPWSLLVRSPDRSLAR LSCSVCVSLCLALLPCTPPCALSLVDFLLPSLPFPTSTLTSCPRLPPIHHPSSIIHHP SPPFLALVASSLSSPNFTPPVSSSAPSIINCRTVEAAIISSSVQLLTTAGSQGYGTHW SLPRIVTNSRILVSPQSRAINSRSCPLFSFSLHANPAIII LY89DRAFT_77670 MMLSNPLHRFSAYNALPSSNTYNGGHLPSNHMHSGLHTLADGSQ YALQQLQQHVDVHQNGQVHRIQNQKHRQHPYGPGPTGRSASNGSSGPIRRRISRACDQ CNQLRTKCDGQSPCAHCVEFGLGCEYIRERKKRGKASRKDLAQQAAAAAANGQKSPTG QSSDERTSPTESRNEASSTTSLPAENGEFRRPQASRSLSLNTTGLDKNSGRDAMKGRL RAGSLESLSELPNGHQPHNMNSRAEADQIESPASLNLNGYSNMHGYRPAMNPHMMNGN GGHPNFTAGQGSLPAYGDLPYGIQASSPTGHYPGNTPGPFRLGDSPLPGFPMGSDATS PGGWMSLPSPSNQYQQHVTNQNYNTTLRYPVLQPLIPHLGNIIPLSLACDLMDLYFAS SSSALMHPTSPYILGYVFRKQSILHPTKPRQCTPALLASMLWVVAQTSDAAFLTAPPS SRGRICQKLLELTVGLLKPLIHGPSTGESSPNFSNTVINGVALGGLGVAMNGSVNPDG LNGETGAFGAAGTLDDVVTYIHLATVVSASEYKGASLRWWNAAWSLARELKLGRELPA SMSSQQQPSEVVNDPDAEGEADEDLPTPGTITEEEREERRRIWWLVYTVDRHLALCYN RPLFLLDIECDGLLQPMDDTLWQAGEYYTGDSNIHITSPSGTPRIRRRGPNFECTGHS IFGYFLPLMTILGEIVDLYHAKNHPRFGVGFRSAQEWDDHASEIARQLDAYGQSLKDF ETRNLSPQTEEQQQQQQQQSEKNNSMEGITSTQQPESTEQQHNIGTPSVHSVHTASSA HISEADIQTRIVVAYGTHVMHVLHILLTGKWDPISLLDDNDLWISSQSFINATGHAVS AAEAINNILEYDPGLEFMPFFFGVYLLQGSFLLLLIADKLQVEASPSVVKACETIVRA HEACVVTLNTEYQRNFRKVMRSALAQVRGRVPEDFGEQQLRRREVLALYRWTGDGTGL AL LY89DRAFT_685256 MALYPPTTSPHTSPSSPVTSSLVPPIPVPTHGSKGTFAVLSKAI IPATPIEVLTLIRDTNTWPLWNTFCPACVISPRKTPIPKCGDLETGKEGWLELGSEAT IDVHMEGDGLVEGSTRSRTQGLVVTRLEKIGEDGRKAFRIAWKGVGYSHWQLHSERVM EMVEVEGGGTDYVCWETFGGMLGSVVKMMVGAQLVDRFGDYSRDLREHFEKAKKGVET LY89DRAFT_617319 MPVVKGGVWTNIEDEILKASVSKYGLNQWARVSSLLARKTPKQC KARWSEWLDPGIRKIEWSKEEDEKLLHLAKLMPTQWRTIAPLVGRTATQCLERYQKLL DEAEQKEAGELGLGGPDGGENKAPSADDVRRLRPGEVDPDPESKPARPDTIDLDEDEK EMLSEARARLANTQGKKAKRKARERQLEESRRLAVLQKRRELKNAGINIKVVNRKKGQ MDYNADIPFEKAPAPGFYETADEAVTNEKEREAFDPRKQQLANKRKGDQDDDPERKRR KGEKEAPSASYQAAMKAGQMQKIREAEQSSKRRALVLPSPQVGEGELEEIVKMGMIGE RANTMARSSENDATRGLVNTYSTINSGAPIRTPRAPAQEDHIANEIRNIRALTETQSS LLGGDNTPLYEGAGSTGFDGVTPRKQVLETPNPMATPFRQTNGLGQTPMRAPGSTPMR TPRDTFALNADGEMSLVGGTPRDIKLQNMSMKHKLKQGLASLPKPKDTEWELELPEEQ QEALGTEELTEEDAELRDRRNREIREAQEKLDFQRRTQVMQKGLPRTKAVDVDALFKA ASKISDPVEAAIAREAALLEANDALRYPVPGAKVKGNMTPLETLDDDALARARLEIDR EIPEHIAKQTPEFFQKAWNDAHNSSLLPGLSGYGDEVDEEQLLIETFDKVQDAIVSAA EKGNKIEKKLKLHLGGYQQRAKTLRQKISEASEALEKATTSLDSFRTLQISEEAAISR RLEGLRAEVGFVSTREREAQDLYRTRKEELISLSVGTNGYH LY89DRAFT_782519 MAATRESLDEFLRPLQVDIPTIHNLANKLCATYTALAAKSQDQF LPTPISDSVLRSSGEAKGRYLAIDIGGTNLRVGFIKLLGNESAETKNGFLVSELEVEE GSRVTRCLEKSWPIGEQLKNNNAEEFFNWIGKCIAEVVKSGCKEWPEEMRGTIPLGVT FSFPMIQHTLSDATIMDMGKGFVIPKGFDLGTNLCQSYDRARSPELPQVKMTAIANDT VSTLVSFTYQHGTNPRQRPAMGLICGTGCNATIPMSLNKLKASKRPSKVKVLDGSDDS DQELKIAVNTEWTIKGAAGPLHDLNLVTSWDKILSDENIPPGFQPFEFMTAGRYLGEL GRIIILDYFTAHLRIPDSHLPSSLTQRYGLTTTFLGNLGPHLAVTEPSMIKQLQTELP SPDTSWQWSEELATIVYTIAKAIETRAAGLVAAAIIGLLGSADEIHLSLLENAVNGNG PPIIQHPDVDELLIGYTGGCIVHFQDYLEDCQNFLDGIMTAEFGDGEEVPRVALRPCH NGGIIGAGILAGTVQSVARDT LY89DRAFT_547912 DMLPTVASDIKRPVMDNEKDDTMFIRSPGHRSRPLAIAFGVVAL CYLLWSSVFSNYAFHLPCHNQVVKIDTPVHILADKPLVPLEAHIMSKCPDAKDCLKML VLPTMQRVIDKVNFTLSYIGTPTDNDGVDCMHGPEECMGNIIELCSAQLYPDPKIYLG FTMCLTRDYQDIPSKNLVEDCALEHGIDFDKLNECAVADNGGLGMGMLRDSVRHSKDV GVTKSCTIRVNEEIYCVRDGRQWKDCPSGGGVNDLVIAIEKLYQAS LY89DRAFT_568166 MGNSTVNPEALVPKFKLTRILNQDQAGRRSVLYGTIDSSPAILI LERAPFPTSETYLSQLPSTLSSIKNLGANDVYFWYLANSSPYTSDGQPADFADLKINL IYPCTEQHVKKYSKQGVRMVVETPEIYREHVRPYMLSKREQGRLNWVWNIIDGEAEVE DVIYRTPRGRDGDEGFLLTPDLNWDRKTMEGLHLLGLVERRDIWSLRDLKKKHIPWLR HMREKLLQATVKTYPELEEDQLKLYVHYQPTYYHFHIHIVHVALEAGNTQATGKAIGL ESIMEQLRTMEGDEDAGMEKVDLHYTLGEASELWTEIFGPLKQ LY89DRAFT_77765 MISAITYSVVLVLLAGQFVAGLPELLAKKDPTCGPTVATVSHVT TITVESILTIPHACYTHTVTTKHHGSGCPDVSTCGPHADCIMLSTETVTLPANDLCCP TTPTVAVQGPCPTCQKGCLTNTVTEYLTGDPASLAKKEAAITPCTKVIFMSETMVLGP TKTVHPLTSTSTVYVPCGGCQLMTSNIGGIGPEISFSATITDPSTATSTAWVCM LY89DRAFT_586522 MDRGVSAIGGDLAEVQAVFHACLQVGRIERAGAILRRLSNVELS MVEMVYLQHQYLRAAVAQIMANPTEAAMQDLHKWFELEIRSKGIPQDVEMVAYMVKAA LQSPGGQRERLVRRYMDMLDREASLELLQYAAVLTAHELNHITHIYPNYNYESSHIEE EDVDLQSVAPEASEQVHVDAERSIPGVKPTDLKGMGLKSLKASLSLFSKLPSEGIDIA TASPEMRREIQGRLESDAVESAIARWREESAHLTKMGLNTSLQTKSLGGRMWKWQVAL EQHLKAELVKIEEAEVPSARKSTEDQDRCIYGPFLRTIPPQRLAAVTILSTMGALGSL GVDKGMPLSTAIMAIAKSVEDESAYEALQRSRKSNIWPASKKDKTLFSREAILKATRG RRAGAVAKYANRFLDQATALPQHEWPMAMRAKVGAFLMSALVEVAQVPVTLTHPKTKE TVTQMQPAFSHSFQYKMGRKLGVIVANKAIVEQLKREPVHSLLAKHLPMLVPPEPWSL FDKGGFISHPGKVMRIKAGDKDQRHYVDAAIDQGDMKIMFDGLNALGRTPWRINQPVF DIMLEAWNSGEAIANIPAENPKISIPPEPEPSTDPLERRRWIRAVKNVENAKGGLHSQ RCFQNFQMEIARALRNEQFYFPHNIDFRGRAYPIPPYLNHMGADHCRGLLTFGEGREL GETGLKWLKIHLANVFGYDKASLREREEFAMKHLDSVIDSATKPLVGARWWLEAEDPW QCLAACIELNNALSSPDPSRFVSHLPVHQDGTCNGLQHYAALGGDLWGAKQVNLEPGD RPADVYTAVAELVKAQIADEKKKGDPMAIILDGKITRKTVKQTVMTNVYGVTFIGAKA QVRKQLVAAYPNLPTTDTINLGTLSSYVATRIFTALSTMFKGAHDIQHWLGDCASRIS TCITREQLSRLESEWSKLSGPKDQRYVPKIEDLVQFKSSVIWTNPVHMPVVQPYRNSK AKVVPTNMQMLSLSEPHRSDPVSKRKQLQGFPPNFIHSLDATHMILSAIRCDELGLSF AAVHDSFWTHASDVGTMNRVLRDAFIQIHTDDVVGRLSAEFAARYSGCVYLRKLPHTS PAYKKIMQWRATRAEQRARLRSTPRGLLFADELKLESKRQRLLSSSNPKDIEKGKAMI TAASIFEEADTSSAHSVDEGLELARLGQVSSSGEQSTECISRDANDDEARPSENMDSD EELMDADADKAKTSPEDKLSGFEKLLLPRHTKYPQITATSIWLPLTFPPVPKKGEFDV SRLKNSEYFFS LY89DRAFT_646707 MSLLSVTPAEGVNITEDDLTGVAAKFGYQFKDNEKAEYTTLLAA TCNAMQFVSEMDDYQPEPDLELSPRENLYFPAKSDNPDNAWAHRFTLAHKSPTSTLLQ GRTVCIKDNICVAGVPCLIGTDTFTGWTPKMDATIVSRILEAGGIITGKAVCENLSTS AASYTAATGPVNNPYAKGYSAGGSSSGTANLVAKGEVDLGIGADQGGSIRIPASLCGL VGFKATFGLVPYTGCVSNEATIDFVGPITRNCLDNALLLEAIAGVDGLDDRQRAGTPF RDQVPKYSQILMETKDSGVKGMRIGILKEGLTQKMLDSGVEAKFQAAAKVFQDLGAVV EDVSIPMHEIAPALFGAASRQGGVMGRIGKASGRRQVMLTDLYEKMLPCTATSIEKMS PVSKNSVFGGEFCWERFPHVYAKAVNLCRKLTEEYDEILKKYDLLIMPTTITPADPLP TDDDSPITKMSKTIGKLDNTCPFNATGHPALAFPIGFVPAKADENIRVPTSMQIVGKH FDEITCLKVAYAWENARNWKEF LY89DRAFT_77812 MSFPGPPIDPELVALLEPVESQEEFTLQSIIKRRKFVEPLCGIQ QVHDDPDISYEEATTPGPGGEIILSILRSKTSTPEKNAPGIYLIHGGGMVLGTRLFLI NNMFPTIKIGAVLVSVEYRVAPEHPAPAAVEDCYTGLKWMFENAITLGVDASKIIISG GSAGGGLAAGVALLNRDRKGPSLFAQVLVYPMLDDRCTSVSVKQFETLGNWTGKANVE CWNHYLPGIRGTDKVSIYDAPSRAQDLSGLPQTFIEVGASEPFRDEDVAFATKLWEHG VQAELHVWPGGLHGYDVFAPNSKIGQSSKQTRLNWFKKILAAPTVPSIPAVL LY89DRAFT_697487 MALSQAAALVEKVIGHGNNATTEQDLTNPARDRAKYADPSGETM KALVWMGKNDVQIHDVPKPRVVEPRDVILKVTGSTVCGSDLHLLHGSVVQMEKGDILG HEFCGIVESMGSSVTNLQKGDRVVASFQIACGECYYCQKKQSSQCEKTNSNTMEQGMY GGRTAGMFGYSHFTGGFAGGQAEYVRVPYGDVNLLKLPSSVPDEKGLYLSDVLATSWN CVVDTGVEKDDVVAIWGAGPIGQMCADFAFMNGASRVIMIDSNWRLDFVKSKTPKVEL LDYTALPRGTSVTAKLKEMVPRGPDVALECVAGEYAKGWAHYFELMLGMETDTSEIVN EMITSVKNFGRCGITGVYVGFTNHFNIGSLMERGVRLIGNGQAPVHMYWEKLCKMIES GEIDPLKMVSHRVDISELDEVYYKFEKKEDGMQKVFVQTRFSAPPAPGTPALTTYKT LY89DRAFT_669770 MRSKSKSSEELKEWDIVHNVETQEVQVQPNIQVVICSCSAHIST KDVRILHGSLPHNIIAVPSLPQLRSLVTNYLPIPSAFLSPRSKNALRNINSLHSRFQR SNLPSRALKYIRNPLKLFELADRNRVHAQKILSVRFVFNLTCVILWVLIRKFLELFGI RLSAFALVGDYQNPGRGLWT LY89DRAFT_782526 MPIIKPAHVAPGAPAQHKQPSRKGKKAWRKNVDVTEIQEGLEEV REEIIKGGVIVEKDSADLFTVDTAGDVSIPKKFLKNSKPLKADEIIAQRSVIPAVSLR KRAGDKTTDGIIEAKRQRTSYITHKELSRLRKIADGRGSQTTVEVTEASYDPWDVQKD IDEAAQDPRFSFLEKSMKKVAPQTLKQKPISLAASGKNIPAVKKPEGGFSYNPMYEDY EERLMTAGEKELAAEQKRLAVKEAERVKQEAAAKSAAEAEAAEARADLSEWEEDSAWE GFESGTEEVKLNAKRPERKTQAQRNKIKRRKEEERKVKMAANDRKKAEQTAQIKKIAK ALNENEQAKKMALVKDDDSSSEGDDLELRRRKLGKLLLPEKDLELVLPDELQESLRLL KPEGNLLKERYRNLLVRGKLESRRPVAFHKKPKRKATEKWTHKDFMLH LY89DRAFT_782527 MAQAGGSYNNPLKKFKLVFLGEQSVGKTSLITRFMYDSFDNMYQ ATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVVVYDISNAKSF QNTRKWVDDVRGERGNDVIIVLVGNKTDLNDKREVTTQQGEEEAKKNNLMFIETSAKV GHNVKNLFRRIAQALPGMEGAEGSAAAGNQMIDVNINPTPQNQEGCAC LY89DRAFT_586751 MGFRSRYLRIPRPRAFLYVMSLRTGTELISLSMIFNKVTGFYGL LAVLTGFSLSPLQLSMYMYSVAALILLAILMPHIRKQSPFQCLALAWFYLIDTIVNTA FTAAFAVTWFLAISADATNRPLPNSPGSGTIGDTAGFTDPKYNVSQVDVIATPAAGVA AGQEAVAVGVAATAAAAGSPSLAHGVGIEESIPSIIAVVFFTLIRVYFILVVMAYARQ VLRGHLQAASSAKLHVHTDGSVESRADNPFAEGTQAGAGWRGKLGRLMVNIGENYWLG GSPDDEWVKGLDGRFNIKKTSSGPPGTLERERRARSGTGPPKPPANLSKV LY89DRAFT_77913 MKTTPHPTTLSCSEKLQFDTSGTLKSLSHPKQILQVILVSKARS VSMADFWTESGLVSPGFFACLKTNHGNYCTIIKGNDSALIVGVSFESIKDRENPCIIV ILGAERDLKGEGDLTQPSYHHLHCKIPLASLNVQSIHIAVIDKKNKLFEHYTHFNQVD NDDTATTAPELFSLSFTTSSIMVDSQHAGFPQFKIPTLGRKIQEFALQAQSTTSSKPV NLGLLLCSRDPETVLVNIQELGDVLRGLDNKNERKVPRRSFSPDRGRDGRTLRDRYEE SNVQEVASSPFVASPGKENCSSTASPAVEKGHVRAPSLAETFVPTCSPEQIDILGYMK DITAMIRDSRVTDSMDKMKVLRNDARTPPTQSYTLTTIPRIKVGEGSEPTELSPMDRD CDFVLVSSPPAAPGSQQDTVSQDQTVFDDTTPNKKARFRPIRPKPAAFPPRCSSIQNS HVVDPDTTTVFSSFDFGFNNRETKNTTPTPSGRLSANPVRFTNSDATLHEPSPTRPAT LLEQLQSQRELLHTSSPLSNADDIQFARLLKNGLPPLSPAYVEKEVKVQDLIDLSSKV LDLEKDDPRIAPVLSVINKVLEKLFSGEAI LY89DRAFT_78011 MTILSPKDDEQFILHLISPSHTSRYPKTNMTPPNKDPPHKRTYF CTLAIISLDSAGSKHTSTPLPPHQNPIALGATARCFIQLSALSISAAAGEDGLRDQGV CLDHMLKQSDAVAIVCDTGDGLGWKFAREVYFYIVESVNFGGTGEELVVFLVGRDGGG DPFADPEEDGFEGVEKEVGRAEGEEFAREVGVRFLECGGDGNEVVEEIVRVIVGIKGE REGDEGDEEEKVDVEEISGRRWWRKIITGCGRGE LY89DRAFT_646723 MAFLFRRPDFEQDSISSSPHSHRRDNHYIDNMAAPSLKIRTPEE AVARIAYLSSDVIVSVQPSLGIESEFSSHLHRYAGRGDKSLVAATENNVPEIQSIRQT NDPLLSVFTPIRNGRLVSVTTSSSILVSSVPHLYRLANLPVVIHVSLQPSHYPDYSSI TSIRNSGFTFLQSETLQEAQDIALTAHALAVKSGKGVIHFFHAGSSAHDNHIPFENVE VVQAILNLDLVRAFQNSKIGGTSIYADDGRVATIAETPAPVPVIASAMEGVETTSAPS VNTPIVSSKSSVGSSKSGRESSVDSGNSVSSATTVDATVIRPVTSDDIYNFVTAIWAQ FREGLGREYTPFQYSGPSNAEDAIFIFGSDVGAFAQEIDAAKPNEVYAKSGVITARLY RPWLGAKLVATIPKSIKRIAVLEQIRRKTTRWGPLLLDLLTTLKAGPGGGVQTIVGHQ LGYIAPQTIPQALRGIFQNLKSETPIQNLEVGNHDGPKESTSTNGQPKVESAYMKILD QIFGQRLYLANAIGSQTAGISASVASNPEFGFGSLLARKEHRQRFVTEIQQAAKSSQF ITEAAKPWLSKWVSSANDEKKASELADEIIARLETDGSPLSRNLLSNKGLFRKESSWL VGSDAWAYDLGNSGVHHIIASGENVNMLIINSTPYSEQSAKDAARRKKDIGLYAMNYG NAYVASVAVYSSYTQVLQAMIEADQFDGPSVVVAYLPYFKENDSPLTVLQETKKAVDL GYWPLYRWNPHNEERGEENFALDSERIKNELKEFLARDNHLTQLMKRHPEFSSSISQD YGTEVRELQKRKAKDAYDQLLAGLLGAPLTILFASDNGNAESLSKRLGNRGKARGLKT TVMAMEDYPIEDLSGEENIVFLTSTAGQGEFPQNGRAFWDAIKDSTELDLASVNFSVF ALGDSHYWPRKEDKHYYNKPGKDLDRVLSNFGAKRLAETGLGDDQDPDGYQTGYQTWE PLIWQALGVDKVEGLPEEPPPITNEDIKLASNYLRGTIEEGLADPSTGAISAPDQQLT KFHGTYMQDDRDLRDERKAQGLEPAYSFMIRCRLPGGVATPKQWIQMDDVSNDFGNET MKLTTRQTFQFHGVVKGKLKSAMRGINKALMTTIAACGDVNRNVMCSSLPTASKYHRQ VYACSQKISDHLLPSTTAYHEIWLKDENDKKTQVAGDAIQDFEPLYGPTYLPRKFKIT IAIPPHNDTDVYAHDIGLIACKDRQGNLTGFNLLAGGGMGVTHNNKKTYPRTGSTLGF VSKDEVHIACEKVMLVQRDHGDRKNRKHARLKYTIDDMGLDVFRGKVEELWGKKFDKA KPFKFQSNIDTFGWQKDENGLNHFTFFIENGRIEDTAEFPMKTGLREIAKVHEGEFRL TGNQHLILSNVSDEELPKMKEMMAKYKLDNTEFSGLRLSSSACVAFPTCGLAMAESER YLPELITKLEDCLEENGLKQESIVMRMTGCPNGCARPWLAEVAFVGKAYGAYNMYLGG GYHGQRLNKLYRSSIKEEEILEIMKPLLKRYSQEREQGEHFGDFCIRIGMIKETTEGK TFHDDVAEEESDEE LY89DRAFT_782531 MSSRFWASSEDVSTESVDSSIASVQDASRDPYSRGEHRGQEMGG ERRNDNTSMVQQTMTAGSGSPDLQPYQRANLFYLAMIEGRCRTQAATVVNSGRPVDDR VGEDDPEVLTLSRHMFDEVRRELVKAGMLSETFATGDYPQLHTYLNSFDNILDNIATQ QTRNLAEGPIHALSVESGHLALPNLSSALIPHRFAQQQHSIALADASNIPSLANNTSI FHPSHSIAPQGFFVTPDNFPMVLTTSFQTLLRHLFPNEDHSLEVSTVSNYATDYTPLS KLGSGGFGAVYKVRHKYDQAEYAVKKIIVRGERIQQLYDENNIGELGSLIKEVQTLAK LHHHNIVVYHHCWMEPRPLDENVSSSGSEDDESSELQLQGDPAAASVSLATLSIDNEC ALKRDMRAQRRRASSSISAEIAADIDTGYILFSAEDSSAEPKDDELDGELDGELDGEL DDDNDDIDDDDEDHDSSDEESNGSEGNTKDEKDDEDTEEIVRYDGQIVRSQRKNLKYA DLDLVLYIKMAAYPLSLIQYIRQPTETPLQRNQDEPDIQHCYHVLPTIRILLSLLDGI EYIHRKNIIHRDLKPANILLQILDSAELPTQGYVNISDCKSCTSTKPTWISPRIGDFG LIHDLSAPPAPLPPPKAGGSKKKGRESSAAPGTATYLPPGLRKSTPVCPKLDVYSLGI IAFEMSYKFGTFAERSEVLDQLKRFGKVPESFEGHTLKECILNMVKEDVESRWDCPTV RAHLVGALEQL LY89DRAFT_669776 MPRKLPWQTSTSTAVARTKRPALPSSKRQKVATKNGDSDDQADL RPSVRKERADLEDPRSSSPPPDPPPESFMIEGMDDDDKYRMVEDQFLTIAQKFTVHLH AAEYKRQQKIAKTRNAETISSISRPVAGKMPDQTKRKVEAVERAKAQKSVLEGLIGKK VGDADISDDSDDGDGLPYVGTSLHGLMDSPRRKATSLSKVSAAATTRAAAGYKQSAQA RRMSLGSPQFRLTARSSQSAKVLEDDATESSADDGDLDAAPKLESRERKPTLVQKLNL VSEKRDSKTSDLLLSYESRAPRSKHSTSDFIDVPKTVKTEISSPPPKQRERMSRSELA RARRKKEEEEEKAKAKLDIIPGFL LY89DRAFT_697492 MSPAAIAVPVKANQTTKVPTGQLSGAFLDLAPIDYGLEAEKEGK DGVAPAKYPHYLPTWPNVKWAPLEPFHHCDPGLDTDPSYPELLATGVKSLDLTPSIGT EIQGIQLSKLTPAGKDQLSRFVAERKVVVFRDQDLADLPIGDAVNFVRYFGRPHLHPL SGAPKGHPEVHLVHKAAGDKTAEQFFKTKISSVLWHTDVSYERQPPGTTFLMMLDGPS SGGDTLYVNTAEAYNRLSEGFKERLHGLKALHSGFDQASAHLRKGGIIRREPIMSEHP VVRTHPVTGEKSLYVNPQFTRSIVGYKQEESDALLKFLYDHIAYGSDFQMRARWEEKT VVVWDNRVTLHSALLDWKAGARRHLARIAAQAEAPFETPYVG LY89DRAFT_78081 MLRIVLDHLCSGRKTAPLPRVPRSSIGYFNSCCTLLYIICAWHS CSRCSQPVQSRRVPSSNYRSCPPQREPHCGKYPVIQRTYRSCCAKSNTSPFWHPNEVK MSPSPNLHRPGSVFFGPLHRITKKNCADCM LY89DRAFT_734355 MSAMDLDAPISIAPQHRDQQTGATILCCNCGAAIDGTTSAGALC YDCVKLTVDVSQGIQREATIHFCRDCDRWLLPPSSWIVAAPESRELLALCLKKLRGLH KVRIIDASFIWTEPHSRRVKVKLTIQDSVSEGVVLQQAFEVEYVVAYQQCPDCAKSYT ANTWRACVQVRQKVPHKRTFLYLEQLILKHGAHKDTINIKEVKDGLDFFFSARNQAEK FVDFLNSVAPVRWKKSQELISMDIHTSTKSYKFTYSVELVPICKDDLVAIPIRLAKQI GNISPLGICYRIGTSLNILDPSTLQTADISTPIYWRGPFAPLADVQELVEFIIMDIEP IGAQKGRWLLAEATVARASDLGSNDRTYYTRTHLGNVLHPGDSVMGYLLTGTNFNNPH YDALEESNTYSSQIPDVLLVKKFYARKKKSKNRNWRLKRMARDEGDLLPKKADQEKMD RDYEMFLRDVEEDTELRQTMALYKAQQQAKLDAEAMSVVETDDGEDETPHIDMNELLD EFDELHVNDEH LY89DRAFT_669779 MEEVPKVPNLVATTGETYCTTIAKSRIRESIPHNGEGCDTGSET RDVFSEEDEELNYFKSAQWTSDGTSLLTSSADNTIRTFILPPDLLSEPSAPKSITPYS KHTYPTAINCLTPYPLYDLSDPSTTLYLSAPTSLPIRLTNALSPSPIAVSTYNLVSPT TETWQTPASILWSAPGHFLTGTDCLICVFDVSRNGEGPVTRLPTIPSKRHLMKGGGVG IRGIVSALSQQSAEGMIAAGTWTRSIGLYDAGGMGGTVSVWNIAEAADEIASIGGTGI TQTVWSDCGRYLCVVERKSNGVLVYDVRVTGKLVSWLEGRGAYTNQRLGVDVFATEKG MEVWGGGADGVVGVWEGVGVVEGSQERAWEWRAHDGSTAMHPSGSVVATCSGQRGRLG LEDDSDDESSDDSHEDSDSSSESESDDASSPATSSPSSDVDNSLKIWSI LY89DRAFT_697495 MPPKGTKRPRKRSSDAVTGPPPIAFISPGLQADSRLLVFDQEFH VHSLILKLHSAYFRKFLDSVDKEGDNAEPAVGAAAPFKYEYVSVIDGDGVWALEPADK AQAPTEAMIARISHPDSEKEAFRKLLCAMYTRPYRVEDVGELEIITRLADFYCALPIV SGTLSGALLGSPMLKRDPDPENQGYNDFARKAGRLIFVAKKLRHSVLFRECFVHLVGN LQDEDYLGESLIPLKSDKELWLLLTEGYAKMCHLLLKAHQAFVISTVQRYWSPQQPLP KIRDEAPEESSAFFRALKEQLQGQIARGVEDLHIRNLHAAVDKLMINNLVLDQTGYGA GEGPFKWCFLCADLPDEEMPWNPNETDY LY89DRAFT_697496 MLAEDTSECCTPELFFKLENRQVSGSFKFRGAIHYMSKRSDIEL RHGVATYSTGNHALALAHAAGVVSLERGFPIKTTVFIPTSADDWKAQKVKETGATVCR SGTKLLEAYEQTLGFCHATNSHFVSPADHPDIILGQGTITLEFQQQLLRTGQRDMDAI IMPCGGGSLLAGAAIFAKDQGLKVLGSEPISGGPRLSIGRKQGQRVLDPGIHTIADDL RSAVSSSNWDILSRKEYVHEVYGVTEDQIQTAMSLFHQSCDEKIEPSSAVPLAVLLFN SKFREDQISARSSRRIGVILSGGNIKTEK LY89DRAFT_734359 MKDENLSILLFGSGGREHAVAWKLSQSTRVDKIYVLPGNGGTET LRKTENVSHVDIKDHAALINFIENSKVDFVVPCAEGPLVDGITVVLVAAGIKCFGPSK KVAELEGSKAFFHAFTKKYQIQTAQAHVFNKCQEALDFLDTIDYRVVVKASGLTSSKG VIVCTDKASAKNAVKKTMLDEVWNSSGEIIIIEEYLTGEEFTVTAVSDGEHFRVLSSV RDYKTLYRDDQGPNTGGIGSHAPYQVTVEALAEIEETILKKTIAGMGEDVGFLNVGII LSFTGPKVIDYDVRLGDPETQAILPLLKTDLAEILLAGVQNSLGNVEIVISQEFCVSV VLCGEGYPGKGSVGKLITIADGIAESTIFHGGTVRQNGKFYTTKGRVMQVTAIGPTLK DAANDSYSSIKHIKFDGMRFRDDIGAVVK LY89DRAFT_782538 MAPFTILLVGRGGRESAFAYKLSQSKYVTKIYVGPGNGGTAQGI DKVSNLPGVSEEDFDRLVELSKKLQVNLVVPGPDVPVVNGIQSWFHNGKLLVFHASRL RKRLLSWKARKPFSKDFMARHNIPTAAYRNFTSYDTAKQYLESIDFKVVLKASGLAAG KGVIIPDTKDEGLTALKQIMCEHEFGDAGNEIVIEEFLEGDELSILTFSDGITFKSMP PAQDHKRIFDHDQGPNTGGMGCYAPTRIASAAVLEEIEKSILQPTFEGLRQEGKPFVG MLFTGIMLTKSGPKTLEYNARFGDPETQTLLPLLKSDLAEIMSACVEGRLHDTDIVMN NESCAVVIVSSEGYPGPYPKGDHIEMDGRHVQGDARFFYAGTALNEDGSLVTASGRVL GVSATAQTLEEAVAKAYEGVRTVQFNGMHYRKDIAYRAFR LY89DRAFT_617358 MIFEQDIPTPLRIHTKTSLDEEPSSDTLPNDLDVKLSDIFSAMA SMENTPPSSEEKRVKRDDLKLEELMTPSKSDISFPKSVRFNDVIEEMLLDPYSRSPSN DSVQKLMDETLGDALRQANQRAEQEKLVAADVNARVDVPIMDFSKPDPPWKDFEGIPN QATLMSRQMSFIRDVIGQRLPKLLHAGHKDINLKWSPFPASLAKIAVEEHFPDDDNNL QTFWKDMESGEIIDSSSLTWKPEGLRILKDDEDDEEIECGTFRTDTPRDLSSLVKKRK LELQEENEAREEIGRKKVAPAAQDLPITRQLAKDMGNSKDGRHEKSRSGGGAELGSLF GDAFSAENCLDNFLEVRGSKKAKLTDSSYFGTKPDKAQSAPAPQRTITPQLNTTPLAL RNSPVAKAPPLPAPSLPEVPPSTSIVVSSTLLKHRSLIKYLERLLPALNIIERDFIAH NTTAWLPGSVTLSPVKSPLDSEADLIVSPSTGIVITTLQKIKQKPLPGQKSKPAIRDR LEKVSGRYEKLIVLITEGRIDETTLGLDESDCLAYSEFAGFAAGFETSITVLFVAGGE ETLTKWLASTIVQHCVAGESSLLADETHWELFLRRAGLNAYAAQAILAELKAPDGVDP ASPLKAGQFGLIAFIEMGRGQRIERFAPLCGRVLVERVSALIEGNWE LY89DRAFT_697498 MSGPAPKSDFPPIRACLFDMDGLLLDSEDKYTICTNTVLQKYGR PPLPWSIKAQLQGRPGPAASKILHEWAQLPVSQEVFYAETKDLQKIHFPDSKPLPGVE KLLGDLATAKVKGEKAGKVHIALATSSHEANFKLKTSHLEELFTVFSSDRRVLGDDQR IAEGRGKPSPDIYLLALQTINSSLEPGEEEIRPEECLVFEDSVPGVEAGRRAGMRVIW CPHPELRKEYTGKEEEVLAGRTGSGGEVDMHLVGEIKDGWGEHLDSLMDFPYAKYGIL VQG LY89DRAFT_697499 MTTRTERFQRKPAEKTEEPLKDEEEEVIRFSPEEEAALLEKSNT QKTAANDLFAKASFQDAIETYDKALSTCPNYLDYEIAVLKSNISACHLKLEDWKKAMK AATASLDGLDKLQSKGKDLPKKVEGKSEEEEADEEIVSEGALKAEDTSDKGKREADIE RIRAKALMRRARARSELGGWANLSGAEEDYKTLEKMQNLSMADRKIVQRQLVVLPPRT KAAQEKEMGDMMGKLKELGNGILKPFGLSTDNFQMQKDPNTGGYSMNFNQGGPPSK LY89DRAFT_78315 MTILTPSPNPTKILNRSSTHNILTILSPAFSNSIYLATILQKLL STTTIFLVFRAYLLSLILLQQSYYISSILLLQSYYLSQFTYWVSGIAAKGGYWGVKTG AGISWKALEPVRNKLFKEFMIFVLGAGNGVFLAVFWPGWLVVGPTVFGVWCLCV LY89DRAFT_78269 MASNPPSGTSTPNPRFTSQRKTAEDILSTQTVGLVNLSDFRKRR AEVIEQKERDAHESFLGPSSEKGTPRDGTPNGALTPNDASQPPLKKKKKAAKAAANKL SFGNEDEDEESGTNTGFSTPKSSSLSRSSTPVEGGGNKKKMSSNASVGYMPKALTKGA LLREAQTRETLRKEFLVIQEQVKSSDVAIPFVFYDGTNIPGGVVRVKKGDYIWVFLDR SRKVGAELGVGEKSNSRREWARVGVDDLMLVRGSLIIPHHYDFYYFIINHTLGPNNRV LFDYSSEPTASTSTPMPESTNLDNYNPLSNPSKNKSTKEPVVEKMDLEGMNDDPTFTK VVDRRWYERNKHIFPASVWQDFDPEKDYQKEIKRDAGGNPFFFS LY89DRAFT_553161 MLVSEAIGKDHQYLDECYENLKTASTTDDKIKWRNMLTWNLARH AISEELTVYPAMEKHLGEEGKALTKDDFEQHAAVKKDFYTLQSLSPSDPKFSPLLEQL MQDLHFHIEHEKNEDMPRLEKAISQSESEAIAKQFIRTKQIVPTKSHPGAPTSN LY89DRAFT_748795 MQSMSMNSPPFMPDTHGFDNMHEPGPSDMSPYQQQYYAGNVFHD NDPFANQGAFSFANGYHGYHAAPTHAPGIGFGHHGPFNSNFGAVNTMKNEHAGPSTGY HDAGFVGGPEQMQHPGTGMASLKENHDEASISNFDGAPEHDVSQHDVPQAVLKIRDSD DFFAQLRKRIVEQRLKKSKALIDNGDKVDFPETADEQQKYIKKLMVAIKDTSDILDKP CKNGSPAQAAQRLQRGYYPDEDIELACWHVLLGLRDSQLGVILVEPYHGYRYEEYTSF ETRFGAVLETLRQSKAACKQLLDPAFVDRLCDAPDSELSTKLANKKVNAERDTQNEIG RKVLNGKMDDDELADLNLKAEEEDIERTPSGRRRRTPRALGRIVRGKVGVSTPKRHTP SSSNGKAVSTPKRKGTSKVKRENESDDEDSAQSTDSDLDNEPTPRGVVPRQSATTQNR GSSKRKAKPVNYAEVDADAEDDSDEPYTPSKKSRTTNRTAKGKQAVTKGKQSVTNRAQ VGTSALSTYGPEAETRGLDPEMKGIYESYRIMVCRLLSIDPEEGEKFKLTDLRRYARA YNMQYKDVVFYDSSANPTWHYVGHRVFSENGLQVAEHFAQVNPIFQRLAKVRGDLDNT GAFVRDAPFSEGFFTVGDELDNRALGVIDNEFDIDNDLYDRTQGAYDYDYDFN LY89DRAFT_707600 MDVDEDDDFYAAEGDSAKAVEEQSEEPAQSAPQPEQQDEDLEEG EEEDEAVSEGSDSDIDIITERKDGSKLAPPVAQPRYNDIRNIPQRTASSDVATKPSPA KKEVANKSAPPASGADLPGVSTSEIDIDGKPVYEPAGKPITQVNIDLDLPDNDKPWRR PGTDVSDYFNYGFDEFTWALYSSKQDSLRSEYNQEKIAENNKKMFEEMNMMMAMGAMP GMMPGASGTGAMSGMEGMPPEMQQMMQQMMASGVDPSQMDPATMFASMQGGQASTGGA TGAQTGNQAQGFGGQGGFGQGQNQYGYDQGMMNSGDARNRGGNFGRGRGKGRW LY89DRAFT_669792 MFGSTQPKPAGGLFGTTTSAPQAGGVFGSTATTQSQSGGLFGST AASSQPGGLFGSTATTTQPQAGGLFGSAQPAQSGGLFGSTAASTQPQTGGLFGSAQPA QSGGLFGNTSSSQPGQTGGLFGSSQPQQSGGLFGNTNTSQPTQTGGLFGASNTASQPQ QTGGMFSGLAGQQNQPTGGLFGAANPTQPAQGGLFNNMNNQNQNKPSLFGSSAQGAGQ LNQNTGQGLFGSTLGGGLSLGQSNQQQQTVPGVRVDPSQIRGTTRFNDLHEELQKEIT KFDDIIQAQIQLKNQCDAIMTAHDSQLAQVPTDVEFCRRKLIGVENAADSDVQAISLA QKLVKTDAEHAKLSFKAIDNLKLPPQYHNSNIWSSKAVSGSQTQSNGDAEAQDIVSFF SSTADEIASTLSSYQKHMIEIEQHLRNVEASSVSQQSEFLARKNGAGIGQDDPIEQLT GALTEFESSLLGVAGRVGGAREGLQSLQLGKFTGPPTTTRSGYRNGVY LY89DRAFT_719017 MGSNITLEPEGSEYDYIICGGGTSGSVLAARLAEDPNNSVLVIE AGQHNSLLENTVMAGGWKNNFDTEADWNIVTEPNPGANGRQVKASRGKFLGGSSGCNG TLCIRGTKQDYDDWNVPGWSGEEVFGYMKKAENFHGKKWFKANEAAHGHDGLLDIEPH DLAPISNLILESMESQGLAFQDDICTTGAIHGSGCGHAPRTVYKGDRTTAANYLLNKG PNLAIKTETTVDKVILEGSGDNLKATAVKIIEKDGKEREIKARKEIIVSSGAYCSPTI LLRSGIGPKSELAAHGIECKVDLPGVGKNLMDHLIVFIFYSTTKPNLTNDYLLYKPNA LGEAYRQWKEEKRGPLSTFPFGAFGFARLDDRLASDPVWKNASRKEGRDPMGLTSSQP NVEFFSTECYGGPKQYIDYPDGENSHAFSLVAELFAPKSRGEVTLKSADPKDNPVVNH NYLSEELDVVVLSEACRYANEIITLGKGTKDIVGGSWPKTLTHHKHTKREDWAPFVRD NATTCYHPGGTCKMGSSSDPLSVLDSELRVRGVQGLRVADTSVMPLLNQGHTQMPAYA IGEKAADLIKGQFLELNPKGNMVAEVTLKDVKDLGNGSLNGNGTLVLN LY89DRAFT_78510 MQCNYPANPDDGSAHDLIATEVAFDGINTFQCQQRCLANSECKS FQFGAACEIFNKTIAEAHIKHNGSSTNLYFDRDCPQYQYVNYSHSKPVRYKKTDWDDT KPGCPAGDHIKRVPASQTPSELKTLPPNEISSICTCLVASVPTAVTKTIINSLILSAF TTETFTTTALTTVTAYPKNLISWTTSYSLTH LY89DRAFT_697504 MALPPAQPAYILRGHGSQIHATAFIRSNTRLLTGDADGWIVIWS LATKRPVAVWKAHEGSILGINAWGSEKLITHGKDNRLVVWKLSEEDESSMSVVLPVDT PPEPRKQPWLLHILHVNTMNFCSFAQAEVPSTEHELLIAVPNTLSSETVDIFQLPTCQ RVHNVPNPNSFKGGMIMALSILHHDQTADLTVIAGYESGHTIVFQHSSGTWQALYRTQ AHSQPVLSLDVDPSKEFYLTSSADAIVAKHPIPTSAEIVIKSSDDEPLKIFKTGHAGQ QSLKIRNDGKIAATAGWDSRVRVYGTKNMKELAVLKWHKEGCYAVAFANVLENSSEEE KSGGELVKRTTTLTVKGERLLKAKTAHWIAVGSKDGKVSLWDIY LY89DRAFT_586497 MTAEPVGGDAVEGAASKPKRVRTGCLTCRERHLKCDEGLPHCQN CRKSSRVCKRGVRLNFIDTTVKSPPIIPPTADWKVKFQDESREIASEYKGGLGRYAHL DQTITPPRETHMEFPVRPMVVDPMEDSNALPPIQTGGGFSDNGLVMKQESSHSRQASR HHSHAGSEISFLTAPTTATYNNESPLTPPNESRRYLSSAEDVLFMQVFVEEVGLWMDS MDPHKHFSRLLPFHALSEPMLLNAFLACGARHLTLVNPIYHEDKALFYYDTATTQLLR SLQNPDRDTVCCATTAVILNVYEIMSERAMQRMNHIAGARALIKECGWNARSTGVGAA CFWLNVGMELLSCLHFNWQVAWEPDQWGVDMNFQQETESGKEEIWVHRMLYIVGKIAN FRASIPRFQEASPHDEQIRLQTRYAEWQRLKALCDSWNETIPRTMQPMGYLHPSQTSS KSAFPEVWLIKRATIVGRLFYHTAMCLLAQINPLMSKDTEEMNRIQMQHAHLICGIVA HVKDRGVASVALRSLAIAAECLIVRREQEEVLEIFEKIRKETGWKVGFINKELRAKWG WQHEDAQQPMVAQQSSGSSLGQFFPITNSGSSLPPAPPQPPSRPMPSGILNPLLKTAD FSLPNHPYQQYYQPPNHHHSFAHSYI LY89DRAFT_685293 MNKPKTPDSSNSERLPSLGDPSLTISLLRCAHSPDTSTRIYTEK IRGRPLHLKPTEPNPQLAHRQARLRALSDRKKKQKPRPLSARQKRALCLYDIPKERQK YEIYEGLHRLWVGYMHEILFDVVDGKGGDGERVMGQGEAAKMCAADFHGAEVEVVRSR CVSRVGVKGIVVRDSKGVFVVVTKGNQVKTVPKEGTIFRVIVPRPKKKEINGEADKTE ESAEVEQKIGNTRDVIFELHGNQFQYRAADRANRKFKTHFLPDL LY89DRAFT_617390 MADSRPPSSQRTSAPDLEILGDQVTLHPSGYIEPPERLQDGGDK ERNLVEHMARFRSSPLEFLREVSLHVSGSGWRAYENFIGQPIFYPGFSENMTQAVLST PILQNRIAELAEKRIAVEERDGLLNTDAPLYAAKRSQRKAVIEQSLQELCEKLTGDMI CKMESRPFIRGAYYLCTQLLTRAYHQGIHVSSEEVIRLRTVAEQAEKKMQSIIFLPCH RSHVDYVSLQLICYRLGLALPTVVAGDNLNIPGLGSFLQHAGAMWIRRSFGDDVLYTT LVQSYIDTLLQGGFNFECFVEGGRSRTGKLLPPKFGILGFILDSVLSGRVEDAIICPV STQYDKVIETEGYVTELLGVPKKKENLADFLSASSVLSLKLGRVDVRFHEPWSLRQFI QEQQSRTTGIPKEIDMKSITDQATRQKLLRTLGYKVLSDINAVSVVMPTALIGTVLLT LRGRGVGKAELIRRVEWLSDRVRSKGGRVAHFGNSPTSVVIDRGLDVLGKDLVGLVEG LPEPTYYAVDRFQLSFYRNMTIHLFITEALVCVSLYTRVKRGGGPDNQRIPYDELREQ VLFLSQLFRGEFIYPTDGLAVNLDNTLRALETDRVLELIRDGEGRISAVGLADEERRA GRENYDFYCFLTWPFAESFWLGAVAMMGLTPPLNYEGDGWLDVKKCQDSAQLLGKTLY HQGDLSYFEAVNKETLKNAWTRFEEEGMIVVAKSRNTKIQPRAKLDPSWMPARDPSNG HIVPEGRLWGFIERIASSRREGKNRRDGATVSTRVLKHTETISETLFRGPQAEGEGMS EEDKVLLKKQQRRRLALQARAHL LY89DRAFT_719023 MSSPPTKKTAFAQKYGPGPASINIPGNPIIVKSGFTPFPPAQPF SLDQSAQKSQDDIEDYQRKSLHGFTMQQLRDRGAIYDDPIKYTPIDIPIDPMYQRDRW TLSPLKDIFMADGVTKWSAQNNVVWSAMKPCLRIATNILYKICAHPWFDAFLLGPREY IDHSRMSAVLLLVGTAKELNLQSFSTRPPHPDNAKSCELAQSLLLGSKGMRTLRWGLM SAFELPTDPQPARVDPMYGFAIVDKDSSRPEDIKGFLAFELLSPLLRKDLTDGERIGA QVLVAFTLVHETIHILNFAKGYKEIGNLYNRKLTPREWREQYCLDEPLLEAGYSAEYA LFNGCLEGIRDSPFEGTHPAFYHGSFPTKKQGRVPYLTTPSFPPVRVANPLPVAYLRN LASQDFWDANGTSIRDIRPPPNDVISFKYEGETDEEYKLADKKEAEYLMRPSSSAITI DRLVNFLPAARTAYGIELFAERLEEMKKLNDNAASLVEVALCASELCERARGTLDYES SLDLLLRDLMTIVVAQEACFERMIQIGIQGIDITTFGVMTPSQSATIQDINGRLNTMK LGCTKTAAKPLGIDLIEEQAEIQIDNLTDEIMARGLMPFRNRGQTVQCVNDCNKRRGR LKTALH LY89DRAFT_78605 MPPRVEPSRRRVGRKIPKNRAGPLQQNDTSDAVRFSNIDAELAT TSLDINAYGELNDVARRNHDQPATITASPFKWLKPASQLLLPQELQDRLGQNLNQKNM TNLTENDTAFRDLLKDVTSIREWWAKNREEWGFRRTELVTIQKSIDSLLVQVGGLENI EMTRHAAARKQYYLQVEVANSRLKWFEENEAYMKIVIAKFKDIQNSLSKTVEKSKAVY VMPTEDYFPDGFDYDKMLTSYQFTDGDVAWWENGLGLSSALRGMRKFLTNTGDDNASK SELRKASLAIVRQAIADVSLQWKVYGGDTPDAIDAKLVTERTSLNRDARRGRTSPTAA ARAEATRKRIAKMEENKAAIQRIIDTNADYLAILRNTERRLVVELAILTWENRRTTGL LGVARKMERDLKDSPRVDLRFLVSRIPFVYHFYMTCRTHYLHQKSLDDLFTILRDDAF QRSDIDSITTMIIDHHAKFRPAYQATINKFRSTNWIWDNAEMRENMWYELEYEFEDMA QVVAEAEQLLTERTTALALVKPSEAKLRLVALGGPNVLNQPALSGGVRSGWTTITVAR QRLAVTQLEVIGNYNMEAGEALRALFVSPDIAEYFRFTHWMKRQPFVDLVNSFLKTPV SDEIIAAFLVVCHDANDLFTINVLGRISYCDQGWELVHEAPVGGPIRGVRSIKPVGQD DLEKWLVKNKATMVTNNRDISARDLYNTMKAAFEGLTRDQFDDAMSFFNRIGRMYISP IDQPTGSSQVFRRIDNGWQIDRQTPYDPIFAPAPPYFAQLREILVGARATITTHQFRR NRVSETALFDLLEAILQLPGGAFGFGRVVVNRHRFHLFLRWCTRNESGFLNLNRSGII TGSAVV LY89DRAFT_586631 MVQWRKIFGMDGSADQHRWRDEARRLLPTYHEDAIPSAIPAPEV TKVALRLRYLIEESVPCELEESRITESHSRVITTRVIKAAKEAGGREYGACVVYCLLV NKRWFKKQAMLELWDADLHNIRATACEVIAKQLIETEDDLEYLLQDVLLKRYSIMIDG EQTAPANVIERAVDLHALRVTGSSGYQKCVSYLWRGWLVQDENDPNRFVDYKKKDNTS YWQHVDPDRMRAPVYQNATQVIFSLIYLALYTGAVNTVNKTGDLDVVEIILYIFTFGF FCDEFSKWWKVGRYYIGFWNIFNMVLYALLTTSLITRFIALSHPLEDDDGQREKYNEL SYNFLAFSAPMFWMRLLLYLDSIRFFGAMLVVLKVMMKESLIFFALLIVIIVGFLQAF IGMDNADSNADATIFILQAMANAVMQSPDFSGFDNFSPPFGIILYYIFTFLIMVVLLN ILIALYNSAYEDITDNAIDEYMALFSQKTMQFVRAPDENVFIAPLNLVEIFCLIIPLE WWLPRKTYAKLNDYVMAVLYSPLLFVAAWFETRSAKMVRSNRKRGEEDDDTVEEWEQM AGEVDFEADGWNKKVASAKPNVEEDQATTEVKALRSEVKELKDLLLQLVKEQGTATGN GA LY89DRAFT_782556 MAPLVDNPLIASATLHNPLPLYFHTYVWPFAIIWPIFLRYYLSG ELYTKHINGSEWTFVWCGTIITAQSLTWLCTKWNVNLQSLFTSVSADKVQDAKLIKVI PVANAGSSEICSIDRDNAGGKKNISFLFQKRRFLYNSEKNSFSPLSYAIDADPKPLIG DFQNSRGLPTASEISRIHQHYGDNTFDIPVPSFTELFKEHAVAPFFVFQIFCVGLWML DEYWYYSLFTLFMLVVFESTVVWQRQRTLNEFRGMSIKPYEIYVYRMNKWEHTHSDKL LPGDLVSVGRTKEDSGVACDMLLVEGSAIVNEAMLSGESTPLLKDSVQLRPGDATLEP EGLDKNAFLYGGTKVLQITHGNTEEARPKVASGVSPPPDDGALAIVVKTGFETSQGSL VRTMIYSTERVSANNAEALLFILFLLIFAIAASWYVWDEGVKKDRKRSKLLLDCVLIV TSVVPPELPMELSLAVNTSLAALSRYAIYCTEPFRIPFAGRVDVACFDKTGTLTGEDL VVEGIAGLGLGHSGTDTPRETDGAHSHITPVLDAGLETTLVLATAHALVKLDEGDIVG DPMEKATLTSLGWTLGKNDILTSKVPQGANVSSASNSVQIKRRFQFSSALKRQSSVAT ITAQHSATGKKIRSTFVGVKGAPETIMKMLVKVPADYEETFKYFTRKGSRVLALAYKH LSIDSEIGSGKINELKRENVEAGLNFAGFLVLHCPLKDDAKKSVQMLNESSHRVVMIT GDNPLTACHVAHEVEIVDRDVLILDAPEHDDSGEKLVWRSIDDQISIPVDPTKPIDPK IIESKDLCVTGYALAKFKGQVALSTIYRYAWVYARVSPKQKEEILMGLKDLGYHTLMA GDGTNDVGALKQAHIGVALLNGSQDDLNRIAEHFRNTKMKEMYEKQCQMMTRFNQPTP PVPVMIAHLYPPGPTNPHYETAMKREAEKKGIAVALSETAADKTNGIETITSPGAQAL IDSRNPKQTQAQQKAMGLAEKFTQSMTEMELDEEPPTIKLGDASVAAPFTSKLSNVIA IPNIIRQGRCTLVATIQMYKILALNCLISAYSLSVLYLEGIKFGDGQVTISGMLMSVC FLSISRAKSVEGLSKERPQPNIFNFYIIGSILGQFAIHIVTLIYIARYCDTIAPRSEE IDLEGEFAPSLLNSAVYLLQLIQQISTFAINYQGRPFREALSENRGMYWGIIGVSAIA FSCSTEFVPEINEKMRLVPFTSDFKWTMTTVMIVDYLGCYVIEKALKALFSDYKPKDI AIRRPDQLATEQKRIDDEKAEQVRLAEEKAAKEIAELERKLAEKTRA LY89DRAFT_78663 MSTAAPSDGGSNPEHLKDKIKHPFHNLREKFRDTKLYDLKAELS HKKHEIGKLANLVNPNHRHDEEHEKITDDKRTKIAESHRFKSFAPERPGNNIKWYVDG RDYYWAVSIALDRAKETIYIADWWLSPELFMRRPPYYNQEWRLDQILKRKAEAGVKIF VIVYREVEAALTCNSAHTKHALQALCPKGTKGHGNITVMRHPDHNVLENAADMTFYWA HHEKFIVIDYDVAFIGGLDLCFGRWDNHQHPLADVHPEGVATEIWPGQDFNNNRVMDF QTVSDWKSNELSKAEYGRMPWHDVAMGVVGDCVYDIAEHFVLRWNFVKRDKYKRDGRY DWLTLEGREGPDEDLIGVQRPKFPVGDYIHHPLSPLSGKTFGKQQGTVHAQIVRSSGD WSSGILTEHSIQNAYSELIRNAQHYVYIENQFFITATGDQQPPIKNTIGRAIVDAVVR AGKEGRKFRVIAVIPSIPGFAGDLREDAALGTRAIMDYQYKSILRGEHSIFEQVRAQG VDPTQHIFFFNLRSYDRLNVTPAMKDQEEKSGVKYQDVQRAEAQEIMDSGIHGSRDED GADKHMGKKGAIDPRDDSGDEATEERTDAKRKFEAHAEAAGTNRVPESTDSVAKNAML DQPDLVDEKWEGDLESEVENWIQEELYIHGKVLIVDDKTVICGSSNLNDRSQIGIHDS ELSIVMTDTKPLQSTMDGQPYEAGHHAATLRRYLWREHLGLLRPQDLDASKDPNAQPP DVPNDPQEGDTFEYVADPLSDQVWDLWTTRATKNTEVFRQLFHADPDNYVKNFDDYDK FLPPKGTKSGHIYDKMIPPNEIRAKLDQIKGHLVWMPLDFLKDAEMAEKGLQVNQFTE SVYT LY89DRAFT_78702 MISALLKAVVLFNLVFMIAAFKLSERNKLYPVMNTSSKAVLAKD SLAIEARSATCCPKGDPRGMPTRADALAAMDWLTKPGLNCGISRGETRVGCLNSAAII VTNPGANTLNIPCGVISSVVSDLATQCASTLGEDTICGVSHQAYDGNSFVVVVITDPK PC LY89DRAFT_669805 MSRITRSKKALPSETSTSGSANEGKTSNLTPSPQPPASSWDVTG SYRVEFFWPEVDSSSYSLYIHPASDSHDDCEYYAAFQFDKLEGIMRLCPQPEQSDGNH PSNDLEFNEFEEACRLVDNTTAPSPDCRHWLMQWRGADGGLRLGKKVGGEGNWVHHWK DDIDDSPNSGEFQGIRIRFYFAFDGRAFGINAKKIASLPTSENTMLPSKLREDWKDRW NSDWNLDSVSESNAESDTEPAFDLKDLARTLDDLRNDFRSNFGLNSALGGEIVGTMRL FPIPRFGTPIHTAKELEEACVLRSGCWPGPGAEAEAEEAKPQGCNSWCAKWRGEKQGR VEVQDEDNDCDFDFKRDSSGKLTASGLFMNGLQPIIFEAEKIRDLPPSSGREPTVSAA WSLWKLEGDTSSSLESDWEILWNTAWVDYSESEGSDHLDSSDNVERQPAYKKPYTRRA YAVPELLQHLESSDDDGPRIGSSFRNITNTNVRKTYTVSDPSQQHRSGGQDRGSLSNV GKDQAQSGPKSKPQKQSREREEKSAAERGHNALKTLSRRKAIRESATDGAPSGGTSAE TPKLPSAIIALAPEGQALPMDSPILGILLNDALSEYSKPVRLIEEQPAWAWDVTGYWN DLNASTISRGSGEASIRVISVHMVIWMSNNARHSKVGRQYHAKLEIPGLLDATVRFCP QPGPHPQGRKTWHLRWRGIGHAGEQEDGSDEIQTEVTFEQNGKLTLKGTFIYDDQEIS LLFTKRRDIHPPKGNGATINSL LY89DRAFT_707611 MSIPGLGQAAPTAAFLAPIPVAPTVVHDLQANSEWRFEVDIGEK IDVKILSGTAEIFGTELAVNHLYTFRGAKSSIYTWHGCRIEVTGVCEEYTAEETPMTQ YVNTHFALEKLRDEAERDRREGPRVLVVGPTNSGKTSLVKLLAAYALRMGQQPMVINT DSREGLLSIPGSLTATPFASIIDVEQGWGSSPTSTSTPVPVKLPLCYYYGLGNPEDNT KLYKPIITRLALAATSRLTDDPAIKSTGMIIDTPGVISQGKGGFDLISHIVSEFSVNT ILVIGSERLHSEMLRRFSTYRTSTGETITLVKLDKSGGCVDRDDTFMQLTNEATVKEY FFGDTRTTLSPHTQSLGYDEVTVYKIREAHTNSFLPGGVEETDHGLYEKVEPNASMQH CILAVMYASVSDSQDTIRDASVMGFVYVAEVDETKRRLKVLAPMNTRMTDRPMIWGSW PEVAVSLMG LY89DRAFT_685299 MCSADIFLGLIAILFPPLAVWVKTGLCSADSLINICLCMLGYIP GLLHAWYIIAKFPEPDYYEPIPGDAEGGRVTYIVVQGPNGGTQRVPARQQGRQQGGNG TAQGYGTTATMAAPVHQEPNGTWTNAAEGSSNGAVPPSYEQAVQGDNKIQSRD LY89DRAFT_782563 MADVDMEDPDPIKASYDVFIKPYMSGTQQVYILQFPNRDSRQQY STQHQSKPLKMRVKPGAGMVELDVPMDTHHNYDKEKGVRWGSAMKKTKANHGLPGGFG IGGSVIAGRRPNNVEAEHKRQQDLIADFDHAVREEHALVKQTLGGQTISSEENTPQYM IGTFEKDQLHLTPVDNIVQMRPQFHHIDAQSEQDRYGRPGQQGRPAEARAVHMMVKSN IDGEEETTDTMAQRITAAQSEQWKSHKFIDEDEEIAWAAYHKSLFVGAEYGVEDRSEV LAQLPKLLSALDDEEYLDFISAPRDAAKLSRSKKITRSKKGKEKETAAGPVESDASST LSDSSGDEREVVAPA LY89DRAFT_646785 MAYLAPIHRPSSVRHALRLNLLDPDIECLVVAKGPRIEIWQPAD DTFVMTDSHQIYGSVSMLAKFRIIGAETDLLFVGTQQLQYFTIAFNKETKRLETRQRF EDMAYKYLQDSQSRDLCLVDPTGRFLVLALFEGVLNLINIMKPRKGRVEDLGVPEQTR IAETKVRSMAFLHTETKQPKLALLITDTVSGDVRLVTYRLVDEKMAWATFDASKDREN DIGELDMGASHLIPVSKGDSKDKRHLSRNSAALKAHLGGVIVVGETKFTYLDDESKAV VEFALDEGSIFVVWEQLDNLNFVLGDEFGILHLLTLEVEGSEVVGLALQKIGRTSKAT VIVHLGEGIVFVGSHEGDSQFLKLDIEAAKSAPPNLETLTDLGHLTVIQEMPNIAPVT DFAVMDMGSREGETQSNEYSTGQARLVTGSGVFESGSFRSVRSGVGLEDVGILTDDMD DVRDVFSLRSSPGSQNDDTLVVSLAVETRVFKFDSGGEIEEVEEFRGLSFDQQTLLAI NLPNGFILQITTESVRVLGPNSSPSSVGAEWRPPSGEMITTASANEGHVLLSCNGVTL ISLDVRSGLQEVAIQNLQEGDQVACINVPSDIPNVGIVGFWKSGTISVLDLTNLDIVY SENVRRKNDASVPRNIVMTQILPRSVSGPTLFIAMEDGIVLTFGVNKSFQLSGRKSIV LGTQQARFHVLPRSDGLSNVFATCEHPSLIYGSEGRIVYSAVTADNAVCVAPFNGEAF PNSIVVAGSGTLKISQVDSERRTHVRTSPIGYTVRRIAYSAHERAFGIGCVTRKLQKG EETVKNTFQLVEDMMFGQIGKPYELDGSNGPEVIECVIRAQLPTADSGDELAERFVVG TSFLSDELAEPNRRGRILVFGVNSQKTPYLITSHTLKCACRRLAMLGDKVVAILYKTV VVFKYHENSISSATLRKAATYRCVTVPMDISVTGNTIAIADMMQSLSIVEYVPGSLGI PDTLEQVARDYAPCWATAVTDIDNDCYLESDHDGNLLILRRNKAGVTAEDKKRMEIIG EMHLGEQVNAIRRIQVEPGPNAMVAPKAFMATTEGSIYLVATILPSSQDLLLRLQERC ESHISTLGQIDFKKYRRYKNGTRESQEPYRFVDGELIERFLDCDEEVQESICQGLGPS VEDVRGLVEELKRLH LY89DRAFT_617415 MRSNVRISAPAVRAALRSVQRRSFTASASRQAQWGFIGLGQMGY NMARNLQAKLPSSDTMKVYDINPAMVERFANDTKALTSGAAVEAVANVRDAAEDSETT ITVLPEPSHVKNVFGHILKPPLSESHVSNPDRLFIDCSTIDPASSREVANAVHSTEQG RFVDAPMSGGVVGATAGTLTFMLGAPDSLVSRVEPILLMMGKKVLHCGAQGAGLSGKL ANNYLLAINNIATAEAMNLGMKWGLDPMVLGNLINISTGKCWPSSVNNPVKGVVDGSP ASRDFQGGFGLSLMKKDLGLAIIAAAEAGAKLELGDRAKEVYEAAEQREDCKGRDFSV VYRYLGGAE LY89DRAFT_586297 MKELPLDFHLRHYTNYTNTVVFDTINAVPGLKTSAAFFSFLRAN LVLPSSTLNSTTSTPLLDPSSSQTLPPLGPSTLATMSSADDAGDEVLLPPNPLEGVPE LITKPLTTEDDKISALKLVADSIAQQRNIAASAIIFNPLILALYIGLMAAISQYLYKD RGDIGVVITTGAGVTMACLVAIRSATGGYLTAAEEMTWKWAQNEDGEDDIIIGSRFGD EIMGAAVLRLERSGNGGAKKKKASGKTGGKGLVRAWTVRVKYRGKGVGTELLEEAVRI TREKLGNSAEIGFAAEHANSKKVLPNFFNGIFKKKEARAARALEKVVESMDGKKKK LY89DRAFT_719036 MRGKGKASILLKLPGNEIGIEFHVFEVVMVQAQNVPLIPRSFEH TSETLQYLAEHIDMDHQRTLLGLPLELRRAILDFVYPSSYQKYPAPRIPFNKSQNIDY GQFPTYTLNFGWLVHYHNTILFEQYPTLGVNHILKAETLQLIKLYQQKETYEVDLMLV NDSELWVTCLSVPILINRLEKLRITVRNAGFVGGLKDHEHEIWRLPPLIDPSAADKSP QFRRALSDILLDLLQRGRGSTALSGRAITVKELTIDVRRVSVVAPYNNSSRACFPGTP YNQLCAMRRTMRWNEVFLNPQDILDPVVYHMDACLVRHGVRREDGHAVIPTIFECIGD IAVLENGEEKTRYQLETYLSGLTYVHNRMIMTSEFVTLNGLRDPESIGVDINITQKKF DGWKTRTKAARSARKLTVSKEAITS LY89DRAFT_782568 MGFVETLQRETEHLGIQSIISEPGEFRTNVSSSSGKESEFAKDV DYGELARRVQAGLKASNRKQKGDTKKAAKIMVDVVKGEGVEGGKSMPKRLPLGRDCLE TVRRKETLELCDEWEEIVENTESEKDDRVG LY89DRAFT_734392 MTRPDSTNTTQKASNGGHTRGVARPNTSGERRAASNTARRQDHT VQNTGRTEPAKPHPASGRRSTGSTTDEEDYRELFIRLQNTGRQRNSNSSSTRRSRSDN TADPPKPVRQTTSEDTVDTLPIELEQGEDNSNGDGLDEKTHLDALIDATDKFLGPSDP DYPIVLTGAGPKFLDEIQSSKSTKKRKTPRKTSKEIRQGTPFPDEARTTTKKRIGTEN GEPQDESYGTSQYLEPSHKRRRIISDSEHSPVPNSIDSNLDISDKETRNFGPTPKNPL YEVQSPKSPPEPPSWERWGTGKRFKFKPRATQQEIKGSQPDGAKDSVKDGAEIF LY89DRAFT_748836 MLSADYLPADLSFFGMYPISIVFVIFALYLLRNKYGHGISKIPG PMLVGWTSFWRVNNIRKSQSHKTMIDLHRKYGKLVRTAPDVVDVSDPTMIPEIYNIKG TFKKTGFFSLTELSWKGRPRPNLFSTTDETFHREQKRKVAHAYSMTSLLEMEYAVDDC SRLFMQKLGEFADKNKTIDLGAWLQFYAFDVVGELTFQKKLGFLEKGDDVDGIIKVIG MGLFYTVTVGQVPAWHKILLGNPLLPIFLPQMERWNAVLEFTLKAFNSRISRSHIDKF STSSPTNGKDMLSRWLAVSSSDPLKMSTEDVVVALSGNVFAGSDTTAIALRAIIYHLC KTPSCLETLVKELDDNEYRLSETISYKESREYLPYLNAVIKEGLRIHPSVGLLLERHV PKGGAVISGTWIPAGTKVGINAWVLHFDENVFEQPERFWPERWLISDEKKLAEMEKSF FVFGAGSRTCIGKNMSIMEIGKVIPQLLREFEVELLNDWKVNNAWFVQQTGLNVKLRK RARQH LY89DRAFT_78972 MVLKAASHLTRRLLSSRYFCTCLFCSDCCLFSWGLSCSSFRKAK ICSCWLRTGDSRDIMLVEKC LY89DRAFT_78975 MDANNTPLCLSNIFTEVPLLSEVSILYLEQTAHYVDCGPAILAP RHPASRIQLKFRGNMTLWTCSLHQTFQKAASFSGTQHCCVRLMHPQPSIPQLAVKHLP TCAAKLVATNGPGTRIVDKSAAVCIIADRDIKSGEEITIEYTDIDEHWDRKERSLKLQ HWVTECMCTKCTSEVDGKC LY89DRAFT_78982 MGSQLVVPECYICKSKAVVQITIQITKTPHNPYAWLERAPLLLY LGYPESGAGDARKAQRLATAILNMDEIGILAKKETCELVASTDCAITASFQNFGGGA LY89DRAFT_669816 MSYMSPKKGQEQCGGEEPACRRCLNHGLQCPGYSRKLEFVFYDT DPAGKRNALVKPSSEARKSSSANQMLQSKKKPSMNQHAVIVTNNSDILHESSGYSLAA SQNKTGLMAVLRERYVPQLPKFSTEQGVMNGANWIATACSLAAVSEYSEMLSDSLLAM SLSLVSLEQHEAQLSTASLKQYSLAINGLRSSLAPGPSGLSQHQIDVSLVKCLACGMY EMMTNKSLSALMRHLNGVNAILKASGVQGLQSESSRRTFYEYRSIYLPIALSVRKPNF LSSSEWINPTWKRLEPLSSSNLHTVIDIGFGIPVLMEKFDELQQQDQQADSATYVESQ LNNIILEGLAMQQAFDDWEYRVRDGNEEIQLYIPRLAKSPDPLDIYGNGEVYSISFTF ANWDNASGLSYHEMLQITLNTLLTDIEAYARRSNLHPPALSGIDASNLNKKSIECADR VCQSVEWFLEDHKRLIGRMVILAPFETARGLFARLCRDSIGDVLQDAVLIKKRKFCEM TTQRIRDSGLPVWAE LY89DRAFT_617419 MAKWEEIAADKKKRIAESIPQEWRIKSKPTDVSVMTYPKESGIM SAEDLAITESTAVDLVAQMAAGWLTSVAVTTAFLKRAALAHQLTNCALEFFPEIALAR AKSLDEALKKDGKPVGPLHGLPISLKDQFRIKGLETSMGYVAWIGKYEDGNSILVDLL EKAGAVFYVKTSVPQSLMVCETVNNVIGRTTNPRNKNWSCGGSSGGEGAMVGFRGGII GVGTDIGGSIRVPSAFNFLYGLRPSHGRLPYGKMANSMEGQETVHSVCGPLTHSVADM RLFVQSVLAQEPWKYDSKVIPLPWRGVEAEAVNAKIASGGLTLGYYNCDGNVLPHPPI LRGIETVVSTMKKAGHTVFPWKPYKHDYAVNLINGIYASDGGTDVFDTLKESGEPAIP NFADLINPDLPKIDMNELWSVHLKKWAFQCEYLEQIRLMEEQLGEKIDAIIAPITPTA AIRHNQFKYYGYASAINLLDFTSVVVPVTFADKSIDKKAEDYKPISEIDKTVQAEYDP EAYHGAPVAVQIVGRRLTEERVMAIAEEIGKLLGNEITP LY89DRAFT_646798 MASLPNTTGKTAVILIDPYNDFLHPSGKLNGLVSTSMQKTNTLT HIKELVDTARGHKIPIYYGLHQQVGANFIMGWNHATPMQKSQRDNKGFEEGTWGVKIY EGLEPSLENGDVVVSKHWSSSSFQNTDLDYQLRQRDVTNVIIGGLTANTCMESTARYA YELGYHVTMLKDATAGFTVEATEAATELIWPMFAGRVMTVAEWATSLK LY89DRAFT_617425 MNRKGQPGQTKKRNRVTVACTECHRRKQKCDRAEPCSDCQERGV PQKCQYQKPKVEQESAPKVAGKTVVSRFRRIMSGEEVIMTSESVAALADPMSVSALVP TSEPVIIPYATDLRSQECLDSLRTRPSGYAFTTRPVPATVASGLNYNNSLNNYDPFSI LPDIKGEPLPKQILIRYYVERLAPWLCNLDDRFRGVDDLEIIPVPKIAWLGYAVEHTA FYYATLLTAAVHLNRRRKLKDPGALIWYKVHTIQLANEKMNVAEEAASDEMIMTALVL CYFNVGGNNVDEYEIHLRGIDQMLKVQGGKEATGMRGMVKNWLGVSHGPWSPGFEYGA FVKDT LY89DRAFT_719043 MVSNLFGSLSATNDTVSWHPEATTRGTYTLPSSCLITTALCVHT AVHLNIAGYNERKILWINTQTWRKLGWLVLGLLAPEMYLGTPNFPSWYKRVWRKPRFL FRKDIEDAAEREKSPKPLWTMRHGFFAVMGGLMFDTTEAPQLFMPKGYERLTLDLDAI CFLLKHEPDLLPYITAKDIDNKSQAGGLGKLLVCLQALWFCVQCISRLKDSLPISLLE LNTFGHSVCTILIYLMWWEKPMDVMEPTIISGPKAWFYCAALFMSNTSSGLYGVSEAD LVYNLYNDNFPTQSTKPKDRQDGFASIKVSEGRVPLAEVLMTLVESGVTSLSFDVTRR QIMHIPSSDPGFAITPIHRVRWKIVSEGLTAVAGTDRALHRAWEENIASVVVAPRIRN LPPITKLKQNVVRADPDFNIEGYRRGWFLVQLGFVSAGIIYGGLHALAWNAPFSSPAQ QLVWRISSVLIMAIGVGAVVVVVLRQALMGISTGVASLFEGLPGGDCANVLGSMFSYL VYFSSLLLIALFLLAYVFARAYLVVECFINISHLPVGVYTVAQGANYIFHFS LY89DRAFT_719044 MKLLPVALVPLSFYLHKASAVTWYFLRWYTPDSSVEFTEFSMDM VVPTLPEAATYYLWPGLQDVGTSGVYQEVLDGRSGDWWIGAGWCCSDPTLSWGSGFNV AAGTTVAINMTRAVPNSADWTSVITQGTQTVTDTFPLAYKNMNQALLAIELYDVTWNF GQLVWNNVQMVVNTTETSWCTSAPENYDSATAYTMTTPVVSTSGGITTCTIEQIVMES PA LY89DRAFT_719045 MAKTIDFEPKYITFDCYGTLTDFSGMTSLTREIYGDRLEGEELE RFIALFSGYRFDECLGPYKPYREVLVNAVRRTCVRTGVEFAEGEAEKFYLAVPTWGPH PDVPEGLRRLAKKYKLVILSNASDDQIMQNVEKLGAPFHAVFTAQQTKAYKPLMHGFE YMFQKLGCEPNECLHVSASVKYDILTAEAMGIKHKVLVNRKGSSDTPRPGRDCYEVKD IGELASMLGL LY89DRAFT_79101 MRGVELPKNPKFTSITSEVLSVPYNLQDASRYFDSDEIDPAMSD IIRTRLLAAAGLNDDAVSAEHQATAKRLNIKPISPNRDVNLFFTHNPTYCGSVALQLA ADSEESGLRLANYHVVPTLIAHLYSETQRTRLLDAAWPEIDQFIGMHIADIFNGQRPQ SAAEAFIKYTKTLGISTTTRLNRHQRENPFKEPNYSLLPVSDALRPYFEGKDTFAASV SKLEAVVQAHRQMITHTSKHKRRETRRKLTPIQFLGKMQHLLRRDTEASYRLHKSDQD LLPVDEANTFRDQDKCWNRLPSLQWRRYS LY89DRAFT_554124 MLPTSLAGSYQQYKQDTTVFTTWLSKAAIACGYEIPSEHLQKPT PTAQTKAQTPSKRLKGKARKEAAEIPKVETGKPDTRPIIRYVVPTKELIKQAEVVAKQ GKRVLPQGLRRVANRAIDARRRCADWFRTSKVKNEHSDEAIQLVRQNEEEILASAPNL FNKKRSYNTIAIVIFYANAFSEGYDPAEKMRSNDSLKPTPFDDFIYLSTARILMKMDF FSKMPDNIAYPAPIWPLRMSFISRPELLAMPYIKHKEKEDVILSQHIIDHDMY LY89DRAFT_669824 MKLITSIVFVALALLSILSSTAAAPRPPVPAGPLINELVSRQSN SGGFVSGSDVSNSLCAGGSVNSSGQCICSARCVQVTRACTEPNGHCTPTLQSSDASSR NSAELFGLAVACAAVVGML LY89DRAFT_748851 MSYSHNLIISLLLGVIRAFGHAQSLPGYGGGLPALVTVTATVTI YQSCEGQTSSLSSMISKSQSDETHLVSTTQPLSFQTTTEHESHSAKTTHSSHSARTTR THHHSIDTTTFALSSKSSDLLSSNSSAQGVFSTSASTDDCEESSTSIKHLTTHLTRTS FSTSTIYVTSSLDPSFSSARLVSSSYLSSTSSDIRSRLSSVLSTLITPVVSTSKSSST DLITHLTQTVFSTSTIEVHQSSSKLDDSSSSSESRPGTSLKLHSTSTSTVLGIGSTSI ISISSIPSKVSISTRPTSTDLIPHLTRTVFSTSTIAVERSSSTLDGPSSTLKPSSGTS LKLHSASISPSLLSSASSIPIRSLTSFHATITDMTTSLTRTSFSTSTIYVNRSSAAPS AHLSSAKSTLGIPTSLSTSLLSPLSSISSHTSFSLLSSISSSSSVSSSPAQSTSAQPT TYLTRTTLDFSTSTIYVNPSSDLPSSGFSSTSVSSAGLSSISSQRSEKRSYSHKPSSK TSTAKEVSIPTSSKATSELLEPSHHSISSPLSSKPTTSEPPCTDETASPSTPGGYGLP PIYTPPSNTREITPPSIPGGYGLPPSYTPPSSIEETTSLSTPGYYRLPPVYGPPSSNT AETTTPAGFGYDYSPLPTYIPTSTEEIQTATPADYGSPLDFTLPPLYIPPPALPSLYS RAESDSSRIKGRGIIKAFAAMSVAALAAG LY89DRAFT_697521 MDFFNGLTTDSSNSRCEKLQAPSYLNLALSILLLLGILISYLPQ HYRIISRGTSEGISPFFILLGTTSSTSAFANILVLPASRADVACCKLVSTFECAAGLL GIAQLGVQWFSFCIILFLFLVFFPRAPTLPPDEQKEYTWRTAVTVAILCLLHGLVVII VSVALIIARPHHLGAWANTLGITATILAAIQYFPQIWMTWHLKHVGSLSIPMMLIQTP GSFVWAGSLAARLGPTGWSTWGMFVVTGCLQGTLLGMGIFFEAKARKQRENTEGEGVN TIETDDEGGPVVEDGLTENTPLLNGSRKPSSQPEYRD LY89DRAFT_646801 IVALIFRFGYFVLSPLLSPGVESCLQCQRSRCTTIRIWISWWHR SFSRIGRCRVINWLDGLGLDGVFWYVGCVRRRKSHD LY89DRAFT_697522 MGHLLNVNCWGYINSYGIFQAYYQETLNLPASTVSWTGSVQIFF LMFIGAFSGRALDAGFYRHTLIAGCFLQLLGIFSVSFATQYWQIFLSQGICQGLGTGL IFCPTISLVSTYFQRRRMFAISLVACGGGTGGIIFPLLAQKLLPRIGFPWTIRIMGFV VLFNVIMVITLARTRLPPRPSGPFLEKAAFKEMPYLLYTIGTFFVLWPVYYAYGYINI FATSIIHTTSSTSLTILLIFNAVGIPGRIIPALLADRIIGPLNTFILLSIICAFLIYV WLAVSSFGGLVTFVVIYGFMNAGVQAIFLGSVSSLTKDLSKMGTRVGMVLSVVAFACF TGGPIAGALIDRMGGEFVGVCIFGGTCMALGSTILIGARVSETGWIWGRKV LY89DRAFT_685316 MATRKHNEFLEADESEDDQSQGYNSEDEDLQKGGRSVKRRKVES DASEDEEADQDEKLDGEHIEHEDTKDAEGSAPRKNKIAELPGVSKPLTKKNLVATEAA IKKSGVVYLSRIPPFMKPSKLRSLLEPYGAINRIFLTPEDPTSHTRRVRNGGNKKRSF VDGWVEFVNKTAAKQACELLNAQTIGGKKGTYYHDDVWNLLYLKGFKWNHLTEQIAAE NAERASRMRAEISKTTKENKEFVQNVERAKMLETIEAKKAAKRRKDGESGVGTAEATI SKKDAKKAERPRHFKQTTVAAKRKPEDQPEQVKRVLSKIF LY89DRAFT_697524 MSVETITTISPTTNKPVVTRNGISSSDLELVPKVATEAFLSFRK TSLADRQAIVKKALKLLDDKQNELAKELTEQMGRPIAYTAKEITTAVKRGEYLLKISE DTFKDTEGEAEKGFKRFIKKVPVGPVLILFAWNYPYLILVNSLIPALLAGNSVILKPS PQTPTIVEHISSIFLEAGLPQNVIQYFHCGSPLIIESIVRDPKIALICFTGSVAGGLA VQKAAADRVVNVGLELGGKDPAYIRSDVDLAWAAEEIVDGAVFNSGQSCCSLERVYVD ESIHDKFVQEIQNVLKNYKLGDPFDKSTHVGPVISKRSKETIESHIKDALDKGAKDAT PENESFDHPLSEGNFVKPTLLTNVDHSMTVMTEETFGPIIPVMKVKGDAEAIKLMNDS EFGLTASIWTKDTSKGADLAEEVDAGTVFVNRCDFPSPDLAWTGWKNSGKGQTLSKYG FDQFVKLKSFHIKDYPK LY89DRAFT_669830 MLPCSNISDNICKIGNRCLESLGFKSKPRPKLEISSPFNFKEGP AIHFPGYSEDDISLMREKAIASTAIVEAEDGDLEQFEQYDLSRRDGARSRASSYSCGF GGRVISHARRVSRGRSH LY89DRAFT_782583 MDTKDIHRNMASLAMEPAIPFFSDRLTESETTEELKNIHRLVKE GIVKKDSPIYLAAMKKHQNKWRGIVDGQKHVVEEKMLAERNDSVRYAVKYGDKVPSHM AALMTVSAPAGGAQADETLAKIEEIEKRDEAKRHLDAMYRVSMAKSAYEVQHGPNCYC MEWKPAYTAVWAGQWK LY89DRAFT_586765 MTDDGMLMNFEIGDAPLLPKQKFQGGRWKDRLAAKRIVEKRISK PSNPSTRELFKENRHTVAVEDYIGPSTESRAPKRQRVDSETGRNVDTAKFISGKLPPG SINIGGPKRSVPIQEETRPAFVSGKLPPGSIKIGGPSRSVTIPEETRPAFVSGKLPPG SIKIGGNRSTTFPEETRSAFVSGKLPLGSIESRGNASQGFTNGGHQTESGKPNQIISS LFSFNPSAKTKFEEPEEEVEPAKPSNAPLTEEMETFTALGLSRRLAAHLSTKLDMKAP TAIQKAAIPEMIKDDSDAFIQAETGSGKTLAYLLPIVERILAMSSDDVKVHRDSGLFA IVLTPTRELCKQIASVLEKLLRCAPWIVGTTVIGGESKQSEKARLRKGVNILIATPGR LADHLDNTEVLKVNTVRWLVLDEGDRLMELGFEDEIKGIVEKIGRRPVSAVRDRINGL DTKALPSRRVTILCSATMKMNVQRLGEISLKDAVHIQADPSDIEATRNDTDGVEVDEK AFSAPAQLKQAYAVVPAKLRLVTLTAILKRAFARRGSVMKAIVFISCADSVDFHFSLF SRPWEVSEEDEENAAEAPPLTKTDLTKDTIAHGTAFSSKTNPVVLCKLHGSLAQNIRT ATLKAFTESAEPCVMVCTDVASRGLDMPNVDYVIEYDPPFSAEDHLHRVGRTARAGKD GRALIFLLPGEEEEYVSILASGYKDGKKALTHHTAEDLLRKGFGGTGREWEDRATEWQ LEVERWSQDSPKYLEMARRGFQSHIRAYATHVANERHIFNMQTLHLGHLAKAFALRDK PGSIKVPGLRPAKMTKADRSVAARKAKRGEVEEKAPEGERVRKQRKLDLDLPSLNDSA AKRMKQKMKEHMAVASEFNIG LY89DRAFT_685320 MAFKITKMPLIQGPKLSSSKLFSYARSFSTSEILRARIRDTMIP NTSIRVFREIPALRQWRRKQLLDYRSVGLVPTMGALHEGHLSLIRIAAKENSSVVVSI YVNPTQFGVHEDLDSYPKTWEKDVEMLKDLDRELAADGGNLGKIAAVFAPTTNEMYPS GRPSQEIDGKGSFITITPVGEVLEGASRPTFFRGVATVCMKLFNIVTPEKVYFGQKDV QQTVVIKKMVKDFCLDTQVVVGNTKREPDGLALSSRNVYLGARRRNVATILSKVLRTA QTGYLRGKRSREELLGPANELAKLLLKEQFQLKPEERVQFEMDYVSLADPETMQEVDE VDEARGAILSGAVKMLPVESPQPGEDLGLAGGPPVRLIDNIILKPVTK LY89DRAFT_79432 MILSISTVVLASSRTSEPLLLFPNYYFVSSHIREPGFRKKHQNL LRSPSLLRIIVATIIIIQHVSHKKAYSFPSTATLLSEPFCRGYPIPTTHPSAPSTLIT LCTLLTRPKTLLPTLPYQTTPAIMTLSSSIFLPSLPRSLDLRSMERETSHSWR LY89DRAFT_734413 MGNHPSTTRSSRSTPSSTSTPRSSTFSSPRSSRTSTPRSSTFSS FSPRTPTFPKPVATRTRSWLSSTSSRSSSSTSSPTLSLSLSPTTTTATASGEEEEDEF TPPTTDDREYEVKPRPLRLGYPYYEDVLWEAELARRSKCVSPKRKERRRREVRGGLGG GVELSDRK LY89DRAFT_79620 MPIYSNIASTLARQGPKTFTHGYAQSLVAATHPQVYASNNRPGF GLHRRASKAGHYPSYHFQNAFHSSAQSSSTVTQVRPVEKNDAGLEAYFEAWKKQHATG EPEKEWTQFQFAKRIEWKPLIEVDAEGKSNVQSAEDAAKPVLDRAYSASAVDDIKRAE ISLEEQAALAQIDAAINKEIQSRNDQVIFEAELSVVAARVPTPPIARAQSPAPSSTET GFSATTPATSVSTVSDLQSQSYAEHLSKLSEGARYAEIPAVFEAMLVAGIQPTAPAYN ALLDAAVHLPAEQIQVVPKALDVYSDMLRRKVLPNTTTYNTLLNLIASRTLEVSSQKQ LLEEKRHRFGGMEEAGKFMFASNEYEYAILAEADHLDLAIRMFDASITIRKDRSYPPE TYHRLISACSETGRISEMIRIYEHMENNKIVPLSSTFPPMMHGFTQVGNLHNVVECYD EYKQMAINHDQGKIELSDRQDGTVYAAVIKAYVKTGRSSGAIKFYERILDASPSEVSP IIDVIVKDGFVQGHLDRGELAEALNYAEGLSNSSKIVTMSQIATRAADRGNKAAAVAA FAHIPATFQDMARPAMAMLALSIREGDVPAAQRYWHILSGPEMLVTRNFIEPAAMYAI AMIGSGQVLEGLTQSEQMFARIRSGVVNANSHLHDEIEEGVEFVNRFMAARGITDPRT IVPETPVFQHSFAPAPYHPAKTYEDTFDPYAASTDFKGSALIADELEKGSNARAKTSR LNDALGRFRNMRRAGRHPRYITYAKLIAAAAREERMNLVHDILGMARTDIPLLPQYSV VRYGWVSILDAMVGACLTLGNRTLAAQYHQELLDMGAAPTANTFGLYITTLKESTKTF DEATEAVKIFHRAKTEGVEPSSFLYNALIGKLGKARRIDDCLFYFAEMRALGIRPTSV TYGTIVNALCRVSDEKFAEELFEEMESMPNYKARPAPYNSLMQFFLTTKRDKGKVLAY YERMKSKGIEPTNHTYKLLVDTHATLEPVDMPAAEAVLDVIRSTGQKPEAVHYSSLIH AKGCVLHDMEGARDVFDSVMADRSVRPQACLYQALFESMVANHRVVDTEPVLRDMATR SVEMTPYIANTLIHGWANEMNIDKAKSIFSRVGREKREPSTYEAMTRAYLAVEDRASA KNVVQEMLSRGYPGAVSNKILELLGGGNAENTV LY89DRAFT_586764 TTMPTAVSAPGKVFLAGGYLVLDRAYTALVFALSARVHVLVHDI DTSQGVDLAEIVVQSPQFRDATWNHGYHLAGDQGGVVVTQLQGGSQAASSRNPFVETA LLYALTYISSVLPSTTIKPAKVTILADNDYYSNTSSTTLPSYPKFSDFAVRLQDAHKT GLGSSAALVTAFTGALLTHYLPSTLFSLSTPEGRSKLHNLAQVAHCAAQGKVGSGFDI ATAVYGTCVYRRFSPSILASLGEPASAKFSVRVQHIVDDTDPSIKWDTEISKNGVTIP EGMALVMCDVDCGSQTVSMVKKVLEWRKSVPEEAKELWDELQACNDVLAEKLRKGCMV ELGEAFVAIRALIRDMTEASGVPIEPKEQTELLDAVTREVEGVAGGVVPGAGGYDAIV LLVRDDEETMGGIEAFCRKWSEEKRGNVKLLNARGELEGARVEDVVAYSKA LY89DRAFT_685322 MARHHRHENHRGYHHEKKDHGSSTHETSSTGTDKRGDKVAASSD KYGVWKGTPTSYIGQTLQQDRSPHITLKFDDGTGKTVEANINVASTSTDTELVYWLNR TWSHPVTKTLTPLSSGFHQATTTDGTGLSLDFLRTTPALVDFSAGQVVQDSDSSDTAN NILDQLEPIIKDAIAAKATVYVFGRDYGTGIDDVHMNQGNTGDYENAVGVDGALIFHY PTGDQHFEAVFLAFASQEVPTDDKTGAPAANAKALDTIAKGGSTTSSS LY89DRAFT_586216 MKLGSACLQCRQGKRKCEKLEPGTSCQQCLHRQLRCSSSRRISN VPAKLAPQNQISTRDEGDSLPPKETISEIIELYICYIHDKPHTLFHEPSLKASAKNGT LSPAVLFGILGLSARFSSKENIRSQGHVWAAEAKSLCQQGLENINIESIQACVLCGNI CLAESNADSESLYFVIANRMAQLLKLTKDNTDDDLITREVKRRGWWSLYLIDRWASAG LGLPRQFHDGGAVPRLPMDEVDFSDLRVGEMVEDLDSWRPGIWSHMTTLVKIFGHIQD LNQGLVERQHWGEEDIDSQVLNLADQLIAFERDLPPTLIFTSENLDLQIKRGVGRTFV ALHLGYHHYATLLYYQYLDQSRPATPRTRMFAQRCKYHAKEYCDLIQISVRHGNAEAL HNVVGHMTVVSSSVHLHTLLLGDDDELPMARQRLEYNFAYLVRLRTIWPSVDLMMKRL ITFQDACLRTAQLGTHRFDKWMVRFLLQHALALDEKESSDNSPDLHLQSFPIEDQRLL ERSRVTESIIRISDNIT LY89DRAFT_748866 MEKDQTTAQVDREDVDRLAYSYEHITGLEVPLVTWWKHRGLRRL YVMMPILFLGSTTNGYDGSLLNGLQTMDPWQAYFNNPTGSKLGLITAIQQIGAICALF FSSYIADLFGRRIGVCTGLMMLFIGTILQVVPASNHLGMFLGGRFLVGFGSNLTQGSA PLLIMELAHPQHRGKLTTMYNTLWYMGAIIAAWTVFGTVKYTSNAAWRIPVGMQAAMP AIQMAFIFFVPESPRWLCSRDRQDEAFAILVKYHANGDTTDHFVKSEFQEIQETLRLE KVAANSGWTDFLKTPGNRKRLLLIALVSFFSQCSGNGLASYYLHDILNSVGITASYDQ SLINGGLQIWSFLVAIFFSLLVDKMGRKLLFMIAGVGMLVTFSILTACSAVYAQSGNS GAGSAVIAMIFLFYGVAGFAWPGLTVAYCAEILPFNIRAKGMAINFALTATASVFNQY VNPIGLQHLAWKFYFVYIAILVIECLCIWFLFVETKGPTLEEIARLFDGDAANVSGKE VLDEKVAAAGRGHAEHIENA LY89DRAFT_669841 MASTSKTSPHLQSIEKSQQLMVNGKPFLMLGAELQNSSMTSPAY MDTVWQKLVDTHINTVLGCVTWEDIEPVEGKFDFSELDKVIEGARAHGLHLVLLWFGS FKNGLSSYTPSWVKKDVKRFPRAHLRKSGGILQTADVVSIFHTEARDADTRAFSTLMR HVKEIDAAHGTVIMTQVENETGLLGDSRDGSSAANKKFSEPVPKDLVEHLSSKWDSLS PDLRANLQVFKGLGQKSGSWESVFGKSKQTDELFMAYHYALYVNHVAAAGKKKYNIPL YTNVWQNYVGSDDDNAFPVIAGGGGEPGDYPSGGGVSNVLDIWKTFAPSLDFIAPDIY LNDYSASCKKYRHLDQPLFIPEQRRDEYGARRIWKAFGSFQAIGTSPFGIDTLEPETN PFTRHYKLLSQVKDIVLETHRKPGSSIGFFFDELLEDGRDPSPPTIARFGDFEVTIKR CFVFGKPGSGAGMLIHQGDGKFLLIGWGFQAEFKSKSPKSTFTGILNFTEKMMDKETG KLITGKKLNGDETRSGKYLMMPNEDPDYGGFPICVTIPARTMIAECEVYSLEEGSGDL LY89DRAFT_79868 MVIAVTPSFFCFLLAVCGYYILKGLGILFRRHRTDDLLQFVIKL FVVAALVVYNYSLMGLGIGGIGISWKKQMKNSCQGFDTRVNLDIYIKGDGNPHFPSHK LSLYTPDLPNPPPHQLGIDDFEFTSPIDSSKSPSEPYHTYHRMTGTLSPSQHLAIDFD LPNHLWRIMNLTYTDPSLNPTTSFPGTARTLFKSGTWSPTNETNPHAFIPELSLQIPN LYYYTRHCAYQPFMKVYTTTNLNATQITEQGNRTWSPLNEKEVVMRTAAFGYLPEKLE VCARRNEYEVNGTKMHGLREDVVVPLGLLAALRLQMRADGKFERGCEYVGA LY89DRAFT_79897 MVSFKSLLLLATAALVTAKPLAIRSFDADLVLLDLGALDTSVKG LTSAIEAYDGGVVQATPILTAFSAVHVANRKCYDTCGSVKNMTSSDSYTIIDYVTRTL ALDIPAGIKALEAKKDAFVASDLQYQVVSSLDLLKYDHESLSQALAKKESQEQDVQLK TYVVVKEIDDAIVGGISYFQ LY89DRAFT_685326 MPQLIEKQILDAPIWSILLVNGKEGIFSMGGTSVASDREVERET ADDLDRIGGHQSRQEEHGRNEHNSRKSEDDLNEWKWIKVQGAEGWWQVLMRGIWIDGV KILANQPAVLDVNTPFIIAPPLAARTFYSSISGSKQLPPPFDQFTAYPCFNPPRVHFE FASWNFEVLKGKRDQGTFSPGGRFSLGRMTTGSGYCLGIVVESRMGARAAEARESKSG VKVEGGDGLSDVWVIGEPFFRDVQIAFNWRDKLVGMQRA LY89DRAFT_707630 MAKPRRGVKFNHRNGHSSGTDGRRSSFSDISEAASEPGSPDKTT NGGDTIVVQQPGISEYEKKKQTFLTRALWTFIMIGVFFGAMFMGHIYIIAIVTAVQII SFKEVIAIANVPSRARRLRFTKALNWYWLATTMYFLYGESVIYYFKHIVLVDKVLLPF ATHHRFISFMLYIIGFVFFVASLQAGHYRFQFTQFAWTHIALYLIVVQAHFIMNNVFE GMIWFFLPVSLVICNDIFAYICGITFGRTQLIKLSPKKTVEGFVGAWILTVLFGIGMT NVLMRYKYFICPVNDLGANMWTGLECVPNPVFTPHIYHLPIWFPIHKSFSMTPMQVHI LVFATFASLIAPFGGFFASGLKRTFKIKDFGDSIPGHGGMTDRMDCQFIMGFFAYMYY HSFIAVYKVSLGGVIETAITGLTPEEQMELVKGISKHLFNQGVIAEGVLECLNGAVRR R LY89DRAFT_669845 MAGHDEDDEYDDDDLDALPDNELVELENNAIQFTQAQTQAARAK VPAPSSDYGDEFDDLDIDEEIVIDESRSTPAIVPFHRHIPGQVTQQQQFRQQRYGTIN NSNSISQLTNRSRPTPPQFNETIYNRPSIPIPLNDSVVAQQGSQASATSDIKVENLQK QLEEVLKERNALNEQLKAKAGEISIVRSKQETTVKEYERQLTSLRKHNEETLVKQQHA LESARNAEKHAVTERDFIRRDLAEESERVRRLNKAREAEKKDGAGTLTTPKKKKALPY RDGFDDDEIEIISPSRISPLRFQKRNPGSPSKPGKRKRKAVESPAGALEVIQPEAQAI ETRASALDEEILAKLFIQDGRFDFIGTMLDHRIDANHLRTLEELGKYAFPSAPRDSFQ SLILGEIPSLGLKKLSKDLSVDFCIFLISLWKKCMAEKYVDPIHYLIDMLTFALELHT SSIAPNVVDQLLPLIQETVDAVSIPRFKDTIELEAYAKDIDTTPIMHLLYITAQGCMS EPADMKRFWKQIQWKFGLVMLSTNQPEEEYLTMLKLLSTSVTKEGFAAVVGGEEQTLQ DTLILERLMYHLNGVILMPNTNEKMCPSQRYRLRLHVLQLLTSMTRSPVVCKAIVTHR DALGGFVSFISDQLDNLYDYKSDSQESARLISLAMRLLYHLVTRYEDIDMQKKLSHIP GGSQKYLLCLSRLHFSEDNFVLEAGIDPDVPACALEMLEMFVSPEEGDAIHDAFSAS LY89DRAFT_685330 MNAFNTCNIKDAEETWDPFALCLFVNLGPIQSFLSSITNFRRSR RQRKESLGSHQRTVARSEHRAELEDEMYHRHQADRLIDKLRDKTQAASERRKEIERRR GFENKHNDCVYCMSATISDSHITHDFSNGAT LY89DRAFT_719065 MELDDKLKHGPGPDPTLTKCRLRTYHPAASTFLDLVDDPTGHEW QGAQRFKLRAGTRKASTKLIQEPSDLRAALQEIHSVPPITDWPAAQYVNDTDQQLDAI YQLMNPPSHLGNVELWNNDRTTLWEGGAHGSDAPLTHISRRKRLDIALESMKNRRLRS RSQLKPNRFYEDRITIPQGAKHAQKRTYSKATDFKRKAIQLLIKGKICSARPDTGSDR NIITEAFAKEHNIKIQQREEDKDVFVVGNGQYTRSVGKALVSCALFGGSESEQSLWFH VMAKCSAPIIMGREFLRKIRLYTENTHLLVD LY89DRAFT_734427 MSSPDIELADRNVSPIRGFALVAAKINSDSDKTTTIYRRFDELS ARNLLFYQAELAELEDDLKQLDDEDRVANDKTSEACQRDWRSFEIHANGVNGTEVRAR EKEKMELVMKIREKLEKYHAALAAHRLLLQSPLASKSTLRALRNWFFNNSAGTKDGDR AAQLWGASSNIFSDPHDLVALRVPADQDRFSSFIHHNFGVFFQTASPDGRTTYTSEAA IARFIAILSTILAAVLLFGSIISLYVVKSDKALLGMLSAWTVLFAACVGLLTNARRDQ VFAATAAYAAVLVVFISGNLGGTTPASITLGNCTCTGT LY89DRAFT_707632 MDSTHQNGSAPTHGMVKQFLTARLQSLKPTNEGASPFALLAKLS TRQWQYLFVSFLAWTWDAFDFYSISTVIPQLTTYGRKWPFVINCLLLSILELCLAFCK TYTPFIVCRALFGLAMGGYDCPEPARGLVAGCYQAGYPMGFLFATALWRAFDQQQEGD WKHLFYFAACPPLLLIAFRIYLPETNFFEHRIRPRNNGGNIFGFMVAVNEAIKRHWKR IIYLIAFMFGCTFLSHGSEDVFPLMLTSQYGYSANEVTATQSVAYIGAVIGSLVVGFG SQIIGRRFSIIVACIAGAMLIYPYCFVSGPGLYPVVFLVQFIIQGIFGIVSMHLIELS PPAFRTFVVGTSYNLGVLLASWVPVVETFVGEKRKDTNESATRGYQYSTVMAALLSCA FLYTIIVTFLGPENRDAEDDEDSGRDGVSIHFMVEEAS LY89DRAFT_559708 PALIFTHGAGGNLHSDGILNFRTGFARHSPITCFQGSMNLPSRV KMFDAVISHQEVPITAVGGRSMGARAAVMAAKERPEIKFLVLVSYPLHTGKGDVRDEI LMEIDEEVKVLFVIGDRDSMCDLGRLEEVRAKMKARTWLVKVEGADHGMTVKPKVGTK AVGELTGKVVADWLGMEEGLEVKSKSSRIWWDEEEEGEKRSGWEEGPPAEEPLKKDQK VKK LY89DRAFT_734432 MSSSYNDSFRKFCTSYSYSFVFDQKLDNQNAFWEFCFDLLKEFP DDIFTDAGEEEEEVVPQWLLDIRSQWSNKVEKKMACPAKCTLCSWASVHTVEEALAYE KDLELFREEQFYESVLISERLAMILLHDRTADRLATMDPADLAEYRRYEWTLSIEARD TKDRIQDFNDRILELKSKIKPITNASDPTYYCLRRELMLNIHFRAELEYKTRSWLRRA GGPGLVLISPAGQALLDDIHELLRPIKDSLDTLDSCIRAAFHENQDPDDWRPNTRAKF FLGGHSRWSCRGEERGTCRIMELLQQEFDEQDRWDMDYP LY89DRAFT_80036 MLVCRYLPRYSSSAAGYMGTVPACVRATGAMKGGRAFGFKSRVG LRRIFSVFCFVSIYFPRYRGIEKFRTSKQKIRFLWREGGFRPWRPPPAITSSCSVPRN LY89DRAFT_80044 MVLCSRTRMVVEVNLEAEGNWTLGGRWRGSLSASSDLSCHEEHV RWSWMWRWSGVC LY89DRAFT_80045 MWRIFSLRKSESPANAACLPLTVVFCFVGNLPSTALHCNIVRSN RPVRGKGCKHVSVRRVKVTFRRTSEHVRGCCTEDQMTAPTTWYQYQIACFSFIRTLCG FF LY89DRAFT_80055 MEIRDNVRKCCWSKSASTNQETKPRIRYRESSPFTDHPTGLGCA PCRCQFFHEDRQNQQISGSSMFPHTCPIVSVSPCSLVVSALLPPRLACRLSFLLYCIY QFEFLDARRAVSRIVCTGTGHFMVGRDNLTLPREIERGLQIGECGTPPAHPIPLHLHL LHARSEESPSTCTGEDAYDVFVREECARCRVRASKVTTTPSRVTSPLLFSSPVFSQVR KYDHEFPFPSATRSSPRLTLSRLLDYDRLPYYRDAPMVAPKPLYS LY89DRAFT_719070 MAGGGLMATADVSRIEAPVTMKAYMMCAFAAFGGIFFGFDSGYI SGVMGMNYFIHLYTGIPIPPAGASKAVKDAFTLPAWKKSLITSILSAGTFFGALIAGD LADWFGRRTTIIAGCFIFLIGVILQTASSGLGLLVAGRLIAGFGVGFVSAIIILYMSE IAPRKVRGAIVSGYQFCITIGILLASCVDYGTQNRTDSGSYRIPIAIQMLWAIILAVG LFLLPESPRYFVKKGNLDQAANVLSRLRGQEQGSELIQAELAEIVANHEYEMSVIPQG GYFSTWLSCFTGGLRSPSSNLRRTILGTSLQMMQQWTGINFIFYFGTTFFQELGTISN PFLISLVTTLVNVCSTPLSFWIIERYGRRTILIWGALGMLICEFIVGIVGVTAARPEK NNTSAVSAQIAFICIYIFFFATTWGPGAWVLIGEIFPLPIRSRGVALSTASNWLWNCI IAVITPYMVGTDPGDANLGSRVFFVWGSLCTACFVYAYLIIPETKGLSLEQVDRMMEE TNPRNSAKWVPHSTFAADMGMTDKGTLAPEIIEKVAHVEPTHNETSAV LY89DRAFT_697537 MDPVLVIGGCGCQFVSDVTVFDINTSSNHIDSAKYIEGSLSSYQ DIRSALQQVKPRVIFNTASPKMFGQRNLRERYAENIHGTRFFLDCIKEIEAVKALIYT SSSSVVHDNTTDLLNATENLPLCFEPQQKEYYTHTKAAAEQLVLTSNGSHGLLTAAVR AAMLFGERDLTTTPNIVENALRGRGKFQIGDGTNLVDFTYVGSTAYAHILAAKALLRE SAATEPIPEDIRVNGEAFVITNDEPWPFWEFTRAMGAAAGFPVRKEDVWVVSVSLYYW VVVFLEWVIWGLSFGRREAGVKRGMVKYLTMTRTFGVGKAKRRLGYRPRVGMEEGIRR AVESYLEREGDGKKTM LY89DRAFT_646844 MAEGTERDPGRVYYVAGGFPQSRPILTGPDAKETFDKIPIIDFA NLLFPSLEARQKLAKEVGHAAEHVGFFYAINTPVSNTKIDTIFDVIARFFQQPEEDKL QIECTKSNAAKGFMPAQQTGPHGVVRESFSMGNDYTEPEQKEISTAKEGAVSLNQWPD ETLPEFRKAIYAYYHEMYPFAKKLVQIFALALGLPEYALDQYCCLPLTDITMQYYPVQ SHKTEPMELLFPHADFGTLTLLLQNDVAGLEVLNANGIWIPAPPIPHSFVVNTGNYVE AWTNGRWPATVHRVHASLDKPRFSLPFFLSPSCDVVLSPLQELLKENETPRYEEQNIG QRHVKAMLGTRPSHPMTKRLREALKEEDWRYEILSEPSLLA LY89DRAFT_586081 MTGAEVRGIERVSETERKKSGGWDQIVFWFSVNLSFTSFASGML GTEFYSLSFKTSVAIIMTMSLVGVATTAWITTLGPKYGLRTMALARFAGGWPGTFVFS VLNILTQLAYSVTVAIAGAQALHAVNEDVSLIAGIILISVVVMVLSVYGLNFVKIWER WAWILCFIIFMIIIGLGARGGYDVNKNNVTHDTGSDLIGDILSYAGIYYSISSGWTTI GADYNVDLPANTPPMRSAVLTFIGIYVPIVATAIMSCALLSITNPDYIAAFEKDSLGG LIGKILEPAGGFGKFLLVILMFSAVSCNIPNTYSGALSIQTLHPVFMKVPRVIYVILF TAVYLIAAIAGREHFGELLSDFASLLSYWTAFFTVIMLLEFLWFRRKSGHLGPINPDN FNDFSKLPPGYACCGAILVAIGGCVPAMAETWYTGPIALMVATPYGGDLGFEFSAVFT FVSYLVFRNLEIRYFGR LY89DRAFT_80112 MLVNFCVDYGLGSSDNPIYKLEPWSGNRPLPEQKTSPPCKAIDP ATTVIPSTQGTFIAQPSCCSWLSCSLGNVPFLNDADLKIHLESHAISVTQNWAGTSKC TWSGCKSKAVFKLLSSLERHLENIHVAPIICVIPSCSFKGPFRNNYELQRHAHQKHSN VKAFWCPFKGCKLSFARKDKWAQHIEEVEHENDLFCPVLHCDKEIRGDFQGFQSRKEI SHHIFNEHMKASGEVRAWECHIGGCDIQSSCLKLTVSQMRRHLRRDHGLSQSNLDTLV NFDSAEHHIVWSSQMSSAFSWSVCKLCVPPSLLPSISDQSGQVDRHVTVKATLSPRLA RSGTRNRAIFFVCCQCNMPSLLRTSPFCTYCEPAHKSCNDCRLS LY89DRAFT_748912 MVDISHIIKISSYSQSFPKRFLLEARMKAEKKMRCPPKCTLCYW MAAHTADEGFAHRQKENLIRNERVYESVLCNERLAIILTYNQQETQFASLRALAQNER DSCDRDVQKLAVIASATHRSFDEQITQVQKKMEGASDSYIELYGYRLRRELMYLKKQQ KDEDESYERLFSSMAENDHRNIIDMEEDAEDRIREIKDDYRCAIEQLDDLLEPVREQI QILEWSVQEKILSVMMR LY89DRAFT_719074 MYTPPSRAPTGFSFRRAGSSFSIRASNSKNAAPAEPLCVEDWIV AYDIPTEKLRKHLAEVVFQECNLTFEGDKIRLKGDNLMLRLPRMLSEDEKAEIYKIKE PGASLREELPTHTPLPLSMARARATRDHPAVRDFDNELYRCTLYTPPPPLSSGRPQGL REDGSSYYQAQGLLDYAYRHWPGYPRINPVTPAKLLDPHTGCILIFSILLMMDRGCLV HLFRSQQMFDRNLPINVSALEEIFHTMLESAREHGFHLDPIALTHEFDDLQWKFCPAR FDLGEEQYFNNPKQIIPIVERSILSESGGTAVLWQVAILEEFVSSKLAVTVTRSRYRE DDNLGWRYRFALKQFKEGYKRPYINEAEAFRSLPYSGMNRYLGCYVHKVIPSIIGIDA NGVSAQESYNILLEYGECDLWTVFNEEMLPLVLQSEIDAFWNSLFNIAKTLSQIHYLP AIPDRKEEFWGNRWHADIKPSNVLEIDGEFKLADPGFAIFQKKIGNARPTAELTGGTK TYSAPEQIPRAGPSRGFYQTVDVWALGCVFSIAATWIALGHQGVRKYTQLREEANHRA LNSIAQSGNQDLPRLDSGDYFHDGRDVLPEVTAWHLYLRNILRGTDRVTSQVLDVVDH HMLIKDPRNRWQSSEVYEKLFKISLGLEDPTSTVSPEIIEFISSSAQSEPTSSRPVNR AHGRKGMKSKYFRPLIEETQLESNIDLAQILNEYGQSPAMAETTVQGDSQMVSGPFYR SPTQSTTTLPVTEYDNSENLDYKQVQRNVPVMSSAEAKFQAKVQDIFQAYEGIEESVG KRSMDDYLVKQYNNREIKFLVDNSWSMHKHWANVKFVLKTLAMKAKGLDPDGVDLYFT LSGVNVGKKTGVKTLMDAMVDLKVAPPAENDGKTTDMAARLDDLLRDYLDRQQFPEDS SKVTIIVLTNAMWDEVPVAKRLLEFAKTLKVVRKSELTDRLISIEFIQFGRDPYAMEI LTGLGDELRYKGIPDFVDVEHSDGNIYKMLLGSFVHDIDDHNPESNEDNASILRPTSP DIQDYFERRPATNFTYAEIGPPASPSSIQAPLPYRSGPSPTRELNQHEARVLHPQQEM SYHEYDGSPTHENIDGINMFDDDSIASGWMTDESSSTDDASDDFPLNMRLQDPTVYFQ ELDNPESQVLKNSMFQFYTNGTTYEPFQYRFGLDTGNSGSTSLQVLKDDDIKIFYEEV LKAANEAVSGTASPFAIVHDSYNSPDKLERAIENMFHVIECRNIILCIVQSVEAMENA SYCGDFISILVLDKARHNVARLLPIQCHKIRRLATAFESCLSQLIGLYRLRPMSIFEI EMIFAKDVSEACQEILSELGLSIPIAHCTELWRSFLCLDEFLGGKEAWVLEWHHPDAP QLNLPPLYLSADATTFADIWGPMWKTCALQDGQTDNDRILSYSVGNGVILPWKLPTSD PQQSISCQTHEVFCHWMSDKDLGEIGDVLGSSGALLRPEDILFIGASTKLQCNTRCNS STGEIRQHLRNSNSLFETGTIKNTRILDSEAVQIQLGPSYVKGSAQRTYKRRRKAWKE AWTEEWKNNPESRNIRILEYKFGVEVSACTFNARRQRLISLLGSKTMINHLRNGALTW MSPECEQSFYTALESRNHKAFRKLYLSKKNWQSDLGKAITHCLEALTRTGTDAESLNL HWVPNSEPGHRVNLPLREHTWVGFLKDTETCCTMAILENECLELPDSIQARKCQNRGS DSGPIIDTMTKQGFNSSVLETSFMLHQNSIPKPMHPKPCHGHNGSSSRYKYVWSTSSL EAGKKFHFGENGQLMCIKPLGNGQILAKWKHSWEFLEALKEKFQGESRHREYIRAEKG GAGPVYVLIMSSEHATMNRLLAASEKLLNRPRSIQCMRTSSKMGRSGNIGASMNNETA LIMDWSTDQKARWQKFSRDSDG LY89DRAFT_748918 MGLHIDLIAAWICTAIGCSIVSILVTWLVTRWYTQRHSLSRLEA PDSVFEAPGLNLWNPQPKSFQVPEYQIMTSSTVSYLIKRINYLNFYIAQFAQQCRCNA RSSYVQSLLCRLLITNVMLEGSPQWTLLSPESIQIAQITKRRSSPDAFKHWAKEKARV YRFHTLWPDYRECDNVLLDLRQEPPNIEAIQRLEEFIVELLDHWIKFDDSNDTFKTTL RTCSLLATRIGMAIHKDLRPWAWSFENGGMVQGPLGQMDDTRGELQAVTTQAKLPEAA ENAPSARHKIPENVCITEDQIVICPALLAEGDESGQYYPVPSIAFSAIVI LY89DRAFT_697540 MSDRSSSSPQRRLEDTFPLRTALPSREPSREDIELARKLLGQSQ AAANNNETSHNEPQARNSQSPSNEQQRTGSTSPNLDRVRQITPRSEGEQSQSYAPVTS QPDAAPSGQVCSNCGTSRTPLWRRSPQGATICNACGLYLKARNASRPTNLKRPPSVVP PPSGQITADQRTSPARTGQTHHSASGATYVAADHTPVGSCPGGGRCNGTGGAEGCSGC PAFNNRVSKSAHFATSQQTPTATSQSSNDQPTDAPSPIDVASLSIQNQNTTVVVACQN CGTTITPLWRRDESGHTICNACGLYYKLHGVHRPVTMKKSVIKRRKRVVPASHGTQAS GIDVASNSMGSPESDQPSPPAEAPRGSLNPDGSVNLGFRMRNDQAKSILPEPISTSRA QNGQLPSSDLTAYASTSQAHLHEHTDSLNDDNRLPPMASYPSPSQQRPSLSPNSFLSP SRKRSFSATEIEPLPSLSDSNPTSTNPKRLSSIKSILNPGYVDIDSADRANDRRSPGP SRYSNQPSPSMNSGYATSPANSAVGSALSSARDPQSESERAKMERREMLQREAEKMRE ALKAKERELEELGMNE LY89DRAFT_80168 MHRIGTRQTGRTSCFFFIHRDRLGLPAKHRPASWEVITTHYRHS GNSCRDKPKAGRLVTCSELRR LY89DRAFT_617478 MVDFTLSPTEKTIQQAARSFAATHLSGAKAAYSLIPSHAERFQS TRPIYHAAVLAGLIKGQIPTPLGGTSGSLLEAALLVEELYAVEPGASLTILGTGLGLT PLVLAFRPEVAEFLEPFLKGEGEPLASLVFSEPGGVANWLEEGAPGLQTTAYLDGEEW VLNGEKVWATNSAGWDFKGADLGCVVCRCTHPDIPASASPADRIMILLVTAADIARGE EGVFEVLGHQQMAGHTASSGPHVKYTNLRIPKKNLLCSPGTGAQIVTSTFEISACLVG AMGVGLQRAIFDAALSFSRTSRGGTTPIGHRQSVADLLIDIKMRTETSRYLTWSAAHH LSTSQGKNRELALEAKIYCSDAAVKSAVDAVNLVGVSAYDLKQPFSELLNDAMVLPIF DGGNVGIRRRALQGIFMGEGYEAWATCYE LY89DRAFT_685338 MDFSDAFRIVNLGVGALMVIGGIFHMFPVGISSIIVGVYVAIFG AAVALLEFQIPPQVSRYGSFLFSFIGRGAFYIFAGSILLENHIINIIFGSIIGLIGVA YVVLEFVPSIEPPQNMREADGGWGAEQV LY89DRAFT_669863 MQHTLIVMIWTSTIAGMINELLTRDRYHMPRIPKIKDESFRFFI LHAILDQVLSIEGHHRIDWIKVSEDVGYKGQNPSTCSKKVFAATWKALHRRSFVQDKK SKIDTVEAPPEYVFERCVGNGREATVNWAKLAETLGNSSEKGERDVFNRMWNKYWGKD PHKTTAYEKMDEYYAYIKNFDQGHDDEEPGYPPPPPYEDIESQNNGIKHPETANDGEA MELVPRLEGIHLAEHKTEGWKEKKDVEKTEIWRSVPKGLQSGKETTSSLTIEILVRWK EMVCKAKKSYV LY89DRAFT_782612 MNWATIAPETRLFKGKNLFRWEDSPGLEHHCGVCATPLHNSRAK TSCIGKHVEPCYRFHQQLHFVGKSHECFGCNSSDELHHGRHKEILRLVRQIAALDEAS SLLTPSRSLFGKGRRTSDNSLSVTDTSSESQPSEEAKMTRRERKKAKKASNNSVKDKH NMEVFPQEELDFISEAIHLTVHESKGAWEGTYVYDHTKPEEEAVPEEENQDETDLESI ASSINDLAVKSPGEMTPRQRKTQKKFNSAINHSSFNGGSRKYGTPKTDHFDRVDPDIF SRLGIEIANPANNSKERKDLTTKLVEAVKEDINIIKREDEDTVMREEGFWRWAGRNAY YYISETRKDFDWATGQKRGPPKFDFPEEDVLIEEGVIVERPLPPLPEPEPISQPEKAP DDEEFTLVTSKKPTVVKTKKEIKYMQTRSAKKALKRPLPPLPSFQQDFEPIEEEQGED FQEMIRRHEQRVAGEGILGRWIQPRPPYIPPRTRGMK LY89DRAFT_586353 MAPSATHGTLDSAAAKSTQKGNKLGWQPVSSSGQNCKVDIIDIR HDAVQINLKEEIFRSLRPQTGPKQLPTLILYDERGLQLFEQITYLDEYYLTNAEIDVL KSSAHKIAEAIPAGSMVIELGSGNLRKVSILLQALDALGKEIDYYALDLSLKELKRTL EQVPPLKYVKCHGLHGTYDDGLDWLKMPEAAGRPKCIMSLGSSIGNFHVHEAASFLKN FADILQPSDSMLIGLDATSDPAKVYHAYNVPDHEGVTHKFVLNGLLHANEILGEQVFN LEDWKVIGEYAYDEQGGRHQAFYAPIRNLNFKDVQFKAGERVKVEQSLKYSLEEANNL WQTAGLREVNRWSASSDAYSVHLLTKNNMGFNTDPAVYARTVVPTMDDWKGLWTEWDT VTSRMIPETEMHEKPIKLRNACIFYLGHIPTFMDIQLTKATKESPTEPTYYPQIFERG IDPDVDNPEQCHAHSEVPDEWPPLEEILGYQDGVRERVRKLTLTKNIPRHIARALWIG FEHEIMHLETLLYMLLQSDRTLPPTKHTPDFGAAAKLAESAHVPNQWFRIPEQRITIG LDDPEDNSGPEHHFGWDNEKPPRTVVVSSFEAQGRPITNGEYARYLEQTHNTKIPASW AETMIKSNGTSNGYSNGQSNGYSNGHTNGHHSSQVPLTTSYLEGKSVKTVYGLVPLKY ALHWPVFASYDELSGCAAWMGGRIPTFEEARSIYSHADGLKAKEAEQHLGKTVPAVNG HLSNDGVEESPPSQPSQDRGSSQELFTNLEGANIGFQNWHPVAVSGKGDKLAGQADMG GVWEWTSSPLTKHEGFEPMLLYPAYTADFFDGKHNIVLGGSWATHPRIAGRKTFVNWY QRNYPYVWAGARLVRDL LY89DRAFT_80317 MSYNPRMSMVPNSQQQNRTKRKEDDSDSFMRLPDKEIVGCISDI GVPFTVADLQKPNPLQIQMIFEWFAELLMNATRETVDPAMRAAAEDICGEWMEIIPSE TRNLMGFYVSLRKLLIECGITDFSFQDLYKPTHDRLVKIFSYIINFVRFRESQTAVID EHFNKAETTKVRIEQLYNENQEMEARVEEMKRNRKAMEAHVAEKVKRNEELTRRLLDL RQSQEKVIRRFENVKTKKSEIGTILEDKTAQTIALRQESAKLRPYVLQSPAALQASLT DLSNALNAEKTQIDTLDRRARGLQTSTDTFSVVSSDVASCIKLLDEISAELAKEEDEN VRIGKQRDALGERGNNVREVERTEGLLQRQLSKWLERTEKLRDGSKEKAMSAKERMEE LRAVHRKLTEERREKDKEMERRRVRIEQTEKKMADLKENIENEVHSAHDEFMKMDSHI KLYITEMEQCI LY89DRAFT_80342 MPPPPLALSPALPSELLTYVLTHQIYPTTLLICQPRNVFLTSLL SSIPQPIQRQPPPPETHLPDPEDEDPPPRHPLLIPTLHQIATSRSVNVVFIPTVSHLR AYLSVFPPPTENASPPEPKLDKPGKEVPLLVVYGLVDLHRDTSEWSAQGFGNSVASLV EAGRRGDRKIVVIEEKKEEDSSYVVEGEEVERKPGWKVWDERVPMLNGNIRRAGFESE ESAWSGRTIEIGRIYARWFRFGRGDWDLEE LY89DRAFT_707642 MESISRISSLLESARELTLDAAQSARSSRSTPKALPAAQIKKLL DSRNDREVLEGLRKVISMMYRSQPCLPFFSSVVKNVASPNIEIKKLVYIYLINHAEQE PDLALLSINTIQKSLSDGNPQVRALALKTMSGIRVPVISQIVSLAIKKGLGDMSPYVR KAAALAIPKCYRLDPNTLPQLLEYLSALLGDKQYYVAGAAVKAFMEICPERIDLIHKH YRGLVKKLVDMDEWSQLETMRLMTIYARKCFPRRTRKLKKSNGAKDFYGDEKDEEEDA GEMVEILDPDLELLLKSIKPLLQSRNSAVVVAVTRCYVSVGTQEYINASIGPLIALIR GPQDIQHIALYNIVSVCLTRPEAFVKYASYFLVHATDPPQVWELKLELLTLIFPHADA YLKSLILNELEHFSQGSDRELVRESVRAIGRCAQSDTRTSARCLRLLLKQITSLDGNL VAESLTVIRHLIQQDPGSHTNTVVRLAKNLDTATNPRARASIIWLVGEFAGIDGENNI AADVLRILAKGFADEAEPAKLQIVLLAAKVYLHHLNREPPVESTKPTSPPPSHDYSPA IGNEGFAEFDEKSESTYAPQQQTEAEHPIVTLWNYILLLARYDTSYDLRDRTRLYKAL LGVPSSTQLASLMLLAPKPVPHTPSPSESRTGFTLGSASLVVGETGGLHGLRGYEALP DWVEAGNEPDPSLREVDTTKSEYDSSRLVPAGERLDSAVRDSSSRLGTSPSSTNGFSG GGAKEKTLDDWLAEGENAADESEDESEEETEEEESSEEASEDEESSEDDEDEHNRLVK LY89DRAFT_80523 MPSIWSREGQPQMGGNTMHQGSAPPSDGHPNVTCFVCSGPHFVV NCPNRKPDGSAAAPVPYQQAAAPVPPPQSFAPQSGQTQYSSYPTGQQYGTPAPQYPYA QPQPYTAPAPAPYPVPSPNPQYARSGVQPATTYNQYNQSNGPPPFNGPAFQHPAPQYN TRGPQPYQAPVNNYPSQNNGYHGYQLAPNPAPYQPPPTQWNNTPAFSPPFHNNRRTNS GFRREFSGTPGHNSQQQQQQPKPRAQSQQMDYGYEAAHQTPQTPSAHSVNSGKKLFSH QGSPPNPVSSSVPSTPTSHSNIRKGSATTGTAANVKTETVTAPEKVKAATAAAEQETE EGEVREGDDEEDLFNWDLEQIFREPPAAETVALAQPLSAGYESTPVPLVQAWSVNVPS ISRYARKENLKDFVKSVRVAPQWSYLQEDVAFASVIDNSPPIPLDTIWAWMDVHHGRT ILVEEVEEPEPISRKRSRREGKKAEQEHKQEYLDQPSPLSPLEDANEEEVDQPPNKRQ KNEVNQDLDEVMRSPGDRTPIINRRGGTPCLPTTDDAWAPQPGECATSPGDPTENLLA SLGVSGDPKPVSQEPLPPYTSKEENTHSPRSSQTQTPVAAHQQSFQRTPSNGPGPNTQ NMPQNMPQNMPQNMPQSQDQPMAPAYGPPASYGNGNVPNPLYGQGPPINNAQGHIQGH SQAPPHYGPPANVAYGNGPSQNMQGPQQYGHIANPPYVNGPPNNYQQGPPQGPPQGPP QWGQQRNPSFGAAPQYPPPQQYPQYAQPQPAPYSNAGYGPLANQYPTVAPPQYPQGPP QYNQACQPSYGPGSGPPMGGQYGPMTQTSPTQYGMPPQNVPYNAAPYPNQPQYQNGPV AAYGNGPQNGSQYAAMPPNPNMHTNQPPYGNVQNGYGPPRQDSGYMSARGSYSSGSGP NGPNNQGAYQTPTQPPQGQPLSEAPNRQAPRAQESGLKIETKIKTEIKTESSGNSNES FESNENAGSSAGTTLSPTSAQILEELEPKSGKGKMTRERAPGERASLKKVKKRPQPVV AEAYSRRW LY89DRAFT_80545 MPGLPSSVDLDECIESLYKKNLLAESIIEAICAKTKELLMQESN VVHVQAPVTVVGDIHGQFYDLIEIFKIGGFCPDTNYLFLGDYVDRGMFSVETISLLVC LKLRYPHRVHLIRGNHESRGVTQSYGFYTECSRKYGNANVWHYFTDMFDFLTLSVVIN DQIFCVHGGLSPSIHSIDQIKIIDRFREIPHEGPMADLVWSDPDPERDEFSLSPRGAG YTFGAQVVKKFLEVNKMSHILRAHQLCQEGYQVLYDDRLSTVWSAPNYCYRCGNMASV LEVSDTGERFFNVFAAAPENDLHKDNPGGQDKIADGSALPDYFL LY89DRAFT_685344 MASHEQDLLPDQTEGFKVGEKKTMDEYNQLDADDEAMQRYKASL GLGGGKGISDPNDPRHCIILSLTMDSEGRDPVTIDLSAKGAETTLKDKPFKIKEGAKF SMTAKFKVQHEILSGLHYVQIVKRKGIRVSKDQEMIGSYAPNTEQNPVYTKKFAEEDA PSGMLARGHYTAVSTFVDDDKKRHLEFEWSFDIAKDW LY89DRAFT_734454 MAPNRPLPVEPNPLMTKENNIPPYETLVARRRLGQTDLAVKAGQ VGSSNATKAKNLGTFDYAHLRAPLPKGITSGIFKPSPASYFLMRRSHDGYISATGMFK ATFPSAEASEEEEERKYIKSLETTSPDETAGNVWIPPQHALELAEEYEILPWIKALLD NTPIDINPTKDATPKTISPPPKFLMPQESLTAPTPTRGRPRRSASPSKIASPRKATGT RSRKAKSESVKSESVEPASIKTASKNLEESLKAAATETKAPAEEGVKEEVKEDPVVRV HVDTDVEVKGDVETTHTHVEVEMPAGSPELPLPEDTAAMIQKAKEMVEAAVAGQNGEV VNTSKKSKRKAEEIEDEENEESAVVPAPAKKAKTSATTELRKERIKTRALLGISATLA IGAAVQYAFNIL LY89DRAFT_80611 MDPVTPTSLVRPQESSHRRPLTAEEEAKKASVARIYQPRRPTPN FKLKRQAKLQNEVVSLHVTKSTLLQPEGKVGGVSRTNDAERVVDESRPRNTHQSQLTQ ARSQLQTPLSKVESSSGAKISFTSAVADSPRVSNEPLHHYQWTGRREAQKTRDIYRLP EDDPSDEQTLFQEPRTSSFRYPSEDPAFAPSSLAGETLEQCLRRRHDTSSAPIDEPQH RNTSPASTNFAEQGDDVVGIEGAEPNIDSENELDDVDVMLLGLDLAEDHVPGPSVLSL SSTKRPRATPREETPNFHQMQNDGEHESEQETETPLLKRRKRSNRRKRVQERHSEILK ALTSRRQAKSARKLFQKTSAPRKSQASKKLRRLPVGELVTISQQLDESDLDFPDSPPL EVSQDPVSQLSEDESESGHHRKHIVKSKITKRRHKGLGLIDTSQFRLPKPLSPRSRSD YLRTPSYSDGFEGREATPIGRTMPIRRPAYRKEAVHRIAVRLSELTLVTEKPSLPSKS TRSHYRKKNELQSAVHSQAQPDIVNAQIEVQASTPLAKDESIALEPQSQQATGQENFW PQIEPEEAIEVITEEQLEKAIEEEEELEREYEGALQPSIEGKEDDQTIVADQHLPGGT LNNLPNENLPNLILPELVTPGVCSNYEPTSAQYASTTSPLKRKLQNEVLDTTRIKAST MPIIHTVAEKRHKVAHSQFDHTISTPKTLKQAKADMEAMYELSMVSLRKYQVSDDDGS RDDSECDELEGDGRRHRSDGSPWTASDVEHENENEEEEPASQEIGPTMVIKQESDLSP VESTPSLPTLTEESSTPSRSLNALTRKASAGMGTLPASASRRTMSMPWKPPFKNAWKM HERAEA LY89DRAFT_646875 MAPAQFELLQPPADAVSSLKFAPNSPTRLLVSSWDKHIYLYDTQ DQEAGGKLIEKYEHRAPVLDVCFGADDNEAFSAGMDWQVKKINLETGEQTVMSAHGAP VKSVVYSKEHSLLISSSWDSTLHFHDLSNPNATHSTITLPAKPHSLSLTSSKLVVAMS SRLVYIYQLSVTSLLTSQANGSTLELKPWQQRESSLKFMTRAVSCMPNDDGYATSSIE GRVAVEWFDPSTESQARKYAFKCHRQPDPEGDGTDIVYPVNALAFHPNFNSFASGGGD GIVALWDAVAKRRIRQYQKYSSSIAALCFNSDGKYLAVGICPGFENGQEDYSGEGETH VYIRELSDTEAKGKGPK LY89DRAFT_685349 MPFAQLVLGSPGAGKSTYCNGMQQFMSAIGRKCSVVNLDPANDQ TSYPCAIDVRDLIKLEDIMEQDDLGPNGGVLYALEELEQNMEWLEAGLSELGEDYVLF DCPGQVELYTHHSSLRNIFFKLQKLGYRMVVLHLSDSYCLTLPSLYISNLILSLRAML QMDLPHLNVLTKIDKLSSYGELPFNLDFYTEVQDLSYLLPHLAEESFVSPKFEGLNTA IVELVESFGLVGFETLAVENKRSMMHLLQVIDRAGGYAFGGAEGANDTVWQVAMREGV TTMDVKDVQERWLDAKDQYDEKERLEWEEQAKMRDQAEENNAEGAMDEDDYLEDMGMS MPPDSGVKVVRKNR LY89DRAFT_545147 MVRLKNRYLLVNILYPELEKSQLNSNIPDVVIFNQPTTNDLNAS ALMRGIRAEVSSLFGDYGMGTVSESLAVKYLSPATSTFILRVSRAHYQVAWAALSMMT SVPVKGG LY89DRAFT_707647 MSNLKTAILIVSTTASKDPSTDSSGGILKDVFEREGGGQWEVVD IRIVGDEVLDIQRTITGWTDGAESPNVIITTGGTGFAVHDSTPEAVTPLLHKQAPGLV HGMLAASLAVTPFALMSRPVAGVRHKTIILTLPGSPKGARENLQSILKLLPHACLQAA GADSRTLHAGGVKKLEKDAGVGATPGHFHSHAHSHHGHSHGGHNHEHAMPIRHTAPAE NPKSNDPSLGPTRRNRSSPYPMLSVDEAIKLIKEHTPAPKIVTAKVDGTLVGCVLAED VTATEAVPAYRASIVDGYAVIAPEDGGSSKGVFPVASISHATPGEIPELKPGQITRIT TGAPLPPGATSVVMVEDTVLKTMTDDGSEEKEVEILAEDVKSAENIREIGSDIKKDSV ILRKGEEISAIGGELGLLASVGRAKVMVYKKPVIGVLSTGDEIIEHSRDGELRLGEVR DCNRPTIMSAARGWGFEVVDLGIARDKSRHLEETLRNGFRKVDVIITSGGVSMGELDL LKPTIERSLGGTIHFGRVSMKPGKPTTFATVPVKNNTGERFEKVIFSLPGNPVSAIVT FHLFVLPSLHQASGIAPAGLPKIQVTLDHEFRLDPQRAEYHRAIVTLGRDGVIHASST GGQRSSRVGSLKSANALICLPAGKETLSKGSKIEALLMGKLKSEFDTL LY89DRAFT_538398 WIVLAILSGGCAAFNGVFAKLTTTELTSKFATWIANLVGLGNVE GGVEVVVRAIFFGLNLIFNGIMWTLFTKALARGTSTTQVSILNTSSNFMLTAVLGWLI FSESLPPLWFLGAALLVAGNVIIGRRDEGEEKRDVHQRAEDGGGAEEGEGLLEGEEVE LDED LY89DRAFT_685353 MALTDTLDDLISERRIEPQLAMKILANFDRSITEVLADKVKARL TFKGHLDTYRFCDEVWTFLIKDVTFKMENSSQTVVADKVKIVSCNSKRPGDPQ LY89DRAFT_707650 MSYYGIGGATTALAVVGAYMLFTGDGEAFNVGQFLEDISPYAWA DMGIGLCIGLSVVGAAWGIFITGSSIIGGGVRAPRIRTKNLISIIFCEVVAIYGVIMS IVFSSKLNFVDGDGIYSGSNYYTGYALFWAGLTVGLCNLICGVSVGINGSGAALADAA DPSLFVKILVIEIFSSVLGLFGLIIGLLVSSKAAEFASPTA LY89DRAFT_782627 MESFSSAMSYAPGSEHAHPSGSESSQQVAVASYPPTRDDWDRHR PLIKQLYLEENKKLKEVMDIMKQHGFKATTKMYKDRITKWGLDKKNKERDMLAIVRKK RERDAIGKDTSFRVRDQPVSMEQVWNYLERKKTIRIQEGSAPLTPPEISWRTPSPIPQ SAHSDHHATSTENYPSDTLPDTVVPSAAHGDGDSVIVGVHRPTTPLPLFSFSEDTAQK TLEAMYYLISDASPVNRPLSTPHILPVPERLLFSIKSYIQGSFENETWIDRSGRCINT FVHCEILSNALYTFHNYYSTAVRLADERSYDEFRRVLSKAFAIIGDILRIQHTRTLDC FLEVFLDMIQRGHLELVSLLVHHIHEMSKTILLVEHPLRQICQSLAILDKDHFRQAII ESWKCTSDGFERRLGFFHSDSLDIHLYFVSATYGPNAPLEEERLLRQIHAKFVEESWL RTPQMMRINLFSGFAMQRQRRYSEAEALGNDILLIAEDTESLMDKLDALELDALELVA SSQYYKTRGSWRRRIFGMLLKWLAISLEE LY89DRAFT_80825 MSLRVSQPMYLSVSNEQKFYAVVDIMGNLRAGTKVPYSSCLTKM TLPRAYSSMQVLLCFSKVVGCQPEINTARFLVTFSGSGFKGKTFLCISELLRSLFDLL HYPAFEMAQNGLRPSNLLSSRESQTAKIQSPYSNTEHDSRNFIVNSSEVGINFPMNFR AGTAYESFNSQCAPYDNTTHHIFPSANALLPSPATYPYRNGTHQDSSGGHRGAHRRTK RSSMAITPPKPMMKRYLASPSHNDPFYAMDYDIPISSKSLLEARSYTATAVPIASNSN LNHQRSRRGDLETSHMGAWILETEVVAANIDASMEEMK LY89DRAFT_80828 MIIRLVCFWSLRYAILSLLRCSPLSYYDEKSFLFDLDAEAIPEE KMSSVFGDTMRPGHRYNRWYFRQFGAPYPTPNSTDCDIGLSILSIRDLGR LY89DRAFT_782629 MLLERLRPAIYSSNFPKLSSYQYLYSFRQQRFPKYSSMSSVNRT NGSPARKLILVVSISRHLHGVPIEKGIESEWAKEKARDIKDSFENVGFNLDPKDVPTA LRDLKHELEGRPWDGIIIGWCIRGNNDFTILFEKVVGACCEVIKSAPETKLMFSSGPQ NIVETVARNFAVDGTA LY89DRAFT_782630 MPQLQSTPLHDHNDRGVKSPSRRPMRRRIRKACEPCRHRKVKCD GAHPCELCVGYGYDCIYANGETPTADQLVSFKRKLSPSTVSKLREESSVSSDTQAKDN SEPPGPLYVLSEGCHSPKTGNTRFTRVDSAIAFPRSLGLSLNADEPPILQAFAWNTGT RGEKSEVTRPSLLQNISLHELETFSDIYFTSINPIFNILDREEYCQRADRCWTAQNIE PGFEVVLCGVMALGSLFSRQPFAHEADLIEQARLTLDRTFAHSNVLLCVDFVVGWILR AIYLRSTTNPHVSWMASSMAMHIAESIGLHQEMSDVKVTQKGCVISEKEIEARRRIFW VATSMNRFFSAQYGRTMTVLQNVGCRYPAGTSDDAADDFASIIRRLPFLCDPGDPSFI IILIEGIMNLGKIKITKLPLILLRADSMFTIYRKLRYMGFTLSQTHVEIVLSAINSAL DAADALARQYQQWWTIIGVPFHSICVLLALNTTESLNLLYKAMETLQNVTTIFSSHVS REALRTAHYLVKVAEKKRRGDLGSLQRVLNLNANMAASPVAQTPVPERFSELPSFERP TDFDLAFADLLDTTSFANGGTDMTGYTL LY89DRAFT_734466 MKLTLATGLAALQTVSAHTLFTTLFINDVNQGDGTCVRMPITPS NATFPIIGSPTSIEMACGNRGNASVPRTCPAPNGAKLTFTFRETPNLSSPGAIDASHK GPCAVYMKNVESPMVRPNGDSWFKIWDEGYDAVTSKWCTEKLIDSNGLLSVNLPGNLV GGYYFVRTELLALQQADKSPPDPQFYIGCAQIFLGPANGSTSLSPQTTVSIPGYLNAT DPALLFNIYTPTWPYVMLGPVPYNSSEMLATTVPNVAHQMYGLLPSDAIITNANWWAT ELDSYSDVNGCWNASKSCFEQLTTCYGTAPPTGSAGCRIWEQRCDDVQSACNASIFTG LPNAGKSLMLPDNNPYPIPDAASQTPGQVYASQYMNAAFRNGSANNSKRDSSTFPALG TIFTTITSTRTTTLLRTVSALPPSIVSSVALSNSSMVISTAHDFETTSSTVMASFPIS APATLSSATSAIPSSTSSSGVLSNLITISGTLATISITMDFQSQIPPGSTTNIGAAQT TGLSSIVLTNISSSATSSSLIVPASLTQTPVVSTTNVATLPNQTSTAQDLPIAYSLAV LGGDSAMLSTSPSPLGPTPTAQVFGGAPSQLPRPRSSTVPLSNVSSPASTMPLLTITK SSSRAFGEIPASTVLPSSLLASATPTNTQNLVVPTSSLSMSNSPTSLPPATPSTTPSS LVLTSSLSLSQTLTPITIDTSSNTQTSLPPTSTLDLIVIPNPTSSPSTTSFYTQASVA SSSSIFSLQIIPSSTSDGGAITITILPGQPVEALVSNRAAKPVEIASGVEDLRREEKK SRLLERNVRRKRRGGALWDEGWGVFEGGL LY89DRAFT_697556 MSTALKSIRSLAPLLDRVLVQRIKAETKTASGIFLPESAVKELN EAKVLAVGPGGLDKDGKRVSCSVQPGDKVLIPQYGGSPVKVGDEEYSLFRDYELLAKI NE LY89DRAFT_80985 MHSPPITPAATRSRDAVEGTTKGAPLQKVEAEEDAAAVTPQISP PGTSPSTTNIDDSRPGSSGVTTRPTSLSADSGSQSRHGAFSIGPGNDTSVPVSRETSP SRTTAPTYSRPFTPSGDANDPYAANKRPPQSRNLDAIDQRFKFTALGSKHRPSPSSST TNLPRSSRSAVDVNNNNSEKRHSHIFGGGHKDHLSHMHDESSTTISSKHGSMSELKRF LKIGGHHKSKRAASPTPSAKSGTKTPPHHKTPQQLPFGDDHGLQSKYGKFGKVLGAGA GGSVRLMKRSSDGTTFAVKEFRARHSYETEKEYAKKVTAEFCVGSTLHHGNIIETLDI VHEKGKWYEVMEYAPYDLFAIVMTGKMSREEISCSFLQILSGVTYLHSMGLAHRDLKL DNVVVNEFGIMKIIDFGSASVFKYPFENGITLASGIVGSDPYLAPEVYDERKYDPQPA DIWSLAIIFCCMSLRRFPWKMPRMTDNSYKLFASPPTPGTDLRRISDTPAPSKSTNDL DTPARDAVPESKPTTPKAELPPNTSNGALAPVKPEVIKGPWRLLRLLPRESRHIMGRM LEIDPKKRATLEEVLADPWVSGTNICRQVGKELYRAPGHTHTLEPPASQPPPAK LY89DRAFT_81057 MASTQENHPQGTPIASDHITSSQLDPKDVSAGSPTVRFASATEE IEPKSVEALDAEPPQNIFGQDEERLKELSKSLHGTHLQERRMSHFAFEPVSLPASRVC LLSSPMLFVTGIAERGNAATMTISIPMKFIVVWEKLGSLSISLTCRHLLSHMH LY89DRAFT_685360 MSRTKQATDQIVKLIVGAGQASPSPPVGPALGSKGVKSMDFCKE FNARTAHMVVGTPIPARVTVRPDRSFHFEIRTPTTAYLLLKAADVELKKGKLKGKSGN EIVGTISLKHVYEIAKIKQSELRLSGLSLEGLCKSVIASAKTVGVAVTP LY89DRAFT_734469 MTETEPKKNFRSFIWDTDTHLKSKEERKLLRKLDFSILTIGCLG FFLKYLDQGNLANAYVSGMQEDLKMYGNQYTYAGTAYTVAYAVMQVPSTMIIQTIRPS YWLALMEIGWGTFTFAQAGMHNIAQLYAFRFLVGFFESSFFPCLLFILGSWYTKTELA KRVAIFHMTAPLGTAFSGYLQAAVYKSLNGHLGLAGWRWLYICMTVPVGFATFFFLPD TPYTTRAWYLTKEECSLAIDRVQRAGKAPPVKLTLSTFTRIFSRWRWYAFTLGYVLYG TSCQASGYFAIWLKSEHFSVVARNVIPTGTNLISAFCIVLWGFGSDYTGSRIAFILGP LTYGLIPNGILAVWPRSIRLKEFAFLTDGVQLMTAIFYTWANEVCAGDNEERALVISS MNGMQYAVAAWLPIVIFPQTEAPTFRRGFPATFGFVIAALIVIVIIQLLALRERRQKT RDAEVTSGDNVDLEVIEYQSDKKDPHIGAKESEGEEIREEIREKNSL LY89DRAFT_685364 MLTTIWRAQALFLPEASDYISHSLAETLSLVRPIEDSIYVKGLQ KEAKDNKLAINAGIHEPGDDKKSGKIKNTSIWISEDGEIIERYKKLHLFDMNLTNGPQ AHESDVFEEGMEIVPPLQTPVGLVGLLICFDLRFPEVPLSLKRQGAQIITYPSAFTVP TGKAHWEILLRARAIETQSYVVAAAQAGHHNEQRVTYGHSLIIGPWGDILAELGGEFN GPEIATATIDLTVVNKLRQEVPLKRRTDVYPEL LY89DRAFT_586846 MFNWAKQQLANVAGTPEPVYGPSAVRSVAKQAETTPYTEVSRNH LKWDAMDSTCVETQIFYLFAPTGHIAWVQVIYSNVVGIKTTCQFNTKIFYPKSEKKEN LFCSDPLKDIDFNEDKTSFYAENLAVELSEDGKSYTIKSMVNDKSIVNVTISQESPGY LVGEDGKTYYGTNPKEPWGFIKHAFWPRNTVEGSIVTKDGPVDFKGKALFIHANQAMK PHHAAGKWTFADFQGDHYSAIMMEYITPPSYGSTKITLGGLVKDGEIICANASGTVTH TKTKGDSVNDWPEPESAKFEWIGKAKDGKDVSAVIDGPLDERLDRVDVMAHVPGFVKQ IVAASAGTKPYIYQYSPGKSSLKIKVGDEEVVEEGVLYAEATFICA LY89DRAFT_685366 MAPPYYSDSPGMRSLANLGDPRAQALARRPGQGLGGKGLPTSSG AGKERSGPGGRGLGKTSSKTHKPLKRNRKIIKDTIIGITKGDIKRLARRGGVKRISGT IYNGIREAMKDHLATILKDVTAIVDYQNRKTVTVGDVVFALKRLDRPIYGFGDVASRC DR LY89DRAFT_782637 MLERMMIFLINIFLPPLSVMILAGVGPDCLINTLLFICGVIPAH IHGFYISCTYYSRKRKVRKGRFPGGRKSFIYSQQVINGGASDERVRELWKAKCRAEEG GNGRKKSGGREERTRSGRGSDSGGSGEERTWDGRRESRRVCTRNSTAGSRASSVRYNN HGQPMTEVKGWRM LY89DRAFT_782638 MSNRKRPNSWVDLTGDDDENLPPQRKQVRVSGVSAQPRSSQSLS SSNLSSQRDSWVASTAPVGEEDEIIDLSQDRDEGFGWDCVGVIEDKIVGVRYYNGLAT PGEQVMIRREPGNPYDSNAIRINNVQEVQIGHIPRALAAKLAPLMDSRAIVLEGILTG EKGSFDCPIRLRVFGPSEPVARAQLEAEMKAKRMPIKKQGVAAPKVPKAAVPPPQRKQ MGYQSSSQASSSQPEPVPEVDISHFVENSERFRPRDAEKIVEAWGLGEDALSKMPMAD QPEGLSSTLLPYQRQGLAWMLEKENPVLPVPGSKNIVQLWKRSETGQNVFQNIATQFK TATPPVLAKGGILADDMGLGKTLQIISVILEGGPGTTLIIAPVSVMSNWAQQIERHVK KDSPLKVMTYHGSNRKRMTYRDFGEYNVVITSYGTLSAECFPRGSKTPVKTPDKDGLF SMKWARVVLDEGHGIRNPATKSAIAAANLLAVSRWVLSGTPIVNTIKDLYSMLKFIGI TGGLERMEIFNAVLTRPLAHGTPHADLILQSIMRTMCLRRKKDMKFVDLKLPELSEYV HRITFRKDEREKYEALQAEAKGMVDRFQSGKAKKGENMYRHVLEVLLRMRQVCCHWKL CGTRVSDLLALLENDDVVALTKENRAALQVLLQLSIDSQDECAICLETLHNPVITACK HVFGQECIERTIDLQHKCPMCRAELADKECLVHPAVEEESHADEEIDIDTRSSKTEAL MSILTASRRDPLSKVVIFSQWTSFLNIIQHQLEEAGMKFARIDGSMKATVRDAAMTAL ETDPDTRILLASLSVCSVGLNLVAADTVILADSWWAPAIEDQAVDRVHRLGQTRPCTV WRLIMEESIEERVLDIQAEKRLLVGKAFQEKSKGGKEKVTRMGDILKLLA LY89DRAFT_719102 MDIQEIREPEAQSLGTKKKRKSLAKVRTGCKTCKIRRVKCDETR PVCQRCLKWGGASICDGYEDLVPTRTFRAVDLKAAHRPLLPNPKPLCRSPNVQKFDSD EEYSFFQRFCVESTVQLSGSRYSELWNRVVLQGSEMEACVRHAVVAIGALDFHKLSHG GTDLQSIHREFTFREYGALTAVGMMNASPWSKKVSDEDRMGRKIAYEEYGKAIKCLRK AVMEKRSDIMTRLTSCLLFILFEVYHGNNESAAAQTHAGIKMMEEHSKQRSEWTPSLG TIRPPPIDREIVETFALLEIQATGWSDNNRPDMHLAKIYAGEAIEDIPYEFKTLKHAA FVLSTIMLRGAHLRFVRRTPPYIAPEEIVTALTTLEPVYTVGPEHAEFCNVLNRFQQW KAAFEPLFRRARTESGKHLKKGAITLKIHYLSSYLWTASGAPLPEMYYRRHTKELVLS PQEGQTGSHSNIQDLAQERRLVGRKFAWQNL LY89DRAFT_81331 METSVHTTPHKKEAAEAVTPNPLGLPLLDYGASGTMHVFAPTLI MKSPHISHPKFGNTTSSVAYKAKIYAYIRSLPTSHQHILTSFENTDLDIDHCLLLAYH PLGCLRKFIRSTVFGTWPETTQILKSRRLHWGQQIASALVFLHGHGMLHGDLTAANTL VTDTLDVVLCDFGTDFLNGQGEGDIVRCTRWYRFLDDGWDGDWENFGKGRKRDAQNFS VKDDIWGLGMICYEMWCLKRMWGDREERERVGLYWRKEWPSLEGTGEVGQIIEKCWND EYESADEVLHAIENIRKNEGLGVVPSSNT LY89DRAFT_81330 MATRIMYFMYHHCQYCTIGKETLPEPSPDSFTLFPLLPFELRLK VWYIIANTPRTVELTCTPSAPYMPEGKWFSHSKSPVIFRICSESRTVAQSSYSTLDFS PDQLGIPCRIPLLINFAADTLWLCQDLQKDWARDLLEKNEHLREKLKFLAVKEKLWKE LNEVELTPIPELQPPERTAIPKNSVFCGLKAIEDVKFHD LY89DRAFT_707658 MAHHTWVLRFLLPFEIADIVALWHTYRVPIIITTFSILIILRTI LHLRVPRVKVSDTPPLSPKLPAEKEKVPIEERNVVAEKVPKRIVGGLKKKKSSQDENP STIQNAQVLVFFSSLTGTTEKTAKVLTEGLAQSTKELSKSTSTTFLEPQLLDLSYIDY DDYFITPPKSEENTQYFYILLIPTFNIDTVLDNFLEHLQETHNDFRIDTAPLSSLLGY SVFGFGDREGWPTEEEGFCSQAREVDKWLAKLTGRKRAFPLGMGDSKVDATERLQEWK EGVEDVLANIATTGGLGEGVVGSGDAVESDVGDLDDEDEVLFEEQKVAQRKTKAQKKD TLNDLEDIGSTLNQITADNSEPLEMDFTTYSKKARSAPTTVTKEMVPKNSPTYTALTK QGYSIVGSHSGVKICRWTKSALRGRGSCYKYSFYGIASHQCMETTPSLSCSNKCVFCW RHGTNPVGTTWRWVVDPPDLIFEGVKAGHYKKIKMMRGVPGVRSERFAEAMRIRHCAL SLVGEPIFYPHINEFTAMLHKEHISSFLVCNAQHPDQLRALQPVTQLYVSIDASNRES LRKIDRPLHRDFWERFQACLEILREKRFQQRTVFRLTLVKGFNIDDEAEGYADLIEKS LPCFVEIKGVTYCGTSSSAGAGLTMQNVPFYTEVCAFVEALNSSLNRRGLGYGIAAEH AHSCCVLIASERFKVDGKWRTRIDYGRFFECLESGRQFRPEDYMGEETPEWACWGNGG FDPRDERVDRKGRRKVLPVATAGEEACGGGGGGEGEACAMESRDE LY89DRAFT_782644 MSTPGGPMQPSHGPYLPTTAGLGGMPTKGLDVPITSVFLVLFVL GAVTHMTILQINLRRGHKFIMSGLLFGFCMARIMTCTMRLVWSTYLTNISVAIAAQIF VAAGVLILFIVNLIFTQRIIRATHPHLGWAKTFSWAFKIYYACIIGLLIALITCTVDS FYTLNKSSRRADRDVQLLGSTFFAVAAFLPIPLVLGSIIIPKKTRVEKFGSGRFRTKI YVLLFSSFILSLGAFFRAGTAYVPRPRNDPAWYDSKACFYLFNFTIEIIVVALYAVIR VDKRFHVPDKSHGPGDYSRTAPAQEAGEQEPEMEKRSSLADRVLSEEQVFDDDEETQV GSPAERQTDVEVGLTKPEPTQ LY89DRAFT_707660 MSEEKSKGISLRTKRKGRPAISAPKQISGPIQQPVGDVPRSGGR SFDAPPPTRPQAGGKTSDLVKRRYSTRFNNLPSDFDATAPPVPSVPSLPNQYAQASDR GRGPSPGRGQGLNVDVKALRDPNLRPEQYIAGLLSDASEQDIDDYQQALKKLRNRAST DLQQNVYQNRTQFIKISKEAEKLKGEMRALRNLMSELKTNTTALRTTSSQGPSVTDGF DQGFPTTLSKRDKRSSVADRTAMWNSQLQALWKSVEGSQKFLPALPGRHVVQNAGLWI ELDNATWKSRRAMQIILLNDHLLVASRKKRKVEGNGGDPRQAPSKLVADRCWPILDIE MVDLAGTSESTSSRNKVADAIMIRGVGQESFTYRTEKPDDNEKASLMMNFRKAVEQLR KGLRSEMESSNKAKETINYFASRDPGLLKKTDLLETLSDIKDMLIEVDGKQQNLRWVE SQVDELDIEIALQRFDVAVQRVEKLNALGKSLKSNVVAQDFISFKADERATKLAGLIT RELVDTHNEPKKTRRNVNWLARLGFEDRAREAYLEARGNIIHKRSRQCIFEGNLHQYI WELSFVYFTIIKNTVSTFQTCFPPLLMSACVKWAKEQVDTFNIILARQLSSTERNGPV WTECMNQAKEHAKMLSEVGLDFKSLIGHEISEKEQSGPVGLGLIRDRLILVERLLPPD SSSRPRDNLVPRETRPACVIMDTNMEDVGRAPEPSKLSPATEPASIPTLDGWIESLMS CKQLAEVDVQRLCEKAREVLQDESNVQPVKCPVTVCGDIHGQFHDLMELFRIGGPNPD TNYLFMGDYVDRGYYSVETVTLLVALKIRYPQRITILRGNHESRQITQVYGFYDECLR KYGNANVWKYFTDLFDYLPLTALIDNQIFCLHGGLSPSIDTLDNIRALDRIQEVPHEG PMCDLLWSDPDDRCGWGISPRGAGYTFGQDISEAFNHNNGLTLVARAHQLVMEGYNWS QDRNVVTIFSAPNYCYRCGNQAAIMEIDEHLKYTFLQFDPCPRAGEPMVSRRTPDYFL LY89DRAFT_685373 MVQTSTIVAATIGTVATGFLAYAVYFDYKRRNDPQFRKQLKKES KRQAKAAKEEAEAHTVRQRQAIRAAVEEAKEEGFPTDVEEREAYFMSEVARGEGLSGD GTDNVEAALCFYKALKVYPTPSDLISIYDKTVPKPVLDILAEMIAADSDLNLGSFGAG SGSDRGLD LY89DRAFT_782648 MMESLVTSPGPGSPKTNGTDAFAVDSTVLVNHLTNVLEITLGAT REELERPGSLLSPEFKVHTIQRCTRFASENQVALYVTKDIATAGRLDGAIDDSNSVTY NYTLATDISFSPSTTASIALLKRPFPIDPMIPITSQIQIINLPGVATLNNNTNGQAGG SVSPFEVLHSVVHLALAPYFDAYTKTQSASNGVRGRSDVEAKTGIPVTKKRIAELELS LLHLQQNIEIPDLILPLHPMIQNALEEAMARNLKPSAELVPSNLLQDSTFLNNLQSNV NGWIKSIQTITKMSRDAASGTATQEINFWLSMESALEGIELQLRSEGVALTMEILRTA KRFQATVSFTADTGLKEAMEKVQKYNQLMRDFPLDELLSATSLPKVQDAISLIFAHLN KKLRICPYPIRRALPLVEAISADLDTQLHSLLHGRSLMHLDYREFKTLMATADSIWKS WDENVKEFTNVAREVTRRRNEKFIPIKIQPKHADLQARLKYVSTFRDNHEQLQRTIVN VLGPKSGEGEGATNGVVLVEEMGDVDAVEEVQQAYAALNNVDLLDVTPEGTRIWVQAE ITYNERTSRVENSIIARLRDRLATAKTANEMFRVFSKFNALFVRPKIRGAITEYQTQL IDNVKHDISALHERFKQQYGHSEAHAMAQLRDLPPVSGAIIWARQIERQLDGYMKRVE DVLGNDWALHSEGQKLQSESNLFRKKLDTRPIFEAWLHDVQRKNVSIAGRLFNINKIR AANNALELAVNFDPQVIALFKETRNLLWLNYAVPHAINNVSKEAKRVYPYAVSLMEST RTFAQTSRQIVQMSDVAILLSGYLKEVYTLISKGVPLKWESFVHSFDLHIKPAYNSHG PVDHAINNRNESKHVQFVREFAASVSLLQNKTATLAAINITIQKALAELDSCPYDSAS FQQRLDTVQMAVDQLNLENYVNLSYWVREMNARIKTTLLYRLKQAIGSWINVFEDERS EGVNDDSRRKHLSVTTSSGDDQAPSLKRLVHEISMRNQVISLEPPLTFARASWFSQLH DWLGVVCNLRKVKASRYEMTLTTTSDPESLFTDLPASCTDSLARVYNTIETKIEEISK YVDKWLQFQSLWDLQSEQVYEQLGEQLSNWLQLLQEIRKTRSTFDTTEVSRSFGHLTI DYDQVQTKVNAKYDQWQHEILTKFAVRLGNRVREVYSEVEKARKDLEVQSLEATSTAQ AVQFITIVQTCKRKVKTWAPEVEIFRQGQTTLIRQRYQFPADWLGVEQVESEWAALNE ILTRKAKIVQDQTDALRAKITAEDKVVGDKIAEIIGQWNEEKPVSGTIAPDVASATLM SFETRITRLHEESEMVSRAKEALDLPASPDTALTAILEEVQDFKSVWAALSTIWKSLN ELRETLWNSVQPRKLRSAIDGLIKMTKEMPSRMRQYAAFEHIQNVLRQFLKVNPVLTD LKSEAVRDRHWNKIFKALKPGKRYSPISMTLGDVWDLNLTASEVIIRDIIAQAQGEMA LEEFLKQVREIWSNYSLDLVNYQNKCRLIRGWDDLFAKCSENLNSLQAMRHSPYYKEF EEEASSWEDKLNRVHVLFDVWIDVQRQWVYLEGVFTGNADIKHLLPIESSRFQNINSE FFAVMKKVYKQPFVLDVLNISGVQKSLERLAELLNKIQKALGEYLERERVSFPRFYFV GDEDLLEIIGNSNDTLRIAKHFKKMFAGLSGLIMDEDHLISGFTSKEGEEVRLKKEIS LIKTPKINDWLALLESSMKATLAELLAEAIEQYEPIFTSEDVDQVALNDYISAYPSQI VVLATQAVWTLTVEKSLEAGGSNMQSLYEQEVKVLRLLASTVLGDLDPIQRKRCEHLI TECVHQRDVIEKLIKLNATTPNHYMWLLQMRYVYKPEGDFLQRLHIKMANAKLNYGFE YLGVAERLVRTPLTDRCFLTLTQALCQRLGGSPYGPAGTGKTESVKALGVQLGRFTLV FCCDDTFDFQAMGRIFLGICQVGAWGCFDEFNRLEERILSAVSQQVQNIQLGLKQGME DEKAQIELVGRQLRVNANTGIFITMNPGYAGRSNLPDNLKKLFRSVAMSKPDKELIAE VMLYSQGFNQAKQLSKQTVPFFDSCAAKLSKQAHYDFGLRALKSVLVSSGGLKRARLT NSGGHLGPEEEVEPQIIVQSIRETIAPKLIKSDVEIMRSIEAESFPGVEYVPASLEKL QEAIHSIAKERHLVVNETWMTKILQLYQIQGIHHGVMMVGNSGSGKSVAWKLLLQALQ QVEGVEGVCHIIDSKVMSKEALYGNLDSTTREWTDGLFTSILRKIVDNLRGEESKRHW IVFDGDVDPEWVENLNSVLDDNKLLTLPNGERLNLPSNVRIMFEVETLKYATLATVSR CGMVWFSEDTVTSNMMISNYLDTLRTVAFEDLDEDAVATGQSAAKALAIQAQVADLLQ AFLTTDNFISNALERAEGFNHIMEFTVARVLSTLFSLLNKSVRDIIEYNSQHVDFPLD PEQVESFIAKKLLLALVWSLTGDCPLGDRKSFGDCLAGLATFGNPIVGDNSSLIDFDV TLPKAEWSSWQNSVPSIEVNTHSITQTDVVIPTLDTVRHEDVLYSWLAEHKPLLLCGP PGSGKTMTLFSALRKLPNMEVVGLNFSSATTPDLLIKTFEQYCEYKKTLNGVILSPTQ IGRWLVLFCDEINLPAPDKYGTQRAISFLRQLVEQNGFWRTSDKTWVTLDRIQFVGAC NPPTDAGRTPMGARFLRHAPLIMVDYPGELSLQQIYGTFTSAVLKIIPSLRGYAESLT KSMVKFYLESQQRFTPKIQPHYVYSPRELTRWVRGIYEAIRPLETLSVEGLVRIWAHE ALRLFQDRLVAEDERKWTNDAVNRIALEFFPTIEEDKALGGPILFSNWLSKNYVPVDR EQLRDFVKARLKTFCEEEVDVPLILFNDVLEHVLRIDRVFRQPQGHLILIGVSGSGKT TLSRFVAWMNGLKVFQIKVHGKYSAEDFDDDLRDVLRRSGCKGEKICFIMDESNVLDS GFLERMNTLLANAEVPGLFEGDELASLMTACKEGAQRQGLLLDSQEELYKWFTQQIVK NLHVVFTMNPPEDGLSSKAATSPALFNRCVLNWFGDWSDQALFQVGTELTQSVDLDRP NFSAPDSIPVAYRDLNLPASHRETVVNSMVYIHYSLQRFNIKLLQQQNRVTFLTPRHF LDFVAQYVKLYNEKREDLEEQQRHLNVGLEKLRDTVDKVRDLRISLAEKKGQLERKDA EANEKLQRMVADQREAEQRKTTSLEIQAALEKQEAEVAERRELVLNDLARAEPAVIEA QKSVSNIKRQHLTEVRSMGNPPQGVKLALDSVCTLLGHKVDSWKTVQAIVRKDDFIAS IVNYDNEKQMTRNLRIKMRNEYLSNDDFTYEKVNRASKACGPLVQWVEAQVNYSEILD RVGPLREEVGMLEEQALQTKAEAQAVENTINALEQSIATYKTEYAALISETQAIKTEM SRVQFKVDRSVRLLDSLSSERTRWEEGSKSFETQIGTLVGDVLVAAAFLAYSGLYDQQ FRKNMMDGWLHQLQLSGISFKQHNPVTEYLSTADERLGWQENSLPVDDLCTENAIILK RFNRYPLIIDPSGRVTEFLQKQSKDRRLTVTSFLDDSFTKQLESSLRFGNPILIQDAE YLDPVLNHVLNKEYQKTGGRVLIQLGKQEIDFSPAFKIYLSTRDPSATFAPDICSRTT FVNFTVTQSSLQTQSLNDVLKSERPDVDERRSNLIKLQGEFKVHLRQLEKRLLQALNE SRGNILDDDNVIETLETLKKEAAEISVKMSNTEGVMAEVDEITLQYNIIARSCSAVFA VLEQLHYLNHFYQFSLQYFLDIFHSVLHNNKRLSTETNHNVRRDIIIEDLFVTAYQRT SLSLLQKDRITLAMLLAQAAPYKMDKSLIDIILDETIEGTDVSTESHKKDEVIARASR LPVLKGKLDSISADAWDRFLFEEIGENHVPQVWGPETEKRDQEILSLLLVKLFRLDRF VPAAERFVTAVFGSGLLDTTEDLKQTVDQVSASSPIALCSSPGFDASYKVDNLVERSR ASCTNIAMGSNEGLASADKAISNAAANGSWVLVKNVHLAPTWLQSLEKRMDSLKPHAN FRLFLSMESSPKIPVNLLRASRVLMYEQPAGVRANMKDSMASLSTRSTKNPVERTRLY LLLSFLHAVVQERLRYAPSLGWKGFWEFNDSDYECSAFIIDTWVETVAMGRTNVAPAN LPWDMIRTLIVETYGGKIDDEGDFKRLTQLVNSFLTPSAYDIGHKLVEGIAGNGGSDI DGGLVVPSGTSLKDFTDWIQKLPEREPPTYLGLPANAEKLLLVGQGMSMIQNLGKITE LLDEGEQLMAEAA LY89DRAFT_82571 MAITGSMADPSWWLLPSFDLSHLGRPVGPSRGSLWCLEKVRGCM ASIIRNTWHASEHQQKITMLRVFFYRDHLCISDACYAHAMPTSGMLDRLRGRVCSPIK FESLRCLNGQSTQVDFGSILFQPAKLTPVQYSISIHLPGLPVEVSLSLAFLVTCYFLI ASTAKKDPEQNLLLARDPFRPLPARSNSRPSWVTA LY89DRAFT_586573 MGVTKTILQEGSGPSPRVDDTVTIEYTGYLKDTSKPDNKGNKFD SSAGRGAFQTQIGVGRVIKGWDEGVVTMKLGEKATLDITSDYAYGARGFPGHIPPNAD LIFDVELQKIN LY89DRAFT_685381 MAATADVDMETKMQVDESVVGNNEIDESLYSRQLYVLGHEAMKR MGASNVLIVGLRGLGVEIAKNIALAGVKSLTLYDPVPTEIADLSSQFFLHPEDVGKPR AAVTAPRVGELNAYTPISIHESKSLTSDLSQFDKYQVVVLTNTPIKDQIVIGDYLHKK GIYLVVADTFGLFGSIFCDFGEKFTVIDPTGEAALSGIVAGIDEEGLVSALDETRHGL EDGDFVTFTELQGMEALNNAEPRKVTVKGPYTFSIGDVSGLGQYKRGGMYTQVKMPTF IDFKPISAALKTPEFLISDYAKFDRPQQLHIGFQALHAFAEQHGRLPRPQDKDDAAIV YGSAKAFAAQEKLDVEIDEKLLAELSYQAMGDLSPMAAFFGGLAAQETLKAVSGKFTP INQWMYFDSLESLPQNSPRSEELCKPLGSRYDGQIAVFGREYQEKLANVKQFLVGAGA IGCEMLKNWAMIGLATGPKGKISVTDMDSIEKSNLNRQFLFRPKDVGQLKSECAAAAV QAMNPDLKGHIETLRDRVGADTEHIFNETFWKGLDGVTNALDNVDARTYVDQRCVFFQ KPLLESGTLGTKGNTQVVIPRMTESYSSSRDPPEQSFPMCTLRSFPNNINHTIAWARE LFESSFVKPAETVNLYLSQPNYLETTLKQGGNEKGTLETIRDYLVEDKPLGVEDCIKW ARLQFEKQYNNAIQQLLYNFPKDSTSSSGQPFWSGPKRAPDPLKFEPKNEYHWTFIIA GANLHAFNYGINTKGMDDAVVQKVLDDMIIPDFSPNSAVKIQADDNEPDPNANTSSFD DGEELKQIADKLPSPKQLAGFKLTPVEFEKDDDTNYHIDFITAASNLRAENYKIELAD RHKTKFIAGKIIPAIATTTALVTGLVILEFYKVVDGKDDIEQYKNGFVNLALPFFGFS EPIASPKATYMSKEGEVAIDKVWDRFEIEDITLQELIDFFEKKGLNITMLSSGVSLLY ASFFGPAKLKDRYAMKLSELVEFISKKPVPSHQKEVIFEVCVEDQTGEDVEVPFVKVN VR LY89DRAFT_617572 MLLRAKQIGGHLNRHSITATATRRFSTSNIGKMPEDKITSWVAP NDKTGEFKRGQSQFRNWIKKGGEFPPEKGRYHLYVSYACPWAHRTLIVRQLKGLEDII LFTSVHWHMQQKGWRFATSTDNDAPGENVTPDPIKGHEGYEYLRDIYFQVDPEYKGRF TVPTLYDVKQGKIVSNESSEIIRMFYTEFDDIIDPKYKNVHLFPSHLQSEIEATNQWT YDDINNGVYKSGFATTQDAYEKAVTTLFTSLDRAEKHLSSVTDGPFYFGKEITEADVR LYTTIIRFDVVYVQHFKTNVRDIRTGYPYLHKWLRNLYWNMPAFGETTEWSHIKKHYT KSHTQINQFSITPVGPEPPILREDEEVPAVAFALKNAGKK LY89DRAFT_719115 MRSATVLDRFEFRTAVLSTNEAIAQARSTRRLCGCEGPHRTAHE TFWECRICSHTSCQKHPLNTELRGIRQSYLSTAAPPRRTVTSSKDKQWKYLTDRQRDQ IDAETKQLLRDLNARIRMLSDAELVRQNTEQTIRKKKYARLGLGSLGKWAAGGIGQSK TLEEQLDEAKANAISMHRENVPDDNSTLGVVSRTRSGRLAFPQIKYKTIVERDDAQEY ESAKKACEEVINALVIRTMVEGCPVCKKYPRYRPFTDARRRENRDLNNIKPF LY89DRAFT_82673 MDVRRYTRSPSSDQDLVPEKVQTGRLNADPSHHIKSHPSQASYH ISKKQILFYLNNGGDDLLKKSPKVPFPRRCLATRYRFMNCQGGFTRDEHRTERTQPMI PCSSESLNSFIHHIIQSSFHISLHPRNLNPENNSHLPEESHHRPISALRCAFHHMHSA SYRTSCNTPTEPLNHNHCTSIS LY89DRAFT_734487 MHTPSLFVASVAVLSTLTSAEPDRPKFYYPRQIKRQVFHNSTFS TVPAKSTSQESLTSSSSSSSSSTKRSTTSSLSDFLGSITGTSTNQDTTSSGSSSSSSS SAGSSAGTTGASNGDSFLSSLLGLSTSSTSSVDGVTTVIISQTTVVAPGSTAASSTTE AAAATSVGSTGAVPETIIQPTVSSTSDGSASSQVSSAASTDSVSESSESSETVASVAS TASVPDVVVQPTVSTSDDSSSSSVASTGSVPAVVVSPTASTASAASVASTGSIPSIVV SPTASTASSDVSSATSSSSGSAITFGPGGVLGSSTTSTDPVTSSAASLSSGVSFTGTG GSTAPTGTSTDSDAGISFTGSGGSTAAASTTASGVSFTGTGASTAPASTSTDQGFLPS LLSSILSGVSSDVSSIVASTTSADPATTTDSGTSASVSASTATSASDSILPASTASGT DSAVTSASVTDSSAPSSTSATGILPSLLSTLTSDLSSVISSLTPTSTADSSPASSPVI ASTASTASSDVSSLPNTTSETGVDSSAPSSTSGVSGPASTGVTVTPTTSAVVVSTPPS STSTTDLGSIISSIFGSSSTGLSVPPSSSTDVGTPTSSVSIVVPTTTPSSVSTDITSL PPTSSDTATVVPPPPTSNTTIVSATSPPTTVPTSDVSPTSTPTVISATTLGNSTAVSS PTGTASVSLTSLSASTALSGSSASSATQTASNGTVIASTTPGSTAPTSSGLVTLTSSA ATTTAPETIVPTGTNSATSMWLPSTIIAASSPTLPIGASSTSIQTGVPSNLPKVISNP NGTDAPPETSLVQVGFLYPLNYDFVVGNPLSSAQIFQYLPQGVADGLGLKPNQVVMRS LIPLDTTEQLGFITTLAQMYIPSNMVSTLGLDLHIPTAAIYNNPDQSVNTLVNYINPA IPLTGGSILGSSGSTGTGSSSAATSTSASSGGNNNVFDPQSGTTSSKVSGTTAGIALA AVGGSAAYGVAMFLVARRYKRRKTSHRRSSSVMNPSEMRSSGSPALMGGALMSGGRST PGNDRNSRGSGRTGNSARTAQISAPMMAENSLGWN LY89DRAFT_669906 MDSPNTPGLPPMYTEGPLYGEENGSTPTGQPSNGSHIRLLTSVD EPESRPYVPQVSIPRPKQVHLHQAQMSTPKHPASLKEEEEAISTCKLQKSLEEDITRL LPTIPDGPSRRRSIRKPVKSPLSKLEKRLKDKSSLHQSPNSPYTARRSYQPSVSSIQH SRPASIIDSAPDMPPPETTYVPYSPSGRVSPARSWSPSRTSSEYNRVPPPPLQFEPVD LDGEPRPGTPSSRYGGSPRRPLPPAPLFSGPGAAARNSVFADDATISIPLENDIGDGD DVFGPASTLKSGARHSLKTRESYMSNDTLTDENSEEYEHYGPAPDGKQERRGARQAQM AKKEVKLINGELILECKIPTILYSFLPRRDQIEFTHMRYTAVTCDPDDFVSKGYKLRQ NIGTTARETELFICITMYNENEIDFTRTMHAVMKNISHFCSRSKSRTWGENGWQKIVV CIVADGRQKVHPRTLDALAATGVYQDGIAKNLVNQKEVQAHVYEYTTQVSLDSDLKFK GAEKGIVPCQMIFCMKEKNAKKLNSHRWFFNAFGRALTPNICILLDVGTKPGGNSLYH LWKAFDTDSNVAGACGEIKAMKGKYGTGLLNPLVASQNFEYKMSNILDKPLESVFGYI TVLPGALSAYRYHALQNDHTGHGPLSQYFKGETLHGQNADVFTANMYLAEDRILCWEL VAKRDEKWVLKYVKSCTGETDVPDTVPEFISQRRRWLNGAFFAAVYSLVHFRQIWQTD HTIGRKVLLHIEFVYQFINLLFTYFSLANFYLTFYFVAGSLSDKQVDPFGHGLGTIFF VILRYTCILLICTQFILSMGNRPQGAKRLYLTSMILYGIIMAYTTFACIYIIIHQLTE KNKDVTMGNNIFTNLIVSTASTVGLYFLMSFLYLDPWHMFTSSGQYFMLLPSYICTLQ VYAFCNTHDISWGTKGDNVIHTDLGAAMGHGKGTTVELEMPSEQLDIDSGYDEALRNL RDRIEVQPQMTSEAQQQEDYYKSVRTYMVLFWLISNAILAMAVSEAYGATAVGNNFYL KFILWSVASVALFRAIGSMAFGVLNAIEKVVEGRIRLRMKVPGWMGGVGEKLRDGVSS FGSSRS LY89DRAFT_82751 MGATLKILLPSLLVLALCLTPLFTPPHVQLQLQQLFSALYIPSQ PNIPSNPESNTNPYEIKTHTLSTSPRVIYIENFITPLEAAELVSLSHSNFRPSPLYSD SGTRSQDSSYRSSLTATLPSSPIVKTVEQRARSLPFFAPSVKIKPLVVQKYGLGKEYK DHYDWFLPNPTLSGNIPSTIFVYISANRTGGGTNFPRLFLSPSSSAASSSTSSSGGEM KEDEERWCEFIDCDRPSHSGTTFLPRVGNAVFWQNIDSKGTGIPTTLHAGLPVTSGEK MGMNIWSWVDY LY89DRAFT_685388 MARRGLSFLVVVLSLCSFVMSSHLIQLDEENFESCVERTEFLIV SCEPCQDLIPELESAAESLSNRNIYLSEIDCTKDAKICSRYGVQSYPTIRIFRGLERT PARYRARQKAKQIVSHMIKQTLPLISEITTENIEEFRDFDTPFLIAYLDPSDTESLAL FIQTAESPLHENFIFGTATNRSLFPSEESKERFIVLWNPLDEVPALYDGDFDVEKITK FAEEALESPLIPQFGMQKFAEYAQSGLPLALIFALTQHERTSLAQTFKPIVIKNKGKM NFATIDAVKLPFLAKPLGLDGKRWPAFVVHDIEDDETFVFDQEREIEGVGVEEFLERF WGKMEGKKERVRETEMSDAHDEL LY89DRAFT_707665 MAAPPPPPPQWVLDLNSPPAPKSKNSGIPDPPGFTGTSSGSKAS FTALTATPFPNSAVRAPPTTREMDVLKLKKAWEVALAPVKQLPMTAIMMYMSGNSLQI FSIMMVVMAFKNPVVGLMATQQAFERFETEGTRPKLVLVKAVYVAMQILALALGVWKV NGMGLLPTTRSDWLAWETARDPLEVAIPAF LY89DRAFT_82815 MPSSTMFLPHYVPPSGPGSPYSPYWRSPQGKVAELRLELNSGGK KDKNHAAKKIALKKIVANMTMSNNDMVALFPDIVGCMAIQSLEIKKMCFLFLVNYARM KPDVALKALPTLQEDMTDSNPLVRALALRTMSYIHVREFVEATVPPTKQLLRDSDPYV RKTAAFCVAKLYDHDRQLVESSDLIDRLNSMLRDDNPTVVASALASLMDIWERSDAIK LTIDYGNASKMVQILPDCSEWGQTYILEALMSYVPQESSEALLLAERISPRLSHSNSA VVLTCIRVILYLMNYIADQKQISILCRKLSPPLVTLLAKGPEVQYLALRNALLILQRR PEVLRNDIRVFFCKYNDPIYVKVTKLELIFMLATENNIEEVLTELREYATEIDVHFVR KSVRAIGKLAIKIEPAARRCINTLLELVATKVTYIVQEATVVIRNIFRKYPNQYESII STLCENLDSLDEPEAKAAMIWVIGQYASRIENSDVLLEDFLYSFADEPVEVQLALLTA TVKLFIQRPTKGQELVPKVLKWATEDTDNPDLRDRGYMYWRLLSSDMATAKAIVMGEK PPITAESEKLDPATLEEMCLNVGTLATVYLKPVQQVFRSARPRKLADSPALQKHLLPT ANGLDSQKSLSSFGMEGQPTVRPSNNGDLAAAVDAADEFFAGVGSNQMAAMHVNGGDD GFGGSPTVGRGEMQYVVNQNAPEQVYQPTMGGGSNGDLLML LY89DRAFT_719120 MSLLKRPPRQRKGGLTFSSAPQKRQRSASPIPIPTKRNRLSPID STETTIQTRVLVISDTHGVSALPQILSHDLKIDVVLHCGDLSECGTLEDTEDGKKLHD EAREIWTSASVKEAGIELLAEGIHEFALDNGARLRIYASPYTINSQRVTEWGFGYGSG ENRFNSEGEGISYGKAVGTEESVLNGDKEVDVIMTHGPARYRLDLSSGSESLGCPHLF RAMRRIRPKLHVFGHVHNAYGAEIVRWEDNKHLPEDDHVDDGIQAKTKTEGFVEDGVK RIGISARATGAETVFVNAALMGRDGVLENVPWLVDVGLEPV LY89DRAFT_82981 MDTPTTNQSEQAAEREAGVVPDAGEGTSKPQVDGKASNTSEKVP KTKGKKCKDPQKKSKAKSKSKKEKEVLISDSDDSDSTSDSDDDSDSDSDLSESEEESE TETEKEKRKRKKKAKKARQKAKDKRKEKKKSKKRKEVSSDSDTDSDSDPATEEEELSE IEDDDDPHAVEDAQLQLQLMQMQQLRQQQQLGQGGLNTGLTYGRRNQLGGAALARMQA ARNAKQLAALGLDGKRGKKDKRSGKKKLASKLDYHRVDQLWDSTIHNYKLTDTAEDEE SSEYDQYLFNVRRTFDWEGKYKTTVVDIKSKLLKEALNEVMDGVKGVSLVEETPCIDP NLLFLYLEDLREMCKELKNKKITVKKGKKKAKKRQETKRKHLKVLLKYLDKDYASIKK TLYPMLESGIITFDLLWALYKPNTLAYTTTYGSVDEPRAFKIELAEKEFSFMKGEWYN IEGRYLEYDGKTWGMGTMDCDVPGFKGARKITSLNCYPLKYHKNEAKLRADLITRGKK FVSLQGVNYMSHEGMAYYKKRKQIIKVNINGRIMVDPAIHRRILPNYNVSTVKPKDPD LLDDSDDDSEDGCGCGNDSSDDEEQHHHFEQRESGDKEDDDEPKTRMKVIKDDKDQPR IVEVPVDENGQEIVVEKLEEVPSKKVTEGAENSTSEDDKKELPTFTDEEYLIASPVVL GFAFAEKLWLEFTVSGVKDIVWNEGAYDSLVLEDNTKAIVKALVESHKYHPAESIDDV IQGKGKGLVAVLHGPPGTGKTLTAEGISELLKCPLYMVSAGELGTDPRTLEGELQKIL DIAHAWGAVLLLDEADVFLEKRTIQDIHRNALVSIFLRLLEYFQGILFLTTNRVETFD DAFQSRIHIALRYGELSVKAKKSVFKMFIERVRVLEGVATMPFTEEDYNNLAKNNLNG RQIKNTVRTAQALAVNNKEPLSMEHIKRVLDVSNAFDRDLKGGPGFEDAMRGYF LY89DRAFT_82978 MSISTAGLPLPFFTITITSCHNHLNNQHLPPESEVRQGISTTCI FYIHFVYHTNPTPQRSNSGGTRHPRPHVPLQLQKSRLVTAKDIECY LY89DRAFT_749006 MFAARRALTGVAQARAFSASARDLSKVTILGAAGGIGQPLSLLC KLNPRVTELALYDIRLAPGVAADVSHINTKSKVTGYDPTPSGLAAALKGAEIVLIPAG VPRKPGMTRDDLFNTNASIVRDLAKAVAESAPDANILVISNPVNSTVPIVAEILKAKG VYNPKRLFGVTTLDVVRASRFVSEIKNTDPRDENITVVGGHSGVTIVPLFSQSSHPDL VGDATLLNRVQFGGDEVVKAKDGAGSATLSMAMAGARFAESLLKAAQGEKGVVEPTFV DSPLYKDQGIDFFASKVELGPNGVEKIHDVGKLTAEEQKLLDACLEDLKKNIDKGVAF VASNPGK LY89DRAFT_734495 MCTFSKVLLAITVFSWTPIVTAILPLTDRVLGVVQQRPLCPARP ASPEFQRAASTEFISEIFFEPNGVTESFDNFISPDYIQHSPFLLSGCNNTLSVLLSAS TNVNITILQIMFDSPYGMVHYRFQAPNSTAIAFMDLWRFNGTCIEEHWDVTETFPENA TNPIALF LY89DRAFT_586714 MSHSPRKQTSSSYRRQSKVCARCKKRKTKCDLNFPACTPCKKAG LRCQGYSSSGNEEVPRSLVRSLEDQIARLESQVEKINQSPKNLPILFGTKIARASLSA IVTSPRPFFHTTFSSTLFLHPSCPPLPVARTKNPQSRAKPSSIPAPPRSQTSPTNLST VPYAAIDQMVRNYTNIHLPQYPCVSESWLHEILARVLKERSGDTDYVLIYGIPPESQL THFEYFVVFIILAISSLTLTWRAESQAMAASDSFFESALKHLNLMKEVDEIQTLQISL LLAHYAHMNPERVDNWICISNACRVVLDMGLYRSPTATFTHAQAQLRRQLFWVTYGME RSLCGILRLPLSFPEESITVELDSTHYDNVTDEVLKESSANHIYLYRGLETEVHRVLH LQQEVTQLQQRTIDQWMIDINTRLESWCQKAQGFSVHQMLEFRDVQYAHLKAKLHRPT PRLRIRTAEDRQICLEACQILVDDYQKQVKHRRLFYPWHGVHILFEAAVIMLDACWES RDWSPLRQPARFALSVTLPDCLSLLAKVGERWHEAALCADYLRPVVEEVGKAFHDRIM NDAALNAEKEGHTTGKLRQLLFPDGPLAWNARTSEFSSGEFLAGDLNHSGLPPLPDLP DLQWDGNWDLGPG LY89DRAFT_734497 MPLDSGRALLPTCNRCRTRRIKCDGTLPACANCAKINFSCQFVD SVLQETIPRSYIKNLYDRIDELNSLLSMQKSQETAKDGFPDRIVLPGEGLFGHHTIPS RNGQSHYLGPSPPALSAFSVVSSLVSTNTVLDPPTILSETDDIHDIPDVSKTDRSMIS PNVVRILLAHYDRCISPAYPVISSTFTADAETALKRPQDPAKFRVLIASGIAATHKSY HDTRWTVIARLCRHWAGELAASIIELRDAESVEVLILLLVYELADPERCIAFELLDFA TRLCLELGWHRIEKIDGLEDISEEEVDNNRDNLSDCDKRRLMSVLRSADRQLRLIFQR PSMLSGCQTSTTLESMMVFNTYIEIVSAISCLPSAGSCPVSGYLLSLLAMLNDFPQED PLVSECWLLLLPVCVAHSRSNIYCTQTCNIDISILQSKVLQAATSMLNAVHPVVSSSE AFIPPFIAASKAFSAGCALITGIKGNWESAENITGSLLKCSEILTFSASLWQGGKDYY EVWRKIVAVV LY89DRAFT_83234 MDPTTLLFQATILSGVCCIGVVVYLRYFYVDFGRIEGIPEIPGG SSVAGHLYMLGQDHATTAESWAISNTWPVYQIRFGYRRAIILNSFDAAREWIVTKQTS TIDRPWLYTFHGVVSKTSATIGTNPWDERTKKQRRVVGSYTTAPAIRKLEPMLDVETS QMISGLYEDGKHGIRAISPHIYQKRLALNIILMFCYSRRFAAIDDPLLLGILSDANTI SSFRSTNSNAQDYIPYLRHFGGGKRTVEATEVRGRRDRWLAGLLEKARDSVRLGKAKK CVAQGLLVDKEEGLTKQDIRTILGGLMSGGFETVFATAIIGIAFLASPAGEAAQKSAH ADITSNYSTPQEAFERAALEEKSPYIAAFVREVLRFYPPLHLLPPRQTYQEFEYHGSK IPKGVLVYMNAQAINHDKDKYGPDADQFRPERWLDKESGYEVPPPYHFSYGAGARMCT AVNFSNRILYAIFLRLIVSFEIIGSKEDPPETHYIDYNRNTTAASAIPKDFKARFVPR DVGILEECLRKSQETEVDLAG LY89DRAFT_617596 MPQFTLHTSTLFNAKQKKFIKDISVTVDSDTGLVTKVYSRNDAE IPFPDTLPGGDIDLRGKFVMPGFVDAHTHIFLHSYDEASAVQQKRDESMAERIIRAVN HCRKALLAGYTTYRDLGSESMQESDANVRDAIARGLIPGPRLFVATRVLASSGSYESR TENSMGGHCLPAGADAVDGVEEVRKAVRRRIAAGADVIKFFADYRRRIMRSPPAQQHP YISSVLHPPKEPNPDYVVFSQEEMNMIVSEAKLARCPVAAHCCTLEGAMAAIKAGVQT IEHVYGVTDEMFSAMKETACIMVPTLAIAEKIHSAKFTAILAQTKRAHELGVRLACGG DTGTFSHGDNAREMELMAEAGIPIEDVLEACTVGGWESCGGDLCGRRFGWLEEGTQAD IIALETDPREDKNALRKIDFVLKDARVWKQEGEAIGMI LY89DRAFT_617599 MAHLLPQNLFDVSAKVVLITGAGSGIGRMLAKGYAVNGAQTILV DINLEAVLETKESSREAAKSVEVDAEIHTIQGDLSRKEGVDAVVQEVLKNFTSLDVII HCAAYRHMNPITFQHGESLEQLETATNSASWESWDHAFQLNVLAPYFLTAGLIKLLGE SAKKGDGSGSVILFSSPASVHNHQFVPAYQTSKAAVDHLVRILAAEFADFYIRVNAIS PGLVPSGMSDVNDATSNIHLAKDSPARRSGSEEDMVGVAVWLSSRAGAFMDGKVVRID GGRLLVLKGVISNHD LY89DRAFT_685397 MSKTKKNVVFDVVGTCVSYDAFFNAIDERLGPKLRAEGIKPKLL GFAWMEAAEREYTYLSISGGYKSFWEVFQPLFYRMLWMAGIEEPRKFATDEDSLYMVE AYKKLDARPGLKECFEKLRGAGFKVWALTSGDTSRVRGYFERNGVDMPAENFTSCDSL GVGKPDPSVYAEVLKKFEGAEKPWFAAAHTWDAGAARRNGFRAAWCSVWEKEQCVDIF GDMDVTADSLPEMADKIIAAST LY89DRAFT_685398 MTHLEDPFPVPDATLPFWRTQLHELDNHRSTKDLPTECDVLIIG AGYSSVTTAYHLLDNNPSPPSVVVLEAREACSGATGRNGGHIKPDLYFNILRYSQKYG PENAAEFAKFEAANVLAVKDLVEKEGIDCDYHLTRAVDVYLDPAHAKQTEASYRELQK TGLATLSDVQFVTGENAESLSGVKGAKACFSFTAAHVWPYKLVMHLLSLIVKKGVNLQ TTTPVISVSETPNSDGTWTVTTPRGSIRARKVVFASNGYTAGIAPQFAHKIVPVRGIC SRIVTPAGKDSPFLPYTYSIRYGPSLYDYLIPRADGSIVVGGAKQEFWHERKYWYGNT DDSKLIEPAKSYFDGLMQRHFKGWADSGAVTDRVWTGIMGWSSDFMPYVGEVPNKPGQ LILAGFSGHGMPLICLASKGVAEMLKGEKFEDTGIPKLFKPSMERLTSEKNEILDSLA KPAPPSKL LY89DRAFT_719130 MTSSKSPYTISAKTQEVVDDYRKYVAGGFAPYPVALTRVLGSQA WDIDEKQYIDFLSMYSVVNMGHNHPKILAAAIKAMSEGAVINLPFHSPYYGQLAEKLH QMFGYDKFVAMTSGAEAADAAVKIARKWGYLTKKIPEGKCHILTAAACYHGVTISTVS LASKKSHLFGPFVPSVGSTSPSGKVVAFGMINDLREALELDGERIAAFMIEPIQGSAG IIVPPKGYLKEVAELCKKHNVLFVCDEVQTGLGRTGADLCHLREGVRPDLVVLGKALA GGMYALSGVLGDDNTMSLIDPFEIGSTMAANPVGCSAAIAALDVLVDEQLSSRANEMG ALLVSTLSAADLPHVKEITGHGLFYALVLDDKPPKVTPRRIVSLLAQRGVLASAAGLK RIRICPPLTISKEELLKGVEILIGVFKDIEDMGELPAEVLVDVRH LY89DRAFT_685400 MPVKDLTEEPPSEISQALLVEARIYESFRDLPHPHILQYKGCVV RDGRIVALALPKLPETLGARIEDETRPIDGVKVYEEVSAGLRYLHSLGLAHNDIAPSN IMLREDDTAVIIDFGSCAKEGEATSGTVSVGWSLNGPKSEKRKDKHALEVLKKYLEDP LHRDPFHQYEEI LY89DRAFT_83687 MVERWKRSKEVGGGRRCGGRRWCCSTTNHDGRHAGSDRRLGARE CQVILTSHYWPDALTRSPVPPLDRASRPRSREQQPDPLFTSRLRPKQLIDFQKGRRAA RSLGRHFHRCCALRSLSLLSFVLLLLALLILHSAWRSLHCVASHLEQPASHLCIWQTA LVQRLEGEARPQQFVTARVRDAAFDHHAARCIFVIGPGSSGTQGLS LY89DRAFT_749018 MTPEHHSKRPIILDDSYASPETAALHEEAYEGALVAREDSPKGL LSMSAYGKAQPPHLHGYDSSRTYPETFQYAAPNFGSQSSGPASTTAPVFQNAQLTMAY PSNQVRPTYEDQAGPYLNVGSTPMPEVTSYTPSRGSRDTKVYVYINSPYELLTANIPS FFLVFGNRKCPATLQKLAQQGNQYQYNVSAEAPQFGTTGWPSSPVPICMFMESGDGDV MGKADVGDFTFLDSGVQSGNTTPQDISRKRKISTDSAELIKSPAKRSSTQQLRPKEEY SGGYGYASGDASSTYSPYMQSTNSYRNLDNHQYARSTDNYQPHLAYGYSTSGTAAPPT LKAQSPQVGNWNSYATVGSNMSRGEGVPSNVGLPRPTLSSLPSPAISANPPLIRTSTL QQTPSPATTPHGHPGQHFNAYALYPHKAKLEINGDLDAMAQHWSEEEFESRRRLVHFR RSQAGSAITTTFQPVSVDERPPNSTCISCIYWEEKQECFVTSVDTIYLLEQLVAARFT VEEKNRIRRNLEGFRPLTVSKGKSDSEEFFKVIMAFPAPKPRNIEKDVKVFHWKDLAS ALKKIIGKYSASPSSTLPPALLTPVSSTGYATEGSSAGMSYVSDHHGAVSPRSISGST TSTAYTSSIPARALSPHNQKSLGLQSGPPDLRVSLPHNPHEASSHWQGGQHHMQTSQS YQHPQLSNSSSRNSWDMSTYLENNPATAAGTSAPPQALNYSSARSAADSAGSGADNRL ARSLSSQQQSQQMPRT LY89DRAFT_585961 MHSYAVLAAVVAPLVLAAPAPFPNPVAAPAPAPIPAPIPQGVEI NPITGLLGSLIEGTLTLGSLSSAVPAVISDLGNLLDAAGAVTQAIENGTLLGTDTPAV VQKLFQAVQPTSTPTSVQDAMNKAAAAFGVSSASQTPAPEQDILANVLTLVLDGFTSS DIQAVAAGTSPFTNSYNNVNRPVPLLKIFYNTVIGNAPFNVPEAQLRAAMYIPSTFTW GKKQPVLMSPGTGATGGINFETNIGKLLAQESFADPVYLNIPQELLSDAQVNAEYVSY ALQYLYALTSKKPAIVTWSQGSLDAQWAFKYWPSVPKIVTDHIAISPDYHGTVLAYIL CPGFAAGDSIACVPSVIQQDYNSNFVTKLRSNGGDSAYVPTTTVYSLTDEIVQPQEGT AASGYLNDARNVGVSNTFLQGACLAEPAGGLYTHEGVLYNPVAYALVVDALQNSGPGS FSRVEGQCGALVAPGLSLSDVIATEALTPLAVLNIFSYLPKVFVEPAIMSYATY LY89DRAFT_734507 MPEPVITLISGLATIVTNVWKVSKDLHDLIEGIRNAPQHVRVIM DDVHGLTIVLGALQGLLPNVDASRFPTDLVPIFESLQLNLDNCFSILMELSRKLIRYT NAAGEISKSRWMAFRWQFTEKGVNEFRSHLAAYKMTVQLAISTANFANTTQNIDINAR IETEMKEMRVQVNEMSFNQDLLDISDAGDHGSVITQDTDRGFALRRFLMTTESVFSDS PPGSPGSTNDTKQSVSSQSTTLEGQPLETNRDLESSYKRRNDDFGNVSSTNSGVWKTG PNMPERIGLGRIKASSGWSYQTSLSQDNARRNPLESQHAQSRPDPTYQSQTSVEPRFV DSAFPMSSKFTNRGPDSLNCLPQQQTGRYGRGTSDLGRASPAPYGEYSNEPVATEHSP ERLLEETSSLQHPSESCLGLTKPIPLSNEHVGIDDYEPGSSRGSPEQVLEVPQAACLG VPEELSNPSDSSKLVTKFSRRDQLGLYRRIEDCMTALYRSHLGKEGEATFTYEYDNND AESDMSSSGRPQYSAQSSRNSETPRELRPIHATLSPAKESDPASISSYDESPAGNSKD HHSSIEEDLSLTAKSNVPNLNVEEDRIQHHDNLTLLSHRRLPGREPFNLSCWLRF LY89DRAFT_749024 MLRRTVSAGLTSRLPLIAERPFLSTADLGHPDCQPVLDEPSDQD SKADGELEHVKYSIPCQHNACQTCRRRRVKCDLTEPVCERCLKAKIPCRGYSKDLKFV DEKGRAQKRVQIKHEAYLQSIQADAEKIRASRKLELRCSSEAVPPELSLVAFQEHVQM SHMDSKLFEGAKILAPWTTARYDGEDSYTATQTMRALGSVYYGRMYRHKESLDQSMIY YSKAIRLLASDLQDPKSVYEASSLNNILSLCIFEMMASPSGQGLIGHQFGIERLVEAW TPEKFRQQPEQMILDNCRLAMSYVHMDVRKRSFLEQPKWLNVPWSKEPESKTLFARLC DKICPLPGLLEDMENLRLGGDVSPKLLPSLCENIQVKIHDLYIWRAAWEKENGACCVT FQSRDPRIPFSALLQFKTLQQAFEISLYDTILLTLFRMGRVLMGPNFAPVASATTSLS RTNIALLRPSDSKTVQDIAREILRIVEYAISDPHQSAGCFQMLFPLRAALEVFKPGSK EWDYLSKCFDDIADKGGFEMSRGVMPSGLCGRFLMDEYINT LY89DRAFT_685403 MSSPTTKTVAIFGATGGTGLAALKLALKAGHTVRVLARTPSKLS SLSTEYPNLYIVQGDIRETSAIKATLTINDRIADIIISAVGMVIEMQGLKWGSKDPHI CEEGTKCILSSLASLENEDKVPGPEGGPRIVLLSTTGISDRGRDIPIGMIPLYHWMLS TPHNDKKKMEECMISGEGKGRSWVMVRPSFLTDGKSKGLEKVRVSTEVTEEGGKEDEP AIGYFISREDVALWIVEECVNKDGKKWEGKTVTLTH LY89DRAFT_669928 MNYLPSNPLASSKKAGPAEGSEQPTEAQKHAQYDALSPEQKKKQ TYTEWVTEAYNIQYERWMPWIEDQYLYWFGKGDNKASYVAKGIKQVDQIQDDVHNLVG NQLGENGLLAPVGKLVSTEGINRAERQGRDDQGSYGGAAAGYTDPMIKGGKSAGEGVV GGVAEGGKVVGSGAQSVGSGVVGGVKGAGGFVGGMFGGKKEVKEDVK LY89DRAFT_586538 MAEISASDEKVAATHVPDAALPESHHPEKMSVGRYAATRLTTLK PPMNQAPNPFRLLAMLSGKQWLFFLVGFLAWTWDAFDFFTVSLTVSDLAKTFDKTNTQ ITWGITLVLMFRSVGSILFGLAADRYGRKWPFVVNNILFIVLELGTGFCQTYKQFLAC RALFGVAMGGLYGNAAATALEDCPEEARGIVSGMLQQGYAFGYLLATAFARGLVNTTS HGWRPLYWFGACPPVLIIIFRLCLPETEAYNERVAVRNENGNIGKTFIAEGKVALKRH WLLLIYLVLLMAGFNFMSHGSQDLYPTMLTNQYNFSANAVTVTQVVANLGAMTGGTVI GYCSQIFGRRFSIIFISIIGGALLYPYTYTSSKAVIAAAFFEQFCVQGAWGVIPIHLM ELSPGSFRTFVVGTSYQLGNLVSSASSTIESTIGSRFPLPPTSKGVKRYQYGKVMCIF MGCVYVYVIILTFVGPEYLRRSFEVHQDSDIAEAAGHDTIEAAMRKIHRREAMGMGVD ASDREDMENIEKGRAKL LY89DRAFT_697586 MEEEGTARRGSASTVQSYQLYTPDEERAVVKKFDRKLVLFVALL YMLSFLDRSNIGNAKIAGLDIDLDLDSNRFEWCIWGFYLAYICFEWMSVLWKIVPAHI YVTVIVLSWGIIASLQSVATSFAGLLVLRILLGIGEAGFTGIPFYLSFFFKREELALR TGYFISAAPLATTFASTLAWGIIKLGRHGPIAAWRLLFLVEGFPSVLVAVIAWRTIPD SPSTASYLTSREKKVARLRLRKEKEGRSTTQTSSHGLNAKEMLATITDPKSYLTAFMF FFTNMAFSSMPVFLPTIIHEMGHSVLVSQALSAPPYLLSFIIVILTAYLSDRLQNRWC FVLFHSLLSAFGYAFIAFAGYFNLGTWWRYAGLYPAAIGFFSVITIIITWTINNQESE SKQGTGFAMLQLIGQCGPLVGTRLYPERDAPLYLRGMASNAGAMCLVALLCGILRIYL GRLNRRTEREYGGVVGEAGMGLVDGGVEKHGRAFEYML LY89DRAFT_734515 MAQSTTGGDPASVPFDSSSSSSQPHSGLPVEMSELDASKRTGAG SQALLNDVSIPTSSPLDSSFPTSSSMSNPATENARPDEQSNAAISTSQASANPLSSST NDASTNTTAVAASEPASSTIPSITRLDSVAIGPSTEHTPPPAISEAGPVLVITLLLTS GARHPYKIDEKYLTKRNVNVPGVTESGRKDPFSISVYTLKELILREWREEWEAQPSSP SSIRLIYFGRLLDDKTPLKECKFNAESANVVHMTVRPQDIVDEEDATKGKSAGRDRGD GESTAGCRCVIL LY89DRAFT_697588 MAMRIAPPSSHSTTHSHLTSASAPSAPGLHDTLRHGIGPSTSTS TQSIPDSAHPLESRLKAWEATQESLKMEGLRRTFGISEPIRRGMELKITREGEWRPAV LGGGLESSVHEDILRGTDAMCQWEDVFKGDETRSVPGFHEEVERKVRMQ LY89DRAFT_647007 MRKPTTTARLSFPLSSVRRYSTANSRPPIISIKNGTFYRHHPNS TIPPALNPPLFKNVTFELASFSSKPEYWSIIGPSSAGKTTFLQILRGKYLCIPPTARS YPYLQSDKIEAKDHRFRNPDAAIKQVGFDGEQGLGAAAPQSAYLSARYESRREDTDFS VLDYLQGNTQLNLSSMDIDGKTIDGKVLERVVRDLRLGELIDMPVSNLSNGQTRRARI ARALLGGPEVLLLDEPFMGLDPPTLLSLSPMLNGLAEKSEPRLVLALRPQDPIPEWIT HLVYLKGNCEVAFQGRKEEVLGELREYVESVERGGEVDSSMPLGSMHEVGRMLTSDGI LEPSDARSVSIPVSKTSTGPKEQGKLSRDGYEMHDAHEPEIGEPLVEMEGARVAYGTK AVLGNWKQKAPPNEGLRDGLWWTVKRGERWGIFGTNGSGKTTLLSLICSNHPQTYSLP IRLFGRSRLPEPGKPGISIFDLQSRIGHSSPEIHGHIPRSLSLRQVLENAWSDTFRGV PKLDQDAHERIDACLRWFEQDLRQDASKNPTTGKPEWADQLVFGGLPFSSQRVALFLR AVIKQPDLVILDEAFSGMDEGVRDRCLLFLAHGESRQFSNGRIVETEGEVGVGGLTKE QALLCISHVREEIPGSVREWICLPEASSGEPARFGRLKGPIEGEYRRWGEIWGM LY89DRAFT_83859 MDPLSAIGLASSIVQFVQFGLTVAARLQEFSQTEAIPQSLRSIS TQLPLLLNALTRIQSSSSLSSLDFDTKCILKGMIAGCMEQVQTVEKMVNEITPRDGES LKQRVKKVFTSLKYDERVWGVERNLQTYVQVLILHHVIEEEVAGERVGVESFFDVREG RVKEFVPREKTIGELEEGLRDVVWGKTKNPTILMVSGEKGTGKTQLVLEYVHQAHELG HFRTVFWIDASSLETLNLGFESIYATIKRSTDGSRQEKIRAVKEFLNDLWHPWLLVLD NYESVTLYNEIMDFLPGQGYGGIILVTHNPSASGLGTVLRVPKFVTAKEQASLDSLLT QEVQRKNVDGVKNLVEQGASPDSLIWGEWPVLHRCALFGLYDAVNFLLAHDANPNPPN VNIGKPITWASSSGSLAVIETLLDHEDKIGLYTTVSDNQRAFNTAASDGHLAIMKTLL LRREINLGSKNQYNETVLQNACKKGHFEIVQFLLEDGAVLMSDHIQGGQALLAAASGN FLEIVKLLCGEGKVNPNTQDEQGTTPLCYAAKSRDGSTYTENGCPEIIDVLLAAKIED QGQRKMWLERGMRYAVRVDDRATVLKLLKGGAAVDAVEATGNPRGASPLLLAVLQGHV KMAQFLIRQKARQDIADEKGRLPLPVAAEMGYELLVRDLIKAGGDKDLKSGENEDTLL MLAVKGGHEGVVRVLLERGADREEGNKFGDVAMDVAEEKKDKKILALLEDWIET LY89DRAFT_782682 MKFTNFLGLASLPALVHSFAATDSIQEVDPAQSGYLPNHNIDPN IVNSTQFGLLWKNTFNVNEVFYAKQLVYTPTDYFFSKGYKGSAVGPLGTLQGQYKFYA VHIPTLTDVTGFPIIIDGNNAVNDPTRYFLGGTSLQRPALTTVGNVIVAGFGGHCDNF NYTGMLVSVTKTTGATQIYAMEASPGAPSPQPLLYTTQSGGKAGIWQTGMGMPTVGNQ VYFVTGNGEGAANGGVPASGRLPLSTSINYFEPYEYFSLNGGDRDFGSSGSAMLDPKT FSGTGVDRIIVAGGKSGKIYIMNADNLGGFAQGPGGSDNVIQTILAGSTMFSGVGSYP LEGGYIYFSPTASKLYAYQFGQGSNGQPLFTLAGSSVGTSAGVGVPVSVFPPSLLSME SQVQVWFNDPNQGLVAYRAVPVSGTLIKFTTIPALGGLTKYTRPVFGNGRVYVSGGAS IYGLGVVSTATPVTCSAVSFGSVIDGQTLTAEVTCTAKSAITLNYCTTSLASFVCQNS SLPTTVTSGASFTFPVTFSPTQPASKPGLLSSVLLLNYATSTSTTDASIQLTGTLGVT QGNLVVSAPQLDFGGIVLGGSTTSVTETVTLTNTGAISLSFTGFAWQDTYVSGMPSNT ITVQTTTIVGNGFTAIGFPAVGASLAAGASISIPVTFSATAVGSWGSLLTFTTGSGAA DVLLTGTAGNPPVAALSISDDNGGRLSGLSMAFGNVQSGQDLQRQIRFCNTGASALAI TQSTPPTGVDLSALDPLLDLRQGSTIDVGACAYGNVQLISPSIQPNNPSISLSAQWLI NTNGWSVGLQTISITATIVSREVGPMTGSTGTYQYMGCYLDSTARNLNVETAIANSSI EACMSYCGSFNPPYAFAGTEYHTQCWCGNRIKYPSSLSPETDCNFACVGDPSESCGGT TGNLGYIYIYYDTNLVNPATVLGTSTATVVNSVTSKGLTVSTSSMSIRSSSSQLSSKS SSFLSSASAVFLSSATSVPLASTKAPSSSIVGTSSSITLPYSSSIVTASTISSSNTLI SSLMPSSTVLPLSSSLSSSLSSSFSSFVSSSVASISSNTASTIQTSSFSSSIGSSSQS QSLSSSSLIFLPSSSSSSLLLSISSSIPASSTLSSLISSSTLSPSLSSSISALLLPSS SVVLSSSFSSSFTSTMTSSIAIPTQSFNPLEPAVIGNFSYNGCYMDLVDGVTRVLPDS ILVDAALTLEMCASNCASYSYFGTEYEIECYCGYTLSPSLRATESDCNAPCGGNSSEI CGTGARLSTYRNKNYIPAPPPPSHIVLAPIDFEWLSCYTEATSSRTLTGTSFASNEVT VEACTTFCAGYLYAGVEFGRECYCGDSFSAGAIPAVITDCSFLCYGNSSEYCGGRNRL DMYQFNPALGSMPSSTGGFGVADIPYTASSSVVQSFVILVRTADTGVFNLIQIIGGIF ISSDALINSLIVEHIQTGIIFDSKTFVELCFVLVIKSVIIFCYSSLFFKAHNSINGLH IEIIFNGITHISSSTTHAVVSGGTNAQYTHLGCFQDITKGHVLPLLFSNNSVTPELCE AYISSLAHKPTPTILPYFMVEYHNQCYGGTALSFYQTSATSLYGKHACTDVCAGSIGA QSTGSAMCGGRKQFNLYATGPAVGFTAAATKSV LY89DRAFT_734520 MATLVSFSIADERQPGPNFPLFNSEIKPFEADLTNPTTPPYNSP LTDHHTWIHEAQQRFLEGKENECYYICSLMLVQPKLSDLNAAAALCLQGTAYEYDPRN ARNRIYHSIARALGIYKMVGNRIGARVGVEKMNGIALTVRMAARGRVGDKGAKRKELL KVVTGWMQ LY89DRAFT_734521 MDPTSPKRQAKRTHVPGIAIEGHYGMMVNPRGANARDSAYAYTT SPPPNHVPPKPEPEPRKNLTYMSLTGISGVAEKMTASPSPLRIVGANGPSLSFGELYK GIAKERKIEEKDVGDLITVRESQMRELVREHNEMRERCKELERMLERAQKKR LY89DRAFT_782684 MPAVTDSSATASMSSKENKNSVKVLDELMAKLSVSKAQDEINAA THNLAVFINSPIEENDAPTKTVESLQKQLANKKDANVRERAVNAIQAIAEHSDTSSSV EPYLVVLLPSVLAAVGDKAVPVKNAALAAALSIIKAVNANAVKIVLPPIINSILTAQK WQEKICGLDCIEALAKSSPTQLAIRVPDLIPVISESMWDTKPEVKKRAYGTMEKLCSL IVNKDIERFIPELIKCISKPENVPETVHLLGATTFVTDVHEPTLAIMVPLLDRGLSER ETAIKRKSAVIVDNMCKLVEDPQIVAGFLPKLMPALTKNYENLADPEAREKTKQALDT LTRVGDVKDGKIPEVSHAGDVATVLPILKEIIESKNKAALKFEPILNYISAVAGQLID EKDSDQTSWTQNLKPYIAAIIGEAGAQDVVDNLRRRASPGAEAEDAEEADDDVGEDLC NCTFNLAYGAKILLNQTHLRLKRGQRYGLCGPNGSGKSTLMRAINNEQVEGFPKKDEV KTVFVEHDLDSADTELTTIVWTMKKLEEVGITTSQADVEKQLGEFGFTPDMTSGAITA LSGGWKMKLALARAVFEDPDILLLDEPTNHLDVKNVKWLEDYLMASPCTSIIVSHDTG FLNNVCQNIINYERFKLKRYKGNVREFVKKCPSAKSYFELGASEIEFKFPEPGFLEGV KTKAKAIIRVNKMSFQYPGTPKPQIQDISFQCSLGSRIAVIGPNGAGKSTLINVLTGE LIPTSGDVYTHENIRIAYIKQHAFAHIDHHLEKTPSEYIQWRFQTGEDRETMDRANKI VTDEDEKAMDKIYKIEGSQRRVIGIHSRRKFKNSYEYECSFALGENVGMKNERWTPMM TADNAWIPRSEILVSHQKMVAEVDQKEALASGQFRPLVRKEIEAHCANFGLDAELVSH SRMLGLSGGQRVKVVLAACSWQRPHLIVLDEPTNYLDRDSLGALSKAIKSFEGGVIII THSSEFTKDLTEEVWAVMDGRMTPSGHNWVQGQGAGPRLKQDGDEEEEKFDAMGNKIV STKKAKKLTSAELRKKKKDRMARRKRGEEVFSDEDD LY89DRAFT_782686 MSQPFTPPRLDQKIGFGFILGDQHLRTRPGPSATAFDEAEDTDE ATERKKKAAAKVKKAVAKSKKHVVKVKKARVPRVRVKKSITTKKKTTAPKRKASTKVA TKVPDKKRKAPSGVEDGPRKKAKK LY89DRAFT_734525 MLVCYFGKTIPVRLVLAAPIFTLIGGGSRVFCPIILATLADTSP YSKRTQLFYFVALSEDLANIVAPPIASMTLARNVWLPFFSSTVIFIPIIILIWTLRTL KNKTVTVLNTPLDTDDADAEVSRPLLPLLEDHEDAWQRIRRNIWGEVFCMFWDRDVSI LLLCIFGLRFAFESEGFFAQYASERFCLRYDQTSWFSWAQSLAALLSIGFGLPMLTYY CRRRYYLQRFIDFYIIVLCLIILSCGFFSVWRVTSSIGFGIATFLCGLGEGAEAGIQG LASSYVTFAQHSRLFTTMNNVEMVARVIGGPVTAHIFHKGRKPDGTPTGIAFLISSIN QLVFFTTLLALVWIRLVDEGDERGRREEIEEGDSERREEADT LY89DRAFT_707683 MPRSVWSAVVYPALIFTVALLAMRNGINSSLASIIDRYRPDLTS YEKFYRNTHQDPELSGVEANTAAVVASHLEGLGLVVHSNIGGHGVVGLLENGLGRTVL IRAELDALPRHEQTNLSYASTKIMTDRYGNERPVMHACGHDMNMAALLGATALLHGAR EHWIGTLVVVFQPDEEEKGGAEAMVEDGLYSMIPVPNIMLAQHVTPLNSGSVAIASGP VLMAADAVDVRVFGEPCPGINPQLCQDPIAIAMRIVQSIQDLVKKEFGEEEATVACWG FHAGIPGADFVFYADFLLDIKTVKPKVRDEVLVFVKKSIEAQCKEMDCPKDPLFTSKV RAPLTTNAESAVKPIREAFSSYFASNLLPMELQRVTEDFSALQGSFKIPYAYWNFGGT SSDEDIAYNHSPFYAPALDPTLKSGIDAMALATLASFGSEGHAP LY89DRAFT_782688 MDLDLTSNHFPPEEAAAFLRQRLSSFVDTPEYIRTSVLPAERFG RLPLAMTQITALIDRWEMTVQEFLAHYEKQMPIESVVKAKPDFMQDYYYKHSLFTVCA FESLGLESNVLLKIMSFLNPDRIQESLFTDELPKDPIPKFPVDESTYLKARTDLIKVS LVKPMKEDKQIMLHRLVQDVVQAHMSSSDAYVVFPFTTTLLLRAWPTPFLQFEHNMAT WQRSEELLQHILKISYAYQKYTSWDVSPTTHRHVAQLLLFAGWYLFERSEFFAAQPLL LQALTICRKYVEEMQDLLADNLFLLSALSVQINDNLEKNLQYAEDHFQVRIKLCDGSQ FSEDRLATAHGELGQVLMLVGRYEESIEHCKIAIEITKRSPRFIGGDDLPIRSHCHQA YAMSALGRNDEAIKSLEGTLKYCNEHRESEVGDFTLGIVYRCLSYLQLQQSRPLEATT AAQLALRHFTGTVGKNSRYTSQVCGNLGAYHCNRSQYDTAQIYLEQALSGFKAHEWYG AELARTYFRLSVLHKLEGDDTGSSNHLAQAIRLYSKEGPSYEDGKILSAEDFDRIIAL PHR LY89DRAFT_749086 MAAQEGNTLEPATAPTLPVPPIVNSSTSGVTCKSILLHAPQQAT AASTAMLPRKQFADLINVYFNRSKDEFLISGEHYIPLAHFLDPQGFPARKRSLESRIE RPVVFVHVNNEKEPKTRVLKYDAFGGSAIFSNLAPPDLHSSHIVFMTGWQTGEWLRVL GAQCHIDPELFRRHLSFLDNVDFFDLPPLPSKCFSIWRLRVTTICNRQVELSRQAVKQ GRDTDLEVVKRYQNELNASGVAGSSIIRRYAVHNEAVFTLEQDISISVHRKKNGGWLG MVWLDIGSSLEDGPSGPWNNHNNSPRAATSAFLPRVQQKPNAALYPPDLEDLIYEQPR NLDRIESVIGRHSQSATLLPMQYGLSLDRDTMWQDALYAFSDLLNFVASSENQFINYM EEQVKAASRVFRGQEEWSLETLKYSKALLDEHISNIERTISYLEIEGEPDFPRAMTSP GLGKSQATRKMLMGDFKYLLAHTKSASAAAREGMAIILEDVMLRDARKQIGQAREVGR LSLLAYFFLPLSLVTSIFGMNFVTFSDWKAAVGTSLAVYIAVMVISLALAFWDHMPWK RS LY89DRAFT_734529 MYSLLASANRGIGLNLAKAFAALSWDVTGSVRPQTKTDPSVKEL EATGAKILEIEFLVESSIIRATKEYGDKPIDVLLNVGGLSPNPKPWQEQTSDLFMEKF QTMAVGRYLTTKHFLPNLEKSPSARVVNVSSTFGSVTDNTFGTCMAYRVAKASLNQVM VTFAREFENEGQNITVVCVEPGFLPTRLTGYDFVDDMDTSIASLMKVIQELKKEDSGS FIEWSGKRLRF LY89DRAFT_83922 MKMAGPIRVNPPWNPLWNARAQIPPALIRRPWLRGSELLIDTGR NHWVVTPTQLRREWPELYRSLRASGNRVAIQPSKPWYEFRSLEDYSYDSAAWENIMAM MATRGNALLAPYITNPPHLDLLLNEMLQHRFNPIAQAYLYDATIPLLGRVSELLEKNG GFWPPSNIVFQLIQKCQALVPSVARLGPGYIYDLIVCIDRISGQDHQTLINTLSMIND IQDLALLGQLCDEQALAKVYGGEGSTLAIRIGSLIYDLSWDAYAVDRGHV LY89DRAFT_585928 MAENIQESITQEVKVTAEEDAVSTTEIISINDSKKSTWELLSQY RAAILWSAFMGLGAINWGMDVLLSNGVISVPSFQKDFGYMFQNAYIISASWQIAFNTA SSIGGFFGAIGSGYLADRMGKRITLAVGCVVSIGAVFIQIFAGQPGTLLAGKLINGLS LGCFLTIPSSFAAEICPVEVRGLTTSGVQLFIGIGQLAANLILKGTGTLDSTLAYKIP FALQFIFPVLLLLGLPFCPESPWFLVRKRRTNYATEVLQKLGYAAPLQTLGKISKTIT TEEQKANSTSYLDCFRGSDLRRTEIAMGIFSVAQLSGVVFVVGYSSYFFEQAGLSAST SFSMSVGVSVLGLVGVVCSWFLINRTGRRSATLVGMSILTVLLFLIAILDILPATRGG NTGPVYGQVACIIAFAFIYLSTIGPIGFALFAEISSSRLRSRTVGLGIVVQNLFGVLM NIVVPLLINPDAANLKGKIGFIFGGTALVSVVWVYFRIPETAHRSFEELDYLFERRVS ARKFKDVVVG LY89DRAFT_685411 MAHVAVRYIQSLDIPNHPSPVFPVQPEPFFEDEESNECGRALEN AVWGGYVTDFWIVRSDLRFSKMDSWAVLSVCVHNWVNFKFFPPDLRVVLPEQRQRIRF SENFQENAIASYWPVPVTFMENILQTDYWDTQVAQFTTQAFKPDRIFGHIIVRGATSK PEDESYLIALGPKYDDWVTESGKRVSTMEKIDYRTMDDIRYGKLIPADKQWRPIFDQT EAHAQKIQDAIDQQDRISNARKRRLSGAQGQATTKKQNTGTASPAGSTAGPPSSAGSS SRSRSASPSGSQSPLGC LY89DRAFT_697593 MSNGFLKVEGEKVVDGKGNAIVLRGAGLGGWMNMENFITGYPGH EHQHRAAMLKVLGKEKQEFFFDKFLEYFFMEDDAKFFASLGLNCIRLPFNYRHFEDDM NPRVLKESGFKHLDRVIDLCAKHQIYTILDLHALPGGQSPGWHCDSGSSYAAFWDHKD HQDRVIWLWEQIATHYKDNTWVAGYNPINEPCDPEHWRLPAFYERFEPIIREIDPNHI LWMDGNTFAAEWKTFDHVLPNSAYSLHDYSQMGFPTGERFKGTPEQLAKLEQQFLRKA SIMQQHKVVAWNGEFGPVYSDPRVDADAEEINQERYNLLGAQLNVYDKYQIPWSIWLY KDIGVQGMVYTDPEGPWMKKIQPFLEKKKKYQLDAWGKYPSKEIDDLFAPLANFIDIA CPEATNTYPTTWPTRTHLARNVIETFMAQAFSMEFANLFKGMSMEQLDEVLQSFKYSH CKQRSGLNKIMSDHAALRRKGASG LY89DRAFT_719156 MASGTQGSRHPVKNTNLNSRLLTGIKLGTKLKSSGTEVESEDCC LPFAFEEGTRGWLASSPRARLFQLLFFANETNKTYDFQQEEINFIRSTPVVAAMAKVK YALEKLPKRGLKQKEGDVVHMQDGVLIHERTDKNGSKVVKLYGFSSYSQKKKKKIKEK KVKKMEDTDEDQDEDQDEEMEAVEEDSDEITKEADEEDREYPNEELRERGKKLARKLK RLNKKQAAVEAEQHAIARLKKMDPKDKTITSRQINLDEQIQDCKKREAKMEMNRKVLS IDIQNVLFEERRATIKQEESQTLEAKAAEAKAAEAKQAKEVREAEEAKKAEEAKKAEE EKQAEAREAARNNFKQQRPQTSSRASSNYGQRNRCVLRTDVSEYRNVHSNYSRPSHNR QSGGDLARLASRLSIEPQPQDWRHGLRGLHAKNGGELHNAKCMFMS LY89DRAFT_685413 MSSGSEPSGTQSSYASRFEQPAADQQPSGPDQVADSQLLADSQA WLEAHASNSVGPGVPQGSGSQHPSNSGQLADSQPPADSQRQSEVKPFSKEDTDRLVAL SRRQKASEVQERPESQTSTLRERESFVVIESLGELVSLAEQHPKNGLPFRHLVDINKC LNKPSNLLRYHINFVLRRTTTYYPPFGTANNRVRANLKPMEDNLKDLPNPQIDTAWQE ARLIAQIERYHQRKIDAPRFLAECIKAGVTDYILFVEGKMIVYMGAKGERYEEHFPDA ETPNPETPDPARKRRKTNSNSKCTVN LY89DRAFT_719158 MSVTGGLDGATTNVTSRTAPTGAEVLTVAVIGDYGWTGWIPSPD KFCFDVLPRLQAAGITVPNEVVNDCDPGDKQYITNATALQMDTSAYVGQICAMKNCSA FLSVGDNFYDSGVDFTTSGLLRFQAAWVDMYTQGVFKYAPWYQCLGNHDIVPGQPGVD FQTKVAPMYDDRWYFNTSLYYNPYTKACHNDTQTQIDFLSNTFAASNATWKFLQMHHP YRSAATNETDLGPLIEIVIQHQGIVMNGHDHCMGHFFSNNTNFILSGAAGYPQAGDCN NGTAPGPYALYLGANNLTGE LY89DRAFT_707686 MWQIVMTFEISLNSLRTSQGLSRDPKTPSYPAPLIIQPLLTRKQ TLIILHGRGSTASKFSPPLLTTSSSSSGSTLQSSFPHAKVIFPTASLSRATIYKRSCT HQWFNNWHLEEYTKRQGMMVDGLRARENVVLWGLSQGCATALTALLTWDGPALGAVVG MCGYLPFGNVGERIGKGSKDQEPDPKKQAVTFLREELDMNVDAGETFLNIPVFLGHGA EDEKVSVNLGGEARRCLDLLGVEVEMIEYEGLGPWYSENMLRDIFQFLNTKLRSEKKS LY89DRAFT_749097 MSGSLKDKYISLECLRSEVEDLRDKHKEVAKLQKRYFSSNPGDG DASDNMKVHGLFSWDIPQDPTNQEHLDQAILQLNYRLRMMDVGQQYVDSLKVGRPDNL TIHDFDKDDFHHAHLGRPTDHPRNVKYRAISDYFCENNVYRNLFPSLTSRHPFIPYGK GADYLHAALFCTNVEEPEWKRRLARLVEDVGVQN LY89DRAFT_782694 MPRPQSRPVFVIVPGGSQNPGHYGYLFHLLQSHGYPTLSALLPS TGTGENVSVQDDVDYVLKRMLLPILDTEKHDVILVTHSYSGLPGSAAAMGLSKADRAA KGKSTSILGQISIAAIITPGGDGKDVVDTFGGQLPPHLSTDEAAGLLTCEDPGPPLYG DVSPQVVQDAAVLSTMCPSLASFKTPCPRASWDS LY89DRAFT_782695 MSTITQQTEIDGDGKTRKKVGLWATIRVIRAGQDLGTLHDIRLA LQFCMNECDFQRQLTFSRTRQQRLPKNAAKGGFYVSKSSCLYLKFPVREDQDREAQLD EMNRDMLINLGDTLNEELASLAESGFRIMMVDSRVMITNGLPIPDKRSVRSVLRMGPK AFPSAYTEEVAGAYGWSRGYAHMGLKSLWTEDGYGEIEDTMFRTFDRQVGNAAKQVPV SEEVYWTPEEKTKVDTFHETKVQGKIRHWPLPITMSENQDGIVRTQYAKSGGDWVVDR DMHADGIEEQRVTEQQFVDAMKRGRTKPEELREDTRAVAEDTESEWRPESTLFGYETA GRAGPSRGGRNSNIRDHEIG LY89DRAFT_734539 MDTEQSKESGQEESNDLSNQLAESATSTEGRVSTYPSTGFSRKR AIAEDDGGISKKAKMVKENRDEETDSEAESVDSDLFELDKYEHAIDAYPSIEDIESAS DEPWVRTERGSWRYIQHVHGLTNGDLTFENLLRVSKDRPGEAVLKVGDKLVMPGFSNG SYITKTVEIVGGWAQRPLPVPQN LY89DRAFT_669958 MISYDIEPQPHAILPTQSVPRNLLTANTFFKEITSTFLCPTTTS EQYLFWNYYHRLDTMDSHQNTSTRGAKHKTAIDEEIVTPPSNKSNTTGQASGQGSSVN AAITGTTRSDSRRKVGKRSGVEDSNQATKKARTIKGVRREVDRDSEELDLPIAGVTGT NSSLHYTNYSYMFPGQPRPQAQRNPSFQITIPSGDHKELDGRHPPIIFPNANCGAAIR SPSAIWEAARTVLTQQARQLGGSDAAEASKQKLWDNIAVYRDNVWLGNLNDIRLAFQF SLNESFYKTQLDFKRIRTRKLSSKSQEAGFYLVNSSGLYLKVSDNKVAELNKDLLIDL GKDLNDELATLTMDGHKTVMVDLRTMNTDGLPIPDGCPIVKDVLAKGPQAFPTEFTRT LAGCCGWTNGHAHMGIAALCSVKKGDPKPELGVFEYLY LY89DRAFT_84022 MSGFEIFGVVAALPGIIDLCIKYGEKIEKKVKLFMTATEHSRLQ AFVLELCTGGINDILLFFRSVHSQLDKAFQLVLHDSITVLNNALIKVYEAFPEDSFAD KAKVGAKLKFAFFDAKRIEEAVTAMELWQDRFSKRAEIHLRYIFYPKYGIHAVPSKQA SDFDSATLLTPAAQAMDLQDDDRQQLFNRLKRIDASRRRVTGPLLIKEPSATTYKQLK DSHLWVGADKDSSLGLVEYRSYKAADAPYVKTLQNTVRNVATKLREADDSSMHILPCR GFSDDVFEKRFVLHFSYPAKKSNPRSLRNLLADPGNVRGKKHSLSDRLQLAQSIATAV LYVHSCGFVHKNLRPENIIIFDEDLGTTSSTYPYAIGQPYLVGYDGVRQEDAPTLMLE VTSWQERIYLPAERLKDKEHRMKFSWRHDVYSLGVVLLEIALWENFTSQSGPFHKALN GAEDPGSVLRQIWLKQLPRVMGNKYAEAVTACFDMLRSSDGGEEIEDEDGIGMGTTFI SRVLDRLEDIRL LY89DRAFT_719163 MSGSIGIIDNWCHTLAEASEEQEPSVTTPNLQTLAQPDFQLLGT AAEFVRVLKSLSVAGPLNLTNHLMPHLFIGEGGQFSVFRSNITARNDTALWKIGAPVA VKKCKIVMETNQVIDLTGEGTRKQVHAMLLEVLVLRHTKLRSHRNIVRLLGWAKEGGF DGMPLLVMELATEGDLMGFLNGPRGDSWNLKHHLCLDMAAGLDAIHDVGIIHADFKPE NILVFPNASDDVPLIAKLSDFGYSSTEANTHSESKIYITALTKGWQAPEIILTTPPIS ITTDSYKKADNYSLGLVVWSVCCFRGQAPPACQDDTALSTALEALERLSTLSGMLEET FSAALEQLLQYHPTQRTKQENQEAGTFSSETPGVTQIKEDTHPLRMDPIQQYFWAGIN KSFEAFGSRMTGGELFSLFLENTWGDIHAGTITLDSYSIQQLLLSAERGFVPAQAVVD RVFQSYEIDWPSDKIVHRASWLFEGAAIGCPLAYNDLYASDQTAAKRARTQFYQRGGY QQYYYSDETTSLWRTWRYESFRPGTSLGIEKDDDKISETSHPLQFFDPTHDHPLNKHE LLYLSCLAGDSRAVIELCKAGANTSIIGEPGGATCLHWLLTFPDEDMEDIASLLMQSG NINAELGVKVPVAKDTFPFAWPPGTPLHWAVASSSSRAVNILLKNGADCCYRNGIDPY MYDMDVRYFERDGEDESQGMYSAPTGKCLGMSPVDLAVCSRDVLVLSEFLALQGRPNL QIFEADEEGYTPFHRLEYNHIGRTANQHRFASAAFDGSRTARRGSTSKVISILKQLGG NIDQLTNASPHNERRNDRPGSLTPLMLAVRWIDLDTVTALLENAANVNVRNELGFNVL SQLPESGDYNYCFRDLPSIVGTLLKHDVEVAAKGAFRDYTPLANAILCGSIDVMELLL AAGADPTEKSKKFNVMAWWVNHTPLWGYMLPWTRKMSWRQRGLRMAKILTQYVFSRDT DDVRQVLHHVDAFGSGLLHYAVASGHPEVVKAVLAAGGDKEAHRTVLASPIDRSLNSA SWVNKMGTGTALEVCSRMKDVCIQMSTKGHEAVSPAEYKFMVKVYDEIKEILVGDSR LY89DRAFT_782699 MAVNSNRELSMSQEGDEDPIKGSWTALHVEIRLMIWRLAYAAQT PRLVEVQTLQHNHYDYPHAWYPRYSPSPPPTIVNVCREARDEARREAQKANHLLFATT PDAFDIYFNPAIDTLYVPNDKTYWIRDWGPEGVLTQFKKHHQPELLRFLAIELDPLSR ATTHHTLRTDLKGFTSLEDIVFVVKEPSTEIFGWVEGLSRALRIYGQGIRGRGQRTYP EECKLAIKRGGLLELIHHRRDQ LY89DRAFT_749117 MGDPGPEQPECIIKTDLSQYARRRATDGPYADNLDLDVLIVGAG FSGVYMLYEMRKYGYKTVLYDAGLGYGGTWRWNCYPGARVDSPVPIYQLNIPEVYNTF SFTTNYPDWRELQSYFDHVDKVCNLSKDTAFETVVTSAEYDEKAAKWTVKTADGRTVK TRFFIVAAGFAAKRYIPQYKSMDKFKGVVHHSSFWPPEDVDVKGKRVAVVGTGASGVQ IAQEWGPIADHLTLFQRTPNLALPMGKRPMSKEEQDALRPAYSQMMDLRERCFAGFLY DFNEKNTFDDNEEEREALFEKLWKQQGFALWLGGYKDYLFDMKANREAYNFWAKKQRQ RIKNPAKRDLLCPLEPPHAFGIKRPCLEQNYYEVLDRDNVDIVDISLNGGNEIVEFTE NGMKTSDGKVHEVDVVALATGFDITTGGMTSMGLKSIHGTYLKDEWKASANTYLGTTI SGYPNLFHLYGPHGPTLLSNGPSSLEIQARWIRDAIVLIDRQGLKSIEATPEAMKEWK QRINDISNATLMPTTKSTYMGGTVPGKAFEQVNYAGGVGQYREEIRKVLDDWKGFKTI PITA LY89DRAFT_749126 ELQLSCSVKTLKRRCKEAGYYSCICCQKPYLTKTQANARWLWGI AHMFWTIWEWSQILYSDEVTFQVGGKKCKQRCIRNKKERCHPDCIQFQMHRGGTIPVH FFGAVGYGYKSPLINIHGTRKSGAFTQTDYLAQFMEDGNSAHGHKTTSNICATWRTSM GITLFPHPAVSPDMNPIEKCWRRIKQALHRRLRQPTTEVQMVVAVLEEWDKIPQEWIN GLIEQQDFWVHDLIKRCGWSTAN LY89DRAFT_734547 MGPRENSPYFKYKNGLDPQLIRLGSLTLGYANPRLEEPYNHADI LEAESSKLMTIDDREQCFILISNKMSGSSLGGLNKGPNSIVIGLCQSQLFSVTTAPEL QSALSHILSLITKARNGYPHMDMIVFPEYMLHGLSMCTEDSILCSLDGPEVSALKLRC AEAKIWGCFSIMERNTLLPSAPWNTGIVINSSGELVNYYRKMHPWVPVEPWYPGNQGI PVFKGPRGVPMAHIICHDGQFPEMAHEAAYKGAEILLRTAGYTSPIKNSWEITNRSNA FCNLMWTCSVALAGSDGTFNSMGEAMFCNPEGEIVRKGDGVADEIFACGIDIRDVGEK RQHWGVENNLYQFGHRGYTAVEGGAGDCPYSYMQDLVAGKYKQVEEEKVLVTDGTSCG FEKPTAAYTVD LY89DRAFT_685416 MPFDYEAYQKKCNVMTAEQLQKEWENYTRQISAGATGTATSVLL MPYTAGISLVGLGVSAPRIHNARKKMEIIEAGLQARGASPHTRKRDVLAAVGVSGAVS GLTLGIVPPGTADLAIGAAVHHGLEYASTQAALGGSGAVLVHTHDEYVRKKAAEDEIK LQQTMDHLNLDGALAESSVYNPMLHTSHTWPMDSASIADTVKTGPVATPFVDEDSVLF QPTFDHVAQSQSLPNPQPIPNSISHDGEHVPLTEAQLDMLRRMELLQFEMEKRRAGFQ EPAAEPSFQQASQEHGLTSPAQVDGQGLGILGLNHLHSSPILAPQLSNHLAQPEQLDL LSSPPHFARPNLYPRSESLPVNLSAIQDRPRDDQEEQLPSYQPQYIAPMSQVETSSQS NNYTLSTKPPLPPRNHTQDSGYHSLTSTPSMTNSTLQSTYPTQNFLSTQVSSPQPYAS PSDLARKPMPSTMDSGLHRHSHVTKRHRASEPSISSPSASVPPAPSRLGSIPPPPPYT HTPPPSVVVTADRCEVSKDYFSLQNSGDASSSPRPSLAKKKSQAILKGVNQGWQWAKA LPIPGDFKAKENIEPDYGPSPEIPAAWRGA LY89DRAFT_586491 MPCCELSGSKGLAVDGPDAGEGIYQVLRDDGDHQKIRILTLLPS SDKKTQIKCSLEIVSLKDHASYTALSYTWGDWVDRREIFIDGHPLLITANLHEALKRF RKSQDSIKLWVDAICINQKDLPERDSQVRLMREIFCQAEQTWLWLGIEADASEKALNL IERLSTIY LY89DRAFT_586667 MAQQPPPQPSSSTTENPIVAVGRKVKSILSSHQRPSVRILRESS STSPHRRSQAQPSAAHSTSYNAPPIETSFGDAQDRTSARKRSFFGREKGFTDDNSYEN EYDQDTVDLLDVMVSTLSTLTNVQNSLFVPSLGKLINRRPTYRISERPEEARTIDKLK NLLKNIPHALKEDDEGSDEAKPEGQQPSMPWKRTIPAPPILFNAQYAILPDGERLEGW TNEEKEELDDRVRHMLHSRRAKFKRSLKGFRQYVRRPFGLFITVYATLITLFGAAWVL FLIGWISVGSKKDYVVNVVDNVLVALFAIIGDGLAPFRAVDTYHMAFIAHYHRKTLKK RKKLGLPELADHNDLPDQRKENVKPAEFDLESLVARRMPRRLARRIAPRIPKKYAQRM IARSKIDDPAYEYSVLTEEQQAKLEYHERKFSKSHTFYKPHETETHYAFPFKLLIAVV VLLDFHSALQITLGACTWGIPYETRPFALTTVVLCCSITCNIMGGVLISMGDKRTRKK DVIERMMVQQLTSEAIEEMETRRLKELEERGVLDPAVRKRLEDQKEEAENEEVKKSWK SVPSLPKKLLGKGEDHRQPSLPQEARRSSADVDSPTSPTKKSKSNSNVGLPSLQEDAS QPSRPKPALMRGEEPPKQSTGQKVMGFIRKADMDRS LY89DRAFT_782704 MSYDALPQTPKMYPPSAQDVTPGFTIRKQIEEEKLSRTNYALKS RIRILRFIARVTATALAIPTLILETLTTVNFYSTRNTIRDGRGPWAKQTSLWPSIMLL AASGVTVLLGFMILAAYLWGSIRHANKVNTLQTSVTVVVELAHLGLWIAVSVLYREGK TGKDLWGWACSGTADSIQKNFNGVVDFGQVCNRGTANWILSIANAGFTIFNLCIFYFV LKRRSYKKVQEQLAERRLLGTDF LY89DRAFT_719170 MSQYSYAPLSERLDSIRLLRLKPNENEQAEIECELFECFLQVSG RLTHHYEALSYVWGDPKATVSIRIDEHYLKLTENLHKALGQLRDPLIIQLMARIYGPA SCVIVWLGVAADDSDRAIEAIRTVASNKSNISLNDKPLHEVTLKLLQRPWFRRIWVLE EVGAARHVLIRCGSTEIDGFAFCLGVEPFMKFFAAYPAVQSLIDSVTYLIKGATFRPK YSTSEISQGICPLGELLDKYHTHEATMLHDKVYALLGMSSDSLSRSSLSPNYGIPWED LLKRLIKFLLGEKVDVETWKDTEMAIFKSKGCILGQVKSIRRASTFDDRQEVNIKFTK RTVQLRWKDREACWMLHVSAKSLRKDLDLKGEGIPVSKLLRLVTNFSRDFLLVWNWKN SLGELQNASEYERFMGPNERIPDQSNVVIGGCLTQATRLWNVALILEEVGEYANVEGR FYEAIEGYEKMVGKEHPFTLKAMESLASIHNAHLERDSAADLFAQVIQARKRVQGIDH PDTLSSMAKLASTYQDLGYSERVEKINMMIRILKGYEDNSRSSQEKLVYIAKTFDQEV MALWMKRMDKPRVTEEVIEATAGNEKFGFGATKFSLEQIGNQFPISEKVLKAAAGNGE SEYVVTKLLREKSRDQVQISEAVLKAAAGNVWCGDSIMALLLAWARDTTQITEEVLKA AAGNEKSRMDLMELFSRQKGDN LY89DRAFT_586624 MPSNSKEHQQKEKGDNVSEATDSNRNLMRGIFELARLHKPELTL LAWYPSVWTLCLVIHTLGQPMGAFDFFSMVFKNYLIVALTHSTCCTMNDILDRNIDGL IPRTASRPLPSGMVSLRTAIFAFIGWAAVTLSTTAYLQGAYSLVLWTPAWILSLLYPL GKRFIDFPQLILGSACAWVLLPAWVNGGGGVFGREELTPMVFFIIFWIMYIDMFYATM DSEHDISAGVRTLAVTLKPRIHFYLSLLAGLQLLCLSILAYRVERSALFWVLGVGVWA VNNVWHISGLRIVDGNWAAGSGHTIFVRNIGLGLWLTVVEVLELWSNGRLAF LY89DRAFT_749160 MRCFSRLLIAATALTRALAQYNDGYETVNSPTDPVQIRLAYQGP TAMMVSWNTFSQLTNPTVSYGLSPNALTQTASSSVSVTYPTSLTYNNHVNITGLAPFT TYYYLPQYSNATTPYTFTTARAAGDQTPYTVGVVVDMGTFGALGLSTVVGTGAANPLA VNEQTTIAALTEMIDSYEFIVHAGDIAYADYWLKEEIQNYLPTTTPAQGAVVYESILN AFFDEMNIITSQKAYMVNPGNHEANCDNGGTTNKTSGQKYTSSICLAGQTDFTGYINN WRMPSGPSSGVGNFWHSYDYGMTHFIHIDTETDLGNGLVGPDEGSPEYGGPFGSYTNQ QVDWLTADLAAAVNRTLTPWVVVFGHRGWYLSASGSVCANCQTAFENLFYEYGVDLYI NGHAHLYERTAPIYNGILDPNGLTNMGTKVRAATLYITNGAAGHYDGLDTFTTIQPYS AYHEASDYAWSTISFANSTHMTIDTLWSANNTVFDSAVLYKAHAATLQTSSTTTSSSS STSFISSASSTSIGSSVTTNPTSTTNTATTTSTAVYPTYTNFNGQGHLFVWSNGTLQG DIGSAGTWYNTPGQNTATYTAVPLYPNGTAFTIQHSTNYCTIGSDFSFGCVATSAGAA TVFGQTPDGNLTFQGSEAFYASVVANSATKPTIYATPLPVSLNIVWGGTAVVVAPTST SSVLSSGSSSVSSTTSKPTSSSSLQSSSSLLSSSSFSSSNSLSSSVPTSQTSSVLSST GLSSSSNSSVLFSSTSGTSLVVSSSSSSLVSTSSSVTSSNTSSSLVPGNTVTVTSTLA IPTTIYSDFTVTQTSIATESDFVTIVETNTQDDFITIVQTSTLPASTVTSAITVTETA TATEDDFVTIVQTSTEPASTVVSEVTV LY89DRAFT_558025 QHPSSRNRVPFKDLLERNELGIIDSPLLWTDPEVQDDNVRKTHA AHNLANSISEELLVRGGRLARDEELFLSADSSNVTDLERDALNREKTSSLWQQSKELK VILLTCCIAAIAQGWDQASLTGANLSWPTDFGLRVDGVSQRRRDVWIFGGVNSITYFA ASGVGAWISDPLNEYFYGRRGALFIAGLFSFVGVVGSAFCQAWQSLFFCRLLVGVGMG AKASVVPIFESEIAPARIRGRLLVSWQTFTALGIFLGSAANLIVHKDWRWQTASGFIP AVVLLTLAFVCSESPRWLIKQGKYQKAYEVLVRLRENPLLAARDLYYIHAQIQVETSL FSKREDVERQLNDWSANVDRDMYQQEFKHTSYPRRFIQLFTISRNKRAAVASLVVMAA QQMSGINIFAFLAASFLADSGFSPINSLWLSFGFGASNFLFSPLAYWFIDTKGRRYLL LMSLLCCFPMLLATGFSFKIQDENARIGVVATFLVLFTLAYSPGAGVVPFLYSSEIWP LLTREVGMSWACFWNFLLAGVLALTVPQLTQAIGHTGLLSLFAGLDAVAFLLVWLLVP GTAEVTTLEDMNYVFGVPTRRHCEYQLREVLPWWL LY89DRAFT_647041 MATKHQEMLTLEGAKIAIAASEKKAREIGVDMNIAIVDASTHLL HFSRMPNAKITSISIAMDKAFTAAGHRVGTHTYKEAVWPGGPAFGLGNTNGGRFTTFG GGLPITNSKGEVIGGIGASTGTPAQDQQVVQAGVDALEAVLSGKVKAKL LY89DRAFT_84129 MEDKKFSEVQGGGSLILAWQIRNKRVLVVGGGEVAAGRILNVLN ADAKVTVVSPREGLNPEVAYRIEKGQVDHLDRKFEPSDLDNVDMVLTAVDDPEASSQI WKLCKERKIAANIADVPPECDFYFGSVHRDGPLQVMVSTNGKGPRLANIVRRSIAENL PNNIGEAITKVGKLRQMLRKVAPGPEEGPKRMSWMIKVSDAYSLEDLCEMTDKDMETL LGFYGPDKVPRLGCLRAMEGEIDAFDGSFGFCVGWG LY89DRAFT_84135 MSGRKLGGGRILGSGKSLAPPAPPAHRTSDFTSPAESIVSSGGS TASPLATSPLPEINQDLSSVVSLQNGASSSVTAASTKLLCPICNEEMMTLLQLNRHLD DNHQELPEVQQDEVKNWFNKQVVKAKKFQPLAVINQKLKGLDVFESNEPPVPTSSPSI HPANRVSTPEPARPDPDEVVTRAHWQRSGYNDLCTEPACGKRLGSVNGNVNCRHCGRL FCEDHTMYQMKLSRSAQHEPIRGFWCRVCETCFKSRDGYNDHNGFMRDHTNDFLAMRR KTVDKQYLEVSRLEKRLTKLTQLLANPPEDVAGNSGGILSLAGQKNQRKLLEQSVVTW EEDANVIKCPFCQQEFGSWSFRRHHCRLCGRVVCADPRTGCSSEIGLSVAAEKQSNSQ LNVDVRMCRDCKATVFSKKDFAAELSHKPPDQRAYENLLQFEKGIRLLLPNFQKLLIA LQDPDKPPSHNQLTEASKVRKRLIDSFGKYDLAAKRIRDLPTTSTTQQKLQRAIYQQS ANFLSIHMLPLKSLPKILKHASPHGSNGLPPNGRSALASIKYNDIDSASQISSSSAVS AMEAEEKELRERLIVLEEQRFMVTEMVNDAKKARKFDEVQALSGNVDDLTREIDAVNG MLGQLDFAGVYAREQANGGGGALGLGSR LY89DRAFT_697604 MLSSVLRRRVASALPKSQLTFHLARCYASKSFPPHTVVTMPALS PTMTAGNIGQWQKKPGDAIVPGDVLVEIETDKAQMDFEFQEEGVLAKILKESGEKDVA VGNPIAIMIEEGEDASAFESFTLEDAGGEKSPPPAPKEEASESSEPADTKSGTAPPPK QESAPAPEETESSGGRLQSALDRTPNASAAAIRLAIETGVKITGLKGTGTGGQITEAD VKKASSGGAAAAPGAAPAASYVDTPTTSMRKTIANRLTESVNQNPHYFVAATVSVSKL LKLRAALNASADGKYKLSVNDFLIKACAVACKKVPTVNSSWRDGFIRQFNNVDISVAV ATPVGLMTPIVKSVEGLGLESISAQVKDLGKRARDGKLKPEEYQGGTFTISNMGMNPA IDRFTAVINPPQAGILAVGTTKKVAIPVETEEGTSIEWDDQIVVTGSFDHKVVDGAVG GEWIKEFKKVVENPLELLL LY89DRAFT_617680 MSSSSIVFVVSALEAIAASKEAHKNKQLSESVQKALKDIKEHEP QLPDPEIIFAPLQLATQSGSIPLTTGALDCIGKLISYSYFSVPSSPNADKEASREPLI ERAIDTICDCFQGDPTPTEIQLQIVKSLLAAVLNDKIVVHGAGLLKAVRQVYNVFLLS KSSANQQVAQGTLTQMVGTVFERVKTRIHMKEARLNLSKLGTGAANTSSFTVDASDSI NDAGRSNEEESVEDSATSEAASNDGPKLTLKDLEHRKSFDDSQMGEGPTMVTQLRGAQ ASPRSPTGQTPPESSIDDGMESDDTEDEIYARDAYLVFRSFCNLSIKQVPPKQLYDLT SQAMRSKLISLHLIHTLLNNNMLVFTSSLCTITNSKSSAPTPFLQAAKFHLCLSITRN AASSVDRVFEVCCEIFWLMMKFMRAPLKREIEVFMSEIYLAMLERRNAPVTQKLLFMN ILQRLCSDPKALVEIYLNYDCERNTGNMFQTLIEDLSKATSQPVMILPSHQQQYEERV ARANLSMSDWQMKGLLPPSLATTNMAQHHTSPDDEIPKEYIIKRQALDFLVETLRSLV NWSQQGIAEVTSGLENETRASEDYRQSLEPGANNSSTKMTNGDTPIPPSTPIIDDDPD QLEKEKQRKTAMNNAVKAFNFKPKRGIKLLLSEGFIPNDTPEDIAQFLLREEALDKAQ IGEFLGDGDERNIAIMHAFVDCMDFAKRRFVSALRQFLQSFRLPGEAQKIDRFMLKFA ERYVTGNPNAFANADTAYVLAYSVILLNTDLHSSKIVKHMTQQDFIKNNRGINDNANL PDEYLLQIYDEIANDEIVLESERRDAAAQGITPQTSNGITASLGQALATVGRDLQREA YIQQSEEISNRSEQLFKNLFRNQRRNATKAGAVRFIPASSFKHVGPMFDVTWMSFFSG LSGQMQHAQNTEIIKTCMEGMKLAIRIACLFDLETPREAFVSALKNATNLNNPTEMKA KNVEALKILLEIAQTEGNLLKGSWRDILMCVSQLDRLQLISDGVDEGSIPDVSKARIV PPSRTDTGSSSRKSSQSQRPIRPRPRSTTTSTSYSMEIAIESRSDDMIRSVDRIFTNT KDLSGEAIVHFVRALTEVSWEEIKISGQNESPRTYSLQKLVEISYYNMTRVRFEWTNI WVVLGEHFNRVGCYNNTAVVFFALDSLRQLSMRFMEIEELPGFKFQKDFLRPFEHVMM NSTNSKVMDMALRCLIQMIQARGENIRSGWRTMFGTFTVAAKSPYESIVNLAFQNVTQ VYKTRFGVVISQGAFPDLMVCLAEFSKNKKFQKMGLQAIEVMKSVIPTMLRTPECPLS KKANVNSDGSAKSSDPASKQISRTSQEEAFWFPVMFSFHDVIMNAEDLEVRSKALDYL FEALTNHGHGFPVDFWDTLWRQILYPTFTVLKDNSEMTNIQQHEELSVWLSTTMIQAL RNIVKLFTHYFEALEYMLGDLLGLLSLCICQENDTIARIGSNSLQQLILNNVSKLKPE HWSKIVTAFVGLFERTTAYQLFSAAGTPGGGNALDNGLDCVISPMEEPVGDEKSLKIN GTNGTTSPETDSINDDEAKTPTTSTAGLEEFKPQSGLQQQPVVVTAARRRFFNKIITR CVLQLLMIETVNELFSNDSVYDQIPSPELLRLMALLKKSYLFAKKFNENKELRMRLWR EGFMKQPPNLLKQESGSAATYVSILLRMYHDEGEERKRSRGDIEAALVPLCTDIIRGF TQLEEESQQRNIIAWRPVVVNVLEGYTTFPREGFEKYIEVFYPLSVELLNMDLGVEVR IALQGLLRKVGEVKLGLPEMKIPATPQSPSSAVYPFDSGRRLSRTK LY89DRAFT_685425 MAPSATITATNGTTGVAAPGRQSYNIALIPGDGIGIEVIHAGQV VLQHLARCLDTFDLKFEEFEWSSAYYKKHGRYLPDDALDQVRKFNAILFGAVGAPDVP DHISLWGLRLALCQPLQQYANVRPTRILRGTTSPLSNCARGDLDWVIVRENSEGEYAG HGGTSHINQEWSVATEVSIFTQHGCERIMRFAFSTAQSRPRKKLTVVTKSNAQRNGMV FWDHVAAKVAKEFPDVEWDKMLVDAMTTRMVLKPSSIDTIVATNLHADILSDLAAALA GSIGIAPTSNLDPTRKNPSMFEPIHGSAFDITGLGVANPVATFWTSAEMLRWLGQAEA AELLMLAVENVTEGGIKTQDLGGKSKTVEVTEAVCKEIEKLASSPSKKMY LY89DRAFT_782717 MASATPDKPSVKRTILQSLPIPEFPGWESRLVLFEYPPGVAAPV HNHPVAGTGFVLEGNVASQWEGKEVELYTKGDTFVDWGTTMHLRSENTSQTEWLKFII SYVIKVGQPNVNF LY89DRAFT_617687 MSLRQSSPALTSAQDIIASGRETIVSPCCPVCQNLDPTEHLTER SVIPRSPLGSRGRTLYSDAELLSQWGAGRVALFNREFGELNERRRTGCIYCALLLQAC EILGVKGTEINLWLERGQNPKVTVWTPCNKRISFELFTNRDIGAPWVRIHKLDEISRH PNGPEAVDFLDRCIQECTSRHTICRSANSFTPKRLIELRPKEESCRLMEGDNEASLIY TALSHCWGQQVKRLRTISETLERHKTIIGYDELPNLFRDAILLTLRLKIKYIWIDSLC IIQDDDKDWAEQSAQMAQIYENAYLVIAAGSSAHSGQPFLNTPNRCESQSIPVGQNSG STSVLARKIPATGMHETYEGHYSNPDPLDERAWTLQERILATRLVNYSSTELQWMCKT HRTCEGGHRDRSQHHSSIHSIVSQSGAYAFWQETVKEFSHRRLTYAKDKLPALAGVAS KIAAATGDEYFAGLWKRNLVWDLCWERHMWEIVRWRATENPRAPSFSWASVEGNVYYH PDTSAGRGGTCHVEIIYVDCKPKTFSNPFGEVNEGGFLRLRAPVKEGRIHIHPNAGNG FLWSHELWLNETHWRIPFMADVPLEHHHVNQITQDHSLATESDKYECTAVRAPPDSNG RLVNGERVWILVVGFWHEKDERTGGLGKIWFSCIILGRRTPDAFERLGFVNTGSPEPY LSQEDCQPLLSFLCGGKDKTELTIV LY89DRAFT_647061 MEFTTETLPEGEVSCWYPLFVNPVIARGFPTAPRENDEAGLEIP IGMMAALGGARYAVEFEGGLLLKGLSSMFVPTKRHAASIQWHLIHHSAKTRLSYSEVK AQCPNRVLLDKVDHASLQSSRTFLGWWKTSKTFLGTSNHNYASTTYSSAMPSASVANS SGGLGFSKLICSSQNMSIGAKDSKLFLSRAGPLQQIIEWAEMMPVLLYDVADKRGWFV RASDVILHIIHTRHAKRPFRVDGKPVRLTGVDPYKDEDQAAERAIMDMASVRLVQDDV NRANDYYINDLVCDVWALLEGLSEIQNAGDIRPETSTQILTQQKLQGWEFMALVDRKS PIQRKEVDLEKTTGGWQNLVHDVNAVVLLASGFGDLIQPMYPSSGLCYQLKTLPKYED YMASTVPLLKRLCEEAGSSSYAHLTPSKLQWHKPSMLFENCEGKTKTGGVCDCVRFQQ VVPTFVTDIGPIKPPGHLEHRGCVIFGIPEIDSTQILPIDTTPTSNGLRSHSPSELEF ETELIATSKSVEAHPATGVEDCPKPSPTIKLSIPILDGKRPPPDWAANGDYRCNGLYP KSRDYPESPPGLLFDEKSIDWPQKFALDDPCDIKKQVKRVNKRYFDVNEDSVRESKRL HLKEVVGPVTESGRTWEAKITRDIFPDSRIVEGDKNGQCIVTLERSAQVESEVPR LY89DRAFT_734568 MDELPQYYWDEDDRIASAKVLQVPHIKSPSNIIIEYASDTEDCR QMITIFERLIGSANPSHSPEEAAHAVTCVYSDELKSYFKRATKESSVVRFKDGFLWGF YHLIFDYSKQVDGMAQTKLVQFVDAFRKMPRTAKTESNVEWCDLGKIGWAARDTVDRF PVGGGEVDKRTQQIQELRFHAFIARLATESTLDFSSLAYFYFRDALENVPDPRSKAYH TQGPLLEETLLIPA LY89DRAFT_685428 MQQNSRQKAINNKSQPQSIHLIYLPKISRAAIFSALCALLAALI MAKPALGEQFTTTSTEVASQTHTPFRLHCPAPDRDVIPGVFTIMFKPGYTIEQHAAVI FESTNEDIQRYIHHNLSFPDSTAYFGKHLYSPLLLHAIRSDPGVESVECDRRVKILGR YAPLRNCPLLPPRPSSFNSYYLVYLEANYSLEEHAERFKVDIFPRIVDMMALRVSCPD KIVYLGKDIDEYLLNKIRADRRVEEVYCDGGLRRSGLSSRHDQFPDRSHMNVNWSPHL RLVDKDTWENFGGESMRI LY89DRAFT_782722 MDTKKESDNDLIKDIIPKPTPIDLRFKLAFALLCIVNLVCALDA TILAVALPTIATALHGTAIQAFWTGTSFLLTSTIFVPIFTSLSHLISRVPILITAILF FTLGSLLCSLASNFTILLLGRSIQGIGGGGISALTNIIISDVVSLRDRGKWFSGISLM WCLGAVIGPVLGGLFAERNWRLIFYINFPFCGIGLVGLPLALRLRKREGRVLKRLKEQ FDWIGSILFIGSLTAILIPLSWGGVMYPWTDYHTLLPLLLGAMGLLSFLEYTHIYTTH PLIRASIFSTTTATVNFLCTSLHGAILWSLLYYMPLYYQTAKNASPITSAIILFPFTL TMGPASVVDSVIIGLTGRYRPSLWLGWTLTLLGTLLLLSLSPNTPLATLIALQLPPGL GFGILYPAMSFAVQAPASDTDLPFAAAMFTFFRTLGQSLGVAVSGNIFQSSFHHYTQH SVILAPLQIPGDASSLVAYVKGLQEGEVKSELVKAYCAGIRGVLVLACAAAGVAMVVS LVGTRALSLEREVRTEQGWKGRDEQEGGVA LY89DRAFT_84202 MIFRPKSKTILQVTRFSIRSVTYQAIFSPSTESLRPQARVDESS ASTGSRPSSMESSDPLKASPTHKTSRTSVRSFMKGISRPMNRHADKLLVLDCIVGHSD SLLVFEGHLGAGNSEGSIMAT LY89DRAFT_84242 MWREKSGLERKVATQFPVERVLESDKPLGRDTEAAVSVRADASR MTRLRKKSIWMSRNSELIRAAVVLSLSGPYGSIGSEGILE LY89DRAFT_84230 MVYNATEELTWINHGDNAWQLTAASLVGLQSVPGLVVLYAGIMR SKWAINSAFMAFYGFAATLIVWVLWAYKVGFGEYMLPFAGRPGPVMTIDMELQQSCLP STAADGGLCQAFPLSTMVYFQFVFAAITIVIMAGSFLGRMNFTAWMIFVPLWITFSYS IGAYSLWGGGFLFQRGVIDYSGGYVIHLSSGTAGFVGAHWIGPRLASDRAEARPNNIL CVLIGAGILWIGWNGFNGGDPYTASADAGVAVLNTNVATAMSLLCWTICDMIYFKKPS VLGAVQGMITGLVAITPAAGVVAGWGAVAIGFASGTIPWLSMNIMGKKVAYFQKIDDV LGIFHTHCVAGFVGGFCVGLFATIDGCAAFGISNLGGAIEGNGKQVYIQIYGALFVIA WNIVWTSLIMCFIKYVLRIPLRMSEEQMEAGDDMIHGEAAYVLGPCEAHEHLLAGHYV KRSETGPGELGMGGVTLGHDPHAMVEKHSPSNSNELKAD LY89DRAFT_685432 MCCHKNRRAGGCHSRRYNNINPPLAPGQEPVVVYDRRPGLMGMF AQHMAQKREAKQQQQMAYQPRSMSIADGKLEDQKLHEERMAQAYWDERNKAATGRGVD HVDVKQAAENNRFSKISVGTELPSYGQAMKQ LY89DRAFT_559592 LHIAAKEGHATIAQLLVNRNVEIDARDLKSQTPLHVASIFGHTS IVMILMEQGAELDARDSDKRTPLHIAVRDNRFEVVKLLI LY89DRAFT_719190 MAIPLLLSTGVNALDVIIAIFGSVLSICAGTYIFTQSRFWLQSR GNAGRFEGREPLTLPYSIPYLAGFPRLLNPHAMYEYALRKAPQNAPVQLSVGPISIYV LFGDKNIKMIFKNSKVLSKDSSSRLIMKSSGMKPEDVRIFSSDRSGIGIAPKTSISEE KRIWKKTHETGVSHLSSGPAVNQLTNGFMATFIKELDKEPQGHSFTVPLWDYVKKAMF VASTTALAGQEIFRVNPDLVKTYWHYDESFLLIALGLPKLIYWQGHARRDRMLESAER WLESAHANFDERDKDSEWEPLFGSAYMRNTLFDIKNVGVSTHGQAASVLSIIWAINSN AIPCTIWILLEALQRPGLIQRLRSEITPTFHKNSNGDLSIDVSKLITECPLLTSVYQE CLRTRASTTITRQLDDDMESDGYILKKGKFLMSPSWLPMHGPLWDVPGHPAKDFWPER FVEMPKLAPKDEEGRSKFEMAMKPDNWFPYGGGNMICTGRFFAKQEILAAAALLILKF DFEPKEWIMHGGKKSSRPAAPDEAFAGSGILPPDRDLMVTMRRVG LY89DRAFT_685436 MAGTKAYPPGGTFLDTVKTSFTDVPVDKEKDYAISTTEFLQAAE SLTTLFDVLGSMAFQPVKNDMLGNVKKIRDRQHAAPAESANLQDLVLNELKTKKHTAT EGLVWLVRGLDFTAIALSQNLATASEELSVSFRNAYGNTLKPHHSFMVKPIFSAAMSA CPYRKDFYVKLGDDQEKVEQELRVWLAALVKLLAILKGFLDRKEAKW LY89DRAFT_685437 MAKESEAVHDPLIPISDVPTEPAIESPSKTKPKPHIPRSLSTPQ SSLTKARANLTRPFKSHTPRFSKFNLLPAEIRLQIWDEAFVPRVHELHPCAKLYNDRM TFRSNSSLTPSIFHVNQESRNVALKHYELMEYQPPQSGTSGKGILRFYFCPELDTLLL NSLMGLFIMFMLLEDEEDYVGVGVMKGWKKVAFDAERAQLISLLSGIAGHSPQPRFKA VFPSLQELIIAFDYTSKGKTRFRTSVWPGENGTSLREVRLPQALSIDEGELESTIFGT IFKPMREYLNNDYKDEDGGIPIMTVAKVKRKAFIRGDIRYAFRKTCSFFGLRPRGVFR RL LY89DRAFT_617710 MAQNSTIYEDEVDFATLALQDSEFAKILKSNGQLDFSNPESVQQ LTKSLLKRDFKLKLSLPPDRLCPPVPNRLNYIIWIQNLLDTTSDSYNDKYDPEREVLG LDIGTGASAIYPLLGCSQRPQWRFAGTDIDDKSLQFARQNVLENELQSRIKLLKTQPS DPLLPLDKMGFENIDFSMCNPPFYESKADMLTSAAAKQRPPFTASTGSENEMVTTGGE VAFVSRMIDESLILKDRVQWYTSMLGKFSSVGVIVQKLKENSIDNYAVTEFVQGTKTR RWAVGWSFDDLRPLMSVARGVSSLQKSLLPFPSEYYIPSKDDHVNVGLRVNETMSQLP LKWQWRGTITTGIGFSSKAVWSRAARRHVTKVESESMEEDEMALGFKIRVEQLPGGQP GSRTMIRWLKGHDSVLFESFCGMIKRKIEEAKN LY89DRAFT_685440 MANVPVTEIPTVSLLYRLKKLAPAQFQDESERPQPSQSFNDRIG LIRGDITKLEVGAIVNAANNSLLGGGGVDGAIHRAAGPKLLKECRRLKGCDTGSAKIT GAYELPCQKVIHAVGPVFNSRFEQECAEDLAGCYTTSLELAVANKCKSIAFSALSTGI YGYPSDEAAPVAIKAVKDFLEGEDGDKLEKVVFCTFVQKDVDAYNHWLPRFFPSTEPE AEDEWEEVEGGESAENGPATQEEKAKDTEEAKEEKEAEKKEEEAPVELPDVPTTEPAS ESAEDGPATKKQKSGDEEKL LY89DRAFT_719195 MADAITESTAKLQLDEETGEMVSKGELKKRLAKRAKKAAQAKAK AEAPAPLATSALKAAQKNVKAAEVEPMNMFAQGWLDEVYKERPVKPVVTRFPPEPNGY LHIGHAKAIAVNFGFARYHGGDCYLRFDDTNPEAEEEKFFTAIKDIVGWLGFKPVAVT YSSDNFDKLYEKAEELIKLEKAYVCHCGDAEIKAQRGGEERGPRFRCEHANQSIEHNL TEFRGMRDGKYKPREAFLRMKQNVEDGNPQMWDLAAYRVLDAEHHRTGPKWKIYPTYD FTHCLCDSFENITHSLCTTEFIQSRVSYEWLNTSLGVYEPMQREYGRLNITGTVLSKR KIAKLVNEGHVRGWDDPRLYTLIGIRRRGVPPGAILEFVNELGVTTAPTNIQLARFDQ TIRRYLERTVPRLMLVLDPIPVVIEDAKEEFDVELPFSPKIPAMGSHWVKLTKTVYIE RSDFREVDSKEYFRLAPGKSVGLLQVPLPIKAVSFTKDGDKVTEIRAVYDKSKQKPKT YIHWVAAGSRKVEVRIHNALFKSEKPDNAEGGFLNDINPNSEEIWPHAMIESGFDEVR RRAPWPEAAGESELGKGGLESVRFQAMRVAYMAMDSDSTKDKVILNRIVSLKEDAGK LY89DRAFT_719196 MSSKGKAKRSRSVVSSDSWTSKVESIDEQSRVDYLQQALDESAA EARKSGNGGPSEVPPANNVLASPPSSPHSLGPAPSSPRAKARDEYFKRRKYEELIRGD NGFREMLDDVDGMRTWWTRNKQDWHQKKLSLERTEAALASLQDRALYEEDFHDERQIQ HQTQRQKEKLAWFEENRSLMRIVWDRFKVFNEVEMLADGPIDPPIPAGRAVNPARPVV PALSPGPARRADPEAPAVTPYAVSNSPRFNPIFNSTSPHTNTRFEYRSSRNPANSQRW LSSLEEAFWWLERAKEDVASHTKRLKTLIETFPGEKARFIGLAQTLETQLDGVDTNDA DDRVDTAIKKLMDDRPVDFKEQIQTAIMNRRREKLVLTDGQDRRALEVYKTKSIELDV ILKILEQQDGIVDTLVDLLNTTLMEVAKYDLDQRNKDAFVNIHNICYLYSERRRLSLV IRSTLADAQLSVTTKRNIVNRINQYISDHNVEDLPMTENGRYRSKHIKIYFDQMFAAN AAFNILADDISDITRRATRPEAQDYRQSKLDDASLLLQRPIKTSVLTNNIFGFASNMT LASAKAMLEERKPGRKMNGTILQELFTSPDIFPLFHPDYIVSSWTKPQFYDLINSKLA KKLTNELLEAFLIYCTHTGELFRVTYFGQRV LY89DRAFT_782733 MDAVDFHGLVSFWTQVGRFFVRRPLVPKGSGDDVLRIDNGWDLR PGGHLSSLPRKHRYYDRMTQEIIVKDPFKMLKYDLKTKEYTSTEEELYVFFFGAFEGG KEVMNWSVTRYKFHLYLRWCTARKDTKFDLTRNGIVKVALDQWVVKAYQEMGK LY89DRAFT_84454 MLFSKLILSGLMAAGLSFAAPAGDANLAVREAQMPTGSFTFTHT GTHTRGPRPTGSFTRGPRPTGTGGVRGTGTHSHHAHPTGSFTGSFSFPSPTESIVSPP METTTE LY89DRAFT_84458 MSSSRNASIPHAPTTPSGLRESHTIAGSPEDTRIAEADTAPSSS EPSPTSRPTHTHHDDEPDDLMVDGEPESIGSKVANETTALLRKPFEFVTGHPHAGPCN HGTFSPGIESRADSIRSGHSGNSFGGARPGSGESSRGIFGSLMENMRMKNGGENGKKK QSTTSYLAERHGIKNTRTMYLPYYIPLFAWMRQYKWVYLKGDLVAAITMASLYLPMGL SLAENLSHVPPINGLYSFVFNPLIYAILGSCPQMVVGPEAAGSLLVGNVVRSSIDAGR TPEWEDEMHARVAGVVTGMAGATIFIAGICRLGFLDSVFSRPFLRGFISAVGFVIFVD QLIPELGLVELASEVGVSHGSSVDKIEFLFKNAHKGSGITAAVAGVSFVISMVFREMK KRLQPRYPAVAYFPDRFLIVVLSAVLAWQLRWDEMGLELLGKVEPPSGMPFQFRWPFQ ISHMKHIREAMGTSFVISLLGFFESSVAAKSLGGGDKDGLEGIALSPNRELIALGAAN LVGSCFCALPAFGGYGRSKVNKNTGGKTPMSSVFLSLISIFSILFLLPWFAYLPKAIL SSMISVVAWSLLEEIPPDFMFFVKIRGYTELGLMMIIFASTIFYDLNMGIAIGVGLSL LAVIRHSTRPRIQILGRIPGTNRFENAEDNPDKLEFIEGCLIVKIPEPLTFANTGDLK NRLRRLELYGTTSAHPALPRVRAPEHNKNIIFDIHGVTGLDGSGTQVLEEIIRGYRER GVRVFFTRGPAEGTPIMELFRRSGILEACGGRSHFVADVGEALRLTEIEEGGEEYPNA AGDEGGG LY89DRAFT_84502 MAPKIITWAPLDIFSPAPIHHKPLAIIILNQELKLPLYLYHQLW LNSTFVIAADGGANRLYDLNKAHSTTLSTNAIIGDLDSLLPSVRSFWEDRGIPIMHDS DQYSTDFTKAVNYIRASPSRKDIDIVVLGGLGGRVDQGLSVLHHLYLFQDSVTYEDGR MYLLSSEAVTFVLKKGKHGIKAKERWEGIGSGEGKGEVGLGKHVGILPLKGESVISTQ GLEWDVKDWKTVFGGLVSTSNHVKEETVKVETDEDVLFTIDLNFAGGGD LY89DRAFT_84684 MFKTALRGTLATSASRTVLTTAARVGGRRFASSTVGKRSWKSGA ARWVAAGAGVWWYNTSDVFAEEPEAAIQRTNESLHRIQESDQPTVDAIVEEKRARAAQ RVAEDEKRLKAAESTVAVAEDGELPTEEGEDGMGGLEEEADQQGAFNPETGEINWDCP CLGGMAHGPCGPEFKEAFSCFVYSKEEPKGVECIEKFKGMQDCFRLHPEMYGSELEDD EDEVEEEIRAQKSERDTKAGASTPSKPKETTESVPTPTTEATPQPTEPKKTVEESHRD SLSTTAETVQASDEGRELLPKAAHDATSK LY89DRAFT_685444 MSYAAAAAKGPKQTAEEKRAPPPPEIEPTESLSSSSLVDVDSNS VHTVPSDFGSQDIQTSTQLDRLEHEALAAEARAKEEMSAAASKAKKEGKEAKEKAKKA AGYVDRNSDNPVFIANAVAVVGLSAGLGFGAYRKYAAGELSWKVVGIWTGVVGLFAAG DYYLSQYMLKNKYPPKK LY89DRAFT_84513 MAYDLHANKGPHVLGIVIAFPILAAFCLSLRLYTRFKIIHNAAL EDYCSVVGLIFSIATSVCMGYQVKNGMGRHMQTLTPQDGVNSVKFLFASILTYNISLL FIKLSILLQYLRICISPRVRLFCHLTLILIISYGIETFFTGLLTCLPVSYFWDKTIPG GKCVNEPALWFANAGINIFQDLCLLVLPFFILRELILGKRQKAGLMLVLSLGAFACIA SICRLHALYEVSVSKDMTWDNPGTAIWSSIELNTAIICTSISVLKPLVSRLLPGMLSS RKSSEAPKIRSGGNGTVLSFSAGKWMDTTLASSEDGKFEMMDESEREVWGLREVRESV GREAESRQGLRDGVGPMSVEV LY89DRAFT_707711 MRLGVTITGVWLWTASLSLGAFSGHARAVNSSTGPQVDLGYTVY SGVSNASLGLNIFKGPKAPVVNKTAVVLATSFAPACPQSMFSLEPLAANPGGLGDEDC LFLNVYAPTNSSKLPVLVWIHGGGYGAGNGQQDLSAIINTNNNSFIGVAIQYRLGAFG FLASNEVYRKGVVNAGILDQHAALQWVQDYIHLFGGDPKRVTISGESAGAGSVMLHAM AYNGTLGTSLFINSIAASPYLPEQYEYKDWQPSQSYYAFASQAGCSASAAYGGTSQTI FDCLVAKDTDTLQMASFNVSASGVYGTWAFVPITDGIYVQDVPSQQLLRKQVNGQRFL SGNNGLEGFLFVPRSITTESALAQWIHLLFPLFTNNDVTALIAQYNYTSDSQTKADDI YGETTFVCPSHWLAEAYSSGSNASSSDAKQGFKYHYSVPAPLHGSDVSGYFGPASPNQ GSDFEKAFMTIWGNFITTNNPSLPNLIANGASSPSPSASNAASNWPSYSKASPNMINL NETGGVAFSAATNGVNFTEYSGPGLVNNITLVNAYTWENGRGSRCDFWRKISASVPE LY89DRAFT_586410 MATQLASESQSTPSYSEKAPTSNPDHDPQLEEINETGHVQELER NFSLLSVCSVGIVTGNTWAALGGSIVVAIYNGGPPGVLYEFIAVSIFYWLVAASIAEL ASSMPSSAGVYHWASITPGPKYGPICGWFAGWWNTFAWMMGSASMASICGQIAVAMYG IYHPDYSPQRWHVFIGYLIITWMCCSVVLFANRALPMINTIGLFFILAGVFITIMVCA TMPHVTGSEYASSSFVWADWSNQTGYASNGFVFLAGMLNGAYSVGTPDCVSHLAEEIP HPKRNIPLAIGAQMIIGFLTAFFYMIAIFYSISSIDDILAAPYFPLATIYAQATQSNA GTMGLLFIIFVPVFCCCVGTYITAGRCLWTIARDGAVPFSGTFGHVSRRFKNPFNATL FCGCFSTVLGAIYVGSSTAFNAFVGSFVVLSTISYLASILPFMLTGRFSQSSKSTGNA NGMRPGWFQMGHLTGHVINGISCVYIIVFVVIYCFPYSMPVTAANMNYACLITGTITL VAGFWWLVKGRRGYIGPKAMVHVDEPHISSGGVVGESTDSEKLS LY89DRAFT_685448 MAAAEVQRKESLEYAPESYYIRSPRSSDIPLLEKVERSAAEVFL TVNLGFLLDQPTVDPYLLASMANSGHLWIAVDKFDQPIGFLGGQYLEGNFHIIEVSVA RSYQGRGIGKALMATMVDQIRAEGYKTITLTTYRDLPWNAPWYSRMGFAEVKSWDAGK SYEDILEEEARHGLDIRNRCVMRKIL LY89DRAFT_586365 MGQGAAPAESTLAPPETFTDGALPKMIVFDLDYTLWPFWVDTHV TPPLKASAAHDSAKDRYGENFAFYSDVPSILYNLRERGIKVGAASRTSAPDLGREMLR LLHIQEGSEGKKRKAIEYFDHLEIYPGSKITHFTKLQRATGFRYEEMLFFDDEARNRN VESLGVTMYLVRDGVTRQEIDNGIRQWRKRHGHDSGRSASD LY89DRAFT_685449 MPPFLPRKRLRSPTPEPGPSRPAPAKSKGKGKAVTTTPRKPTLF DDLDAGTGTRRSAEHGKAMLEKLAATSDDESSLSSLSDEEFEDVPNAKRQKISAAEED DDEDEDIEFEDVDTNVAPGASANISTGDLELTLTKDTRISITNPFGTKKGPSKIERGI RVDTHKMHVQFLMWHNAVRNGWCCDKEVQEILVKQLPKTLLEAVEKWKRDSGLEVKED REDGIPKGKGKGKGKTKDTKTTKGEQANSRRQSDWAASARPTAEGQVNMSAGDPLFNL LEKLRRYWKSQFRITAPGLRKLGYMSLQRLDKASKTFKENYDPERHGERIRNIKEFRA CAQIMEGSRDVGAQLFTALLRVLGLETRLVASLQPAGFGWSASEEASKSNPRRLKKSN AADDSSDEETSAEEEEVQPLPQPISKPAKKAKAPTKSKAKTIPKPLDLDEEASFVEEE EAPVPKSVRKGKTAGKSASKPSRRTSRGNGLKNAPIDLSDSEAAVDEEDDDSVIDITP AKQVRATSLSYDKDLPFPHYWSEVLSPVTNTYTPVDAVVLHLVGTGRETIEKFETRGV AADKGKQVTAYIIGHSSDGTAKDVTTRYLKKHVWPGRTKGYRYPAEKIAIRNRHGKIK RYEEYDWFKTVMSSYVRGTRKCPRTDIDDYEEATDLKRVKPEKKEVEEGKETLQYYKS SPEFVLERHFKREEALVPTAKHVKMFTIKGKGEVTTDEKVYLRKDVVNCKSIETWHKE GRAPKDGEEPLKRVPYRAATTNRRRELAEAENASGEKVLQGLYSWDQTDWIIPPPIEN GIIPKNNFGNIDLYVDSMLPEGAVHLPMRGTVKICKRLGIDYAEAVTGFEFGHRMAVP VITGVVVAEEHYETMMDEWHKDEAERVRKEDEKRRKAALGLWRKMLMGLRIIERFKEE RGDGDDDTDVLNPFANKKKRTEDAEAEAQKKIMDQRDEEMAGGFLPEGFETEEPEERH PSFFPVVQEHDDEEEEGGGFVVEGHDEPSTTGNAQAYATPQSLEPITKNGVADASEED VEMQDPESEVEAPAPKKRGRPPGSSAAKPKAKTPAKRVPKPKTPTSKKASKSKSRIQD SEQDDDDESTLSDLDSEIEEPPKKTPAKRASGRQARTTVDSLRKTLKRGAARKSETAL RSHYFEHSDEEMDDE LY89DRAFT_586788 MAISSFPADAVPQAPEDPLFGLMAAYRADDFDKKVDLGIGAYRD DNAKPWVLPVVKKADEILRSDPALNHEYLPIAGLATFTAAAAKLILGADSPALTEKRT SSTQTISGTGALHLGALFLSKFFPGHPTVYFSNPTWANHHQIFSNVSMPIASYPYFSK STKGLDFEGMKQAIADAPERSIIVLHACAHNPTGVDPTQSQWKELAVLLREKSHFPFF DCAYQGFASGDLAKDAWAVRYFVEQGFEMCIAQSFAKNFGLYGERAGCFHFVTGPGAD AQSTIGRIASQLTILQRSEISNPPAYGARIAGLVMNDEALFKEWEQNLKTMAGRIIDM RKSLRSKLEEMGTPGTWNHITDQIGMFSFTGLTEKQVLKLREVSHVYMTKNGRISMAG LNTHNIDYFAKAVDKVVRET LY89DRAFT_685451 MTDITPLLNQLLKSHDAPPTADPALTLAHIDSFLKEAYEINAGI ASLNTELRGIRQSYLSTAAPPRRTVTSSKDKQWKYLTDRQRDQIDAETKQLLRDLNAR IRMLSDAELVRQNTEQTIRKKRYARLGLGSLGKWAAGGIGQSKTLEEQLDEAKANAIS MHRENVLWYLRQKLQECGTFQASMMEKRILREMEKNKSTLAKSRMENIPKFSFDEPIS PQMHASTSKAHLEAQELYPEEELTAEQIQVFEKQNQDMLKHYESTLDQVRTAEKSLIE ISELQTQLVNNLATQSAHIDQLVTDSYLTTENVGGGNKELKKASERKSTAKYVFYASC GLSLFLVVWDLVI LY89DRAFT_647120 MAQDLSYLGDAEKAEEIGASVQGAVFEEPAKPHSEPLQEANTTH GQQEETQVVQDEAQDLEALAAVTTGPVYSAFSNFKKKYIVVMVTWAAFISPTSANIYF PALNPLRKDLNVSTTLINLTLTSYMIFQGLAPTVFGDLADMAGRRPAYIIAFIIYMGA NIGLALQNSYAALFILRCLQSTGSSGAIALGYGVVADVSTSSERGTYMGIVGAGTMMG PALGPVIGGILAQFLGWRSIFWFLVIFTGCFLVPYILTVPESGRNVVGNGSIPPQGWN MTVLEWWQHRKEEKSGELSRTEIAEGRRLAQAELAKGRKLRWPNPLKTVYIIMEKDVA MVLFYNSLIYTAFYDIMASIPSLFQEIYHFNDLQIGLCYIPFGCGCAVASFVNGRLLD RNYKKVARQIGFTIDRKRGDDLRHFPIERARLEIIWPLLYTGLACILCYGWALEKNAN LAAPLILQFFIGLCVNGSFNILSTLVVDLYPQSPSTATAANNLVRCFVGAGGTGIINI MIDRMGRGWCFTFISLVCALASPMLLAELKCGMKWREERRVRMDKKKEEKKEREAARE SSFSSVESAGTEEEVETPLGEEKKDEKS LY89DRAFT_84873 MIWAALLEITSGTMSSRRGQHISRKACDGCRDRKIKCTWTDATS CAGCVTAGLYCTFQTTRKRRGRPQRDLEKFRQLKTSGLPNDTSGGDARVYLLPNAASS VTIEDLCPMTTFHSIIEEFLENLYSIAPLIHVPSFNSQVSECLYLKDARFLCLCLSIC AMTISSLPRKASIYLPGHYQSSREMVSRAYQLVIASRLATSPDWADNPSSNDMNCSLL LGMASHYTQCPKRAWSLINESIHCCRSLSLYHENGYKEMTTIEIEINKRAFWMLYIVQ IAFHSTR LY89DRAFT_707719 MTQLTNQSSDVVIDTLLTQLTLKEKISFLVGANVWETVPIERLN IPSLRVTDGPNGARGSQFFDGTTAACFPACVSIAATFNGDLTKQIGIALGQESQTKGA YVLLGPTVCSHRSPLGGRNFEAFSEDPLLSGVLAAEYVKGLQSERVSGTIKHFLGNEQ DTRRFTVNEIISERALREIYLRPFEIVIKTADPWCLMTSYPKINGKHVDTSNEFLQKI LREEWKYPGLVMSDWGATTSTVESLNAGLDLEMPGKTKWRSLEQVKQALEAGTLTEST IEDRARAVLRLLKKTGKFDDRKDDIVETAVDLPEHRKLICHAGAEGIVLLKNAENILP LDKSKCKKIALLGPLAKYPAAHAGGSASLNCHYKVSPFDAFSSRLGEDTIIAYSKGAH IFRVHPDMEKECKTSTGNNGFEAEYWKNSTFEGEPFHTAEFARGSFTTLMDTAVEGKL SVRFTTTYTPTETSSPYLSFSTMGPSKMYVNDVTLFEQAEATKDSMAFLLGVQDELHS QYLFEAGKSYKIRIDNVSSPEPNGELYLLDGQIAAHLGFIPQVEMELDVLSEATELAK EADVAIVCVGNTAQWETEGQDMDDMNLPADGSQDRLIAAVAAVNPRTIVVNTIGVAVT TPWLDSVPAFLQAWYAGQESGNAIFDHTAVYGNFGMDSYESRQVEYVEGVFVGYRHFD RMWGTEKEVRWPFGFGLSYTSFEISGAKVEGSLEAPGGHGPVITADIKNVGGFAGAEV VQAYILPPKASDDHVIKSLVGFAKMTLEPSEIGRISIDVNVLQAAYWHVESRKWKIKA GTYKLWVSTSSSPDDRKAELEIVVEKAFFIDP LY89DRAFT_647123 MEKELHTTTQVEDSAPGITLSTSEAVAKYEENQHLQTRLNALKE NWRGVIWCLYSFFICCMFGYDSLAGSAVISLPAFRQTFGRPYDGDYVVDANWQLGFQA ATLGGIVLGGFITGFAAQKCGRQICIFAAYFFTIGGVVMQYFSSTPAEYFGAKILTGL PLGVFTTIAPTYASEIAPLAIRGALTSGMNFSIVLGQLIGFGVMRKTTLGQYTGPMSY RILFAVQWGFAAVGLAFLPFFPESPYWLVAHGQIDKARRNIVRLHNSNYDVDGHLAEI KRALDSQRAENETSGSYKECFNHANWRRTLAAVSTIFIQNACGNSWVIGYMSYFMQLA GLSAAKAFDATVGLSGMMAVGNICGWFLVEKFGRRGTALYGCSILCVTLLVIGIVACI NTTNAIWVQVAFMAIWSFVYQASIGSCAGPIIAENPTSRLRGPTQALSTMMNGLSGSI WAFCLPYAVNPDQGNMGGKISFVFGGILVFAVVFIFFMIPESKGRTYIEMDELWSRGV PPRKFASTQLLSLEGKGDEVEGKHLEDA LY89DRAFT_782750 MDISNDQTGNKGSDQGYEFYLYPRQASGNSRFVLGPASEQVFER ELMENNTLSEIAFPVVEIGMTTHDMGLQDPQWPQEQNWQVAMRRLGFPMSNLGLETDN MVPDAGESLETLLDYHQLDPSGEWRLATPQDFPASNTALGIRQEQPQILSAGFRADPW PKALEDYLMASRKNGCTYPEISAKMLQEFGVERSANVLQKKHRMIRERDAEENGIMQF LKGATPSLLRCLQDEMNKLDPKVMNEELRQEVWEELQRKLPKLVHTLALQTRIGN LY89DRAFT_670014 MATFQARRIRQKYAKLFGCKDAPRYPHKGPIFGLDLFFDNQEHA KTFTLLETWTTRFEKYGHTFRANFLGSSAICTIDPVNIQVVHGSNFKDYGVQPLRRAA TLPFLGEGVFTMDGAFWEYSRNLIRPTFNKTNVTNLSAFEVNLKKFFDLLPRDGSTVD LKPFVCRLFIDTSTEFLFGESMNILAIKSPARSQEFLDAFHYGLRGTGARLQLGKLDF LYRDKKWRDSIKVAHAFAHHYVDKAIQYRNNRLAEDNSLSSKSYKTDDARHKYVLLHE MALETGKRDVLRNQIMHVFLAGHESSAVAIGNAIFQLCRNPDMWNKLKSEVLDREKEP LTPDALKNMRYLQNIIKETLRLYPVASTATRIAYRDTILPTGGGADRNSPVFVPKGST VMTPVYAIHRLADCFQPNPNAFQPERWEELKPGSSYILFGWGPRTCPAQNMAEMEVAY TLARTAQEWENIECRDEVKEWVEELRLSTSSRNGTKVGLVWASPQEQN LY89DRAFT_685454 MPSAKGKPTDPKLKEKVTEEVKQQPNKDGSGKGQMAAWKAAKIG KEYEAQGGDYENEPGSKDKPEKGPPQKKSESEKKAETSGSVKKDEDEEKGEGKKRGRP KTGEGKSVKKAKKEPREGERKSARQQAKPVQEKKADDEEDENEEEEEKPAKKKGKTAG KAK LY89DRAFT_719214 MANKSISYEYSKITQQGIIRLILLQPSPSHEAPLACSLIDVSLK DCDNDILEHYVALSYVWGDATQKGSISIDGKFLEITASLELGLRYIRDKARVLRIWAD GVCIDQNDVKDRNTQVTVMASIYSAARHTIIFLGPGIAKHESLLARMVDSDSTDEVDY DTESFKEVADDVLAHAWFERVWVLQELVLSPDPWVQLGRVRMRWDHFLQYMSKETDVR SSRQELLHGMVQIRSNHQVQRHNTAGTGWFQPATMLDILEARRGLGVTDPRDMVYAHL GMAEPSVRSGIDVDYSKSKAKLYEEIATQVCDVSRDLSILSFVEDVDLEKRLDLASWV PDWSVTLQSSRVPIEKAFRGGTLNLISFNILYGLQGVLSFEGQYHGTVVEFLPRGTLL CRDRHTVHYYDDSLMERIFELESVGIVSVLLTYFARLDKSWFKSQGLVHYLLFERCFN QRSSDRSWLGDKEGRRESSDKRVQHSQLMSDMVQKLPLSTKNALEEKFPDSIQLVEIV YAFISDMCGRLSDDADNQIALVRCGEDLIYYCVPKHARVNDILGCHPLHREIFLFRPH LHGKDPTDCNEFLQKARKKLTTGARPLLPVEAH LY89DRAFT_85000 MPSIAHLQTLLLLALATFVLAAPRQTQQKRSFVVERIPNPAFKG RTPGAGTRALIKAYRKYGVALPEGLVDAMNSANAGSTANALTNSLADLTGATDDKAKK EKAKGNKAGTASAAANSTTSATNATAGTGVVAATPEQGDVEYLAPISIGGQTMVMDFD SGSSDLWVFNTQLATASQTGHTNFNPQKSTSFKLMQGATFSISYGDGSGAAGNVGTDT VNIGGATVTSQAIELATAVSTSFVQDTQSNGLVGLAFSKLNTVKPTAQKTFFDNAMPS LAMPVFTADLRKASVGAYTFGAIDSTRFNGSLSWAAVNTTNGFWQFSSSKFAVGTGAT MTVAGGQAIADTGTTLMLANAAIVNAYYSQVTGAVNNATVGGVTFPCNANLPDLAVDV GGNYMATVRGDDINFAAVDATGQTCFGGLQAIQSDLQIYGDIMFKSQFVAFNGGNNSI GMAAHQS LY89DRAFT_85018 MEPHRLAIAALGQVAQAMTPSTSTSRDTADKVSSNATGIDMEPS TTNNKIVDNAAGTHPLSQRSSQTNKTMARYEIYEEEHDTAGSGRRTASSNNSQSTTDS HDIVSTPPTSSTEAFSSQSTNADSQLSQLSQLSQLAAQQPPLSNEGPTRPSLAIPASA GQKRTADGQVKASSSSPDSAKPRGHSRNTSTVSNVSSVASSRIGELSSELRTRLSYAM IKVNNGWQANTIDEVETLASQAGSPTSSSSTLQGRRNQITSPRTAIANVQGQPSNMSS VSQAHTADFDLYSRNDPPSRTYESFWRDHSTTSYPAPRTALPHGPITSPPSTSLGPPA DIRPTPTSRRSGTPKFSKPPTLPNHGSNSPYNASAPRTPHRSDLRENATMQTPTQKTI QEQDAIETLLFMSSPGNSGNMGHAFPPPRNHGSPQQSPLRAEFNVHARVAPGRRVEFE PTATSASTESSEAGAPEYKRHVRGKVVTRDRIRGQAIDRLLDEMGDSSSDEEEIPLNY SSPRRVTAGRV LY89DRAFT_85059 IRRFCLFSDERLSGERCFSHVPICDPRHEKYKTKNLHFKACIQE LINTNVVIDSISHLSYLSQQASLTFTFPNTQDFFKMKLFTTFIAIFPLGLTLSIPEVR DLTTTTAAHRCKIIAGADVNCHYCDQLSCDVVKVLQNQQTYNFDCLCPNGETINNIGA WDHNPDYSCWVWANTTDYNCPTIGSNALPECSFCGK LY89DRAFT_685458 MSTIARFKLIFFVPPSALKACKSAIFAAGAGRYSGPGTYTECCW VAMGTGQFRPGDAAKPNIGKVGELEEVQEARVETLCLGEDVVRKAVEALKSAHPYEEP AYEVIRLEKF LY89DRAFT_734609 MVEYPGELYEHEALPTPSSFRVLELLPGVEGDPISCLLRTAHWA DLPVYEAISYAWGDPNAKVPVIVDGKRLDVTANLQTGLKHFRYPDCSRVMWVDAICIN QKDIPERGTQVAQMRKIYQNAKTVLIWVGPDSKDHQARVAIDSILTISDFLCQKLGIP VSELGSADNLYQEIMAKAGDVLPVPNECDFSTEAMWKSLVWFYKHPYFTRVWAIQEVN ANKERLLHCGLEKVMWDRVSLVACYITMETAFSKAFGFSNAYCWWAATVTTDLVQPRN WLLMLYLASNFSCLDPRDVIYGLRGMMKIEKGAELLAPDYSKSVEEVYRDSVEAALVN FDKTDVLLYVQGTEDPSWVPRWEIPMLFRNPFRFGKPLPWRPAGETSPTWEIDKESSI LSLSGFIVGPIKFIEPYNESCFGNSMTESDKGRQTLKETWQSILGTMRKSLLHVPFSA DELTTAATSFSFGLNEKSDPADEHLLLHRFVAYLKIALDEETYNMYVPAEVSDDSKNA DGTLFGKPVWDFKYPESSFFITEDKYMGCLISSARPGDVVYVARGSTYPLVLRPDGEE FRIRGFAYVHGLMHAEKQGLGEKVFKIR LY89DRAFT_685461 MPSKDASGSSYTPYTVTSSGTNSQGNNYDTREQPSGPAYHYSNS DGSYYYANGNGSTYHNSGSGSTYTAPDGNVYKK LY89DRAFT_782760 MGWVSKADAIGKIKWSLYHGAPLPEQLPDGDWGINMQGDYYLNH FDECESYYYFNADGSWYHCDGTPGNPDALGAAKYVTPRGDTIQRPSTTDASRPNSGYF VGVDWKTGYERIYWDDGMGNFARTFRKDIQDVEKLDKFKVRTARGSDGKELVFDVADD GTYEGPDPKIILAMKFEKSREERRTSHLSHLSPRKIPLPASPPVSPEKRITGMVGKKL FPVSVKVEPVDDDPFMVR LY89DRAFT_617769 MMFISITLAIWLAISQVQCLVQKDDVGKLPALGWNSWNAFACDI NETKLLTAANQIVSLGLKDSGYEYVNIDDCWAVKSGRDNKTGQIIPDPIKFPSGIDGL AKSIHSLGLKIGIYGSAGTETCAGYPAQLGHEYLDAATFAAWGIDYFKYDNCYVPSNW TDPYDACVPDQWQKYGPFVNGTCAKSSTDAPTGYNWSTSNTAHRYAIMRDALLAQNRT ILYSLCEWGQADVVTWGNATGNSWRMSGDINPWWARVAEILNENTFLLNSVNFWGHND MDMLEIGNGNLTLAESRSHFAFWAAMKSPLIIGTALDVLPATHVAILRNKYLLAFHQD PLFGAPATPYKWGTNPDWTFNATNPAEYWSGESSQGTLVLALNTLGTKAPKTIVWKEV PHLEKEHDAFQVTDIWSGESLGCVSKGIEIKVEAHDTVGYIVGEKCAPMFSS LY89DRAFT_707726 MEGKTIYLVTGANRGIGFGLVQALLLRPNTIVIATMRNVSQSEN GLSSVETATGSQLIVQAYSPDSDPAGEASKLTTSLKAEWGISKIDVVIANLGIGTDFH SGLDSTSESILKHFQTNSLSPILLFQKLYPLLSTSNDPKFILISSSLGSIGEMEGGVP SLAYGISKAAANYFVRKVHFEHAPITSVAIHPGWVKTENGQNFADAIGVKEPPMTMEE SVEGILKQIDNATKQTTSGSFVSYDGSNIQW LY89DRAFT_670024 MSNHGFTCFPRLPLDLRQNIWRYSCHERRIVDIWTVELGELSMH AMGTPRGPYHACLRHVTICKIPDVLHATEESRRIALTDYTLAFGFSHRLSHGLKLTGA PQSYVNWDSDIICPMSLERQTCLDFFLAVPGLSKIALNISDIDMDLVDLTINSWIKEV ILYSNWYPLKNVDFSLWVDRCEVELRDEWKTEEKAWLEEGRAALYRRYEKMERRKKSS DSSLDSRSNPGQELSIQPMEEEELAQKHPRPLVTFKRLFVNGIDIDSETVRRNEERFI NEYKKAMEETQT LY89DRAFT_586691 MSPSKPKVIGVGTTCYVTTTENKTVLKGYRVWFDGNLVFDYGAA CEERLAREYTIYKHLGKHPRILTCFGLEEVHPGVRSLRLEMAPLGSIRAYIQNKSNSP PPIRQRLQMALDVAAGLSYIHLRGAMSCDLSCSNLFILDGLRIKLGDFGGGLLNGFDF KWDQTHESRYHLPSRGRQYNDVPLMKRELFGLGSAIYEIIAWKRPFPELNDDKVDNKY AREEFPPLHSNVARHIIWNCWTEAYASAREVASDLDQISDIES LY89DRAFT_782764 MVFKKAAPSFTNFPKLPTEIRIKIWEEASYLPRNVDIWPDRSES VPGEWWDPLYAGGKQTLRACFGFKSTLEHDVQISVPHRIYVNWKSDTVFPVPLGDSDW HTDLLERPEISKVAMNIAEKCYHFKILRPSLEEAILYYLDPLMDQDALSSYFDHFEVS FRPFNRRGPPKRLRELFDLNKAYIETDYEVMIENEARKRLPDNHIILNAEDDVERNRA LELEKEKLRLLHPIPVVRYMRLFLNGKDSSNIQNRYLSSAPQIVG LY89DRAFT_707728 MASGLFDTTKNYSLYAVPAAWLCAFIPHAYAAFSSKSFDNRSPR TYSESLAKDQTLDQAKKNCILRAESAQMNGFENLPLFTTALLAGNLASLPSSTLNTFA AAYLASRVLYNFIYINNTTETMANVRSLVFISGVGMCMSMFVMSGNALKSGMQNLL LY89DRAFT_85241 MERDVGLQQGTCGSACNTTPDCRLCPRGRCFGGKCISTRGTALP LFGGFDVDGTVIAGFKKRSEETATTSDTATATSGQGSAVADDFSYNTKCNSTRDCKEE LHSHCVDGRCVPTCMARDANCARGEAVMIEELVQDDRCILPCDHPEHCSPCDANVCRL GRCYLIRG LY89DRAFT_85296 MASNSHSQASLPSLPAHLQADTQITAHLASRFHVSLPTAQLSSH ALICLNTYASSSKGPDGGKEGSAMGGAEEMADRAFTRLGARSENQAVVFLGESGSGKT TVRSHLLSALLAKSSTPLSTKLSLAAYVFDTLTTTKTATTPTASKAGLFFELQYDTAS TVNPTLIGGKVLDHRLERSRVAAVPTGERSFHVLYYLLAGTSAAEKAHLGLDTDSSLT AAVAHPTQAGSTAQKRWRYLGHPTQLKVGINDAEGFQLFKTALRKLEFPRSEIAEICQ VLASILHIGQLEFETAADTLATADDSGGYSHEGAQHITAVKNKDVLGIIAAFLGVSAQ DLQTTLGYKTKILQRERVTVMLDPKGARANADELARTLYSLLVAYVIESINQRVCAVE ETVANTISIVDFPGFAQQPSTDSVLDQLLNNAATEALYNFTLQSFFENKAEMLESEEV TVPATSYFDNSDAVKGLLKPGNGLLSILDDQTKRNRTDVQLLESLRKRFEGKNPAIAV GSATAKLPGSNFATHNAAASFAVKHFAGEVDYVVAGLVEENGEVISGDLMNLIASTKS DFVGQLFGQEALQTVVHPQERTTIMQAQVSSKPLRKPSVMRKKGDRPSRFGGRDVKAS ESVEDVSEVQDDPQSRRGRNIDQGAAGQFLSSIDNVIKSLTAPNTNPYFVFCLKPNDR RIANQFDSKCVRTQIQTFGIAELSQRLRNADFSLFLPFGEFLGLAEAETILVGSEREK AEMVVDEKRWLANEARIGSTGVFLSERCWAEIAKLSERGFTAGRYPTQSEDGAELTPG EVHGHYGASKERLLGTPSPGAYIYGADKKSGYFGSADVDSRSEAGASALGQGDMFRNL DTREQMAEKGNEKTMVEVEEVKTSSSRKRWIAVVYLMTWLIPDFLIKWIGRMPRKDVR MAWREKLAINMMIWISCLFVAFFIVVFPMLICPKQNVFSAAELTSYNGKGASAYVAIR GQVFDLSDFAPSHYPSIIPQKSILAYAGLDATSLFPVQVSALCQGVNGTIDDSVQLDY TSTNTTGSALVISNTDTNYKYHDFRAFTNDSRPDWFYEQMLMLRANYKKGNIGYTPKY VSTLANKQESIAILNGRVYDFTKYLQGGRKIIVAAGQDVPTDVSTDFMDPLVVDLFQQ KAGEDVTKYWTALAIDDGMRSRMQLCLDNLFYVGNVDTRNSVKCQFSEYLILAISIIL CSVIGFKFFAALQFGGKNIPENLDKFVICQVPAYTEDEDSLRRAIDSAARMKYDDKRK LLVVVCDGMIIGQGNDRPTPRIVLDILGVADTVDPEPLSFESLGEGMKQHNMGKVYSG LYEVQGHIVPFMVVVKIGKPSEVSRPGNRGKRDSQMILMRFLNRVHYNAPMSPLELEM YHQIRNIIGVNPTFYEYMLQIDADTVVGPDSAARFVSAFLDDTRLIGVCGETGLSNSK SSFVTMIQVYEYYISHNLAKAFESLFGSVTCLPGCFTMYRIRAAESGKPLFVSREVVE AYANIRVDTLHMKNLLHLGEDRYLTTLLLKHHSKYKTKYIRNAHAWTIAPDSWQVFMS QRRRWINSTVHNLIELVPMSQLCGFCCFSMRFIVFIDLLSTLVQPVTVAYIVYLIVLV IRHTSVIPITAFILLGAIYGLQAIIFILHRKWEMIGWMLLYVAAIPVFSFGLPLYSFW HMDDFSWGNTRIVTGEKGRKIVITDEGKFDPASIPHKKWEEYQAELWEAQTSKDDRSE VSGYSYGTKYQPAVSEHNYPPSRPLSQVNLNNYPYDNKSAANIHVSRMSLAPSDALGL GMDHRQSQFGGSQFGGPEMEMANLAGLPSDDAILAEIREILKTADLMTVTKKSIKMEL ERRFGVALDARRAYINSG LY89DRAFT_734620 MSGNNRMSMYSTASSLPGGSRNIHSAAQQSTQVSTTTLLNAVHT IYTSGQPYALDASSSLVVNTWLTASQPDHEGRVGGTVDAALAARAWEHARRRAEDGCI FLGSLHESTPSVLTPFLSTLPLSIPSSLYTALNALRPFIHSVSPQNHSTPRQSGLAVA LTLTLAGNLTAVSLALSDGGIDTSKGLLNIPAEQGYRAFDVFYYLLTSASTPAEREFL GLKHASNYSLLAKSGTYDPPSYLPTADDAAAADDFRAALKEIGIKGSAHRNLISILAG LLKLGDTLTLSVDEDVLEDICEDVGGLLGLEPEVLAHQCTTSDRETLIGGLYEALVDW VILKANEAIASEMVRIKDADNSSDGGPGARTPNTEEENGDSVCITVLEIPNPTLGKAA AMRGVFDDTQGINAEMKEDGVEVVAAGSSVLREMQNAVNDVAPDLGIMTGPAGREREH ELEKREVVLEKVGREGEEDGFLKKILFPVEGQGVSLGREGRVDLPNILGSSRVWYHLS LHPTDESPASLAALPSTTSAWSAGTVSRQLRAWRLPEWANRRNKNLDFTADFDHDEFV QRYATLGCKDGRDGIESWIMERGWSNGEVVVGTERIWMRETAWWEAEGMLDLKPADDQ GFASLGGVLGADPMETGYSAGPHNGSGFFPPMNELTQNDSREQLIQRQQSSMTLPRSN LGAARSVAPTSRNVSGGDYGLGFKGDDRKGQVYYNNEMGEFIDNMDPEMAEPKTIQTT AMSTGRRVWVAVVWLMTFWIPSFVLRFVGRMKRPDVRMAWREKLVLCFFILFMNGVIV FWIIEFGRLLCPNFDKAWDQTEVDTHQGSNDFWVSIHGKVYDISKFWKTQHSDTVIET TQDVMQPFAGLNLDEYFVPPLSVSCPGLVASDDTSLVLQANTTVLYPEGVHTSGPLQP YTTSALHNINWYQDTFLPKIQEYYHGDLVTKKSTVESDGQNNNHYWFILYGKIYDLTD YFYTLKLEGNLASYEFIDTTIASMITQNPGLDITSLWEKNYAISNSTQQDVIANNLNC FKHTFYVGIPDFRDSVKCQINNYILLAFTIILCAVILTKFLAALQFGSKRRPTPQDKF VICQVPAYTEGEDSLRKALDSLTALQYDNKRKLICVICDGMIVGGGNDRPTPKIVLDI LGVDPKIDPPALPFKSVGESSEQLNYGKVYSGLYEYEGNVVPYIVVVKVGKESEQQKA KPGNRGKRDSQILLMSFLNRVHHRSPMNPLELEMFHQINNIIGVDPELYEYLLMVDAD TSVREDSLNRLVAACANNAKIAGICGETSLENEERSWWTMIQVYEYFISHHLAKAFES LFGSVTCLPGCFSMYRLRTADKGKPLIISDAVIHDYSDCQVDTLHKKNLLSLGEDRYL TTLMTKHFPYMSYKFIPDAYASTAAPETWSVLLSQRRRWINSTIHNLAELVWLKEMCG FCCFSMRFVVFIDLFGTIILPATCAYLGYLIYRVATHSGQFPLISIIMIAAVYGLQAL IFILKRQWQHIGWMIIYILAFPIYSFVLPVYSFWNQDNFSWGNTRIVIGEKGSKQVVA IDDEGFDPRSIPLQRWDDYASANNLPGRRGGPVEKNFETYEDQYEMDDMKSMYSSVKP ASTVLTGFQRGGPYMPPQSPAFGGPNNRVSVYGTPYVDTAPQAVRHQSMMSLGGGLQD RSASPYQDYPTQQSNLHSTRPSMVMLPTSSSHDLLSGSPSPLHQQQHMSMSGSRSQIG FTGGSRPPLAAGDLSRPVSTFDFQRGNQGPDDGMIVEAIQSCLREVDLDNVTKKQVRA LVEQRLQTELVGERRTFLDRMIDAELANM LY89DRAFT_782770 MSYKQPLLNAGADVNHEGGVYHTALQIASRTGNLALVTLLLRAG AKVELVGGRLGTALQAAAYRGMESVLELLLDASADINQTCGVYWTALQAAAFRGHEAV VKFLLERNAEVSIEGGKYHNALRAARVEVRRRVKIVEKILLDNGAIDTFVPPENEIVD GGYDCFPYLSDEEDEF LY89DRAFT_617787 MGRNTRQPKSDFPFLAGLGLKTTSIRGDGNCLFRALSEQIYGDQ TRNSEIRAKVVQYMKANPGDFKPFISVETGGGFRRNPKRKNAAAGDLTGPSESERDQA WEDYLVRMARNGEYGDNLEIRAFTEAYTVDVRVYCMPASSYIIRCGQELATGEYRQIA HIALYSEHYSSIRNINGPDTGLPNAKPSDLEALVANQATTTKASKTMAIEQWMVNSVS SSLPNFVDEDTITKILQANKGDVDITVSQLLGDTDSPSSTIPSTPNSSISSNSGNYSI VRDEDSEDEEPRGPNKRQARKEKERLERERISNLEAEIEAKLAAMPHLHVSTVYT LY89DRAFT_734624 MTGQKRRAVDSVELAEQAKLKIKVRLEIRKKQEVHRSISARVII GSPQVEIWVGEKQQAFIVHQHLLTLHSGYFNRRFSIDEEDEKIQLPEVQPKRFTDFVS WMYYGTLLDTDVTESETAGEDNWALGSFLEAPSFQNHCMDDCFRHACRDERRHFVYVT SIESVYKATEKASVARKFTTDLMNCLNPLKKLKEGSEKWNDWTAMLERCPDLKADMEN AVRQDWNGTYPWDCQYRDSYMVEEFNLGERWEEQILARRSLEQITMDAATGEMKSVLQ LSHLKRKK LY89DRAFT_707731 MPNIVVVGAGVSGLTTALLLSKDPEYKVTIVAKHMPGDYDIEYT SPWAGANYLPVSNKAGSRWEKNTWPELDRLAKNVPEAGIHFQDAIIYNRKKDFDATTG QWFNELLSATPWFRSTVPNFRLIPSSDLPSFADSGSCFTSICINTAIYLPYLVSQCLV NGVVLKREVLTHISDAASMHHSGSKADVVVNCTGLLASRLGGVMDKSVIPARGQIVVV RNTPGAMFTVSGTDDGEDEVSYIMQRAAGGGTVLGGTYQKGNWESQPCPNTAVRIMKR AVELCPALTNGKGIEGLSVIRHGVGLRPLRKKGVRIESEKIGDVMVVHNYGHGGWGYQ GSYGCAEGAKELVDEALKPRAKL LY89DRAFT_685474 MSNSGAPFSHWYLSKQALTSCAGCPPIDLSTLPADDTRVLSKQR GAIVLELNSSLVYKSGSRIRRNEEVAMRLVKQYTDVPVPDIMFSAYHSEGGNIGMTII PKSPLQFS LY89DRAFT_539824 KSFHTTKINMGVHNLASKAEFDSALKDNKVVILDAFATWCGPCK VIAPTVVKFSEEFPNAHFVKIDVDEVPDVAQELGIRAMPTFIVFKDGEKVKEVVGANP KALQAAI LY89DRAFT_544741 MHNSGTQQDPARQDGSELASAGPERRKSRRDTKRKSSRESKKLQ RDPEKRDKRRTYSFSPGRNDSIRVARASDRPPVPAIPLNLKGKGRAFTEKEGSAPAGT KPLRASTQPPENTQDWQRMPTLHKRSAQELARRKSSKKRKGDHDREAEIKAMVAFMPT RPAADVNSSGRPMKRETIRGGKVVRNSENQSSDVSLPLAESLRSSTSGHSEPQTSFVV SGFDMLAPRPTIRYSENPRYVPGASGFGSTRSDSKRKLSDRVPMPEETLKANKRVDDL ADDLSAGELRELMERDEKRREKKKIAERIKIERRLARRQEKQRAEETEAARQGTPPPS NMERGVLGREVVGLGIGTSAVVTSSKRKSSAGSDDGRGIRPAAESFRQDSTISAHDPF ADLHRSASQATENFTPGSERSDPVIETATVGTVGKASVSPTIPAAKGHTRGQSSISQM MDLTQSEAPQPSTIPEPTMQPAAARAKTPSSWTSFFKLRGAKKRHTPPSSFSNTSRDS MHVGQASQIGYTPMRSTSNIPKRTMSKFREDLPELPISPPDSRVQSPEADIVPPIRTD YAEKRTGVRASSDDPRVRYDTPTSGYRSLDATRLPDETPTSGHRSTDAPSPEHATIIS QSLASIDSEGSWLSGRRAGSKRGSSQLTQHAPIRDSSSSLNKKYKEFSESAEELGIAE DEYFSRLSPGPEESYKIQRRSGNPMPSSDDEEGGSISSPITSEKWGAVGRQPTVVHRE PRAKSREGLLNDFDDDDDSGSEMASSPVDAESNRESGLQRATSVDLGKNHVRHISAGS ARLLDLKPRSSVDSRRDS LY89DRAFT_670035 MPSEQMDHYLVKRRYYKRAIDLTGNEEVVGGYYNLEDANRRAEA EAQRLYAGTDKTSTEPPRNVSYGVPYCAFVGLNEARGYYSVVVYVIKVEGLKEPKEED DNVRVKSEPVDDDHGRSVFTSTTASSRNVKTEPHTNPAPFPPFLPQGRPGCLKGLHYA FVGFLYNQAAITELITSCGGEVHPIHKLRLMEIPAPSSVIIIKGIMGGYERVPVDLGQ RAPNGPCHTTVCCPEEVFEFIEAGRDRQ LY89DRAFT_734629 MPVTFPAGKIYLVHRSDYASHAEEGKITEIYGCYTTLVEATKRA RAEVRALERECTPMRKMNVEYDPLFGAMVWVHDGGYADIEKSLISVQKMDIERYSEGE ASDDEDFDDGDDEEPDSEGLGLQLESDEESEEEEEPEPESIIGIDPRPPLAPFSAHRQ RQQDHEPTQTNNEPARVRTLYTPEAYPPPPPARVPARQIQRLAGRVFATAGTQRPLNR AAINSLIRTHGGTVVPLLPIRDQIQFVVLGDDVSVQMLNEMGEKGIAAMRQDQLLEIL GVGG LY89DRAFT_670037 MGNDKNSRGRPRVPRAAAIYAQQRKERKAAEKAGSAAQSPTSAQ TQSPDGSANSDKTVTSITKRTPLKNADVTISATGLTRNEVIEFTTMEGGRIQNRLQYP YRLPYQFSAAFAQMTLAEKEEFLHEGKWTEIIVDVSTSGNTQPTETVLDHLARLDPDY LRLAKRLSVRIILPSLEVLSRSSPEGLKGFPGYHLAYNVVPEINRFTSLEAIQVSLEV PDAVTVCLDEHQLVFVIPFMELRLTSWTFWLRNPGTSRPVKVSTNDHEAMCRLDRELL GIATQGGN LY89DRAFT_685477 MPSTASKFFFFYAAITAAGTVLGHTQMGYNLVFPALKKAPNSPG VKAARIGWMECNQGFAFIALFCIKWANHGLTSYYDKIFFAIYTVAQIWTGIAYLRAGI YQPLVLLWGIPALVGIALLL LY89DRAFT_617796 MLHEILLSLSGHPSPLLTADHSSPNSILSPPEKALLSSIAHLSD LHCRLLAHTSTIATTHPSTICQAVATAIRSTHLAQFQRKVLEVEDGILRKDAGIVGAY NIVPLTGIVGEFSGWPRRMEWFLEVVEFMMRSNGGQTKKCAGAMIIDKLCDSIRTGYV DIEEAALSLVRVAETAWLKQISSWVLYGRLPSFGKEDFFVQLILGDVQEYESKPELLP AFVSTPTANSLLFIGRSLNHIRVKGISSASSPELDLLSSHLQQLSTLKFPINSANFSR VIASIRLSLSRTTLQKLLPLSRVLEILFLLREFFLLGRGEFPLALITEADEKIRSRWR RADNIGYDKRDNIGNIVVKEGEISAVLARAWAAMGLLQGQYVDDQDEDELLELARDLV QLTIPKSTSTPSKATATPQTMVSTPFRNLLLSVPVSLTLQIPSPLDLFLTPPDVQIYS GINAYLLSIHRAHLRLTDLWKITALRRDHPSPPGPPYGSSTVGRNKVRTLRSRANERS AAMRSVWATNSAAVFFLGETEAYLQGEVVQGTWLGFKNWITGDVASRPTSSKSQPIED EDDIWLQAGKEPIAQSPTYTHDPQSLADAHRRYLSALTSSLLLNTPSFTEPLYHLLQQ VDHLVALVYRVHSIWQSLDLEADEGVVDAFSDFHKEENDVKEQLVVIANRVKGAIEEL VKCLRDIDQEKEGWDNGFSELVLGEEGAYVPAKVGRVDRLLMKLDFGGWFDVTKGDGD LVRDGDSDYDEL LY89DRAFT_697646 MTAKSVREGGPDLKGPFDFPDTPLSRAAHQPLLLGVFLNLQDIN ISSYPTSNTWTFDYNVNIVRQAEALGLELAFSRTQWLPKGGYDGEASLDAFVALGAMA AVTKTIMLISTMHVLYGPLHPLHIAKYGATLDHIAKGRWGINIVTELFNVVNSLWGET DNLSYKRKVSPWSLENAWITPKPLFGRPVLVNATGSPAGMEFAAQYSDLIFITSPGGV HIESALETLPEHIAVIKAAARAKGRTIKTLINPVIVSRHTPEEAEAYAQSIFAGKPKT DSKATFGNTNAKAWDSDAHAWRGRKDARHKQGLGLGGNIEIIGSPEQVVEQLVALHKL GIDGVQLCFYDFEKDLEYFGRKILPLLEEAGLRVPIKTDAEGLSRCVIVRLGQQQ LY89DRAFT_719235 MQLLAFLNAFIFGRASFSSLSGNGTSNSSSLTSTTSTPLTNITR TPPPTNITSTTILSSSSSRLLINSTSSFLTISPTRSPGPSITSTSMFLGTSPRYSSSS SPLVTSTGKWNTTSSSQFPTTRFSTILPSSFHNTTSSATNRLNTSTSALTTSWKWNTT SLSQSPTSFTGLHTSNVSSSINSSTAVRTIRISSLVSTSFSRFSNASSSAPSSSFLIT GKTSFATLTSSTSSVSSSTVPSWTNSSTQSTQSSSVVTTTPWLNSSISFEKHSSTSTL SSISTIPSWANSSTTFSLSITSIPITTAILPKSEFVSATSLELSTFNSVPPSLGSSGS LTSVSSETSSYDIDVPITSLSLPTTLPSSLESVTPISSPTSTIAQPTPSAWSCPTAPG LTFGPAEIESAICSTNCASPDATTRASLFMTALTNNNYGDTINATSILDQLLPPLFEQ VQSLRNQGSSALRSFGREIVPQTPSQIVVDEILVCGIALLVFESFKCTVEVVFVPGSG VVFTVTCVVATG LY89DRAFT_647170 MANSPLDVAIIGAGLSGLALALALHQQSISCAVYEAREAPLNVG GALMLMPNGLKVLGKLGVYDSLQKRGYNFEHIYIQDVDSGKILEKIDYGNVERYGFQA LRLYRYIVLEELLVAVKAKGIPIHFNKRFSHIVSETEDSVSWQFHDGSTETASLLIGA DGIHSTVRSHLFPDIKPVFANMAAIVAAIPTAQLSLPPPTDPNLNSPSNTYPIPSGLT VPGLGAFVIAPQTHAGDEVMITVQRPMASTSISSSDKDALRSLLRQNSDRFPPIVQNA VREIPDAQLRIWHFYHIPRLERWMRGRVLIVGDSAHAIPPSGGQGANMAFEDVYLVAL VLGRLGGKPSGGELERALLGWQRFRQRRVDRVLELNRQMDLRRMPSAGGGEEEEKVDM AEMFDWLFNIDFEEAVDECLEGVL LY89DRAFT_782781 MVSRCLTAISVALIAFSSTAQAQTNFTTNWNPLGEGCVDSAGFL SCYGERETKAETCTSVCAQSNTKGTPSYNTCVDACNELWLADNIGCWIQSCWNQVYSC AYQLTALSYFDGSGLAQNSDVPFYPPPNDASAGACSCNLGYVYGNLSAVSTSTQGSNC EVIAGGNNATFYDCECCELSWPISNILNACPKSDLSGINFENGVSFPTYITRIATQLT PSTDKCAILNNGTETCISDYNFPYAGNTSYNPLSLPAAEPGKEALSNSAGNAFTVFPS ASINLTLTMAPSYTSTITPASFGASASADPQSIAGTSIMGGTTTSISSTTTTTPSNTA TSSSATATSTKKSAGVKMGGNLGLMALAVVPAVICIS LY89DRAFT_85967 MSQAIRRHNTGLSTRSTRSGHSDDSHDSQSTTPTSLYSSPAPSM QAMYSKQQPDISPATTYYPRSSTETYSSTVESLEELCEEPESYDPEYQVPEYREVIDE NIRASNPSDFAEYFPSTKRLTICHDTTTHDANWNLRVDGEDRKKRPIQLFHLKMNDLS KREFSLRRYERASGREVCHSCRKNKPVVEKRPTEKLTRSMSTAFASIKPEFKRTNSGL SSHSRKNMKRQDSGYVSSDDYEPDFEEFMNKAKAVQIPTNTTKLEFSNYAQVEVKRRG AKSTKRYEFEYWGHDYVWKRVTKKDGSGKEVSYHLYKDEGGPAVAHIVPELRSPAQIE DEERNGGWVPPSSLWISDKSITGEALTDVAEVIVATGLIALVDDCIKRHFHPRPVHKT HHVPATKYEMEFVNPRTMVSNMFKRRNSGGSSKSEQSQRSSPLRYQSPVAAY LY89DRAFT_86070 MENRCMLCTCYLAESDVSSCIMTRRREWYKVARVIRERIFDDGL TIKRMLTLFGVISLDFLFSHCFSFLIRTIRSFHSHHFIIQIIFKLFQSNRTTAKKNHS THRQNLPTPRVSERAPVPSRHPHFTLQYICKHRAFLEPFRIFSFPCPSVYCVLTDLFR LHHYSRFRTKVRTKADDCVKVS LY89DRAFT_670044 MRTQLLFPLVLLLLTLTTATLTTLTTPPSASPSPTLELTNLTTN DTNTEVSKHNCWGVNCCNYYACGQNGCICSDGTKVRVLFGDLGSAVLVGGLLGIVGAG MVARG LY89DRAFT_685484 MASSSASPGGALLRASRVFSIPPPLPRPMGELSVRAVFSSDTAT LPHPTQLSITTPPSSRAKGDWGFKRPLPLRATTKTSTPFIRVEAIDTFEHITEFGSSA DHAISLQKWQEMGVALTVPVNKNTGGFRHEERKSVFEDNMDSTVEPDIESSRREDVRW NFKGPWLAGMTEGDFNAYLTRQIRDKKSEFRKYLRKACAKSLTAEARRKAIGEEEPPA PTLVEDVTEQQMTEYVKTLRHDRTELYGLIRTFLDLPPAPSTVTGNMEEFLTDALSGK SKQGVTMEHVPESASPYAKTGPPKTHPSAGLSYGLTDAQAFNHPEFGPQKFKSPVKAR VVLPKNASVGNFAPALGVGGFVTAVPEGTGGSFNPSNTKVYNNRSQISSIPGVNMIEP EKVGGSKVYVEPVHAQIDPSGKVVLNVHLADYEAIAVKEGTTNQINRSSIIAGGSTKP GWKPVAGLQEAAQAGSVFARTYGLTRDSVLSENAVAKRAREAEVAKDMASLLTEDEKP L LY89DRAFT_647179 MGRVRTKTVKKSAKVIIERYYPKLTLDFETNKRICDEIAIIASK RLRNKIAGYTTHLMKRIQRGPVRGISFKLQEEERERKDQYVPEISALDFSQTETGQLE VDTDTKDLLKSLGFDSVPVTVVAVSQQQTVERPRRNFNDRPPRN LY89DRAFT_782786 MSSYPATSATSSKTKEERDREVALYHANLIQQRKDIELEILLST ETLIDYPLARSPYDASNPSPADAKTFKELLRPFQPSDYDALIVERNINEHCGYALCPN PRVKEEGGGRFRILGMNGKAKDFKVVEKEELEKWCSPACAKRALYVRVQLSETVAWER GAMENAANIDLLDEPKSEDGSLAERMEKMDIETDNAAGKKDAANLALERGDKTMAARN ALVNINIQEKDVSKVPQAPSLDDKDLSGRLDSMHLTLEGHTSTFGSRRERRHNEELDG ANIDDGEEDSEEDTDWQL LY89DRAFT_86459 MSSPPFKVKSVFEYTSPHDDDLHFPNGQIITVTEEEDDDWYSGN YVDASGVMQEGIFPKNFVEKYEPTAPPRPQRTNRPKKEAEQAPEPAPEPAYVPEPVHE PEPEPEEAYEAPAARAPPPSIPEPQKVTSAPPPKPAEPSPPPAAKPVSKPAPPVNDKP SGNSFKDRIAAFNKAAPPPAPFKPGGLGSGLNTFIKKPFVAPPPSKNAYVPPPTREVQ PQKIYRREEDPEIAASQAETHEQAERAGLVPTGNEGDEEDQPKPTSLKDRIALLQKQQ MEQAARHAEAAQKKEKPKKPVKKRTDSHGHPEGEEDGAALERKETGESKPSMDSVREV PRRRKSSRGAADIPPRKSFGDGNEADMSGAGDTTEEPEDTGRDESDEKPRTRPPPPTL ARAPTAPIREPEVGEEEGATEEEAPQGENEQEEEEEEEEEEEDVDPELRRKEELRARM AKMSGGMGMHGMFGAMPMPAPMAPPKKKKSTDKRAEEEVASPTSRAPPVPMIPLPGLS RVRSPEETNRQLGHEDESSPISSRPADDLPDVEDVVPSQERPAVPSHESGAPPIPAGR PAPPPVPIASRPSAPAPMSPSAGYESDDELQPSRAQTMNLASPTGEAPRPPPRSSTDG PGSPKSPNTKRASYFSSEQASPSSPTTPGLNKRNSRLPPPIPGAVPPGQNRAPPPPPP AAAPLSRSTTGERIPEQSPRQPPPEPEDEEVTEYEGDYDTDIASAVPHKDALKSHARD SSLDDSTPVRSPVLSPPPLPSAAAPRAVPPPPPSQPPPRQSADMPRAAPPPPPPGKMP APWEQDDDDYDPYKYTGQTAPKLPTIPTMTGGLNFNDNEKGEEEDIYGTSPPRTYASP PQDRAAPPPPPRDDVPPSSNRQAPRKSLDVHRSATTARRSMELGRMSMDSGYVANDVD LAPRSFWWTQPRGLPPIFQGRRDILLEFEESTQLKRGKSVVVKELYVLFQDYSQTIIT ATFAADDPADIKLEQRHEQPPARSRQDQLEQAHEQFGRRISEAVHSRKETVVGDGTPQ GLIQELLKPLSSALLPVGTRAYGAIIYSNLANASTQQNDEIRPGDIITLRNTKFQGKH GPMHQKYSMEVGKPDHVGIVAEWDGTKKKVRAWEQGRESKKVKMESFKGMRVVA LY89DRAFT_86535 MAEYPIDQTLHNHISRTVLAYPHSHTHTFSLSLSPPSLSQALNC TSLANSKTFLLRIMTDHNHFLPPSLFLPPSFSPPLNLIDPFLRLSFHYGTWIAIHLFT YLPPSSSSREEKHTLFTHAMYIYIYIYTYSYLPILIPTPSPTQSTLLPIFSFLSFLQQ QTNKQVHHPTNPPLPLSPSSPLPPFFLKYTSIHPAIPHPHIYITYPHIHTTSPAS LY89DRAFT_543530 DPKLLYAINGINAYHIENGKEECLTPAGPQTLSLLMVPTSSPFS GLSSADPQSAAPEEDFYLHLHLPPELDLPLPATTQIYHQPPRSYLIPRWDLGPESGAF TRIEFPGIGKGGGSQEDVDTFETILAQCTAFLERAPAPKSARPHRSKSNKELPIAPYD PSAFKPGEGYVQGSSSSHEGGQIVLIDEENGSVVGELGEGFHVVEDSKMKAGSKDPVE ITLPSDGSQNINVSPASEAYLEMSLHPAYKNSTLVSKAAMASRLIVTTSSYVSKTLQS QADTFTQKTKPNSKPMTFTPTTHSRIRKVHTFSEGAVGLSAKTVGQVSKYAQNLGATL AKRGERSQRAKNGIGADGQPIEDYKPGLLNKSMMAFSTIADGIDQAGRNLLAGTSTAA TTVVSHKYGAEAGEVSRSIGGGFKNVGLVYIDAAGVSRKAIVKSVAK LY89DRAFT_86781 MTSLPLQFSSGPQLGPFKQNTIGSCTLPSSTFRLLYSVQYSTVQ YRTVPYSTSPPLYSFLFGRSRSQPYYFLLPSFLPSFDFPFPHSTSPFPFPSTSHFHFH FPLQCCEKDIRACPSSLPTPPPLDCTLLYRTVPYRTVPISSHAPELLAPKRPSASLVR TLIARLEFSPGPSTESSPVQLQLQLQLACIDFLLVHHTSLLLYLLLSPTAKAPTSISP GDIPLRP LY89DRAFT_617818 MRGGQEEKKPQKMIIFRSANNSSGVNNGIHSVCKACSQSNRECT YPVAGTTPAPKRSEAPVGITIESDTGESKKRIRKIEDTGRRNSQKPGEDVLESPILTK KVWDELYEIFKLHYSTEMPFLHPPTFRNRMRQAAFPRDPATTPRDIEEGRVLLLGVLT LTARFHPGLIAHHSQNQDDPIAASEYYATALAAAFGPTIRNISNASLENIQALLMLGL YEWGQTRGMSSWLYVGLAIRLAFPMGLAFEDDPDKLLSQDSRSNRRIIRNDSAIPRED AIEKEVRRRTLWSCFIMDRMLSAGKERPTMINVDMLRVQLPCSDDQFLFVHNVKTGFL NSKWLDGTEVQHELTSVNDDGVLSRYIRLVDIFGRLSEWSYAGGRRTEKHPPWDPSTK FFQLRTQLDEFHDALPSSLTFTEANLSAHIEKRNATTYASMHTLYSLCLIVLHREYIP FIPLRCSAPSGPLDSPTFPPEKYDIPPGFWEESAEKIMLASRDIIQIVRTCQDNNALP ESPQIGFAVWQAAFVCLYAAWFPQMDPSGHVVGRNPSHPPDKSAGYPGLTRRILSEMV PRLKMVKVYLKSIGKMHDYFHKVTHEYYNVYNKRNKLRGGGLEDYKSYEKELKEFGEL KDASEVALSEGSDAADQVRSRASTNDIPGSSNGEPMQGIESATQRPNPSWAAVNGAVA PAAANASSPSVDDSKPYTTQYPYGPGPGYHPSPNLSSNAPSLISASNGDSTSSLNSPY VNNQMPYSVTQPQHAAAYPSVAQHTMGPP LY89DRAFT_782790 MAPSATSWTPNGDGNGSEGTVDTPSNGYTSGLAASSNNAHMGTD PNLYTNGYTHGFTNGYITGYAQSEMPKQMPIAIVGMSCRLPGNVSTPDEFWELCSRAR HGWTEIPKERFESAPFSHPNPGKGGCFNAAGGNFLKEDLGLFDAPFFSLTAQEATSMD PQQRLLLECTFEALDSAGIPKHQVVGKEVGVFIGGSFSEYESQLFSDTETIPMYQATG CAFAMQSNRLSHFFDLRGPSFTMDTACSSSLVALHLACQSLRNGESKTAIVGGCHLNT LPEFWVSMSKSRLFSDEGRSFSFDSRGTGYGRGEGCGMVILKPLQQALQDNDTIRSVI VGTGINQDGKTPGITMPNGSAQEELMRAVYRSAGINPKDTGYVEAHGTGTKVGDPIEA TALHNVFGEDRTARNPLYIGSVKSNVGHLEAASGIISVIKTAMMLERGFILPNYDFKK PNDKIPFSKWNLKVPTTQRPWPRPKKYASVNNFGFGGTNAHVVLERAPFLKKYEGDNS PDQAIQLRKLFVLSANDKAALESMMQKIGIYLEQRPEIFQNDLMSNVAYTLGQRRSLL QWRVAISAPTSFELIQALNAGKLTPVRETEAPRIGFIFTGQGAQWHAMGRELYEQYPI FAATVDACDKCLAAFGAPFSLVDELSKDAESSNVNDANISQPACTAIQLALTDLLRAW GVYPTAVAGHSSGEIGAAYAAGILPLDACMAISYYRGMVTVDLKTKFPDLKGSMMAVG GSQEEIAPLIKQLKNREVRIACFNSPTSLTISGDEPAIDELQVLLEERGMFNRKLQVD VAYHSHHMRLVASEYQACLQWLEPPKNSAVKFHSSLLGHLVDGNTLQPSYWVANLTQA VRFSEALTTMCEPANGHKTGVNMLIELGPHSALAGPVKQILKSCGPNAMKIPYGSALI RKKDAVQTALDLAGTLFTKGVNINFGTVNFPKVGKPPALLVDLPRYPWNHSNKYWHEG RMQLKHKNRTTQRNDILGVEAIYSNDLEPTWRNMIRTDDLPWLRHHKIQSLTLFPMSG FVAMAVEAASQRAAAKGVQFDKYELRNIVVSTPLMITDDDVEMTTQLRPYQEGNLVTS DVWNEFRIHSWAANKGWTEHCKGLVSVKANDTNEIDGARLAEKSGAWLQSTISEINGT TLSPVDKVKMYDFLSDLGVSYGPTFQGMNNCQAAESCSVADITVSDTTQEMPQGHQTS NIVHPAFLEQLIEMYWPILGAGRTSVDTVYLPSSIGRLTISRDITELTKTPGSSLRAF CKGSAPSTHPKPIQVSMFATTTDDSREAVIMMDDLTISPIVERDMASDTEAHRELCYK IQWEPILHPLTHALKNGTMTGTSNGISTPSEASETSNDNSNGVLTPETNATSVSGEGS EVSSFPDGQVVIVHGESDAQKVLASKIADTLENSTGRKPEIGTLADVNVDDKLCLFLS ELDKPLLPTLTPEQFSSLQKVITTVQGVLWVVRGAYENSDNPDSNMVAGLSRTIRSET LLKFATLDLDSKAQLSDEDTMVAILDIFKAVFGPKAETNCELEFMERQGAFLTPRIIN DPEMNEYVHKQTQASTIESAPFAQEGRPLKMTIGTPGAFETLHFNDDIAAEEPLFDDE IEIEVKAIGMNPKDVTVAMGQLDSFNFGVECSGIVTKSGSITKFAVGDRVAAISLSQG VYSTLARTKAALALKIGGDLSFEAAASLPVAYCTAQYGLSNLGHLAADESILVHGAAS TAGQAAISIAQSIGSKIFATVATVEEKEILTSVYGLADDRIFSTKNASFGPVIRQDTN MQGVDVVFNSTATDSDTMRETWDCLSSFGRFIEVGKGDSNTRLETSRSNTSFMSVDIL SLAAERPKVMQKLLSDVAELLKKGSLKTASPITVFPISDVETAFKVLQSGNTNGKLVV SPQPEDEVKATPSKKQTQLLRPDASYILIGGTGGLGRSMSRWMVSKGARNIVLVSRSG AATGKVKEMIDELALLGANVVVRRCDVADSASVDNLIANELSDLPPVKGLVHGAMVLR DVLFEKMTFEEYNTVMESKVRGAWNFHNALKNQPLDFFVAISSAAGAVGNRGQAAYSA ANTFLNGFVQYRNARGLPSASIDLTAVSDTGYLAENAEAAAEVARNLGSDTICEAEVL ALLGAAISGRLASACNSHTITGMRIVTPAPFWTPDAKFKHLRLAAEAAAAENSSGEAV AISFNAALKAAKSLEEAQEVVCKGLVDKLSSVLMLEAEDMDITKSLSGYALDSLVAIE VRGFITREFEANLQVLELLSSGSIETLAKAICGKSKLVSF LY89DRAFT_86925 MEVKGIVLALRLSGPLNSVACHSISLAKHSCFPFVANSLAWHMT GNPGRRAYARLCITGCSCHKSTVVPSSFHRFTSRTYRGITALGD LY89DRAFT_86921 MRFLCLCGAYGSSDKFQVQLAPLVNELRSDDTAELHFIHGPVQA FPPDGFEEFFGLGPYFRFIEPYKGEDGENDVLDRIRNFPEGATAEDQMRELMKFDASA AVEIPTDGSWGANQSAQDAIDYLYNIMEKDGPFDGIIGYSEGATVAATLLLHEQRRFE TQGIPTMFKCALFFAGWPPMSPDLDSIVLADESDLTITIPTCHIIGSLDPYLAGSIAL YNICDLDNAYLFDHAKGHTLPRDKETVRELGDIVRTMIANINDSSDSDC LY89DRAFT_647198 MSETPPDDDRPATPPLPNPWSTSATLPVSLEPEVQEKGPSVAAN ENHRTEEISPTPWSTSATLPVSPEPELENHGAEEEKKIPDPPKVAKKKVFSTAEYKVA FSHFFRIFSYSTTKDRFMLAGAIFCSICTGVTLPLMNVVFGQLVGAFGAHYNPWSGET TAMFTHTINKNVLYMVYLFIGRLFLDYVAIVSLYISDEVFSSIRMVAACGAEGKMAKR YASWVDESKRRGLMMSPWVAMQQAPVFFAIHAVLMSIMTITMSIGSIATPISAAARSA SSASIFFTIIDHPLPKFGNLKPPEATASEDIVLENVNFAYPIRPDVKVLDDVTLRFPA GKMTAIVGPSGSGKSTIVGLIERWYELDGDLAENILTLFFRTGTITTAGTQLHKIDLK WWRSQIGLVQQEPFLFNDTIFKNVEYGLIGTEWEHETEEKKRELVEYACKEAFADEFI TRLPDGYDTQCGDAGIKLSGGQRQRLAIARSIVKQPKILILDEATSAIDVRGEKIVQA ALDKVSKNRTTIMIAHRLSTIMKADNIVVLKKGKVVQQGTHTELLADREGAYYALANA QHLSLGVEEKKDMEWINFDTEHRKILVLDKFSVELEAYNKLFEEPEYEKRGFFGSFGS LLLEQKSHWPWYLLMLLASFGAGAAFPLQSFLFAKLISVFSFWGWYLKQQTDWWCLMF TVLAAGVGVSYFVLGWSSNTVSYHITSAYRKEYFENVLHKPVAYYDEEENSIGSLTGR MANDPTQLQQLLGINMAFVFISIFNVVGCIAMSFYFGWKLTLVTVCSSMPIILAAGFF RIRYETQFEKMNFEVFAESAKFATESIGAIRTVTALTLEDEICGRYEKLLQTHIKEAF HKARFSTLVFAMSDSIALLCMAFVLWYGGRLLATYEYWPFQYLVIYLAVVQGSISAGQ WLSFGPNIAQASAAANRIRSMRQRGDKEEDKMPLEFDETEDEEKHSTTRGVKLELRNV FFKYPTRDVPVLQGLNMTIEKGQFAALVGPSGSGKTSIISLLERFYRCQTGRIMYNGT DINDLNLVDYRKSISLVAQEASIFEGSIRDNILLGVDEHTTPDEVLRQACRDAEIHDF ISSLPEGYDTEVGTKGVALSGGQKQRLAIARALIRNPRVLLLDEATSNLDSETEKSVQ AVFEKTGRGRTMVVVAHRLATVQNADVIFVIGDGRVVEKGTHAALLRSRGLYYQMCQS QALDR LY89DRAFT_685487 MAIYSSVPPPAQAPAAAPAQPGIDIESWTISALESLSVSPSARG TGVTLSIPLDAAAKRDLQDASEKDTRDKVHTVYKRKEPLRRDSQKRREALLKGKEGSR RRQRWENDQLLHVPNAQPPLPSDWAPHPTYPVHNVPYYLAPLWDAGIRHRAEEIAAQK KLAAAQRAGFSESSPSKGRVPQDLRQKLKKSKGAKTLLQDLEMEVRKFVKEYEAREYK LLNKNKDQDSEVDSEDEEIVFIGRDANGNGITMSDEVKDVMEEELEREKKVYEGLVGT PEGSFARWLVHCVAGYYGLSSRSVTVGVRREAYVGIREMRSGVEGVEGREMPRPLWGL LY89DRAFT_685488 MKFSTVSLLALSAAAVNARFIEAHEQDQVVISNVKAEKYLIELA PGETRWVTEDEKWELRRNGQNFMDITTTQDLGALKASSKKEPKFPAKVAYSKDLKPMF KELDKKNMEKNLEKFTSFHTRYYKSDYGRQSSEWLLEQVKKMIKDSGADKYGAAAEPF EHPWGQNSIIATIPGKHNSTVVVGGHQDSINLFFPSFMSAPGADDDGSGTVTILEAFR VLLKSEDIIKGKGENTVEFHWYSAEEGGLLGSQAIFSEYEKTGRDVKAMLQQDMTGYV QGTLDAGKPESVGVITDFVDPALTEFIKEVVTEYCEIPYIETKCGYACSDHASASKAG YPSAFVIESAFADSDKKIHTSDDTIKYLSFDHMLQHARLTLGLAYELAFAKL LY89DRAFT_685490 MPISDANPFAYRESHNAQAVWTYKILTILTWLLTVITSIYYTYG VPQDDKVSRRGTIWGQNNRYPTPFSVNSLMASLYWLALFLFQIAYLWHLFSKSTEKVQ AAAGVGSHFIFNNLLQFAFVMLFVRGHFVIAEIIVIINFFNLSSLYFRHATHPRLIHI PAVSGPLAWTFFALYWNGAIAVNAHSLPARILANIAIWGILVYGWFFLVIYKDYTMGF ALSIITASLGVHQFFIRAIAFQWIFAFTIMATLFITTLLVAVPGIFGKEISFRREQDV VPSDQERAPLLDDA LY89DRAFT_87033 MPKPFPFSLAIATDICSVSRIRELLARKNGNSLIRRVLRPEEIL ENKARCDDALEKWRKVANMKELHAWKREKGSVVVEKTKGISDEVVARHLQRMIEQDTP EAEKSLAKVATFMAGRFAAKEAIIKAHEGRLTFHDIIIRRPPDDALGSKAPLAIVLPQ SGKEEDGQVVKISISHDTDFATAVCLAPTGADIAAAPAPSVRYYQSSSLATRHLKVGT PGGMLPSVNDALKRTLDAYARAIQIVKVHYGSHRENAVLIEDFPPEISTEQLNSLFQS RPINVHIQNPKEDSGMSRWAIAIFKTEYEAHVAASTFQANEGHQYRRGHIGPCTHLKS SSCFVQRSLVETNLEERYQDAVDIIGPGRLTRYHTVEVISSTFQPPNSCERLRYVMFH LKSQANGFCQIAGKRGPDWVCGYVLFHSKQEALDAIARYDNTPIDSPWGLLQCRLRIL ADQMPSTGSQTKSSEDGEVKSQHEEVQSDNIRIAECVPDSGVELNSPPQVGDERIV LY89DRAFT_87034 MVAAMHPEFSGPSGQLVECSYMDPRIDLKAARQLLNRSDLDGNE FGTALSNLIELVKFHKADELLSSSSEILLHGSKHVTTNEQPGISESSSQVSNELSITD PTKDPDLEELDEALRISQEKGLVMKFTDPGLTWKTMRNSVIVTNLPSSGERIMKEAEQ NLRDAFRIPQTVKCYMRKPRGLKLFALHATLVFDTPAEAIAMESQIDQKFFQGRVLGC RYLGYGTKNFEAHDSAKETADSMDSDAADEVTSDNHQPASENPVADDIEIVLGTAKST SALTRLTNHLNTFVRPRQTKEEHVPPLEVSSEHVAEDERSVEVVETGNMREDEKVVPG NTDDAEKLAEGQRTKQGGQQMQDKPVENDAEDQQDIAGIRSLNPEDLNPDPSRADEGV ASELMEDVG LY89DRAFT_719253 MSTTSLDKSEGIPDIIRQYTIANSKNRHVHHVFEPSSSIALYTI HTGNIKTKNHKYNLTIHSGCSEQDPIVGAARLSMITNTIKLTFGDPETKCPRWEDMER KLWVGKNQYMLCIDLPTGERRLFEWRMTHDVHDIPQSPFAFVRKVDAFTYKIDHRHLK LVDLESGGIVARFMHCPLTWPGKMGDVEILCDLGGRKWDEIVILSSLAIQAAQ LY89DRAFT_707748 MNTSIQTLLPNQTCRIGSDNSFGPAIALNCRSFDFTLLFEQIFL SLIPSLFLILLSPIRLATVIRGEVKAVSSPIHSSKIVIAVVYAILQIVLLVLWCLSPT ERTEVSISAAVLSFAGAAFICVLSHFEHVKSIRPSAIINLYLFFSVLFDAVQLRTLWM IPGLDTIAGVFSASFSAKATLLILEAVEKGPYLAPPYRWTTPEALSSVYNVSVFWWLN RLFRTGFRKVIAFEDLYTLDPNLQSEKLHVLSQASWDRVNKDTKHPLVKSTFTALKWQ FLAPVFPRLCLIGFNYAQPFLINRTTRFVTEPLSLDNTNVGYGLIGATAIVYIGRAVA TGRYKHATYRGMTVLRGALVSMIYSKTLKLNLVGSKDAPAVTLMSTDVGGITTSLDSL HDIWACTVEVAIGVYLLWLYAGVGFVVPLILAFVSGGINYFVIGKKMVGYRKTWNEAT QKRVALAASALREIVSTRMMGLGPRIQALLQSQRVRELHRMKGLRWMIIWMNVFGGLA RLYSPPFVFMVYVVRARSGRGHPLNTAQAYTILSILGLIVNPLSMVITMIPSTMSSLA CFDRIQQFLLREEQADDRLLNLPVSGSPAASTSGIEMQILPPRGGLSQNEHAILLDSL SLGYSKNTNVVQSATSSLKRGTITMIVGPVGSGKTSLLLGLLGELRSNKGFIRLDAQN IGYCSQSAWLPNGTVRQIIAGTEDDLEDVDEVWLRSVIYACALDVDIELFPRGEHSLI GSRGLTLSGGQRQRLALARAVYQRQILLILDDILSALDAKTEALVFSRLFSNSGILRQ QRTTIILATHAVHHMRSADHIIALGPDGSIVEQGNVAELESTDGYIKSLAIAESQKCL DQSSDLGKEEMRNLRSFTAPASIPTGDTGNDARRLGDFSVYNYYRKALSLSRLGLFVS LEIFSVSAYKLIQLLVGWWSDASGGHTNLFICAAFLLPCLALSSQVAYLRTILVSMGP LAGRRLHWILTRVVFAAPLSFFTNTDSGIILNRFSQDMTIVDIQLPIALLQTVHTTLE VLWSLVLMCYGAYYLFAFVPFLGAILYAIQNFYLRTSRQIRILDLELQSPLFSTFTET IEGLSTIRAFGWQDKLMRAFLKRLDASQRPFYLLFCIQRWLNFVMDLLVACMAVLLVS FATQLKNTTSGVAIGIGLLNVLDFGGMAAALIQYWTTLETSIGAVARLKQLEADVKPE SRPQEVEKPAGGWPQGGAVTFGSVSARYASDSENVLHEIDLSIKGGEKIGICGRTGSG KSTLIGLLFRLSPEHTGTITIDDQDISLLSREEVRSSIIVIPQEPCLLSGSARFNAAP YTTKIMSEAESEEFEAPEALPTTTSRSQQPISDTSIINALKKVGLWEQIADGGDLSQS VDSIGLSHGQKQLFCLARALLRKDTSRVLVLDEATSSVDKKTDAVMRAVIEEEFQDHT VITVAHRLSSLSWCDRVVVLDKGRIVELGHPEELMKMKGGWWKQLWDAQN LY89DRAFT_647214 MLYFLFVLSCISLCNGATNCPLMGPTFPKPQYLSKSAVLNAAIQ NLTSLFTAIDADNSTGSYNNSYSIQVFSTTSSTPLFEHSHTAQNLTNQNTTGVTKVDA DTVFRIGSPTKAFAIYAFLIAAGDVYFNEPVTKYIPELAALAANQSGNALTKVAWGDI TIGELASHMAGIANDNTVIGELSLTMKPNESIALGFPPLASAQIPPCGAYPLCNRTQF FETFQHLYPAFASAETPAYSNIAFQVFAYALEGITGKSFESSIEDGILKPLGLSHTFY NAPNESLGVIPGTWKATQWAVQLGDETPAGGMYSSANDLASFGRSIMNSTLLSSAQTN RWLQPATLTSDIRETVGWPWGLRRIQLHPDRPYELITAFNKAGVIGSYSSLISLLPEY DIGFSILVAGDGALSNWEIADIFGNAIVPAMEQTAREEAQSTYGGTYQSSTLNSSIVL ATDPNRPGIGISSWISNGTDMLLVANLLSDNYVSKNFSARLYPTDLQVVNADGSKQLS MKAVFEDLSNTLQDSMFLATCGTWIDPTGLVYGAQALDEFIFDLDKDGKVVSISPLAL RIVLSKVG LY89DRAFT_87056 MKTFLQALSIGCLLAASATAGSNASALIPFLPVDDGGITFTDYQ NVNFRFAAKAASTNYIPFVDTGSTGMVISAADIPEWTAEEAERYPQGWEYLSSSKKLY NGNWIPKDVYFNFGDPNHELIHAQVPVLCVTSVTVCNGAKYNATINKGSCPKNPDGTL PPITYSPKRIRIMGVGFDRQGDGMPQGTPDKNPFINIVSIGGTLSKDFRAGYIITKKG ITIGLTEANMDGMQYVQLPKIHSTVPRSPYDWGAIPACMSVDSAPCSMGTALLDTGLN NSYITLPSNASVSTSPNTTLLVEGSRVKVDFGVATDDFIVGVNVKRGVTPTKVSVTLS DARVPFINTGRHVYRALEVAFDAVGGRVGFRRVS LY89DRAFT_734659 MFAAATIAVPAIVAIPRLPGDIEKRLACEEVACSKTVGCAGPAG PDCNPCDFRNGDNGFCKQVVEAFKALWDVGILS LY89DRAFT_647218 MAFSMHSHSGQFCPGHAKDVLEQIIQTAIARGMTTFGLTEHMPR DSEKDLYPEEESIAPLAPRHQAYLLEAQRLREKYADQITLLIGFEGEYIRPSYGPFIK ELAADPAVDYFIGSVHHVHEIPIDYDKKLYSAAREKAGGSDERFFEDYFDLQYEMLKE LKPRVVGHFDLIRLLAEDPGRDLRDWKGVWEKVERNLKVIVEQGGLMEINSAGLRKGL KEPYPMRCVVEKYLEMGGMLTLSDDSHGIDQVGTCYAATIGYIESFGVVDVWTLEGKG GVSTKEVKVKKVALKSVRETFKP LY89DRAFT_734661 MHLTALFLLPLPLFVLTHPVIVEHETIAAHPIQNHVDPLHPIQP RCVTVRTTRSLTEPETTMRVNPHTTAPHRPSNPQNKSLISRALSILRIFNPHSTTPHS PSETNFPKYQPRILTRTLSRRDSVTISISNTFNSTTVCNSQNDAKFEVVNNAKNADIT VGDNTSHTSNFHTFGNKNMLNSTGSNTGGWNTKNTGSNLTTHREPKRGVGKRDYIGWK GWFEDILMGGDYGRWEMPWRAPTPGTSKGDKPKWGSGAAPTKVASHHTSSSSLGAEPT FTQNPKPSMVPGPSWKGNKTDPYRPNHSGHGWPRPKFGNHTKNGTVQDGEEHKRDIVN LNIMGGSLNSTLARSGNNNTVEVYVMGNGANVVNVTVVWEGEGTDMKDSGNGNTVRVY IGDSNGVDMGNSTLQVVGGEEL LY89DRAFT_87118 MQNASGQASLKNSLKTSFTTFLLRPHNIVFSKPFALICMLYGGT YLTANTLDTLTSTTQNKPASHVTSGTAKFAASSTANVGLCLFKDQAFARMFGSGGPPR PVPLPSYFLFTFRDCLTIFASFNVPPLLGPVITRNMSEEFSKAVSGQTVAQFVAPASV QIISTPMHLLGLDLYNRGGKLDWNDRWAIVKKNWAVSAVARMCRIIPAFGVGGVINGK LRKNLMSRLE LY89DRAFT_670063 MNNIAKKCHKKNPLQFAFCQRCGVWSCEDCEVFPKPKIKRPETE RIRIQRPMSEYRTSKREEKPRLQGHTGAGHRKSKLEENKRPETKRMEMQKSTSENRAL TKQEQPKIVGHADSGTRRSKFQEHL LY89DRAFT_87094 MTVANNATSGSHDRQSLVRQKFGFEFSSTRSRSLRIYHKVFAQL FSQQLRSPLRLSISTRLSTKNQAATMSDSSSSTATQESSAPKWLTRSNSSMMNLLNNY FEDKEQPRPSNLYRFYWLCCHHPKSTLLSSPSKIQCLLRNHLMDSQCGRCAHKICPSC KRIAQQESADMGVGEQDLAEVLETADARSSS LY89DRAFT_719259 MKQLIGVWKLLFITQPNNEPADTNLTGRIIFNDQGYMNALIRSG AAVPLPDSVDWDTATDAQIAAITRRIVAYSGQYEVRNESGQLFTHTTLDVSLDPSWME EVQVRHAAFSNGTDGKSVLTLIPVENGKLADQSLVWEKLDLPTLTMI LY89DRAFT_734664 MPSSSIFLLFSLVFTGSNALPWTEAQQTHVYKADAWSPRPTFVP IPADPARIFGRDSLNIAICGWLGGNSASAATCSPGSSCVRDTVHGVIGCCATAGPCTA GVYTSCIDMNSNGWTPNSGLQDNGIYTCSGTAVCYKNTFPGGYYQYGCGESSWATTVE TTYSGQPTDMLLQQVFTGIVFTPTSGSTSTTSSSSSSSSSSTTSSSSSSSTSSSTTLS SVGKAPTQTGTSTPTVVAASKKNDSNNGAIIGGVLGAFAGLVLIGAAIFWFLKKRNAS RAGPFESVHSTSQGPTQGPFQRMAPYQPYGPDNFGATTAAGTGGAAALAPYSYADGAR QVTPPDTSAHHQFYVPHEEQPLVSEIDHFSQSYHRAIGATVPMERDTHIHDGDLPDTV TGMGMGVGASPLRRTPTSSNGRTQDRELTSESLRSPVGSTVPTSWSRPSPSTSRGNGS STSLVNRDGEVTVPPRSPLRDGIAGMGASLGSAVSAAVGRDNAPRYQLVDEARNDVPV LSSPGQLRQTRMSRNVSFEDKGV LY89DRAFT_567129 SVNNFEIARKWLRECSFSHNNCRRRIQNVLPSRLIELSPLGQPQ AARLRYTAGQKGNYAALSYCWGSAEPFRTTTKNAHAYTASLPYSKLPKTILDAFEVAR NLDLRFIWIDSLCIVQDDDEDVKTELGRMVQVYRNARITISVASAFNCHEGFLDRSLS SQGVFHMPVPINKRAWTLQEHLLSPFLLIFTDYGMHWKCYSGSEPKFLTGTLGRDAHR FLFGLSPVEVLQEWQALLRNFTSRELSQESDRLPVVAAAAEVFSRRLGCDYLAGVWKD YLWNGLMWRVAPRKARPQKYQAPSWSWASVNGPITYAPGPRTFDALKMWTSYKANVVE CSTIVGSSASKWGAVINGKLVM LY89DRAFT_685496 MGLGVLEDKNLQHVPGTVLLDDQAAHEEEVLHALKHGKGKDAHI VLSPQPSDDPNDPLNWPMWKKELIVAVLCLGTMLNAGTNGPFLNASYFTMAQEIKTPL TTVVLVSGYNLLAAGIIGPFVCAFSRKYGKRPVFMVSTLFDIIGTAIGESKISYKYLL AARIVQGFSTSAFESLIVATIGDMYFVHQRGLRIAIINFVLNAASSLASIICGQVFAD LGWLWLFHLFQIFLVIQFILMFFFCPETTYLRDVRYDTDTVADEKLEELAKYEEEHRV IEDIATPENIPKKKTFVQELALYTGIYSRDNILKYLAGPFITLLNPAACYAVITAGLL NSWYVGSAIILSGIFSGPPWNFGPSQIGYLGAGPFIGGMIGSIIVAWASDPVIKYMGK RNQGVYEPEFRLVFMGLAGVVGGIGMFLFGYAMAVGANAILCAFLQGVMMVGVLVGIF ATLSYGLDAFRNQSNEIFIMNMLFKNFMFYGLSNFANNWVEAKGPEQIMYVFGGTTIF FSLLALPVYIFGKRMRSWWSRHDLFVILKMETKGPKLEVA LY89DRAFT_566445 AFAFDIDGVLVRSKDPLPGAAATLAMLQRRNIPFILLTNGGGST EKDHVALLGRRLGLAGLHERQFVQSHTPYLGLVPTLANKNILVLGGTGSKIRDVAHAY GFKNVVIPSDLVKDNNHVYPFLEYTKDHHFEHGKDELPRMEDGRVQIHAILVWSSPRD SGLDQQIILDLLLSEKGIIGTRSPKNGNTTLPNNGYLQDDQPPIYFCNPDLTWATAYN LPRAAQGLFKAGLEGAWSALSNGASLSTHVSVFGKPTEATYIFGERVLQDWHKEVNGI DAKPIKTVYMIGDNPASDIQGSKNYQSRYGSQWKSVLVESGIHVKGTEPAHHPTHIAA GVKEAVELALAE LY89DRAFT_586463 MKLRSLTYRSLRRPFELNSSSTICRRFSVQCRQVSISTRSSNTS RGIRQLGSTANATRKHRSAFPAPFIAGQRRTLATVRNANPDDRGPLQEYDARVEQGRL RDDEHQRGIIQSLQHLHDELKTYHAPPTIHPTIESLKPEPKSVFGRLFSSPKKEKKLK DIPENLPRGLYLYGDVGSGKTMLMDMFYETLPSSVTSKTRIHFHNFMQDVHKRLHKMK MEHGNDIDGVPFVAADIAEKGNVLCFDEFQCTDVADAMILRRLLEALMSHGVVLVTTS NRHPDDLYKNGIQRESFVPCINLLKNRLHVINLDSPTDYRKIPRPPSGVYHAPLDKHA SSHAEKWFRFLGDPEQDKPRAETQRVWGREIHVPKVSGRAAMFTFDELIGRPTSAADY IELMRSYDAFIVTNVPGMTHRERDLARRFITFIDAVYESRAKLVLTTAVPLTQLFLSK DELKESVKKDQADKKGQSEGDDLDDTMRNLMDDLGMNMKALKNSSIFSGDEERFAFAR ALSRLSEMGSREWVERGMGLEKDGGKQERDSWQKVRSRQMEDSM LY89DRAFT_544453 FAPFSTLPSELRCKVWASACFPRVLSLTYNSNTSTFQTTTPTPA LLAVSHEAREEALRVYALNFGTSTSPANIHFNPYFDTLYLPRYGEMGYDETLRDFRSI VSDPNQQLDQVRSIALDVVALEVKRPWEGYNKATLLRSFKNLQEVILVLGSNASEEDN TETPQKQVIQFAEPKDDPERLLKIWYYFRQSFIAEEKILEEVCQASGKPYTPFSLPTV KIRSKI LY89DRAFT_685500 MVSPASMPSALTFELIARCSTTKARAALLELPRGPVQLPLFMPV ATQASLKGLTPQQLEETGCRLCLNNTYHLGLKPGQEVLEKVGGAHKLQGWNHNILTDS GGFQMVSLLKLANITEEGVRFLSPHDGTPMLLTPEHSIALQNAIGSDIIMQLDDVLVT TSPDTKRMREAMERSVRWLDRCIAAHANPTRQNLFCIIQGGLDLEMRKQCCEEMVARD TPGIAIGGLSGGEAKADYCRVVNTCTSLLPELKPRYVMGIGYPEDLVVSVALGADMFD CVWPTRTARFGNAITKYGMLNLRHASYANDFGPVEEGCGCICCRTGEGSLGVTRAFVH HVAAKETVGAHLLTIHNVWYQLALMRSVRQAIMEDRFPTFLKQFFFDKHGGDKSKYPD WAVEALLTVGVDLMED LY89DRAFT_719267 MSQHISDNNMSRETAPLPSSINNYDSTFAQSLKRLSISAASATA LPSSPQSPHPGRTLGRQDSSSASPNGRLPPRSPLHRSPSSMSQRSRSSTPTLLRKASL NSLHGVGGVTPSRTTPSRRSSSAQFANASAMVGKSPLSEIEDPMPAPPRHTAESIASN HFRTELDIHEKEDEARSADTVVILHDSCYGHRYSRPRTSKASLSTIVERPERIHASIL GLSVAYVRLGERHAEGQYPLHPDKDASSIPTIPFRICKTTRRLALGSQAVTNVHGMKW MEELKIMCDNAESRLAMNGKELVRPDMVRSPDQEVAKLHEGDLYLCAESLDAMEGALG AVCDGVDAVFQGAASGKGPHRTFVAIRPPGHHCSASYPSGFCWLNNVHVGISHAALTH GLTHAAIIDFDLHHGDGSQAIAWDANKRAIGAAKNAAQWKKTSIGYFSLHDINSYPCE MGDEEKVKNASLCIENAHGQSIWNVHLQPWKSEIEFWQLYETKYSILLEKTRNYLRAQ TDRLRMIPNGPKPKGAIFLSAGFDASEWESSGMQRHKVNVPTEFYARLTRDVVKLAAE EGNACDGRIISVLEGGYSDRALCTGVLSHLSGMSAGDPIIIKKELSPNGLGYEMGQKI NTFEGVFAKEDVTVGTQTYDKLWWSVPRLEQLDALIRPTPMVEPKKPRDATAPTYSSP TQSFIAKVTSPEARRTVSRMGISNGSPKPVSRAPSPPPPEVDWTVAAHELSKLLIPID RQTMSCRPEDLSAEATRVRRDRQSILTPPTSAAPEPTEGSGRMALRTRKPRALAETIE EDEKPATKANRRKTVAGAAVIAAEKAVSRSTTPMPEPSYAKGTTQSNRRLSLSSNAGS VTSEVPRQNGHRASSGSGPVGASRPGTAQSTRPDTSMSSRGGSIPPIAMKKTRAPAVA RGEAPKTSRVRKKSPLNETNAVPGPSGSVQPTSRSSSVVLPTTEIPDSQGSDMDSLTS GMKKVKISLTTKAQREAKQAAKPAPVKSTAGKAVKPRPAEGKVTDQPPILPGMKPVPV SEAVPSSANGTTTEQPVEQVLAPTPSTPQPSLPAVAPALQASEVPLPSSSPAAPQYSP ITPAPEFIAPIYSAPPSDNFIPYQPEGPTPNPVTQQQHLHWLPPNTNTPSPVKRGDLP VFTSTSAIPFGLNPRTNGEIKKDATEDGKGKGKEEEKEVDIWAVPETPEAKRPLGNY LY89DRAFT_586669 MRHDSSFSPDFILRVTEQAFTQSCITKNEVIVINGSTPGPELRL TEGNVYWIRVFNDMDNNNLTMHWHGLSMAASPFSDGTPGASQWPIPPNHFFDYELDIG IGTAGTYFYHSHIGFQAVTAAGPLIVEDAGKPPYQYDDERIIALSDIFEKSDNEIEAG LVGNPFQWSGETANVLVNGQGQLHESTESPGCDLATISVEPEKTYRFRFIGGTALSFV TLGFEDHGDMILIEADGNYVKPVNISYLQIGSGQRFSVLFKTKRRSDLTKSQFFMQIE TRDRPSLTRSYAILDYKLTSSKRVPRPIEPRLSLPQQPPLTLPTNTFGWLDYKLLSLF PNPDFPLTSEVTRRITIRTHQIIGNGSIMWAQDDSPWIESFPKEPYLVSLYKKDSLEF PSLDRANLNHGIDPITRVFPAALGEVIEIIIQNTGSDVGSVDVHPFHAHGAHYYDLGS GNGTYDPVANEDKIKGLSLARRDTTMLYKYAEKTTPGIDMGWRAWRLRVTEPGVWMIH CHILQHMVMGMQTVWVMGKDSEIIKVPMEHIQGYLEYSGSVNGNSTHDPHVVHFAGTT D LY89DRAFT_697693 MSHIPDEHKWLPQDHRIHKQWLQDTVKHVDKNPKALHPVLEEFK EMIEKDTRLFMLLNSMFEQIPHKKQYAKDPAGERQIRDYHHMLQVMNHLLTTPPSWSD REFGAGVVGLPFFAIFDWPMATPSGFAVFQDPKVNSMLKKVLHVWGEFLQTPESAKVL DNSSSGWFGDSGLKDLQTAANSAAGTSHTFEDMFICDSNDKYRGFNSWDNFFTRHFKE GIRPIASPDDDNVIANVCESKPYKVAHDVKAHEKFWIKGQPYSVRNMLAHDELADQFV GGTIYQAFLSALSYHRWHAPVSGKIVKQYVVDGTYFSEPPFTSFEASDDKKSGGENVG QEYLSAMAARGLIFIEADNSAIGLMCVIPIGMVEVSTVDIVVKEGQHVKKGDELGMFH FGGSTHCVLFRKGVKLEGFPEPGRKNNVPVRSELCRVSK LY89DRAFT_87440 MCFPVALDGLFTHAASWACKVAVAQVQMVLLLHTMSKCKYDHGQ GTLPSESPVSLSRKHNNNNNNKNNNNGDDDDDKMPRRSRRLEIAAARRLVAAAPTARA RRAATRFVRRLQLQPEQQHRLRVVRPREEEEEEEEEEEEEEEEEEEEEEEGEEDDDWS WHGEEEEEEEVDSGAGEPSYIPPDAEYLYEED LY89DRAFT_685504 MGRWGSLPAEIRSMILEMVAEDYRFKSEQYALAGYASVCREWQP VFEPRNFQRLVLDQERISDLEQVMSTKQRRDYLEHLFLRVRLNDYDCTVCKSKEDYGT IRNNNDIFSTAIWNLLVILSKWTGFAGSRRQQGLTLELGAFSPSDSKHAFRDFHLEHN YPYQEKKDLETHWEGYKLRADKLGLDSLNDPYHWWANGRRDDVSLESKQRIMGTLTIN PNLPQFSAFFQAFPKVEIITGLLIRRQFYRKIAANSLGKLLRETFTNLRWFRHEAWHD VDPQQQSSFEKDYKRLISWHLPNTLRELYIFEDFNKLLHPERSTKRANPSLGRALSKS SRYLENLSAAFLVDAKDFFPNLWPANEQNSNVIPWENLRKLALTSRLLHPKIGRGKIN KLLIAAARAAAFMPKLEVMEIWNGGEGHACLFRYNNNAGEPKIIWACNWGSHVQLDQN VIHCWANLPRHGQHPHGNLTTVVHRLRRGRKQVKTYAATILHLKLRSSVLDLISDYQV FWEEYNHSKG LY89DRAFT_719271 MAPSIMETEPAPDVSLKAEAKVAQNGTLRHEEYQYLDLIREILE TGEHRPDRTGTGTYSLFAPKPLKFSLSSSDGTPILPLLTTKRVFLRAVIAELLWFVSG STSSLPLAEAGIKIWDGNGSREYLDSVGLSHRAVGDLGPVYGFQWRHFGAEYVDAQTD YTGKGVDQLAEVIHKLKHNPYDRRIILSAWNPKDLKLMALPPCHMFAQFYVSYPKLEN GEKGQGRLDCQLYQRSCDMGLGVPFNIASYALLTHMLAHVCNLIPGTFTHTMGDAHVY IDHVDALKVQLTREPRSFPQLEIAREKGGSIDGWKVEDFVVKGYEPHKGIAMKMSV LY89DRAFT_685505 MVQKTVLITGSSEGGIGDALAKEFHKKGLRVFATARNLTKVQHL KNMGLEVLKLDVVDDASIKEAVEEVKTRTGGKLDFLVNNSGRGYSLPLLDTTREGARD LFDLNVFALIAVTQAFAPLLIASKGTIINIGSIAGVSPMPWQGYYNATKAAVAALSNN LRIELSPFGVKVINVITGGVKTKFFDNLPTQHLPSNSIYAPASEEIEFVLNGSFVKSA MDVDRYAKSVVANALKSKPKVHHWEGSDAWTIWAVSAFGWSTIWVSRLNCRVCSIADL YRT LY89DRAFT_707762 MSSKIILTTGSNRGIGFSIVQALSLRSPQNTYILACRSPTSGQE AVKELQNLGVKANLDVIELDIINDETIINAAKYVESKYGRLDVLVNNAGIAQRPKSDS LHDIRENFATTFNANVSSILATITTFLPLLRKSQSPRVINVSSGRASITRSATGKLPP TAVVSYGVSKSALNALTVELQRAEDVNEGGKVEYFTINPGHCKTAFNGFKGAKDPLDG AEVVVQLVVSERGMWKKGAFWEFEEGGMREVPW LY89DRAFT_647253 MASVTNADITVVPTKVEIIDRLAEANGKLKAFAAPKDQVSILQY QSTFGDLLGPSPTHSLLLSTEGTSHNPFFHEACVFLPKYDEVYITSNLLQSTSSSTFP TILISRVKLHRGEKDNVHKVEWAKMRPPPGIDMPNGGVNYEDGILFCAQGTSASGTGG IYYMPRTAPPKAMVTNFHGRDFNSVNDVVVSKDGCIWFTDPWYGHEQDFRSRPKLPNQ VYRFDPKTGDIRVVADGFGRCNGLCFDFGETVCYITDTDQIHGDGTKDLMRPATIYAY DIITRAGAPFLANRRVFAYAAVGFPDGIKCDLQGNVYSGCGDGVEVWNPAGTLIGRIM VPGGVANFCFGKDGEMFLCAEQKLWRLQMTRTTKGALLGI LY89DRAFT_647256 MVQMYTIAGRQVGSHFLAMATLSLLGVGSYVGMGGSAAKKTQQG PPINAGSPDEESFIKDFLKNAEADEKKGGNEKAAH LY89DRAFT_585926 MSGNDSNKSRGSPPKGLPEPEKLPPGLQKLVDRADKEDNFYDEL YDGTAPQSTESSIRYAAYASRIRTALLSAQRYVAYTSDIGESFRPVAHPNWVRAAYGV SWAYLVGDVAHEGYKAYCSNQRTMYPSLPRIAAHERYNDAQTATSPDTAAHEAGISLT PQKVLPINDYRTVMTQRAIFQAVASMGLPAFTIHSIVRYSGRAMKNVKNVRVRTWGPI GLGLAAVPALPFIFDEPVEKTVEWIFHKGFETFGGKEAVGDSPATGREQILEQKQRAA VKKEKEL LY89DRAFT_537499 MAASKKNPAMIEQVKLSQVSVPWCDEFEKMISGLYFSAAKSDLM QDHKLEIMRKLVSYNDLPIPEHSTIKYLKQHRVQILAQMMGKLGSSVNIEAPFYCIWG CNTFIGDGVYMNREVTIHDNAPVHIGNNVLIGPGVCIMTGTHDTDPVVRKESGASFAY PIVIEDDCWIGIRVTILPGVRIGKGTTIAAGAVVIKDIE LY89DRAFT_571485 ARAWLNDCITTHPECRSNTSRKLPTRVINVGTSNSEVRLVETNG SEGRYATLSHYCGKIHPPLTENETLEDRKQGIPVEDLPKTYRDAIMVTRGLKLRYLWI ESLCIIQDARDYIKEMAAMQDIFANAVINISADDSLDTIDDSCGLSHTKDGHQHILRT RASVLQERMLSRRILHFSAYELAWECDTNVRCECSSHIRPASQRPMRKCIREQNSDAP TNINYNNLWSDICSTYSKLNLGRDFTTLNTIAGLAFRVGERSSKTYVAGLWKEDLPCG LLWRTAATVKRRRVDFPTWSWASSTGKV LY89DRAFT_87593 MPPLHLRQEFPTLKAFKEALHAWAIEAHFEPRILKSDTGRVRVG CKRDPNCPFVIRCNWERKNGREPLARVTVLRDRHTCLNALEWRSAGGGGGVGGGGGGM GMPVQMSAAGMVGGMVGQMPGLEIRQDEDGNIVGGARVLGPMPPKQTQQQQQQQQQQQ QDPNGVVVPVLPKVQRNSASRLPFLMEILPRLMSISKETTPVEIRECLLREYGAEVHL QQCRRAKTEILKKRAEGGGGVEGQQVGVEMETGQGQQGGGGQSLDGMGGSESAGDGNT TLFGSELNQGSNGMNGVNVNVNGEAAHQQGFELHTASGVVIPQVPSRREAALNAVGEQ PVRCPYCVNHRWLNSIKDAVEHMSMHVVV LY89DRAFT_734685 MRLFQALRRSLKNDKEKVNHISITPKSAIAIVPPKKVIRALYDY EAQSSQELSFQKGDFFHVIGRENDTDWYEACNPALPDARGLVPVAFFQALGKTERDSG QSDKSSPQAVRMPDHDSGYSDTSGTLVGTDTARSSKTMGKGTGAMVYGIVMYDFAAER PDELDAKAGEAIIVIAQSNPEWFVAKPIGRLGGPGLIPVSFIEIRDMTSGQAVPDAQE AVQRAGVPKVEEWKKMAADYKNSSITLGKFEAATPQQQQSLEQGMDRMSMQQRQSNGY QQIRQSQQVKSMQQQVQQNAFAAKSSSQLYAPISARIPRYCFAEDKYWFVIEAALEDG RHWELSRYYEDFYDFQIALLTEFPAEAGNTGTQKRTLPYMPGPVNYVTDAITEGRQHN LDAYVKNLLTQPPYISRCTLVKQFFAPREGDYEIDPNAANEEYRLSGGSQQSSSDSPT NGASRQSSRGNLNGTGYSGLSAAPAPGLRANGGQPTRQTSTLSQSTSSASPGLNGSQQ PSAIKIKIKKGDETIAIRVPTEIQFQQLYDKIRDRLRIPDDEEMALSYNDEPSGTRPS LLSNNDLDIALQRNDKLMLYVEPM LY89DRAFT_749390 MSSTGLLPSFLAAIQASLSVLLVISYGSLASHLSLLSPSTTTQI SKICVRMFLPALLLTQIGSELHLGSAHRYVAVLLWAFFCHAVSFVIGVAAHYVGGMED WGIAGVMFNNTTSYPLLLIQSLEETGILSSLLTEGQDMKSAIGRAKSYFLVFATVSSC LTFAIGPRLVDSEHAPEPVKDEMSSSDEETTYEDDEPHSPTDETHLLLPNTTSSSARH PSVSSLQFFPSRRPQPSSTHTTTAKNLTLHRRPSLIPKPRWSKLKESTKWWLVLLSDF LNAPLLGAVLGAVIGLVPVLHRAFFAEGGNFTAWLTASLKSIGGLFVPLPVVVAGVSL WNARGQGGWTVGWGTTLFILVVRFIVWPVLSVALVWGMVTRTSWLGSDPMLWFAMMLM PTGPPAMKLITMIQVSDAGEEEEAKVAKLLTISYIISPILAFTVVGSLKVSQAAMGS LY89DRAFT_586596 MYSTMNPWAQQPPPPGTQGQYGYQQREHKAPPAYHSVQVRQSFN APPLHYVPVVQQPFPPPPPPTMAPVQAAPPRKKIDWPQPVRNYVSRSFEPKALLDDVS RPDMEAKLKQTITDATDNNTLDTLDWDNLPLPQQMIRQERAQTWQQESVDLRMGGLGL INSKKRKSQELGDEDSNATPPWRTNNRIEDRITYDPSQCAFQDDSHKNTSKFQKNLEK RQKRFDTGYKSYKKSPSPEPTAGPVVGTYEVLEKKYFRLTSAPIPSQVRPEHVLRKTL ELLKKKWRSENNYSYICDQFKSMRQDLTVQRIRNEFTVTVYEIHARIALEKADLGEYN QCQTQLRALYAQNLGGNPVEFKAYRILYFIHTSNRTALNDVLADLTTAEKEEKAIKHA LGVRSALALGNYHRFFRLYLETPNMGAYLMDMFVVRERLAALANICRSYKPDVSIRFI AEELGFESDHQAGQFIVDYNGQDLLEEKGTELRFLAGKGFALFEAARNNAFRKVDIKG QI LY89DRAFT_617902 MFLKHLDLTTALRPLYLPDEVLLFVQDNVGLYEGKFKIPSHQNG SVYLTSHRICYIDTEDPRKNSVAIDLKEVERHEFYAGFMRSSAKITLFPKPSKRSSIQ NRAISNLSQPSRSATASPSPRTDSPFHLPTSDPSPVGPGTWICPICSFSNPVPSNFDP YTASERTPLAPCLACGIKPPYALVMKAAIASATSRPAQPSPVPVRAPIPVRGRTDQPR SQPDTWHLSSSEGTDVQKSATASFQCPRCTFLNHPSLLSCELCGAPLISQDASRIAQP PVRTESPGPVLNTMPLPGMESNEIKISFRTGGEKIFLERLKGSMTQRKWLLQNAPPVP TVEERTIAGSGSSTPTGERVKTGGIAGLEQRSRELRKNNELVIGNAFEDLEALMASAK EIIALAESFAATNGTSGSSDSSAVANALGLVTTKDMLGGGSNSESLYISELSRNLAEF LTDDARGVLRKAGGIISLVDLWAVFNRARGGVELVSPLDFEKAARLWEKLKLPVRLRQ FKSGVLVVQASDRTDEKTIKTLLAWLNDLHVFPPDKDLSWDWQVFGGGITAQDAAERF GWSIGVAEEELEMAEEKGALCREESIEGLKFWENWIDDTQAPGGRQLYNVI LY89DRAFT_734689 MSGLEVVGSVASVIRLAAAVYSISKTLYEVGDALSNAPSDIKDL ARDLETFSEELHLLSTLLDLKNSRYSDAVYRLIAKIIGDCATICQKIDRVLKKLRTTS FWSKVKWVYKEKEIMKLLARLRDLKLSLMGTLSLLGALKADTMMNAMGVGTSSLLEGT SNELCSRQTAKDLEIAEQKLAGIGTVEHPTTNFSIALASQQSWSSGASSATIHPPKTF QENSANTESTSPLSNVTFKPDLSISCSATPTQDSFQQTAVSSFEHEHKHSQVDCSTSW ETITMHTSSKSPSSIADVLHEPSASRTHQAWRKEVVDIAIKHFNMTMEEAISWASSVP VPSIPGMSVQAASEDMAPRRSRESVQLPRNGFYNSSSPMVELVAKQSDDQLGQNWKKE TILLAMKHFHMTMEQAVSWTASLPTPIPPERSIAVSDSVISSVCDSCALPREPRQPQI IFDGGGKQPPSRQGMYRMEKEREFINAYMQAFPNSKSPPFRLFSYLWMEAYLNISTSN LEVFLRLGHIDKRAKDLVPNLVYDSEPPRAATQAVTKLLVQDIDLDVGHPMNGDPNHE AILSSMKRIRDILQTIRGILEVGVIVWPCLCEAIVAVHKKRYYSLDHQNLYAALADVV TLVARYNVIERIYRQWQGMLVEEEYRVALVALCVRVLLFLDEVISNSAEMKAETLGQW MGKINEADASCRRFSVIIEDVFDEDSDSDGMICPIECTTKRKYQEAASRLESTKLEIE NETSVKRQKL LY89DRAFT_586186 MDEKDPTLIGAHCQYAYCNQLDFLPFRCESCFGTFCLDHRSETG HKCSRAGEWAARRRKANASHQSLGAGKMKMDIEKPCASPTCKTVIGTSLSTSVHCSTC NRDYCLKHRLREDHDCKNLIPIGARVGGFNSQADNVKSALGKLKLWGTAQKANMATRV LPKPKPTSAAARLVAVNTLKKTAKGDDKIPSDKRVYLEVEAEAATTTSKFPSGAYFYS KDWVIGRVLDAAAKSLQVQNVNNQGTDEKDKLRVFHVEGGRLLEFNEKVGNALVSGNK IVLLRGVGPPVPDLIDLTNA LY89DRAFT_87923 MLEVISTGTPHQIGLSHGSTASKEISRSIIFYQNLFAAKCHMDW ETVKSFVLKYHPYLSSDWKDYVEEMEGVAKGAGVTYADILALNVRTEIAFGAFADGCT ALSWRGGESSVLAQNWDWNTEQSENLISLKIKKENGLIIQMITEAGIIGKIGMNSNGV GCTLNALKAKGVSFTKLPCHLALRTVMESQSREAAIATLEKAGVASACHILVADVTGG TGLECSSEDVVQIPMNKEGIVTHTNHCIVPHVEGFVEEPDWLPDTRYRLRRINELLNA AKEEEPSVDVVDKMLCDEVEGEGASICRFEGKDRLATLFSIAMDLKNKRAKISVGRPA APREKLVFNLA LY89DRAFT_555524 IPQTLKDSLSLREHVFVNEQRAVPLQHHIDRDDARSCHWVLYSN STPIGTIRLAPPPQYPHPEPGARFEAPGPKTDLHDGVESFVKLGRLCLLKEYRGNRLA DLLIQEALGWARKEENREVVGGEGKDGREWKGLVCVHARIEAMKTWARNGFVLDKGMG TWFEAGIPHVGMFYRL LY89DRAFT_87945 MRPMPSPLLARTFSRCLIFISSAKAPLPTFCSAKFQMSLSSRQA HMPSLPAHPSDIESSFLPWRPWRPITLDCLTGGECLTCFRVL LY89DRAFT_87938 MAPEASTQYPLYNTTFSLNRVSPLYTSTTLPLNNTTLRDHARQF RDILAGEVLRGVRVGLVLEDDILARVGALQTVTWQLLPEEEAWSMEELDLDDEDTTTV LTSSRGMIVTVTYEKMAYKAILLKDDRTSDGETTIGSIREGTEEFQHFSLLLSKMPGP LREAFTDYLTSTFDTRVSNLHLSTSYLNEAFEHYISDLSHDEEGEIMDIIERSKTLRS VVKETVFIIGFDLSSASLKTIDISIAREDLPRMIARGKKLRGDSEGDSPFFDALAAYI KGHMALDMRHEGVKVMRIACGPFVLGSEGKIKLTQPVSNEDGSSPQSRATRRLINGLV DLAAGSGLKGAT LY89DRAFT_87973 MAWIVFLSFQSNDIIFSDWVTLLTLCLAPLIAHIAAGVPPPIYL HSNRPSWHDRICHYNPTSILWRYLAIADRRLRAKSWNTLDLAATNAIFWDGHKWDGSE DMIQRSRDFCIRGPSHHHIDPLSMSTIVTLVVTLQGVQALYSLVHGTQGLYAYTVALN TIFFPLATLGLLRLPAALWLTTDYAYRHNEDWESDTPEMGLHPNLGVRSNSALVRPTT NSLDAPIVPNRFRSHRGWLSIAVRGFYLIMITGLLVLCIYMLTAPVGTGDAEFSATNL TLNLTFLFFLAFSTGTIGAYILLGRCKTTIIPCITATWYKIYTGILFAAMFTVFIIAC LETRRTPCGRFTTYPKTIANDWGVCGESTYAEIAAEHDTTSSLPVSRTNGTQLSYAAI YFNSTYGIAMNAGEDEIVVIAIDGWCKIDSEITLADISMFKPTNFSLTA LY89DRAFT_685521 MATFKAEKYEATRITIQSPNSFNQVLKNLYSSIRDPSRVDEWKK KAKSITSYSDESRKQFEDNVNSAIGPHGFMIFQEFDHGSWIPLFNVGSGLKSKRIILG NPLVAITMLKHDITAGLAVPVEILVRELEKGGRTEVLYNLPSGLIAGLNDNEELRAAV EVLDRKLERLVREVCE LY89DRAFT_87978 MPTIQVGPGSDLELQLIADALAKSKKAVVVTGAGISTNCGIPDF RSENGLYSLIQAQYDAALKNPPWEESNTFDIDDRPKKKRRQWYYEVVAPDGKVVDVID EEIQAVKEETRETSSGSTNTTNSRSSSSKPVDNSLQAPNSQLSISIDQDLQTTDSNRR RSSRSRSVNESINASQSTESSVATAFVERIFVEDLPSSTQEPRRSSRSRSVNASTTRS QSTESSIPTPVAEAILAEGLPLSTEPRRSSRSRFANSTTSQSITPQPIEPFLEEEATR DQSVDIKEELHLSKEDIRRSSRSRSTNTTNSRSSTPKSTESSLTSLSSTTPELPATSF QDSSQLSFDIDQSTLSQTTENGEPSTQTSSVGSTSLSRTTSDLSSRTLPNLKGRDLFD SRIWASPFETSIFYMFISSLRDKIQAVESPTETHKFLRVLRDGGKLVRNYTQNIDLLE QREGLCQDLEKGPGSKARFNPKAQKEARQSGLGGSNYQHGGCESVPLHGSLQRLRCNL CGKPSSWDEPATRRATQEGSAPDCSRCTENNSHRTSRGRRGVTIGRLRPDIVLYGEDH PHDTLISAIAEHDLSLGPDVLLIMGTSLRVHGLKIMVREFAKAVHTKGGKVVFVNQTK PSESIWGEFIDYWVEWDCDEWVLDLRDRREDIWMGAGEEKYKESAVETKRRPQCVRDD RTNGVYLTFKILDTLSKAPDGNGKPASRFPYWQSRLASRVSDVSVIQKKEPPKKAQPT KATKKSAPPKKPAPPKAKNNKRKAEPKEDINNLPSLVSTMWEDLRKKAPGLPVQPPEL RLPLSKALVNAPDWYQPFAFSGSSNHIPNVGGSSWPLDKMNLVTQPPSGYKLTKPKIE GKPKIDKKPKTKHGYGTRASGRFSTAETIVVDSGDIVEEPEDTIVVAEEPMTPATRIK RSCSIGALVSSSPEQWHDAPEVMT LY89DRAFT_685523 MSDWNMKVVWPKDGPMGRRKRGFFRGLNDIRKGKGPDMFVQQGR ANTQPISPDRWTNWDSYHDVGSHFNEAQQHNGFRSTSRGLKRYDPVTRKYRTWEMPMD WFQVGVHGLGIGINGDGFPRFTESERININNRRNRGLPRVDPNHRNMGGDWDDFGPKR FRGEHDHFWENAHRIGEITRLAMGGPLPPLLQQILGRPMQMHQNYHYEPDLWAL LY89DRAFT_685524 MGSIAIEPSTAVPSSERVEPGSFPLISAKLPTVDDSSPVDVDDV AAKWVEAFNKSIGSADLSAISEVFLDESYWRDQLCLSWDMHTLHGPQKIVELLKKSEK GCRIKSLALDKSSHIRSPKTAKLGIEAKIPNVQAFLTVKTDVGNGQGLVRLVNQDGQW KAFILFTFLKELTGFEEISGRKRPLGAEHGGHTSKQNWLDKRKTEESFESEEPTVLIV GAGQAGLCSAARLKMLGIKSLIVDREERIGDNWRSRYHRLCLHDAVWFDHLPYLSFPE TWPIFTPKDKLGDWFESYVKLLDLNAWVRTTLVKSSWDDASGNWTVSLERKIGDSIET RVVHPKHVILATGHSGEANFPIINGMSSFKGKLFHSSKFLGATPIEEKKRAVIVGCCN SGHDIAQDLYENGYDVTMVQRSTTLVLNSETNLMHMSELYGEGSPQTADADVINWSTP LPVVKTLNIDMTKIQEKEDSATLRGLEKAGFKLDSGPDKSGLWIKYLQRGGGYYIDVG CSQLIIDGKIKVKQGCEISEIVPDGVVFSDGQKLEADEIIFATGYQNMRTQARTIFGD ELAERVKDVWGLDEEGELRTMWRKSGHPGFWFMGGNLAMCRWFSRTLALQIKGLEEGL YKYDEL LY89DRAFT_586780 MEVSSNHLGDEPRDPSKNAKRSCNNCRQQKLKCDAVQSPFKACS RCRRLQKECKVEGGFRRVGKRDKYTAMQCEIDDLRRQLQAATQNNPSNGLQVLAEAAI PKEAQQIGNTKPREMGDVKLSGKSVEKLFKEYFTHYHPYLPFLDPDLAPDQYFDWSPL LGWTIIVVAARRFRQEPGLFGDLSPSYNKLLWSTISEHPQPYHVSKALCLICTWPLPS TSNPSDATYPLCGMMISIALSNILDFPVHLQFSKGRITEAEQRDRRLTWAACNIVCQC TSSAYGFPSQVNFDRLLGSNFAILAPYHIPKDLLDQLRVTQLYNALGKSLYESVSDPS GLPLEDDRAVIYSQVKASYEEVKSTLGSKISMNTEIMLLAASVQFEAFAFFIPPSSAR EAAFVNLYNAACTFIGKVMDFETIHGIVLEHCTNIILQSIFSAVIVLVKLLHSSFANS INVEHGKALYNAAILAVRRMSLRNDDVCARMAVRTPQIAKDMSPGGYWESADPLDLKI RARMCVNHQYDAMWHWLTARRQEMQNRESTNAVAPQGSSAQPETELSQPAVPLDLTAA PGLIGDGFDLFNSMDWLLGDFACGPYFFTDQNSLV LY89DRAFT_88014 MSPREALGYIPETLAPNGQAPSRAFTRQADPGNDLKADIAADAR QRESQIAAMLREGVRSGQHSDKLDEALSVYKTAAKSYQEASVNHLAHKAMWNTLSKSF KGIEPSIPLDKVRSLPEYTPARSISGYKSVRDIITNELDRLGKNELEIEAGFAKKMVA AYELWDLGPWDMDFARYMIEEDMWKYLIEKTAGRVGPFPGQLWDGFVALRLRGDAVYR RESPARQHTPRRRLPSSPPRGRSSEEKRLDPRAKVDRAPGKERSMTIEQRVETRSFYD NSRSGKGRSTQGLTKTEYGPSPQHRRSRSPRRQSRTRERSRRRYSRGHYESNSTKRAR SNLSADRSNKRRERSRYDQDRAHDRGRNTSPNDSDWSHRASVSQRPLTRR LY89DRAFT_670096 MNNNPPQPPPYGGKYDCADPNPSWTPEQRERWLLRQLEINRRNV VGQVTNIASYLLSNIDSTQAQSQQPQQSRRVSQPNRQRNRRNVPAYAPQSSVSETTTQ QARKAGRRSKRGPWDPDAPCCECGSFEHQLANHPNPSTPEGYLRGCLPCNTKDHSHNQ CPNRKKTGIFHYYRKQRDGLCPAEWHGDMREIPTKKGEYQLELMPLTPSFALRNLYED VLNFKHPGRGGNVELKSDPFWESDQAWSNVGCFSNPLARVDAEELEKWKSESSSRYAE MRSVLAKMYNERQESAPTAAQLSNFSSMILPLAAASTPRPRTMDGEAHPSIQRAGQNQ QDQDLRRQGTERRYPSSMSPQLAYPPASNASLNPQHITPRDRDTRDQCELSRNLARGS Y LY89DRAFT_585974 MRLKAQNYTLLRQGEDDPLPRPAERRRWNQRPIWQWIVPGLLAL TVVLALIITRATRGEKDSNWDHNSNLPACPQYPALKALSSDREKLEDEVKHTINSDEF FEKSLKNMQGAVQIPTESFDDMGKVGNDTRWDIFKDFHKYLEKTFPLVYSKLEFTPIN TYGILLEWKGKDSSLKPYLFMGHQDVVPVPKVTESRWKYPPYSAHYDGRFIWGRGATD CKNVLIGVLEAFETLLEKDFTPERTILAGFGFDEEISGYQGAQYIAQHLEETRGKDSI DLIIDEGGLGVKDMNGAIFALPGLGEKGYFDARITVETAGGHSSVPPDHTGIGILSQI VSAIEAAPYEPELTPVNPYYTTLQCSAEHSPKLDSWLRKTIQKALSSKKAAKEVADYL ASKDIFQRYLMQTSQATDLVSGGVKINALPEKVYAVVNHRIAVESRVKDVQANLKSVI ESKILTKFPMSLNAFGDVIGNTSTSAVGQIILEEFDEQPLEPSPVSPFDTDAYKIFTG TIKQVMGEDVIVAPSLMTGNTDTKFYWNLSKNIYRFAPVREGGRENAHTVDERIGMKE HIEGVRFYAQMIMNGERS LY89DRAFT_707780 MRWEEEAYSRTDSDDNPLSLIEYWNGGYPPLLRGAVNAASNIGN IIGQISFGFLGDTFGRKFVYGKELIICIIGTILVISLPNSIPTPTLKMVWIFCFRLLM GIGIGGNYPMSASIVSERSHFHTRGRMLAWIFSNQGWGTFTGSVATIIIVSCFRKAIK DEDQYNQLDAVWRIQMGIALVPAFATLIPRLRMPKEGNTPLQTFVAGGKAVLAKPKTL PAVPTGDTDLENLAKPQSRRAQLNTFFVYFSEWRHLKTFIGTASCWFLLDVAFHGTNL NQSVLLADIGFATGATHYDVLMRNAIGNLIIAVAGYLGRKWIQIQGFLMCALMFAILA GGYMHLSTAGKFTCFAIAQFFFNFGPNATTFIIPAEVYPSRVRGFAHGLSAATGKLGA ILSALLFNYLAGSIITWFLIPETKGRDADVIDFEEWQEKNGIEESA LY89DRAFT_647306 MEPLGQFLMFGDSITQGSHDQSRGFGLGAELQAAYVRRLDVINR GFSGYTSDHALEVVAKVLPNPSQAPIKFLTIWFGANDANKNLEQGQFVPADRFKQNLN QIVNHPAVKAHSPHIILLTTPPFEENVLQKFQEDWGYAGEVRKAKDAAEYAELVREVG KETDVDVVDVWTLFMEKAGWKTGEPLPGSKAMGKNEVLADLLYDGLHISPTGYRVVFD ALIKLIKEKWPEYRPYKMPFVTKVAWEIELGDQMWDVKNDAL LY89DRAFT_707781 MDYDRVKDLKGVLRNDFYWGYATAATQVEGGWNADGKGESIWDR FAHTPGKVKDASTPDDAVLSYYKYKEDVALLKSYGVTGYRFSLSWSRIIPLGGKDDPV NEAGIRYYSNLIDELLANKITPFVTLFHWDTPQALEDRYGGMLNKEKYTPDFVRYASV LFERFGDRVKHWITYNEPGVYTLAGYAAGVHAPARSSNRALNEEGDSSTEPFIVGHTE LVSHAYAVKLYREKFQKEQKGIIGITLHGNYSEPWDENDPLDVEAAERAREFEIAWYA DPVHKTGDYPASMRAQLGDRLPRFTAEESKLVLGSSDFYGMNSYTTFYIRHKDTPPEL NDHSGNVDKLDTNSKGVSRGPESDTYWLRTAPWGFRKLLNWIWKRYGVPIYITENGTT AKGETEPSEAVLNDQFRIDFFNGYLEAIGRAVKEDGVDIRSYFAWTFTDNWEWAAGYT DRFGVTFIDFESEGKKRYPKKSAYVVKDLFQHMVKA LY89DRAFT_647312 MVHYTAPATEWSQALPIGNGRLGAMVYGRTGTELLQLNEDSVWY GGVQDRTPRDALRNLPRLRELIRSERHAEAENLIRKAFFATPHSQRHYEPLGMFTLEF GHEDVEKYSRQLDLETAITSVRYEHGGVTYEREVFASSEDNVLVVELKSSIKSEIVLQ LTRVSEREYETNEFVDSIEATSDSTIIMHATPGGRLSNKLCCVVAVRCQDDGSIEKVG NCLVVKSAKATIVVSAQTTFRHQDVESVAFSDAIQALEKQNLRDRHVESYRSLYSRMQ LDLGPRNSDCPTDKRLLDNPDPSLIALYHNYGRYLMISCSGPGLQALPATLQGIWNPS FQPAWGSKYTININTQMNYWPANACNLAECELPLFDLLEKMSERGQNTAKLMYGCRGW CAHHNTDIWADTDPQDRWMPATIWPLGGAWLCYHIWETYSFNGERSFLERMYPVLRGC VEFLVDFLIEDATGRYLVTNPSLSPENTFIGDNHTPGVLCEGSTIDIQIIHAIFSAYI DIVADLNINDDFLTKVQQSRDRLPPMVIGSYGQLQEWSRDYAELEPGHRHTSHLWALH PGNAITKTKTSLAAAAAVVLHRRAKHGGGHTGWSRAWLINFHARLGDAEGCLEHICRL LKDSTLPNMLDNHPPFQIDGNFGGCAGIVEMLIQSHDGCIELLPACPKSWNVGSLKGV RARGGFEVDFEWEDGVIKGRVIVKSLLGKTGMIKFSGGKTARFEGRGVHSIEPPPTLL SAGANVPRS LY89DRAFT_697699 MEFVDLKSSEVHVEEVAIARLTEEQVFELSKESLRFKSWTSFRI SLIMLVQGCNQAGYGIDWAVISGVNAYPAWHAYFGFGSSGVTYATINALMTIGTVCGA PFLSFADVIGRRGINFVGNFIVLLAALLQGFSINLPMFMAGRFFLGFGSALMSSPQYM AEVAPAHLRGQLVGIFGACFQIGSLGMNAALIGFSNIEGNWGWRIPLLLEGLFPAIVC CTIYFLTPESPRYMIMRGHEDKARKMIARYQTTEGDNPNHPLCDVMVMQIKDSLASEN SRNREIWDFRPFGRKGARFRILVLILYSFFQQWNGGGIIGQYLVPALETVGITKPIEQ LGINLGSTAVYFVFTLVGSVIIDKFYRRTLIFAGLISFILLQTIVTITSWQFSLHGTK VQAGLTVTWIFVFQVCSSTLIATMHNLYPVEILSLALRAKGMALYGLVQGAAGTANNY GISVGISKIGYKIWTVYIAYNCIQLVLSYFLFPETYGLSLEEIDTVFETPGVNPVKMS LDIQKAKKEKAKLEAERAAHGEL LY89DRAFT_719294 MAHRPRKPVEPSPFKWPRDIVDSFVREQLDILNRKWNAKGGDVD KLKAVFEGYEAYNNDKYPDLGQVEAVQKAIRMTTRKVYGKQDADAHFNKHAYPYLHDK NFQPSADWRPAGGLPQALLYRVQQYRQEQRRYEVDLDRYHYDVARYEARRPRSPSPVP LQPLQSRGSSPSSDAKRQADAFLDALAEELLARP LY89DRAFT_734709 MLSMQWTSLLVLACVDLVFSQWPRVPDPLPLITPPDVVNILFQD SDAAPGDLVGSVIAADSTAATFLINCATISSCGYAAWDNPIIITQGLSTMHWSYADPA SETDGLTLSERCTFNGTTASTAICTNSFNNDYTDAITTLGLDQYGSYIDYQASLFGPP PFIPVKITAGLASLSVFEAAATNTGAVSTNASGSSTQATTSPTITSLAKSDSTAASSS VSSIAGRWVTLRRREIQQGV LY89DRAFT_617946 MAGERLSSILKHLTPGSSGLSTITAKHADDVVITLAIRTPLAKG FKGSFKDTELDYLVYSLLKQVVQKSRIDPQLVEDICCGNVSDGKAAYKLRAAALAAGF PNTTAASTVNRFCSSGLKATQDIANQIASGSIEIGVAMGAEMMSIGGDRLEKPFHPEI LQNQESADCMQPMGQTSENVGKDFNISREMQDRFAAESYRRAEVAQKAGWFEDEIVPI TTEVKDPKTGDLKKVTVTKDEGPRWGTTFEGLSKIRPAFPDFGDKSTGGNSSQVTDGA AAVLLMKRSRAIELGQPILAKYVGATVAGLAPRIMGIGPSIAIPKLLGKFNITIDDCD IIEVNEAFASMAVYCKDVLKIPHEKMNIRGGAIALGHPLGATGARQIVTGLSECRRQK KKILLTSMCIGTGQGMAGLFVNEQL LY89DRAFT_734711 MRMQSHKKRPNIVPLAASTEQYQPHFHPQEPRAHQSHTLQLSRR PLYGQDDEHRPYWSVTLPHHPRTYRLLYGFGRGEYVKVVEDWFGLRIRSTVKGNNCMT IWPEEFEKTGNSSEEIRPWVDKCYRFLQSWADEVIQRRESKKAGDMSAQAPTIKEWLE VWVFMVECGQEPSVAEPIRGPLKV LY89DRAFT_734712 MSGNSGKPPIPPRPFEFTERAVLRWLDRYFRDYFEEWVQGSRSQ FNSMLEFFDDSIPWMRNDQPGCGEVEVLQKSFRMTARRFLGTSRADRMFTAAKFPEIF DVRFQPIVWRDDIELPADLRQRYREYRQRVRQYEDLVRAYRQGLSEPQQNIPQPPTPL PPSTAPNQEDISEDRQTAIKQLPQQTQPQPQPQQARPTQIGQAQQHERFVTATNGGLA ASPYSAPAPSQQPQPPTQAAAASGDNRAPLYRTDAGSWLYWSIFMTDEYDSFQLFCAV KGEYVKPVEEDFNLRLPNQQSMRDNYIVIWPEDKSKRRVDKVASVRKPLDQCFFVLQS WADEVERQREHRSSGQVTAKSPSIKEYLVTWEGFVERETFPRVSRPLVQAPLEIRQRR PLEPEVSRDLSRLRSRKNAVGGAPLLLTKDEISRPQPQAATQKPTPSQKPRGGLKTHH EAYNPENL LY89DRAFT_734713 MEELKTARQNFEVVDRMAKNGVLLEGAFALGYEQLRRNVSNLEI IVEKLRFPDRVDDSTVDKAYEQYWQDNPDMPRDTHRQAAPRPATQQSQSIADPAAPPT QSGDEVEDSLAQLKIDPASEDAETEEEEIVEEGDSSSRAETDDKSTESSAPAKADVPE EK LY89DRAFT_586483 MEESMRRYVDSIIEPLERRQASPTVPSTGINVTEWDAQTQAACT TSLEALNGVSNNPSGMAVCYNLPYLDNSTGVFEADLRLYMIAAPTGDFVNIASSNVQV GLSYNGATVSTVNASSLKRRSDATSLISWPRAVEVVKRATVPTLVQSYAFVGQINQNL LTTNMGTAALQKVLVPTVTLTGTDSTGAQVNTSLSSDEATFVSGVFAEQATTTVTKAA VDPPIQTLVVASDAPFVVPGLNILIIPIGLIITSIWTVLFVATIAYGTVGRMQFREQY RRRSARAEKGDVPRI LY89DRAFT_617951 MDSRSAPSNKKDDAGLPFPEALRGKRLLLATESFGPVNGVSRTT LNLVTYLRSQGVIVAVVAPEISTGSDNSLTVSTSGTDNEIEVRLQGYPLPYNPELSVV YPVRLSALYRRTFGREPDLIYLASPASLGFQILLQLRQQQKPVPVLLNFQTDLSGYCE ILFPTPLDAWAVWVFRSVQGYLFRHASVRTVFYPSLFVRKYLEKAKVQGDKMLNLRRG VNCEQFHPAKRSEEMRKKLAPNGELILVSVSRLAPEKGFEFLAQVAKKLDTMGFPFKL LIVGGNKNPQVIQDIHDYFGDLNEKGTVQFTGQLKGEELAKAYAVGDVFLHCSITETF GLVVLESMASGVPVIARDEGGPSEIVADGKSGYLVAPTALDTFVEKIVKLGNDTALRE EMGLESRKMAEEATWEKINNRVAWKLAEALQPAPATPTPAQTSPPPAAGASNGAQAAA VIPGLSIPIYSWLLMSPELRTFLGALIVDARLVGGLGIIIGVW LY89DRAFT_88335 MLLHSPLSCSSPPVSVCPLGLSIPTTMSILTLPSSHISASFPIP IPTPRVAMRTSKLTTHSGMLQTNATATATVTPSLIHAHHTRALVTMIHRRRPTSTSSS RQPVDSG LY89DRAFT_88293 MVLHTRRNEDAGESRREQDRRGQESCGRWRKYPDQMQHARQGSE GEAAVAIMGRPAPDGRMWVRREMSSNKISIQSEENSVHWTASQGRQNPRMKPMMVDHD EEFGGERCDFLEMEQGTSEPGMGCTVASERQSNGARWSRLWMMGERGTERDVHAVGRD STSNTSNPVPVIFRCKCIMMSIIYEWRGRAWVEEG LY89DRAFT_734717 MLRHPSFPASTVDSEDSLVDISTAPTTPDGSFSFSPVLQALKLQ DALEDAASGRGKQSSTSLNAAMQSSNNGGVKNICCVGAGYVGGPTAAVMAFQNPHIKV TVVDRDPKRIAQWKTKHLPIFEPGLDSILRIARDGSKQFGFYNEPSRPGSLDSMSNAS SAESECESQCGEHRDEISIPARTPNLFFTTEVSKSISEADIVLIAVNTPTKMRGIGGG RATDVTALEAVTREIAIHAKPGAVLVEKSTVPCRTAEIIQDTLRVHRPHQTFEVLSNP EFLAAGTAINDLLVPDRVIIGSSPTPAGHRAAAILASVYAAWVPRERIVTMNVWSSEL SKLVANSMLAQRISSINSISAICEKTGGDIDEVAKSIGMDPRIGDKFLKSGIGFGGSC FRKDILSLVYLAETLGLDEVAEYWTQVLTVNEWQRTRFVRRVVRCLNGTLVGKKLAVL GYAFKPSTDDTRESPALECIKMLLEDCPREISVFDPYCEPSVVRDEIRKLVGDHVLKE NGGNIEVYADAYQACSDAHAVLIMTECDQFRTSPPSNSSPKLGGLPPKQVKPKKTPVD PRPFQRLEPTESEILALQKYLASAYSVQDPLQRFENEPACEEGCEKCEGEMSKTVVLD EKRDERLDWAKIAYHLQKPRWVFDGRNVVDGEVMHSLGVRVEGIGKVGWGGIGGR LY89DRAFT_749458 MAYHSHIPAMLARATTEGREARFTNVVRVVTRRATADHPGKDEE EFPRWTIDRNTWENMWKYEPFPRMAENPEERTALTRNSAVSAAGNISGGAAERGTSLG GTADQATGTDFSSRSVSDVTKVNNSNSGEGKVGNTSARFIIAALTARENGIAGPSSTA PATSGSTTHRLAAVRSQLRDVLGQIAEREAKPGSDIDAELDALREQRDLLQRSQVLLQ RIENARAEMVLDEEDITQRVHVPM LY89DRAFT_88428 MFFKLGLLLRSIPLALGKVQFMGMNIAGLDFGCAIDGTCPISSA QIPLSTLGGGDGLGQMQHFVADNQMNIFRLPVSWQYLVNNQLGGTLDSRNFGKYDQLM QACLSTSETTFCAIDIHNFARWNGAIIGQSSGVTNDQFTSLWTQLAIKYASSTRVVFG IMNEPHDLDVPTWANTVQLAVTAIRNAGASSQMILMPGTNFASAGQFVSSGSADALLN VTNPDGTTAALVYDLHKYLDVDNSGTHADCTTDNIEDAFAIAAAYLRWKGRFGLVSET GAGSTSSCFTDFCAQNTFLNQNSDVFLGYIAWAAGSFSTSYLLSLTPSKSNGKYVDNA LASQCVVSPWLNAGTAIVTSPIPSAIGSSAATSVGAIVIGAPSSAAASTTDASGSSPS TVATEASTLATSTSSVTPAGNFSASAAPTTSSASTATQVVSTGGAEMKQYAGGLLAGS LFVALIL LY89DRAFT_586392 MRCTLGVGFRYGNSCRLLQSKISPDQNSRYYTRKKAASYGITGW VRNTANSKVEGEAQGEDDVLSKFMKDIDKGPTHSHVVKLEKKEIELIDGEADFEVRH LY89DRAFT_782855 MANEPPHKQEFRIRDLTTRKVTLFPTRAQIIRDIKEITLQPGAN QIVIDGLTPTVDEHSIKVEGTGSATITDVTIDFIANREVYEDIYPSDSEDESDLEEDD SDVEVDEMKAINEKLKQLNVKILDEQEKINSAAHRLRICDAFGNSVEKNRPPPNDLET LIKAYEVERQKIYGAHEKATSEVAKIREEMAKAEKQKLKVAKAVVEANEKSKKEKEKA KEKKLRKKAEISKEKQRIRTERETFWPKKVYCVTINIETSSFTPGSSRRNSIDGDTII NQPSSSGLATSEFHEPSTKPLKTGEISLSLSYITYSASWAPRYDLSLNSVKLTGLLEY GAELKNTTSETWRDAHVLLSTSQTTFSGLSETIPQLLPWHVRLYKGGRNGDSALFSAH ELEAKKKEWNQSTGEQSQKPRWEVFGRDNAVQNAYLAQERQHALTKKMKTSREAVQYA SRHANPTPTALFGAAAAQPAQSNSLFRNLEQADLTQRARKSGGAPTWNGHSSHEEMEE DDGDQGFALFDDDEAPNKSLTFEEGAWEESGLTTTYDIPGLKTISPSNSTIKHKIAKI DFKNVVFSHIVIGKLRQVAFLKARLRNTSKITLLKGPLGLTLDSSFLGQASFPRCSSG ETFSLPLGVDPAININYPKPTVRRSQTGIFSKEDTNVFTRSMTIMNTKHNAAIDLTVL DQIPVSEDERLKIEITNPRGLKAGGEGVRAGQPTTFGPQTLSRGTAKEIRASVQGMET PKDVKWGSAVATAKKGGEIAWNVKLNPGQGVKLMLEYEACFPGGETVAVAQQQAQAGS RGSNTTGGAQAPGMGMGMPLGSALSAQMVSQCLHQQGTDSDELQGQRLDIYQGMQAPL VTVSFGHQQQHHSGLAGQFSLPPTGMGYAPVEGGNRSRGKNNTSKKVNPFCDPDALCA ECGSLVHQLGNHPNPNHSSGVLRGCARCNTKEHSYWTCPKMDWTEKKKKQYHFFRRCR EGRCPLEFHGDFREIINPQGKFTLEHMPLTPDFALRNPYPNEQQFRSPRNGGDPTLKV DPFWSSANPWQNLRSLAFSGARVSEEDGRFWDRRAGARRGDLWEQLRQEQQQQQHPQE APVQTAEAFAELKAQLASMAKSLDEIQRGGVSAGSVKKEEDDDHKRSDIAQSMLDPQP GRVAGGVVKKEEEDENNVSDYPIAPHAPSPSSQARFMLGSSASRGNDSRPSGFSGVRP ATGNFQQGPCHHCQGPHFKRDCPQLKAKLKARGDRAPGGDRGNRRGRDRRGRGGGSSS KDAFVAHTSGSNQVTFEFNFAFTLSNTAACCQPRNSPPPAIFLSRTTCLFLEESDSTV TMPLTCRHCKKQFIDADALSKHKDAVHDWSCNYCDEISLSNPESFVNEIDLIQHNEAK HNFKCKYCDRVCKTEEARYQHENSPAHILKCTKCIKVYKTETGRESHYNSTHVYTCDT CNSTFDARKALDKHNTIAHRIPCQHCIKVFKNTEDLEVHVTEFHSFRCKKCSRIFETD ENLQKHMADDHVFRCTKCPRTFGSEIGHSIHYTTTHSFKCETCKVVFNTLREKDDHAK AMHSITCTKCQAAFDNQELLKIHVTKMHVFKCKKCAMEFESTAVLSQHFASSHILKCP LCPEAFETVEARTTHFRISHEYECHVCKETFATLQALAEHSRTHQRNCEECDASFDSE IALNRHKTSHKYRCMKCLEASDSLGGLQGHTILAHSREALLKCSACAALFREENELAD HVKSHEASTKVSADSLGSDVQCPECRQSFNTSGLLKAHYLDTHFFKCSICPSAIFTDE KSCDEHIKAYHELKKDDLSLVNAAVKYPCSNCGTTYDFPIELATHVLQTHFLRCKHCS GLRFSTLQELSEHTAQSHMPVSVFSSSTQTEAMTDISVTEKLGFSSCSTDNSDGSSQD AHSSHEAEQSQVYKLPSYETKAMQTTEFKCDECVTVFENEEELDVHMDHSPFHGAPAL FCTECHIGPFRDQIELLKHIESKPHKTQWVLSMI LY89DRAFT_88484 MAGKSQRSRPSLGNSGPSRRAVNRDSTGDEEAEESSGSDSNGGD QGKEKRVKSKQSAPKSGPRTPTPADDTDSDTDGEWSREDKIVLDKTLKEEVRVWDRTL DLWDMTPPESLPPELHVELGDRTIDDPWNAGQTFRNTNWSKKFSVAFADVVLCPVFQE NIPLWHCAIRMSMQYRLADKYEGRVADYLRLTGKRNDRVLSLFKPLLSKDTLDYPRID SKLRRRIDSEIGKDVAPDSEFLSHLKAAVDAQRKFHEEENGIMPRDLKAISDAWDSYV RSSPGLR LY89DRAFT_88485 MGSEGAANSDDKDQQIVAARKSQGHRAGAYVNPREPQSPHQPQM SPPVFSPVASTAQSTDVGALLIEQRCQSTGPQDQPTRSLSSLIARQQELFRKEDEKKQ RMMQSHARPQQQGKAGANKSIAPKPSTQSSVLGLRSTPTYKQSSSSLSGIQQPPMSPP PLPMQASSSKDVQSSMPRESGSPASQQHSSSGSSQTTPRIDWISRWQPDEIIREDVGT NTSPVLTNEFDGIICAIILVRVEQIDCNTVISAEQYLLLSLDIVCSFMRELLTMLFSS SVPVKIRKWVFCSLPLSMNQRLQCIRVRRHTKQDCNSC LY89DRAFT_88512 MERPIERALFFVQGRVEDLMLSMNKHEQVMTVQWLRQYWTFAFR TAKHWGRGPREWTADTLGFHAQPQLSLERSHVQADQQQQPSQCCRWSVHLVPEDWKEL PYPGSPMFMTPDYCDETPSTRSFEKQISDHNVPDPEDQSTWRNWPEEFRAVPYEQKLA QALDLF LY89DRAFT_586358 MCIKYGPAVQLSEAAALRFVAEMTSVPVPKVYSAFQKDRSSYII MDFIDGEVIGEGWDKRSEAEKASLFAQLKDMFEELHNIPHPRPGVLASSDMQSLYDKR LWKGSHGFGPFANESDFHMFLRCGVHIEDDMIKKETCTWVTDEQKEEMRQMVICFTHG DASSSNVMVKDGKVVALIDFELSGFYPEYWEYTTAMNVNRFDGFWKEEVPKFLKQYPE ELQMEELRRKHFGPGGFQGRYPWDILGCV LY89DRAFT_782859 MEVDLLPPRDDEIEQTSSDVFCNYNHTLVHIQIISLKLTHISNM STDRICDGHGRRLSDLHPRRLSTILIDRHAPTARRLEPGIPDHATSGTSIYDRSGGDY ARGLATRLGAGAYEGRSNALMSGALRSPSTSSLGIGVRQRTPRPAESLDRGIRLGGRS PRPYTRPGETLGQGAAGYIGRTPMGNAQGFTRASDRQRRRSPSVASTVELSAGWYSDG GRRSLGSERGFGCYDEGGMGWDHQYDPYGW LY89DRAFT_734728 MQFSAIFASLLLTGVVSAAPATSFESKRDCTCDQGTYHTEWADC VFPYLGTSQQASYEASCASTVVADCGDCGPLYWAKSKRDCTCDQGTYHTEWANCVFPY LGTSEQLSAEASCATNVTAACGDCGPLYWNKNKRDCTCDQGTYHTNWANCVFPYLGTS QQGAEEASCASSVISECGDCGVLYWK LY89DRAFT_586395 MRFSTSLLLAAATFTSADTLQTRSAKRGLVFVPSTEHPQDNQVW VSGNSDLTWYYNYGPSASPAYSNLTQEEFEFVPMLWSPTTTFLSSVQSMIKAGTNVTH VLTYNEPDGTSSSGGSQVSPSTAATNWISQVQPLKKLGVKLGAPAVTGSPGGFTWLAD FFDACASQGTNCTADFIPIHWYGNFAGLASHIGQVRATYPNTSIWITEYALNNDTLPD TQDFFNMSAEYFDRIDYIDRYSYFGSFRSDVSNVGPNVSMLTSKGLLTDIGSWYLGGA ATNNIPTESTAVRGAAAFGTVLVMAVAAGFMVL LY89DRAFT_617970 MADSKGATFEHLDNGRTQHEQLGEQIFGRERQYSLWKAIKVHKR IIFHCVAAFGAGMCFGYDTIANGATISMPGFVIYFGAVTAEGELYVPSIWASLWTAMS YLLQAMGGFLIGFVSDRVGRKWPCVGSCVLSIAGVGIQFGATSRAMLLGGKMVNGLAI GCLFATATAWASEISPLRLRGPIQSAIILFMFFMQAIGLVVVRMFVPNITTHSFKTVF AVQWAWPIATGLLFIFMPESPTWLLLQGRTKSARNSLEKLYGSNNEIEARLAHMALGI RLEEDQALRHGAGSYVDLFKGSSLKRTLTVVWMFLGFGFTGACLLAQSIYFLIIAGLE PIHSYDVAIGGFGIAIFAIVGSWFFMEKTGRRLIFLVGAGVNCVVMFVIGGLYYTHSK GALWAVAIIMNLLISWQAVTLVSTAWAITGEISSYRLRAKTQGVAVISNAFSTWLFTF TVAYIYNVDAGNLGIRAGFVYGGGSLLFFIFSFLLVPDLRGFSTEEIDWLYENKVPSW RIQENVVAAKEGILAMEANFQHEKVVV LY89DRAFT_88578 MAGSREQHTGQRTPRKWTVTGDVKVDGDFELSNGTEEQSEILFD YERAEGGIPFIETSKVISNGEDVQVEIVFSETYAGLHSETGDGPFLLFSNAMDTYRVH TQTFKPSTTSSRIQLPFAQRSQRYQKVILKTPNSAITISSIGYIHVRPPNPTISTFNC SNEKLNKIWRDGVRTVDMCTVEAGETAEAWEITEEGTRILGQHWAPCRQGTRWGDMKA EFEVKIERGGAGWGVHMVANGLIFCLDVAKRSLGAFEGLSDINGVFPSIPRGIWDIGP DIDCSGWLKIVTIARGDSVLVEINGIEVASLSGLDVHPILGGSGNNTGSIAFGGPQGY ISLYRALKVSNDEQVLYQNSLQLQDKDRTLADFAVGTNALACTIDGAKRDRACFGGDL FVMGRSICYSTGNLNAILGSIKLLTSHQTADGYLGNLCPIQAPMHEDTCEPPTYAFYS LSYALLLIVAIKDYWMHSGDNATIGFIWPRLEKLLSFTEKFIDERGLVVAPPPLSMDW FPMGGPIFGASGKINLAFYDALRSMSSMSTFLSIKDTFLDQAATLKESIIHHLWNSEA GIMRMSDLASPTGICQDINAYAITTGVSPAHASSITTLSAPFDGTLPLAFQGIERWDQ KKVVSPYASGFAVEALFERDQGTSAIELLERVWGVMSDQSSPNYSGGHWEAMKADGTP ITDDTSLMHGWSTWPVYLLPRYLAGLQPLEPGWIRWRVKPVLAGSERVDVGLSTPAGN VKVSMELRETMGTGSIVLGIPLGSTAEVFAPEGWIIVTSEKISDASLLASQTIRGQEE EVTIRICRIGSASQPSTDSEKDTIHEQTEEILVPAKSRAGAWRPVRRLLQWFM LY89DRAFT_586564 MADFERDRAHGTKSTNYIDVSVSSSAEIIDSLSRLEDDNQKETF GCQQCSKVFNRRENLSRHLKTHDASPSHCCSKCGKGFTRSDLLRRHQAGHERWDNQDS KRRQTSGKKRKVDVQGSMTSESAGNSSWTNSTESSGMSRPYGSAYRAVRDAFAIQRPM ANSAISSPGFTDPNPQTYQAMQESHYESILNSDPEHHDMFPQVHTNMPVRPHPLDTFS NDFSSFLLPPEVNQFSQEWFSYDFYSAMQEVGNEWGGLGVLLDPNMLTPGHEYSNVDT SNYQELDAEGSKSKESSQGRISRVSSPPNEASEEDKWPFQWNPNSRQILKAQPIHIPN DHPLFQNHYSRYDITEATLLRLQYFLQPPAGREFHKSQKGSFVLPSLPIINVFIRLFF ENFSPHMPVLHHPTVNTNADLPPPLLAAIVIIGAIYSNVKHTRRFSIVLLDIIRWHLR IAIECDNSLMRDPMIIYAEALICHTGIWGGNKRAFELAEVVRGGLVTYIRRVRFGDQL MKTPEQDIRGNLQEEWKRWITEESQRRLAWAVYGIDSYFPSILNLPPTISMGEVRNLV CPCDEEFWAATSARNWKNLLGPASVPPSRSFSAAVGPFVLPGHGRLPAINLNPWSAFL VLQSMTHQIFQFSLDSMVARTFMDDDPCGDDTMYPPGDPNNFLRKLHAERHAQLAESL DAWATSYLTRSQPHFHPASKHFHACSVVIHHLSTILLDVPLSDLQNAIGKDGIGGIVQ AMTNLSNWARRSPHIADQAAYNATKTIVSLAPSNNLSDDGVRNVDTAPYSLIALFLCH IVLWAYATVAPREQKMRLLHSVMQHPALRISAFVVLLKRALGLDGQEEDGEPKLLFRS AAEMLTRLGTWGGSLNLALLLHRRAEM LY89DRAFT_707793 MPKQKAPKGAASAGEITDPRFSNFTTDPRFRLPSKKSARTKLDK RFSRMLKDDDFSKTAKVDRYGRKLNSDSKKKALERLYVPEDEEDEEEADIEVEDDDVV EKELARAEGYDPARGGGFSSSEDEDEEDGGVEIEEVEEEFPDMQAESVAVEMGEITPR FAVVNLDWDNIRSVDLMAVFESFLRPGGKIHKISIYPSEFGKERMDREELEGPPKEIF AKPVEEEEPEEDSEDDEEVEEKIKKDLQKADEGKEFDGAALRQYQLERLRYYYAVVEC SDNDTAQKIYEATDGTEYLSSANFFDLRFIPDGVEFDDKPRDECGSVPGGYRPTEFVT DALQHSKVKLTWDTNPEEAVRKDAIKRAFSGSRAEIGENDLRAYLGSDSEEDEEDEAA EADAPTLSKKELARQKMRAALGLADEPVKPSKKSGPVGDMEVTFTAGLSSKEKGSVFE NEPIVETTAERYVRLERERKARRKEKAKAKREGRDPDAEVEEAAEDKDLGFDDPFFAT DEAVKEKASKSQRKEDRLKKRAAKAAEAAEKASNRAELELLMADNGTEPAANLDHFDI NEIARAEKAKKKKGKKSKEIETGKRGGLQEGFEMDVGDSRFSKLFESHEFAIDPSNPK FKGTEGMKKLLEEGRKKRGVEGEVEPVEKRSKKRKDTEHVSNFDCPS LY89DRAFT_647352 MILEDLMMNDPIQDRIMNSIFDVEPAPEITGSMPKETQFQQLFA NVPETSDYASKKAAKDDKKKLREASKSFGYAQCKAQDGKWLIKGMSSTLYHHQLLGAQ WMVQRELSSQPPNGGLLADSMGLGKTVQMLACMAGNPPGKEDLRRGTKATLIIVPAGV IDQWLDEIRHHTEPQMFPKIIHYKSSSKIPKSVLEDVDIVVTSYNEVMKQFPYPDAKA RAIIQEVGYKKWWSEAIQNLGDLHLIQWFRVVLDEAQAIKNNSARTSLACQNLKSVYR WCLTGTPLLNRLEELFPYLRFLKANYTMDWATFQRYFCDVNAEESYSRISTLLSYTMM RRTMKTSILNRPIITLPKPHPEIVYVNFSAEEQIIYRITENRFRNNLNAFFAKGEARR NYGIFMVQLLRLRQCTGHPFMLERTIKESWTLEDVTELQDKLAKLSNHENRKPFYEQC KAWVEQSERHRQNAHDTGEEVGFAAPFGRGDYGANFDFAPALDNLDEKDLFARVVCCV CTDVPVGAVKTDCGHIFCEECLSAFMADRVNNGHDYMCCPSCDRVFTTTVRVHAGEGL RISDDDDYDRHSVSSKKGSKHSYSANSKGRDALGFEPFTADSTWVTKSDNNPDFPLTP SSKTTALKSILLRGFVDAPFDKVVIYAQFRTLSRIIGRICESEGWGFLYLTGDSTLEH RTKAIRRFRDDPNIKILIAGLKCGGLGLNFPWANRCISLDLWWNHAVEQQAFGRIFRI GQEKETYMTRLVVRNSVDMRMMSMQLHKLQNLERAMRDGEYNREQPNLSLKQLANLFG FLKTDADDNIVSVEADYDDDPVQEGEGSGGYQGEVDHMMEEM LY89DRAFT_586432 MTSATSTSKAREADSEETAVERRGTRPRSNEEKARRRFVGKFFN KKDKPAEEDDKPKKRPWYKGKELYHSEPFTFRNQISRTIFSSWVNILLIAAPVGIALN YAGVDGKVVFTVNFIAIIPLAGMLSFATEEIALHLGESLGGLLNASFGNAVELIVAVI ALAKDEVVVVQTSLIGSILSNLLLVMGMCFFFGGLRRSEQFFNKTVAQTAASLLALAV GSVIIPTCFDQFSNTPTSSVAALSRGTAVILLVVYAGYLYFQLHTHVDMFNEESQKVP MKPRKHALPQGAISKGLAKAGGIGAGAGRPHVIDRPPNDELIKTTAYEEADEEPEEPT LHIAVAWATLAGATAIIGLCAEFMVDGIGSITESGTVSVEFVGLILLPIVGNAAEHAT AVTVACKDKMDLAIGVAVGSSMQVSLFLIPLLVVIGWIMGKGDMTLSFDGFQVAVLFV AVLLVNYLIGDGKSHWLEGMLLQCLYLIIAVCA LY89DRAFT_749482 MKIEVSFLGFLLAFTANAAPTIQSSSAKQPDCSYPIGKTGINAK VSGRLFDIDGKVEYFAGSNAWWLGHLSNNSDVDTTLQQVVNTGYKILRVWGFGDVNTI PDPSATDPNKVYFQILNSTGSYLNFGPDGLQRLDYVISSAEKYGVKLVLPFINNWGDY GGIAAYGNAFGNNATTFYTNAAAQKAYKAYIKTLVTRYSNSSAIFAWELCNEPRCHGC DTSVITNWATDISSYIKSLDSDHMVTLGDEGWLAPADGYGDGSYAYGGGEGIDFAANL KIKTLDYGVFHLYPNSWGYNYTWGNEWIQQHDAIGAAIGKPVILEEYGTPFPNNHTET EGPWQETVVKSGLAADQIWQFGTYDLSVPGSNLGDVNSIYYNETEYKTLGFEHAKNML AKDVK LY89DRAFT_88712 MLMLNEECDVRTSILWCVSTFLSLDVHTAKKEPRKNPQVDALSV GVSILFSWMARVLRSGLPSGFSRSVWFSFGCSVYAASIPARRNSVGIATTAATPHDLT VSKNCRWDLVSSDEDFRPRFREPHIMSQSPSYFASEREVPCRIILYTVFSTSSSTAQ LY89DRAFT_697712 MGSVVLPHLITGWHVDQAIMSEDERLVIIRFGRDWDPDCMRQDE VLYRIADRVKNFAVIYVCDLDQVPDFKQMYELYDPMTLMFFFRNKHMMCDFGTGNNNK LNWVLEDKQELIDIIETIYRGAKKGRGLVVSPKDYSTRYRY LY89DRAFT_685548 MSQLIFYDLPTKEPRVSWSYNPWKTRLVLNYKKLEYKTEWTEYP DIESKFKDHVPPNPPDDTPYTIPVVQFPDGTYVMDSKKIATRIEKEHPEPHLHLDSPI LEQLSKITPKAMGPLRGIALPGVHANLLPERSKEYFGRTRQARLGKTLEQYGKDSGGE EAWMEALPGIKELGELVKANGGPFVMGKTPSYADFVIVSWLQFMKVIEEGVYQRLVGL EPALGELYNASKPWLERNDH LY89DRAFT_586102 MISLLPPPSARVGRIILPWSRQISYSSTFARHPSPSAHKWSHPP SSTTIADDEVASLASKPLHALSLADLVKHGRPPLSTDALFSSANFTLSLLPIRLAHRI QALRNLPFIVVSNPNISKIYNNYLHSLSTLLPYKEKTISSLEDEIKFTSVLADLVETH SHTIPTLARGFLECRKYINPIEVTRFLDEHLRARIGTRLIAEQHIALHVSSQPHQDPK SSYHEENSQSSYIGVIDTALQPASIINSCGNFVSEICELKYGVRPTWVIDGEPGTTFA FVPVHLEYIITELLKNAFRATVESGKSHEPVIITIAAEPESPREKDRSVKNGSSATDD NPPIRPFEDPAPGVTIRIRDRGGGISPEVLPNIWSYSFTTFSDEDDLPGQGPGNGSID ALNAMSGAGGEGSSIAGLGYGLPLSRAYAEYFGGGIAVQSLYGWGCDVYLRLKGLGRP KG LY89DRAFT_734740 MVRHIVNCKTKADVEPAKKLVEEQGGKIVDTYTLIPAFVVDMPK DSVSAFESSPHIETVELDSEVKTQG LY89DRAFT_618003 MDDQSNRPHRPSKDKKKHTGDRNPKAFSFANPGRLAKTAARSHD IKEKRLHVPQIDRIPEEPPPRLVTIVGPPGVGKTTLLKSLVKRYAKETLSDPQGPITV VTSKRQRLTFVECPNELEAMVDMSKVADIVLLMIDGNFGFEMETMEFLNILASSGMPG NVFGILTHLDLFRKPQTLKDAKKRLKNRFWSELYQGAHLFYLSGVINGRYPDREIHNL SRFLSVMKNPRPLIWRNSHPYSIIDSFRDVTHPTKIEEDPKCDRTVVLSGYLRGTNFA AQGQRVHIPGLGDYTVAAMESLPDPCPTPYMDQALAKASGKTGRRRLDEKEKKLHAPM SDKSGLKIDGDMIWITREKGFNFDKDAEDVERGEGEELIVSLQNERKLLGEAVEGVQL FSNGDAITSVAEEDSGRKQPRSARFVERDEESDEEPNDEGFVSGDEYDSAEEIELTDD KLGRAFRKSDDPAGGDIAFADSDSDLGSISGEEEEAESSDEDGDTRWKENMLKTAQQL QGKRRSYRTADLAKLMYDESLTASEVLKRWRGEVEEAEDIEEDSDDEAFFKKSGRETA DEKFEDRAIPFLDYDALEAKWSVDDNIEALRQRFATADLLGEKKADQSGSDDGEGEDS DEGDGAFEDLETGEGHKHDDLEAERAKNAKRKEELKMRFEEEDRDGFNNDKAKARQET GEDEEFGEDDWYDAQKARIQKQLDINKAEFELLEDSQRVNVEGFRAGMYAKIVIEGAA SEFVTRFSPRMPIIVGGLSATEDRFGFVQVRIKRHRWHKKILKTNDPLIFSMGWRRFQ TMPVYSISDSRVRNRMLKYTPEHMHCFGTFYGPLIAPNSGFSCYQSFSSKNPGFRIAA TGTVLNVDEATEIVKKLKLTGAPYKIFKNTAFIRDMFTTAVEIAKFEGASIKTVSGVR GQIKKALSKPEGYFRATFEDKILMSDIVFLRAWYPVKPHRFYNPVMNLIGWEGMRLTG EVRRDEGLPTPQQKNSQYKPVERATRHFNPLRVPRALAAELPFKSQIVQTKKQSKETY MRKRAVVLGGEEKKARDLMQKVMTLRNEKVAKRRVAKEEKRKEYRKKVEENAEKRGER EKKEKQEYWRKEGKKRRAETDGEGGGKRRR LY89DRAFT_647372 MPKAITMQHIEGGKPGKVYYPLSLVDVPTPNPGPNDLVVEMHAA ALNHRDFFLRQHLYPAPSFDVPLLADGYGIVTAVGSSALKSWLGKKVILTPGRGWKDD PAGPESPTGYAILGGTKTFQLGTLQEVLCVHEDEVDEAPNHMSPAEAAALPLTGLTGW RALMTKSENAQKGRNVLITGIGGGVALNVLQFAVALGMNAYVTSGSQEKLDKAKSMGA KGGVSYKDEGWEKELKNQLPKDRPRLDTIIDGAGGNIISKAVRLLKPGGIIVQYGMTV APKMDWLMSANLLNLELRGSTMGSRKEFRDMVAFVNEKNIKPIVSRTVKGIDNLKDID GLFEDMRHGSQFGKLVIELGGTTSKL LY89DRAFT_685552 MAFIEALILPLRVIQAVFAIIVLGVLAYAANDWAYYWSPSQVNF LIFTSVWTLLAVAYLVIAPMHFKTAAHKFGILAAETITMLFWFAGFIALAVLLTDIDC TAHTGKYWGPCRASIAGDVFAAFEWLLFTATTIMAALYCWRTRNERSGKHDPAVEVHH V LY89DRAFT_685553 MPYTEFVIPSLKQDEGSKAAFLSASPLLISGLAKASGFKQHVIG TIISENGEDVNPNSLKIALGIEWEQEKNLYDFLVSEDFKAFAVHAKSFSAAPPDPQLY KTDLEPTAIFTAPLTEVIRIPMEEGQAGEVQLIWKQYIDAIESKENIQINALCGTSLN LEKKHFVGIVGYRGIEMRESIHESLSVGEAKGKLEKLGANSFVVSFSKP LY89DRAFT_618012 MAQIIELVHRSTTRQKACTGCVKAKRRCDLTIPSCSRCMARNRL CSYNDQLVAFAAGDAKISETPNHDPAAAELLTSPYDSFPFPELAVIEPHQIEYCVFEL RRCVLSLAMESRAPFIHSDLYHDTMPDTYQDLLSICSLYNQKTETNSMIVFRMLFIKL KKLISSSRTFTQPEDWLLAVQTLIIYQIIRVFDGDKKQEELADQDFDLLENWSRKLQE VDVGRSTSHHQWLLMESIRRTLMVSVLFRCLYKILKDGMSDLVPVMAALPVSENTDDW DRVEGPRRIPSLVTYTSYVKDWNEGKVTTVDAYEMLLLKACRHAITI LY89DRAFT_734746 MFIWGEGIDNIRLWASTVTVVDGSKITKDLLRAIGNELWGEDGK PWGSFDADGDTDEYDVRIIEPGDWCTYAMACLSHLDDLKCISLQPLQRKDSASTSDAT NSQRSAKRARVNYTISETSPNGIASKYSTSFDASTIALPHGSVRFINRAEKLSTQRFT LY89DRAFT_782878 MDTSITFSAIQHAQSLSAILFPHLPSLEDQMISIRQRETLLAPR EHWMSNVSEIQAPGWLSHSPRPLLWIGGRRNRRGISWVSSFTLDVVEALKMEPSVKVV YTLCDNAALMSQLIVFKHLIVQLLRAYPEILLVPENLNDLPVQRLAGIGDSTELAYRV LADIIRMVNQQCHRDGKEVFLLIDRVDVVLTMEDSKGKLRFLKALKQLLVEYRALRMI LTSEYSMEEIEIGKEGREATVEIWVDTTKPVTMHSRQ LY89DRAFT_734748 MAQETFLIAKSVLRKFKDPPETRRLEFISPTTLEACSRAARNLQ HLEMTSEESEILDQSQNIEMVREFIAQYVAEVDKRRQYSKTWGKVYAFSQFAGPVLDV FKQANFSPECSVALGLISLLLIQPLNNKSDIEERLEKEIMQLKDIISQVEFSKSNIRT AEIDAEVQGLCGAIIDFLVHALQYQKKWGIAKVLSGILADFAKRFESFVTKIKSHATK IGVLAQRGYTTILLNSKDILDTTAQGKR LY89DRAFT_586560 MICPASITVFSLDDQDWYSVDVAGLEDKQWRPKTTDRLVLDPAT KSILIHLATTNSLQFQANKSRDVIEGKGKGVVLLFHGPPGVGKTLTAEVLSEFTKRPL LKINLGKIASHKKWEPALERIFTNAEDWKAILLIDEAEIVLEKRTFERMTQNSWISVF LRKLEYYKGILILTTNLIDCIDEAFESRISYPVRFRELSRDDRLQIWSDFVKDMKMLP AYKETLMKEVYRWSEAEINGRQIRNVVLMAEHLAASDNTRLTPRHIDDLLNVILEFCD YNQGNSSRVKKIQSMGLSYPQR LY89DRAFT_749500 MAVAGLRYLAAYEVVICIECQTCLLPGRSSQERHLRQPPHSSRG PQLQALLDLLVTYQLQAPNQVALPFPPSPAIEGLRYYDAFACSLCTTSHLTRSRHAL LY89DRAFT_685557 MSDGFLYKPEWQVLLCTQCGFYLRPGRSVWLRHLRQKPHCLRGA PLKALVELFATYSLLVPEQVAVPT LY89DRAFT_685558 MHTSFAVPKNAESEPELFLMLEPQQLALSRFHTSAAPGQKIRAF DPKKEPNTLKTNFGY LY89DRAFT_670144 MAIWMISSHKLHNNTVPLETNYLDAQFHVAAALWVHIILMTAAS IFMLPMIIVFRDRNHPWYIACRVATVISMVLGTAATYVEKNGLLSSSHGAMGYIVIFL VVAYLFNNVWHRRSSIPRLTNKVRLVFQHVIFAVLPILFYVEILLGVITLLGFCSSPY TQQCFGHLGIGSLYLLYFSFWAALVHNAWVISHPMLTSEVLDAAFLVPSALGLSRKLN TDSASLRRDADSVFKSIVFGHAWGYPWDDESVQHVAVGIFGLLATVIGTFSRDLGGKP KRNIFPGLVSHKTYLLWSVTDEPRSPV LY89DRAFT_89013 MHNYVLSTRTTGLKNLHRSYHVGKAASVNTAIGRVLRHHAPLAG KKVVKNELRSEERAATSRSRDSGFAGRRDHFSQPRRSFNGFDDTRAGTHSSFHRTSDN FSGPSRENYPPSLKQSSKFNDRPRPSRGETHSAHFSKGPDRSSYKDQKAPFIRTSSGQ ERTASESLDYPSLSSRERPFRPRTSGGSPNTSGHQTLANTHQDRNFGRSLVNKYRSGR DLGEGQSRSLRLKDASSERKTQSFSREPVNSSSHARGPPDRARLPHAADKSPVVIPYT TPASEFLYGTSVVEAALMSRRIPRRKLYKLYIYNGENREGGERDTQLERLAKKHGVEV VRVGNEGLRLMEKLSSGRPHNGYILEASPLPRLPVQSLGELATREGRNGFLATLGHQS REEAAVNGVSDFQLTNPSSGKMPLVLLLDGIVDPGNMGGILRTASFLGVSAVAISTRN SAPFSPIVLKASAGASENVTLFSVSTPAGFIVDSQNAGWKIFAGVAPLNNKDATTPRS VSTDELGDPLSESPCILMLGSEGEGLRWNLRSKADVDLYIQGCGQSHNVDSLNVSVAA GILCSAFLKTNSNAEVALLATKYSEVEEVPRATMF LY89DRAFT_719327 MTSSRHVSDSESDSSSESQADNIHTPVPDEESGVPGPSSFLSHR FRKSPPKPQSERHGSAVAVLLSPPKNPWEYQPFKGHTTVDSILEVFEGTNGEHRYKIE YEDGNQEEVSEHKLLKLRNGQNALDFYNTNKVEISETNKSLTEGPTMNSNGVGKRTRV QTSNTGYVDSSNMEFNSDEDELYAGQTDVKRRRVDKESGNQSTRSSTRQSSRIREGGV ANPKFYEEESSDTDDAPSAKRGPQLNRGTSLGPRRSTRTTRSTDTHSRQSTLKYAYET GPSYEDEDADELANGEQDESDSDVGFMPLKQQRRTGHIKGRSLSKGKRGRPRKHSSSS ASPERPQPSRRSGRDRAIKSMKERDVDEEMYADDTPLNNDPKVISVREVFQPISSKSP FGFLHRQTCDVCNGVGSKSNKGNSPLVYCQGCSTTIHKVCLGYRSGREHLVTKVGHEN FILQCRRCIGTAAKKDELAPILGACQSCKQDGLSCGAFTSRKTAKQEEKLREQNNGED PITNVPENLLNNPENVLFRCTSCQQAFHFEHLPPLDKKSKTSSDVEELHKDRFHEYKV QWRCKDCLDTSAKVQTLVAWRPVDQLSYVNGQEADEFREDEKEYLIKWEAQSYFKCSW MPGGWVWGTTAAVMRKAFFKRDEGANNLPKWTEEEAIPEDFLCVEIVLDVDYDDDFEP QSEVADKAAIQMVDQVYVKFKGLSYDEVVWEDPPDPDDGERWTHFVAAYNEYVAGKYF KQPSAGTMKERTDHFRSMDFDELKKQPSALSGGDMMPYQLQGLNWLLYNFHQMKNVIL ADEMGLGKTIQIISFIAALVEENPRCWPFLVVTPNSTCPNWRREIKKWAPNLRVVAFY GGKKARDMAMEYELYPGGCSDLRAHVVVTSYEGPVDDSSKSFFRRIKWAGMIVDEGQR LKNDGNLLYGALKALKVPFQVLLTGTPLQNNKRELFNLLQFLDTSINATELDEEYAEL TRENLPGLHELIRPFFLRRTKREVLKFLPSISQVILPLTMSVLQKRLYKSILEKNGQL IKSILGHDTHSLKATERGNLNNILMQLRKCLCHPFVYSAAVEERNLPKDALHRNLIDA SAKLQLLEMMLPKLKARGHRVLLFSQFLNQLDIIEDFLNGLGFRFQRLDGNIPALEKQ KRIDAFNAPNSPDFAFILSTRAGGVGINLATADTVIIMDPDFNPHQDIQALSRAHRIG QTKPVLCFQLMTKDSAEEKIVQIGRQKMSLDHALIESMKEDDDTHVDLESILKHGAEA LFNDDDRNDVHYNSASVDQLLDRAQAQTTVTDLEKTAESHFKFARVWASDKGDLTENI EINEHQSAAPNSDFWDKILRKREAKAAAEAARNMQTFGRGKRARQTIDYQKSNLDLDD DMPDSSPLKQPSKRKPADSASDLDFPDADDSDGDDDSDIEPVDARELHSGTPSKFGSS RKDDHDGYDNVQQSKNENIPSRSTGSSPPGRLQPTAARFGSPIQEKLLSTSRSGHSTS QHSSRPELSSTSHNRSHIKPSSNLPLHEYKDGNLQKHYSDRPIPSSTPTFCQSCRSTH PIGPCPLQDLCGKCGLAHLKISRTCPKYSSEVRLRLLLDNTRSLAAQAGIDDLRAVLR LELSKRTQRRKI LY89DRAFT_647393 MASLSKFDGLELPASADFHVHLRDGAMMETVVPSIRRGGVNTVY VMPNLIPPLTSASDALAYKDRLQKQDSSGKINYLLTLYLHEKITPAVVKGAKAQGIVG IKSYPAGVTTNSSSGVISYEPFYPVFQAMEQCGMVLNLHGECPSGEDITILNAESSFL PTLKSLHNKFPKLKIVLEHCTTHDAIEAVKECGPNVVGTITPHHLFLTIDDWADDPFC YCKPVAKLPRDREALLKAAVSGSPKFFLGSDSAPHDLAKKKGGRGKTAAGVFTQPYVT QLVLDALELAIERNVISERDVSKEILRDFLGGHGRRFYGITDGSNEKIILRKGSETIQ ESFKGDGVEVIPFRRGQSTWSVEWK LY89DRAFT_749528 MSAKQGTLASSPDSSGPPSILSSSADVEMACTLTSIEERCNRSR SSSPITERMASFQSARERSERLSSRPPNPGHNNSHPGQANSWRDETPGPNGPPQLHYY TPQYDPHAPMMGGHYGPPRPRGNSVMMMGENSVPLSTICPGTVWPTEAQLSASYGYGI RREDGSITRLYAADELPPQHSVPPRQGPEGLIIVPTPRQVSPNRRMGAEVMIPGDVVQ QLATNRPYRPEHNYYDATQMQIDSIVAHAGPSESVSPPPRRREKIYCDKWIHEGVCAF TQMGCKYKHEMPMDKATQLTLGLNHGLPSWYRRAYAVNLNPESSSPPTISSPPSHARA EGSWRNRAQSQLEAAPSTGNSNSGNGHSGASLGTASRSFGPIAPPASSQTSPSNNPYS SLKVEEQDEEEEEEDDTVTWRGRRK LY89DRAFT_734757 MKAERLQQVLVSLKFLLLLAVLGSHASEFFSEWQIWKNEQHVSK PLPGSQEIRTYMHAVMVPNYSNMSSGRSSVEKSAWFELADNDLREISRLIQSHDEQAF STGLERLEYRVAQSQQASQSLQDPSYRAPKWQLKQWQEQVRKGPPVLTGIAKHLKDPS RRPHAMQMLANICDDRFSAKTFKPPVPVQQTNTSPQGRSSRESKPAKPLAVAVVPQVQ VRAHLQGKEFGLGYDPGEYVEEFVTPANMFAPSVVPLTASKRVGAKTPSSGI LY89DRAFT_89069 MDSMRSLNTSLPRSTPAPSKHQDPPEPLLSAFKAAALSVTNLYK SAAVDQGRARAEGYQDALSELLSFLDKEDIGLSDGEGWRIRSWATERLDGRDSTSQNM ESDDELSEKTDRGSSPVLQRSQSATRLSSTLHTTRTASPIRTESAPPPSLIPPTTSDY TTDSVPQQATFTFRSSHPYPPDADIILSDLDSSDHARPPTQDATHSSSTTGITLSRAS RSRHNSHPARVNTRSVNTISRGAGQKRKINFGDFFDIGNLGHKDGFGGGGKRGRYA LY89DRAFT_618029 MAPRSSRASGADTDTSMADASEPIRQLPVDPMEVDETPDYTEDS DTNPNTTASSVVGDSLNMDGRKRRSEATQLRRSVFGKKHDVLGENKEDDSIRRFRYLL GLTDLFRHFIETNPNPRIQEIMHEIDRQNAEAEKVKKGRDRQGGAANERRRRTEAEED AELLKDEKVGGSAETVFRESPGFIHGLMRDYQVAGLNWLISLHENGISGILADEMGLG KTLQTISFLGYLRHIMGITGPHLITVPKSTLDNWKREFEKWTPEVNVLVLQGAKEERH QLINDRLIDEKFDVCITSYEMILREKAHLKKFAWEYIIIDEAHRIKNEESSLAQVIRL FNSRNRLLITGTPLQNNLHELWALLNFLLPDVFGESEAFDQWFSGQGEDQDTVVQQLH RVLRPFLLRRVKSDVEKSLLPKKEINLYIGMSDMQVQWYKKILEKDIDAVNGAGGKRE SKTRLLNIVMQLRKCCNHPYLFEGAEPGPPYTTDTHLITNAGKMVMLDRLLVRLQKEG SRVLIFSQMSRLLDILEDYCVFREFKYCRIDGGTAHEDRIAAIDEYNKPGSEKFVFLL TTRAGGLGINLTSADIVVLYDSDWNPQADLQAMDRAHRIGQTKQVVVYRFVTENAIEE KVLERAAQKLRLDQLVIQQGRAQAAAKAAANKDELLSMIQHGAEKVFQSKGPTGALAE KGTDLTDDDIDAILKHGEKRTAELNARYEKLGIDDLQKFTSESAYEWNGQDFTNKKKE IGMSWINPAKRERKDTSYSMDKYYKQALSTGGRVADTKPKAPRPPKQILVHDYQFFPP MLRELQDRETANFQREQGFKVELAPGTEEDLSDREAERAIDQAEIDNATPLTEEEKEE KQRLSEQGFADWNRRDFQQFVNGSAKYGRKDYDAIADEVDSKTADEIKAYAKVFWQRY TEIADYNKYISLIEAGEEKTRKMEHQRKMLRKKMQQYRVPLQQLKINYSVSTTNKKVY TEEEDRFLLVLLDKYGVDTEGIFDRIRDDIRESPLFRFDWFFLSRTPIEISRRCTTLL TTVAREFEDASVPKTTNGAANGKNKREPEDDENDEDSVLGMAPAKKKTKNGVKNKALD NIKSGAGSKATSAAPSSRASSVMSTASSPAAPASKGKGKGKKK LY89DRAFT_587110 MAGLERLEIHSKSYLVRWIKMDPGETLSWSVQPHKKSINFGIFK HPGTSNAGAPAFEDAAVSLLQLDPSSTSQRRASGTNSLNDASTAQDQLRAKGFLPIMW YGKCEADKVSMGTFPVVAGQGGMYGLVFDNTFSRQLSKTATFVLLTYPSNAPPHATHH LQNAMSTTGIGGSGNKPSPKLTAMASESVDSLQSHLGPPTNGSRGNSVVGRNESGDAL ASYHVGILSKRRRKKGQGYARRFFSLDFASCTLSYYYSRNSSALRGAIPLSLAAIAAD ERRREISIDSGAEVWHLKALNAKDFEDWTSALEKASNTARNVESELPAISEPRLRVRT TGLQNVASHQDEEREWEQVEALVSRIVGTRDAVRRLSKDTAPGNSKRHTLQGLGLSTG SPNVEEGNDYFGGAAAPEKRPFWKRKASTPSTPRMLQQGVSSQLAVPSPATVTTITAS GALSGSGSAGKSASRRGRKSMHEEYSIHDHCSALLKDLDSVLDDFSTLLANSKRRRIQ PPKSASRNSLDSTSTAEFFDAEVGDVDRSQVMIIERHSEEDTQPSDAEDDFVTDSSSI SSGDEQDLTVHLNGTAALFPTKPKTLDPLPIATSVKRRKVVPVATVMPPSLIGFLRKN VGKDLSTISMPVSANEPTSLVQRVAEQLEYAHLLDTAATQKSATHRLLHVTAFAVSQF SMNRARERAIRKPFNPMLGETYELVRTDKEVPGGFRALVEKVSHRPVRMACQADSSKW SLSQSPAPSQKFWGKSAELVTEGRVRVVLHLLDGSDELYSWNVAAAFLRNVVMGEKYV EPVGSMTITNETIGSKATAEFKQKGMFGGRSEDVQVESYDADGVHTGVGLTGNWTSHL RILEAGKAAGPEIWHVGELVDNAPQRYGFTTFAASLNEVTEIEKGKLPPTDCRLRPDQ RAAEQGDLDQAEQWKSTLEDNQRNRRRHLEEKGEVHKPRWFVKVEGGDDGEEVWKLKG GKEGYWEERAKGQWMGVENVLAL LY89DRAFT_618036 MSMRDLINGEAELDDEENDESFDEETGEPKPRKQRLNGELDDSS EEEDDDDDEEAARAVREGFIIEDDEDEEAEARERRRRKKRNRAEREQEEAVLDEEDLD LIGEANPEWERKTAAQPKLKRLKRGHRDDGDQHRERGLDEIFSEDEELDAGHDLDRGY ARPDHHRVDEFADFIEEDDLEDEDERQRHQEEMEVARPRERGYVGGTEVSGLDKDALE DMEAIFGNGEDYDWALALEDEAEVREAGDHNLELKDVFEPSQLSEKLLTDEDNLIRWA DEPERFQLDRLPYKNLTFTDEQSREESRWITSLIWPKKQLHSDLQTSFTRAIGKVLEF FVVDEVEVPYVFQHRKDYLIHAIKTRVRDSNPADTEEYHVNAEKLLNQDDLWRILELD LKFRALVEKRNVLEKTFDNLKLAAGIDDPVVDAMLPIAQTMEELQDVQDYIYFHYSSE IKDVAATNGDAKEKRRPGGKTSIYDRIRKSKAYDLVKAYGISPDRVAQNALREARKEY TEDAPLSPIDLADSLISDSDFNTGEQVLLAARQMFAEELFNNPRMRKHFRMGYYMMGV VNCQRTEKGLRKIDEQHPYYELKYLKNQTFNDIANKPEIFLKMLKAEEEGLLEVKVVM QNEREFRKQLFTEFSSENYSELADAWNDERQKVLDLAFSKLERIITKGVKESMRTECQ DSILKICREEYSKKLDQAPYKPHGMVLGTVPRVLALSNGKSDPSRDAVNWAWVEEDGR VLENGRFDRLTRDEKSREDFVELVQRRKPDVIGVSGFCVDTHKLVTSLRDLVAEKGLR GAEFEDPDTGDDSSAPLEIVVVNDEVARLYKDSPRASIEHPTFTSLTKYCVALAKYLQ NPLKEYAALGKDIVSLSFHPCQQLLPEEKLRKQLETAMVDMVNLCGVDINEAIGDPYT AALLPYICGLGPRKATAVLKTINANGGVVNTRDELVGDPDSGKLPVVGPRVWNNCASF LSIEYDSSNPTSDYLDNTRVHPEDYELGRKMAADALELDEEDVKAEVDEGGPGAIVRK LIKDDEQEKVNDLILEEYAEQLERNYNQRKRATLETIRAELQLPYEELRRGFVTLTSN EIFTMLSGETRDQLCEGMIVSVNIRLAKDDFVIVKLDSGMEGRVELQDGSDSDIPLSR LFAQGQTAQAKILDLDRTNFTARLALRESALRQNYKKRVEHEPGSWDVVQEHKDKEEL REKDKATGRTQRVVKHPLFKPFNSTQAEEFLGSQAVGDAVIRPSSKGNDHLAVTWKVA DGVYQHLDVLELQKENEFSVGRQLRIANKFNYSDLDELIVDHVKAMAKKVDEMTQHEK FQSGSKADTERWLTTYTEANPKRSVYAFCLDTRHPGYFWLCFKAGQAAKPQAWQVRVV PNAYELLKSPYPDMKALCNGFKMRHSQGESRRH LY89DRAFT_734761 MSEAIGSSEGIGSVRTLKRKNIKKLALAAPAPRTVAPSESDLQL PGDNENAKNTAQLEIGIEYKLDLKREDLEVLKDLGHGNGGTVSKVRHMATGTIMARKI IHVEAKKEMRRRIVRELQIMHDTNSEYIVNFYGAFLSDTNDVIMCMEYMDVGSLDRIS RKFGPVRVDVLGKIAEATLGGLTYLYTKHHIMHRDIKPSNVLVNSKGQIKLCDFGVSG ELVNSVADTFVGTSTYMAPERIQGQKYTVKSDVWSFGLTIMELAIGKFPFDASEHLSD GDGAPSGILDLLQQIVYEPAPKLPKSEAFPQILEDMIQKCMAKAPEERPTPQELYERE PFVQAAKRTPVDLKEWAVSLIEKDNRKSHLAPQLSPSTQQLLRSGDSPTGPYATNSPD YSLPTPTSGDIPIGGGDVRSAVTSPQHGERSPTKNGSISLGRSSGAPYHPGLPPRGTT TNSVPKVTTLSAPDHATNGPSSANGVTFTTTTLPMRPAPPAGPLPPPPVPKKENADVD LKKESRRQATFGNDLYASGYPAPGTGRQYDY LY89DRAFT_587413 MTSTLSKEPRRFAPLGKKSEDAGKVLPKLKGIVFDVDGTLCLQQ NYMFGEMRRALGIDKGTDILDHCHSLPPTEEEAALEKIRTIERTAMTKQEPQPGLATL MEYLESREIPKGICTRNFETPVAHLLEKFLPGKKFDPIVTRDFRPPKPDPAGILHIAK SWGFVKVGEGGDVGDASNMIMVGDSIDDLTAGFGAKAATVLLVNEANAHLAGHEHTDL IVSRLDELIDILENGFVGRIGREDEESDTRKRAEEVLKEGRAEGTTLK LY89DRAFT_89320 MFLRNPKEVLSKDLQTPVSAFDNLPTDQLYIFTGTPAPANISEQ NITGPAGILPYDQSYTYHFSQQEPYVVPGGSVKIIDTTTFPIASNFAAALVTVQPGAM RELHWHLNSDEWNYFLQGSARITVFAAPESSRTFDFTAGDVGYIPAINSHFIENTGTE DVIFLEVLQQPKFTDISVAQWLALTPRQIIKDTLHLPDETLDNLPQSKSYIKTGNKNM TALAANPNGTAAYEPAN LY89DRAFT_89314 MSFFFHLPPYHPLPGNMQQVVRMIGSLVAAGLFPAVMAAPAPQM NYGENTGAVGGVDPPSPTVTTTSGSLYGPSDLLGEIAKPSPISGGDSAFVSDAPLVNG QEADSDLGLYLDFNSVENPQPLRGTGGQTDPGPRTYEYEKLNPDIFAPPGTDSGDVPQ AQWPLGLSHNRFGTGKQSGWARQQNTDQLPAATEMAGVDMRLAPHAYRELHWHSANEW SLMLKGSVRLSAVNENGETFIDDISAGDVWFFPAGVPHSIVRYTLVSYSPGELSVQKS SQEPPIKEYLVDLFRRAGVRSLCQESILKKF LY89DRAFT_719338 MLIKIPDTDKEDTMRDPIHNALDAGLRALGGEKGALASFWRKLR EKDKKGSRVHKESDDPSDDGNYEGYLRSMKRERRYSPPMPGVGQRYGGRAYGGLGQYG GYGPPPFPFPNQDRDALNPMPNERPIPYGLGRDRDRRDRRRGPNTPYGQPPLPIDNSW RPLLGYGIRDRGQIDRALLQYAQQEQLARAGRGRGQLPPFMPPPAYGRRSEPILNPRD LLRSPPREIREPAGRPDKKRRNSPARRPVPEPKRTVSAPAESKRPEKTPNKISKGEKK KEEPKMRSPPPATPPARPSPEKSVSTHSSQDDQSSIATRRRLGDMNRTFIEGMVSKTT LVSSSWSVPSLVK LY89DRAFT_749542 MLSIEQMFSQDIARTNDRIVEDLERFLNSFKNRKQQSRAAFSES DTNIDTDKSPRQVPAREDKSTEGYREETQDRSKQRHDRNPHLEHSTVVDLAPHKITRA DRKRISQDTHSNHSDREVQSRDSSPLSSGSPGPHSDEDKMGSSSSKRSDKYSARNSDG DASRRDSRKLHKERPGSNAASADSRRRARLKEQEFERAHIERRFRDQERRLERVLWES RQAGHRSEDSQNAGRQWKREMEAIKDKRDAYARDKKVRENSEEHLRQRRKDGKEARES AQRDTSDRFRREEERMAARQAASDGRGQPVPTRSKETPGRAASPRYSAERVANRSRAS NSAARRSRPVVSQLSEENVRAQQGDFIDNILGRTELHRGLGNMDRTYLEGMILSEECE LAEKSLKPRKSEQSRERKLVEAQTHQQATRTDTEQETAVQQDEQEVAIQKPLAKEPAK KTNAVIYLLDSGTKYVKEPRKARDGLKEGAPRKAAEDRERRRQERNKKAELKRDDNEK RLKEQKKRERERAEAAKKRAEDVRQARRVRDAKKKKDDEAKRLREQRAREKARQAEKE KRAAAEKKKRDKQKRQEQESQPKTIFKRKLGDMARTMLEGMEPRKERK LY89DRAFT_618049 MNGAIEAVYIYDEHNRPILTHAYTSRPLTATQLLPIYLGHPSPR PNLIYLPNTSPPTLVFSLIHSNLLFLLTSSSEIEPLLALEFLHRVIDVLEEFVGAPLI SHKVESSYDVVAQLLNEMCDGGAVSTTEPNALRDLVEVEGWIGKLLGGINIPGKPGFS STSSNSNMSAISSTGTLAANTPALPWRRANVRHTSNELYVDLVETLSVTLAPSGRPLA AFANGTIAFTSKISGVPDLLLHLSTPSGRQNINSVMELPIFHPCVRLARWRENPGELS FVPPDGRFVLAGYGVDLLPFQNGKVGSTNASNLKLPVSLEVKTSLGPTGSDFEVRLFI TKVSGQGNSSLSGSSQGIGRPGGRGGFGAGIGSHPGTSSTPALEDLVVTIPLPADVRN LSEIRASRGDTTYNPGEKVLEWHISAKEATAGGATLRCTIVGPLSDDDDFEGNGFKLE GNYDYQEEAYQSAPVKDTSPEKTEEQKDARKVAQNKILMPTSTSVSFSVKGWLASGIK VESLVIDTRKSRGLGEGVKPYKGVKYLTVSRRGVEIRC LY89DRAFT_89349 MGSGLPSIGELQRPERLHDVLAQDKVDDCTPCRVTGAAAFMGLG AYSYYTGHSQLKMQESKILASKSAFGLRARRSGVTGIALTLGLMGLYRLVN LY89DRAFT_618051 MTITILAPAPAVPYHTTDVSDDEDHEDGGVDLEGDSSMRPAKRA RRSSAKDLVTPGETVTDDPQWMRGHGTYIAPASTEIIATVAGTVQKTNKLLSVRPLRA RYTPEIGDLVVGRIIEVQPKRWRVDVGAPHLAGLPLSAINLPGGILRKRTETDELQIR TFFSEGDLLVAEVQSLHQDGSATLHTRSLKYGKLRNGVFMSVSGTGGRGGVVRSRRQV WTIDTIRGGGKVDVILGVNGYIWISTHIEVEEGDTAITRIEESISSTVYSSQNDEISP ETRREIARIRGVINLLVEEGLNVDEDMVMKAYEAATLVEEDDASENIVYLGGEMGKRV VLMLGGP LY89DRAFT_685572 MVEFTVFKGSKEGKIVESKTTKELKANEVLIKVTHSGLCGTDEH YKHEDMGLGHEGAGVIEEIGSSVTTYRKGDSVGWGYQHDACGHCKQCLTGHETLCPER KMYGYADHDQGSFAHYAIWKADFIFKIPDSIPREYAAPLNCGGATVFNVLHSFSARST HRVGIIGFGGLGHLAIQFAHKMGCQVVVFSGTDSKKDEAMKLGADEFVATKGAKELQV SGPIDHLLITTSFQPDWKLFLPIMAPGGTLYPLTVSPDDFKIPYMPIIAGELKIQGSL VAARQVHREMFEFAAHHQIRPIIQKFPMTVEGIEESMKTLDEGKMRYRGVLVV LY89DRAFT_587394 MGFTSGFTGGVTLTLGLAYLTVLAHERNRRAQAFELRAQSRVLQ GLLEPAPLPPPQTRAELAREERSTIVEAAKDRWNAEIENAIRWVQRTDWSEVREGMEG TVSRILGSGLQKSREGIEDAEKKAGPKIQEAVDRSKAAASQTANVVGAQAKEKGNQVG VKAAEAHDAVSAKASRVAADIKSGAQDAAKYSGGTVDAARGALRDAVGKGIEMGKDAV GKAQAAVGLATEKLESKGQASALSHSSAVEKALHERYEQPKALPSLEEALEERYKPID ERDNTVLRGV LY89DRAFT_89504 MSSTLRRSTRQFHSRFDPVWDCPQYAPNQAPRYEASTRDQREAE DMARDILGEGLFEAPTVKIILKLQGARTAPFEWQAIRRALEIDEGAFTDIARLCFLLP QPQSEQSSRENKEDEIETGATGHLKDSLVQERGESRRHDWGRQTKRKYNWET LY89DRAFT_734772 MAVPIGFRLEKASPEDMKDVFALMMDAYVDDEVWQTTVKDCDQK EILPWTLKTFTPRWTMPDIETYKIIEEKSGRLAAWASFQTPWKYVSAMTPELKTIAQS KEVPPALPGTNMEAFQSLFEMLNGAYKYGYNPEEDYHRKGTTVHPDFQKKGLGTALTV FWNDIADKTTGDKTWCPCRPTSIKMFRDHGFQDVGEIDSQLERWGGSRANSITYITVR HGPGSEAEASGTAVA LY89DRAFT_586905 MSKVCFVTTGATAEFSELIAAVLDPQCLQLLKKEGFTTLNIQCG ETFKNVESMKPADTQGLAIHAFDFKPDLHRDMRECQALEGPGCSRKQGLIILHAGAGT VMDAMRLGLNMIVVPNPSLLDNHQDELAEELHMQNYATAASVQ LY89DRAFT_647432 MATAVRCSIRLAAKGCSCNSTANQFVTKTIGLERSFSTTASRSK RTRSKRVAAKLEKSNHPLAKEVIALEKELDGLTKDPSDNAWDPISQRARTRFPIASLP PRAGKLKQTFMNVGDPDPWEYEDTLEDDDDDINALAHGELEQHREIRHYARLAAWELP LLSKLAKPFQPPTANMPLRFRYSSYMGEQHPAEKKVVLEFSPADMPNLTGLQREKLKK LAGVRYNPETDIVKMNCEMFETQAQNKRYLGDVVDSLLAEARDPKDTFEDVPLDTRHH HFKPKPKFPEEWLLTAERREELQQYRRRAAMKDQQKELVGQIVDGRLQIEEGLSKAAA ARQVMPELLMVGDLKPKGKRVAVRR LY89DRAFT_647434 MASTKLEPFEATPIDSIPSTVNLCRTTFQSQKTKPIAYRLLQLR KLYWGIVDNSDALVEACKKDIGKPSFETYISEIDWCKNDIMFVTKNLAKWMKDESAPD IPLTNSLLNPKIRKEPLGTVLIIGAYNFPVQLSIGPLIGAIAAGCTAVLKPSEVSPAS AMVMKRIVETYLDPNAYACANGGVPETSALLNEKWDKIFYTGSASVGTIIAKKAAETL TPVCLELGGRNPAIVTKHADPRLAARRLLWGKFHNAGQVCISQNYIMVERDILPAFIE QIKITMKEFFPKGQKASPDYARIVNNRHFHRIKKMLDETRGKILVGGEMDEAENFIEL TIVQVEDIKDSMIVDESFGPLMPLLAVDSVEEAIRNANAVHSTPLGLYPFGNKAETTK ILNEVTSGGATVNDAFFHGSIPTLAFGGIGDSGQGAYRGKASFDTFTHRRSVVQTPGW MEKMLDVRYPPYDGKLKKFRQMSEVKPNFDREGREIKGVGYWLGLVFSLGGESLKGAI FRWAFVALIAVGAKRWQGNNGLPSWLR LY89DRAFT_89594 MLSGKGSLQKETMYSKHNGHCLFDSNGNQRYQKRVVILCLSSNQ ACLCLLHIAFLIDILGVVILITAPHFFFTNLAFVRLAASQYRLAFRSSEKVLEVVGKY GKRLNSTSMKSALKNVALH LY89DRAFT_647438 MAGSHMRYRHLSRKSSHRQALLRNLVTSLFEHESISTTWHKAKE AQRLAEKLVTLGKKNTEASRRKALGIFYKPHDLVPKLFGPLRERYADRPGGYTRVLRV ESLKPDQAPSAILELVDGPKDMRFAMTARTIAKDRAEGQESTEMTDRNVEKVTRYRPD GEKDLESMVAKLSNLKVGDRSNASTDERRWGGDV LY89DRAFT_89647 MAFYGPRGGSRGRGFSSTGFRGRGGARDSRVRGRSGFRGGPGKP IFDCARIAQQKEVEENNAQSGEESSLEEEQDLELDDESIIDEDEESLPAVRSYAALMQ SLFSESGPQSKKRKLDHPSDVITERRNSANDQEVNDLAAEDADDVEEAEEGPETATDG LLEEVAEDSEDASDPFEAHFADPDNNILGQKLKSIQQDQWSTQKVLFQKVGKAFFSFP RQDIIQDVSPNEIPDSSQLRLKQKLATAVSKCRPCFDMLERHLAPLLFNYQDVLHCER KTSNSESLRRLTCLHAVNHIFKTRDRVIKNNSRLAKEDNDDLSLQDQGFTRPKVLMIL PTRDSCVRMVSMITTLCEPEQQENRKRFDDSYVEKEEKFSVDKPDDFRELFGGNDDDM FRLGLKFTRKTIKYFSQFYNSDIILASPLGLRMALDGKDEQKGDSDFLSSIEIVVVDQ VDALLMQNWEHMEYIFEHLNLQPKEAHGCDFSRVRPWYLDNNAKYFRQTIALTAFNTP EINTMFYNQSKNWAGKVKINAFYPGTIQELGLKVKQTFSRLDVASFAEDPDARFTYFT TAIVPALTRRSKDSAGTLVFIPSYLDFVRVRNYFSTSTSTTSLSFGSISEYSSVPDVA RARSHFLSGRHSVLLYTERAHHFRRYQLRGVRKVIMYGLPDNPIFYKEMVGGYLGKSI QEGKLEPGEGSVRAVFSKWDVLKLERIAGTERVGKMVREKGDTFDFV LY89DRAFT_719349 MAVSTESFLHLARPLAPAVIGVQPTTAPLNVILQPQAVFSILDH AIRRDIRDTQSTRVIGALLGIRSEDGMEVEVRNCFAIPHTENEDQVEVDVEYQKNMLA LQLKANPREVLLGWYTTSLELNSFSALIQNFFASPETGTFPHPAVHLTVSTEAGKEID AKAYISAPVGVNAERAAESCLFIPVPYEIRYGEAEKSGLELISGAKDSENRAAPVVSD IEGLERAVDQSIDLLERVSEYVSSVLDEEREPSNALGQFLMNALSLAPKVDAQDIERD FNNHIQDVLVVSYLANTIRTQIDLSQRLATATLTMGGSDALAATGGGEGGGDKGQRGG QRGGKRGGGGRGGGGREPREPREPAE LY89DRAFT_647446 MTTAQMSADKSRLSFAKVAASAGKDNVALSSFAKVAASAQAKDL KKENIAIPGQAETSTQPNGLSDIQHTSTDDGKSNENLVEAVKALSINAAPSLVVNGSG IVRNKSEGPDDESGSDLGTRPPSFTSGTTFALDEKESLRPDDSASVKAAEDDDTFSGR GSIVAGSRIGSEAAARAYRAQFYDAPDRRNMQNIHERQSQGVSTPQSGSSGQQTTDDG KSKPLIGTPGVPDAFNLFYRQTPDEKLLEALESPKDRIFLLRLEQDVIEFVKSSKEPF IDLPPCNSFCRMLTHKLADYYHMTHQVDAIAGAVRIFRTPFCRLPPSLTSISNPPTSG NTPPPTMPAMKIMRRGGDGDTGPSPSKATSETGSDGKDKSLSAKEKLSREEREAAYNK ARERIFGKDDKAGDVTPDTEDGNEMSRSSSVSTKDRTSQNKRAKPAKQRRDDSENFDV RSQYAPYFPQPQAPTWVPTQQYAPMGPPQFSGGTAQPSYQNPMQPHFGPQQFNPGVMT GVNMQYNPPQQYPPQGQQRFQQPHSAPISGFASPVQSPPPAPQQWPQPLYQSPYQQSQ TAMVRGPPNSIPYAFGQLPSTANPADPKSQHPIPGSFNRHAFNPKTQSFVPGSSGLPV PQPMSHHGSPHHGSPHHGSPHLPYNAFATPQQQFGNGMGYNMARQGSNNSLPSYHASP HMAQRPMMHQGMPQNLPQGMSHSQGMPQGVSQVMPMAMSHIGNHLPNYGNPSTLPPKP PTGV LY89DRAFT_719351 MNRYSRGPTSGPSKASPSTLCQKCLKKGHYSYECKATSQDRPYT TRPSRTQQLLNPKLVPKLTSEAPLLQKKGIADEQLAKADLERGRKRERQSEDPEIRTK RMRSASSASVSTISTNMSRSPSPKPSREPDRSKFRSMSISESPRQQPKSASRSPRSHR QRLSDSEKKRRRDSHSSLDSYSSTERRRSRERESSRSTRRRYQQASPPTRGRRTTESR SPLRERRKLSNDRQREKGDFAVSTNPSNRPPNERSLSPFSKRLALTQAMNMGR LY89DRAFT_89697 MSTTHATLGAAADERKARLAKLKSLKRKQPGDDVTEAHEPTRSP TPPAEPDVAKLHLSGRNYDPEAKGPKLGFEAPPTQTVEKTLEQQAKQVEEDIRRKAEE EEQDDKGVDLFKLQPKKPNWDLKRDLDKKLEILNVRTENAIARMVRERIEGAQKAAKS KSRHGAASASGEGEELGMEGVALVEGVKLREREEVEDERREREDDEMQ LY89DRAFT_89740 MPPPLGTLKKRWSTFELPILPFLAPRVFKPWPCDTRRIYHQGQA IEKRPKIVRDVAHLRSKHRNYGHQSREQVIDFRQADGILGAYGSAQEQMMYQGGIRHD EQAKKSKGVSTPRRKLEETENEEFLRLFSETWHTPLAPEVRKPPEDMGPKLARRTRGS SHVVLGFRRLAFGPLKAAARDVPREQKKRRKALRRRMLKLRVDAEVERLRIPQTRPRY ISLQLRGRYRFPWSYGEWNQRFTILNLRHEKFMRSIKIPREVKFHARRPTVKLPTAIE QALSQDTPTVSFRLIWENLERRTRSVIWPEVMLRAMENRPQSALNIVEATFMNPFPPA FAVADCLQFIISDKYSHPLSDDFKDHSRFVNRVRTLLYLGAQKRVYLPQHSIHSLMSH LKAAEVMRFYITLKQVNHPLHENTLLQFASKLSKSGSAGVLASLNILEGMKDAGWPLN TPKALSVFSTMLKRFDRDSDARQLDSRILDFMMSSGVSPNIITYNILLHNSIRDGEFE TGWNIYDMMMENDVEPDAYTYSSLLNDAKNRMDSEAIKKVIGIVKDKGIRNGHIITDV LHAIFLLHRDRTTRIPQFSERHETVVKPAFESMLQVYCEYFDTRQLRSLLPSFTSRYS DVVQCLTSSQQRPSVPTLVVMITAYLAGLGNPVGVRQFYLHFVKLLESRDSVAIEVAK TTHIWSVIIMNLSRFSDGLSDCPEVVGTMLSNTKLPPHFNAGHEDNDASLLDPLQPGF NARLANSSTRLKPRSAASRRGSTLPKMPQLSQEGSSHGSSAIPNVFIWSILLKIFMDY QQPRAAEKVLEMMKERGVEPNMITWNTLVVGYSKMQAILDTVDVLRRMEDAGFSPDDV TMRALSKLNDKRTTIAALEMNERLRAQRGKQEKEDLAQYAEENEEGEFDSDDLIKQWD EVGSQYRPKQG LY89DRAFT_89743 MALWRVISAAAIFGSLVDAIPTITFPFNSQVPPVARVSEPFLYT FSTSTFFSQLPLTYSLTDAPSWLSLNNDTRTLEGTPTSDTLGTAELLGVSFSLTASDA SGSTTLNATLVVSRNPAPNITIPLSTQLSSFGIFSQPSTLLYHPSIPFKISFDSETFS FSGRNYSKFYYAITVDNTPLPAWITFDENTLSFSGQTPDYYSLVEPPQTFGIQLVASD VEGFSGTSIDFDIEVGVHLFAFDNQTILISATDGDTVDFGGLSGSLQLDGQSASIADI SSITAQVPSWMTFDNTTLALNGIVPAGAASVNITIQAKDIYEDTATAFVYVNVSGSTN FTSHIFNRLIGELNATIGFSFSYDLGRYLNNRSDTIMVAHFSSPASWLSFDPQSFVFA GQVPSNVQPSVSLVTLNVTSKTQHVTDSQSFVLSIAQRLPSPSPETPTTIPTAPASIA DSHHHLSTNAILAISIPTGLLVMALLLAVICCCHRRHAARRIKDSPSKSDISSPTGGR QSMAQIIRPGRLNPPEPLRLDTSGFASQTTVDPEHARTDRVSKKFSTNLELRRSQSLM AVSENPLSPLQESESSGNRARAVSDNRLSRSDPSWRSTQGSAYPTLRSSGTSSARTQR LSRNYSNYSRKGHTRRSAMVFSGNPPRPVMESVVYSKTREESILGLQDIDFSSTPLDD FSMLARHTAVQDTPQTYARKTTASQRSSRRRSKFMSSSGRPLSGLGHGARPSNSSIPG LNERRRSVGHGQDEVDGQGLPRDSRTWLTVGTTDMSERNRRSNTSALSEYSDSRQDDA NVDPRIRAVTKSPAEPVSTTTSRRPRPLSRRVGSSPFFAGSSSRNSRRSPKKSRTSYA DSPTVPEEATMANNLPRLVHPVIDELPRDSFGISYGLAREGTRQLRSYIQSQLAGAKS RRSLRSTESRDSRFESAAGSMLSLPQSRTQHSPERPTGDDSYEDFLRDGFSEESWETQ GSLHNSQENVVLFDAEDSANMISEAMQARTDIESALRPGTSASNPVSQRPGSSSGIRF MMGAARRPLSVDASANNRTSRATRAVVDRGNLMDYTAYI LY89DRAFT_647452 MLSRSPSPEYSPLVVGEDLTPIPQYKAASTTSIDFSNLLEIPLK LHEDLTSGCGGQLWPAGMVLGHHMLRHHKDSLRNARILELGSGGGLVGLAVALKFQLD QLTLITDQENMIDLMRKNIALNELESRAHELVLNWGDPLPLEVIKGKPNVILAADCVY FEPAFPLLLQTLEELLKLCNEAVIYFCFKKRRRADMQFMKNARKKFLVEEVEDEDRET FSREGLFLYTLKRKH LY89DRAFT_685582 MLSSTRSAAALALRVKPASSLISYRSIAAISSSSAKPANAVTSH NVPGSSGTPLMSVTGKERREVPLPSQEGKKGVMQYALTTLDQIANWARQGSLWPMTFG LACCAVEMMHLSTPRYDQDRLGIIFRASPRQSDVMIVAGTLTNKMAPALRQVYDQMPD PRWVISMGSCANGGGYYHYSYSVTRGCDRIVPVDIYVPGCPPTSEALMYGIFQLQKKM RHTKITRMWYRK LY89DRAFT_618090 MSSPSSAGSSAGKRKRTTTLTSAKKTSAMDQLQPSSRDASGEEG ESTAPESGVLHHKKTASIDSANPPAKRLRSSTHDKAPNGSENLQDPGEPSDTTEGSTD IANRIGRKGRKSTSKDAEEKTQSMAPPPIGKLTDPVGYKTNPPPAGRAVRVYADGVFD LFHLGHMRQLEQAKKAFPDVYLLVGVTGDKETHKRKGLTVLSGQERAETVRHCKWVDE VIEDCPWIVTPQFLEEHKIDYVAHDDLPYGADEGDDIYKPIKEAGKFLVTQRTEGVST TGIITKIVRDYEKYIARQFKRGTSRQELNVSWLKKNELDLKRHVSEMRDAIRSNWATT GQELGKELRQFWQPSRPASPARLNSYDDSKTPTLTSPSALSHLSRRLEIPRGDSPGPG SDFATGYSLGLIGGVRSWMMRSRQTNRDSQHNSDSSEEDSGDRSPPRGRSGNVQSKDA MDDEQEVDAQIDALMGENSKSSGA LY89DRAFT_89803 MQGWRISMEDAHAAVLDLQSASEDGKETKPASGETRLSFFGVYD GHGGDKVAQFAGENIHQIIAKQDAFKKGDIEQALKDGFLATDRAILNDPRYEEEVSGC TASVGIVSAKKIYVGNAGDSRSVLGVKGRAKPLSFDHKPQNEGEKARITAAGGFVDFG RVNGNLALSRAIGDFEFKKSAELAPEQQIVTAFPDVTVHEISDDDEFLVVACDGIWDC QSSQAVVEFVRRGIAAKQELSKICENMMDNCLASNSETGGVGCDNMTMIVIGLLRGKT KEQWYEEIGKRVANGDGPCAPPEYAEFRGPGVHHNFDDSDSGYDVDMDQKSKGFGSNQ RGRIILLGDGTEVLTDSDDTEMFDHTEEDQDLASQVSKAPVAITQEPDSKVASKESVN PSEPQYKSAVDEVLPKSTQSSEKKAEAGSDSKEK LY89DRAFT_782914 MHRGGSQHPRPELPLRRPRRPSTSNDMLETGAASTPSQPPSFPL PHTFFSPQVQQDLPDHQSQPHPGPSRYHNPHPSPFTFSPRLPLPRHRAVIPAREQYTP SLRSTAHIRPPNVPSMESNHHSMTHQARGPAYFPTSPDPQTYNGPQMTGGRSTYELPP LNVQSSEPFSWQLPAELPAQLPARVPAHFPVRAPAQLPAAIIPPPPAPKVERTCTVCM EDFGEDMFPHKCTKCTSIYCKNCLRSWFLDACRNESKMPPKCCTVIPLSAVNFLTPAE IELFKLKFEEWSTPGRLYCPEKKCSAFISTRLYIKPTVTGKVTEKHVSCPECGVSVCT KCRALAHIDNCPESDLDPALEEQLKKWKIKRCPKCLNGVRRVFGCAHIECRCGAHFCW ECLQPIGTCDGSCEDSERDESEPEEDDLDGRAGYYDGDDHDFGPEPYGTVVDAWSCQH VWVPTRSRPQGDQMMECHLCYRHIQPVTTDTVDKDEDSPMTGMTWQCAGAHMICSTCV RPPESLVDKSPTSFVCWCGTAYCHICDRNEKVKELETAYECTCGMMLCGACKNISDRQ ITE LY89DRAFT_89943 MPDKDAGTPRVLLVRHGETEWTISGRYTGKTDVPLTRAGEEQVS SSAQVIVGPKKVIDPSKITHLFISPRTRALRTYELLFDASTRRELEDNAEITEDIREW DYGQASSRVAGQRSYVSQLLIIYLASRSLTKNVS LY89DRAFT_89884 MGLYLSFFSLIFHSILLQLIAYFICLLVHWDGCFNTLLVLSYKL IDLEASFRSTVISQQYTNSGATCDASIIPQTLHSDPALFDQ LY89DRAFT_719361 MYISSVLTISSLAAFAVAATSTTYSYVPTTSACGAQPVLEACIA STTAIIESCAATDYSCQCQKYTDLVTCFNVCPNDPRIVAAQSSQSTYCSDASAYSTTT TSAISRAVSSAVPVTTTDANSASLATTGSSGAIRVASSTTDAGSSASASASSTAKSGA QKELIVGAGSVVMGLAGLVGAFL LY89DRAFT_697744 MAPATSPVVEIPVESVAKRKRVDEDVTDSAHGNSQDLKRRKANT VVDGRISVPNPVTAVQAGVSERQEPVTVDPSRIHDAIESQFSLEILLKHNELRLINQE LAKCQVALEQLRRCHLISYPVSQTASESMINISNGVGPVLAQKDKVPQWAAPYGVTDG PYSRHYAKWLIPDSSFDGSQGEWQRSLDESRAGKSVPEGRSTRYSIAEGAMPASKSRS QRGSTAQKFQALSSGYPPPKEKAGPCILKRGDGQWVKLVCPECHRDNFSSTQGFINHC RIAHKIEFKSHEEAAVASGQPIEIDEVGGIVGEEKSPTTINNLVHPMIRSAPPDRAAY AALLSRIEASTKLFEQGKLPGVTSIPTSAASTLVTPSRSFVPSSVTPHLSELMRSKGF GGNLNDIVGEAKQKIDLDDMSSHDEDSGAETDITVPPRLDGANSPLPVMRMPARAGMS PAPIGRPGSSKGVDGRNARQPALSGISPRLSYATPVINTISTTNNATPSRLAETPLDH HHMVDVDVEMLDGPSLIDLSPNTIASNNAPSLVSDDGEYDEGDDAESASSGEEDDDSV AEIQFEDDGEKVVPRTVLRNRSGSGSDAMRLRKEDKHVTFVVEDVMEQQEEEGNDEEE ISAMKRRVAEMEEEAAKLREMQADIDQQKNEMQDGKEDIDSRSIFVGNVDYSASPEEI QAHFQSCGSINRVTILLDKFTGHPKGYAYVEFSEPSLVAQALVLNESVFHGRNLKFLR FESDDHSSFRRPPRIPGTSHQCRAVEWIRVCQRFPDPFAVATLGGEQTKTTTVIKRTL NPYWNESFDLRANEDSILAVQIFDQKKFKKKDQGFLGVINVRVGDVVDFSSGQDRELP PLWEQRHTPEGRPYFVDHNTRTTTWVDPRRQQYIRMYGGQNANNTIQQQPVSQLGPLP SGWEMRLTNTARVYFVDHNTKTTTWDDPRLPSSLDQNVPQYKRDFRRKLIYFRSQPAL RILSGQCHVKVRRSHIFEDSYAEIMRQSATDLKKRLMIKFDGEDGLDYGGLSREFFFL LSHEMFNPFYCLFEYSAHDNYTLQINPHSGINPEHLNYFKFIGRVVGLAIFHRRFLDA FFIGALYKMMLNKAVALSDMEGVDADFHRSLQWMLDNPIEGVLDQTFSTEDERFGQTN VEDLKPGGRDIEVTDANKKEYVDLMVKWRIQKRIDEQFQAFINGFHELIPAELVNVFD ERELELLIGGIAEIDVEDWKKHTDYRGYTESDEVIKFFWQTIRSWDGEQKSRLLQFAT GTSRIPVNGFKDLQGSDGPRRFTIEKAGEVNNLPKSHTCFNRLDLPPYKSLEALQTKL TMAVEETMGFGQE LY89DRAFT_90258 MPHPQVFCSRPFWCTKHHLLLSPLSPYPPYISSYDRPKSILGCL SSGRTRVEHTHRIVWDPLVSPTLDQPMPFFLCPFCWHDSLLTCKLPPPTIAPIFSSLS TSPRGGQLPFRHYLLHSARFQFLLPILPQSIRLAFASSFLLRCCRLRVSSVRLRISSS LY89DRAFT_782921 MDGVPGEEQKNALIRSTVEILASNRPHRYLYPHVAGMKEEKESA GWTTHDHLLRYVIEMIRIGRKRSEEWGNMDNQAAAEFCYECFLLTHQELKRQDDESII GKALRRAMKARRKKEGTEGKQVGLEPQTKTNLSGRKREAESPLQSAKKEVARVSTSTV EAELAAEETLEVREDTAQDISEPSQESQGSNWMDDLDIGEVSDWDLEGVDNDTTYFIA AVGRSSNPSSREDRGVEERRWGVSSTKSG LY89DRAFT_719367 MPRAKKPILLGVRLFALAVASTTALSHRGSTKLHKELDIVDLGK DDSLQASPGELWNGKASDLLGTRPGVHRRTSFAGSVASTESTMSIMTYVKHLQTKIRP HNGGGVYSTNSSQPVDQEVHRDIAECVPGSVVQIQDLVLDENELAIKTGSDGVGKVDG VEISSTEVIEEDHDRDGDAKAFARMESSITNLGPVLYHRSTRLFKNLEPQIARSPDFK SSSRSLLGDLGRLHLWGITFDEIQLTAVLAESEVLQKAVLDLTFTLSRVLIGDILPRI DHTHTMGEKIEDLIMLLQCTEQQLFGDYSDLNNIGDDGPTNKHDYSIEDAAFIIRSSV DSLMSLLPSMERVLASIEST LY89DRAFT_685593 MAELAALGVVLGVLPLIVSAIEDYENVCRPIGHYRNYALESIKV QQKLGIEKAIFINECKLLLQTTLSSTSLANQMVADIKHAAWKDQGLNETLTKCIANYE GYFNKIIEDIRAQVLGIEHLCQEYGILDKEVVTTSTSKSPQTSHSNHRQWLLHVGKKL KYSFGASGLQEKLLELEGSRQLFVDVSSQIRESIKLSSAHALPAKQTNPGSPKTSGYE AIQEASKGLYAALEEAWDCHDEHSLHVRLDTNQVSKSSRIRFHLGLRLGNEQGPTWVA IESICKTSEELLPQTTPLQCPIAKQTFMNAFGIQDMKQRSIHVESVSRVRIQRSSAWH CPWSEDIESKTTQQFDPSHMNISIRSKICHQLQQHFDGDIPSPESEKCLGVLEPDSKY HHLVYTTFEQHSTSQSPVSLSHFMSPDVRQSPLGDFNLYEKFRLARQLSEAVLHYHPT PVMKAPWASEDVVFFGNDFTAIEQRPSVASPHLKVQIRNRHALSHSEQGIDDESFSPR NPHLFALALLLFEIGYQTSIEDLHRQFRSSMTHSDKQRHYNLVQKVCKNFMSAKTGIP YKVIVYKCLNCDFGIGEDDLSSSVLQRAFYEHVVCALEKLEKEQEEIYGAELS LY89DRAFT_537840 DVDKSMEDVEQSDEEEEAFEIMKTPFERAIEGTAKVRAVPVMTI CLSRVRVESLRREYG LY89DRAFT_90467 MSSQPLLQTAPGKRIALPTRVEPKVFFANERTFLSWLNFSVIIG ALSVGMLNFSDKIGYISACVFGLVAIFCGAYALYTYHWRAKSIRQRGQAGFDDKFGPT FLAVCLLGAIIFNFIIRGVMLYRD LY89DRAFT_707830 MATSSATPPISYTRLKQIANDACQNALGSAEFYEHTKTETWNTT IINSILRSLISESSPAGGTPSYKYAVNSTIIQHLVPTSSLNRAKATQESAPSDATVTT SDVKSEATGTDGKPHVGRRGMHSATGAYWNEKTDGMWSFKYEGGENKGLDVVISVIWI AI LY89DRAFT_90477 MTSSPEEEDWEFEITADLIQRLALIPKRTQGPALPNLPTELQLE IFSYLDQIDSCCLGLASPNLYVVFRAIHGTKMPLNTRRIGPNRLESAWEVVGKQECQQ CGIYRCELHQHIKTWMPKELEYCAMKQNFGLRAIDGAKEHCFRGKPSKPKRCGRHPLR TTSVHQDDASFSLPSTAS LY89DRAFT_719371 MCSLTLAETKTTTVPELDSAITPGSKSTTANTENALARAPRHGQ NERWRKLFHNLPTELHMNIIAQMDQDPVTQVCLGLTSRYFYAVYHMVRDVFRTDGTKF YPIKWWPLDLRMQISECNQYMYRGWYNSIFHESPPSIQWGKPLGKLLEGENIWGDLKN CASCAKYKPDEAFTTSDYEKAIAAKETDWKGIVEKICEGSVGNVCRRCRAQIILIHIE KREEWRENQIVEEERTSLGLRKLDWEALIVDGDPLRAKLTLQEFLEVVGGYETWEEVF EKLGI LY89DRAFT_685597 MAAQGESYYPVFPPATKLHISTGLSFPEACAHHITNTFHESKVY VIVSGSISKTDNFTRLQKALGDKIVGVRKGIKPHTPWDDIVDIVKDMEKKGVDLIITL GAGSLTDGAKIIKYALANNVSSLDDLARLASEAKTEGLKPPQLPVINIPTSLSGGEYS IIAGGTDTRNNHKVLFTHPDIGADIVILDPALSISTPERIWLSTGVRGIDHCVEGLCS LVKPGAERDEGFAKGLKLLVPSLLITKKDWKNEDARLKEMLGVVESMKGMSQGIPMGG SHGIGHQLGPLGVGHGETSCVMLPAILKYNFKYGDEKVRKPQQKVLDLLWAEETVAEV LKKRGLTEEGSDAGDVVGAIISELGMPRTLKDVGVGRNQLDALAENCLKDVWLKVNPV PLIRKEQVLEVLEMVVGDTKSNL LY89DRAFT_697749 MTAFPPPSKSTQNASKNLVDGTTIANSILAALAPENRHAFIAPP SSLPQVSLAFAKETLDAFAGKLGDEQAERLKESRRKRKRGEVVQDEGEVLKIRKLHID GFEVDQVWEQARRVIDALRVDAERALEEFGGEVALSDEKQEKDGGVELLKFDEDGFEI GSDDESAEDDEGAAEESENEEGSDVDPVGLGDDGDEEGLDDSLEGQDDYDDDEDDEEA AGVFVEDPNGLNDGFFSLDDFNKQTEFLERQDAAADPYTGEASDEEDIDWDADPLTMP AKPVSSSRRAKAMDVDEEGEDVDDEDEEEDGPTFGNADLNAPEGDSDDGEQDDEMEAD VDAAGDNTNDILYRDFFEPPPRKIGKGEMQSNYLERQARKSEAAEPGDEAAEMERAVA DVRRDLFDDLSDQDNLEDALSDVDAADPKSRKSAHERRQAKLAEEIRRLEAASVAKRQ WTLAGEARAADRPMNSLLEEDLDFERTGKPVPEITAEISESIEELIKRRILAQEFDEV IRRRPDSLNTPASTRRGLFELDDSKPQQSLAEVYEEEHVKATNPDTYISKTDEKMRKE EKEIEAMWKDVSAKLDTLSSWHYKPKPAAPSLTVVSDVATIAMEDAQPTTASGINGGE SMLAPQEIYKAGKEKDSFEKGEVVPRSGAPVARQEMTREEKLRRRRREKERIKKRGGE EINQRPESKKTKQRKDTLGDLKKGGVKVIGRKGDIRDMSGNKVKASAAINGAGGFKL LY89DRAFT_587373 MKYGETFQAQSVPQWAPYNVDYNELKNLIKVHTTKDQAQAIAIP GQADSHLERFEALFFNELSNQHDRVDLFVKSKADEVHRRLQYLQKLVVKLLARCADSA AIGKPMSSKRREKFARYDIQIERCGEDIRSLQRFTAAQRMAFHKILKKYKKWTGSRAL GERFNDEILGNPKSFVRRDFEPLSSEYTSLINTLRASSPDGSGPETPSISRRGSRRQS TQIQTQPQTPQGYWNEYDHGSEVEGNESYTIYINPDEESFPGSKTFAYVFAEVKKPFG KVKEWLSPKSSPGERQPLITNGNESYFNEQRSTIDTDDDGAYASSSDFPTGYAAHYAT FPSVRDQKHSQAREMLLNQTMFGSFGASLLFLLIAAILVATGKKKLRVEVDAGVIVGI VASLCFNMAAIGAVLGRQQDLGWLRRSLIAVTFVSICIFNGMLLVIVASTL LY89DRAFT_707835 MSSIKDTIKSVTGQGSDSQFAKGHEAPVSFQKSVPGKQHDMPGP DPVNDQLPTDEGGYQLYKAAGKLAGKKTLITGGDSGIGRAIAILYAMEGAESTIVYIP EEQRDAEKTQELVREKGGKVTLISADLRNQQACKNTVEKAIEAMGRINILVLNHGTQM MQETIADLSEEQWLNTFAVNIHPFFFLSKYTLPQMSRGDTIITCASVNPYIGRPDLLD YTSTKGAIVAFTRGLSNQNVDKGIRVNAVCPGPVWTPLIPATMNEKAQKEFTSPMGRP GQPSEIATCFVFLASPDSSFISGQSLHPNGGVIVGS LY89DRAFT_587579 MHTRRNNQLVETRTTKPTLMTRLKGRNANSRTVKTTTTIEPRSA VGHTHHHNTRRSGWGSSTRRNEPVVHHKRHATMGDKVSGAMMKLKGTLTRRPGLKAAG TRRMHGTDGRGSHRVY LY89DRAFT_782933 MSFSSLVQDLSFRDTPPSNASEQRRQMARGASSASTNDDTRSHV SRARSYASTSATSVSISGDISSQLHGGYSHPLARSWQAERQLTKSMLILPLFITDNDD EETLIPSLPGQYRRGINKLVPFLEPLIRKGLRSVILFGVPLAPGVKDALGTAADDPKG PVIASIRLLRQRFPQLFISADVCLCEYTSHGHCGILRDDGSLNNELSVDRISDVAMAY AQAGAHCVAPSDMNDGRIRAIKLKMIEEGIAHRVVLMSYAAKFSGCLYGPFRDAAGSV PSFGDRKCYQLPPGGRGLARRAIERDISEGADIIMVKPASQYLDIISDAKEIGKNMPI AAYQVSGEFAMIHAGAKAGVFDLKTMAFEATEGILRAGATIVVSYFTPDFLDWLES LY89DRAFT_587131 MKHIPSAFLKPCYRSRRIVVGQDLVHVNTFGSRIACLARPQRRH ASSNGTRPRTALFFPGQGVQRVGMLTPWVEAFPATAKPLVEEIDHLLGYKLSKVIEEG PHSLLTATEHAQPAIMASSILILRVLEKEFGFKTNERIDVVLGHSLGEFAALVAGGYV HFEDSLYLVRKRAEVMADCTRRACAEHGGEYGMIALVTEPDHLIPLIEAIHEFLGHHS TGSKSESAEVPPIQQVLIANINSKNQIVLSGNIERIKTLLTHVRQFGGHDPRAVRLSS DSPFHSPLMKPASKAMKRMLEGKSRVKGRENEDLITFPGIMPCISNVSARPFQSKEDL KDLWVRSCVETVRWWDSLKYLDQDQKVRRWLGIGPGKVGRNLVGKEVGMRGRDLVKGG GVWAISNPPEIEEVLRALDETESSRDEE LY89DRAFT_670201 MTTIPSIACLGVIGKNNNPLHISIFPSAPTNTPLRTPLQFSLLL ASTLDIFEARSKANTTTGGGLSGDFGLLHAVDERLAAYGFETNTGVRFVVVVDMRGRA VSETSKGLGVGGLGLRDGEMKVVFRAMQAAYVKLLQNPFYEPDEHSPPTGRGGKKITS RKFVEEMKKIGDTWMPGVGGS LY89DRAFT_685604 MTSHDVRDMLDLPGEAAPRPIKKAKLTGPRPVLKGLAREVQNLG GDNPIAIVPETTTFKKKRFGSRKPAAKWENQSFRNSAREDETLVLKHWRRKAESPAVA PGDEGTAAPNEVKLEDSTFAKYNVKVITAEYNDEQYQKHLLSEDWSRDETDYLVSMVR DYDMRWPVIWDRYEYQPPAITLDPQAAELAIVTASKPRTLEDLKARYYSVAATMMKVH KAPETMVAAEYELMEKMASFNPQQEAQRKLFAEAAFQRTREEAREEESLLIELKRILA RSEKLSEERRELYARLDAPASTGNIGVFTTSQGLQQLLQQLMQADKTKKRKSLMGPDG TSPAGPSGLNQQASFDRRDSTVRGESISGPSGTNNKKGPSQGQTERRQLSAEEEILYG VRHFDRITSSGPAFRAERINKPITSKSSIQQQKMLSVLTELGIPHRLIMPTYAVGEAF DHLLTNINLLLEQRKVTEKIQSEINTILAIKKQKEEEAESKQILRQPEAVSGSGDVKV EEVEREKSAAPSINGRKRSASVMSAVSESTKRQKK LY89DRAFT_685605 MWSILPLLSLSALLVPVQSLYFYIDGTSPKCFFEELPKDTLVVG KYVAEEFDENSKQWSKHDGLNIFITVDEVFDNDHRVVTQKGSSSGRFTFTAADAGDHK ICFLPSSNSGSSGWLSALHPSGGIKLTLDLAIGETSNIESTDKGKIQDIVKRVKDLNG RLQDIRREQVFQREREAEFRDQSESTNARVVRWTLVQLVVLGITCAWQLSHLRSFFIK QKLT LY89DRAFT_587250 MSSSSSNVVGVHYRVGKKIGEGSFGVIFEGLNLLNNSSVAIKFE PRKSDAPQLRDEYRTYKILVGCPGIPNVYYFGQEGLHNILVIDLLGPSLEDLFDHCNR RFSIKTVVMVAKQMLSRVQTIHEKNLIYRDIKPDNFIIGRPGSKSANVIHVIDFGMAK QYRDPKTKQHIPYRERKSLSGTARYMSINTHLGREQSRRDDLEALGHVFMYFLRGGLP WQGLKAATNKQKYEKIGEKKQTTAIKDLCDGFPEEFNKYLSYVRNLGFEDTPDYDYLR DLFTQALKNTGEVEDGEYDWMKLNNGKGWEAMKQHPSQHMLHQPNAVPGASQRELHGT TRAGNSTPGHLTAARLNAAQPPPPSPIKPGMGKTRDRPNAPGGLAPKRSSGAAGGLQD VATPTGSTQAQFQNSSNNLPQRMTPQPNMNAQPQNGRTSEPQMSGFQKLMKTLCCG LY89DRAFT_618151 MPLPTPGPASTGLTITNPLVLYRALLATKVIDPDPAQHRLALEL QKLYFRLKDYSPNTEYGTRLKAISRLVDNVPKARDDGRSVAVPGHPLRRNPLFSHLFP DKSDRDSKALTRVLTSHETAINIDSPKGLLLHGEVGTGKSMLVDLLADSLPNRKKRRW HFNTFMLETLARLEQLRQARSGSRAVDGDTEHSLLWLARDMIDKSPILFLDEFQLPDR AASKILSNLFTTFFQLGGVLVATSNRMPDELSKASGMDFAVPPRGGFVRSFLGFGEKG KLEMFPSNNEYAGFVEVLKARCEIWNMEGGRDWRRREAEEMDAEASEIAERMREEMVG GFPADGRSSTISGHYQHSGHSDSANIEDVEEKVIKATKPKRYFLASPDDKPAWHDAVL ATLPPDTNESTPWQSSTLLVYGRKLHVPRHYHGVTYWSFPDLCGWTFGPADYITLAST FHTLILDEVPVLTLLQKNEARRLITLLDALYEARCKLLIRAEAGPDDLFFPEAQGSPS VLGDANTQRDENDGGDAVYPETLSEIYQDQTSPFRPNVSSYTDEPRTGYDPDEDSDFD PLPGKGNENGRTVDFGMTSSFTGEDERFAYKRARSRLWEMCGARWHARLEPGWWRPVP LEVRRWERASSSPVSASSSRVESDVKLGESIELDRPAGLQGKEMEEREKFASSPFREA REPPPKIGWTHAWGMMKWGKRAGTRGQGPDGLGTKSENDHK LY89DRAFT_618155 MFSPSQNGSAPAPTVRTSRRRPRPSGDSIAPPKAKRQRSTLTES TFVAPDAAPEMEEVTNQKVAALTKHENMKDAPAPSKEIAVRGGKKTRSTDRGSKGDGS VVLTTNDTYTVSKLPALPDRLRADAAGRQHGAIYSDSGYALTLTHTHAIVWPYAVNLQ SPETFTFALPLPSKHSYDPLPLGSLVSASASSSDPGLVVVIPTTGKITYWESISSAAT LDLRLQRNGVDYTIQGMQHSETVVQILNAESAGFVLAFSTGRIAYMSVRDGQGRPAIA VQFLRSNNGTGAGGIFGSLRNVLSSSNGRGDIAAIRAARPDKVGERTVISATAKGKLQ SWNIQRGGHATLNAEAEGREAIVMAIKHTSPALSELLLETFELLDFSYTPRSVKDSQL SDRDDGAHLLLLTSMTDRHDSHYFLIELVLSRDNLEIGTIRPVKSYKTPVSRIATTKP RLYLPNPALVAYLVFDRAVVVVSMAKQPDSPDLQLRSESHLSPLSFEDVVDFREDMNV EIVGSGMEEPQAPSHGIEDPKSRRHKAKHPAVVLMVRGGGVVRVAATDITRLTSSRPQ QVTAKSKLEQAVFFGTLDQNPLSFAVRPELQFSGEEVGEAALELSLDISKSETPYIPS VPASVEQNLRKRSAALRDLAKYLKASAVVLDRTTKWKLLWAAEKMTAASSIWKLYDAS LKEKSIGQKRGLLTEVVEFIHEDYKTEPVSETGELDRVRHWFIKDVWNLEIAIPWAYQ VIKYTYQDGKKGHDGICALLSEGDDMVLGALQAAFDFRTANLDLYGLGDEKLEHGILK VGYEGLPEFWTSTIFTTENIRKQAELAGMLLKGYWDKENIEGEERPHPRLVNKVRLEF PDLLDITIRATRERIRWDLCQEGEPWQSEAERIAHLLDDAQQRQIADLAEDLQLPDAA ANLAEKHELLPSLAMVLQYGLNVSSAKTHEKGISKEEKDLYTQQYYALRERVRRCFEK FGSAWATALFEVDIRDKYIADLFDGWPEQQDYLTAFLHRDEYAKLSWINDITREDDFD HASKTLLKLGLTGEDDLWSKQVELSIGKLALLANKSPSQANEILSSKGGKSEVSSAQN QLGLIKIQQTIFNFILPSIESAIDERAELQLALESFGNNELIKQPQLLALLKESMDCL IKHKAMKAPMLIDLLTLMGGNGSFEELSYLHSQQFYLALKAARYGLEKKDEQILTQRI IWKRCMLRDDWAEINNTVQKDDQQVSEQLARTALYQTFRQCLKDRLFDKRSVIKPMSP EEVLGAGSEGLDPRFTVLDASIREQIVQDMLVEDDALKHFIEKCRLDQWYDSALTQAK DDYDSELNEETDDGGKMNQIAAKLVDLEEEIKESEMKKAESLLHSKPRYKPKPKVNGS VGNFRSSFRP LY89DRAFT_685609 MPGPLPKGNCDTCDTADAKLRTNPFTNEEQCEECRDASIIGISN VKSRYNLKDADVEGLQMIKEAKDAFLGGPDKRWYLVEDIEKRAEEVNEKKEAAKKENE AAKLEKEAAKKEKEAAKEAAKNKKSAATPKDTKRKREEEEPAAEEEEADDEPETEVAA PAAKRGRGRPPKAGAKAAAKKVAAAAATDDGKPKRGSGRPPKAK LY89DRAFT_685611 MRLRRSARQSKYRLTLKAAGISGRLRSSFREQKTEEDLVLLQTL PSTASLPLNKGSVPVPEPEQCRTFTVIRRINLVMTAFGQNTGMTILLIALS LY89DRAFT_749619 MGLVVAVPLPDDSDSHSPVASRQKSETYQSSQTTRYSTILQKAS RGKGREGKAVQLAVSWRAPSPLHRALAASDKPNTSLRNTHIPSIAPQDPSRRKQIIDS IAESSSATRVQPAYEAVPAPRRSFIKSLATGAESGHGHYINLQPALLDPRRALAGWLA GWSSPSTLGSPRVYNLKFVQVIYVLSRWIVWDYPELSHSESIMAYGRIASQNLPVPVI EQLLGPHINRWTPGHQIRCAIGTSTRRPGLKRLLLLARPAVARPLTSPSPPGPAERLL ALHNAAAAPFVRHITLWKTTATVSSLPGRLIGRASMSLTRSCVGGYLD LY89DRAFT_90855 MISLSCSPGFPTTRPSRGIDNRDVPSSTQKYIYSTFLSPARGAA ATEFEERRGPAKSSLGSCFFACLLACSFLSARLMLLYPSPRVSAESGATAEIRIDSDD AEVVWDGG LY89DRAFT_90811 MASTEEQNTSGQPDSPTSRFTAVNNKEPPVGAPLNSNVPSRRGS DERSNGQPRITPPGQEKLTITTTTTQDWLPPSSNGDRHQYQPSNAYTDPDGSHKRKRS GSIEQNSSSANSYHTHALPSSAKQTPNTATTESDGPREESLRPTASIEPRDPYGSESQ YRQFMASQEDIRENVRGHDPWHPRQYTPPVMTSDEQLGEVLRRASQTNPYGPYRNDGR EMSTQSDPKKRKRNFSNRTKTGCMTCRRRKKKCDESRPECNNCLRGGFVCSGYQQRGQ WPKTEQKAAPIPLQSKTEYENSPYSASSPYSQHHLAQPRREPLPGYRGQTLRVDPQHG GRNMNMDDDQPSASTIPSASVASPENNRLSAISYNAQIPTPITASSSAYPDRQPPKNV YDRVGPLHDLSRQEPRTESDTGTPQSASSTLPQILHPQLHSSSPHSNPQVAAQLALSN LASTNRPRTQKEQMLAGQHYFPFDKELVLERERCNGACWRFNSSTNPNNGVSPEERAR LFRDILQPRESVISPTQASPVTPVGRVGDNVVVEAPFNCDYGYNISIGQDVAIGKNCT ILDTCEVEIGDRCHIGPNVNIYTATLPIDPKRRLGSRGPNFGRKITIESDCWIGGGVT ILPGRTIGKGSTVGAGSVVTRVCSFVYPQF LY89DRAFT_587490 TGDITYYEAGLGACGWTSDGSTEQVVALPYELMGTQSNGNPYCG KTITISYQGKTTQAKVVDKCMGCMGDSIDLSNAAFLELAELAVGRASAEWWFN LY89DRAFT_782945 MFARPISAAFRATTRPSSSQFASRFIQPQSRLTPFAVRLLSTET KAAIDKAVESRPVVLFMKGTPETPQCGFSRASIQILGLQGVDPEKFAAFNVLEDEALR AGIKEYSEWPTIPQLYINKEFVGGCDIIVAMHQNGELAKLLEEKKVLVPAEGEAPK LY89DRAFT_618167 MLQMIHPEHNPNHHPPFLASNHLHDGKAHLLLAASGSVATIKIP NILEALSSHPNLSIILLLTKSASSFLQGQSAEQPHFNTFHRIKNVDGVFLDEEEWVVP WTRGKGILHIELRRWADLLVVAPLSANTMAKVVGGWADNLLLSVVRAWDTTGIIDPLR IIPSSRTLATRKKRIIVAPAMNTAMWRHPVTKSQIRVLEEEWGVEGEKSEGQGWFEVV RPMEKELACGDVGDGAMKDWREIVKIIEKRLVLEDQRTTSVIRPLVKREFLFCRRTLK PRLHLFSPNNASSNLHSNNKSHPKSITSPDSHHGARSPYSEPPPERRSTRLSDQAAPK SYRYVSNKQAPSSSVQRPARKAPVTKDSKKAGNAKQNVSITGVFKKQQKVKAGRVSKK QKRRDATPELDLMDEFQAGVNEARMHISTRAKATFTSVHNGFSSKLQAFHGEDTAFLQ RVSETAAILSTPLLEEKIETEIRRDGKSVVEIVEIGKRVAAFKALIDSEEAKLKQAWK EWDAVQEEYIELGVDVFGAELFGRSEAEGAGYKREIELLDLEHETRVEELEEEINEMG SETLKQMKAAEEEMDAAFKMAQAKLLTTLF LY89DRAFT_670215 MSYIPSDTDYPSLASVLEAAAPHPIWAERAAKRKEEQSRAPAHT KKEECKDFYIQSPVVVCSSMAFSKPAKNITPPAAITAISKAPITGFKSAPRKSASVEP IVEDWTTVKSKSKRRRQTYMEARKSETQLVSDTLMSLPPTHISIPAPQETITATKEDG LDSAEEAEQKEKSPGASDIPAGNSSRTSLMDWAEDSIEEAATQASKQKGDNETQYFPD FDETVAQGLLVECPADGEDNEIDLEEDLQAKEPDSFDSEIAPESPSQDGDNETVRPAE DGLPSLEGELLDVPVTEGHNGGIVDENVPTSQGEEDGGEGEGEGVEEEKIPASLSASI SAEQNVSAAEDFAAKSQETEDIGVQTPNAICTGFVEDVWTVVAPRKSRKSTKNLDIST IVEVQAEVDAPAPLLPIKSQKKKKRRSAKKAKKSTVEISAAPGADVHTADGTPSEVER KDSEERRAAAAVVHQESQRFSTILVCIVMFLVFLLCIARYHYR LY89DRAFT_587773 MAPSAITPPHGVQQTSELTTAAVARKIAETAPELISNGVKTEMA PRPLDASKLKFTKTTSPMSVPQPGDPIIMTASQCTDHMITAVWKASTGWADPELRPYG NLSLAPTASVLHYATECFEGMKMYRGFDGKLRLFRPDANCKRMLVSSTRISLPAFDPA ELEKLIKAMIAVDGPKWLPKPGTFLYLRPTMIGSGGALGVATPKEATLFVIATFMPPL DAPTGMRLLASTNGVRAWPGGFGYAKVGANYGPSLMANGEARARGYDQVLWLLNGTVT EAGASNFFVVWRSKEGKVQLVTAPLDGKIILDGVTRRSILQLARERLTSGQSGLESLE IVERNFTMDDLVDAAKDGRIIEAFAAGTAYFVCPVAAINYKDEDLDIPMARGNSGDYA HLVKSWLTNIMYGKEDHEWGVVVPEVEDK LY89DRAFT_782950 MGMVWHGYGSLVWHGNGMEMAWNNGNGMAWLWGFEYRPDQIAAT HDGPNHHVGHDLLGMNGILPNGTNGCRRHHHLYIAATRNTSDDWWNFDPADQIFSQYL EDATLLDPFHEVPPNQETGVQPTNPECKKQMEKKTAMAETSPNQLSCTWPGCHAETFS RPCDLKKHKDEHNKPYLCQESACGISFADKARLRRHTLETHKTAGYKCPFPECSRSQK AFARKYNLTQHIKNRHESSKRQASNLEDLVLQTHTIVGMNDHIPGFEYAQGSTHAMAA LKKELQQREAEKKELELVQAHVEAEVERLKKALDILDE LY89DRAFT_587488 MTDTKLEEELPAGEPAPEVLEGRIWVDGCWDFFHHGHAGAMLQA RQLGTELYVGIHSDESILENKGPTVMTLEERVAAVDACRWVTQAVPHAPYVTSLPWIS HFGCKYVVHGDDITSDSNGEDCYRYVKAAGRFKVVKRTPSISTTDLVGRMLLCTRTHF IKSLTRVLAGEEGSGTEAERKAEGHDLTERMKMYATDETGLKPGASVWFWNASIEARE DATAEEKGIFSNLCQGLQPKPGQRVVYVDGGFDLFSPGHIEFLRQVIKAEEKLGAHEG WYSQQAIDERVGKGGDYGPVFVVAGVHDDEVINHWKGVNYPIMNIYERGLCVLQCKYI NAVIFGAPFTPTKAYLTSTPWGTPDAVYHGPTSFMPLTYDPYTAPKAMGIYKQIGRHD FSEVNAGSIVQRIMKSRDMYEERQRVKGVKGIGEEAQRRREILEEEQRLKEKKMTS LY89DRAFT_685619 MHRSTASSSNGPSTGGLFKGGSIFGGSSKTPTRPSTSSGSPSTH SESTSTLSSTTLSRSSTFSRKTSLSSTQKSPQAAYRIRNSSVASPERRIQTSASQYTD KHPLPGPAPSRQEVEQPKSADSFRQVVASAEAVRTPGSGTDSFTSTTPYANMLVRPST GYQATGPNGLPAFAPIQPPSPTLETITYQHIQETSSKRISTLDYLRKAHEGRVYWFNT LLFNKPDLQRMPYFDSRKLARRATNYLLLGLSLPTILDLNSSTPMEFLKTLNALLSEF ESFQQIHPPDGSSSLSRGRFPQMFKRATGASNKGRRQSSAAEIGLPMGSDYDSKSMNS SISSSGAGTVTSFAISETDLLPGEEYTHLLTPSLPFDPDFYETFATLCDVLIDCYTKL MSLVGSPRECNPAVAEMFTKADQRVRKIIVQGVVKEFEESSRAGVKTEVAGVGKVVLG GLM LY89DRAFT_618178 MSTLFGSASSTNTANTLGDLGKDVALTSPPEDSVSDINFSTQTQ HLAVASWDKKVRIYEIAESGGSTGVAAFDHEAPVLSCHWSPDGKKVVGAGADKAARVM DMTTQQTSQVAAHDQPIRVARFFTPVAGGDAMLVTGSWDKTIKYWDLRQQSAAATVQC QERVYTLDVKKNLLVVGTADRYINVINLSDPTKFYKTLQSPLKWQTRVVSCFTDATGF AVGSIEGRCAIQYVEEKDASSNFSFKCHRDPPQNNMTNVYSVNAISYHPQHGTFSTAG SDGTFHFWDGVAKHRLKGYPTTGGTISATAFDRTGAIFAYAVSYDWSKGYASNTPQYP NKVMMHPIQTDECKPRPSVKKR LY89DRAFT_719400 MRLFNTPQDRLQRSLGSRLLKWTLSISMMASTTLAQSQADYFVH SLPGAPAGPLLKMHAGHIDLGMQHNEHLFFWHFQNRHIGDRQRTVIWVNGGPGCSSED GALMEIGPYRVGPNGVLELKDGSWDEFANVMFVDNPVGTGYSYVDTDSYVHELPEMAN QFVTFLEKWFALFPEYEHDDLYLAGESYAGQHIPYIAKAILDRNKAGAAHQWQLKGML IGNGWISPEEQYKAYLSFAYEKGLVTHGSESAKRIETQQAVCLKALAETGGKDKVDIG VCEQILQDILRETITADQQCFNMYDVRLKDSYPSCGMNWPPDLVDVTPYLRRKDVIEA LHVSPGKRTGWTECNGAVGSAFRAANSKPSIQLLPDLIAEVPTVLFSGAEDLICNHIG TEELISNMQWNGGKGFEVSPGTWAPRRSWTFEGKPAGFWQEARNLTYVLFYNSSHMVP FDYARRSRDMLDRFMGVDIGSIGGTPSDSRIDGEKGLETSVGGHPNSTAAEEAEAAKL EAAKWSAYYKSGEVVLVIVIIAAAAWGYYIWKDRRQRKGYRGLFGGDAPMSLGGARET TRSGMGLESFRNKRATRDVEAADFDESELDELHVRTPTEDMDRDRYSVGSASDDEAGE KHGNGHVGGKGRQGEKS LY89DRAFT_92510 MASKTYIVEHLDDELGPWSELEYVTIAKESHEAGAKFCLSSIPS TLVLPEALKVVPGFTADGRSVETLYAEDKSRVCLLDPSATRELSPQDSEIFDVFLFGG ILGDDPPRDRTSELRKKGFEGRRLGPKQMTTDTAVRVTRLVVQEKVPLDDIEYIDYPE LRINKNESTEMPFRYVKGKDGLSIMPEGMIDLIKKDSEKGFGDLF LY89DRAFT_91814 MNPLMKKNKVDIASLSPDEQRLFRLYGKLPSKSDHLAKHLKERK YFDSGDYALSKAGKASSVDTGSVGSQHPLPENIPHLSSPGVGNASQGGSGNGNILPGG PAGLQSGSPVKESSFLNRETSVDEVDEKENKDERLNASASPPPAKEGIPIRR LY89DRAFT_685628 MAETAAKRLKTSPVTIGTHNGHFHADEALAVYMLRLLPTYHSSQ LVRTRDPALLQTCHTVVDVGGEYDASANRYDHHQRTFDTTFPNRPTKLSSAGLVYMHF GKAIIAQHLGVPEEAKEVDIIWGKIYESFIEALDAHDNGISAYDTKAIKAAGIEKKFS DGGFSLGAMVSRLNPNWNDPTPSDPVEAQKVEDEKFLVASTRMGEEFSRDLDYYTRSW LPARDIVHAAYAKRLEYDPKGRILVFDGQSVPWKDHLYTLEAEEGGEAQVLYVLYPEK PTPDAKWRIQCVPVSKDSFESRKPLPEAWRGFRDEKLDEVAGVIGGVFVHAAGFIGGN KTFDGAKAMTLKSLDL LY89DRAFT_586997 MWFFRVLSSAIFLLSIILSIPIAFDVGGRDCGLAFSLSLFYFYF FYSTIRLATPDKSRVRWALVQMIGMAQWVLIPGLLIWSMNRFAVDANNSGDWVARTFD GKRAQHSTIREWIFGSGGLLEMMTIGTWDKLLRYSTPFFQLAEGFCSLLVIQAAGQIT RWLVNRGRSDMWMIGLLVLSASIISSSVYFLWRIMNFPEIGNVDATLIGVTITCAVFL CAWGIGSGRGNPVESSLLFAYVVLCIYQIFTDYLPTSEPPPPPAQPDFPPFPPIIMAS YSTLVYILSTLPSAVLSGFQFLYAAFQTITPSVIISLAYRIFVFYSATRIIPAVRESG ARALSQEPSLEDSDGAGKILGLLSWFSPSILVAVYTSLLMQHFSTTSAEGNNTEWWMM QGGDAGGNVWRWINVAATMALYALELYLGKEESDDSLTSHWKTD LY89DRAFT_91678 MSRVTPPVTKLTHAVRRISTSSKAARPSTLLESSRSSRYLPRNT KALKEECKKRQLNSTGNKAELVDRLAAHDMLSTHRDYHSMSSGHRPTTPSVPVYHTIP LMQGFQTSAPKQAINDMSTIDFFFFPEIPADPPLNPFAKLRVPLLPDNYNPDRSPGTP HAIETIDEALPRPEIHIVASHPEDVMPATISEVVGNDGLDIDLASLTKNFSSTAIKEL KEKSLVGELWSGIVDDVLGPKSRKVAV LY89DRAFT_647562 MPYSLKGRNVLVTGGSRGLGELICVKFALEGCNVAVNYNASGER ANGVKERIEKLKGCEGVKVVLIQGDMGKEEDCNRTVKEAISQLGGLDIIISNAGYTRF STFSDLSAPTTEDWDTCYAVNVKANSFLLREALPTFKSNPEGGMLIISCSIAGRIPGG SSLPYAVTKAAQLHLMRCLASTQGPKVRVNAVLPGLLKTEWGARYGEESIAEMEEKAW LKRDTDIDDCAQAYIDVAKNSSLTGQRIQVDSGLGHND LY89DRAFT_685632 MGKTTKFNDRDHAGLADGTAAATEHLPRYFAKSGHVDSDPKKIK KNGAGKGGWGLDGEEVQDEGFNLANARRRSNSSSYTAGLKDFKTKFEHVEQEPVFEEE LHGAPVEDDDELPITRRVNTESSDGSIDEDDKAKSV LY89DRAFT_697776 MAPAIMIDNEADFNPVVSTTKPSSSSSLRTLLLAPPSISSHEEN LRNILASHDRSVTDLQMLDRLSAGLVTLPDSAYDLVLILTDADGTRTESTQLLSRNVF DRVVKALKGWGKLQTQDGTLGQDRHNGAEIHELILAGLVADGTSWVKPDYGVHGFVSL RSKGKGAVSDAGPPVATTTVQVNGKRKSVDMTGTKPAGVGFVDFGDDFGEPIITGEDD DDDELIDEDTLLTEEDLKRPANVPPECVPRVGKRRRACKDCTCGLAQKLEAEDAAKRE AADAQLKTLKLDSDDLAEVDFTVKGKVGSCGNCSLGDAFRCDGCPYIGMPAFKPGEEV RLLSNDVQL LY89DRAFT_92768 MMFKTYVFSLAASEMSHSQPRRASISRRPALMYVADIPVQQQGT LEFNKHQVLYCGSNHTLSSNHAFVFRSNIKSAVSRNGFETAQWTKLDSHSIIRRSMTQ FPACSKAGCMSSNPVSRSGSQS LY89DRAFT_782963 MSSLVKQLLKLLSAIWLFSNTVAGVGRFTNPPGPDGALTLHIGQ QYTIEWEYAENTYPELSLGLIAEANGNVFWLLSNEMNYTSQNYVWNPITLGNRITLAQ GHTFNFLLVNGTKFGEQVLSPEFAITNTNTSSTSGAATATPTTLSTPTSSSSPSSSSS GLSTGAKAGIGVGVAVAVILALTALFFALRYRRRAKQNERMNKRRTSMWIVV LY89DRAFT_647570 MMMPLLFIRNAWRPSRFPSSLLHQGRFYATKKISKPLRILFCGS DSFSIACLQSLHAESQKNPDFIESIDVLCRPPKLTGRGMKVLQQVPIYEAAKNLGLTI HQRDTFAGWDLPTPDGESISLIIAVSFGLFIPARLIRAVKYGGLNVHPSMLPNHRGSA PLQRLIMTNAKTTGVTLQTLDTQKFDYGIILAQRKVHIPRAASITYPELLDHMTPRAA QLLIDGMRDRVFIPPLINKGKGTYESRLPPDQLVHAPKITKEDRHINWHRNDSLTRID RRHRALGPLWSILYVGENITKRFVFHDFEMVERPTAINQMVRKWRTGRWFMNSVEKSD PDNTASFHSQLSDDGSSQSAATLNAHQTDEESFDTWRASGEYKSDQTEDEAFASWQQF RERQLARENEASSGQNVYHMIDTVTNGEMAPVFYIEDGHAIIVAVKDGAFRVKEITVE GDQRRTAAAAMKRYRKRGMWKLQYRPVEGIDRWFAAYNPRYTDTFSRDEWIKTLSPEQ REEEEDKDLWALIREEKREERKLLEGLREPVRLARSLEPEERAREEHMTKEERKRLKN DIKEQKRLKRREADELFKERNQIKEDLKKADWSPLLLEKHREFFPEAMAEARKERLRE RKEKDREIAKSMKEASDAPGEEASVHLNTSEDEDQNATED LY89DRAFT_647571 MSSTVDQSRTVIPAQLGFLAIYNPSLGTSDETIENQIVYYSSSS ENARKSRQTTNPKQKAADDAAKREQQNEQLRQIGLAQGMVEFGRSFSDGMAVDTVETE KSRIILHELESGWWILASISLTVLPVIAKPASIVKGKSSEPQEAVEYSSREVKPAVLL LGDLLRAHATFLLHHASSMSALFVRTRRSKFVGLLGRYWDTFLSTWNVLMHGNPANSL YGGIKIAACGELGMGVGEEERGSGEREVLEGFVGRIDGLVDVVVSKFGDVHSGQETPK AEQQQNLRTEPTSPWLGSGSEPAAEDGAIFLGTGALSKKSLRYVTHWIEDLYRWGPYA YGVTENPTSIRHSKKSKKHQNRGESLALSPDTIKKSRQVYGLTDRTDSEQPSPDQDDS MATIAPQPMADSDASAGSPKPKKHRPTFRRGPGSFASSGSETGKTNKLVQYLKFGYGT HWTLGGSSLREDEVTGAPGGNPETKLDAQDPLPKGLDAARLQVDGTDEGTMFQVDDSK GHYLVGLMGDIENENGDSDDQNGEGLNDTNIEDLNARVVLRTLTLDSDHTGTTSSSYD SQDRNKTKKLRVVVYVNRPFIFVFLFELRTDALAWNNLYRAMHYQLAPLIKPLLSSTT FRASKPDITNTEDSTTPIYDLVWDPKLLTIRSTIPNIPDPYHAQTDPSVSLTWSRIEA LNTHMQIVNTYITSTMDRSELERTCKTSRGWWVVWTRIPEPDSTPTVSLASGQVKVPG LIHEDSGETGPSNNLELPLHQKSRGGSTNASTKVSSMFSGPAHPFLEALANTGRQSPR DKEIFLIRRASDHAASKSRMLSGSSFSGSEGGWTTGPSKLAQGIGVDTKRYIESLLNL S LY89DRAFT_647575 MVSTESLRAEKLFSAKGHVCVVTGGGTGIGLMATQALAANGAKV YITGRRMEALENAAKSHSPSQGGEIIPVGPCDVTKKSDLENLVSQISKKEKHIDLLIC NAGISGPKAEPESADAEELKERLINSESFEEWSDTFNTNVSAVYFTTVSFLPLLQAAH SINGALSSSVIVISSMSGIMRHAQGHFGYNAAKGATVHLSKLMSYEFKKAGIRVNSIA PGYFPSEMTTKESDEKQKSEMPQSKIEEKGHVPMNRAGSDEEMAMGVLFLMKNKYVNG EIIAIDGGVLLEVPGR LY89DRAFT_734840 MDEQAPPPPYSETDIYSNAGTPPHPILTPATSHTDAASVAGRPP PSTASSVDETIYTPPYSPTSSIHQNQVQGEDLDHVSSSSATAYFDSRPAHNPYSGPVE VYRIAVSHDTDPKDLIYPEALLAKDISEQDWKTFVNHLLPDHASSVNNDVADRKLKAE MDERMSHLSLGRDNRSRSDISQVDAQLDPLRSPHTPRMMNRVEATVTEWNDGFFKPRG VQISTIDVDAEIAAGEEERSHMPGSWIPWENENENEGEPSSSRMGGRKGSFFGNFLQA GNLKPGTQGFRMGPIVADNDGFRIGKNGLRADSEGFRIGNLLVADSNGFRLGGIRGFN AGNHGVSLGGRSFNKREFKRDMREHLRDRNHGHGHRGRSHSHGRRGKGRNRSASVSSS SSSSSSSSSGSESSLGSLPDYEDLKDQQLPVAKQSLLDWLNHPEQPITREAVQHLKKD LKNAKRDNPRQFDQDVKELRVEVKDLMRRFKAAKKTQKKHRKEARRIRRAEKRSLKKE RKSMRKEGRREGNQDSRCGGRGGWGRGRMGPMPMGPGSPDLRFPEHMHSPPPMPAFPG SPFGRGGPFGGRGGPFGGPRGGLFPHGPPGMAAMHGNWPLAQYNDYVPGNASGARDMN FSDPVSRSAEQIHSQLSQMIAMTERKETRAFMLRKEATGRNITEKDKLRMCEEATELE EEAEKCRREADRLRAEAEAVDRELARELEEEDGQGQQTGIVPGAFN LY89DRAFT_734841 MAPIYGFSDKTHQLLPNAQRVSKVQRFSKLAQKYSHPSNSDCPR TVLSHSPIAGTFKSGLTPLPLSATGWTQDQRLIHNYQKRRLVGFSSSDMEKLGALAAI PNERFGDDSSLEAVTAVMRVDDGAMSWLDNPIHPMYDRERWVKLSEMPLHSAPIPVRG DAEGYWLAENPHVWDILVPCLRLASQMLQHAHMVGWWDGLLNLNLKRITTLGRIPVDR RNLPLYTFHPRPGFTPLDPANRKEFHEKLAKIVPKIKIRLISGYAANEPGVVDEEVCL YGICGNNLLPNTGTIFINVSSELLQVLSTGHLNSDEKRLARFEFANTLMHETAHAVWY ALRDDFQKTKKSKKPTHGEPYFETEPLQELGFSLENNMWGGDPKSLSSFGVNAAGGPG LPSLGICKSSWFRECNSGYDNNDNIPLDPPAPVPGQPDLYYISDYYPIPTTWFIDLQK KDKWEYIVRKVGISATQMGPTTYGMRNKTKIKDPRNLLQISTPLTQLQGLYVLGMQRA DMTSLEIAALEQEVSRVEKAIKILNTASITFLAMYPDNVPVRATTAPAIDRSLTRERY PCVSKRYEEIRQYCLNNRKPLQLAFDSMDFDIPEPTMYRYILNQGGITISPTEFRDFL HCCQSKRRLFEYQPFPGPGMITKVPNGWPIETPYTIPSQRLAIDGQYFDDVLETFEKE GFRTSLYRIFGEFRDVDIEWLRKFLGFWFPGRILDSAGFRDIINDLAIDNTDILTLGP TGIARFRYPIAAADRKANITPYTQDRRKRNPPSNTPSPTTTPVGSPSTTPLGSPTTTL TGTPIVSAPKP LY89DRAFT_734842 MTPTQKGYAPNLTVFKKSLKAASVETSIENLISLLKRRQIKNSR PCAMATAELLRIVVARDRWTDLGKLIAHIQDVGRRLVEAQPRELAVGNIVRRVLGMIR DEGNEDRKNEGSDSGSATGSPQLEFSIPAPTTTKRPPSSSLRFASTDGVHFTEDRPTT RPPLMTSHTSYAVANNVPVQQSMFNLLSATGSPNLTPSGANSPHGDSTPHPFALSEKM INSTKDLRAEVVNGIEEILDELEQVDDQIAAYALEHIHSNEVILTHSSSVTVYKFLLK AADKRKFTVIIAESYPNDHEETHLAVMGKMKDPESEEEDKETYLKSLQAAGITVILVT DASIFAIMSRVNKVILATHAVIANGGLVAAAGARIIARAAKAHRTPVIVLSGVYKLSP EHPFEFESLIEYGDPGSIVGYEDGTLVEKMEVDNPLYDYVPPDLVDLYITNLGSHAPS YLYRIVADHYKPEDVNFNNPELLF LY89DRAFT_685643 MNSLNILSARVSPQSTPAPSRSNSYGNIFSSATITEARKNSKED VLNEKTDGADEALDQDCNDDRSILETSPLLERVEDIKQTSESTGWLLIPARFSAAFID STRWIFSTLAAPGVYLIACLYDERGNFAPFTQMQSAFSGGGRRRPTAQASGSSSSADD DANTTGEKGIGKSIPARRGTGKGKKVVSSNSSSSGLSSESESELERPQDEAEQPAWSS SRHNRSKSLQNSDEIAPARRSIRIKLHNEDSLRQRKHRKAQSTSTQSNGSGAIAAGEI SPAALKSPTSPASSLLMTKYPRAPAPPRPLIPRRQPSYTLPEPPTGRHTQKTLILDLD ETLIHSMAKGGRMSTGHMVEVNINTVVGSGNNASLAPQHPILYYVHKRPHCDDFLRRV CKWYNLVIFTASVQEYADPVIDWLEQERKFFSGRFYRQHCTFRHGAFIKDLSSVEPDL SKVMILDNSPLSYMFHQDNAIPIEGWISDPTDNDLLHLVPLLEGLQYVTDVRAFLALR GGEDGKHIA LY89DRAFT_782971 MQQFSTGGTEVKAQVVDKVPKRFKELKFGIQSIQDVSAQAVIEV SDRMLYDIEKMRRPTPNGALDARLGISSKTGICETCGEGLHNCNGHFGHIRLALPAFH IGYLKLIMNILQNICKDCARVLLTETERRSYLKELRRPGIDNLKRTQICKKINEQCRK AKTCPYCDAVNGQIRKVAPLKLVHDQYQAFNKSTAAKKVAPRSLVTFHNTFEEAKKNN AEIDKHLKKAMDDLNPLRVLNLFKQITPSDCELLGINPAEGRPEMFIWQFVPAPPICI RPSVAQENASTEDDLTTKLAEIVHVSSLIKAALQKGQPVATIVEQWDYLQLQIAMYVN SDVPGLQQPGSGKAIRGFCQRLKGKQGRFRGNLSGKRVDFSGRTVISPDPNLGIDEVA VPILVAKNLTYPERVQRHNIEKLRKCVLNGPNVHPGAQQIMKKDSDHKISLKFAKREN EAKHLRIGDVVERHLEDGDIVLFNRQPSLHKLSIMSHYVKVRPWRTFRLNECVCNPYN ADFDGDEMNLHVPQTEEARTEAINLMGVKNNLSTPKNGEPIISATQDFITAAYLLSSK ENFFDRKTFTNLCMYMVDGNTHLDLPPPAIFKPEKLWTGKQVFSVLMRPNSKSPVKVN LDAKCRDYKPSPGMTPDMDPNDGWLVVRNSEVMCGRMDKTTVGSGKKDSIFYIILRDF GPDEAVIAMNRLAKLSARYLTNQGFSIGISDVYPSAQLNEKKQVLVTDAYKDCEVLIK KFKEGKLEKATGCNMEETLENAISGILSKVRQHAGEYCIETLSKWNAPLVMAKSGSKG STLNVAQMIAVVGQQIIGGQRVPDGFQDRSLPHFPKNARQPPSKGFVKNSFFTGLTPT EFLFHAISGREGLVDTAVKTAETGYMSRRLMKSLEDLSTQYDNTVRNSSGIVVQFQFG ADKLDPLNMEGSAVPVNFERTWIHAENLTWNNEDESLLPWEVTAECIELLKPEIARYG RKGLLGDTLEYDDTSNYGIDEHESARGFLQTITKFVAGLASRMAKARQRAGLNEFEDD PEISGDLRENSDEEPERKEQVERVAKVSKATLQMFIALCLNKYAKAHVEPGHAVGAVG AQSIGEPGTQMTLKTFHFAGVAGMSITQGVPRIKEIINASKVISTPVITCPLMNKTNI PAARMVKGRIEKTYIHDVIRFIEDMWSAKSATICLSVDMDALNDMHLGITVEGIAETI CMNKKLKIKWGDVNVDQDCIFVNVTHESGGNMGARGAAAKNRLTLDEGGSDLLLRVNH LKRALPSLAISGYPDATRAIIQTSEQGENTVHVEGYGLRACMTTEGVVGTECTTNSVM ECRDVLGIEAARTTIAKEIAAVMGEMGIDPRHMQLLADVMTYKGEVLGITRFGLSKMR DSVLQLASFEKTPDHLFDAAAGMKTDLIEGVSECIIMGQTMNVGTGAFKVVRKLGLKP EDTNTKSTAFEDAWRWDQAERRKAARRAKTNGR LY89DRAFT_647586 MDHLYNSKAGPSAQPEWPREVPRWRRNLGALGRIIAVVAALVLA YAAFVHTRLDRQRYSWFLDLDDSYGAQNGEHATSKGSQYLLGVGKADITGPVVEINMM GYADTKQLGSGLRQRLYSRAFIVGDVKRPEDRFVYLVLDTQSGDTAVRYGILNGLKEL GSDYDVYGQHNVAVTGTHSHSGPGAWLNYLLPQITSKGFDKQSYRAIVDGAILSIRRA HMSLQPGYLTAGTTKVFGANINRSLYAYLENPESERVKYNESIEDDGSVEKTLTLLKF QRASDGKSTGVLTWFPTHGTSMLGNNTLISGDNKGVAADLFEKSFSAEDNVADDFVAG FSQASVGDTSPNVLGAWCEDGSGDQCTFENSTCSDGKSQHCHARGPFFRVKDNGASSC FEIGRRQFEPARSLYDTIGTVGVPITGPTVKSFHTFQDMSNFSFPLANGSYVQTCPAA LGYSFAAGTSDGPGAFDFTQNDPNAPNASPVWRVVSGAIKEPTEEQKRCHFPKPILLD VGEISTPYLWTPNVVDVQVFRAGQFMIIVSPGEATTMAGRRWKKAIHDSAAAQALSGS ASAEPIVVLGGPANSYTHYISTPEEYGIQRYEGASTLYGQFTLNAYINLTLTYLHYLS ASSTSQPEPGPSPPDNVNRSLSFITGVVYDGAPLFKDFGDVKTDVKPAYSIGDIVSAT FVGANPRNNLRLEQTYAAVEKLDGEFGRWTRVRDDSDWSLIFNWKKTSEILGTSEVEI VWETESWAEPGQYRIKYYGDHKSVGKPIMWFEGLSGEFVLT LY89DRAFT_647590 MPLTNAIHESLPYIDAEPTPAQRSAAQALISQELGSQPQDHHLS LPPLLPSTLSPAMEAELQRASTGEKLSSIDTTRYESLSSPATASTLRSAYISQTYLSS RLTNLSLLEKYGKNAWLVSNSQLEDILRGLERELEERKKEIDLVVVERKGAQEAVGGE MKGYEEAWKRGVGRVLETEVAAEGVRREILERRREGAR LY89DRAFT_782974 MSLSSASLDVIDSLRKEILKNNPTDLIQFCANYFTRQLELERSH FLSSLNTQGTKMSGSTFPGRFGSNSNPFGGATTNAGEQVGSGQISKVMEEDETDTITS PTSPNFNFGNSGGQFGAPFGGDASGEGPPSSFKAADGFPSQYGMGRRTSVSAESLNPT AASNDNWSPPFHHKTEEQLQRLKKSISGNFLFSHLDDEQSAQVLGALVEKAIPAKGIK VITQGDEGDYFYVVEKGSFVVYVNKSGSLQPGPAGLGEEVATIRPGGSFGELALMYNA PRAATVMSAEAGCTLWALDRITFRRILMDSTFQRRRLYESFLEEVPLLASLTKYERSK IADALETHKYPSGTTIIKEGDAGEAFYLLESGQAEAYKSGTQGAVKQYTKGDYFGELA LLNDAPRAASVISKTEVKVAKLGKDGFQRLLGPVESIMRRTKYDEGVEEIDPLHKK LY89DRAFT_685647 MGSFIFKWDHSADEVYVTGTFDNWEKTEKLVKTGDTFEKDVTLP SAAEKIYYKFVVDGVWTTDHTAPQENDASGNLNNVLTTERIKKHTPETAGIMAGVAPT STTADLAKDVPLEKAPLENTASSDLPGSFPETPAATEKGDFSVNPLPAADGAVNPIKL APGEKVPDPSIFTNNTVTSGVHDDPELVAAAKKAEGEQTFGVSPLPAFPGAVNPVTTA PGEKIPTSEKLTGNTINSSVTTDKESYEKSGGLGNAPVLPPVVTPTAERELNGTGVLD MPPAKNLIPESSLPMGAAGVGTFDASPTIQSSGPQSTTAQLAAAVPLESSKVPEVVKE SQQEAGFAPEASAVPAEVSEKSAVEKELLNEVPEAPATSEGTAGKGTDKSEKGVTAGE AAAAVGGAAVTAGGVAAAYASNAASSLPPSVTSKLPESIQNSIAATNAKADSQSTAKD TPEVVKESIAESGQSPEAAANENAVLEKKAVEKELLSEIKPETSTGESAPKITSTGTS GGLNAPASAAVAGQPIESRDVSPGTVPGSHTETQTAPTVTSGVSATTTTDKTSAAPAT PAKSTAATPSTPAKATPGSSKAGDSPASSATDKADKKKKRTSFFGKLKAKLSHKD LY89DRAFT_557355 ELQLSCSVKTLKRRCKEAGYYSCICYQKPYLTKTQANARWLWGI AHMFWTIWEWSQILYSDEVTFQVGGKKCKQRCIRNKKERCYGYKSPLINIYGTGKSGA FTQTDYLAQVLKPYIQDFLAAFAAVLGPGKTPQFMEDGNSAHGHKTTSNICATWRTSM GITLFPHPAVSPDMNPIEKCWRRIKQALHRRLRQPTTEVQMVVAVLEEWDKIPQEWIN GLI LY89DRAFT_93349 MSSLKHIMDVDVEPLESQAYRRREAAQQASHPTIAQTSESPSPP IDDSSKGKTPIKRRKSNRVSKPAGQSSAARQNIARRRSSAGGETMDFTSGYQAGGSNQ ASMSGSPQQSPRGSEPVADLPVKYTPVTGRLSRAKKGVPVHTCDICRPVKTFTRAEHL RRHQLSHQKPAYPCTFEDCERAFHRPDLLARHLHRHETQGEKAYKAGDPRSRASSSAS ESRTPSLKVEPPSLGPSGAGTQAPPEESMTPRTSGSDGSNLTASSYNTITGSFQAINF QGSAGHKRTAGQAQLPDADSYPVSSPGVGPSNRQPGGFGNQMSNQNTFGASGLDEPYV EGGMNYTTTPQLPLLRIPEDSWYPGLSYSNSPWCSSASSSTYSTQSDGSHTGPQLSYT RGRSLSAATLPDWPAPAAAPYWTHGMTSTPQDIRSPGGFVDSLLDTYETPFSSPRIST PVSRAHLLNIPTSVDGFYNMEPSSVGTPTLPTFSKPLAQHFPASITPRYSNNSGLEIL RGKKELVEPQQLTSFALNATPTFQPQPSFDEYLNTYWQCFHQSFPIIHQPTFDSTQSN LLTSAMAAIGTQYHDSPEARAKGAELNEFCKKGIELCPSWDLHTMQAILLTEIFTRFR GRKTTVRISRPFEALYVRLLSETDQGPISMPSQSPAVASSAEALLGRFGPQLDTRVPP APRPDDTSDWYQWLENESRQRLLSACFMFDVHQFMFHQQSRSRASRENIRSLICLPCP DSLWNATTPTEEWQTQRSEYIPQPLHLIEQELSSQPIADTTPFTQSLIICWFAAKLPN REDPRYPNNFVPGSIHPDLQTFMNIFPTSPHTLTYLALYNTPLYSLLAVAGDTWVFAQ KITPPSEFHDAQYRLKTWATSFAAAQATHHACQVLSQVLSQPVTLSSDGRIKSTLSIS DYWSLYVCALICWAYGHKYQNLGTAALSRSSSDGDVSNMNVDMISLSDETRLKALNYT NAILDMSVEDLWTNKSIANTKGDTCGVIDAVRHRLEIESVGNKSGHLVDAIVVLGKIK KGVRGKWF LY89DRAFT_685649 MYFCVLISSSSDNLRSEGGTETKERTEPNRTEPAQEPDEWTRGR ITGFLLPSLRFLTHRWKNGPTVSIYLLRGTGGTRPPEYLHRPKEGMSNASTRFDTLHD QNVTSVSDGLVARNPGVTRHGTPPSAL LY89DRAFT_670245 MCDVLYLSLSGAVLHARGGMIVGSSHPRKGTVQQSKRGNDQMEE ASILPEGLLAPDRSPWDSTTSLLSFYLPYPPSQCGHRAGDAIGGVTSGLDARKLGGLC TVSRNPQRREKPLSRHIRHIHHPTWPWIEMIACVRHGIEGIQVTDTMLESAATATAAA AAAAAAL LY89DRAFT_618237 MPSKPPLSNPKNASSSSSNSKPTSSAIGATLLIAQQFGSRALTF IVNQILLRYLSPSLLGISTQLEVYSITVLFFARESLRVAIQRQADIADASPRTEDEDE KSKIPKGHVDALTSAGRTQAIVNLSYISVFLGAVFAVVVAWLYSWSLAAGDPAVLATP YFPAALKLFGLAALGELLAEPCYVVVQHKSRFGVRARTEMIATVLRCLVTCGSAIWAA RTGRDIGVLPFALGQCTYAVSLLVVYYASVWSIASSNNFSLFLNKIYTSNPSSYLLSY FSLPLLKLTASFFTQSILKHLLTQGDTILISLLTTPTTQGIYALASAYGGLIARLLLQ PIEETTRNHIGKRLSSPSQPSVLEVRQTLLLLLRTYILISLLLVTIGPLLASPLLSLI AGSRWTSSGAGHVLAMYCYYIPLLAINGLTEAFVSSVATQRQLGAQTLWMFVFSFGFA LASFLFLGVLDWGAEGLVAANGLNMLVRIVWAWGFMGTYFRGFGTRLRVSELLPKPVS LAAAVGTWGVLVQVEKGFSGGVMDLVKGGVVAAGLVVVVAIAERAHFMECYREFRGQK A LY89DRAFT_782978 MALSFRRVIRLSLLAPHKFAGRPVVEYHPTLKRSLNTDNKSLNF RRNDQKGTHELPCRQIHDLHVHHKARWWETALEDRHLHLSANTLLQRSISDGCHSWDR VLQKLLSVVLMSVVAAKGMNICQMVRDLRWEDIELTLAAQNCGEVLTVQNLRARITLD DKYYPQEVILVNPMQSNGRNAVCLIKLLMIVALRFGHVHGTTLPEVLRHTADKSDKRL QWTRPKWPVVYRMPVATELPGETPAPRKQLVRHIGEIALIEGISKPLTTTSTENDALG RDHFTRERNGDELLHQDTVKKTPADRLCIDYSAQTSDAPGVHSKHCAASGIDTYTGQR VLDSPYGVHRNTASIYLKDKREKENTTFLPESPGKLERANNIGLSSGLQAVESNRRFV ATGTGQVLILLHAIGRFDGMAKAGISYVSPKSGRKNGVRVVYNLSKSSDCNETVGDIS RDTSSLPQKGRYLGMKTPPGSVHNNFFDVSYEIGHSNSNHFISNRKDDLNPIALDPDV FVTWFSKIDVRKNSKLRDRISKTYDRRTITETLRNKTRGSRDPPRPFQFCCGIGACQF RSRLIYHLDSHQVSCKGIDKSLQDVKKDFQCQRCPRAYTTWKRLQNHICKVHTFVPRQ CAECREESSIIYHNAYDFNYHRSKAHNDLDKPILCPLERILANNLRGSSRIVCSFSIT LY89DRAFT_647605 MAKRTLDDAELSNGGLSAQENTPASKKSRKESKKAKVEESANPN ITVEEADVDAEKAAKKEKKRLKKLRKLQEGEAEINGVGSESAKDESKPEEADAKAAKK AEKARLKALKKAKKEGKESSGDSTPQEPNALAVNGGSANGTISKSNSSASNSYVEDPE LTALPQTEIDSFLAEHFISITDPLSSSNQLRPMTKFSYLPITDPAHRAPFKNFKAPTP IQAAAWPHLFNGRDVIGVAETGSGKTMAFAVPCVRGILSLPESQRNKGARAVIVSPTR ELAMQSYEQIMQLAKVSGLRAVCVYGGVPKEEQRKSLKTADIVVATPGRLNDLINEGC ADLSKAKYVVLDEADRMLDKGFEEEIRKIINTTPSLGQRQTLMFTATWPESVRSLAAT FMTDPVRINIGDNPEGDLRANTRIVQKVEVVDPRNKEFRLLQLLKQYQSGAQKDDRIL VFALYKKEATRVEGFIRSKGYRVAGIHGDLSQEQRTRSLESFKQGKTPILVATDVAAR GLDIPAVKLVINCTFPLTVEDYVHRIGRTGRAGKEGLAITLFTEHDKAQSGALINVLK AANQDVPADLLKFGTTVKRKEHEAYGAFAKDVDMTKKAKKITFD LY89DRAFT_685654 MYRRALLNVPRVAGRALSASTRPATRPALAQLSPSVAVAGRRQY HEKVLDHYSRPRNVGSMSKTDTDVGTGLVGAPACGDVMKLQIRVDPTNNTISDVKFKT FGCGSAIASSSYLTELVRGMTLEEAGRVRNTEIAKELCLPPVKLHCSMLAEDAIKSAI SNYYTKNPKARSTDLGGTGSSMPKIDVETVMEPTAAQTATA LY89DRAFT_647611 MACKHVNAPELHPPGPSQSVYREDCTQCFDSIDDLTGLDVCLCC FNGGCTGPRQHSALHYVLRSHPLVLNIRRTRKQVVRDEPPQKISKLAIAAETEADRYD YETTVKCYDCNIDNVDKSSGKLSAVVDGVMKANTFSRKEEVKAWELEMTSCEHILLLQ QEPARKIESQLLGHCSLCDLQENLWLCLHCGNLGCGRAQFGGIQGHGHGLEHKNTTGH AVAVKLGSISPEGTADVFCYACDEERVDENIGAHLANWGIILADRQKTEKSLTEMQVD QNLNWDFTMTDESGREYEKLYGEGLTGLKNLGNSCYLASTLQCLFSLPQFKKRYYLPE SDLPTVDDPAQDLETQMRKVADGLLSGRYSKPDPDAHSPEGSSEIPYQRGLAPAMTKY LIGRGHEEFSTMRQQDAFEFLLHVFKLVTRSKHEAPFQDPTQAFRFVMEQRLQCLNCK KVRYRTDEQDNISIPVPIKKISQLADVLEADKKDEYEPVTLKACLDGFTSTEIVELTC ASCGSKDGFSKRSLFKTFPEVLAVNARRFNIINWVPTKVDVPVVVDDEPFLLDEYKSP GQQPSEELLPEDASDNKPSFVANENAVQQLEGMGFPRNRAEKALHATGNSDANAAMEW LFSHMEDPDIDAPLDLGGGSTAASGSADPEKIETLGAMGFGPPQARKALKETGGDMER AVEWLFSHPDDQGEFEDEAPAVAEGAPKYVPGSSDLPAKFQLQSIICHKGASIHAGHY VAFIRKLLEGESSPSWVLYNDEKVVKANDVEEMKKFAYVYFFQRQ LY89DRAFT_587366 MAPQLDGYFKQVDTLSDHFIERLRKAVAIPSISADEERRPDVVR MGQFLADELTALGAEVEQRPLGKQPGKEHLELPPVVVARYGNDKKKRTILVYGHYDVQ PAGKEDGWATEPFELTVDSKGRMYGRGSTDDKGPVLGWLNAIEAHQKAGVDFPVNLLM CFEGMEEYGSEGLDDFINAEAKKYFVDADAVCISDNYWLGTEKPCLTYGLRGCSYYSV EISGPGQDLHSGVFGGTAQEPMTDLMRVLASLVDTDGKIQIPGLAELVAPLTDDEKSL YGDIAFTMDNLYESLGSQTSIYPDKEKTLMARWRYPSLSVHGVEGAFSQPGAKTVIPA KVIGKFSIRTVPNMEPEDVDKLVYKYVGEQFKKLNSKNKMTCTLQHSGKWWVASPKHW NFTAAAKATERVWGVKPDLTREGGSIPVTLTFEQATGKNVLLLPMGSSTDAAHSINEK LDKKNYIEGIKLLGAYLHYVAEEPMTN LY89DRAFT_563087 EDGDPSHSIRKRGLAQQLKENNWIVNLKHPAQSPDLNPIEAIWN IIKQRLRHRIFQSEEEIKEALQEEWSKVTMTEVRKRISQMPRRCARLI LY89DRAFT_749703 MEDFWSYIFFTNKAHIDPSAQQAPGILRELRTRYDDENIVERGE RKGVKFYVAVWVTWFDKAEKLEFYNNEEEHEEQPPMPTKPRRRPTTKTPEEYQARLTD LY89DRAFT_93517 MAPTTRRTSRITPPPGPSSGHEADTIKKTRFFTAYDKEISFKSL RQIAKDESTTESTARRWLKQCENMGILAYRYTRGRSGKLGKPSKVTKAMCKKLVDPAR NLVRN LY89DRAFT_719430 MSGNQGETSSKKAWTTEENYTFVLKLLAQVTSDKAPKISDIQMP GRSSRALGHQWAKVKSEMAALGEGAEGPAKAAGKKNKSDDDDEDAPAPKKFRKGTGKK ALTEIAEE LY89DRAFT_749708 MSQRISCQMCGGRGCRLCQKSTSNYLPSNLDKSHPHSKTGQRSS SKQSSSRPSSSRTFGSTSDRRTAHTASSGQSQEKWEAAAASGASQKSSFTTAYDIKMA SRQIHMNKLKGKEREEQEQWAQSKLQSGDLAPCPAGFRWLRSASDEGYRCAARNHFIP DELLAEGKGRCYMRILTTPFLKPPTFPGAEKRQFDGEWWIGPHLFPRITYHPLPRTLG NMPLNNPFMNQTR LY89DRAFT_782985 MLASKPLELINPILSLGIVLQKGAEERQHDNTTCPTRSFESPPP VVVARRTRETEARRLKKPAKSAFRQTSGPKGPRRVNWETEGFLYNDVRHFFVDNTPES VARIGYGFRKESREDGVFLAPTRVGAYGPSGPSDWCPRRGWDTSLCGPVASRVEGGRN QGLEIMRGTSGGALGGERCTCAPSVPPDYGGGLCGGFPWLDGVGGGGGLVLVCSGLCR RILVLLPRRLPGSFVSSTSSASIFFCHFFAVHGQGRLPLAPEKA LY89DRAFT_670257 MVKGKRGHDEVDTYEADDFVENDDGSALKKKTKKAAANNSAKKA IKEKSWELSSGKNPKRVGVSDFKNMTLIRIGETYEKDGEYLPGKKGISLTVDQYKALL EALPSVTAHLESQSIQIGPSAVDEDDEMVEETKPQKKIKAKKEKPKAKSNIEATSDEE EEEAEEED LY89DRAFT_685660 MNGTLGDKEHNGHVVANDAESTPESKSATTIANDEDLTTRALRF LSTATPEAIGGIAVGLAACTYLVLGRVGLVLIGALGGVVLHATWEGQSSVLIEEFRKE KGLDIVKRLLDLRDSQEKDEDEEEESAAVSNSFDGFQPETGAALNELTDAVIRDYVKW WYGPILPKDQSFPAAARQTFVKLLLSISSHLSRKRPADTFLDFLTNSSSIVIVFLNEL SSAFSASQGSNVPPAEAVYTYLSANPESNLANVLNQRQQAKKFKMVAEDILQNFLEKS AYECDPARVFLREVLAGLVLEMALQTCSKPEWLNGWIVYLLEEGEPDFSQAIDAGVGG GNELLSSPFNDFDGNVGNVGLARAPKNQVEKQKQESKKHKKRLSKAEEAMEEAMEEAK RLSQLIADEDAKKIKVPETPLDGAPQALAEAVQQNADSLHLNTNPLTRSQPAIIPTSN QTSATRDNGITDTTPLSEVTNGKAITGNAFSEPKSATSQHRPMSKASDSSFSGHSSAS PTSTRGSKAFTSFDQIIPQSVPVALQEDSPRRKPTPLTLHNANVTILDDSTGTEKGRL RSKPNAELLIQVEPASSEHPGWMTIRKYPDFEPLHEILRRIAQIAGVTAFTEQHPVLP NWKEHTKSSLRGELERYLRDACWYKELAESDAMKRFLEKDQGNSMGGKNGFPGIGWPT PSAFESMGKGMLDVLASAPKGVAQGGKAIGGGITGVFNNIGNLGQKTNGSSTNMGMHT PNRSSTSTLPRMDSMNSISTPRASRISEDSLRATPVVSTQPTTLPPMERRPSYNSIAE EEGEREPRTATSTRSSMSGRRSTTQSREPSRAPSRKSTPLSSPIQGTIGEMKLPPPPS EMAEDYGSQTDVSTAQSRSESILPPSRTSTSTAPSQHSPARPSMSSSRRPSIPITNLP QAAALPRRPRKEATPLSEAETRVAVELLFAVINEMYTLSSAWNIRRTLLTAAKTFLLR PGNPSLSSIQTLIQDSVIAANTTDTGIATHLRKLRENTLPTEEELKGWPAEMSNEEKE RLRIKARKLLVERGVPAALTGVMGQAATGEAMGRIFDCLQVEEVARGLMFGLLLQGLR AVTQ LY89DRAFT_734863 MEQPAPRHQSGRISSLSSKMKQSVLRPQCLHFSNILMALDICLM FYALVCKYQATTNERPRLFKYVIRFFNFLYTSRSESWMEKLLVLLACTLILGAWYISK REAMEREQRRLARERKRRWEEERKDIETRLKRRKDVKKLAREFVELVRLNTYARLERE EAELERRRNIRDLVESDGRGYVRILKSVLRFFWRMRDSKRDGAELTIMHWEQFLERQR AILEDMRDEKAHGRMVYTETQRLLREADAEDRRAFWAERLEKLGLLLADAERWILEQE RRERERRGEVLRGIPPELLFPRRNIHRPFLDNIQAHIDSEIEKEEWQLYLATRFPEVL LGCVPGWTLWVDFFRYYFPNWT LY89DRAFT_93730 MDDKTFTPISAPANETADPVDATEPSSKAPKPTRNSSTSSLPAT RKLQPKQSTSGANSPAASREASPNRPGLKSSASGRSTNGPGRSRKNSSQDVSPARSVT SSTNPPSAAATQRALSAASIPTLHPTTSDSTIKTPVPQKPSTNPEIRETPRWPISPRL RVSSIPQVAVGPIGGGGNNGSIRTKPSTETIRPKKEKKKTARKAPSVTSNTGEQQRSS RSKQHHHHSIRENVSETSSIYSPEMEYGQGPFSSYGSFATSPERPSASRKPSLNFPAV SAMLIRSRPASSKADIFEAKVASAVDEANSSDSEETFVYESNPPEVNDRPRRFHSRTP SATSMASQADQRNGLRSILDGHHSVAMKKSMKFANSYNSNAPEANAGDDDGKGTARSN VGTGRGTTHHHHIGGRWSRNNGGNGHPSLFDTESPFPNAAKSKMAGNNPRHSSRPTSP RVANMRMAGNGRKSSPMSSGYDLDDGADDERTPLMNTVRSNRSVRGRRQNISRHHLER QSSPHDKSFLARCAGCLVLTVMIIMVISGALVFIFATTQPLTDVKVMALKNVLASEQD IIFDMQVRARNPNLVVVSVDYADLFIFAKSKYAGTDTEWWRKPNPGEKILRRGLRKRD DDPLDPPVGDDPGSSPNLEIGHIHMLDSPLTFEGSPFRNQTSTSLGQIRIDHPGNHTV PAGSVRWGRVLQHEFELIVRGTLKYSLPLGQKTRSISVEGRVTVEPNAADQDPDDAVH II LY89DRAFT_782989 MSEPPDLFQQYSTTTLSPPPTTTLLLLHPLFSPSPSSFSSTHTP PTPPNPSISLAPLSKAWRLSLLNHPPIPHLIFDISLPNSTTSVYWDTAPAPTPDAVAV NLREVINIAVTIATETRMRLAKKEEEEGEVRFEVVCGVGDGVSGRGVRLLERWLGGLS RGGEGGEVGDV LY89DRAFT_93794 MPGDSGSVVLNSDTRQVYGHIVVGSTVSRTAYIIPASNILNDLT RREEIITRNVVELATSTPQTEDSKEEATPNTSELQLPPDSIDLPPASSYVSQGLGYPD DTSLCTYDPSLCSEVESLFFSDDDSIASSNSSVGGFFSSAISEIVDLFLHSELQELYP AAISKLGPEKFQRNFRRLLLRYGRQLLQEASYNLEREVAVLVRRSATQITVQIRESIA QNENTLKGSSNVAGLNEWLKTVKSLEDEKDDDDLSGSSGSEDWEPDNASLSTFEGVKS FMVTGRAFSDLCETFQMWLKVGEDRKEIAPNITSENTHDDPPPTPSQAPQAPPKDSDD RFICTYPACDKNLTFKRFSDWQTHMDQHTRPYQCKVPGCKRNAGFATKGVLNRHIRIV HGGVVSASSSVLPDGSQARDIASNVSENIEASDYIAGHGTSDVPSALMNYETKTLQTY NKPKHTPPVSDDEDNAIDQPERPDKGKQPRDVSKSMFSKAVHFDTTLEQVRHLLQIDR PLAVEEAGSSPFEGFESDGDFPFPDPFPDDEFLWEIVVSNFPDETPERLQLPVRVQRV GRGSDAKNLVGSVVVANLGYDKHVVARFTLDYWKTTSEVVAEYNTDVRLSKYNEHDRF KFKIKVADQYNLEAKTMFFCVKYNVNGQEYWDNNNGNNFQVDFRKKRKPQSKEPQDSK SECGGLSPKVEKSRVFCKSGIGWRSRKASN LY89DRAFT_564138 YFQYYTEQCRIAFHVHGRKISANTHQQVLDIVSSFQDGSCRKTI LRNLVGNYNTGGTTESEDTFESSIDLAVRLALMLDVGELRNAFSGRRRLIWSEGSIQD FVRDIFSENVTLSHEGIKLGTSFTARNLDRVTGFRVELTTNLADHLRLRYEDRTVSIF HHASFLKCQKKNSLFPAEFVEETLRTLALLFAQGDRDTQKWYYKQDDPEELDPGVLRC GHLRDDYRQIEKYMFWHDRLVILKEAFDGSQPKNVSQLWNDRREGNQWYALWVAIGIT LFFGLVQSIEGLLQVYKAYYPS LY89DRAFT_719436 MSSINFKSLERIDVHCHAITPKYREYLIQTGHENPDGMPSIPEW TPEQHISLMKETNITTSILSISTPGTYLQPFNSSLTKEITRTTNIELSEICAAHPSHF RFFASLPLPSVPDSIAEIDYAFDTLGAIGFCVLSNANGVYMGDPALDPIFDKLNERKA ILHMHPTSCKILSHAHSHTTASDSEPEMQMTVVNPLRIPSGLLEYMFDETRAVVNLLL SGTITRCPEAKFIMSHAGCVLPYILERVAVAMQTFFGGGVDSYEMRRLLRERFYFDLA GLPWPDMIHALLRIVGPDRLLYGSDYCWTPTGLVKTLIEKMDEGAADIWDEDTIKEVY AGNAKKLFGL LY89DRAFT_587047 MSYSNSGGGTLTLPSPTHPHHVDATAIARTIRRSLSRSPSKFRL VTKSPSSSPKSPLSPSPPKRHSSQPALSVSTPANAPHTPSPLAVPFPPSAKLALRSST RTSKSAPPRPSSRTRTSPKSPMKRALSQTSDTGNSFPNSSQGSAGGQENSRSPVERKS FERVGKMETSGPLNYALSRLGGDGACDTPTGSSTSSPLKRSDAIMNLDQASLGSPVAK RRSLHGSASFGQDFNVFDHGPAPSSNFDIHDDSNHEYELSTASITSDNPLAFTSMPRR SSSLRKSTLQQRHGEKTSWGRRAAAQALAAQQLANSVPEVSTPVQTKNRPRLSLDQFM PPMPRDSPFSSQASLPNPSAHVMNPPAHQPHPLSRTMTTSSSSGSIADDSPTHVPVHF GEKTRPKIDFSKSMPVGALRPFAADLYSRDEETFATPQNYKNVKPHPAAFASTGLISK MNKNPEEPRVTRGKAVPDTPCKKQTNVFATYPAAPMPGSALVKARHVRHSFGTPSTPF NLHGNTPAQGTFGNGSSVFGGAFPGRGLTRRGSFLSIDGDELGGSPDAKGDSQSNGEY DLPPTPTKQALVQQQYSGSPSHHRSFPPSVSAVGLGLTKKAQRTSSKLNPFSNSSPIA ETEEHDGSPDPYESPTVAHVRNQKSTDPAVPFSRLRNQPSPLERVDFFERLSPHTPQD NMVPPDPSGLTISNPRDGQAAKGASMPPPATPTAGRDQFSKIADRRLSTTPISNFAAA DVDDALLSRFERVELVGSGEFSQVYRVTKIIPTTNPNQLFFPSSTTTPQSQSPASTLE RVFAVKKTLQPYAGIRDRQRKLQEVNVLKALGKSDYVVSFLDNWEANNHLYIQTEYCE EGSLDLFLERGLQHIHDSGFIHLDLKPANILITFEGVLKIADFGLACTWPAPPNHDGE GDREYIAPEILKGILDKPADIFSFGMIMVEIAGNVALPENGPSWAKLRSGDPSDAPSL TWISESVLPRDATGMVIDEGDSMDTTFSDDFDVSFGSPTLSSRRRNAGSKTHSHDPAN LFGTLRRGELHKAPAFMKNSQHEWSMDNLVRAMLQPVPGMRPNVGQILAAGGLQWVEP RRRAGATVFEGDWGPADELLADDAEMMDV LY89DRAFT_647637 MSSPSTADGPASPSSRRFPGVSKRSRLRNGTFIIPGTGERVRRQ ITLRGASSFDGPSRSFSSDSESPILPLRRTYSQAGTFRTALDNTYDALREIWDWLRTP TARDILKCSLAYLLGSMGTFLPPLANFLGHQDGKHMVATITVYFHPARSAGSMEEAAL LGFGAFLYATFIGISSMATSTFFETQLHLIELGYALVLIIFCGGGLGFVGWFKQRFNA PLVSVACSLASLAIITIITKENAVQVGVFENDKIVQVMKMVIIGIAATSAVSLLVWPA CARTQLRETMIKTTDSFGDMLTMITRSFLSGSETDLRSTSFNNAQSKYRSVFTQLTKN LKEAKFEHYLLGREQEYRVEANLVHCMQRLAQSIGGLRSAATTQFSLLREGAATGNAT PMTGLRMFPQQVLPTNSMRQDRFAVLTAIEEASEEGSGAEDQQADQDLPRPPKREGTD MSLGSTTMPTVRTPSEIFSRFIMHLGPSMKSLAYTLSQILQELPFSEGPDYEIMINDH FKTSLTEALQLYSEARADALKQLYKSKELDRERPESIEADFEEVAASCGHFSFSLQTF ANEMQNFLSILEELKEATENRDQRSWSWLRFWQKSKAQMTQRPNDDPEQEALVDQNQE VEVPKDLPDLVLERREIRNLQQPDYDPLDNLYRKVFKVIRFLERDDLRFACKVGIGAS LYALFAFIPWTRPFYQHWRGEWGLLSYMLVCAMTIGASNTTGWSRFIGTVIGAVIAII VWAICQGNPFALAFCGWLVSLPCFYIIIAKGNGPFGRFIMLTYNLSCLYAYSLSVKDN SENDDDEGGVTPIIGEIALHRVVAVLAGVLWGLIITRVIWPISARHKFKDGLSLLLLR MGLIWKRDPLATLLEGESQNEYMNLREEFALQRYVLRLDNLRSAATHEFELRGPFPAK QFGRIMDSTNKMLDSFHAMNVVVQKNLNATEGETALLKCTAEERAQLCARISHLFQVL ASSLKLEYPLSDTVPSTSNPRDRLLAKIFQYRKDAAVAAGLDDDDDTVVAKDEDYEML YAYILVTGQLSEEIGKVERIVEELYGVMDEDMLKLQ LY89DRAFT_782995 MSIAINKIFAATPSTERGRPTQLSADSKGERIAYAARSPNSGKS IFVRSIDDPSISKQYTGHTAQTSVARFSPSGFYVASGDVSGAVKVWDSVEAVNTKGDY HIISGRINDLAWDGDSQRIIAVGDGRERFGHCITADSGNSVGEISGHSSIINSVSIRQ QRPLRAATGADDNSMVFLHGAPFKFNSKVAGIHKGYVNGVAFSPDGNQLVTVGADRRI QLYDGKTGEATKQIGEGEHTGSIFAVSWAQDSKRFATCSADQTVKLWDVEAGKALQTW RFGGEGNVSIPDHQVGVVWPAGRSDGMVISLNLAGDLSYLVEGSQKPTKIVQGHNKSI TALGSSHESKYQTLWTGSFDGRVCAWDVATGIGSVVEGECHKNQVTAFQTTSERAYSV GWDDTLRIADVSQKTFLGDTVKLSAQPKGLASAEGRLFAATVSGIDIFVKDDLVGTLP TKDFTPTAIAAHGSLVAVGTDSNVVQIYTVDSSHKLSPKETLTKSTAQITALAFSPNG SLLAVGNSSGKIVAYETSSWEVKTDRWSAHTSRVTSLAWNEDSTLAVSGSLDTNAHVW SLKSPGKRIKAPNAHKDGVNGVAFLDGGKKIASTGADITLKIWDVSDIE LY89DRAFT_685672 MPDIEPSLLSRPSISTTPILPPKTISASAPSAPKVSKSYNVPAR IDLEPLYTALKSAIGEHWATYKESISLFVMGQLNQVELSARIDPFLVTPSGELEHLHN QLISAIYGNVTREMPDAGVASWVSANDKPTTGAGSKPVSGDAAEQRLKTEVMQLPSRD RRRLKDLSQNDFDPQDPFATLWGERRQQIKTKLADAVPASAGGLNKTNWDLEIRKRYI QSLASESGEFPDTSTIETRMLPICYETGLVSGHAPDAAHFMSVATETFVKEVLSSIFS KTRSNGPGTSGSAGTGGGASWVQTHKYRTQLEREEESSLRGEVQRDKSGLLPIEAKAA SERGPLGMADVRTALELGDCGLGQMPMMVQQIMLGYREGEVEAWDDYSYPDSHVRIVG EDADGDIEMGGVNGTNGINGHTYDRDNEDWGWEGCEGEDKSTLDSLLDSCLAI LY89DRAFT_647645 MSSRSQRVNNGINSLVRRLMVEIPGEDPAAAEERENDALDFVRE SLENSTAPAVVADVNQASDLIKKRLIQTNPSPDKALRFTHLYSRLLSIPVITQKWAVL YFLYQLSDQQQRTPPILTSPFKSPVKNVRGRRNIEDVIPGSPSYGSRRKEETPADSFA PEGLKRLPEREGGQRDRKDEEQAFAKSVAAQRENVALKTALLSENYVEIDPPETALLR DLPFTLQGLSSSHLPFSSESTLKLPSTLPVPIVSILHTLAEPSLLYRGLATFTQSPGG GLLGQSLRAAIGGELRSYLGLVATLEGQIRRALASLDETEPRGGIGKAGVTLKRCVVW TREATMGLRLMSLISEESKSKKGGQLISLIHGFSSSHGDPMVGAFAERLLVHVTRPFY DMLRQWIYDGELLDPYHEFFVSEQDPLAINDTTDGRHRGVASSVWEDKYKLNEDMVPS IITQDFAQKVFLIGKSLNFIRYGCGDSQWVEEYSKAASKELRYGDTATLETWIDEAYK TTMARLIHLMSEKFHLFEHLKALRNYILLGQGDFIALLMESLSSNLDRPAGAQYRHTL TAQLEHAIRGSNAQYDSPEVLRRLDARMLQLSHGDIGWDCFTLEYKIDAPVDVVVTEW GNRQYLKVFNFLWRIKRVEFALASTWRKCMTGSRGVLQGEDENVTKAWKLTRGVLAEM IHFIGQLQFYILFEVIQTSWEELQTAIKKEGCTLDDIIKAHTKFLNAITHKGLLGAKK KKETDENTFMVQLGDILRLMLSYRDAVDGLYSWAVSDFTKRQGADVRSDSQGRRDDDD GLSINTPATTRRGPRHLPDSTPALGSDESIVDEFPVLQERLQALGKHFKSKVCVLLGD LLLQHDQDMRFLGMTMNFNDVYVPSTKKKAPVRQSAREQSKSSAKA LY89DRAFT_782998 MGKLKSNKRNSAASASTPYSKAEKATNNVFKFNTNVGQHILKNP GVAEAIVQKANLKPSDVVLEVGPGTGNLTVRILEKAKKVIAVELDPRMAAEVTKRVQG KPEQKRLEVLLGDVIKTELPPFDVCISNTPYQISSPLVFKLLALPNPPRTSILMFQRE FAMRLTARPGDALYCRLSVNAQFWAKITHIMKVGKNNFKPPPQVESSVVRIEPKMGTK RPGVSWDEWDGMLRICFVRKNRTMRASWLGTKEVLAMLERNYRVWCAMNNVAVDDTII GEEDEMEVEDATGPPEEDEWGGIMDVDEEADETPAFFKEEAERIAKENGGKTKSKKKK TRVAELVREKIRKVLEDKTELADKRAGKCDENDFLKLLYAFNEEGIHFA LY89DRAFT_782999 MSRPNQGEKTRAAPIFKAPKTPAPLNPIPSSSPAFGTPAYPIKP FNPVAVPAKASILPVILPPATLRPLAFRTFTKKHSLTLTSSALQVLATFIGRHCGTEW REEGLAERVLEEVAKSWKNRNGGVIVDGEGNDLKEILKNLEGSMAGGRIVASRELSRQ NSLVLGSSQNGEVSHTRLGLRPSALGREDSQSSLGMSTLDVEDDEEDEGLRDPRKWLK VIDAFEQPRLVYNVGKKHFDRDTSKPSLLPSASHKTQVFRNRYNLIHQRLLRNESFQT STFETSKATLKRSSSTLATTQQSYKLTPIANLLGRNGTNHMILGLLTISPTGTLAIND LTGSIALDLTHAKPIPEDGAWFAPGMIVLVDGTYEEEDSVGGALGGSGGVGGTIGGKF VGFFVGGPPCERRKVTLGLTGDGDGGVTAGGGFGWVDFLGVGSERAVGSKMRKLEQRL LRPATDEDDIGGKGRVIVAGELNLDQPRTLEALRKLLGKYAAEPEEDTPMTFILMGNF VQDAVMARGSAGSIEYKEYFDGLASTLSDYPTLLQSATFIFVPGDNDGWSSSFSAGAA TPIPKKSVPEMFTSRIKRAFATANAEAEKEKGKKSDGEALWTSNPSRLSLFGPTHEII LLRDNMTSRLRRTAIKFSSSKPEEEDVDMAGTPVATPEPEPEPEFEAPEMDVDTAVQA AESHIPDPATEDALATDLHVARKLVKTILDQGYLSPFAMATRPVLWDYASALQVYPLP TAMVLTDTEAPAFCVTYEGCHVMNPGSIVAPGRRGVAKWVEYDIRKKVGKVREALF LY89DRAFT_685675 MAEPVEPPTTLSEQSSAAMEAFNSVKVSLADLCAQGTAKYARKQ YEEAADLYARASELQAELNGEMSPENAEVLFLYGRSLFRVGQSKSDVLGGRAGGEKKK ANGTTKSKTTDARKEEPKTESEKIAEEGVAIIAGQNGDAAATDEGGKRPLFQFTGDEN FEDDSDDEDQAEGAEGEEEEDEDDLAAAFEMLDLARVLYSKKMEGPEAVEGKGKSTED TSMMKHIKERLGETHDLLAEISLENERFPSAVEDFRSALGYKNDLYPEESEIIAEAHF KLSLALEFASITRTKEDAEGKDDTTEEEAHVDQGLRDEAIKELELAIKSTKLKLDSKE VELATSHSPDDNDITRAQIVDVKEIVADMENRLAELKGPAIDTKELLFGPAGAASVNP LGGILGATLGESPAEQAARIEEAKKTATDLTGMVRKKEKKPVDAATPEPAASTNGTNG KRKAEDDAEESDSKKAKIDASGHDTKQATVEDAIAE LY89DRAFT_618292 MKQARDTGLVVGASYSHADMLKFDNLSISSSSGRPRTPPFSSSQ KNRSDTPPVHRGSSGLASPPRRTYTEFLSTTNNDWTAEDEGDDDDEYAYDNDDGDDFG LPSISSMKRKTKKVPEGQTFDSGGNLSPFGHGLQGGFGGFGPRRLSNSADIAIERPTP SYPVAKKSEGKILRPQYKEVLRDPANSLHLINHPPIPPNASSKEIDAHSARITRINKF KKILQATSVNLTDLRALAWSGIPEEVRAMTWQLLLGYLPTSSERRVGTLERKRKEYLD GVRQAFERSASSTAPAGKMRGLDEAIWHQISIDVPRTNPHLELYGYEATQRSLERILY VWAVRHPASGYVQGINDLVTPFWQVFLASYITDSDVESGMDPGQLPKPVLDAVEADSF WCLTKLLDGIQDNYIFAQPGILRQVAALHDLTARIDEPLAKHLEQEGVEFIQFSFRWM NCLLMREISVMNTIRMWDTYMAEEQGFSEFHLYVCVAFLVKWSDKLRKMDFQEVMMFL QALPTRDWTEKDIELLLSEAFIWQSLFKGSSSHLKGGSSTTPSNAAS LY89DRAFT_670274 MADNDNFEEDLFADLYTDDVAPKAAAPEPEVKPEVTEAAEVKVE ESHEHGAENGDGDEQMYNGEQDMEDEIDFNLGNGNSYDTPGSHEAHGPVSTRQIASQK TAQILENGVGELGWEALASHPFSVEIDVRDGKGANGNLTRGGKRSAGRNSFDYAEKFC TTSNEAKSSEELDCGGQTKDTKMFIGGLNWETTDQSLKEYFSQFGEVLECTVMRDGAS GRSRGFGFLTFKDARTVNIVMVKEHYLDGKIVRPDFSTKDNSLRRNPSTLLSPIKHSS SCFTKIDPKRAIPRDEQERTSKIFVGGVSQEATEQDFKEYFMQFGRVVDATLMMDKDT GRPRGFGFVTFDSEAAVDQCLAQPLEILGKPIEVKKAQPRGNMREEEEPRNIRGGGRF RKGNENESQNANQVQQGGGNQMAPGGMTPQLMAQYWQRMQQYFAMMQQNMAMGGGRGM AMGGMNPQMMMQMQQMQQMQQQMSQGNRSQSPQSPGAAMGGMQGMNPAMLAQMQQMQQ MQMQGMGGGAGAMGGAGFGGANAASNPGGRMGTPGYNAYEQQVFEQQKYEQQQMRRAQ RDQSQYSQGGGGGYGMGGGGPTSWEGMYDDVPQPAVPASNSGGSRGGRGGSRGSQPPQ TPASAPPANAPTGPKNAGKPGANYRGGGRGQSRGFHPYAR LY89DRAFT_783003 MAPSRTSPEPSLAKSEQGLTKEQLTTFNRDGYLILPDALSPETV SALLKETHSLLDNFSLDDHPMTKFSTGESSEHVGDDYFLTSGNKIRFFFEEDAFDASG NLTKPKALAINKIGHYLHALSPPFAALLSPQSTHSPSAIAHSLGFRTPQCLQSMIICK QPEIGGAVPPHQDSTFLYTDPPSAVGFWYALEDATVENGCLSFLPGSHKMSEVEKRFV RKAGGGGTEFIENEGRRFPLGKEFGKVEGEKEGEYVLGEVKAGSLVLIHGNLLHKSEK NTSQKGRIIYTFHCIEGDGAVYDERNWLQPPEGGFTKL LY89DRAFT_618302 MTRVIVYSTALVAFMAATAMTFASIFVPDWITWSVETQDGGHFT KTVGLHRSCSSTNNQCQHFPQYEDCHGTDRYFCSMWRSVGFMMSFAAVLELATLVAYV VVLAGGKQKRENGWKVLTFLLVLVGALQCASMAIVAYLFDNDDRFFVGWKLDKSWILC TVSWSIAIISAALISLSAFVFPAEDGYELIPSERYYGN LY89DRAFT_697808 MPSATGPNASAHVPAWKRLGLKLKSAQEPEQPAGDAIIEPDTSK RKRLATTTDNAPIKKSKRSADLSVQVGSEVAPVTPQLTRKKSVTFTPETKAEDGDSIK QLFNSWVAEQKSADPSFQFKNSSPAFKTPDTPRVEEDVDPNLDEKERRVKRVKKPKAE KAEKSKQPKKPAKITKPAAHFSRPFLQYLKEYCESRSTWKFNKNHQNHLLRHAFDADV VPSDHALYLFEYVRGLQGGVRTRLRDTALGIKVKDQEDGIAGFPSTMADTEKRQRDYD VAMKEYVATMTAAQTPASMGYEEGVELGLSDKAMAGRVAKRMRAERILAELASSPGKD ENGAVLVSHEDKSKRLRLDDGSVLKVARKRKQRTITDVDSSSSESESDDESEDDEVEG AEGAADTSSSSSSSSSESESGEGDSEGDSEDGSEEDGSEESTLARRPTFSIPPTSGHQ ITSHSSQPNKTTICTSHNPMLGHA LY89DRAFT_685677 MSIGVRGKDCAVVLSQKKVPDKLIDPSSVSHIFQLSPSVGCVMT GSIADARASVQRAIGEAAEFRYKYGYEMPCDALAKRIANISQVYTQRAYMRPYGVATT LISLDSEYGPQLYKCDPAGYYTGYKGTASGPKQQEAFNHLEKKLKNKDCAEGSWEDVV ELAITTLSTVLSVDFKKGELEIGIVGGPRKDGKEGTDPGFRALTEEEIDERLQAIAEK D LY89DRAFT_685678 MADGLNDQRTARVADLLSDFRNLQLYIAAVAVDPEDQDDYYTEG WAALRQCSIDGQHILNCAAETRVPRVRGGPEEQMKAELQQVMLDAYSRRHEAQKIYLR QEAAQRWIGWRDQVLQGQRPHAGHTAQLAACDAQLRVELAAITDDSIYSDMRSSDYQM GRWTLEDPSLRHVQRWLRARR LY89DRAFT_685679 MDTPSAPEPQPSAIRYILGFLLIGIAWGFTTPFIRAAARTHSPP PHPILDSPSVKKSWLKSKVYGAFFGVVDLLRNPRYAIPLVINLTGSVWFFLLIGKAEL SLTVPITNSLAFLFTVLGDWWVDRKVISRDTWIGMALSLGGIALCVQSKNK LY89DRAFT_783009 MKSSHLQLSSLLFSVFASAQNSRICQDYMIPVNITSQVLVASYP HFQDSYDVVDFINNLARRDANATKSPFSGVESATAAYNIGATFCSPGTNTNTSQERTI LVATHGLAYDRRYWDSGMDPTNYSFVDFAISRGYSVFFYDRLGTGLSSKVSGYNESQA TTQLGILQQLTGFLRDGKYTGNFGTPSKIVHVGHSFGSLLSNGLIATTPEISDGAILT GLAYDQNASPFLEAFALRIASEQFPGKWSDRDNHYVTSVDAAANAATFFHGDSFSKEV LWYTESITQPVASIELLTSGAIRLNATTFTKPVMIISGEFDFAVCGGNCVGILEHPAK EVNFPNATDFQAIVHPSTGHNINFSYNATGAYDVILSYLSKHGL LY89DRAFT_749736 MSSTTSPSVQSRIDASGLPETPCNGFDSHISAPAEDESQAEDVP RASPATLSTTQIVVHNGATIQVSAELFNSLLMSMENMKKEMSLMKTSNQIQSQEINLV RKDITTVKSQNQELQSKIAFVEQDLRALAKNADVGFYLFSKLPTEVRQMIWTFALEFP RVIALEATLREDDERGEDYVILTPTAKTRPLDPVPLRPVYGKWFFVVEYKKQEPYVLA CHPETDILWLVNPDYNTNFFKDDCLLFKVIDALSAGNFPARPISIALPYEHWVRQEDS ICGIESLMTRLIWLGTQRLILVVDGEEHAQAADVIFVEPRKQPKELLSDKFFQWRRIT SECGDYEWPELISKVSWDMLGREACDFISYEARVYEQRYREQLSQEEIGELEKDGILA WTVTSIRFMEATTLTRLREARPPEDEQQRGHMLKQKTTPRQYDEARSGEKNLYPWNPS RNRREVQDEPKAEFRGDALEQYMRDDEEQ LY89DRAFT_685682 MVKIAIAGGSGHIASEVIDVLAATKKHEIMILSRKEPATAETRT GVSWVKTDYSEEKQLTKILEGVHTVLSFTVAHLDPSNTVQKMLVTASIAAGVKRFAPS EWSSASLEELPWYAPKGEMREYLANINKDKKVLEYTLFQPGMLTDYVAPEGTSKHLVP PELWMDFPNRRAITLDGKEGFVTLTTMKDVANIVARAIEYTGEWPVVGGIRGTDVSMS KLLEIGIKVRGGKPWDITALKLEDVQAGNLHTSWAPTFHDPNLPQEQIDMFSKVILRG CLLSSLTKSWVVSDDFNRLFPDHKFADLEEFLAEAWAGKPRRRWQQMGSSDGI LY89DRAFT_685683 MYPQTTSQPPILPIIPQELEPFLLLLSDPAGLPICRICQAALLP KSTTDHLRKQHHLPASLRPSLRHLLSTLSPLEFSDIPPRPEDSCPVPELKIVDAFQCK RCDFIRRDLTDVRRHLNQEHGMSAKDGYEEIQAQSWFGGRWAVYWRVDVRKAELRMKG PPCIWGLFGAGWGDKKPTTWEKMEDEAMAYEDWEKKTSEAGC LY89DRAFT_685684 MNVIKLQRKYPQFAQDEIFGLQDAFRKLDVDDKGYIDEATAIKA TQSSERQPYDVVRQALKEVELDSSRRVELDDYVGLVSKLRESSPAQKRVSTGPVSPAR GGMVSQQTGGGHASKGSVSGRIQVQGSSANVTHTINEDERTEFTRHINAVLAGDPDIG NRLPFPTDTFEMFDECKDGLVLAKLINDSVADTIDERVLNRPGKKIKTLNAFHMTENN NIVIESAKGIGCSVVNIGSGDIIEVREHLILGLIWQIIRRGLLSKIDIKLHPELYRLL EEDETLEQFLRLPPEQILLRWFNYHLKAANWPRRVQNFSSDVKDAENYTVLLAQIAPE HCDRGPLQTRDLHQRAEQVLQNADTLGCRKFLTPSSLVAGNPKLNLAFVANLFNTWPA LDPITEEEKLQVDDFDAEGEREARVFTLWLNSLDVQPAVNSLYDDLRDGTILLQAYDK VVKGSVNWRHVNKAPAHGGEMSRFKAVENTNYAIELGKQMRFSLVGVQGADITDGQRT LTLGLVWQLMRKDISETLSALAQRLGKREITDSEMVKWANEMSRKGGKSSAIRSFKDQ TIGSGVFLLDVLNGMKSSYVDYDLVTPGRTDDDAYLNAKLSISIARKMGATIWLVPED ICQIRSRLVTTFIGSLMATHEKMQ LY89DRAFT_685685 MASTSELEHVKGVWERMRGNSPIYDFLLSEVEIISAAKGTVTAQ LTLGKNHVNSRGTIHGAVSAALVDWSGGLAIATHGLEKTGASIDIHVTYIGTAQVGET IHIESIANKVGRSVAFTTIQISKLVDGKPGPMVATASHTKYISQPAPKDGKDSKP LY89DRAFT_783015 MTVCRLCSQALTIELDSDSFDEATSSSVGQPQTAPDDLLLACGC HFHWQCLLDESPQIALDLACPACSNAIVTPRPGPSATNTLLPPSNPEPQILARYHNEG GIQPNLDILPLITEEAYLDANPGHRPARAFMTMCAEGDVSGIVELLKDLDEDEDESMR PGEVIRWQDPLEEGRTGLHVAVEKGQEEVVWLLLWVGSGNETGVFPGEVVSAAETMGA ERRLGGDGDDVRGLKDEAERTPGDVAREMGSTWAGLVGAGVLG LY89DRAFT_94291 MFHLRRRGRSTYVEDKFQKRGGSSWKVSIHSGSAYVLYSSNVRL EDHLVLNSRTLEGKALLGKTSYRELIIRLAIRQGPRHILSSTFSE LY89DRAFT_749752 MLRHGSGNAPPKIASRTSSIDKVPQLVGQLNADGIASLEADPAY AKPSRSNPPRSLKTALDAATDSRRNSSGDTTSETSEEDYDNLKHDPAAVVGGGKGGGY EEEVVRTQSTPELDGNGNGHKSYEALKVPHTRPGAPRLKSIPVTLNKLKEKGRYILTA DDEALRDILKSGIERQEKDPAGAKKRRSKFSDLVFTRQFTAFDRQNADSADSAFHGFF TLFWLGTALFTIKLGAENWRQYGNILGSNEIMGLMFRRDVMVLGLSDGVLCGATGFGL ILQWMIFKGWISWNREGWIIQSVWEALYLVAVLGWTLFREWPWTHTVFFVLHGIVMLM KQHSYAFYNGHLSEAYKMRATLLRKLKQLEAISPVKTPSDTTPRASSLVTSYLDQRPT ATDLNQRRKSISGDDGASNILQIAAAIESGEPLDIDQIQTFERIINWEIDALSEDLKG KSTKGGKIYPQNLTVSNHYEFIVLPTLVYELEYPRSDSINWYYVAEKGIAVIGVLGVM NMVSQAFIYPVVIDTMEMKDAGLSLPDRLAHFPWILSDLIFPFMMEYMMTWYVIWECI LNLLAELTYFADRGFYADWWNSVSWDQFARDWNRPVHNFLLRHVYHSSISSMKVNKYT ATLITFFLSACVHELVMWCLFKKLRGYLLFLQMMQIPLVQLSRTRWLKGRATLGNLIF WIGIFTGPSLLCSLYLII LY89DRAFT_587637 MLSKALQKANTAVLLDNAQNFEGAMQAYSEACGLLQQVMLRSSG DDDRRKLEAIRNTYTSRISELKKIAPHLDDSRKAGKALPARPESNDYKTDDLSSPIDE EEEAAVIETATVTRIVNDPSYLSDQQTRSIPTSQLPLRRESLLPSGSESLQYRSKNIG DQYAGRAYSRSPMRERMQESNLNLAPPMESMYMPPPLSPRRPSSPMTHGPQNTNHERQ QSSDLSTSNLSGIAVTRGHNRAPSNESMSWLDTIDESGDSAVSSVHSRSSSMGVRRKH IRAPSGATETEFDTALDAAIEAAYDEGFEPMDPSEPSRCDYENDDEIVANVRRKVELA KERVRETEREMEREAAIQEARERERKRLLRQKVERQNSTEADYDGNESEEEERMLEEM TRGYVLDDFEFGLQSKSALPRESDSSGFSGRTWHSSTGSNPTTAGTTLSTVAETFTIP DIPSKLSKTAPPLHPPPAQALPPPPPLTQPPLAFSNSQSVRSRRLSGQNAKQLKIETT VKAPMGQSGPLTQPPLMPPPRLPDGTDATQPKSAGLLQRQPLPPPMGRPPIPQTARQA SSPYPAPSPSEIISPPTPTLTQTYTNDSDLNGQLPRSGSPGRTQSRSGLRKNFSSSSL KNMKSRNLSVSNIDHDSDISPNTPLSSQFNPRDPGGRIPTMPTLPTPIAMAFREKMNG MPAGGMHLFDSDIHSPESPGSPNPLSSGAPIPLEPCPTEYLLRPFWLMRALYQTIAHP RGGYLSTKLFVPRDVWRVKGVKIKGLEDKIANLDFLTAALLKLAQVDTYDADAVLEEM QALEGVLEQVQATLSKKLGNEVGVQGSGGMFKDAHLGGDGETATLNSKSGSMSSKSST FSWRRLRSKNSGVNLSSAYTNKTPTEGPKEGLTMPTLPMTATSVAKVRFAKRDVNQIQ FTGPNANYMSALARLFDAAQTIDQIARQVEDPGLRHADKTQVGLELCTRHAAEFFGFY ICRFVLTDVGMLLDKFIKRGSEWVLI LY89DRAFT_94304 MPSSIFINQLYRPPSSSSPETLPSPNTGVRAFHQSLPGYKPSPL VSVPAIAARQGIRWCLVKDETERLGLPAFKILGASWATYRALGERLGLRENGDVVSLQ RLAEAAKAEGVVLFAATDGNHGRAVARMAGYLGVGARIYVPSLLDEEAISKIRGEGAE VVVYDGDYDQTVLATKVAAENHAEGKGVLISDTALTVDDETANWIVEGYQTMFDEMEE QVLEITGTRTITHVVTPVGVGSLTQAVVTHFQRTPRSPKPMVIAVEPKSAACLKASLE AGEMTSVKTEYTICTGMCCGTLSAIGWPMLKSGISAAVAVEDSDVDTATKNLQSCGIN AGPCGAASLAALESLSSGEELALGPQSVVVLLCTEGRRGYEMRSNSQPEP LY89DRAFT_719461 MGEHVQERGIRIAIDRGGTFTDCVGNPGTGRMEDDVIIKLLSED PSNYDDAPLEGIRRLMSRFLGKEIPRGEALDTSKIESIRMGTTVATNALLERKGEKIA MVVTKGFKDCLSIGNQSRPKIFDLAIRKPDVLYERVVEVEERVTLEDYAEDPTRTVTK VAAKGDQNGDADHDLVKGLSGEAVRILQRPEEETIRKQLQGVRDSGIQSIAVCLMHGY TFPDHEALVGRIAREIGFKHVSLSHELMPMIKLIPRATSACADAYLTPAIKKYIAGFQ KGFEGGLGTESVKHERGAKGARCEFMQSDGGLVDVDKFSGLKAILSGPAGGVVGYALT SYDPETKTPVIGFDMGGTSTDVSRYGSGRYEHVFETTTAGVTVQSPQLDINTVAAGGG SRLFFRNGLFVVGPESAGAHPGPGCYRKGGPATVTDANLFLGRLLPDFFPKIFGKNED EGLDVAASEKLLQELASQINKEEGKNMSADEVAYGFLTVANETMTRPIRSLTEAKGHD TSKHRLATFGGAGGQHAVAIAESLGIRQILVHRYSSVLSAYGMALADVVDERQEPDSK VWADEGEAKESLKGKIEELKQKSREALKEQGFDDGSIVYEEYLNMRYRGTESALMVVK PEKEDVEKEYDGDEWAFGKAFVKQHQQEFGFTLPDRDIIVDDVRVRGIGKSFEGLGKT VDQQLKELEPKDVESGKTEYGRLKVYFEGGRQDTPIYKIEDLAVGDRIKGPAILADGT QTIVVTPGATALIIDTHVVINIGEKELNDASKHDTSGDVDPIMLSIFAHRFMAIAEQM GRALQKTSVSTNVKERLDYSCALFDSTGGLVANAPHLPVHLGSMSTCVKRQAEIWRGK LVKGDVIVSNHPEYGGTHLPDITVITPAFNEAGDKILFYVASRAHHADIGGILPGSMP PHSRELYQEGAAIKSEKLVSAGRFNEERITELLLTEPAKYQGCSGTRCLADNISDLKA QISSNMKGINLISTLIEEYGEEVVNFYMVNIQNNAELSVRNLLKDVSKRFEGQDLSAV DYMDDGSPIKLKITIDASKGEAIFDFAGTGPEVYGNTNAPQAVTYSAIIYCLRSLISQ DIPLNQGCLAPITVLIPPKSFLSPSDKAAVVGGNVLTSQRVTDVILLAFRACAASQGD CNNLTFGFGGNASGAAEVKGFGYYETIAGGSGAGASWDGTSGVHTHMTNTRITDAEVF ERRYPVLLREFSLRPGSGGKGKHRGGDGVVRDIEFRIPVQVSILSERRVYHPYGLAGG EDGACGLNIWVRKVETSNPERSDRLFNGDSQNSVNENGKRDMVDEPVYEERRINLGGK NTAAMQKGERIIICTPGGGGWGRVGEERNVREAEDPRRGWRGGSFASREEMALQA LY89DRAFT_697823 MASTSATNADTLVTLKINIDGANRRFKLPLKDLNASTLPDKLRF LLSIPRSSEAIFERYSDSAASFIVLDSNNTSVYKQLYRAAKAKLKLRLKVTVKDKEPV TPKPATVEDEEQEQEAAPVSPIVERQSIVETLVEPTPAAPQVSSPIDYSEKATFPGSV AELQRGFEDLLINGCRSSFSPASAAPVFPYPPPVVNSSCCAPPGSFINNMSSMYHHKE APRMNTCSSTEIPVTRGTAARDKWFAELASLSSERRTRPATTETVSVFTVYCNNCDRS IPDVHFHCSTCEDGDFDLCQACVDDGVTCQGEDHWLLKRIVKDGKVINSTTETMPPKV KSAKIDFSEALQVPVATRTCNSCIQELTEENFVTCTTCPDYDLCIPCHVGMEHGHHPK HAFEPAVEDTRLNIVAETLLAPGRNVGHNAICDGCDKYIYGVRHKCLDCPDWDFCSAC QPNASFIHAGHRFVPIYEPLHDLAALSRSYATKARHHGIYCDGPLCNNANGTQSYIKG DRYKCAVCHDTDFCASCEASPSNPHNKTHPLIKFKTPVRNVSVTTLGDHEDGQRMPVM GDRRGRTTHKATETTPAQSTNAATQVQTVADLQPTEPVKAEEVEAEKVPESQSEEANE LPAAAVKEELVAHFVRDLIADGSTLPPNCVFEQTWYLRNGGKTSWPAGCTVKFVGGDN MCAVDPEHPASVHELVSAAESTTCYTEVAPGQEAGFTVLMRTPGRAGNFISYWRLTGP DGEKFGHRLWCDVNVKEPTPIVKEQPKEETPELKVETEGSQMIFPKLEKESPASSIHE EIEIAEPEREIPQDEEEFEEFNGETLEDSETDDGFMTDEEYDILDASDEEYLAEQDKV PKK LY89DRAFT_587791 MVHRRRKDPAAIRRRVEDEGDEEGGPDLGDLDDDSGSEGSLISE EDDAHEGDEVSTIGAAPTDSPELSKLNGNAQRQVRDVKQSSTNGVADSTKKPLTNGTT DMDLMLNGLKLSDQNGSVEEVNYEDMREDEEILVPSPAVVNSTAQMDRPQELPHERRR REHEEYKKKRDADPAFVPNRGAFFMHDHRHSGPAANGFRPFGRGRGRGRPGIGGPFAP FNQMPQSFEPTDAPWTHDMHEVISEPSPRNLQAPNYPNGASHIPSRVPISSSEAAPVN RALSVTKHVGNVQVRVLLSTMKEPIVFPGIPWKQYTRLPDHRPPLRRDKPVRISLPNH PPRYIFPAVDRSFIFIPRAMRPNQQGFGGRGRGRSVFGSVGGYSRRTSVFGGSMFGSA YSPSVAMSRRSSLAREVNRESIVSPTGSTMSRQQAALDSTRPVPVVKLPPSSQSVQPP QLQSAEAVYSNGSPQASNSIDDLPRPQTYPLPQKPTFRENRPNPIPMHQPRPQKAVSV ADIESPATLSFNPPQQQSQQPFHQQVPLQVNGNNYQHDAMLHSRNPSYPSQASTGTPL SQIPERAIHAQPFQPNPYQQAPQNFYPQQFHVMPQPQGYYYPQTFNPSMAPPGGAPPP FMPPQTQQQQQQPPPSYPQGAQQTDNNNSQGVPQNLVAQEVNGMVYYYDAAQIPPVAT FPSYQPPPSYPMQQVGGVVGMGGMMTPSPDGFYYPQAPQGVVYYQQ LY89DRAFT_697825 MRSTTIDGDSKSDDAVKADSTNAEPLAPPPRPAPQVSNTPDYFS GNHGLSHETNPFEFSFGGGASNGGQVQTPGGTKLPSVAALTSPAGILGSGTTPNFWGG LRSGPLSPAMLSGPQKEDYFSDGHHLRGGFPTPNESGLRSGLTPGGGGSMFPEPSPNS QALFNSLASGGATPTTLDFHRTAMNAAAAQKRETIQTQPNITSQPQDIANGLEVKPPM QGQFDQHEANDAANGLYLLAQARNGPQPTNHYTMAQQMPVHAHPQTIQMGGQSSETSP NMANRNGGSISTTSGRGASEMSGFSDENDQQSRPNTRGKGKRNSTHETTPVNGRRKAN EAPAAKAPANKKSKANNGNSMSMEPPSDDEQEENKGDEYHANGKKMTDEEKRKNFLER NRVAALKCRQRKKQWLANLQQKVEIYTQENDNLNAQLSALREEIVNLKTILMAHKDCP VSAQQGISGIGMHQMLESYQGQMNPYGMAMNAQQQQQVIAGQNMQRRYS LY89DRAFT_749755 MPTKAQNPYLVTRDSPEDPLNPFNWPQRTKWAVTLLTSFGGMVT LMSSTMMAPALPDIGQDLHIDDATTQLTLSIFVLSQAIGPMVLAPCTEVFGRKPVWIL SGCLYILWNTVCGFSKTNNVMIVGRFFAGLGASAEYAVSTPVLSDCWRPEHRGHSFAI ATFLPLFGPAIGPILGGVLTGSIGWPGTILHHKAKKLRKETGREYYTEWERENKSLSH LLAISLARPCRFLLTQPLIQLMSFFLAYNYGILYIVQSTFATLWITRYDQSVSVSGLH YLSIAIGCIVASVGGARVMDRVWKHLKARAEGETQPEYRVPLMLPGAIIIPIGLFWYG WSAQARSFWILPDIGIAIFSCGIIVSTQAMQAYVMESFIKHVASATAASQLLRSLAGF AFPLFAPKMYQSLGYGWGNSLLAFMFIGIGIPAPLVLWKYGAKLRAKGKPQ LY89DRAFT_95030 MEREGKDGAEQSMYEYIVRGGTSNHHVMTASTLPPSLPNHFFSS KTNKRSLHLSSTLLQDRQTECKRPAHARAWGSWRLKRLHGSASFTYHLSSSPSSLFSL MDMWRFLGYRLSIYLSFVRVFHGWAGVALGIASEGASVHSFIVYMSFFIYLRKFKITQ QT LY89DRAFT_587210 MPSQTSTTLASPIPRRRSPLLTNSTFGRDVSLCLSNTLNMKAKS PYTFILRENENDYSPPAPPPPSPVNFPMESWSTACSVRR LY89DRAFT_670297 MRLESKSNFKSTEEVLNALTRYQYEPLRGSDNIRILTLHATEDH IECSLQQIDVSDGGYQALSYVWGNPAKPFRAIVRGDDGSRIGYIPLTANLKDAFGDLR DAEGLQSKVFWIDQICIDQEGDEKNHQVAMMGAIYKNSARVITYIGPSGDDEEEKAAM KLLARLYEHFEENYEFLFKHSSLSEVYRRKSEFPVKELPKELQYSDDERMNFRGKTDE DAYVVQGWRRLFELAFGKWTERLWIVQEQLLNSETSMLRGPLLLPWDTIPVMAMLFFS ELFPNAHYLRFNQEYGSQSYLAPGYFACSLYSIWYNRKKLNTLKPRIAGGPDELFVPT LSNMAFYQGLICHDPRDRIYGLLAISSDAKELGIISDYSVDRTASSVYLDFSVRVLQR WKDLELLAYASRWSNPSDITVPSWAINIPPLPLPDIAPYGVLAPHAYSSLEIRPRFIL DYSVVVLKGRVIDYIAMSASPVETSRSFEVGVLDAGYLRSVTQVLSGLSNVLFHLGIT LENACSLCRAIMALDPAWSPALHEKRSPIEQTVFHLLSLYRYLLSVISRASDICSATH ITEDCVKVIQELAGLLKNTINVDVLSALDELSLEEKEANAEVYRHQVIRARSFCVTKQ NLICNGMHEVQEGDAIAALQGAERLYILRPVGDRYRLVGDAFIPGLNFGEAYEGLDPD EVDYDIEIM LY89DRAFT_685698 MPRPDIKALGTNYRRIPLMSIGRDIYNDTRLILSKLEQLFPPSP QHPSISSNPGLSKLFEIWAVDGGGFRNAGALIPAEMPLLKDPKFQKDREEYTGFSWTP ENVAKGRADALVEMRNTFENIERNFLADGREWIAGTKEPSLTDIEAVWLLHWLRGLPG ALPPDVISKTQFPRTFAWVERFDGAAKAAAEKMGKPKTVKWPEVKGIVKGSEFAEVEA SADGNDPSGYKKGTVVEVWPTDSGFSHRDRGTLVGLDWREIVIKSKMEDGTEVRVHAP RHGFRIKEVKDGETKL LY89DRAFT_94885 MSSSVFYKFRNQKETSRIEFEGTGISVFELKRDIIIKSGLGDGT DFDLTISTDDSNSEVYDDDTAIIPRSTTVVARRLPSQKKGAGRAQRYVNGKMPANAKN NSRKEVSKAAAKATAATGLAQMDNASMTEEERLQAMFQAQNEQWNEQLHEMSKQAKVP HSGFHKKSSGDEPPSSYVCYRCGQKGHWIKECPTNNDPNWNPVRLKRTTGIPRSFLKT VEKPEILNGDGSEDAKLPPGVMVNAEGQFVIAEPDKASWEKFQAKTKSSAAAAQAAAL GDKELQDRGLECSIDNRIFIDPMKTPCCEKTYCNDCITNALIDSDFTCPGCQTEGVLI DDLKTDDETSAKITAYLEEKNAALRKEKERSRTPPSVKPETPTSTEKVEKANSPSPAP KSPAQVKEAAKSAAKSPSTAVSTPNPTKSPVKDEAKPQPKKRPAEELLENPKIPKAPK AMQQQEAQKQAMLQQQQMMNGMAGFPNMPFMPNMPNMPNMMNNFNPNGGFAGMPNMMN GFGMGMPNPMIGMNPMMGMNNFGGFPNMYGGAGFNGMGNMGMMNGNMGGQHNANGIAN GNGNLNGAGATQFPNQQKTVFAEPLPNEEDNAYFRKPVNPHRHQGRQRRVRPSDYREL LY89DRAFT_719470 MSTLVSSGLKDGLAFYYFDHNDDPEKYTNFGALVYYHYAESADI LLADLKAQQSAHPWYSLCSIVLFCYLFRKVQQKLRPPVAYPTRAAPPPPQGKDSGIDM PRQSENLPALAYIPPQQDTAVTLATRLSQSRFGISSIKDQSQEVAGKVQQIEYLLRPR QEEYREILGHYSAGVSNEFHEFLPSKRTTSKLILIKLCSFVATVVRWKCRAEKAMVLC ARIRRHWLHADVLAAFASPVIFGERGLGSDRVISQVRFERDYDSHDRVFKAASWPYIT GEDDKNEEPGYQQTRIA LY89DRAFT_587189 MAQEPRPPFATYLIPSFHFFFRRRSHDHESQRQRQQSTSSTVSD NVPSLSYSPPNTLRCITCATDIALASQIVSKGFTGRHGRAYLVSAPISLSQASYPPPI PNSTNKDHEWKPDELINTKTGRRVNRELLTGMHVVADVSCNICATTLGWKYVDAKEPS QKYKVGKFILEMKRVVLRVGWEDVTDDEIDEDDGVREYLEEEGEGEEGGPVVFDSEDE DECDDLFAGVWDAEVVRKRRGRRVGGWKRDY LY89DRAFT_685702 MGANAHSPPDEVVSGLNNYFPRWSGQPIDAWIEVWDYTSGSSFR GFVGGNGDTKSLFAFFDSSVVGREQKQGLMALIELAETVFAVTQVVICLDRSISEVDR KAFMKNLRWVGFEAITFDKWANALDVTSDKWLFLGMEI LY89DRAFT_647727 MDSTPENTSANKNEKVEKSYLTAAVESISPWGGSRSSTPKPASS AAPGERSGLKNQHGGDHSTPRWHGLSSKRYPPDCPPLNARWFYAVDIPKRKPKLLKNH QEDTKPAPPPKKFVTFSDHDSRAVETAYQKLADEYDDPSKDLGRKDDGDIGSGAQSAS SAGKKPNTSMNEAGLDEQAGGKVRVPVHEDFLFDVDIEERELCPVYWLGPIYEVRRGS WFFQEGSSLRPCDENLAAQLEEGYLKIKPFRYPKPPEKTTSRPTSMKPGEAPSSLALS GAFGRQRAGSGELTPKASVENLRAASQQSSEDFKDGPVPSPHQPQTYRLFGTYMNSVV TYQDATIAWLSADSIMSRVSSTVYQKFAGGGYLGGVKLVRGYSDSSSKPKDAPADKPP STPTSAAIKGSDVPALQLDERQQKLLKRRSAPPSTSRPEVDALQRSARQLTGEIDEEM EAEAVRKRDEKEIQNDYNDRDGEDQGREIEHLILVTHGIGQRLGMRTESVNFIHDVNV LRKTLKSVYGSSADLQALNSEIDKLPKNCRVQVLPVCWRHLLDFPKKSVRQSRREHDI GDAFGDEEEYPSLEDITVEGVPFVRSLITDLALDILLYQSAYREHISTIVLEEANRIF NLFCERNPDFKGKVSMVGHSLGSAILFDILCRQKEKPKIHASPAHQKYYKQRQPTPSK HQVKSLEFDFEVEDFYCLGSPIGLFQMLKGRNILARHYREDALPAESPMDPDYMQDPF LAASSSSFASGDHISSITGLPLTISSPKTAQLYNIFHPSDPIAYRLEPLISPAMSTMK PQALPYTKKTISASVSGIGATIGQSVSGLWSSLSSGIASSILNRSLGLTSDDVARMES APTPNRQSSLSVGAGTNISGGVIPHDPSIPALQRENTNEKKNKLAENTAAADRDGKSS APTLIDDEIETLYAGFQKRRNSDAGEEKELEWGEAEERGKKLRREEAKVRALNGNGRV DYAIQESVLDFNPINTIASHLSYWADEDVSHFIMSQLLSRHRVTTRAHSKSDSGPIPN LRSNGGPKPKLNSSYTPR LY89DRAFT_586983 MSRGGGTTLYVTGFGPGTRARDLAYEFERYGRLVRCDIPAPRTA ASRLFAFVEYESRRDADDAYHEMHNKRVGRYDEILKIEWARTPPSASWRFDDGRDNPR ARPGGDSGRRRSPRRRSVSPRRDRGRGDYSPRKDDRRDRDRDYDRRDRGDRSRSPDDR DRDVKEERDEPRENGTNGEDRKVSMDSPPPRDHDELDTAE LY89DRAFT_95295 MSAGQSGGDVLKPKYGPKVYQLRELVAQPVVAAFCAGGVAGAVS RTVVSPLERLKILFQIQSAGREEYKMSVGKGLMKMWKDEGWRGFMRGNGTNCVRIVPY SAVQFGSYNLYKKFFETSPGQDLGSLRRLICGGAAGITSVFFTYPLDIVRTRLSIQSA SFAALSQQQRSELPGMWKTMVIMYTTEGGVFALYRGIIPTVAGVAPYVGLNFMTYEIV RKYLTPEGDKNPSAVRKLAAGAISGAVAQTCTYPFDVLRRRFQINTMSGMGYQYKGIF DAIRVIVSQEGIRGMYKGIVPNLLKVAPSMASSWLSFEMTRDFLLTLRPEPENL LY89DRAFT_707915 MGIDLDAHHVRSTHRKAAKSDNVYLKLLVKLYRFLARRTDSSFN KVILRRLFMSRINRPPVSISRIVANTGKDEKRTIVIIGTVTDDNRLLEVPKVSVAALR FTATARARILAAGGETLTIDQLALRSPTGSNTLLLRGPKNAREAVKHFGFGPHKHKKP YVESKGRKFEKARGRRRSRGFKV LY89DRAFT_618377 MLPRPASWAIFEGLYKRPNIQHEWLSCSVFNSLQQRASSSSSST RWKSRQGRDSFAREAKVQGLKSRAAFKLLEIDAKYRIFKKGQTVVDLGYAPGSWSQVA VERTKPSGRIVGIDIIPAQPPKGVSTIQGNFLSAGVRAEVKRFLSDPDRGRLKPSLIS HLEEGGNEVLTSDRSYIDLERHADEDDVGGVWTMDREGGRRLERSRKKDEEEGRMVDV VLSDMSAPWEQTTGFWKRSLSNPYHRMMNTSGIAFKDHAGSMDLCAAALQFANETLKT GGHFICKFYQGSEDKELEKQLRALFKSVHREKPESSRSESKEAYFVALKKKNDTLVEE VKEVDPNDVFPE LY89DRAFT_647742 MNSSIDYNDDDSIETRLTKTQCLEMAYQETLRNADVLIKEEAAR RLRLRILMLENENDELHEQLAVGDDRIDIVEQEAEELRTELGQAQEDMSRQETEIRSQ ARELSNLKAELISMSGVTSDSAKVLTEKLSLARELATLKPELEHLRSQASYQQTVLAE KLALERQVSTLEVELETEKRAASKRAAQKNQNHEREAELQGQIDSLQKDLAKEKRGKD KARKETEAELQGQIDALQKDLAKERREHEKTRKDTETDLQKQIDTLQKDLAKEKREKD KARKDIEAELQSQVDELQKSLAKEKREREKARKETEDEFQSQLEDLQKDLARAKRGKE TAGKEAEFQNEIDELQRVLAREKREREKDRKEVDSELHIQVEDLQKDLAREKREKEKY KKEAEKDVKASQTKEALLESKLDQFRTKLRSTKEQLKECQTELAQARAAVVKSGPSRA QGDGPAKTSRKRSAMEMSTDFTIGTPDGVADRRKRPGVMRGRMDQMIVGEKSMFSVTP FLNKTVNMALDTPSKESEPEVEEEEDKPIEAEAQIIPQVAEEEPRKKEPEAVKAATKV ASKPAPKPRGRKKAADKEESSVLGEAKPSAKNKKLPPKKSRATPQLEMVMEEGDENEE PEVTTAERAKVPEKVTNAKTMKVQLKPAAAEEPRMKKRKVLGGSKTLFDEDDAEATKR PAKINLGAPRSLAKGGLAGPKGGLKGGLGAASGFGAFSPLKKDRRGVGASFLG LY89DRAFT_95374 MHFLKGLVVSLLPFAALAAKKPAADKFQQFHTKALSSTPLKLDD TSYGQLTSGGRDYSVAVLLTALENRFGCQLCREFQPEWDLLSKSWTKGDKKGESRLIY GTLDFSEGKGTFQSLGLQTAPVLLLFPPTQGPHAVSDSAPLRYDFTSGAPPAEQIHAW ISRHLPDRPHPEVHRPVNWMRIIAVTTTLLGTVTFISVAWPYLLPVIQNRNVWAAISL IAILLFTSGHMFNHIRKVPYVAGDGRGGVSYFAGGFSNQFGLETQIVAAMYGLLSFAT ISLALKVPRIVDPRTQQVAVLIWGGVIFVMYSFLLSVFRIKNGGYPFWLPPFS LY89DRAFT_670311 MAPFDSPQYDLVVVGSGFAGSMTALNFLEQCKKDGKQGKVAIIE AGKNGERCGASRWTMAYLRLDRNNKFDSDWIKEMRLVSRGLADEDYCRKLEHLVPDLA QYLLDHGVQLNHHDEKNVLLEFKTDQHFVFPEGGGNAIIQNLFKHISDFSNCDVLWET AAVKLLTSDRGEVTGVKVRKSDGLLYDIPGKNVMLACGGFEGNREMLAKYVGRNTHNL PLIAPGLKYNTGAGINMALEVGAGTAGSFDGMHCELVDTRATKPDAVVWGHNYGIVVN RDCKRFYDEGKRHLFATFEMIALETWRDQNQVAYFVTDATIMNRFRPGWVYDTSDKDP IEANSIPELAQKLGLDPDKLSKTVEEFNKACNDKPFDLMALDVRLRPA LY89DRAFT_734917 MSYTQRPNRPQGAEYCGVLPACVAPRGLGVETLERLLRRYNHAR LDWEGRQNPPNRQWQAVSLAVSAPGAVAGDLFLPDGDWSRQSQQNAVLLQSVWRSSSG VSVWASNPNPQGGVLFLRVRGPVTTTVAGALVGPPQPPSYQSDSDSDQNTDDEEEEEE GNTTGM LY89DRAFT_587245 MLVITPAVEDEQDATTGNDSKASPSKKRKTSVEEIEVDVTLPEP PSKKALRRLKKGKPLPPPKSGADSTPEPETKKAKKAEVEKRSEHGVWIGNLPFHVSKE ILRDFLVDHSDITQEMITRVHMPGPNDTKSANKVNETKKFAKVVNNKGFAYVDLSSAE AVKEAVELSEQLLSGRRLLIKDNKSFEGRPEKTKEETRNEGKPPSKKVFIGNLAFDTT EQSLKEHFLKCGPIASVMVASFEDSGKCKGYAWVVFEELEGAENAVRGFVYIEEELSD ASESEEESDADDGASDAEVSKSKPKKTKLRKWWVNKIKGRSLRAEYAEDAQVRYKKRY GKDGSKNAASEGVSTGEKSVSEVPGPVKPAKVVEYRKPYAARLTGGIVESKGSKITF LY89DRAFT_95434 MKQKFDAAMQQSNDLFIQEQHAVKTAKRLAQENDQILDLLLDVN NSAQIPIDKRIDISSEVPPGDSSIPGLITDDDIDSIGSLQTSEGQALMAELRTMLTER AALNNASQAPTKSFAHLTSSTPHLSSQSASLPTELTKSLDPEPPETVPYSYLTPDQLD DYLYDIDASLGTVPPFPPHFHPQDLAFGNHHSPYNWLRRNQPQIFLQDGEGSEKSHGK PGALRGAGKRASIPAPSKPDALEIVEEDGQGYDFALGGGPSTTKGKRKRGEDDDGGYY PKAGGKEAKKPRASKKKKGGEEGEGAVKEKPASKRARKPKIPSPDPDAHPFGPM LY89DRAFT_734920 MASSTSFKKIVVKNPVVELDGDEMTRIIWKDIKDKFIHPYLDID LKYYDLGLEYRDETNDKVTTDSAEAILKYGVGVKCATITPDEQRVKEFNLKQMWLSPN GTIRNALGGTVFREPIVIPRIPRLVPGWKKPIIIGRHAFGDQYRAKDLVVKGNGTLKM VFTPKGGKPEEIEVYEFKNGGGVAQTQYNTDESITGFAHASFKLALAKGLPLYMSTKN TILKKYDGRFKDIFQEIYDKEYKTGFEEKKIWYEHRLIDDMVAQMMKSSGGYIMALKN YDGDVQSDIVAQGFGSLGLMTSVLITPDGKTFESEAAHGTVTRHYREHQKGNETSTNP IASIFAWTRGLVQRGTLDNTPEVVAFAESLEKACIDTVDIDGIMTKDLALACGLSKRE DWVTTGEYLSAVERRMKSLLKQKL LY89DRAFT_587406 MLQPSSFTEPAIDSRPTPTSLRWNPHSRLLELYKLIVGKEEKEP YFQLFEGCAVTNQTPARVYLDYPKAPKKGSYPCQYPWGCSGKQTVFTRPADLDRHYKN VHASPDQKDSFPCDYAPCGRHLDPFTRKDHYRDHLRDYHKEDLGCAKMPKKLGRKVGP AEQAAWLSERKVSRRWWRCARCLVRRQVQVDGWECPNCKSSCEQDRIDARLKKQQHQK AMVVDPTEPDDEVGYQTASSVTTTVPSTAADPSTYYECGTCREYSGWVYDGIGEWNEC PDCTTRVAEASYPVDDYYQ LY89DRAFT_587107 MAARKLQQEVDKCFKKVEEGVQEFESIYDKIASAANPAQKEKLE DNLKREIKKLQRLRDQIKTWAASNDIKDKGPLIEKRKLIETQMEKFKAVEKAMKTKAY SKEGLSATTKLDPKEQAKSDAYSFLSDMVEELERQIETLETEQVQLNSSMKKGKSQSK VSDRVAEIDRITERHKWHQNRLELIKRSLENGVLETEQVNEMEDSIKFYVAEGQNDNY EGEDETLYDDLNLQEEEDNYGMNNDDRVSSQDTQSIQDDAPELESRSNSIPSKGKAAP EPPIAALRRPSTQLKSPLPGLATLHTASTGNTNGSGNQMKPAAVPTRAPGETLKYASA AAAAAASDKLGIAPLPPPPEALPPAATSLTHPPLPSQAATASPTILNSQPTSLSQTPV TRSSIPASVPVSDTPSSSQTKSPALSQSSTAPSGTGVSQSQNAERPDSTRPATSRASS GKAPAVTAPHTNGITNGVKPAPVEDPDESIYHLPSGLQDLLQSFEATQRRVTSPPSQS TQRMLSASITTSPDALDALAPRNYKPKHPVRTAPYYPQELDPVFDDPRLYSRIDPDTL FYVFYYKQETYQQYLAAKALKDQSWRFHKQYQTWFQRHEEPKTITEEFEQGTYRFFDY ESTWMNRRKADFKFAYKFLEDDV LY89DRAFT_618393 MKAILQRVLSASVTVDQQLVSSIGKGILVFAAVAPGDTEKDADA LAAKVLRMRLWDDETGGRWRHNVQDISGEVLCVSQFTLLASTKKGSKPDFHGALGGDQ AKELYQTFFSKVQQGYDASKVKDGVFQAMMEVALVNDGPVTLVMSVGPSGIIDEK LY89DRAFT_697842 MAETKQRSRVYFDISIGKTHEGRITFELYDDVVPKTAENFRALC TGEKGVGKSDKPLSYKGSTFHRIIKQFMIQGGDFTAGNGTGGESIYGTKFDDENFELK HEKPFLLSMANAGPGTNGSQFFITTVPTPHLDGKHVVFGEVLNGKSLVRKIENMPTQP GDRPSKDVTITACGELTGDEAEAASTKLPDSTGDPYEDFPEDQTSTPSATEIVKIATD LKEFGNAAFKAGKLSLGLDKYQKGLRYLNEDPSLDYEPPETKKSLDALRFTLNSNSAL LANKLRDYNEALRCASAALDVADISDADTAKALYRRGVAQIGLKDDDEALKDLEEALK LAPKDAAITNELAALRKAIAERAKKEKAAYSKFFS LY89DRAFT_618399 MDGRPYNGTHAKDGALQDWQRNATETLARSLDRPKSPRIAPRVR SGMQHTIRTVTPEPVVDDGGDEVYAQNSSIHTSPRSIPGGEKPRDRRQGLVFQDSYST DSLDGAGAGAGSTTRTGPPVSFHSHSRPRTRTMEESHRPRSTSTTVSKSRHRIGSVHS TASSSFHDVQPQAVPDVSNSLGFPSISTRPPPPQLQKSNSVKGGRRLVKKSSRPTSPM SSIGDVPSVDSLPFPVATGDANKILMLMKKLCGRMKGEVKYQTIENGPWYSGVCYIDD IKGSLMYEGEDRGPFHLTVISDLRGCRVKPITSPERPVRCLELSNRALGIEIHLLPMV NAEYDLWLAALLSWQQIRTTTLPASPPRSTPVTTERRPGPQRRESSFAGTSRGANIIK VAKLLLWDKGAPTSPRAIVKRSSTRDLRASTRSCWRRVSCILQDNGEFKLLTENDITL LSVIQLSQLSRCAIQRLDRSVLDEEYCIAIFPQYTSTSTTLSIFRPVYIALESRLTHE VWFCLLRAFTIPEIYGPQPSNAPEVYDELDSPQPQPPTEDMFRIEKSINLRIVEAKIR KPHVPTESLGTSKSSKTELDPSIGDYFAEVVLDGEIRARTITRTETRNPFWREDCEFM DLPAHLPSISIILKQMEPPPVTTHGFLSSSSVHIPAQPIEVVCGRVEINIEKLDRGKD NETWWPILDEQQEPIGEMFLRIRHDELVVLLAKNYQPISELLHNFGSGLTIQIAQVIP TSLRKLSEILMNIFQVSGHGGEWLTALVEDEIDGLGKEPPIRRLRWSRRIGSNESYAS ASDREQTVRDMGKSLQGEANLLFRGNSLLTQALDFHMRRLAKEYLEDVFAEKIVEINN LNPECEVDPSRIYHGDDMQKNWSLLTSLTTDVWESIANSANRCPPELRQILKYVRAVA EDRYGDFLRTVAYTSVSGFLFLRFFCPALLNPKLFGLLKDHPQPKAQRTFTLIAKSLQ ALANLSNFGQKEAWMEPMNRFLNSHRQSVKDFIDAICDIPAERNTFALPASYSTPITI LARLPPTSREGFPSLPYLIDHARNFAALVRLWLDATNSYMPPQGLEGDLLEFNELCIA LQRRTDDCLLKAEAGERGADQLSLQWEDIVETLDHSHLTDSPTDPDSSTLEPEIHSPP WTEHSGRGTGSAGSGEKEREKKERQSFWESTFGKESKYQRPYAGGDSFDESQASPPSR GQSRNGKPSRSFLSGLRRKKEGTSPTVGSLESAEGG LY89DRAFT_538210 MSASEASTLQPNTPVPPASQTSSTPKIPLLGEGFQESTKKTVPR SADSSDVECDEDDVTGEGAKHGKRRLFGFGKKKEADKMKERKKTDFPPAKVAASSNAP LAQPIARRLPLQNASPPRSIPNNPYPSPGSPHRVLYSSSPRIVSPAGSQIFERDVQES AILVPNSPAIPSHIQTENHIPPVLDASSEAITNNEIDPDTVEIVMHSSHQPAAVTVTG VGNAEPMGGMWADDLVAHPDKDDAASNYGALDSTDVRRLSFISFSDIVQSEHAEHAGS RDSIYVAGLSSLSSQHNRSPSPVRSPVSSQGFGTSPPTSKSASIKGFDMSPRGKPLGS PISTQAPLSGGELTIETMTQALRRTGSGDLSGVRSQPLSPSSPDG LY89DRAFT_685717 MDTRLIRRPVLDLLSGRSQCLFSLNAASRRNESSYRRTKQRLNI KPDSSFLNSKHSPNQDHIIFNPPSSAPSVLHTPLKFLPKEDKRRQLLASTATRHQATD SILPPPVHVKRSVPHHHLSEADVREIQRLKREEPDNWTCAKLARKFNCSTFFVSICLT QCGVDNTQKKKEMQEKLEAIQARWGPRRRKAREERAKRYELANRGE LY89DRAFT_685719 MSTISILPTALQDYHPIAALETHVFHDDPFSIVGFGPLRASPSN IARRAANLAAPSSRPGEWSLVTKAVDERGVVVGAAVWGLVTGRKGEVNGGKEEGKEKG EWKQEDWGESANQRFCEEVFVRGDEHMVRSCGVGDYASEFCLFQFLV LY89DRAFT_707926 MAPPPVTPSPHRFVIKKEAPVRKSTLSQQSQPQQTPRPSTQQFN TTPRFNFSSTPRPTATQSLPRTTPSASRYLTPASKKHDAIDESSDDILGDIHDSIETD NHRLNYVNEFSDDGEEYQLEERTPKRRRLSVSSIISQDEPPNSDPELEHQEQHREPSS SLPILSSPPIAATKRLSTTAPKFLPPTPLPPSTPLPSTGTTTFLKPPRFRPPDPSEQA HPHADPLPEHFSPHRKGQKYVHGGLAAEVQGWLFNLETAVPVKSLSRTEEKSEWLVKI VVDEVSGSSRNGFTMVRGRQIHGGDREMVDTVAEARVLLAGEGQAMGLQRGERVEAGK RVGIKGPVWEVVVEGVKWGVGVEWKVLD LY89DRAFT_783050 MPNPPSKMAGGSSLLPLLSKKHEIVLFSSTAIALYGYDQGMMSL INTNYSYLRTMQISEESPIVGIIVSVYYLGCTLGAIAASWLADKQGRKWSIFTCLATT SFGNILMFISGLSMSGSSPWNGGAIACMLAGRVIMGLGVGGIDAVIPVYSSELSSDGA RGKALAQEFQMNIFGLLMAYGINLGVTIGLGKWNQWAWRIPIIVMQIFPLVLMSVSSA LPESPRWLISKGKKDAAKRALETIYDKEEAKNKLDEMQEADDNETEKTGYKDMLIPGG SQFHPSMVTVMGQVNQALTGYGAVSVYGPQIFELLGFKTRMAEYLTLANYIQYFLMMT VAWLSIDVLGRRKLMVWGAIGLTTCFAILTIFGGLAQEFPSVPALAAEIPGSITLFVA TAIFGIGWLATVWLIPTEIYPSTARAQGSAISVIIWGLANFAITLLTPIGFNNLKYWL FLVFAVTNCFAGWWTWRYTPESGGRSFEENQEFFDSAREEGSWVVRKVDGGKFVRMPG KKESGGEEGENSPLLGEQR LY89DRAFT_95863 MSVSVARSNPNNQTATHAWFGVLTVTDFHHFKFSILKSLFCLMS FQCLLLDIASRPHRRSWHTPPPPPPPPPSEPSYLYIQVAARH LY89DRAFT_95884 MHTCAHSQSTASLRLCHIFCSSTSTSLPLLQILSYLRESSRISE DGLRSTVWSLLASVVSMASQASSPSISGQGHGSEGNKNRPKSNITRVRTGCFTCRKRR KKCDERRPICSNCQRTNVVCEGFPQQVFWETRSAARRAVVSRSNSVTSPTFYTSPTSG AGIPDLSMDFGQWIDHLPSQFSPPYQGHALARLEDRPLDDDMGYIFDQFNGSAIGGVA SLDQAPGFLDYQGISRELVIREDPSQERAITQNAFQEAFIPIELPFLITGVDTTIHQR LFCHFTGVMSQLLTTFSGQSNPFNQVVIPMAMEDHNVMDTLLCLAGSHLLKVQPTVLN GELLAEKRRLHENALRTQELRVQDLRKSFTGSGLPYSIQYQETVLATSLLLCLFEICE GSSDGSWKYHLKIAREIITMASESQGPGSPSSRTTDINPFLLEFFLYHDSLATVTSPS NPVNTPKFRNKAEISDQDTSMVGVQDGLIEFIIQISALRAEADASNHQPDGNVICKAV QIWQDLANWRPRSITTKERRLITEFYQWALFIWLYSIVYPDGKADAKVQSAVQRIAEG MCEINSGDGVMACLLFPLFVIGSAAITNRDRETVLAHFRKLRNWSALGNIDLTEKVVR KMWMDHDVGLPGSWDWVKQLETHGMSLLVT LY89DRAFT_734932 MKVPALDFSDVVPFPGFDVSSTLPFPYRPFRAGRYTMTMGIRKM PEDDWLVIDNLYLQEQELRRHLLRTNREGVLQCLPKAEEACKEALQAIVDFLTKRFPL HFQLPKDRPGYIHNAITDRTFRIAEPLQQHPLEIAAQLVMEDINLLMQGVGEHPNEYY LQASYSMAPAGWYIQERIGWPLWRIHHPVPMWNEKLRKPMEKFFLGLKVSSPVQRNNY FMQMDDTMFQQDPFPDSWPCPPKIEDIRIRHERQTLRRLPRTRAVMFMVRTYLTPVTD LVDEKDNLWAFREAIRAWPLEMAKYKGRHVWGKVFEDWCQEVLGDYVPSDDGDVERSR SLGAA LY89DRAFT_783053 MSESAVSSKEKSYEDIAPVQVRDTEVGKMHDIVNSDDELLREIG YKQEFKREFTRLSTLSYAISIMGVLGSVPATYAVPLSAGGPATAVWTWFAGTFFSMSI ALSIAELVSAYPTAGGMYFVTKYVVPERHVPLASWIVGWSNFLGQTAGVTSVGYSVGQ MLLAACAMGSDYDETTGTFAYTPTAEHTVGVSVAILIIMGFICSFPTKWLHEFILWFA PVNVIASVAICIALLTLTPNLNPASEVFGTVTDGSNWNSKGFSFMIGFLSVAWTMTDY DATTHISEETKQAAIRGPVAITQAVIISGVVGWLLNVTFGFCAGDVTAILGSGLGNPV AQIFYNANGKKAALAMWFWAILIQFFTGCAAMLADTRMCYAFSRDHALPFSSTLKKMN PRTQTPLHSVWLVTLLCCLLCLIALGSVETINSIFGITAPALDCSYMAVVAMKLYYGK QLDIRKGPFTLGKWSRWVNYVALAWVSYVTVVLLFPTSRPVIAVNMNYAVVVGAAIAV FALVWWYAGARKVYVGPRVDHVEEEIK LY89DRAFT_544911 FVCPHANCGIDFARIGDLHRHQRAHSDPTHPCNVNGCIRKGRRA FYRHDKLLDHMRKKHGMMV LY89DRAFT_685725 MKPQTFLPLFSALFSLSSLAVALPPPHKTTTNSSIPQTIYEFGN DTWVENLAVRHNGKVLTTILSPLPQVWQIDPFTRTGELIYQFPDVTGVAGITEVEPDI FAVAVGNFSLETFKGTLGSYSIWSVDFSKKEVEVKKVTDMPDAQFLNGMTTLPTQEHT VLIADSFLGVMYHLDVVTGAYSVAIDNPDFKFNASDSIQLGINGIHYRDGFVYFTNTF LTPLIARIPVHANGSASGPVKPISSNFTTPDDFALDRHGNAWIATDPSNSLVKVTLDG EATVVVGGADETVLLGGTSAAFGRTVEDWEVLYVTSNGGLGNPPASGVQPGRLYAV LY89DRAFT_670331 MHEALFLMLNQDAARCQRVCHDGFTQPSFVRNPTCAPVIIGDPS AENARVQPYICLKCRTLTTSPVNNIFILKRLSLRPRELKSKALQRPNHTAVSSLRLCI DEQQQQFSSIIYVCIVEIEQVAGLIISRQRPTVALCDVASRTSWVSSQFVDELETISR AGIGLANSTSFRKEGEPPRIHIRWSCGLLGQAHEEGTFFIEPKARFKILFGCAYSTNH LPTKHFRSRRSTRTKGKRIEPQPHIRDQAVESFRAGVETGILVPLERMNLKQDNRPTL MPIADVEVDLDYTWQLYSGTDQALNDGPNQSDSSNFMPSFYGNVFSPKDITSANSSVN TDERDHMLESTDASFSESSYQSTDWIGGPSGAQHHTEPGYMIGSWANQSVYEEPQYWA VPRMMYSEPRPWSIQRCRDLTPEHRTKLAAAQESAGKEAEKYWQWDEEEKKYKHYDEG CADPVWYNPP LY89DRAFT_719498 MSSSTGYHKLSSFMVDKNYTIFRRFKVLANRDLLYLQAELAALE DQFAAIQAQDRVSGGEQEFYDRNWPLVNSSKDRGHSSEQLRKALEIRTKLQEYYECAS RYATIINMPKARRRDVAMLKEWILSPDLGGGILFSGEDLSPWGKSVYDETHNDDLMIV KSRTGENDTFTRFLAGPVFHLLERFWRRFKKPETSNTEEQVPASNLYHYSDSHIIGTI DVMGTVIASMIPLVSIVILYFIRNLGARLGVVCAFTLLFSTGLALVTKARRIEIFACT AAFASVQVVFSRKMAPN LY89DRAFT_670333 MDRPSGPSLTAKLPPMPVNQFIANEEVVSGALGPLETAVDAQPF TTIPSGTERPWYDLRTFLNVIRERAERIGLRFSRGKPRRPRRPRWLGGTKQGITVTTS TPEANSPRRLRRRGRESQIHDQSYERHSTNDSADIIERPIPVQAQGGDPSNEVSVSRL SAVFMTEDEARERAGVEVRRLSLGHPELFQPSSSKPIADNDIGIGTSPYVYIEPNQVD GGDETTLSRRYSETEHPSGGYAPPHVRHDDHDDDEFVSSAVMVKDRTGNSKAVKMFYD TMTAVNWVSECFASTFGLELRPILREDLKVYKTINATFIPRHYVELQLQNESLGMEGF TTLRCNVTPSMDGIGLMAGRQFMKEYRVIVDANARRDIFVTTSSKANAAQCEARKQHL EQSKKEYEKAKELSASTAGPSTQQSAASTHEPLAPSSRTSTHASSSDIKQSESKG LY89DRAFT_685729 MSNTKKTYFLAPNFHILPPPTGPLLLGSIISSVSKPEHILNRQP NYVPIPPSSPSFTHHQAGFSSTHSNSLSGSFGGFTALNAFLSSILSLRVDADLDGASS GSTIYKCKDLETTYFYPDDEYIAKNIEIKRVKDWMTDTYWKKPVYMITGLKIARGMKA ESKQGKSLKGKVEVGVDATMLTGVPVSGGPKVGGGVEAKNGVSWDGSDDFIFAYRVVR IKLKGKEGGFEAGEHISGALYSEGDEGAKGNLRDEWDVSEIEDMEGEEIVAPHIICEE EPVEG LY89DRAFT_734940 MNALEEQLQLLRDALPILLDLAAYHVQEAAVYRLADPSQLVAHE VFYTNLRFELRELSSKINAANVDVSTEVALREPLRKVLDVLESRVKPHRDFRFLIEQQ RANSLPYESLENIINLRSRRKRHRDCLIDRLKQLNRALVSASAEIRRRPPGSPISVIS AIKSRHICRVRSDARSLYEVLNGRWRCDIQEDHTAMVRLDAHFRETGGTRFNLFLETH NPHPAWQESEVYLRSVTTPSHRRLVDDVCSLVQKLVSSHPCQLKLHIQQGCLWNDLNL GDSNLGRGNQSPERLKDLIENRSLPLVKRPTPRDKRRLQVLLANSFLYFYRSVWAGSN WAKEHIYFCRTEQSGLLDINRPYLSASCGNIGNDQNVTLPTLEMRVHPYPGILALGIS LLEIELDHPIEHYRPLDSPNNGGGGFCIDADRSVARKMLELCNGHSPYDFMDAVDACI TADTFSDMVFEEGISFENEQFLYKIYELIVARLEAALRKVFNEPISRLDELIANEEVV ETSQLLYAVQVHPHVHATALSPSEQQNTIQGLANEGSGADEWLRELHDRVTTPLVSSM ASQARIASKQIFPDLEDGPLKIAILDTGIALPEDGEAAYGSRVKDRKSWLNYPAASTF HADDTDGHGTHAAGVLLKVAPTSQIFVAQVFRNRAEAEVTRTDSVVHKRIAEAITYAV KIWKVNIISMSFGFDVSVEIIEEAIELAARHKIIMIAAASNCGGNGTVSWPARHKDVL CIYATDHRGNPCSFTPTATPDRYSFSFLGEAVRSYWPAHLEPKDVGTTTKSGTSTATA IAAGVAALFLEYIRYALDKWDHKLSEEQVRVFNKIRTTDGMKKAFKRMALRKRLGYDY VAPWEFFCRRYANPEMTICNTVLDDMFEL LY89DRAFT_734941 MDADNTLAGGAINSISASTARCIVAFERCSSIERALFRKENWTD HEFARFRVWYSNLGALAIGDDSAEYRLRDCGERREDILNLLNIIGINLYHIASNNSDV QDDISTGEDISSTTSSISITLSSLANSISESSKSTTFKSVSEARDEVEQSISRLQRLE ETLRHSGRRLVCLKEVGFDDGDDNELVFMKLSTAVVKLHYPSAEIWFQDRVARSLAHR RNILAYRRSSMRSTRNEGSTHIILRPYSASSSTSSIIVEESRAKKLGKKGIRLNDIVG RGKNINAKEGHISESKESVVEDAVEKDKKGKGMLKAGSQKNVESVPQSNRLHLRTPRG DSSADAPDLEGLHEKWLRASEEYLSEDKLRFPAEPTVDRDDDYFECPYCFIICHSKTA SGEHWTEHVLKDLAPYICVSRHCKEADAIFETRFDWIEHIRTEHTNPHWICMAPAHGP QKFATESMFLNHMKDKHAGTFPPSQLSVIADMSLRPSTEIVETCPFCSKVLEEVIQAS NDPITKFTVLEMHISRHMEILALLSLLWLEAKDEDASHSDEGSLATDNMDAMPEAHQK IKRGSFLRRFIRKIRTRSRRIALTFSRENPPLTTFTSERDDTSLTLGRPSRLDIPKAP RSLAENTSQADSFTSLAINDYDFDINTEQGFDIMMFTSSAVMIKTPSGELKAVKLFYD TGSTSNWASERFVQTFGFQKRPILPDDLATYATIKGEYTPRHYVMLELQDRSRGMEAF EKLSFNVTPSLDGIGLIIGSASMHKYRIAISNPLYRDRHVTTSREADAATKETQRRFF EKALKEVNAAYKLEKGLAASATEPSTTGPSTYPSGAGSQGYLDTVSSRTSEDSETSSS SRGTLPALIIERLSQHGQGPAQERWSIDSSGSIKPWSMARENTGTGQHDLKAQAESAH SSTYGTMGGPSIEKSVELPSWVVPDASANSLEFTSSAVMVKEPSGEKRAEKLWYDPMS VDSWVSEKFLKDCGLTKRPLLEKDVWVYSTVKGDVTATHYVDVELKDSGRGMREFDTL RMNVTPHLDGIGLLAGRIFMNKHTVVLTPGQRDIYVTTRRKPNAEELEEHRKVMQQAE DEFEQAEALVSTAASTSDPTAASTTDEHLAGSSQTSTSALESPDVDPKGSKT LY89DRAFT_587305 MAVNRMANPAVDPAKEEQQYLDEVAAVKKWWTDERWRYTKRPFT AEQIVAKRGNLKIEYPSNVQSKKLWRILEGRFKNQDASYTYGCLEPTMLTQMAKYLDT VYVSGWQSSSTASASDEPGPDLADYPYTTVPNKVNHLFMAQLFHDRKQREERVTTKSK ADRSKLANIDYLRPIIADADTGHGGLTAVMKLTKLFIEKGAAGIHIEDQAPGTKKCGH MAGKVLVPISEHINRLVAIRAQADIMGTDLLAIARTDAEAATLITTTIDPRDHAYILG STNPNLQPLNDLMIAAERAGKNGVELQAIEDAWTAQAGLKLFNDAVVDTIHSGVHVNK KDLAAQFLKDVKGKSNTEARALAKGITGVDISWDWDSPRTREGFYRLQGGCECAINRA IAYAPYADAIWMESKLPDFAQAEQFAKGVHAVWPDQKLAYNLSPSFNWKSAMPRNEQE TYIARLSKLGYCWQFITLAGLHTTALISDQFAKAYSRQGMRAYGELVQEPEMDGGVDV VKHQKWSGANYVDELLKMVQGGVSSTSAMGKGVTEDQFH LY89DRAFT_96380 MYTGSILVLALSAATMVSAHGKVLSVVGNAGGNGTALGIKGASI ATFGPNSATEKDTTVFGGNANDPTTNGLGKTTASGTLKVADLADTMAASGSTLPQVSA DGTGTITGTWRIVTSDGTANNKNGDLFAVIDSTGTGAYSTGTQLTATSDMVGNGQGNV VQRTVTRALRALGKRATNVGADAPFTVKIPAGTTCTGTDATSGATNFCLMKIANNNNA GPFGGSIAFQVAGASTSSNTTAAATTATQANAKAAKAGKTARREQMFKA LY89DRAFT_586936 MSSPLRARTSNEVDPYSASHIYYGPDAHTGLKGHNHFRTRTYST NQSATKSIDRSQLKMPIRRGSHDDYTTVPNQFLIHVERTIQELEAQEDTDGNYQITVE DLGPKVFNLGTASSNGFKKRELRGTYVLSNLLQELYLAREYGKSHIFLDESRLNENPV NRLSRLIREEFWKNLTRVIDGSVIEIAGRDPKDWTEDPRPRIYIPHRCPEQLAYYTQV AIDRPEIRLDVCLLPEKITPEYVQGLNAKPGLLAVDMEEVEDPRTGKKVLKGRPFVVP GGRFNELYGWDSYMESLGLIANKKGHLAKSMVQNFVFCIKHYGKILNATRSYYLSRSQ PPFLTDMILRVYEVIKHEAGAEEFLRQGALAAIKEYNSVWTAHPRLDPTTGLSRYRPE GLGVPPETESSHFEHILEPYARKNGITFQDFVKAYNDGSIKEPELDEYFLHDRAVRES GHDTSYRLEKVCANLATIDLNSLLYKYEKDLSTIIRTYFKDGLKIPDDWLSGNMKSGH LETSSIWDRRSKHRKAAIDKYLWNQEKGMYFDYDTVKKEQCTYESCTTFWALWSGVAS PGQAARLVDTALPLFEEKGGLSSGTEKSRGVVGLDRPNRQWDYPYGWAPQQMMAWGGL QRYGYLEEVERIAYKWLFMMTKAFVDFNGVVVEKYDVTRSVDPHRVDAEYGNQGLDFK GVAKEGFGWVNASYVYGLQMLEAGPTRALGLLTPWEEYAKSRGLHGHPLMTVREN LY89DRAFT_96415 MCSSATCDPDRKHLARLKDDGSVFPECIQVSLARTRETNNCSID CEEQEGNDSSLLYPEQPQDPNSEKKKTGRKIGPSHFGHCKRYKFSAGRERKPTRALVL GADKIRSSTWKHPFWYRGVQAFSSRSHSARFAVPRKRNRRIICRPSRSGTDLPYEHTL EITSTLQRRPSLRLSLFHLFGTLPFTPRNQASKCQVS LY89DRAFT_647797 MNVLKGYLQPGAKKGKKGSKTAAVEENKTSLTAPIELNVTPPQG SPTFQTPAGSRFSSRPASIYPSGDFRNAPRESILDIKSDVMVNWLHQQQLEKLWAMEN NSEGVILKKAKGEFACSPAGLRNNVFFEQVIAMNVRCAMTVNTRFIRIFLSKHAADYV PLSDGLRLQVLPSLAHLYNCQKHHFGAFIRDQSILVVWDDEPQNLLRRATQIETSLMH MIWGDSDSLEPVDEKKSANVSVVELPDGTISPRDLEDALIAEKRPTLLINPIIVGLTL TLLIAALGLGWGKLAQEVAIDGKFIRLALLAVTPCQIFVSLFFMQIIVVNIAQIFGPI SQLNLNSKFYSGKAPKRLNRNGFLPHVTIQMPVYKEGLNAVIQPTIISLKAAISTYEL QGGSANIFVNDDGMQLVPEDEAQARRDFYEEHNIGWVARPKHNPKPADGENVFLRRGK FKKASNMNYALMVSNKVEDKLLSVQRHDKWTQEDEYAAYDQCLAAVLTEEEGRAWAEG NIRVGDYILLIDSDTRVPVDCLLDAASEMEQSPEVGILQYSSGVMQVTDSFFENGITF FTNLIYTAICYTVANGDVSPFVGHNAILRWSALQQVSYDDEDGYEKFWSESHVSEDFD MSLRLQVAGYIIRLGSYTGDGFKEGVSLTVYDELNRWEKYAYGCNELLFHPFRFWVTR GPFTPLFRKFLTSNIRFTSKLTILAYIGTYYAIGAAWILTLLNYFLIGWFNGYLDHYY LDSFKVYFSLVIVFSALGNISLAVLRYRLSQASLFGALWENFKWLLLLTVFLGGISLH VSQALLCHFFEIDMTWGATAKEVENVTFFEEVPRLLKRFKFTFVFCIASAAIMIVGAT VLPWEWRITDFVAIYPLCTVVVSHFFLPVALNPALMMFTW LY89DRAFT_670340 MGSLCWIGPRPATESFEFDPLGDVLLKLTRRFEKDDFVESDSET SSIADEEEEDPDTFPGSDNVSAHDEDPTIDVDRLTISDGGEPEILHLKQPVHMRVSSR HLMLASPVFAVMLDRYKFKEGTTLHAEGSVDIELPDDDPDAWEVLLNVIHGRTRKVPR KVGLLLLTQIAILVNKYEMLDVVEIFSDTWIRRCLKKGLPEQYERCEDVVMSWLFIAW VFEKPKIFKPITRTMIHCAPNVFHHDLDLDPPIPNTLLDVLQERRLEAIDSLLTIITT LTTTYTLPTPQCNPSAPDTLSDPVELSFSYACDSMLLGSLIKSSAKLGIFPIPDRPFA GIAFDRLAEGIRDMDLKSKCDGNLKGYVVRHACHGVRARMVDEVRFLETGICGLDLRD FKKLGSG LY89DRAFT_707934 MMARYAPLLLALATAVNGVGLGDVNHVVMLMMENRSFQHYFGTL AGVRGFADPNVQINPDGRSVWYQNLSGVTTEAEWLLPYWLNYQGGEENFNKSMCLCAG ANNWIPTQQAMNGGLMNAWAQIDTPQAWGYFKRQDLPYHYALADAYTVGDAYHVCDSV AAITSNTDPNRWFWQSGTINVPGGKQPLGSGGVILDDNQANGCVATNVDCLPLHWPAY AQYLDEAGVDWRSFQNSYNWATNSGLFYFAAFQEASVNSSLYQRGLAFDGDNALDAFK AAAANGTLPTVSWVFPPGALQEHPPNTPKDASWFINQVVGAAINGANYKETVVLVNYD EAGGWGDAVYPLVSPEGTAGEWFEDPYGELGYTFSGPGIRVPLFIISPFTRGGKVFTE RADHSSILQFLEQFLTAKGYHNITTEQMSDWRREHMSDLVNAFDFENPDYSIPDLPQP DYPITNSEGEIIGMYSGFCDVEWTGSCSGSEYVTGIPYGNQTEENSLVYENGFRGVRG YLAEGHYLVFESNGYALSNPNTTAKQFSTSATTATHDAIAQRWVLHPTTVEGSTFNIT SALDGSYISQHSSLSVSVTGAEVYNITYIGSSQYIVQKENGDFLNIQAGGALSFDTTP VPYSVYSVTYNY LY89DRAFT_783068 MSLTDESPSNSLLGHESELEPPRWKEESRKHRTRSCSLVFIATL LLIPVTILFVLYLPWTSASKAPAPDLTTTPETVSVVGPTRDLRLLLRPEDHVSRDPTI QYFSWNITKGKIAPNGVQKDVFLINGQFPGPTIEARSGDVLEIEVFNFAEEGISLHWH GLHMRGANHMDGPVGITQCAIQHGQTFTYRVPIDEQAGTFWYHAHSELQRADGLYGGL VVHNHTTPLENVSYQYDKELLFLVGDWYHWPSDKVLANFMDRTSMGTEPCPDSLLVNG LGYFECGMATHGSPVDCSEVEKPWILLDKVLRYRVRLVNVGSLTGFSFTIPDVEMKVI QVDGGGQVESSELVNSVGVLYPAERVDLVVSWPETVVDTDTKIIVELDKEYFLRPNFA LTPTQSFLVSPASLTPQPPNNTTGTHRFNLREAKGPPLFTPLPDPDKLFMIYTTIEIL NRLGSRPHGFINHTTWEPQAVPLLASPRETWNEHQLIPWTGPEPVWVELTINNIDNSG HPFHLHGFDFHLISSYEGKGGWDYYNPFESKPPRGGAFNTMNPVRKDTVYVPPWGYVV IRFLADHEGIWALHCHVMWHAGSGMVMGFQVLGDEGGLGGGEMGRQAAMLCGG LY89DRAFT_707936 MHVVPYCGYEYYWRFPRDIESEIATLAAWRHVKQQQREPLSSDK HDEDDLSEDEDQGGHEGEDNDWKKGFLDRLAEILCYSKEPSLITSAALIYSDGGATIV ATRNSSLKNNTWSDKDIKMLEGLAELLERVSSNDKFEAHLLPALQKALVIYYRQRIQH HAKAVMSLEKDTGIKFLEEDKWSAVTSGQFNVDEFAEHVEYLSYSTEFYSRLETNLEP KKLTRAMQYAIAFLRVAQQCSGFRNVKIVLLESLPPRKVDIWQLPAGQFPVTPKQDYR FKEEVKKSKNVHAEMMLMTYLLSSRAPGSDAFPYLGISKKTCLLCGHILQEMDYFETR GNHGKVYSQWTLPSTLRTSIEVANNLDKAVQRLRDILLTRDDVPYRSAEKESVMAAPL QPNYGKTATLFNRVVHDPRFLAREAEWLSLRFKKEADNEDLVPTDAPWDNASMPMINT EYPEDAKGENAHPTACAFCNDTCRLTHFCEKCRLTAYCDLDCYRSDWYRHKFSCNSGR PIDATDYLVLACHLNEFPKEDDVAKQYGFMYFASGKDRSRLFNLYHRLVIDWGIDGDE LRSSVEGNNLKEMLVSRCSQDPVMHTDMLWLEKEEGFGANSEGPGLIVLFEKARDELL SPNDRTLPLFELQPREKRDALIFYAQLRNGFKPVLDEDNWISFGFCTAADPALEQQLA SAYVPLVEQCPFDDFWKAMVESRIVDMFSKYGLSDQISHMRNFKDFMATVMVDYGFTN CDNVGQRMQLQEMYKDYFRRGEDEMKLHEACINGNLATFLTSVFGSLEVSPHLLRNPY PLKNCPLAGMVAEYVIACPESALDQIKALKGDDWQDAMIFTIPDCEDDPMVRQIYNRA AFLGTGLGKRSYPGTDGNIIAELTIE LY89DRAFT_719510 MSKPTPFKIAVSDDLLSFIHQRVSTARIPPGLSLPASEAWSDGI PPAVVEQIREYWEKKYDWRAVEARINGHLKMFTLPISEGGEDLTIHFVHHRSSREDAI PLLFQHGWPGSFLEVSKIIDLLTEPKDHEQQAYHVVAPSLPGFTFSSCPRGNEFSIKN MAAVDQKLMLALGYTKYMAQGGDWGSIVARHIGLMYPESCLAIHVNLLFAGMPSFWKN PLTFTYFMFWAARQDTSNKDASGLGRIKWWTSEESGYLEIQGTKPQTLSYGLIDSPIA MAAWLRDKMECLIDDGFEWSEEDTITWAMMYLIPGHSGHAQIYKNTKGKKMEAQKETL STPISSKVDFGASVFPKDVMIIPRWWAEVDVASNFVYWQEHEKGGHFASWENPDVLVQ DIREFTKKIRPSYRKELMEIGKSK LY89DRAFT_647809 MVVFLDLEDENEPPEQVRNHWFMQQQHGNAALLRGLSLRGQDGE DDGRENPNKTKAVTQAMGCYPIIASIASHIDLNTIDALSLTCRQVRANLLQFRNQLII STLHCENEDIEPDPEHTFRYRARAADWYFVEGGREALGTGAGKFGDCARDLVGQCRRC NRVVCRNCTIKPPAPILLRHRHRRICTACSRKPLSSLVHPTSSTHDPTPLSAETLNRE ICTCPDSVWLCQPCGRSLRSSDVEYESIWKWRTRYLPSLGGLGVGIGEGNRGVPCGRG RECVAAKEVESEVDCDAEDARDSNNASPSSSPRSSGIVRNGTPSQMGPGYARHEIEGI GGRVKTKLVRMVKVGCCVPEFEIEKDAGKFLVREQEGLARSWCGWCWRVIPCVKDVVG GGLN LY89DRAFT_707939 MAFTQIRRLHANNVAVLRSSPLSEISGSLGDLGTLLPLMIALAV NNSISLSTTLVFSGLWNIFTGVAFGIPLPVQPMKAVAAVAIARKFTIEETISAGFTTS GFVFIFSITGLLRWFTHVIPTPVVKGIQVGAGLSLVLSAGISLLQPLGWTTPNPFDNL LWALSAFIFLLLTQKLPKIPYALIIFLLGLILSLVIAGPHSLPSFRFWHPRTYLPTWP AFQSGALNAGLGQIPLTTLNSIIAVSYLSSDLLPHLPTPSVTRIGISVALMNLVGGWF GAMPVCHGSGGLAAQYRFGARSGASIIILGAFKILLGLFFGESLVSLLKAYPKGLLGI MVLAAGLELAKVGESLNNGARDLWEVSEGNGKRQREVGEEERMERWTVMFMTIGGLLA FKNDGVGFLAGMLSWAFYRGADLRGWVRGVWESWRGQRNETEEWRAATTVEEEEALLS ES LY89DRAFT_647814 MASTRESSLVPSEAGSASPAQLTPNSKVKALLASLEDDSDEENI SGATESNLVSASKSLTPTKAIKSFEDITAKATAGAETSSEDEEIVRPKGRMAARMQAE QNSSEEDIAPADARERVRKLFAKSKSPTPNANTENDNEDEENDAPVVPRKRKIRQGRR ETPKSSPAKGPSSPGLFVSPSKHGSTSPTRDGSDSEDLPENPVQDDRFMALVVKKRQE REARDAEAAKEKAKKAAERKRYTEMLQEDDDDDSDVEGGRRLTQHSRPTRKASKKAIE EINRESQRLARNQQLAHQAVTKKKITKASLFAKFNFRSSKQEEGAEDLVRPTSSSSAA PHSDMETKDTPPTSPASPGLTEKEATNVFETPLPEVAEDVDVEMPSLEAALASSPPRR LDKGKGKATEEPVEEIEVPKKPLFTQRPIRIRPPKAADRTISLLDDSDSDLEIVAAKT PKLKKKLDALFENVPAKQSRESASLHTLLMLAHLGSPGKQSSGRNKKPSITTTELEMT LHQRARQQAAREREERLQVLRDKGIIIQTAEEREKEMVDVDDILAAARREQDELAKRE KAAAKKERKDNGEVDPLGDSSDDEDWEETKNVPDEELSLSGSDDEAENESGEDAGEES GADEEDEDAMEVDDEERETAAANPMFDNEASDTDDDEADADVSADEEMAENEETNVVD EEEEEEQLPANRRTRKLNIISDDEDENEEQEENSSIFTQFDFPLPPKTDSPMAPNSVL RSATKTFIPGLTVAGPAGLGLTQIFAGTMDESQMDSYDSTQDLPRPTSPQFNANQDSM AFFKGFAAPELPPFIPTMSEDTQEVDGISPSQASHIPESQPTQTQAIDLHFSQSQIQG FDTLQDTQMSQFDATQDMGFQDRTPIKGRYAVPPSTVETVILEPTDLPETLEETPIVK KKGKLRRRAPQVAEFSDEEDAGEPGGLDIDEEEFSLDANAFDVMRKASKKKVVVDEFD KKQSKAKEMVHDQAEESEDEYAGLGGASDDESGGEEDAFVKEMIDDAAGKDADESKLA AFYADRERANDEKQVEKLFKDITNGMLRRKRGADLDLSDSDDGGEAKKRRKRKEFAKM RKALLADERIGKIAENPKRQAFLRAIEDRGSEDEMDFLDDFAEQEENTDSQSQSQAEG SQLVVPDSQPTEMGPPKRKRSDDAGEAENRPPPHLRRTKPAKKPTNLSEIRESLSSLI EEPNAVIPPTDYGSDSDDALEIEDENDLGSGKVKEKENRDPFALRRTNVSIIDRISLK RQSSSTISNSTKLAFTVSSATSGFKVPPLLRRATTNSSITSSTSSGASGGMSATERMA GGTGSEGVRRGGGKGSGINYFARESERRAAVAKRQGRREQKLVKGAQVRAKAVGGLLG GGKFE LY89DRAFT_558395 TLPISQPHSHSNGSIKTIGTTSIRKPERSKPSQTHSFPVPTRHS SSSQQPYYLPPPAERSSYRPNGPNRQNSVQTRYMSMLLGLDTIPRLHNILASFFTWIL LAGFIIFPGTFTSLQTNLSTNPTIDSSTTATAILHSFKHLSLIIIGSICCGIGALGMI WLWHAHSNNYVWLLNRIFLPGCLNSFAGLISTLINVYSQQGG LY89DRAFT_587100 MSDPVGEDGWLALVDEASRTAGDLEQRVGVVELYKRAIAAEPWS VKLWLAYCEWVWSLYTDCQNGDAGWPEEEQLLGQELFSLETALDVWQQGAQATQYRLS DSHELWNRWMSIELDQLANPPSPQSLERIRTLFFDRLQNPHANWEETSQMFSAFISKY DEAAYESTMVQVTKMAKGAKDIYENHEDHELKLRQAINSGNNELVKQEMKNYLEWEHG LTLKKTKKGAPVNSPIFCVALYERALCSTPLGSDASVWEDYIVFLSDTKANVPNAQLP AVLPVIQRATNHCPWSGSLWARYILCAESEGLPFSTMEQIKHAATNTRELDRDGMASV VDFYIAWSSYLKRLAMVADASDENVDVADMGLPTALEDVQTWGNRRHGKEWKGDPMFR IERLIIEHLTQKNHVEQAREFWRNLIKTHDGSLDWPEKIIEIYVRHCQLYEDVDVLLK AMSIVRRVSKGVARRRETEAAALYAQQQQQMEIDQEVQPEVPMETATDSPSSATKRKR ESEEVDGAVNKKVKSVDQDALREQHLKRDRENTTVLVTNLPPEVAQTKVRQYFKEYGH INSIAVKSETDKLSSSALIEFRTPEEAQSALLRDNKYFVDKQIRVIPGTGLTLFVTNF PPTADDAYLHKLFKDCGEIFNIRWPSLKANTHRRFCYISFKTPEGAAAATQLDGQSLG GVYKLSAKYSDPANKKDREGAMAEGRELHITGLDFSITDDQVREVFGKYGNVVRVNIL KKTSGESKGAGFVAFEKKEEATAALELDKTKLKSRILNVEMSVGKNFKPTATTVVKGS SASPAPDGDSAMSPSPAPEGHLNTHAFQGPSKTEITNRTMTLMNIPDTVNDARIRAIA EPYGEIVKLSLRPDHQGAIIEYADAAAAGRAALALENHEIAPGRNLRTGGLKDLFAEK DEVKTDMIQTGPGKKAGPAFMQPTAPVRRPGQGGKSGVGQKKGLGYSVPKAAPSSARP SNDGVNDANGENKKPKSNADFKAMFLKGGTE LY89DRAFT_685746 MSLHLPSRRVVRSATALINPQNPLSFLTPCIQKRTAFWDWSRRN ELPDATRRPQNPLTEEYLKKKPKVQPPTRGDLAESSIFEDEEIAGPKPTAITKDEKGV EQKQLVRNPDAMAAALDPDPRGRQRWERKMVIREIHKRGRLTRVQQLKKEERVVLSKS HDFKTSVKKLMPLARQIAGKTVEEAIIQMRFSKKKVAKDVREHLEHAKNEAIVRRGMG LGIKDQDFTPVQIQTKDGKRVKVRDPTTLYVDQAWCGKGLFQQTPDHRARGNIFLMKN RTTSLSVVLKEEKTRIRLHEDRLKKEQKKKVWVQLPNRPITAQRQYYSW LY89DRAFT_783079 MYTTTNRNIFIRPRKSLLPPTFLKSLWLSTFLPLNVLILCIPVL AFLLASSSDPFNISKHCTTRTHSLSNKNSCENTAEFVTNFFSALVVLCLIIIALGVSV LLTAVFEKVWGHCGWKGNRVGRTLRRIWGFGDGVEVGRERRVLNVLGSRVYLPDVVRD DDRVCGRCSEGGVASWGIIGIDALKSSVHTPELSWTFEEVIIAWY LY89DRAFT_96877 MAAPVTKLQQVKLPSGPSPLTPEQQYWKTFKSSQNVPTQSPVNH ISFPPPPSNALLPSNNDYFVVTAGTRVQIFSIRTRKLLKTITRFSDIAHSAEIRRDGR VMVAGDESGKIQVFDVNSRAILKTWEEHKQPVWTTKFSPTELTTLMSASDDRTVRLWD LPSQQSTTTFVGHTDYVRSGSFMPGTMSNMIVTGSYDQTVRLWDPRIPTRAAMTFKHA AAIESVLPMPSGTTLLASADNQISVLDLVAGKPLQLLKNHQKTVTSLCLASNGTRLVS GGLDGHVKIFETSGWNVVAGSKYPSPVLAVSVISAGANREDKHLAVGMVSGILSIKTR LSGQQKAKERERAKEMQALIDGTIDAYDKKNKKRTRGIEKKLRGLDFVGEGADIIIEG NDRQKKKPETVWERDLRQGRYAQALDTVLAQNSSSVTVLTLLTALRHRSAMRTAFQGR DESTVQPIFMWVIKHITDPRYVSICVDSALLLLDIYSEHVGDSRELGNSVRKLSVQVR REVERSQQACQTSGMLEMLMTGMP LY89DRAFT_734961 MPENSVGTMDGQDDTTRSTNKPRGRPRKDIIDTGIELRRAKTRR AQTTFRARQRQHLNKLEQKCRRLEDVVEQMTTAVLDFSDDLDQSNNLDPNAGENLRTA MTKFVALSKTGSGDPNELQQDPRIFAKIDRRTFKQPSTEAKRAAITAMPTPPTNRPSK ADLRMNHNIWNRHTESSNSASSAIPYILAGRDSFASRLYYETIALVLRSMYGELPGAI AGRMFRFKSRYCTCAKIRGILGSVFNMLLHGTFQSEDVNTDITDDKAVKALIVHQIES SGGSEHDYLSTWEVERYLKKKWRLGIDSNTVKVQASALLSINNKTSQHAAVSDGSPKL VFPTMVPGFPETSPSMWNVESLLERLKLTSVSIGEGPRWHIADIDAAVEAFLTESKED DK LY89DRAFT_96922 MAADTFTFDPEGDLFLRLHYSDEAGVVKVEGCTASSRDASSEED VVLIPVSNDMDVSPPEDASKTVHMLVSSKHLILASSVFKAMFRPGFRESLPRVSEGQM ELDLPDDDPAAFEILLNIIHGHVRKVPDKITLEMLIKLSVLVDKYQVLEVTELHVRSW MPKLRLSLPTEFSPSVICLMSISWVFKMKENFKELTSIAERGSSFDLGEDDQTSLLIP RFITDRIEATRQNGISILISDINNMITAYNTGSFSCTTVFSNNEVMDGNLACDGMVLG SLMKSLASYGLWPLPTAPYVGISMESLANQIRSLKILALCDQDRFQACTWRTDHRPGH GWKTWLSDKADSALFTADGQDLSDMTAQSNLNRFGPTEKLVPGRSSTTEDTLDP LY89DRAFT_96874 MSMTTSRLTFDPDGDLLLRLTYTVAKEKEREDNATGDSPVPSNI SSPLGLFTNLKLAPIRDGHSMDEDEEEEVTWEVEMLVSAKHLMLASPVFRAMFKHSFL EGETLRSTGKVEVSLPDDDTTAMEIVLNIIHGRVRQVPKEVTMDVMTALSILVDKYQM HEVVELYVEIWMVRLKASVPKFFSPELYKWLSVAWVFKLPQEFKHLTWIAVRESCGSN SIMQLPPDPSLPIPEVVLGEIEEQRRKSISDTLSIITILKDKLTAPSPGTIWARPEAA RVCTSTFGSIDIDNKRYSCEGMILGTLLKGLSDQRLWPPPSNPYRAFSFKSIALAVRS LKITSLCDTIQNAPAFKTPAGFTFGSSVSSMQHQAHGQLKWVHDQVDQIESRIMGLDL EIFTGKGQSALAQSTEAES LY89DRAFT_587342 MADCSTIQNILQSHLDRLLQSREYPKTICPSEVARSLTANDLRE AGMGSWRDTMTEIRRLAAAMRSRREIEVLQRGIVLDGELGDNLETVVGPIRLRKPSSK PA LY89DRAFT_734964 MAPERQIFDPDGDVLFILSSTSKLTLEELGLTNQPQPDSPHVLM QVSSKHLMLASPVFKAMLTSPFSEGQTLARTGHVDIELPEDDCVAFTILMNVIHSKGR KVPSVLSLKLLAIVSMLVDKYQLQEIAAVFSDIWIREMALVVTYFYDDTVFYDRNIDV LTHWLLIAWVFRHTVLFEEVSKALVQAARFPLPAVPDEVPIPQSIFDAIDARRMKCLS EIFREVSGWVDHYHEKPWNVSCTGATETPSSVSCTSTTETRRNCDTQVLGSLIRACSV CFIWPTPKRPYTGHSVQGVLERIAELNVISLCDILHPERSNNKGHWVANDILEVCNEL YLQGGLDIGVFAKDCAEQDSKGEN LY89DRAFT_783085 MAPEKQIFDPDGDLTFILPSSSSTTTPDTTSSTTRGSSRKHKAP TKEEHVHMQVSSKHMMLASPVFKAMLTNSFQEGNALREAGKVDIPLPDDDPVVFTIIL DIIHNRGRRVPKVVPLKLLALLSAVVDKYQIQEPVAVFSDSWIKEATEEMSAKRPDEL MMWLSIAWVFRHDEKFEEVTGILLRNSTPAFFKNLDEGIPIPHTVLDAIQCRRVETLQ AIYKVLEYFINLLEKSSPKSVCASARGQVFERDCDAMLLGCLLKACTEASIWPAPEPP YEGHSIRSLQMHMEPLKLRSLCDYINVQGPDLTPATSGYGHYAASAIRLRVKGVVQNL KDFTLEDFLP LY89DRAFT_96981 MRVNHKMVMQASPVFKTMLKHTFLEGNTLYNTGRVEVPLPEDDP IPFGVLMDIIHGRDRWSRVPRQVDLAFLTRLSILVDKYELLQAVSPFVREWFENLRLD IPQAFGPDITHWLCVSWVFRRPDEFNHVTRIAERESNGMDLDSYSGELKEDLPIPQRV LEEISRRRETAIRRSYEVLDRNIDMLQSGSPRCTSTRHEPHRFTCDAVLLGSLLESSS KLGIWPPPEAPYFEVTFKNLMADINQINLTSFCTKMGYTNQSSNSHGMKTEINAALGK VRNRLSGLNMRDFE LY89DRAFT_783087 MTSNSIINFILNNPDFEHHLHLSVAELRNALSTNPTDTSTDEKP KSRFHDLTQGLDDEQKKKTLEVLEKILADTQKKKCTIFEAIVEITVQLTEMPYNPVHW SLRASLLLEIGYPELAAGDARKSQKLSTAMLSGEGVGIAARAEPVYFGKSVEELHLEA FGILARALNEAGAFVNLREMCLEARALYSADNIFTAEFETSAARIKELKNEQRRRAMS YPHVARQNLLGATEILQFGFVDFRRYPFMPLEYLHRNAALFEATNTTILAMTPVSVQP SNVVTNSG LY89DRAFT_670360 MELCKALALTVIVFVRLAGAEAQNSTAPVLGSSVMKNGWQNGPN QRGTITIIWSCLSTILACNWTILHLNVPGNKDSLLIRIRRKAKWMLITILFPEFIFSK AICELQMAVDDLYAMKQMGNTVCWEVEFGWKLKTLHAMLHLIRKPFMPEETAYSESLS ISPASPCAPKVKTVTHPSAQAFDPRLLRGKRRTWTLTHSYFANMGGFERYKDLQIMPL TANALVHCCIGKDHDPLPALSLQKEDIEDKSKADGFVKAVAVAQISWLILSVIVRAHK RMPISQVEICTVAFAVLSVLTYLANWSKPKDIGAPVRFRVALDTYNCEASKFTGTPIF HRRMLAPSENFNPAGVSRIKNDFVRLEGYIPPMAINMSIATIIFGGLHCLAWYFQFPT DAELGIWMGTAVASATIPTAALMINTVAAWSIDRSNSNCWKAFNGPEAVSSNEMRSYI KDTLRLTKNQELSDSQQHVKDHWIDLIKDLDYLKSDLSNSIRSRFRDKVFFATYKNFI SRWEHYIPLHLTSESFENSIKIKHVPRIMSARLQDTEDLELLFALINIKKFVHDQEHK LNKTTRLWQKVSTFCFIIASILYAAVRLTLLALAFAALRSMPEGVFTSTWTRYLPNIS LY89DRAFT_783089 MSNLPSGTHVLSGIESQRLRAFCSSETFSASDLDDFAILLQNAE IEETKIPVFNFILQLTILNPPSRPRYLQIARYLALEAEVPVDITDLSGTTTFMYSIST KPYWDKEFAEIMLQGGAQVNQRNRYGCTAAHDIVMARDFSTEGKMKTFDALTFFVEKG GDLDIKDGDGVSARTIGKSVMRMVPELGFVINPTSGHPSMPTAGHGTTAGTKIGRNDP CRCGSKKKYKVCCGKN LY89DRAFT_647838 MSNTTTDALAKKLSNPVGEKLGIKADSMISYKDIYLGFAPNYDP SVSMTSLNGSTGQKAATYNVYSQLTSANVNSGSYNGNDQYPTSDIIQSGAVLIASLMP TINWTDVTPGLCESVAEFFKNTFTSKGVTVWLRFAHEVNWYVVKGSGNNGNPEYPGGY NYAEYKTAWTNMYNAVKSDKKIFMYWCPNVDTSSEPVAPWWPGKDTVQLVGMDFYPVS TNLPTFKSAYGAFYDTYAKGYDLPFAIAETGTQTSSGTSPTTEAKETWLKAIINPASG FADYPLYCSCTWFEYGPPANSIDFYIVYQQSLETVKETISNTAAGS LY89DRAFT_734971 MASITSSLPSPSSSTGGMNYSNTAEEVYPVQISEESTVTAATTP IELTSTSQTNVQLDIPEDPIHQLPHNADDERNPKKLTIQTTVGSISPSSLADEKSQAS ADATKQSSHTWAKSISSFNISWPKSRAHRNTAATNNHTQWGIHWYSPTCMVVLTLVGI GVMVGHHLYNAKLHGYLVHDPQWLQRWGLALSTFGKMCLAGAVEIAYKQRVWVTVKKR PFRLSTIDGIFMACTDPSGFLNFDLITKATILTLLASLVWALPLSVIVSPATLTSITR LQNTSTICNGIQIIEFTHDDSVSISAPTDSATVNDGREGMAYINDMPSNASIAYYDQP SMGLRRISTRSLLSNFGPLQATNPCLGGANCSYQISFKGPSYDCADASTLSNYTNLAK SQLAPNGQAVYTSFTDVEEDQWGAPVEWQYMTADNDSTIGIFTQEPSLWIGYIINTTN PIEPFNNSSPWPYELEQHVLQCILQNSTYEYNISFINGLMQIDLSRVSKQIPLLPAGA TQHPLDDNYGDFMGYHAAGFIFRNMLSGTVNQTNYTQWTRSYSSISQTPLLDGVSQYV VDNFSGAVEQQFATQILSLSTAPVLYDQVNITAVGIRV LY89DRAFT_783091 MLQIIAQVLGTLLLAATCVASPAPRPTAAPAPREIEQAFQERAI EKRAATCTFSGSLGYSSASKSKASCSTIILDALTVPAGKTLDLTDLPDETTVIFQGET SFAYSEWEGPLFAVSGTNIKVAGESSGGSILNGNGASYWDGEGGSGGVTKPKFFQAHD LTDSLIETLTILNPPVQVFSINGASNLELAYITIDASAGDSLGKNTDGFDIGSSDTVT IEYATVYNQDDCVAINSGTNIIFKNGYCSGGHGLSIGSVGGRDDNTVDGVSFTTSTVT DSVNGIRIKAIEGDTGTITNVEYDDITLSSISKYGILIEQNYDGGDLDGGTATSGVPI TDLTIKNIVGTGAVSSSGYDVVITCGSSGCSDWTWSDVAVTGGKKYGSCTNVPSVAAC S LY89DRAFT_697867 MRLLELKDNGQFSLSKDFVHEVPPYAILSHTWGADDEETKIGYR KIGKQAARDDLHYFWVDTCCIDKANSTELSEAINSMFRWYQDAVICYVYLSDVKSDSE LEHSRWFKRGWTLQELLAPKDLTFYNHEWQRISRSNLTLTNSRITGIPKRILFRDIVG EYSAAQIMSWAAGRETTREEDIAYCLLGLLGVNMPLLYSEGARAFQRLQQEFIKTSTD HSLFNWQGNGVERGPFARSPAEFQDCNYVSIGKQPSLEFLMTNRGLRISLPLMSLGDG NVAAVLNCYGLGGFRLAIYLKNVRDDVYRRVRSTEMIRRLDRNATIPLPRTISIESAV PRLFGRDRQTVQSTGFQFQVNFEAASRLGFRLKHCSPGKVRRKYGHIGLFEIETCIDL MVDCSGEYSGLLFNNVEKNRGRFVMIADIHKWKLWLDITKIGTDETFESVMEEYYHYS KAHNFNADRCRCHWPWEALNRVSFSLCCGLLVEACVKSGEVENQFKVELLVKDGLD LY89DRAFT_97073 MYPNINDDVAVFFTVVSMDCVSRGNCFLITASSTPLHRAMTRGG ISSFQNSGDLFETCRLLVDAGADEVLIDNMEFITEFNGSLLAFKYLQRQTYPPFHSMP AASRLALATEYLVNIEGGTIALC LY89DRAFT_97080 MAGRLLHPSYFMLDSLSSILVRYLSYIHKFFSLLPNKFSSRRLI RSLLSSPSLTILFCDIDSMAEVLGVVASGIAVGQIAGQITGSIIKLKGYWSQIKEAPA EISHLFREIESFTVMLQHMQDDRSQQSNLPSSIHNICIQRSLDLCQECATELAGLVHD LAIKVQGKNGWRKKVGSTKVVLRKEDIKILKRRLKTAMGMLSLSYQFHTSAMIQLQPD IIMTRIANHLTTSQVLKPSVRGEQPQENSTEETCYSGSRNLVATQYDSWTNSVPWMNI VLGHFQYYHRQHMRRGKWQETIYATYRLPQLLSYYQFALWAIHPLSGWWFRFDVHRSV PQNNPFFLAIKGGDLAVV LY89DRAFT_587234 MKCSSFSVVSLLTSIVSCSPGLQQVPLKGKECVHPPYTIHLFSK APLVIYISNFLTTDERSHLQDVTKGTFARSAVADESGEEGHRQTRTSQSTTVPRDSIV RCIESRALAFQGFEIPRSHLEPLQLVQYSSGEKYHLHTDWFESPERMTSEVGGNRLSS FFVYIAAGNLTGGGTNFPILNAPYDERWCEFVDCDEPWDNGVTFKPVPGNAVFWQNLN EDGSGDPATIHAGLPVTSGSKLGMNIWTRQGPLSEKFRGRDEET LY89DRAFT_97094 MLPRNLLICILDLIAARTAFGWNHVSKSQFQSLTRETSITLVAF VNPETEASKALEAEWISATSQTNQHLLSIDCKAEADLCAEYDVVSFPAIRLLKGSESS MRYKGPRKSSEIIPFLHRAVAPTVSLVNQKNITTFTSSDETVFIAYTTPEDHHLQSTF AALASKNHDKYAFGIATDKNLANSAGITLPSIVCLRPGEGEQEVLSGEAGIDAIEKFI ETATAPTIGEFTRRNEMKYMKAGKSLVYFFAKTEAERDAYKAPLKPVAKKYKEYLSFV TVDAVEYGHMLPALGHAKGSSPVVSVFNPMYGQVFPFAKGKKITAESVEGFVMDIVQG KVQPSVPGQERVGIVHDEM LY89DRAFT_734977 MLKRDPVKLPQTEAGVDNDVTAAQAVLGTPELVDLILAYLSPAT LLRSCVKVCRVWWYVISNPSLSLQVALFLRPAEGGELVFNDILPKWRVERPVVNHWHF PFENALIPVPIDELGWRKNEEAWERSEERWSGMLISQPPCRDIIVVTTFSVNWELRPP LLQYLKMEKGLTIGLLRKKVEDWNRKKRTLLDSQGRVTHEENSVMERKVFSIGDMERA SGRLQPRFGPYFLKIVTETMSENADDVDVFHGPDFKKRRKIMKKKKAILGRMKERISR ALGAVLRRARG LY89DRAFT_618486 MSTSPSFNTSEPKGGDPLLVDVNAQYAGFEYHYTYLIFCGFIVW LIIPGIGLLYGGLARRKSALALLFQSLMVAAVTTFQWMFWGYSLAYSRTAGPFIGDLA NFGMKNVMAAPSPGSAVIPEIVFCLYQLLFCACTVQIVIGGAFERGRIIPSLVFGFWW ATVVYCPIACWTWNSNGWLYQLPSLDFAGGGPVHISSGWSALAYAFVLGKRKHHGDKA HGKPHNTTLVFLGTILIWFGWFGFNGGSTLNATVRAMLAAFNTNTAASTGMIGWVMVD YIKHRKFSVVGACEGAIAGLVGITPAAGFVGVWLAAVIGFVTAVVCALLQDLNEWLHL DEGLEVFKLHGIGGMVGSFLTGIFASETISMLDGASSAPGGIDGNGIQVGKQFAEITA ISAYSFIVSCALLYILKYIPGMHLRVDEDAEMKGLDLDQFFDEQIGDWGMFDELERRK AELEISSPGTPQVQEIQEPVGAKDAEKKA LY89DRAFT_719534 MSNLKVAVLMNGFTSPNTPMIRDSFISALEAAASSIDSFETPTI DFYDPIVAQIHPDLSAYDLIVLSGGTVDPMGSDPWVLKLQTYLNTTVAEHPKQKIVGI CWGHQTTSVSFGGKVGNMDGPEIGVHQITLTPEGKKMFPFAQDGKLNIHEFHRREIKS PAKGFVPLAEGSQSFINEANTIITFQGHPELNAALAKNFVETTPAYMGLDDEKKAGLV ASAGLEHDGVKIWERILRWVKE LY89DRAFT_685757 MSASNSSLRPRQRTFTSCTECRRRKQRCNQAKDMCSNCRRRWPP VVCTYSEGSSPPPSFDSVIDLQDDHMAQPVLGSSGGSHQSYVQISQSNFNGYPATTMA SNYYSAPPSGYYIPTATARPDYGGTYQGESSNSSSRNQYSSVTNTGSYAVADAGYYQS SQPDYSSAGTQGGYYPTSNYYTSNGDPTAFVGANPAEYYCVEPDHDDVPVSRS LY89DRAFT_647855 MWFSKALFLLFAGLTAATPTPVGAGDLLSKASIPAVSGLKFNID GTTGYFAGTNSYWIGFLTNNADVDLVMTHLKASGLKVLRVWGFNDVTQSTSGVWYQSF ISGKTPQINTGANGLQRLDYVVSSAEAHGIKLIVNFVNNWGDYGGMPAYNTFYGTTKT TWYTDAKVQAQYQTYIKAVVSRHINSTAIFAWELANEPRCNGCATSIVTTWATKTSAY IRSLDPNHMITLGDEGFMNGGGDGSYPYTTGEGMDFEANLKIPDISFGTFHLYPTSWS EKDALGFGNGWIKAHGAVCASVGKPCVFEEYGMESNKPAIEGPWQTTALNTSGMGGDM YWQYGDTLSSGKTADDQYTIYYGSSDFTTLVTDHVKSIG LY89DRAFT_670375 MRFRIDLFALYLTLAVVHAQNQTVVDGCPKENYACLDVINSSQC IEELVIEKDAAITKDALEKCVDTEGAASNLTGAAKVGAETSHIKVGHVENTRLIKVWM LY89DRAFT_697871 MHWTKILALALAVPSIAVVRFHCSQLNSFNATMDTKKDMPGEST CTTCQYAEDFSNYWTAVMYFRAQNGSYKRVPQLGNNQFTNANGGLTVYYMQDAIYDQQ QKSKVTAFQPGFRMFIGDIAARTLAEAARFRQLTYTCLDTFITRQPEMMAFPDHVCKE GIMTTLRFPTCWDGKNLDSPDHMAHISYPETGTFESGGPCPSTHPVRTGQVMFEVVWD TKPFNNLTWPTGGKNPFVWSFGDATGYANHADYVFGWKGDALQKILDTPCIFNCTQAG DKIQAVDDMNKCTQKAIVDEDIDSWLPSLPGGFQAEYGPTF LY89DRAFT_719539 MLFTKLFLSGLMAAGFVFTLPVVEISDVQVREAQGGPGGPGGAP KNTGPPVQGPGPLQTGPPSNQGPGPAQTGPAGGPGKPPVTVQPKPTQSFNQGPPPPPP STTGVPPPVFATPPAFTQVVTITVY LY89DRAFT_97288 MSLKDSCSTITMIPRSEQDLTTRYCFRFCLCPPTCCCSAAFKYQ PRSTCNSPRCIQILSSSDTFKSPLRHLIPVTVRRRCG LY89DRAFT_707951 MHILSSDELNVVHATVIGSAIGNPTAFTSAITVNVEDLWDLFVG PVSTATVNTTVSPTPIPTNELIPPPGLYYSSFPSGQQIPMVSKNESWKFPAGFWWGVA SAAYQAEGAVKAEGRGPSIWDVLLHRVTGYSVANQTGDIADNHYYLYKQDIARIAALG VKTYSFSISWSRVFPFGNGPVNELALAHYDDVIDTCIEYGVEPSVTLFHWDLPLNLQN QYGGWLSSDIVDDFANYAQVVFQRYGNKVPRWFTVNEPIVFCDDYPLPDNYFTNTSIP KLEQPYWCGHHVLLAHAKAYHLARSLGINGTISFKNNGGYKIPLTNSSADALAVQRAW DFNEGWFANPVFINGDYPQYLKEYVSGFLPAFTQEEMEMINGTADIFAHDAYTSQFYM APDSGFDACVSNSSHPLYPSCTNTTYTYSAADGGWNIGPAADPLSPWLHKATDWVPIF LHYIQDTWHPRGGVAVTEFGFAEPFEVQKMIKADILFDPIRSSYYRDYMQAILLAISE GVDVVGCLAWSIWDNLEWADGYQDKFGVQYVNFTTQERAYKASFFEYVNAFKVYGTDP VVPHYVPT LY89DRAFT_539710 RLLLVHPGAFDHPLNCSLIVCSLDEKPSYNALSYTWGGLGEPKF ITLEGIAWEITANLEQALLHLRPSNKELSLWVDAICINQNDDREKAYQVEMMGDVYRF ATRVRAWIG LY89DRAFT_734986 MSANLSSSAPTTAAIPKLNATITKSSSSRISSNDSNSNIASATE LTFSSSQTSPISSKNVTSATELTPGSSYIDSSTSTTFGESNSITELASSSSQINSYSS NSSTSFIPTTATDASFIQTGMTTSSNSFSVAWTTPTSFSTVTVSRNSSSTGPISSLLS SSIWIPGTGMLPFPTTTGSSLSQAPLTFSGTWTDLSTTAASFVWFDIPTATIADTTAT SEAALLGNLFFALQANRHLLTDPKLKSQFLDDVEKTKDEALALFNDLDVKIPSQIVQT QERERGMRYQRSN LY89DRAFT_734987 MAKDLEDGDDEKSSSASETSQSSTTSTSSSSSSSSSSSSQACSF TDTSHLCESIEATTELSAIIEIAVYTSVNYDAALASSIAQAQLTEPTVFPSGWALLPG GSATLESTLSTNMNSTTSQPSLTTFLAQSSMNSSLTTTSIMPSSSSDISLTAIESNSS ISNALIPSTPLTISISQTSEIPPLLSSTLAPITSSIIPSATPESSSTIASATPSPSSS TVPQASSTSRPPPVSSPTWEAKFMFWHSVGTNGEVAGNEWWMFLYPTTSFSKLQLCVA KIALFDDGSIQMTDPLLSPIPWPLGTFSLGLSDDGGEGCSYTG LY89DRAFT_707954 MSSLTKTTIFSLLLRLSLAGTPESCPANAADLSCSGSSTNTCCI NSPGGLFQQVQFWDTDPATGPSDSWTIHGLWPNNCDGTYSESCDSSRAYTDITTLLKN AGETSTLSYMQTYWLSDDESDEKFWEHEWSTHGTCISTLEPSCYTDYTTGEEAVDFFV KVVELFQGLPTYTWLANAGITPSDDTTYALSAMESALESAFGAPVILLCQDTNVVYEI YYGYNTDGNLQNGTFIPTSNVGTSTNCPKTVKYPTK LY89DRAFT_670382 MPLHADPSSLFESTELTEIESPLGSISDNTGIAIPIDRRRLLRM GNRKEMLIFVASETSHATNGVAFQLEECGPYGDDRVSQSRRNCPQRALLRAVIAALQF HDWAKEGRKRLVIATDSHWLCNELKQVQLWKMEDRLSKEEGLDVRWWEVKTKHLEHAR KVAKDGKVSKARKDFMAYRGWLCARGST LY89DRAFT_561719 LSRLPLWLSRWLGYRASAPKPEPQLVVYFWSFIASFCTISILQA IFSYASYFRARHVPAVIGSYGASAVLCFDVIGVPFAQPRALVGGHFISALVGICVTRL IEVRHDGQLLWLAGSLSTALAIVAMRFTKTTHPPAGATALLAAVDPNIHALGWYYLPV ILLSSIVMLVMALFFNNIQRRYPSFWISPPTLPTPTPAPE LY89DRAFT_587237 MHLVFNSIIISLISLLPAIICYLLYISIDPLSLQDEHGNKISSG PRGIPILGSFPFLTKYPELTLNRWAKSFGSLYSIYLGNQLFMIISDPVVAKDLIVNNG STFSGRKEMFIKSQIVFAGRGITATPYNDTWRKHRRIAFKWLNQNAVDSYTDVLDREA SVLLKSLWDESKKGRLPINPQVLGLSCSPHAGRCSLNNMLTITFGTRTDSIHDSLVQT ALRLSRAFMNCTGPMSNLVDFIPLLQRLPLPIIHRAKNLHNDLVETYGGLIKMVEGDM ASGIDVKDCLAKTMLEIRDEEQLDHLDMAILASAFMIGGVETTAAVMQWFSALIPAYP DIQQKSHEELDRVVGRDRLPTIEDEPNLPYCHAIVKEVERCYNPFWLGTPHTVTSNFV YQGKFIPKDTVMVLNTWTMHHDENRFKNPEIFDPERYLNDSLTSSQSSNLSDPSQRDH WMFGAGRRICPGILVAEREIWLTISRMLWAFQMSEVPGQKIDLKEYDGKSGRSPLPFQ IMIQARGESVVKILEGIEG LY89DRAFT_734992 MVKGDSGKRLEDNYEYQKPTPPQRMANPKKNTMVNTLPDYVIFN TMVYLLVRSATPQELEITYVAIPEREVELTDDCPDNVTFAGCLYTYSILHESTLAADK IGQHVKVAPVRTIGQPITVEPPRRSTRVSTNKGGPRISLPSSLARLRLQTTSSDTPLS TVAPHISLPESSTQNGTSQTPLLRPTPGFPSSSHPPANPPAKKALAAQSSESEAEDTS APKRRGPLPGPRPNSGYPRIQHDYIFGTLLTQFLLTKKRRPRYPDFPQMLSDLNRHFD GTVIQEGELYLPAANGKRRARSTYTYEPHQLRDLYHHVFTAMREELDELCERILGKAG VSKPRAAPTKKWKDDDEDKDGNGGFGKVGPVVVDPTGRLPNMYF LY89DRAFT_783105 MDLLTALRTTIQRKYQAKPTLGLKMDQPSYDYNRFTPDPDDVLQ DSIKYLSDLQPLLANLNDTSYEAYLLESMAQMIYTSNLLENAGSSHPLTLGLGQFIFA SSPIEDSSFPIHSTDYSAFRSYLLSKSRKADHEAILRSRKQIIQHALAMKYIVQHMII SNENLSEDLLKETHKILTFQIDADNDERDKSETYSGIYRTSNVGHTFVSFLPPSEIAG AITLAISSFTHDLATAEQSGTLDIYAFATKIHHTILNIHPFLDGNS LY89DRAFT_587277 MESSSSALRRKDTTKGPPLRILSLDGGGVRGYAIFIIIQELMHR LYVETEGKAPKRDQIPKPADHFDLIVGTGTGGLIAIMLGRLRLDLETCKEVYVRMTRK VFETDKTIAGIPYRSTLFKASKLEEAIRECVREHTVFEDEGNDGDEPEGIGNMMSPVS PLSRNVPKRHASNASVASFSNRSPAAYQTRPMMGLRYGNGEALLYDNRENRTKTAVTA TYQGTPQGGAAAVLRSYDSRKEPSPEFDCKIWQAGRATCATGLAFKPITVGQSVFHDE GAGQYNPAPIALDEACVNEWPARDVGVFVSIGTGKRPGGSEQNSHLWYEGFMGEFAEA RRRLISKIEGCEETHQYMVREGLAKRGVNIENYYRFNVEIGVGEFGMNEWNRLADIST GTKRYLSKKEVQDKNWEAAAKLAKIHRARMRFEAERTGGDYKGLVHQGHLQDVPEAYP NAVELPADIPLSQPPRSPPPRPSYESGHHDTLEVPRNHKTPSPRTSSENQTYTSPRNS GTKVSDPNADRFVIQAPTPAEYRSNSGGDKIAIMSSDEYPRTHEPIKRPTNRVEPPPL PPKTPINDPGSGPTMGRARPVLPYPDDGPPPVVNMARKPDYRGR LY89DRAFT_734995 MPIPEALLITNTTLSITVKAFTTCQQAYSLVRGIKDAPKHIQRL STDILGLYQVLGLLQTALTNDNGQNAQLPRQMVQDLEALLDTCTQLSIDVMTVVNPLL TAGGEVKGGIWKSISWELFKKNDLVNLQQTLATCKLTINMAISALNFVTGNQTNTALE QIQKEVAQIRDVQERQANVEQAESISSTEAVCRFSVASYNLPLRRFLEETESLLDPDA SSLSDTSFRPSGGSPIDTGLPDNSWQQFDGISQKRTPFNHHDGWSNRYVQYIAACSPS QINFQSARSISGTMSSQQSRGPVESANKLPCTEPSCSASFTREDDLRRHFEFKHSGTF TYHCPFSTCSLDCPTPCQDPQHHCTFTQPRIDKMKRHFEEVHPSTASGDFGESLSWFY SKTRDMISLRCVTCGESLGNREASSKAIVEPPSFCEVCFCIDSLEDLLSSDENLFAPN LY89DRAFT_618503 MEEKKTIAILGITGTQGGSVARKFLSLSDPPWTVRGITRSLTSP AALSLSASGVDLVQADIDSPSSLIAAFAGAHAIFAVTDFWAPYFSSFGELSQISDRAT GEHAFAIEVRRGKNIVDAAAAAGGRLERFVWSTLPSFKELSRGKYGFAFHFDSKAVVA GYLRGKEGGGLWERSSLLNMGFYTDNMIKYGGFMGAAKVCFLFFFFLDFWGRKAKGKQ DMEDGKYILRKPGKNDAIHPFVVTGDTGEFVELLVRAPPKQNLLGVSEMSDYVTYMKI WTEVTGVPSEVREITVEEADKAAPGGIGREAAESTATSAEFGWGKDLVMPKDLDPNIK LTSLRSYIENEDWEIFLSKI LY89DRAFT_670390 MSVTNIIFDPEGDLLLRLLEPKTGSAVEDKVGPSAPEATSMEEF HMLVSSKHLKLASPVFRAMFQPGFLEGQILQSQARAQIDMPDDDPEAFGLLMNAIHGL SSKMPITVNLKTLTALALVVDKYQSEATFPYAQRWCLVLGDAFDWKNAPMSGIVSWLC ICWVFKMPQSFKMVSRVAERRSSSRLKAVDLPLPEIVLDRIEAKRQSAIDEIIQDLNL NYTKLSSKVLQCRHNPGAPTIREIKFACDSKVLGTLIKGLATQDLWPLPKAPYEGLSF DTVVTRVRDAKFNAPCTEKHFTPSFKANKVEKDMDGLDLDTLMSVEKTSKTLQQLNLS KS LY89DRAFT_734998 MSPTPRTSRKSQRTSPYPTKTSHSPAMNSKATLPKPFISSEAFL SNLIFSIVIQDDEDIFELVFDRSFSHDLNETANGTHLTFNGMKEFTSNLRSDISDRRL VSESFVVMVGDPEGLTGTVAHSFQFTGTQAAENMKSSVVAVVNVSLDEEQQRKIKMES FVFRTDKL LY89DRAFT_618505 MLWSSLVGALALVAPTNALIRFGCSQLVVDRLDPLVQPGVEPSA HLHQIVGGNTFNVTMDPNNDIASMATCTTCQFSEDFSNYWTAVLFFKHKNGTYKRVPQ IGNLGFDNANGGMTIYYMQDALYNMNQSSKVTAFKKGFRMIVGNPTITTKEDARKFRQ ITYTCLQDMATRGPETMEFPTSYCPAGILANVRFPTCWDGKNLDSPNHASHMAYPISG TFESGGPCPDSHPVRVPQLFYETNWDTREFKDLWPADGTSPLTWSQNGMGTDREGYGS HADYVFGWKGDALQRAMDSNCYVNCTTLKTQTMEEQNKCSLANVVKEPIDGWLPQLPG NPTG LY89DRAFT_719548 MSETMQACHERYRSSRAKEGRLKLSTSCTECHRRKQKCDRKRPC RTCTRRFPQVACIYRTLDNSSITSPIEYLLQDRTASSSPCREVAKITCASEQEAKNSQ NGKPAIISYYRKLGSLYQDFAQSHDLCSNSVDLHDPSTYQQLLTTMVSRMSGIVEGKM GSHENDLGFFEDSNENSSRSWDRDPFIRDGSQRMEARQMLQKVTRCPKPSSFSITSVD PLHYLGVKPVAQSSELLQAFVNQVARYVTSIDGRCQPNYYNDVWVPWSMKSPLLANLA IFTASCYQAEAYRRAPKESPVVLRYKCDALTQLNGMLSNKDTSTSNEVIGAIIILIIN EWYWSGYEVVQGHLNGLKEIVRLRVGLGELGMSGFLRRMILLTDYQMAISYKQEPTFP HNVERPGIHPVHMNSPFIRSRDKFSKHIDQLDIRRETAALLDDMRSLLLVVLNHVDRE MSLSEKNNLTAASIAIKDRIFALPSGTGDSDPLAGDYIYKSCRIAALIYCKAIVEKVS LSKACTLWDLDYLWVNMWKIRLARWKQIPGIFLFVILAALPAAQDTAHGRFLKSTLKT TAAHISIDNWNVVDNCLMAHIKLSRWLRAGAKEVIGRSEPKPLEFVHMYEC LY89DRAFT_670394 MKLSHFVRCSAIPVLVHSLAPTDEPRDADPPQSGYLPNHNISPA LLSSYTKKWTMKYNTAEEFYANPLVYTAPNTTKELVILASIQNIVRILDSTTGALIIS RTLDAPYVSSDSNCNDGATVGITGTPIIDTTSNIMYLFTKGYCNGLPGPQGVINGAYK FWALNVPSLTIVPGYPVLIKGPASNDPQRYFIGGTILQRPGLAMMQIKWLVGDSVIAG FGGHCDSMNYTDILIAVSKTPSKGVTDMMEMEVSPGEPADPNLLLGKGGKAGIWQSGM GIAADTVNNRVFFSTGWPRCQQRSYRSPAPGKAGTSTLDQAIVNIGVDPVTGLLKQQD YFSPINYEKLNAGDKDLSSSGVTLLDPITFSGGGVNRVGVAGSKAGVIYVVNADNLGG FKMGPNSSDAGKLSDFEKKGFRLTSSVLQELTFAGFCTTCGALQAWKKVLNAQGQPNF AFAAESNIPLGCHNTPTVTSLNGVAGTAIVWLADTSKGLVAFHAVPNGSVLTQITLPG SGGLQKFHRPIFGNNHVYVTSSNKLIAIGGVAQ LY89DRAFT_749868 MFNFLTPLLLILFTLATFNVAYYAPNQPRPRSVPPKCRFSPTYT QQDILNDPASFISDILYWEGQYHQNNVSYNAHNGMSYDGTLLNETTGFPTAKHPFSAA SKELLQIMLYTHALAGSHSAAQFLSPDEPRKARSIAFEIMSLKLQTYLKFNETYPGFG GFLPWYTGDSIEITPTYDWVNRVPALDNGGLIWAVYSAVQVLEMSAMPEYNDLVKKWQ SWLDYTKTTAAKVVSHIFYKGDGIVCAVTDPTNQTYQVNDPQQNYTCEGNNTLNDPYE GEFFTWWLYFFGGLSDKDKNLLWEVKRPQLVSVDYDMDGFGPVTVQKGNLGFLFSSHE QWKVMEMPYYDVEIVKRVYHNAERARTCNSVVTKVPGMFASVNNSTNPTTGQIIGYIS NAGIPSISNQTVQELDVMTPYSVFPLILFNKAIGMAWWKNMVDGKKMQNPYGSSESTR VDGTATSSFVSWDSKLTTVNAILGGVGHIVREKMQRDGLYDDFVYVLQREYGSVFKQL RGEDYALCLPSESIPDEVTALKFP LY89DRAFT_618508 MLSLSHLSVVLALLHYSTCTPFHSVFSRATTALAASEHCGDEDY VILSGTPWIVYNMLYNADVTVGTQCTNFESVEKPATGNPEVIWSSVTDIEYVESTDNV PKGYSFVGLTQNLETTISAISSIPASYNWSRTNTTAFKGNICFDFMTSDTKGDSTSTS AQELMLWLQYEGSQLPIGWGDVVTTIDSLFGTSWKLYEAKNTDTGITVHSMLPDVQFD GSFEGDLKEWLEALVNLGRFTNETYVNVGNAGTEFFYGDSVMNATLGLQIDLS LY89DRAFT_647875 MALQLSEVISEHEFTSIAHVLYAAFHEPFNPFYELLNNTQGTYE EQIQAKAARHAEAWKDDPARHWLKITDSSNNNEVIAAASWLIYEEAPESSKAPIDAQW QPEGSIIREFTSRWLGAIVGSQQHALQKPHLVIDQLATHPSHRNSGAANMLLQWGLEQ ADRLGLEIMVNAVPAGLTYYQHFGFVDKGVVDPDMTIEEPSDEWKRLQKIDKHLYWMT RPPTCS LY89DRAFT_685766 MDKLADELRSSANEKPRPQSRKVVCLAVVLIAALGFYHRGSWTP GNRKFETALDSNVSPYGRFPVPNDPFRFIPCTSTSLPPPLDDPHPEASWAKLFDPKPT HWNWGKSKSNETHESLTDPYAGRGIFLCGYVDVPLDYTNKSDSRIARLAVTKFQVSGL APTGSCSSAGKKSERTIVIEPGGPGGSGTSMAWRAAEDVTERLSEGAFDVLGWDPRGV NTSLPAISCFPYDADRDRWALLRSQAREVSPSPRAQLEFVDAFYESTFRACWQRQGDL SRFVSTAFVARDLEEIRKALGEDELTGYLVSYGTGIGQTYANMFPDSVGRMILDGTEY VRDHRLVGGFGWTALDNGTDAWHDGFLGECLNAGPEHCALAKPRQGENATVAELEIRL QSLLQSLIERPIPGYRESSGPSLITYTRLVDSLYSAMYNARTWPALAKMLYDLENGNS TLATEFLDEWEYDPTLPCTSTRKKPSGSDELGIMVICSDSYDAHQPDGLDWWDDLWAN MTSKSWIAGNTRFHSVFPCRHFSDYWPRPADVYRGDLNNTLKNPVLLIAETYDPATPL RNGRRLLNEMGKNARLIAHHGYGHSSRDSSNCTDSIARGFILRGELPEEAETACFADE KPYLYGVTGRSGLASTPDWDPVVDWREHVAEMTLWR LY89DRAFT_670399 MEHNESYPAGASTSPSNDGSITHDEKVKKAQRVLGMEEGSTAII SADDQLLATLGYRAELKREFSYLTVFGQSFGAMGIAPAIAESIIFSLGSAGAPGMVWT YFTGCILLIPVALSLGELGSSMPTSGGLYYWVARLTPARQRAFMCWLAGYMNVLGYIS IYASTIYAATLILGAICSIGSDSAFVATKYQNYGMFAATTFLTFGMTCVSSATMSKLN FSYIIVQFCMLLALIIALAAGTPSEFKNSASFVFSDFENTGFWTNNGWAFMLSFLTPV WVVSGFESSATIAEEASNAARAVPFAMVSSLLTALVTGWGVIITIAFCMGTDVVGIVT TPLGQPLAQIAFNSLGKKGSIALLVFLWGSSVCNCSILMVAASRETFAFARDHGLPFS SFLRVLSANKTPARAVGFVALMTLAEGLLMLVNTIAINSIFNLAIMGLYFAYCMPLIS RLLFRHFTPGVFYMGDTLSLISAVYSVAWMTFIFIILLFPSYQDPTAQEMNYAVVVLG FVLVFCVVYYWFPKYGGKTFFKGPVRTIDDILEESPEVQREVERQIQEEKS LY89DRAFT_735007 MSSSVLAKSPDGIYDGRGAWIYDFVAEGQTCPSNSDIAGIGVVI SSVLCSLYTALASILLAGLDARYGKSTTRYARCRHLLVTSVTVLADAQAFMGLALLTA AYLNLTQYQNANASVLDFQDAHFTLAGREFDDALSPTSSHLASLLVLSLDRYKMTTLI RVGVIISFAVFLTITIDVSEYAFEPAFIMMERVLIDYLHFSKGSENILEYFAPPMAMA WIFWISVAQLLEPWKDCWLRKPARLRRQRYWQLARLAKAVIRFLVFGHPLAVLLLQVC FAGVSVACALLQKFTPAPSPTTSDIAKGVGQWCSLNNAQDNAWGFGQTSALIVLIIPV YSTVHEFLDSNRERHAHPEQELEEAKICKCLWQTSTTSTASSHGQNSIV LY89DRAFT_670401 MMLLLDLPNEVLDSIFSYSRVRELRSLILCSRHVHTLALPHLYH DINFTVRAVGINKQRSLWATRIVDRFIATLESHPERASWVTSASLTWDKYHSDFGLSK VLGLLTSANTITLKVELNAYPDIPSQPAAPPWESEGSSSRASHLLSQSRTSRTLKSLV LDDPQTTTADVARIMALPALTELTIVQFNEWKSRDVFAPLQNTITPPSSNVCKLHFLN FLASNYKISENLFKNQTQLETLTWDVMTEYKLSPSVIRGCLHHLRDNLLELHLTRAYK PDMPMLGPDSTELDVLQFSCLKVLEIHSHFLFPAIPWHDRPKGQRDWTSSHTVDLQLS NRLPATLEFLKIWFEYREYAFKPWKLGDESHEWIISLAQQSQSRTPKLSNVMIIEKRW ASEREWLPWVPIAEVHEPFDKAKIELEVWLRGLVDP LY89DRAFT_97505 MSPSSARNVDRITELQNSLTSLSKVALENEDERKKLLAVLRAQV AVLETPLEVVWRMMMEPHQSTALRSAQGMGLIEPIVSSSPRTATELATITKCDKQLIV RILRCLSAMKMVDEVDYETYASNPTTQILTVPSVGGGFKFMFDEAAVSVVHVPAFLSK TGYKNPEGPETCFQSAFNTDLQLFPYLMANPERMGHLNDLMTGQRMNRTEWFDFSDVD SILFDGMDTKDSDATLLIDIGGGRGHDLEAFRNKFPNAKGKLVLQDLPPVIADIEALD SSIVRMEHNFFTEQPIKGARAYYIRSCLHDYNDADDRKILRQIVDAMKPGYSKLLIFE WILPDVGTPLYPALLDLNMLALFSGMERTETHWRNLLESVGLEVVKVWSIGKETEGLI EAMKKA LY89DRAFT_587101 MFLPGSPQSSVGTDDAPSFGHRHARPRRSFKKSRRGCRTCKARK CDEVHPICSNCSKRFPGLKSCDFEPFVEVTGQGGETLRPRKRTRNTVEDSLQASFAPH PTGLTPEGRSRLLELKLMHHYTNVTCTQLPDGELAEGRRVWSVDIPRLAFQSDLVLNA LFGISALHYSALTPKDPVLPYAATFYFDKVVRNHRIALANVDRGSAEAVLATAILICH HTWISAHSTTSDGLYEVPLQTYYMARGIQALFDQMWPWLRGSAYLWYIERQPIMASGE IAHQTEWATNVQEDLARVSDTFEDDDVSTEDKIIYEKTVAELSSMCCAISNSVSQQQL QRRVATMPVRLPGRFLELIELKDPRALGLLARNLALLKVINTVWWLHGLEPSQLVTET SFAGIAGMLPNEWLWIMAWPAKVISGEMRP LY89DRAFT_586981 QLPSLSWQAGESKQLTIVSRRWYHQSQIKNQVLFIDGGIESFSD RSIIQDVCFTFGRYNYIIAIDMNMSWDWESNITEAVINKTLDSPTGNPPPNIQNAALF RGPSQDLQVYLYGGITPSVNQSFPDLQYPTTNQYTLWGFNTETHTWTQYDLFSEVPER PSQGAAAEASDLGLEFYMNGMITQWSSPSTSYLGNQSLFLSGMVILDLNTKTVPSLIS SLATNRSTDIVTNGYPRVRGGIIYVPGLGPQGVLVTVGGAIQSSGSLDLGNFFSLVSM DQANIFDVSTALPTYNGSDNGWYTQTIIGTTPEPRVDFCLVLASAPDNSSHNIFMYVG LLSLLGWDPSQQNKYFDEIWVLSLPSFTWIAIYNGTSPRFGHTCHAVGNRQMITIGSV DNVNAADYCDWEFKSVAIYDMTDGGGSRNGWGSVFSPYDAPYQVNDVISAAIGGNLNG NATKLLPNGGWSSTLIAHLFTGTDNQTAPVGLGGMSTTTRSSHIDHTPIIAGTVGGAV ALFLVAFLALLWKRYRAAILKKRQQENQQEQFLKTELPNGRDLSTRFSSS LY89DRAFT_97538 MLDPMTALGIAGNIVQFVDFSIKLLGDAHELHKSAEGSLQESLD VEKVAGTIRILQMKLRIQDDHHVSGDDKAENLLEELCTSCDETAKELFEVLGSLKVQG KKSPWKSMRQAIKSIKGKATIAKICDRLNGFRETLELTILVDLRNLISNQSSTLTILS ILKVAVRTLSFPFFRIGVSSRQPLMHKLPS LY89DRAFT_685769 MQFQSKACCSRPPVVLAGGYNYTPKGTYTTYSGLKTYETGPKTS TRGILFIYDIFGLYIQTLRGADILSTGYPSPLPLDNSGTYRVFMPDFFSSHPADIANY PPKTPNQFSYITSFMTGPADPAKTLPLIPSLVAELKEKNPEIESWAILGFCWGGKIAA LSSQEGSLFKASAQAHPSLLDTEDATKIVIPHVVLPSNDEVPEIMEEWVKELMKSSPK SYSETFTDQVHGWMTSRADFENQHNFEEYLRGYRIVRAFFDEHM LY89DRAFT_735014 MAIPLYGDENPQHDEAAQLALIGLGVMCLTTLMIQAVINLWPES QPQSAPAPALDSTSSSSSSTSPATPAPSTPPKANQPDTTLFLAMLGYFALCGSVGGMT VWILQLGIVDGKRRNSILMYAIGGINVLLAYLVLTKEKRGTVYMLGALWAPLIGIVGG SLIV LY89DRAFT_783124 MMSHSATPLDAESAQDTTPPQNNFLPPFVSTRIAEEDDDDEWEY EYSATETETYYVTLDLTTPGIPTRHRPVAEETRTKRARWVAPTGGRGGSKGTVGPRLS KVAPQFSVGDKKGDPAEGAGQDDEGDDEDPVPTKAATPDTSADVVTIANRRPTEIQIL SLDTNNPIVAYGGQFYSCQWASNIGTEMLFTKRGEYRLGEYPLPALRQLPDNVDLLAA SSARIIAKHIQLIPKPHHQQANKKRARFEDEEEYKEAKPLIPVWGKSSEQRKEQAKFL SQMIQIKEEMGDEDMVTVNVRKRLTAQKWMVELKKNRREERAKLREVVEEGDEGDEDV ERAAARLEEMDQEDEEEGWADTPEDIEAERKAHPAKRPRHGPGSRGGKGKVRGAGRCR GGRGRGRRRGGSIGPGVEPAPQRGRPPKVPHKGSDILEGSHQGSVDVSTPGGFSDQYN AGTPAGFDSNVAETPRDFESPTGIGYEAPTPNGGFYAEQSPVASGDVPTPDGEVYDED SPSKQLFHDMDMA LY89DRAFT_735016 MASKLLEILMHIIQPLYFLLVSASYIPTTIFHLLIAGDFNTLFS LSLFKDAWFANFWGRVGPEAREMCAPRAGPLIESAHGIVLDIGPGSGEWLKLFDKSKV TRILGVEPNRDHHAALKKRIQEAGLEGIYEIVPVGVEDLGSKWVGEGEVDTVVTIQCL CSVDEPRKMIDELYGYLKEGGIWVLYEHVVAFEHQGMMIKGYQSAIDIVWPHFIGGCS ITRDTGKWMREAGNWSKVDLYQPDDEPSYNVLPHVMGTLTK LY89DRAFT_97599 MGAAKKYALLPMEDEEVGPAKVVEKKKEKKRHGEKSSSRRDKHR ERSRERSRERSPRRESEHRSKTIRKREHNEENFEDRWGDEEYISEEEPEFQESASKRQ KTDHDEDDGLDEEERERRRDQAEKDAFAKRLAAKDSDRTRKLVEDKSSTKEGNVLAQR RALAEDAAARSAALPDLRERSRQDYLKKRETERLALLRKQVAEETEELRSGVRLSEKE KAEFAKNREVLRIAEERLRIDDHLDGYAMPEDYITEKGKIDRKKKEDAMYKRYVDRDE YGQEKFVTEHEEWEREQASKAKAQIQSNERVEDGEYDYVLDEAQGIKWIMDSRLPGEG KGLSKEERFLAEQLKAAEKRALSMDETRKSLPIYAYRDQFLEALEKYQILVIVGETGS GKTTQLPQYLHEAGYTKGGLKVGCTQPRRVAAMSVAARVADEMGVKVGNEVGYSIRFE DSTSDKTVLKYMTDALVKDLARERPEMKLLISSATMNAAKFANYFDDAPIFNIPGRRY PVDIHYTPQPEANYLAAAITTVFQIHTTQGKGDILVFLTGQEEIEAAEQNITEISRKL GSRVPELVICPIYANLPSELQSKIFEPTPDGARKVVLATNIAETSLTIDGIVYVIDPG FVKENVYNPATGMSKLVAVPCSRASANQRSGRAGRVGPGKCFRLYTKWAFMNEMEEST TPEIQRTNLNDIVLLLKSLGINDLLEFEFMDPPPTETLIGALNQLFALQALNHQGELT KIGRQMAEFPMDPMLAKAVLAADKLGCVEEVLSIVSMLSESSALFFRPKDKKIHADSA RARFTIKEGGDHLTYLNIWNQWVDSDFSFVWAKENFLQQRSLTRARDVRDQLAKLCER VEVTLSSCGANDLVPIQKALTAGFFPNAARLQRGGDSYRTIKNNTTVWVHPSSVLMGE DTPVKTLIYHELVQTTKEYMRSCMPIKPEWLHEAAPHFHKKKDLEALEEKKMPKDRSR I LY89DRAFT_587680 MWLPSFALVLLCLLQLAICAEDFYKLLGIDKHASEKDIKRAYRT LSKKYHPDKNPGDDKAKQKFVEVAEAYEALSDPESRKIYDQYGHEGLKQRQQGGGQQH HDPFDLFSRFFGGGGHFGHQPGQRRGPDMEVRVGVPLANFYNGHTTEFQLEKQHICEE CEGSGSADGHTETCHACNGHGVQIKKHMLAPGIFQQVQAACDHCGGRGKTIKNKCPVC QGNRVIRKVSTFQLNVERGAPKGKRIVYENDADESPDYVAGDLHVTLVEKEANLNDDN ELRVDGTFFRRKGDDLYWKEVLSLREAWLGSWTRNLTHLDGHIVSLSRNRGEVIQPGQ VERVKGEGMPKWHEDGDSVYHTTEFGDLLVEYTVVLPDQMEKGMEKDFWAMWEKWRKK TGVDLHKDSGRPEGPVNHSNKDEL LY89DRAFT_97612 MILEDLLSLLLRIGELAFTAVVAGLTGEYLHNTRSASAWSRKRF IYTEVIAAIGILFSLLFLLPFMASFVHWPMDFLLFAGLMIAFGLLAHYISPSCGSIWN WHGIANGGSCDKFKADLAFLFMGSIFFLASALLGLYVMHKYRARADAGARRGWYRRRA RV LY89DRAFT_618533 MASKITPFVFRSAFPPARIASRTQCRSFMASSRLTSDALAVHRD SPENNASIPFNFTEQNNKLVEEILKRYPPQYKKAAVMPLLDLGQRQHGFTSLSVMNEV ARLLDMPPMRVYEVATFYTMYNRSPVGKWHLQACTTTPCQLGGCGSDSIVKAISEHLG IKAGETTKDGLFTFVEVECLGACVNAPMVQINDDYYEDLTPESTVALLKALQESANVV ETTASSSEVEGGKGALTGDDKNVKSGAEVGKDSGRIYEKDGVKVPSPGPMSGRKTCEN IAGLTNLTSEPWGPEVFRKDL LY89DRAFT_749882 MLSLIALFMVIISTFLLFLRYLFSGDSSSLLSAIPTSHFLAPYT RYWLVWLKGTGCEHRVRHAAHARLGPIVRIGPNEISVTCIENGVQTIYGGSFEKAAWY LNFQNYGQPSMFAMGHNKPHSERKCMLTHVCSKSYIQNSPELNEIIHHVLSERLLPKM RTWAKNGVPINVHEENKACIMDLTTAYFFGLGNGTNFVQDPAQTALLKNFELGMSGLF WIAEVPGITKLAGIFGIKLIADGVFQSYQLMEDLCTNMCKSAKSNLSNESTNKAHPTV YAQLRSKLEEAKIPSELLDVTIVAEMLDHIQAGHEGTGITLTFLMCELSRNPTLMVQL REELATLGTSPSAQSIDNLPLLDALLMETMRLYPGSFGPASVYSLHRNPKVFPDPEEW RPERWIEASGEKKKEMMK LY89DRAFT_685777 MAYNTTLYNCSVSDDALRPVIINACRSGFDFTLMFEQTILSILP SALLLLLMPFRLFVLYGENIKTIRDVRYGAKAAAVAVFAAVQMSLLILWATYDNIRTP ASVPAAALSFVNAIALGQLSYLEHTRSLQPSTLITTYLLLSLLFDAAQARTLYLRTDS NVLAAVLTATIALKLTVLVLEAQNKRSSLRQPYRSYAREALSGIFNRSIFWWLKPLFV GGFSKVLTLDDLGSIDESLSSEPLRDRIQTIWDKRKQPEGEHSLTIACIKTLKWPILS TVFPRLCLIAFNYSQTFLISRLISFVGDPSTAQNKNDGYGLIAAGALIYTGIALSTVH FKHRLYRTITMFRGAAVGLIYNKTMKLQDGVYNESAAVTLMSTDIDRVASSMVSVHEI WAQLIEVIIGIWLLARQVGWVSVIPVVLIILCSIGTQRVSRNFGSAQRIWTAATQKRI AMTSSILGSMKSAKIMGLAGAMTESIQGQRETEVGLSRRYRWIAVLIYTIGSIPTNCG PVLTFIAFAIQARVRHQDTLSTNQAFTSLAILSLVTAPVEALLIAAPLVAAGNGCMQR IQIFLRAASWDDTRLLFQETPSMSSQTPMDNIEMENIGGKESNSVALSIKNLTLRYSP TLEPALKDINTELKRGSLTMLVGPIGSGKSSFMKAILGELPYQSGTISVSSKNVAYCA QTSWMLNISIRQIICGLIENTPIDENWYRAVLHACALNEDLQHFPEGDESVIGSRGLV LSGGQKQRVALARAVYAKRAIVLLDDVLSALDGVTEDRVVTRLLGSEGLLRQSTVLLF THATRHLHLADKIIVLDKIGQIAQEGTYEELKATSGYIKDLLIHPPRYAEAIVEQEIV AKTAAPKPPLAANLNSVRKTGDISVYLYYLQHVGWPAGLVFLLGAAGLAFTRTFPQVW LKFWTEANGADISKYISVYVVLAVGSTAFLTLTYAWILVLVIPRAGLRLHKVMLKVIM AAPISFFARTDTGVTINRFSQDLALIDRQLPTMMALVFMSSFFCIAQAALIATGSSYM GITIPFVLAVVYVVQKVYLRTSRQLRHMDLEERSPLYTHFLETLDGLSTIRSFGWQEE SRSTNIQRLDRSQRPYYLLYCIQRWLNLVLDLIVALMAIVVIALATQLRSTTSAGAIG IALNSILGFNTSLSNLIDVWTQMETSLGAIVRIKDFEKNTPSENLPGEDGVPPQEWPS SGAVELRNVTAQYGPGMLALQDVSFKISPGQKVGICGRTGSGKSSLILTLLRLLDLKD GSIFVDGIDLSTLPRELIRSRITAIPQDSFILTGTVRHNVDPFGGIPDEQIIEALQKL TLWPILLERGGLNADMQSQPLSQGQQQLFTLARALLHRSSILLLDEATSNVDGNTDAL MQRIIREEFATCTIITVAHRMNSITDADIVVVLENGRVAEVGNPRELLKKRSKFAELD GR LY89DRAFT_685779 MMKKIRFQEATEYAHLLKWAGGNAPAFKLISLAPPAPPGKVYEV LHLHHHEVRALVRKNHALMREFESQTNCKITISREWKLQPDKEIGLFGYRENVEEAKK VILGRQRS LY89DRAFT_554844 LVSSRKTANSSVIVYDTPQYVRPYILPKSYGLRQGSAVEGNIYA VTGNSSGAFCLMITNGAGSGGAGVFPHVHKRTHENFYSSKVRVQLWSQSLNQFLSSAN GMGQQSRILDQGDIGSVPPNTIHTFSLLDPDTTLTGVLVPGGFENLFFALDSNPALLA SPTGLASFDVYPQPNFTARTDLVNGIGGSGNWHNGPNTIPNSSIPYFIAKDWNGKWLN DDAGYCQLVAPLVTPAASNNWFTQGTVTLSPKPANVTALELNFKEPVAFQMEEGMLAV EMGGERHRIIDGDVLFVPGNTTFKYWAEAEFTKFMYVCNGTEGLDRLLMAKGKAWESA FYPS LY89DRAFT_685780 MRGLVWNTSSAYARLGLRILFNLLLLSFGLIGDQCCKERTELQI LLKQPLDLTHYTGWLHGSNAAWAMHKMKRLPAGWLGVVMILTGLMWTAADLAVSGLVV SIDVRDRCAFNTSGLYEVMYNESFSNYIVPYTVGNLFNLVTRAQVTSQANGGLGGIYE KVNGDPTFRADPEDILGQWNCHDVGDDQQYPAHDNITTVLEDLGSKNILFDVQLACSD DYPDNTYGHLVAWSSPRQDFQSTDPWDVRASIDTTANNKEPINMKSFLCSMNASSVEW VLGRTNPATTLKNWCDQLKGLMYENTLTVPPVANPAAVLESTLDAIIMISGASQSGPN ITTSPIKDPTQGCLIPKASITWLVVLLLIVVILGTAGMLGYWLFLLRSVRKLRSWRLP SERGEVPNGLLSWMVQAVNDTGMTVSSAELNRWYLVPRGDGRSVRIAREVIRCWQRVI LY89DRAFT_685781 MSKQFSTADVASHKDAESGMYIIIDENVYDVTGFVDEHPGGAKI LKRVAGKDATKQFWKYHNESVLKKYSPKLKIGSVGEKAKL LY89DRAFT_550290 PHKTRSGIPPPARRSMEDESRELPPGWVRQYDSKSHHQFFVDTA SSPPRSIWHHPYDDETYLSTISASERERIRNLHPVPTRADIEAESSGDDEHHHYEGGG GEESLSGPKKFGRKVKDKLTGSTHQEREVARRKREEEERRMYEQHQHIRRQMSLAAET GQPQLLGKDRNGKDVYIEPPAADPYGGGFGGGGFGGYGGGLGYGYNPYSQG LY89DRAFT_587757 MEHYPVQNSEVFLLGSSHAPNVPPPSPPSPATPCERALSPPPED LMSMTPPEIQDSCPSFSQRLSNAVHVLGTEATALSCLTRLYETEPVARGGFNSAVEAI TRFRCDKGKLVICGIGKSGHIAKKLVATMNSLKIHAIYLHPTEALHGDLGGIGKYDTI LLITFSGKTPELIQLLPHFDTSLPLIVMTSHTHPSTCAIIKQRPDAILLPAPIHKSET DSFGFNAPTTSTTMALALGDALAVVISNELHINVQAVFSQNHPGGAIGQAAKGPSKIS DLYIRLSDLPSIDHAAITGANVLMSAYQSPSGWVRQGDDTVMSPRRIRRLQQDDFEEP AMCIQGLMVPRKEWIEIPSETSLPQAREWIKSMRSSTCDGETKYSDDAILVVMVDGDV SGVIEIGEVM LY89DRAFT_735029 MPLYLASNRFYQIPMQAGHSRDYFDSLPLSPPAAYSYEFNMAAI SKIEAQQAVANLLAKREKSWAAREPGVILVFCIVFIVASGLLGLCVSKALAKRRAARQ TN LY89DRAFT_586856 MSDGSSTVLNTPLRQPRILAQIRERDECEREERARYQESNIPDT AQWGQYVHSGGSNLSLPAIPSQCLLPSETGSVTSGCGSSYLKPFGGLGLRALSHIPTP SAVARGQPVGSGVPLNEAERPVQIYEDAHGNGYQSLTDVATPSARTSQDPAEDLGQNI ASVQEALNRYAEGRAQTDIALRPVSKGESVHIKQSGSGPRVETLVPHNPDDENLLPRI KHVMGQLMDYPNKIQNHEHRLEQMENASFSNAHIDDLRDDVEKVDGRVDDLEERVHDL DRQMASINDGSVCGRQHVSSFDCKESVTSSAMIASAIDRVDASRVEALEAQVAELQAS AGPSHSRPWEVEVVFLPFGSRLMGVWSSQHGMSERTRLGSTMTDEWTQTQHSLAAAQK VLAAHDQSSAWERSATDFGAEEENQWLMARACGLRSRVDERLRSRGLVKLVQVCGNEA RDVQAAFLKAFGDLPDILAEDPYTPHDENSSSVPKALKAYLSLSSPWIPLRKVHKNSC LRFLTPSEMVTPALWTVSFLSSSVAMRHTKVRRLYVTHRDSYIQHLNRDPTDWTWQKL HPFRARPTRTTSLPHVPIKAPPPTNKRRITSFDRDDLPPQIPSSPSLQLKRRRTRSPR FSAGPPSPYTFIEDIGLKGAGGKERGMTPFAYATPHSNAPPYVERSGSGPPISVYEDE SVAGNENFGGEEEEEEEEDEVRAEFEAGMRYYDDEEGGSIDLDQELQERQVDELEWEG VEESPGLAIGSVVVDGEVEMEVFEDSDSVDSVPSEYPSTQQQRENGAFRIHVDDDEEE DGDDELV LY89DRAFT_735031 MFQPQRVAPQFDPSLVQKWMNACKSSHGIRCQIPAKIDVPGLLL INRYTNQVCEAPSNASYVALSYVWAKTTAVNVVPVASFESNPVKSLPLLHSMSQTITD SICVTKQLGFTYLWIDKYCIKQHDNAHKMAQIKMMDRIYKRAELTIIAAAGDDENEGL PGVRSTLRYPQTLFGHGLHTVIFVPPDISLCSKRSKWATRGWTFQEDILSSRRLCFGF YETFFVCHSMQCREALRGIERTKDDIEVQRYSQVSSSSGSHDNYKAISKFLERGGPFL GDDLKNLQNKPEAIARGYLQQVRHLLKDYTPRTLTCNSDALSAFSGVFSHFEDGQFPV YNIQGLPILFAKQSPMQLEEGLAIALCWDRPHWEESERRPDFPSWTWAGWTGPIDYHH GRLELFPTNVSLEYKEGSIVSLETVARHVADDIKSVAAWQSHSAT LY89DRAFT_567853 QEERDVYYDSRAATQREYRRRGSTLQSYYSQHPELLPQLPFTFR HGFKRWKLAGYIALMIFDACIVPILLYYALTFGGNVQGWITFAVITAIWGGPTYVEFA VRSLRLIKKENFFKPLGADQRWAFDITNWMLVLTIAVVTSLLIVGAAPHIVFLRVLSM PGPAILYCLSGPIFLMSLYSACGWRAPFRISSTAKGEKMLPGIYYIAEDIVAVNAGGG RPFREGWAARYNASPIFRKMLRDLSWFWSIPGLFVAVACTVVVVINPVPEPVSYGIGW GVPFLWAGIWAAITVPWVRSTMGKERRGWEE LY89DRAFT_540670 NTTGTSGVPEGTAGPHNSRVANAADPRVDSDLDSSRRTGAVGTG NTGYTGTGTGVTGTSGSTTTAGPHSSNLANKVDPRVDSDMDGSRNMGAVTGNTGASAY TGNTSNTGYGTTGTTGGISHSTNAGPHNSNLANKADPRVDSDLDGSGNRTGAHTGGLM GASGSHATPGSGTAQNTAGPHNSDLANKLDPRVDADLDGSKTYGGNKTHA LY89DRAFT_98157 MAGYQRYEQLPTTSIEQRSNSPYRKPVAPFNVEQSLPLELTKLS FRQRWFGGLLFTLRTLAALSTCILIINVGWFAWAMSKYGAENGYGTIQEGDCAATKSL NTWLHLAINALSTGLLLSSTAFMQLVNSPSRNEIDTAHQQQKWLSIGLLSPRNFFGVS RKKAILCIILALSSLPFHLLYNSVIFASLSANDYYWAVVTEGFLTGDSFNLTAAEESG PVSQFPLYGADNITYDGPVAQQVLDAYTSMQQNASTYDRLDLAACLQTYTSRLLVDRR DLLLVTTDQNSTNSILAFYSSEISSEGSDDPINWICSNNPNTDRSSCDPSPFLKDPSS WTVYGHPISYCLSEKAPEPCSVKFSSGIAIAVIICNIIKVSAMFFILWNIDISSTVTC TGDAIASFLSKEDQYTGNMTMASRRDFNKRGWYSGQLSSPPRRWGGAASRGRWCTTIF IMILAIVVVVIVLAAALSSASIKGYSTSISSLWSLGIGTVNPELLAFSDNAGTPATMA LLANVPQLILAFIYLVYNAMFRLLFSAQDYALFAFSPQCLMVSTPRGKQRGTWFLGFP FFWAGPMLVLQTFLHWFVSQSFFVVQISVFDEYGVLDNDFASISNCGFSPVSIICAII VAGVMMIITVGLGFRRLKKGSPPAVGNCSAAVAAACHPPLRSERMQYRKLTWTSRSWG SGVFQGFLTPTEP LY89DRAFT_697902 MFIRTYFLFLSVLNIVRSGPVEKKFSLNRRSDGPYPLDEVDKLQ DASLAKFEAYLAKHPSKSGCTLETAIKRLEWSDMPEAQRKEYTKAVLCLQSKPPKSPR DKYPGALNRYDDFVATHETLAFELHSTEHLFPAHRLFIWAYEKALREECGYTGYQPYW NWARTADDPINSPLFNGNDSSMGGNGAPSVYPGIPAMGFSKPYDMIPSAGGGGCVTTG PFKNMTVSLGPLGKIVSNIPDNPQADGFGSNPRCLRRDVNKYAAAVTTANYTYALITQ AKTADDLENVMLGTPAKNDWGVHMGGHYTIGGDPGGDFFSSPGDPVFYLHHGMIDRIW WIWQMQDPDNRMNVLPGNAPLNDTVDLKWTAKPVMTWDMLTNIGGNNGSFCYIYV LY89DRAFT_735036 MLSTRAYLQNRLKTLQPAKDPIVNPLKLLALLNKRQWACWLLGF FAWSWDAFDFHSVTLSYPDFPATFNKTEQQMTYAVTFALMTRPIGGIIFGGLADRYGR KWPFIADCCLLIVFVLATGFTQTFAQFVTVRALFGVAMGGIYGNAAATALEDCPEAAR GLFSGLYQAGYPFGYILASIFYRAFKNHTKYGWRPLFWFGACPPILLIIFRLCLSETD AFQSRRAFRSQRQNIKVAASEVSLAMKNHWFLLLYLSFMLAGMAYCSHNTQDIYPTVL KFTYGYSVSHATLVQVIGSLGGMIGSSLTGYCSQFFGRRLSIIATCFLGGALIYPYGF TSGHGVYATAFFEQFCVQGVWGIVPIHLIELSPPAFRTFVVGTSYQLGVLIASPCNTI IETLGLRYPLPSDGSGTQHYDYRIPICALTGAMFAYVIVMAAIGPEKRGRGMTEDESD DSDIEPGELPVSRTTGITHRRF LY89DRAFT_783143 MPLNIQFAFLGNGHNYFIRVIRVSENGLPQEGECVPATYGLPKS FDSKYKKKSYSKYFLFNIRGHDFLSHCGQWTNANGKVGYLETSSTAISELAQLEPLRI DNEKNGYDGSEMIISFGPRASSFFADVTAPALKRYKSSNLPLGLEDEIQKNMSVQGYG NIYEVMINPATSSEKEDGWVILYAEGKKFAFGGALPHRLKEVLKEAKEAKKGTFLRPK DNSIHRIYLNHQNPDEYVLLFNDGRCHASLHKDFRDDLEEVVSLWATGNGLPNFAFDF ISSCACPKFSQTLQNASYYSKRGMFHLAKSNVDLALKYLRAAADEDETSKDIHDNLAI AIMAMRRKRGTDEILEARINHRSVHARRHRPRRLEHQDEDTLFRDEYMWILDEGYDVD ELEEKLVNLQKQKADEWSYDKAVAGVIHEVGSPVRSSTKAEGEPYELEGVELAHEMSA AQYSRETEFGIDGKEISMSAKGSEKKLRFPFRLGNRLRKGKR LY89DRAFT_98205 MTSDPRKNIVVLGGSYGGVSTAHYLLKHALPQLPEKDSYQVVIV STSSQALCRPACPRAMISDAMFNQEKLFISIPKQFEQYPKESFGFVQGTAIKLDHTNR NVEITLASGTPEAIAFHALVIATGASTPSPLLSLNRDEQFLKSSWIEFRKALPTAKSI VIAGGGPAGVEAAGELGEYLNGRAGWFNSTLTNPKVSITVVTSDSKILPSLRLSIANK AEKYLAQVGAKVIKNIRVKSITPEGAGTENTVLTSKAVVMLDDGKTLEADLYIPAFGT RPNTSFIDKSLLMVDGRIETNSSTLRVDKAGPRIYAVGDVASYARPAVHLILNAIPVL GANIKRDLLLAAGKKETEVSEDRKFEDDTRETQMVPIGKSKGVGAAMGYALPSFLVWL IKGRDYWLWTTGGLWSGKQWAKES LY89DRAFT_587326 LVRRLREAMIKCIVLSGIPSVMEAIASVAAVEQDQDQDHSILRQ HGESDQVLHRRGVDVLDTLFRDDSIIIGLTLGSHKEISWISIHLSYGYFLAEHRILDI VETELVVLPAIMAQNLREPTRWHIRACMRVGLTRDEIKNIQEATRLIARHAGLGLEDA ESVEDVEDP LY89DRAFT_98212 MFDTNSLLLEVSSTVNIFPESRLEQPTSVPLSIIDNTVADFARC AAVWYFDPPSNPEHALSASHLQASLSKTLNSYRPWCGRLSYTTPTPSGKHTERYQRIW VTYNTTTDIGIQFVTATTHRQLLDFIPRASQRIFTMKAWDALDIPSKQLFPETQLAIS EDKDAPNVIIQFTTFACGSTAIAIEITHCLSDAVCLAQFAKYWSLISRSMFEGSPIPT ISPIFDPQLLDSFAAGDIDNSIPDPDIQNRARALPQHRYDWYKQTSMPTDVPADLDPT WELSPSDPIPWEQWDTQAPCSQRVLHFSAAEIQHIYELATKDSKAKISKHDVLLAHVW TRINVARQLPEGTKAYLDMTFGLRARANPPLPDTFLGSPITHAAILLPSKFSSETPLL GQVATTIRETLAKFGTQEIADLLHDNAFEVAPQRLWRACLGREHVLLTTWVHSGVHDV DFGGAELRYVEAAMPACDGLVVVMEAPGKRERKHWSEGGVDVTVFLESGAMERLLVDG KLWG LY89DRAFT_735041 MASTKNTGEASLTASAAQAIAPELFPARIPITPSQIEAGINVCL GIQRRAVTFGKRPFAACLLGPDNETVLLMHQSVDQVNHAESSLARLAYCHYSKEYLWR CTLVSTWEPCAMCSATIYWAHIGRVIYAATNEQLATLTGPGNKENFTMKWHTRDILLD QQKDVEIIGPVDGMDKVVVEESDVYWSKTRQ LY89DRAFT_98216 MMETAATAAAAVRGLFQSTHPINAKWERVKAPSLPRSSHSISVI AGRAYIFGGEINPREPVDNDMHIITLPYGESLESDYKALPAKPEITGGEVPEKRVGHT AAVIGERIFVYGGRGGVEMKPLEEKGRVWIYDTRSDAWSYLDPMPSTPVPETRSYHSS VAIAKPEPSNMKSMAVDNATEEPKASTIAEGAKTDEELGGYGTFFVHAGCPASGRTND LWGFDVRSRTWKEFPTAPGKPRGGTSLAVSKQRIYRYGGFNGEGEEGGYLDYLELGLD TFNDKGGAGEMSVSAKGTWETLNFQEENMQYPGNRSVAGLQAITTGMGREYLVCFLGE RDPSSQGHAGAGKFWGDVWAFQCPPQGMTAASFKDATWQALGRETGEGLWSPVMVSDA EGVEGEDVHKLVPSERGWFPSTTLGDLDASGILLWGGLNGKNEREDNGWILRIE LY89DRAFT_697907 MERLIPGSGVSDVAWEVHVIDSEERNAFVLPGGKVFVYTGILPI TKNDDGLAAVLGHEIAHNVARHQAERQSSTIWMFPLRWTLYMLDASGYTLGLGRFFGD LFLQYGIMLPASREQESEADYIGLLIMAKSCYNPSEAVKVWERMEAANKEDANFPEWL STHPSNAHRITQMEKWLQKAEEAREESGCAVSLHQSRAFQDAIRSWVVGDWTR LY89DRAFT_707977 MAVVLLSRQAKIRHVLPSVIMRAGTSKGLFIHRHHLPDREEDWS AILLAVMGSCPRQIDGMGGATSTTSKVAVISNSARPGIDVDYTFIQVGVGSEAVDLSG NCGNILAGVGPFALDEGLVKAKVGQTEINIHIFNTNTNRTIVETIQVGEDGKFEEDGY FSMGGVKGTASEIKVAFVDPAGSMTGKLFPTGKRQEQLLVSNAPDMEPFYVQVTMCDA ANPFVFVESSSMPQIWHDIDRNSDISFDLVESIRCAGAVTMGLAKDISSAHLVRGSPK IAILSPTLTAISTSSNEALKHPADVSIIAYSMGLPHPSFQLTGAVCLSAAIAVPGTVA SDLAKKRELIDTPPETPLESWCGEEVDMLVKKMKKQVTIAHGSGEMTTDVEILEKVDG EVVVKSVSVSRTARRLMVGNVLFAL LY89DRAFT_697909 MEPPLAPPKVPLIGHLWGMFRHGGGYYKLLCSKYGCCWPLFSIQ IFSTEIYVVNSPELAQAIFRDTKALSFNPFVIEFTRRVMKARDHVMNVISVNDGENDH FDSIHHVTQRSFAHVPAVLETNQRVLNGLARYLNGVDTSGQFVQLFDWIRNAYTVVTA EALYGNVNPISEDPKLVKNIWDFEGGVMTLSLNLFPRLTAPAAWKARKALVPAFIDYY NRGLDQYANSWIKSRAQIGRQDGFTNKDLGSFELTVLYTSIVNTVPNAFSMLCRILRE PALTAKICHEVDSIIGRFNQADGTVRVSLNIADIVKQCPILVSTWQENIRFTVNATSV RVVMEDVVIKDKYLLKKGGIVQICGGAIHESTRYWGQDALTFDPYRFLENKDQSRGVK KARSQGLLPFGGGKHLCPGRNLAFVEVVSFLAMLVVGFDIRMKDGSLVQPPPYKKQYV GEASKKPEHDIEVKITRKEAYKDAVFEFWVESSVEVEESSMAEPGNDDPFQGQQPNFE GLGFSLH LY89DRAFT_735046 MPNVVASIEYLQNLPLYKEEKPYWCMLTPREGFDPDEERLDNLE FEIHDGLTITDIRNSQANALIIDNCGFQVLSHTSKVMSFNTKDDVDKYKAETMEMLTQ ELDAVFVKTYELRLRKNTPIDRPVMNIVDPLLFEGPARGAHNDVTYDSGPVIINRYLT GEEKVDYLKPGYRFRIVNTWRSLVPDLEDRPLALCDARTVTAEDFVAADRIIPDRVGE VYYLLHNSNHRWYYLSKQSPDEPFLFIMYDTAPGENARFCPHVSFQNPVASPKALFRQ SVETRSIVVTRL LY89DRAFT_670437 MGYFVDSEIVTTDWSFVKKDKASVESYCSSDTSDTTHDPNLQLP KHVADFFSGVDTRGYPQKETMAPKAQPWVQIWDGIVEPDSNGELLVACPKKCDFGE LY89DRAFT_98574 MNMASVYGAVDSSRSSVADNPNSTATSMPLPVLKSCQHEILNII MHPSKSIKRSCYSDWFEHYLESRVRNFSRTPLGQSLIPFISVEGSPINGQSGVVISIY QILVIIAREVVSGGTSINTIAQRVMDLKPETRSASPYRVYKFIFKCIAMITLLFDASD DMASSKLQLQLPNASSRKKSRFKQSSIWIRMECPISDTSGGTMDMDIDHLMSHFGNLA PSRSYPSLGHNQDLYKNTIIASNLNYHTLSKIGKLTIEWVESLSLHLELHERTSVVRV FQFPSFCALVCSQMDGQETLLSKLISNGTSELQPDELLNNGQQYTYSRDFLIEVLCSY RLLFGQHHKSYTAFPGICPGGFQDPLLQQLCGTSCKRHDFYDELEVGDSKAMYSAASD FPLIGQRILTLQDYMNSQNPSDLRTLWYDKRDILRWYTFWAVVSVGGLGILLSIVSVA LTAAQVSQGAQSLKSQSTNS LY89DRAFT_719586 MLGVEVAETSDPILLILSETIVKVFTNLLDLWINTCSTIRKNPN WEPWKQQMTQQIPNTQHPFGISVNTTVVEDGVSFPLKVLPYPAYNGFFGRKELLARMN KELDPGQASTGNGNDVNSILLHGTGGVGKTQSALAYAHLNPARFDAIFWVRSDLDADI QASFSNIALALNLHGAKRDGDAQQDENFLYFTRWLGQQAATKNGKRWLMIFDNCDKIG DIWPKYIPKTKGSVLITSRSQSVKLPDSVILPVQPFGEDEGLKLMKKLLYSREEKQLS PSEESSLLNLLRKFDGLPLGIKVIVALMLPRIDRKSKHPITSFAKFYDQNARKMLTRV ERATDYDHDEHREVGQVHVLDNVWQLSFTSLDPDALSVLGMISFMAPQDISISWLNLV DKDVPPSQTVLSVCTKPFELDWAIVNLAKAALINKQNVEGEPEDDDIDTDAIAEIRIA SGSASPTSSAFESTSSLDADDEFGKAKISIHRLVQEALIYSRSTQERQTIFDAAIRVV SMAFPKQINGETLEGETETCSRLIPHVMSLASRYIEFESHATSPLEPTNELGSLLKSC IWFTYEKGERKTALYLLQLAYKICQDKESEVYADLQFKAGGIYLDQNDLAHCRQAWDE ARGIYSRLQRRGNISAKHSLTWVLHALGNLESADGHLDEALSLYAEADEDRRQEQLAQ PWREGLAAMTLGRAYFLKGEFETALEKFSEAESIFNKDYQGSTWMAYLTYAHGNVELA RDNLTEAKTKYIQSQDCWTRSAPSHLGLSACYYKLGCIEFRQQEYLHALKYFRKALRI AKFQAQGDQARILRKQAEVQDAGGFVDQAAVSRKEAEDTRSKITGQAGKSLEDTDAAW DSLVCIFWR LY89DRAFT_719587 MAYWISTHNPYLRAVIQLAPEALLLDTARKLDEERTSHGSRGPL HGIPILVKDNIATHPDLGVASTTGGCYGLVGSRVSSSAPAVDRLVKAGAIILGKANLS ELGWFKGDGIPSGWSALGGQTQSPYVKGGVRPDDTFSGHSNPGGSSSGSAVSVAAGLV TVSIGTETDGSIVFLGTRAGLFRLKPTIGLVSQDGVIPISKLSDAIGPLAKSPRDVAI MLDAIVDPSTTTIPQGGYVSQLSSELEGLKVGAVDVEPWLLSSFLIKPVESATRQEID DIERAYKVLGEKLGASFHENIDLVSAKSVGEDADGIDHFGDLTYADFRPLMNEYLEGL EESPIRSLKDMIEFNEAHADKELPPDHPQQNRLVRAQAFHSDPVRYEQSLNYIRRMSR AEGIDKALSQYDIDVIIGPADSFLSTLAAAAGYPLAVFPLGQMKFNGRPFGLVALTRA HGEDKLLRFMSAWEKTFPRRPVPDLSRL LY89DRAFT_685798 MSSETASLGPLPDGWEQGRYSTGKTFWTNSTSRIKTYYDPRKPN PHPDGFEATPVEGAPLPDGWEIISRTVDGRKHEVFLDHNTHTSTSVDPRLGPIPLPEG WEAGRNSLGQDFWTNAEQRLKTYYDPRKDNVRPGGFAPVPVEGDPLPEGWEVVGKEVD GRRVVSYLDHINHRSTSEDPRG LY89DRAFT_587390 MRQRRYQSNKSFTNSFKTLFRTYPFSVSAAVILIGIGATALLYV NDFYHNYIIGAFHKFPPPVAKELRKALYYTNISLEPKNAVKYYKEALRVADEIGMDPF SDEIIGVKIQLAALMEKLQLYKKAIDILEIVKRDNLRWVDELGNLPGNEGKRTRVLGK TVGISVKLGELYANEYVKEKGAAEERLVWAVETVLKEQQRRESEGVKPGEGEWLSPEE IGGSLEALGHHYEEKDQHYLAAPLFLQALALSPPASCHTAVLMNNLSISLAQQDPPVT PNQTPISRPALISNARAWAEKAITTASKIQPPERTEECDMGCAVATHNLGEFAEMDGN IAEARKRFEEAKSLAKAIGFQDGVINSERGLGRLGKT LY89DRAFT_685799 MAGKFEPKTPVQLAPPKTDIISSSFLKQCNGTDSELCYVAIKGQ VFDVTGNKAYLPGGSYHVFAGHDASRALALTSTKAEDVRADWSDLGDKEKGVLNDWYT FFSKRYNIVGVVEGAANL LY89DRAFT_98739 MGLLNSMVIVVVPPSILGDTSLPLWKSSLSAAEGYFYLTNNYVS ISLTSIWNLKMIYPFTPSSLAVLFHSSTIQTPTRDRKRPLLPTENLWLPTTVAWQCNT RPPPTSYSSSQPSSPPQPV LY89DRAFT_735054 MANQQNYYHRNGPRTYMSSLPSITSTEPGQFHRHPREMRPPVPP HHMEIADLSISRTLPSPTATASSNLDLPPTHGYGEMPFGNPNIAKIYQQFPTDGQIMR PAQDPIHNWYSTNDGPWTPIPKVMPPNVMTDGRFQSKQTGSRNHITSGGQYRPHNPSE SGSFHYGVPHSDSGYGTRRSVANTSVVSGDVLERDQDCHSLTGHVENFQPFMGSNDEM HQRDARSFEPWSTPVTSNPESRGMECPICHKLVKTQSEMKKHELRHTKPFECDAPGCT RTEGFSTPNDLERHRKSKHSEILTSSTGIKRFRCLVDGCKSKDKLWPRLDNFKSHLKR VHQSLSWSEDDMESMVKRGEIWEQAGRPVEEMSVEKHTLPDLAQPPTTFALQDSSIKP RIGQSWTPYPPAIEPAHDLIAPRGPQAGLYESRSATAEERSPIPEAPPQTIVKPGDVV RNYIPDTAPLDRMSLVPAGHDESSVPKPDQAKSHAASKTSPQGKVPRQNNASAATAQL TEALKTVLSKMDLSDMSNDPPPSTGNMERRSQPNKEDSPTDAWPTDPNPAMHTQDNDA DASIPNPKGAKDSQAEKKALEVLRIISRLGFVVSKDPSHSPRQHNIGSAASQKSENQV TCKTCKKFTGRPCELKKHMKRHEKPYGCTFLTCNKVFGSKNDWKRHENSQHFHLEIWR CFETKPVEPPCTKVCYRKMTFQEHLRKDHHISDESLVKLKTDKCRIGRNCQARFWCGF CGKSVDLKKKGLDAWTERFDHIDDHFMGRNQQPKQSIREWIPVDSDKPKGDVASSSPH DQPIFGEPSSSSSSDKDAASGSGSGSGSENEVPGSSCVSSDDRRPTVGAAAGESSATA AINLEPQQRGPNPAVVAGGLGSKRRIGTSSSSDQDECSSSSRNPKRSKTTAYENVIIC VSLHSSLP LY89DRAFT_587057 MSRFFNESRKESQLPLFNAAPINGGQVFAHGYSQTGAPIQSLPQ AAFMRHASPSLSQGLSSNATSAQSPVTDPDWSYYSPGQEEYAMHGGMEFYANSPPTYA NSPPPFESAPPLAPSHYQHYSLPTAQAPGNSCVNMSQVQGFADPQEVTFDADEGYEEM NLKSEYNMEAEKQQVKTEYRHQEAQDYHYHTDSAVGSSIKDINSPEDTSIQAGDDATS DIDAEGEIDNDQDAIEVDPASDTEYTPRSTRTRKRPSTTSTTKPTPKKNSSRVSKPKS STTNSKITCKSCDAPPFKDVTQLARHMASTHTRAFICVFSFAGCASTFASKNEWKRHV SSQHLNLTAWICEIGSCAKVNASKPGGAEFNRKDLFTQHLRRMHAPHSVKRKKQVDEN WEKELKELQVSCLKVKRQAPGQLRCPVRECSVTFEGPNCWDERMEHVGKHLERAAAAG ETGVDQGRDVLLINWAVKERIVEERVGGGYRLVVGSKRDEEEDADAEGEIE LY89DRAFT_647957 MLIARRFSVTNFVIASSALAFQVFVLYPWHQRLDEDLESLKKEH VRVLQELRNEVKVGRETTLGEIRELIKGRALKK LY89DRAFT_647959 MTEPVPGPKGLPFVGNMFDLVDEEAPLRALEHLAELYGPIYKLQ RKGTRVLVVSSIAMAEELFDETRFRKMPPSALAKDSEKPAGLFTAASDDPDWGEAHRI LVPAFGSMAIEAMYPEMQDIGNQLVLKWARMGPNTPISVTDDFTRLTLDTIALCAMDF RFNSFYTEQMHPFVNAMVGMLTESGNRMARPAIVTSMMRKTNAKFNEDQEYMFNISQE LVRHRRENPTKKRDLLNAMINGTDPNSGSTMRDDLIIANIITFLIAGHETTSGLLSFA FLNMLQNPQAYYAAQREVDEVIGRDPVTVDKLSKLEYLNGVLRETLRLTPTAPIISKQ LVAGCTGSRATLGNGKYAVEPTDKLMVLIGAMQRDPSVYGEDANEFRPERMMGESFKN LPSAAWKPFGNGVRACIGRAFAWQEALMVTAMLLQNFDFQLDDPSYKLRIKQTLTIKP ANLKMRATIRHKMEAVDLERSLRGVLSMSPRPSTSTHRSTTPTCPGGEKPMTVLYGSN TGTCTDFAQRLASNAASHGFKATVMEMDAGVCHIPKSQPVIVITASYEGQPPDNAKKF ISWLEHAPSDSLNGVQYAVFGCGHRDWTSTFQRIPTLVDTCMEKCGAQRLVQRGVSDA SQGNMATDFDEWMDQHLWPALGSTGLVSTSDTKTTADADFDISTQSGITSFRQDVQNA TVLEARVLTAPGEPQKRHLEVELPENMNYECGDYLAVLPVNSEENVRRVMSRFILPWD STIVIKGHNFGFLPPNTPLSIRDILAGHVELFEPASKQILQVCASSTPDAELKSQLRT LISDPSTYTTEVLTKRLSLLSLLEQNPSITLSFPAYLQSLTPLKVRYYSISSSPLSSP QKCTLTYNILSSPSLSSPTTVYNGVTGTYLSTLRPGDQIKVSVRPSTKALFHLPLNVA ETPLLMFCAGTGLAPFRGFVQQRAMMAPSRKLAKAVLFVGCRSEEKDRLYKEEFDEWM KQGVVDVRYAFSREEEKSEGCRYVQDRMVRDRDVLVGLWDAGARVYVCGGREFVKGVG EAARGIVREELGARGVSVSGEELKRTFREKIADRCATDVFG LY89DRAFT_98879 MQLNKQKTILVVSEKDNTDEPSRRTGDIWTQLVAHLRSTAPPRS ILHPFKVLQADPWKNFKIDIQLEKAVHGYLTPDRQQRASLLVFGVQLVSQRRKTPFKR LTLEAKLMETQGSPSSRPEVLAHAPFVFEEALKRCEIEVQNARRVKDHAAASFNVGGA KIGIGREVTRSRTSRSIHKYFAKGSSATTVDDDGKCSGIWWNVKQSTIPDAQDDAGID PNYCFAILLTRERNDKAVFKVKINLEAHAGLSYLLENKFSHVIHVKDLNVDPRTWHVG PCRSIDRERLGHFLKPENLVALTKSSR LY89DRAFT_98930 MIQSQLAADHELKQAANHRKREEKYFELLDALKAITTKSNLRKF GKALQRFEHDMAGMTVMAFTHDVRRREVAFSSLPRGTGKAPQPVQGVHLDFTPRSAEQ RLRMILPAEDLPNILKCRW LY89DRAFT_647962 MTGATTKPGQSSLPTPNSTKAFWHSQPSKILLGHRTTPTIPAEA DIVIIGSGISGASAAHFLSQNEESKKLSVVMLEAREACWGATGRNGGHCQPGIYDRSP ELSSFEMRNYLALKDLITKNNIACDWRDLSVVHGYMQKSIFEEALAEYQSHQRADPEI AKLVTVVTKDSSNPSLQDLRVSNAYGAIVQKHASSVWPYKLVCWMLENLLSLNNPVKP AFNLQTKTPATHLQKAEDGSWIVHTPRGMISAKQVLLATNAYTSYLLPSFSDIIVPVR GEMSSLVPPKAMWPGNENAPLEYSYGFMGNGDQNGHRDDYLVQRPYSPSGGNGELMFG GGRSYAKNAGLGVSDDSDIDPPAAEYLKREISLVLDLRNEGKPLEATYEWSGIMGFSR DDRPWVGEVSEELGLGGDKGLWICAAYTGHGMPNAFLSAKAVVELMMGRKDDQVDLPS VHRISKERVEKAKMLEDVQVADWKGIPL LY89DRAFT_735059 MPLCNGFKLEEASIDELQEVMSSGRLTAVQIVSCYVQRIQQTDK YLRAIMELNPDLFDIAASLDYERKQGHVRGPLHGIPFLVKDNIATKDKMETTAGSWAL LGSIVPRDAFVVHKLREAGAILMGHATMTSSGSGVAVTANMVPFTLGTETDGSITSPA DRNALVGVKPTIGLTSRDGTIPESHNLDTIGCLAKTVRDATYCLDAIYGPDIRDNYTL AQETPINGYTPFLSTAVALKNATFGIPWLSFWQYASPFQQSHLLNFISLIQEAGATIV NNTELPSRSRIVPSYGWDWDYGIVRGYPNESEYTVVKVDFYNDIARYLSELNNTDTRS LEDIVRYNIENVGSEGGIPTLHPAFESGQDSFLASLATKGIMDETYWQALSFVRRTSR EDGIDAALYNKGNVLDALLVPFDVSQACSVAAQAGYPMITIPAGVDQASGMPFGMALM GTAWSEGSLVRWASSSEDLAKGTQFGRESEWMRPKWWGYRRRNVPVENI LY89DRAFT_647967 MKAYIAVPVTLAMVYRAWSHQSLTPAGIVAAALTATAHAVHPWS LPFALLIVFFLAGTRVTKVKHDVKAKLTVQASGSAGGEGARTHIQGQYSLSSYLRILA NSAVASVLTLLHAYQLRQREQNPSACYSWPGDILVVGIVANYAAVAADTFSSELGILS KSKPRLITSPTLREVPPGTNGGVTAYGLAAGLLGSLVIVTTSVLLIPFCSPKSGVLGF GGTAGWSFLDRQRFAFAMTIWGALGSVLDSFLGGWLQQSVVDTRSGRVVEGEGGKRVL VSKGGPNSMHYKKRAELKAKLLHGDGKDALEIQPASDESSISAQIEEALNHKMGGSDK YDPKKKFRKPSFGDEKPSRVVESGTLALLDNNEVNFLMALTMSLGAMGVASWFWDVPF RSIFPA LY89DRAFT_719597 MLSQHVRALLPAEISNPRRTIQTSLLRSQCYLSEGKAHLALQQA DLALYIASQHNLYMLEAKAQFYRAKCFIDTARWKEARWALVRAASVRDFEREIEYMGK RCQDEIECEAWLAKEEKYWLKMIADRETEAREKAERGMLGLLSEKTASGDAMVLIINL VVWDMHTGPKPGHRVKLPDIEQLLVPATSFTPEISRTPASTETSPTSPIIPTSSPNLL QIQAPPSRRKTPQWLLDEIASSTPFEASPYAVIAPLSFSRKNSLATEEDTIDLYPEAL SFPTKDLKATTAKQKATHPSDIGNQPAGINTADFAKAKKVEQQTSETKPHEMSFFAGK SSAKLKIAPATLQHKDILAFKQLARAIGPNDACSQIAEAGLKALDEAQQRNIEEPFYD AAEFVKLKQMATRLETQKKGSGEGGSKDDEEISKLTKKNEELEKENKKLKEQIAKHGK TKIGKLEQDLKEAQDMFQEQKDLTKEKEAEIGRMEEGWIRKEDCKEHEDAEVARLDAE IAALQREISEDGSGKGSDDSEGHKTLRARILELDAELEKSKAQIKKQSDQLKARGLIP GATGDLAECEEENERLTGLLLHYKAENAKPGRSGSADAKSVELGLKNLDVMQRINTRM QTALNDAVTKERALKTDLEALRAEVDVFKTNNTDDILKDCWERRDRLEQEVAGNKTQI DQLKEQLRATIADAKSASEQAARDVATVEADINKMNEGEAQDLLDAQEQARELTQENE TIFGLVAKYRDDIEKLKKDLKKKKRSRGKGDSSDEDQRKKKKADAAEIGRLRLEIAKL KRLLRELTSRYEGQRDQSGRMVALNREYMTLLQNARIAYPLADGSGAPDALEDPPEPV GREPSDILASVMEVELAILRAQTEIQWVIAIRGHTGFVAGDLTRATAMIDSALEMVAL LPGPLTNPIRTLLGEANWWSFMVRYYSDDLPGAQLALTIAHNCLAYLRLEESRIAVSA WMARPVLPPIPRTRRGYARKDSIKKSKKTSKKGKGGDENKKDTKDKKDEQEKKDKKDK DKDKKEKDKKGKGKQEDDNSDEMDSDDVDGFQGA LY89DRAFT_735062 MRSWFVKSSALALTFASATIASIPYSLDDADLYQGNTFFNKFSF SLDRDQSHGFVQYISRSQANSTDFGSKLVDYYPDGSVYIGVDTTNTYTVTPGRTGRAS VRLESNAVYTHGLFIVDIAHMPGSICGLWPAFWTTNKANWPNSGEIDIIENIHENTVG TSTFHTSDSRAIACSVVGNQKGKQQTGTQTTYNCNDKATFSNYGSQYSGQGCSATNTD PNSYGKTFNAAGGGVYAMEWTNTSIKVWNWERGNIPADITLGVPIPDTWGLPVTFTDI TTCAIDKAFSQHQIIFNTDFCGDWGNQSTFWQQTSCYKNNPTKYASCETYVGANPQAY AEAYWRVNSLKVYKQTNLLSGLSTSSTTSPFKDVPTSPTFYSSTTSTTSSSLKFAPSS SSTTMFTSSLTTSKSALPSSASDKTSTTARAPSILGSPSLSNTTSAVTTSTLSTRQQT SSGVSTSVSISSKSPLISSPGSPRL LY89DRAFT_99033 MYRIPKSFASFSITRYFNTSLNHDCQEIYRRNKAASATTGSPTT LFPVILPALPLNGTGLLLVVGLLVIGLLLSVAVTFPSALPTVEGLKIKMASGSQKLVK LLWLSF LY89DRAFT_685813 MSEELVLITGISGLVGHAVSREALSSGYKVRAVIRKKENIEKVE QAFASNPELLSKIDFVVIPDLTVPNAFHNYMIGVDHIIHVASVVPHPSDNHERDYYRP PIDMTLNILKAARDNPNVKRVVITSTIGVYIPIMDYLSGNLPNVFRSDDETCHYDMKT TFPHDLLAYAASKAMQLDAAQDFLDKEKPKFETVFIMPSMIVGNDHGATKPEDYINNT TNKCIIGLLVEGGAENAALGSSVGLSDVAKLHVGGLKSSVPPGRYIAASEGEKGMEWT DAFAIVKKHFPEESEKVFKTQGEAAQSVKIKLDASKTEKAYGFKFQSYEDQVKEVVSA YLALLKMDGK LY89DRAFT_647975 MASSCLQSSDNAFGPIVQGCRSNFDFTLLFEQSILSIGPAALFL LAAPPRLTSLFKSKKKTIPNRYRTFKAITCLLLLGIQLGLLVLWSTYRVTRATVPSAV LSFLASVLVLPLSRLEHNRSIKPSSLLSVYLLVSVAFDAVQVRTLFLRHDESAILGLF TTSVGLKLVLLLLESLNKRKYLRAPYSTYPPESTSGVFGRSFFWWLNPMLATGFRRLM TLDDLFVTDNALLSEPLGEEMKKSWNKYRSSGRWALAYAVFHSLRYSLALIVFPRLCL IGFNYAQPFLISSAIIYVSKPAALEDKNNGYGLIGATALIYLGITFCTTHYQHQIYRS CTQFRGGMIALIYDKTLKMQADLADESRSITLMGTDIDRLVVSLDSLCEAVPRLIELC IGIWLLATRLGWVCVAPIIVTIISVVASSRVTKLVGGRQRQWVTAIQRRVSMTSSMLG SMKSVKMMGLSNFLTDTLQNQRIRELDLSKHFRVMGLWRMMLSFLPPILGPLATFVIY AIQASAKGTSQLSTSETFSSLSIITLLTSPASEFLMSLPLIGMSTGCLDRIQNFLLNE DCEDERLLSRGRSDMPERSSDTDNVIELQDLSKESNDLALSVQNATIRPAVTAPPALH DISFQAVKGSITMVIGVVGSGKSTLLKAIIGELRCDSGSISAYSQDSAYCSQTPWLQN ATVRDIICGPAGNAEGDEQWYRTAVHACAFDQDIMELPDQDDTLIGSRGVTLSGGQKQ RLALARAVYARRDLIVLDDVLSAIDAATEHQVVERLLGSQGVFKKLGSTVVLATHAIH HLPLADNIIVLGHDGAVLEQGAFEQLRSQGGFVSNLIIHPGVLGGLDAGPAAEGKAQA SKAAVQLPDTSDLSRQIGDVAVYKYYFCSIGWKVVAWNLTSSFTYMLGNQFPSLWLNF YAEGIVKSLPLFASVYAACAVIALSAATMVLYTLYMQITPRSGASLHRILLNSVMRAP QAFFDNTDSGLILNRFSQDMTLIDGSLPAAAVMASSASWQCLAQFGLIAIGSSYMALT CPLLLVAAYFLQKYYLRTSRQMRFLDLECKSPLFTQFTETLEGLSTIRAFGWQHHFIR ENIKRLDTSQRPYYLLYCIQRWLNLVLLLIVGVTATVVMALATNLTQTTSGGRLGVSL SSIVNFNSSLSLLMMFWTQLETSLGAVARVKGFQDSTESEHKEQENFEPSENWPTHGE IHIKNVSASYGDNPALRSISLTIKAGEKIGICGRTGSGKSTLLSVLLRLVDMTAGTII IDDLDLSRLPRETVRTRITAIPQDPFIVAGSVRLNADPKSTSLDADIITALTKVNLWP LLSSRGGLDADMSANPLSQGQQQIFCLARAMLRGGNDNESGNRILVLDEATSNVDAET DRLMQKLIREEFGKYTILTVAHRLDTILDADRVVVMDSGRVVEVGEPLDLLKRENSAF RALRGRSE LY89DRAFT_587084 MFTTFIAKSPVEEPTRGRLPARKKRAQVARACHWCRVHRVRCDS TYPCTSCRNRGISCRSEAVTEARTLPQAIIEIEELKQKVKELEGKLAHEKQAKLRRIP AVPPTPRLHDCSKPRSGTEAFNQHEIGKRCWEGIHARTARGQQTQWYGPSSTFYFIGR MSTYLRSILPSPLLDEQIQPNSASRSFASPIYSKKVVLEDNTWTRDASAREEYLTGIQ EEYFLGMFWHSYHCTYQILDESEFKEHYKSLWTSAGHTRRPSALVDIVLAICMQYGVA FLPQSCAGIEKKTNVDVTDATIAGRWYYHRCQTLLTAELESPSISTLQSHILSAIYLC NASFQNMAHTTLATAIRIAHILGLHLEPPETMPRVQRELRKRIWWTLYTIESKTSMKL GRPAIVQPIDFMCSLPADKHAVASISGSNFAPVDERVSWLTYGVQLGKLILAARETYL SFYTKCDEIMAANNFNTIYNDPEVLESAADFIKSNMQPLSQWRDDVPEALKTKRKDAG ERFSTDRSPLEVQKFAPLWLQRQRVFLELLYHNLSMNLYRPSICFTPAAHDSTPAADA HSVACVSHAMSITAIMHQLVTETEIMNGWHEAFQWQWNASLSTIGFILAYPTHDTAIV ARKLIEDAISVLELLGNHFAIAASAATVARDLVAKADFLMNRHNGLALKDADMLIGPD QLERSHVDAFTNQQDNMFLEMDSNSFAGSMDFAFSHSFEWLTADSSSIADNWKFTQD LY89DRAFT_707988 MSLPKTYKRAAFREAGGPLVIEETNLVLPSKNEILIKVEACGVC YTDGLSQNNSAAGYPIVPGHEIIGYVVAVGDGVTGWKAGDRAGGPWHGGHDGTCHACK KGYFQMCDNPAVNGFTKDGGYAEYCTLRFEAAVRVPSHVDAAKYAPILCAGVTVFNAM RNMNVPAGSTVAIQGLGGLGHLAIQYANKFGYRVVALSRDSNKEQFARQLGAHEYIDG SKLDVAKALQKLGGASLIVSTAPSADAIKPLLKGLGVLGKLLILSVPGEVSVDTLVMI KYGLSVQVWPSGHALDSEDAIRFTEMEDINCMIEKFPLEKANEAYQAMLKGTVRFRAV ITMD LY89DRAFT_707989 MSHRKKSSKNADIEVTASLQDLQTDEERRILDTVAQVRKCGLES ILSLPQLVVCGDQSAGKSSVLEALTEIPFPRNDNLCTRFATEIILRRAVNNSLTVKII PDTQRPAHEQETIKSFEETITDFDELPEIMEMAMRAMGMKENRAANPSLGAFARDVLS IEIEGPTRPQLTLVDIPGLIANVTKGVTAADVKMVADITDHYISQPRTICLAVISATN DHANQPILTKVRRYDPEGSRTLGIITKPDRLPAGSGSEKAFISLAQNEDVFFKLGWHV LKNRAFEDGDNSLNERNSSESRFFRKSNFNQLPAECVGIATLRSRLSVLLFEHIKAEL PKLRRDLQDALDDAQGQLSMMGNRRSTAADCKAYLMQLSLDVHEIAKAAVDGHYEGAY FHKTPGLVDFLTDDNPISNSRIRAVVQRCNTQFAEGFCKVAHKYHFTLGYDGMQPLDP NVAAYADLAAPPVEMSKKSALKWITTVLARTRGRELVGNYNPLLIGELFWEQSFKWKT IATDHIEEVASHCSQFLKTLIHEKCPMDVESRLWDSKINDVLRERRETAGQELLTIME EINAYPINYNHYYTDTIRARRQTRHRTALAETVRDATKTTLVPQQFRPGVAQQETTST VDIEKVQQKTFIANVTTQIVERHIIRGLEKIFSPVVVNAMTEAEAEAVASEPLSSKRQ REFLEDRIRKLKDGHEIFRGVMGGR LY89DRAFT_685817 MVKRFHQKRGSDDDTVALTAIDTEQQSTNSEPEYEYKQVKWKDF VTKKKYIPWWILFIVISVLVGLMSLYHDKIVDALKPASTKIKDLPAGFLIPVAILIIL SFPPLFGHEIIALLCGVVWGLWIGFAIVAAGTFLGEVGTWFAFKYAFRRKAEKLEKTN LNYAALARVTRDGGFWIVLVIRFSIIPSHFSTAVFSTCDVNFWFFAIATFLTLPKQII LVYVGVLLTEQNTNNTLNYLVAGGAFIITVFAGWYIYSKMQKVKKVLLEEQDERKRAK LEAAAPAPAPMQPMQPMYSQQTGIAY LY89DRAFT_647983 MVSSQVIKALKEALPSGQFIQRGTHSYDKLNSWYLSELESDLQP AYIFQPRTKEEVVTFVQTIKPFIGDEKFAIRGAGCQPLPGCANIQDGITLKLSHLTGI SIEDGIVRIGAGERWGTVYERLDVEGLGVCGSRSANGGIGGLALEGGLSFFSSREGFI CDNVLNYEVVLASGDIVNANAHENSDLWIALRGGGNNFGIVTRFDFRTFKEGNIWGGT VFYFAPSFPSQIEALVGDSLHDPNASTDTHLMLSIGYSAMFSEDIMCLNQPYYTKAVE NPAVLDPFTKIQPQVDSLNTMRLHSLREASQEQAASAQKGVRCAYMNITVKADANTLQ AGSAIYTAGLAPIKTVENGLFSFTLQPYPISLLEKSASAGGNFLGLKSADGPLVSVLL MSYWKNKADDEAVLGFMKTALEKIKQEAALRDKLVFYLYMNYAFSHQDPISSYGAVNK AKLQEISSKYDPEGMFQRGCPGGFKLFPCMGVQDEQDMMAKIVLTVDKH LY89DRAFT_618621 MLPETPSFSSLPLKRGGPRGNAWGLYGEKDELGMLNRLTPETTL AATKEIVHGIRITTDWSLNALKTPCFSRQAFHQHIKHKEPRTVNDDILTLNTQSSTQW DGFRHFGYQDHKIYFNGCTQEDIHSTSKNGINVWVENGGVVGRGVLLDYDHWASTQGR TPKPLETTEITVADLQAVADSQGVTFKPGDILFVHSGYLKALEALESEGGGAKTYATT TDMPGMGVKSCEESLKWIWDNQFSAVVGDMMAFEAIPFQSKTHWMHEWLLAGWGMPIG ELFDLQRLATECRRLSKWSFFFSSVPLNVPGGVASPPNGVAIL LY89DRAFT_99197 MNWQFLAFAAGSERLTVADMTLDFPDTSSSKSTSTQTAHQISGS DLSVSDIESSISFQCTEVGAEKIEFSNSPQNASSETSFRTSSILKWWLPEIVASTLSV ATLFSLALILRLYEKRNITDLRLLSSLTLNGLIAVISTLNRVLLMVPVGSILSQEGWH WFAEKDQRKRCKSSLGDLDALDQAARGAWGSLIFLFCSRLRQVSETSDTIDADIWEVG IPWPLLSLVYYP LY89DRAFT_587418 MSQSASHHSFGKPGHILGREVTYEKDIEVGSIPHDHHKHEEIAG PLSIKDRLKHFTFAWYASTMSTGGVAFVLSVVPNRFEGLTGLGIGIFIFNLFLFTAVT IAMICRFVIHPGTFTHSLTNPHEGFFFATFWLTIATILSNTTAYGIPNSGPWLITAIR IAFWVYTVCATLLAIFYYHILFTVKKLVLTNVLPGWVLPIFPAMLVGTLASAIAKTQP AEHAMTILVAGLSYQGLGMMVAIMMYGLYFGRLLTSGLPADMSRPAMFIAVGPPSFTA LAFIGMAQDVTAAKIFAGYTTLNGIVNQDLIPDVLSLLALIAAIFLWTLAFWFFAIAV VASLEAVQRNDFHLNWYAYVFPNVGFTISAIKIGERLSSPAIQMVGTGMAAVLFFLWI LIVICHIRAIIQKKICWPGKDEDAH LY89DRAFT_99269 MVYHKGQTFRLAKQCLPEYIFIPHPEAEIDPDLLPSPELANCQN MGKAKDGPYRHACHECKSRHLKCDGLRPSCTKCLSAARRCDRAGSKQYIFRHDHNPSI ATSKKKGKRKRKSQLQWSEDQDWAEVQPNLKFIDKSHDLDFLEGSESDNEDENYSPYI PDWPVTALEGSESLYTDVVTQHDPVMRAQEKFGTQLQRPPMSISELVANHDEERSPES TYNRLPFGPQTRSQESSSPLPWTHSPKSYLFIPPSLESSECLWPLQTQDEVTILQHFM SDLCPWFDYCDRDRHFQNLVIPACATDRTLFNAVLAVSARHLSRQGKVDPYLADRYQQ KCLEKLIPEFNDQDTIPNDLLFAGTIVLRVLDEMTEDDPAIRFQGHGLSTQSLIRGRE DSLHESSLRINCLRIELRQEVIISFMTRKPIATIAQFCDIDPSLEPADDWTWTYRIIA CLADCLNLCYGEKRADAVEEYGRLSEYVAGWARQYPPTFRPIGSSKSKNGRTDTLPQI WFLNDCHVAAHHYFGLCRILLLTHDPTIPSIGVDRKVRTEFVDEQIREQVRLLCGIAI SNRQHVCAMHTAGAAIAMCGERFTDPTEQQVLLDILIEAQAHVAWPSLRFEDILRGVW GL LY89DRAFT_735074 MGSISTPPAYDQPLPSGDGILPYALKSSAGSIESSCLAQLKSTS LDTPLEEMKARYERDGYLFVKGVLPPEDVIEARRQYFNYLGPTGLTKEASDPAAGIYC GSDPRLWLAPGKLRTAFGLEGHNPEYVDRMLAVHAAPWYRGFSAHPTIKSFIERLTGW EDSTLLERSILRPNVPGGEATQVHYDQIFLRGGPPTTVTAWVPLGSCTIRGGGLLYLE DSVGIGEKLEKYFAEAAKDFSEEEKISAFNAAMMDTGFLERDAGKFGKEWQRKWLAAD YEAGDVVFHHPFIVHASAVNEDEDGRIRLATDLRYVEKGKPFDERWMKIYEPDDGL LY89DRAFT_647991 MAGIGAIASLSGILAAGILTYLFYNWYRLSHIPGPFWPSLSKYW LVQQSLKGQMHSALKEVTDEYGSLARVGPNDLVTNDPDILRKMMAVRSPYTRGPWYDA MRLDPARNNLLSLRDEEAHTNLRNKMAAGYSGKENSSMEGTIDKQIQNLINLIERKYL STNKKSEPMDLGQKGQFFTLDVISDLAFGKALGFLDKDEDVFEYIKITEQYIPAMLVM ANIPWLARLTHTRLFRSLLPNEADKLGFGAFIGVAKQITRDRFQPGAEPQKDMMGSFI RHGLTQEEVAGETLLQVIAGSDTSAATIRVVLLNLMSSPPCYRKLQEEIDSAIKTGKI SSPITDSEAKQLPFLQAVIKEGLRITPPATGIFNKTVPKGGDVLNGIFVPEGTQIGSS FYGVHHSKKIFGEDADLFRPERWLEAEGDTLATMMSTSDLIFHYGKYQCLGKPVALME LNKIYVELLRSFDFAIVNVNQPAKFLNAGIWIITDFWVRVTRREESP LY89DRAFT_647994 MAAPFPSPTSKWHTETYQSISPSRPELSAKGKTVLITGGGTGIG AETARSFAEAGASRIALLGRREQPLFDTKALIKGKYPSVEVFVASTDVTNKKEVDAAF AKFVGDGKLHILVSNAAMIGPQEAVQDVDSDKFLEAVHLNLKGSLNVAKAFLHYASTN AVVIEINSAAAHFNLADRFACYSTAKLAVYRLWDSLAFGHPELSVFHVQPGVVDTDMN RESGGIKAVGFEDNVSLPASFSVWLASPEAQFLKGKFLYANWDVDELKARAKELESTT LLNIGVGGWPFEATNWKGAWKFETT LY89DRAFT_670467 METADGQSVLRCEQCNKPFDKHMDTTVGLEESVVPLDLDHVSLV PKGKLVVIISDPNARGVYPRPLDVTIHMPRPEAHTERRRIGSSLVEAVPSIDTPQDSR NGDMTSQNALVTLEPNFANAGEQLLDWDYTNIDFAEFLNSPTNEENVQYPSFRSSSLL RQSTPPPSTAQIFHEHRFHEHRFHEHRFHEHQFSSSNISIPPVPDPFFRSLVLKPTVG FGASRIATLILHNLRSYPLMMLRHDALPPFIHPALISSNEEDNYMEPLTNCISLVHMI SSRVQGSRKLFWKNVRVECERLCADHMKLTRWELLAAMQALSIYILIRLEETETDYNN FDVLLVTTVTVIAKRITCSLKTCNTPSALCSYNRDITWKDWIYEESRRRLSVVYRVVG MLVHFDPAGMCALQKDLVLAPLPAKKQLWEASDEFTWRAERERDPRSKSDFGLAANGE LVELDEGQMYCSDAVLLYKSLDARVPERRGAMWEDWCSGMDGFGGLVMLAASLIV LY89DRAFT_618634 MLFNSLVVALASGLVLETLASPLSLVGAKAKREVPFTHSLHERH LSHLSNQWTKKSKVPDTQILPMRIGLKQSNLEAGHDKLMDISTPGSENYGKHMSPEEI VDFFAPEESTIDAVTAWLAESGIGSDRFALSVNKQWIQFDATTGEAEDLLIAEFFVWE HVSGTNDISTEEYHIPTHIREHIDYVTPGTRLRQRAAKRHVNKAIRADGLEKRVPDTV KPLITQLPGFPHPNSSVCDIYVTAECTRVQYSIPNATTAESGNQLGIFESLDVHYSRK DLDIYFSTLYPNIPNGTYPEEDLIDGAIGAIEDTTEFVPIDLEAPLDFDSSQPLIYPQ KLVLFQEDDEYYESTGDFNGFWNTFLDAIDGSYCTYSAFGETGDCTIEACLDPAYPDP NPGGYKGQLQCGVYKPTNVISISYGGDEAGFPDFYMKRQCNEWMKLALQGTTIVMSSG DSGVGGPAGTCNGAEGNILEVDFASTCPYVLSVGSTEWDRFSNTTLPVPGEKLLEVAT KRFPSGGGFSNVFGVQDYQRDAVSAYFDQVESSLGFSGYHHYINNSDFSSVTGGIYHH GGRGYPDVGAVGDRQVVYSNGSWWLVGGTSLSSPVFASVLTLINEARLAAGKSTVGFI HPVIYQHPEAFNDITSGSNPGCGTPGFPAAKGWDPVTGLGSPNFPVLMEVLMGI LY89DRAFT_618637 MPPSPVRKPLGAITTNPTVPTSDPTTMKKHEPASKKRKASEPAV QYDIDDEICQTVDINCNAVRAKIRRFLESGEMKVGEFQRAIGTNSKSYHTFMNQSGPY KGNGSSVYGNAFAFFKHRELNGVKIAKKAKVVEAATAKGGKADTDFEGIMLEGEEENE VPVYDSCDEIRKKIRKYLRETNMSQAAFSREIAKSFHPEKKVSGLAAFMAKKGPAAGN TSSAFYGSYVFFEKMRVRDRKPKTEHRLGMEDAWDGCEPFGSGKPGMDTKKMIDRESY IFHSTRQSTRMNLGRPLWAGKLGCCRGNRDYDHWSWRPIGCVGEFCGVLYLLFCGKTL YKTS LY89DRAFT_750007 MASKEISTATSGDPGTPGEKVHAFGLVSLDSEPVDDIDPKEERA FVWRLDLFFLTIGSLGYTFKYLDQTNISNAYVSDMKEDLSLYGNQLNYFTTYFNIGYM VMWGILTCCLSTVDNYEQGRNVYGLRFLIGFFESCTWPGYFTIISQWYLPHEMALRMS IYNIAQPVGAMLSGALQGALSTNLDGSLGRSGWRWAFIINGVCTIFIALVAFFILPGF LGLEIALARSCRVGRKPQVGITPKTFFRAFTFWQLWAFAIGWAFGGNTTPTSYFNLSV PMLNYLPIVGQAIALVCELLFSGFSDYFGSRLPFLLLHAAINISSLVILVIRPSNQHT YMAGWYMNYIGSVSTMLICAWASTYHEDEPEVRTVFFATGIIMSYLQSAFLPIAAYPA SEAPHWRIGAKLYLAFVVMAVFIFVGTWFGLRWQERKKAEDKIQEDVVVEKAGQKSRT NTVVRFQGDLQEKA LY89DRAFT_587589 MEPVTGDVHRNLQSPEKGDHSLKAIPITLRTVVMAMLVAMGGFI FGYDTGQISGFLEMNVFLERFGQRSNDLVTNPSGYYFSNVRSGLIVGLLSIGTLIGCL IAGPMANRFGRKWCVPVMCLVFCVGVVAQIAVGTGDWVGIAMGRWTAGLGVGGLSVLV PMYMSETAPVPVRGAVVSCYQLFITIGIFTADCINYGTEHYTDTASYRIPMGVGFIWA LMLGIGILWLPETPRHDWNHGRSDRARTTMAKFYGLPEYHIMIETETAEIEKVMQATK GDHPWYEAITGPRMFYRVALAMSLQMLQQLTGANYYFYYGTTIFKGVGLSNSYVTAMI LGGVNVGATFFGVWMANHFRRRESLYIAALWQCMCFLIFASVGQFMFKDAPEGSATAK TAGSVMIVFACLFIVGFATTWGPLVWACIGEMFPYRYRAVGMGLATSANWFWNFMLAF FTPFITGDIEYAYGYVFAGCNLAAFFVVFFFLIESSGKTLEEVDAMYLFHVNPIKSAG FTFDEETRKSIGGGQLGTDAMHLEGRGRKMRKVAEAGQGGVFHDEGKVSPVEGASHAT DHTNGGLPVASTGGNGFKQEL LY89DRAFT_99529 MATKQLQSAQSAPTPTRPKSTNGVINGTKAGVGDTRIVVIIYGQ GQEKIVSVFADVLGKPYRLASSFTEVGSEDHGTVIGIQASDAKTNIKSRNKVLMVAIN AHCVNLGMPPDVDLSSASDYEFLYTEQPFFRRDLSRFIAFTLGQISHHEALITKPRTY FISTTFPDVRTALSNLDILSVGSDAVEIRVDLLKETLPDGSFSSVPSLSYVGEQVMLL RQRTELPIIFTTRCTRENGRFPMDNPDLYYQYLYRAIQWGCEYIDVEVWLPEDIRRRL WEQKGNSRIISAFHDFSGTFKWPSPQAQSIFAESRKYGDIVKMITIINSMSENYELEY FRSQIKANNPDGPPLSAVNMGQLGQLSRALNTVFSPITHPLLPIVAAPGQMSAAEING ALSTMGQLPKKNIYAIGSFRSTPQATFFEKCFNELGLPHNFQSLDRGVRGSVEAFILQ PNFGGAYINPPLNAATQSYIPLLSDAARTIGAVDTIVVRGDSFVGDNATWKGIRATLT RDFAPSAYANRAAIILSSSGEDAASAIFALRSLNIGTIYTVGFKSPAFAAGVEPFTSI ESVQRVDQPFAIISALPPEKSHLVQPLLRHFSRDGGKGKVFVDLANGPRKGDPLAVAE QSGWMAYGTADTSAFTTVETLRLLVGQNVPYSFVRLASGRGLY LY89DRAFT_99541 MSFCSENDDDDYPLPSPPEFTFTPSDVRLLINTPDDTLAYAHVS SHALVLASPVWKNFLFPPWSTSTEKVLEHDFSDDDPAALLVLLRIAHLKLKGIEEFAP SKDLLVDLAILCNKYVCGDLLYPWIAGWVDRHCGGKVEVICKRLDQSLRKKMYKPTST YESQLMMLVGWIFREHPDLTECFAAGASWMLFQSAEFPEFRSIGRCRLRSWVRCVYML DAEEEANVR LY89DRAFT_542666 TSSTGATCDSAVMALASGIQSNIDDQNNELTTVTALGNVLAQNP LDSTLYSATQSSLLGFVTKGIAIRQNNQKIAPAGNPAIAGLATVAIAQMTELNLTMSL AVPASGSVDVGTANKTVEALKGDFKGGIVQNMKNLAAVS LY89DRAFT_719617 MEPHPLFTTLGMLVLDAIHLPNQPPRTDIIGGSGAWCILGARLF LAPPLSHQLGWLIRTGSDFPSSVRALLQSWDIQLHETAVTGQRSTRGELVYADTTFGP KKFRYTTSPLNIQPIHFLSSVPLLATPFLHLLSSPNDTKSQIQDLLNLRRSSGIGEQP TIIWEPAPPSCVPENLASFLEAMKMIDIFSPNHIELAALFSINLRSEDEFDHSTIEHL ASKCLESGIGPRGQGTVIIRAGQEGCYVYSRQRGEFIWLPPYYVHNNSSQVIDPTGAG NAFLGGFAVGLMETGDDVLASCYGAVAASLALEQIGLPALGVGEDRVREERWNGVGVR ERLGEYLSRLRISVSGRS LY89DRAFT_719618 MSTTVNGHASRGEAVLVVTTTTFVLATVFVVSRLFIAFGLSFTI DYATSKGLGRHDVDIPASWQSALRRSEYAFTILYNPALMATKTSILIFYLRLSKNTQN VLRIASYVTLAVVNVAGVVLTFMNAFQCSPVSAAYDPAQTSNQCISITTLYLCSAPVN IITDLAILVLPIPVLTGVRLPQRQKTILVFTFALGVFVTIVDVIRIYYLQQAVDNQVV AHQQLGTGTDFAWNASTALMWSAVEVNVGIICACIPTLKPLIKRILPSLITDRIGSGT ATDKTISFSSQVQGGSGNSHQMDPVAAPVPSLPPAHEGADPEAEMDMMDFLTTPGMQP GTMNRSETVHTQNTDNTVYFGFVNMRRPKSMLKTKDMESFKYCTIVTILFFLWGFSYG LLNTLNDEIANIAGESTAERLGLSTAYFGAYLFGGLTIGQWVLRKAGFKATFIVGLCI YGTGTLMFWPSAVLTSFPGFILSNFVAGLGLSILEAAANPFIALCGPSYHSEFRLLLA QGVQAIASILSQLLAQDVLFAAIGPDNVGLLDVQWAYLAIALFTVILALFFYYMPLPE ATDADLQQQSEDLGIYKAQTILRGKLPLIYTTLALAIFTNFVYVGGQEALSVWFGALL DSVEVKDGGGLTLSVDNYTLVGHATFAFGRFFFAFLCLIIAPRILLLVSFIGCIIFAC LTMSLSSGANSVAGPALVFFFFEGPIFPLVYAIGLRGLGSFTKWGASILTAATSGGAV FTWVMFAVQNLDKKSVEHSYCVIVALYAFGILYPIYLNFVPMARHQVDPSKDDHGLPI GDRRRSSSGRDSPIRRFSRRFSTIFEMIKVPKGGRESEDGFVEHRERRGSKN LY89DRAFT_648016 MSARLPQVGIERLPARRMSNEPRESMNCKSCRKRKVSWPAHGIK CNRLRPTCEACQVFQCPCIYDAVPKKRGPKTDVLEALLKRVDGLERRLKDEKKSHSSN NEGESAADKESSNGDAKPKRPQLDTTTVASETAVYSPTPISEPSPPAVQPDVLLDTYF TRCHSKPYHILDETSTRQRIQLNQIPTYLLFAIYAVSARYTAHPNGYHAAVRLSEDYA LRARTELDIDDPSIECLQALLLLRIAFTAAGKGKKAYMMLASGIGMAVALELHREFDL NTRVTPVEREMRRRLFWTCYLMDRFTACGSKRPSLIADKSILLRLPSWSPNPAALPIE GEFFQNGSNLQYHSGTGKKSQGSSGMLIDIVRILGITNRYLAAGGVKGDSHFPWHSLS NLSKIRQDLDIWASGTQDVFSSVDALFGQPDSTTLVLSKLIYHLIHCLIYRPFLPIDL AELAGTGQHQSWQIEATNLCFLHANAIAELVELGKQSASIEWPAFVGYCICTAGTVHV HGTHYKPITSPSSPTEVFSTSSEFLSREMQQLSELRYAWSSVQHQRETLQTIYSCHSE LVKNLASNPMRYSPVFHLEDFFDRYAELGIGGIDGANVSFCDVRMEGLTEGFMGHDLY APRGSNASSGDSVASKRKPSTTSAARKKHIDLKLSHRIPATDQGLGQQQQLMDPTTPF PVNGNGGMPDLAHTPFSPPSSASYHHQFPGMGQQQQPADSYDPMFGMPQMGFSYSTTP NPNGMNGGGGPGEGMTPGGESTGTGGTEGEKDPFLSLLEQLAENEHSRGGPSELDFFL SGGNG LY89DRAFT_99574 MLPNPSLACQSTHNPLHYCLPAISNRTNSAFLLTLLALCRLRLF LLPRSNLVVNIYLPTDGTVHLNYRKLPKGLRIIETLLRFSQLTLRTEVLLYIHVEPPN GTQIFNRHFCQLISGAEKKAGAGVPVRCRTVRRLVSITSTSTPKIHHFAPDCSSHLIS RTPLSLSSRYRHRYSTAAAAPGPISYCKAKEAAAAAASAILADGMRPALSFVFMM LY89DRAFT_719620 MTPKENNATEIAAPSKKPLSPSQELATFSHYQAYHFSLDPFELS LARLKITGRTRRERSSIRTEMQRDWNAMYYTAKITLERNLGYPECDGKEEMEFEDHPT DINRNQKWNEFLKSNTDRKVKRAEELEKISVEDLRIGFEHLEDLYLQADAGSKCKGLS GLREHIVTIRGKAQAGDSTNFKRRERIVIFLRKLRTCSEVDVADRDARHTEVWTRMHR WALDRWTYNLTYEDCRYVPSEKTPNEKHTYSKYVVEKLEEVDGLEPWRIHEKIQVKLD EVRDKLYEAQDLYLNENLEKEEARYISLAINSVNLGESGRISAAAMANYGAFCGEGGA YIPSGNS LY89DRAFT_618656 MSSFEEQFEKACRDREIPGVILLACDAKGKFQYQKAFGPATPTR PMDLNATMILASCTKLMTTISAMQCVERGLIALDEEVGNVLHELKDPQILTGFANGDS GGPIYKASATQITLRHLLTHTSGLGHDMMNPIYATWRKARGDPPYESEMTQSLIHNIN IPLMYEPGTSWSYGVSLDWAGVLVARLNNCSLEEFMEKNLWTPLGIKNLTFHQELKSD VRKNLVKMTSKNGHELARFSMPRKEAGKVEWTDEVIYEVPTKEECGGGGACGSPVEYM KIMQSILLDDGKILKSSTIDEMFTPQLKGGSLKFWNDFQGFLLLEGAFSREKPGTKMQ WGIGGSLTDQDVETGKKKGTLSWSGLPNLLWTIDRTTGLNCMYASNVIPFGDYKSGEM QRMFEKEMYSRYDKVVK LY89DRAFT_586971 DLIPIPQDRRTWTWQGFAGYWIITEGANNSAWTAGSTMLALGLS VPQAMGSVSDMLFTFCIILTRYRSWGMIGGFWPVLNRIVTACIWMGVQTYWGGQAVKI ILGAVIGPKTAHGAGELFHSPATESGSTLSWNVVYGIQAILGLWSGGIVGQSDWTRYA KTQNASIFGQGVTCPLTIIVTALCGVIITSASATIYGEYFWNPFELLLQIQLVSMTPA ARAGTFFAGLCFLASQMALCIVLNAMSTGMDMAALCPRWINIRRGCYILTVIAVAICP WNFVNTVTTFINVLSGWSIFLSGMTGILIFDYFLVRGRQLHTGDMYRGNKTSAYWYTS GFNWRAIVAWIMGIWPLLPGFIRRIRQVSDGNGWDHVYDLSYFFGFFVSGTIHWALHT AFPAKKQTGSSPFEMELHRTRPAQVHGVRPRAYAESTTSQEYETVTMGREKESNV LY89DRAFT_587155 MGSIEQETPQVSIPVVDFSAWTPDATLEARLAVAKELADACHNV GFVSIINHGVPLELLEEAFAWSKKLFDLKTEEKMLAPHPDGPTVHRGYSWPGLEKVSQ VISSDVEVGEQLRAVTDCKIGSEDNPEQPNVWLPPSTLPGFREFMTHFYWTCNTASLS ILEALGLGMGLSDPSFLVKFHSGHNNQLRLLHYPPIPAAMLETEQAARMPAHSDWGSI TMLFQDDCGGLEVENQKKEGEFMKVTPRSGACVMNVGDLLMRWSNEDYLKSTLHRVTL PPARDRFTGEERMTRARYSIPYFVSPDIDAVIECMKECAGQGNPVKYESVIQGEYRLM RAKLQYRETGPTAAAAPATG LY89DRAFT_735093 MSSDKPRRKIKIDTRFGNARESRSRKDRPCDACRLRKSACVIAV KPPCRFCELRALPCTFTSKPRPRRREDAVSPDQDEAAPNDNASPSEQLASVPQASRFP VPLVPPRRGSDELFVSSPFASAEPSSATRPYSRQYLSLQQLTSAVEVPSPFYAVTPAR PPGQDPVPHSNHEWEEIRLKNSLERGKNITAHFVGISGEQDTNLFASIRYNVINETRF VDFNIRMVFEGDPEQGKPPAHFSIVNDTFSERDQRANRLASDAIEAHVRGYGDALLRL YFRFVHPIFPVLSKVHILSEYARDKLSIPASLRGVIYGLGCAMWSQDSALKHHPPVSQ PELFEHAHAALNRELDSPKLATLQTCLLILHEQADASGTTESPRIWTLACQATACAQS LGLHQDPTNWKIPLWEKKLRKKLWWATYVTDLWTSICHGQTPHIVEGTYDTSELDMDD LASDEDVSGLLGEKLLADQDRWFDPDSGFRFLELIRATKTLSGVVGNAFTLSGYKQAI QKLDIPAREAMIWKYKVAVDQSLLLIPRSLTIDHPGTSHSPNMNAHLHLGIFTIKFLI YRALMAPATSESKTNPTSRLRHHYSGALAEGEALMTFMEKLSTMDLHTFWPRHSRTNL IVAGNHLIYLFFCASTQEQGTKSYGMLEKYRDILRNMAKAADWSTIGLIRPSLLRTES FFHGAAEGIRLAGRT LY89DRAFT_685835 MATTINMPRDPCTLSNYNNWRTKHTVAEFAIDFKKQRLTGSVTL QLESITEKESEEIILDTSYLDITEISVNGSKTKDWVVKDRLEPYGSPLSVKLPGGAAK CSTVDVKIALSTTEKCTSLQWLPPAQTSNKKFPYMFSQSQANHNRSIFPCQDTPDVKS TYDFRIRSPLPVIASGLSTGATKYEHGKDGASGSLLYSFHQEIPIPSYLFALASGDIA TASIGSRSLVSTGPEELLAAKWELEEDMEKFLDIAEKLVFPYQWTQYNVLILPPSFPY GGMENPIFTFATPTIISGDRQNIDVIAHELSHSWSGNLVTNASWEHMWVNEGWTTYLE RRIQAELHGEPHRDFSAIIGWKALEDSVNSYGHDHEFTKLVLDLKGKDPDDSFSSIPY EKGFHLLYYLEKVVGKPAFDKFIPHYFKKWSRKSLDSYDFKATFLDFFSSDKEASKAL EEVDWNSWFYKPGLPPKPKFDTSMADVCYALADKWETKDYSPSASDIKGWTSNQVVVF LEKIQSWKTPLAATQSQAMGKTYSFATSKNVEISSRYFGVGLAAKDETVYQPTADLLG KVGRMKFVRPLYKQLNKVNRELALETFEKDKDFYHPICRQLLEKDLKV LY89DRAFT_587662 MHLILTGATGLVGSAVLHTMLTTPSVSKISILSRRPVTQAEGHE KAQVIIQKDFSVYEKGVLEELKDAHGVVWALGISSTQVDKTEYERITHEYPLAFAKAI AATTSPNPVTFIYVSGEGATTTPGMMTPHWAGIKGRTESELLALSKTSNLRAISLRPG GVDPKEHTEIHEYIPKQKGMMKLVEATLLPPLRVLMPRMMSPTRELGKVLTELALGEG KAFPEKDEGVSGEGRTLNNVAQRRLAGF LY89DRAFT_685840 MSSSSTSLLSASTAPTSTSTTPNSALPMPSFPAEGRSSPKPSTS APTSSPMGHLRGQSDAPRTLTHQRSFPLHNPGHRRHESESSASIMDRGRPKKRSQTPI DGSPIKRSLSKRSPTSSPIITNTTERVEKEKSEEQKAFEHLPSGIRATDATTHLPAPE ILTLRKQAIGQASRFEVLAAKDVEALSRELRALDERCEYLRKTHRSLRSGRRNLHDRI CTYLRSPRVARFSHESMLKQEEALSELDSSIDDWVSKLEVAENRRTRVRQKLLEHVAA ALIMEPAVVPEFNVDAERELVKRHVMNMVSTGAQDPNTPPRSPTKASSPERLQRVVEE VRVASPEATARRGGRDVESIRIYADSDVYALMADLDEEINRMGEQEKSDAREREREQV EQKREDTIAAGLTLNAVTFEGMALHHQRSNGRMRTPDI LY89DRAFT_783196 MATLMEPRALMASICQMLSNCSTDLQSLESEFGPLPSLFVNPGG RRKTGQVHEAKCLRDALDREVERMKWLQFDLLEPGGNKLHLVPDDQRNLAIDGLYNAL NYLKSRICVVIQDYKKRADSQLGAKAFRHADMAALYRNMNHFLHSAMAQAGRAAKILR HSPACTWSKHQCHEVSHNLGVDSLKLIPPDAHPPHVEY LY89DRAFT_735098 MTASELHEKEGYHFVETQPVLDRIATFAKQHDVSEPRFGYYPIL QQMNLLNIETRLVKLWAKYKATELGADDLTDLQTTFKEYCQAIRDFDFILRHREPNEA IQTEEKQDRVAKFRNHLREQGFPNTYRAFVKPVSLNSDLLLAFISNRLPKKLAWSRSE RRRRSEEYNHDGKPLEYSTETRFLASVIILDHRTYRPHDNSKDYKQSVGSFDHVNCYC FLWGIRGGGCGTTVKH LY89DRAFT_697946 MRILVGSLVLRIAQQHDAGASGPINDGFKNDAKFAANHSQAVCY AIPLVAYSFLGIEIVAVTAFEARYSTSLKWPSRVIAYAVFLLYFLCTIGETLTVQWRD DHLPTIYGGGKNSNGTALSPPSSTSLVVNAAWEAGHHALAGFLNGCLIFSALSASNTS LYVSSRTLYGLAREIPDTNWVGKRLNKLSLVVRQTGVPAAALLFSAISFFWLPFLQLK AGYAIQDLIEIMSVSASVSCLVVWAALCLSFIRYDRWQSICDAGLKAEPQYESWRRKS PDYKSYTFLAFAQPYIAWLGLIGCLLVFGFASATWWHTSPDLTKVAVAYAAHVIFLLL FVVFKIVNRRWWVKLDTDVTVLIAALDRLRWLKQDQLPTDHDI LY89DRAFT_735100 MATVEFENVLDPQYSRYINLREELEAYLTEKYGEGIDFKVFHES DRWSFVTPQKLTKKEVKTLTSDIMTKREKKEKEKQNEASS LY89DRAFT_587507 MEYTNSSADNLVESAFSEVYDDANKLRAAVDQKPQGKSRIRIIS IYSERTIEPLQITSILTRKILHKYKVHPDFLRVLFSFGEEPHIAEASSNNLAIHSPRA GETLDITYQVNYVEENLRKGKDPWSFRHTGVYHHHTPDFDLFILLHPINNSVLEDRLL KMLEVDQSVKPKVSQIPAFCKDPYRLHLLVMSSFFDNWRWYFRNLGETFAAENNSAMV IKPETARAQESFARVKSLRNMNDFALFAKACCSSDLDLVEKLKGCDLTPLKDASSLES QKTILRGHVESSTVLEGRIRNAIDLVGYTLTLHNQLETAKVDNELRDMTKELRDVTEQ LRNLQQDTVDDSTTVKIITYVSAIYLPGSFVASVYGTNFFVFDQNAYQITIAHDFWIF IATWLPLTFITGALYIAVLWFDARRKGKQFLWPWSLKPPRPLPGKIQ LY89DRAFT_735102 MSLSRAMTMSMSSSRPPAKIRKEPSPERERPTQELIQEILEELD SVMKMKRPDKPAKAYACHDDLKIIWKRKRIKALIGPSNPSDAQVDFIQKNMIIILSTL VWIGASKCLTQFRSRFFEPIASCEARFTDKQMPCFDKDKLYFLDSEPALKGRFHENHF HFCPVKINIENRQTTQEIDPNEKLPFERIEKGVGSGGFGKVDKVAISPKYYYHKKAGQ DTIFVSEEVYVVACKKIKLKDDFDKEKDNLQILKESLTKHVRIMLHLTTIEHGLSRYI LLPYAAYGDLEVFLHGGYAPGEKTPKYDFDNRFKRLNGRDMILPLFAECLVLADALKW LHNQIRIEKTSDTVFCAHMDLKPANILIQPDDKSVVGKWMISDFGISVFKEDTEQHDP DYGSIGDYISQVTMNTRPKRQEGTYQAPEVKLAEIGFNQSSHLTPDQRGIGRKSDVWS FGCILSEILAFSQGRAALVKEFSMARKKNSKDDYFYATATSESLGLTKSYEVRPTVIS WLDDLSKKAASPQRWLDCYVGTIKKILIVDTTLRPSAEQLLMLMQHVKDHIKHSLEGG QVACRVLSLQEELKPKTIPRKPVRSSTQSPYSHQSPAPRRYSNPPVSKPRDSATNNLT PIMFRSTTFEQERIHPEGHEEDHGVELMETVAEMDSDTEIPSIDSTMPTAEAVISDPT SDLARIPGTLSTTESPRVPFPDQNQDHEPVRPEPHPIQQGSDQPEPNSGQRSSLFTSY ARPAHAHGIKIDRNPPPMIQPFPYISLPPPAGSTFKITSMALTRSIYGARLACLSKSS IFIYTLMTKNLSGILDCKVDLPASNGWTGIAFAGNFLAAWGYDSGKLVYFCDLRLEDS RSLPTSETGMLEKVAVSEQGVAALVCRKKIVIVTMGSSKETISLYASGDQNFTHAAFN DTGDLLFAWAYGQTDKLYCWRCQDSVFQRATESESPYETVKIGKPDLTTVIPYNSYQG CIIEKPDKTLFPAQIRSTLRENKNPFPRNEVKLSNLQAACIFNDHSLITVEKGKMHRR LREYKISYSSTHVLETPGVEICGNLKSSTDSASRMLAVKDGENIVIIICNKNATVEFV KVVQPAARPIR LY89DRAFT_670491 MEQRTGLYHNTPYQHTPTIYDRIQIGKGTTILPGKKDPAVAHLI DHVLEHGYVILPNLFTPTEVSAANAELARLEALESSGPASQGGRNPFEGFQTKRIYAL VDKSRVFDTFAINETVMKLNDYFLQPNFLMTSFHTVNIGPGSKAQEIHTDDGLIALPR PRPLMGIGTMVAIDDFTAENGATTLIPGSHLWDDERNPKREEMIPAIMPAGSMVYFLN TLWHSGGANTSQTWRRSMTVQYCQPWIRPYENFTVAMGWEDLDQVPQRLMRLMGFSTH DFMGYVDGRSPRAGVEMRKKRLIEWAFKEKEKGKMSKL LY89DRAFT_719632 MQHKTLAALLALPFVQVEGISLDVTSTESIKSAASSIASGMVTY YSGNETTNIPGVLPSPYYWWEGGAFLDTLLQYWHLTGDSQYNALVSQALVFQQGPNGD FMPPNQTKSEGNDDQSTWALAAMTAAELKFPDPAGTTWISLAEAVFNDQASRWDTSTC NGGLRWQVFSFNTGYDYKNSDSNGFFFQLASRLGRYTGNSTYSDWANKAYDWSSEIGF VDEKFNVYDGTDVTTNCTSINRLQFSSYAGTYISGAAYMYNSTSGSSQWKSTLDGLLN QTISIFFPDGIATEVACESHSTCITDMYAFKGILAQQLINTIQMAPYTSSVIMPKLTT SAVGAANACSAGLSGTECGFTWGSSSAGNETGLGEQLSALSYVQGLLVGQASDVVTQS TGGSNGTVTSTGGANSSSTTTSGPAATVSKSAGTPVGIKSMSMAVMVGLVGPITWALL LY89DRAFT_100539 MAGMIDTVNMIKQLNLGFAVAGGDSGHLAAENNDGSGAPGVYLP YMHSQPQLTTWIHNAISTFTPPARSLVSSYYGKAARYSYYNGCSTGGAQGFALAQFYP ELFDGIYAGSPGNWYSHLALSFLWNAKKTLNESFIPQVTLDAITAAVLEECDGLDGVE DGLVENPLKCGFDINTMACNASSTNTSQCLTEAQLEAAKEIYAGPTSTNGSEIYPGFS LGSESSWLQQEGSLADAFSIPILQNVVFDNLTYDFRGFDWDRDVEAVDERVGQYIDEI SPDLSTFKNGGGKLLVTQGWADAFNAAIWPIQHLQDIESFFGEDVSDFFNLFMVPGGG HCGQAEAFPQAPGTYHVVDALIKWVERGEKPGSVVSTDGDGGSRLLCPWPQTATWLGG EVGRAGSYVCENS LY89DRAFT_618687 MPSKRNIRIGNVSGATGDHWEAMSRMVENGNVDIVTGDWLSEMN IAWNAITKASDPTLGYEIGFLDQLFQCIDSIVAKGLKVITNAGALNVEALASKVEGLC RERGHGQVVVAHVLGDDITELLKDKEKRRKLDLRHLDHEAQSFEDWDLKDDIQSAVAY IGAWGIVEALDTGADIVICGRVTDASPVIAAAAWWYDWKRGSFDQLAGALVAGLDLIE CGPYATGANFSGFKEFLPELVDLGFGIAEIQPDGNFFMTKPEVSNGVVNRFNITGQLL YELQGELYLNPDVTADLSEVKIEDTATNAVYISGMRGLPPPNTTKAMIAATGGYQAET TFYINGLDVAEKAEMIKNQLGHMFKDCNFSKLSIELYGTQAVNPSSQQAGTVFLRIFA QARTKEDIAASKFRKRVYALRMQSYPGYHMNLDFRTMEPKPFMEIFPVTIPSSPLDHR AVVRSKIIRVPQVSDTASYPVLRPSYETKNLQDVSSFGPTVSAPLGSIVHARSGDKAN NSNVGFFVRHEDEYSWLQNLLSVDKLKSLFGDDWEGGDTSRRVERCEFPNLLAVHFRI LDFLDGGIASSSRVDGLGKGIGEFLRSRFVDIPVKFLERGRI LY89DRAFT_697951 MQSQACDVCHRRKSRCDKRHPCGYCQKAGVECKYTDRSREPTFR RDHVEAIERRLRQAEAKNKALSDELAKAKRNENSILSSREVSGVRSTPSSRDENTQSS TPVQANGTGHTIINEVSFLASTAAGERHYLGSTSGVLFANLVRASVDISNGQHSSRAE NEHSQQLSTLAKSSVSTTYSQSLPPHELASKLVSSYLAHDHLCYPFLPPAELLSMTDA IYGDPSFYSNNAYEAFVFDLVLAIATANVYKFDWQMLPSAETHHSRAMSRITEVFQCG GIKSLRVILLLCQYRTGSSMQDTSASMWHLVGIAVRICYELGLHRESTYTSKTQRDEH ERQLAERREQEIKRRSFWCVFAMDRIVGITLGRPLAIHLDDIEVALPSPQSDQIFATQ FQEQPSAHQASRTSIFVHITQYRHLCGRIMTHLHGLKRPEHTEERVSTLRDTLVAELD EWRANTNNLVLPDNDNGPDFPPDRTSFRSREWYEVLYSNARLLLFRPCPMLADISKDS KSLQNIFSSSKQAITIYAYLHKSRRINYSWITLHAVFMAGLSYIYAVSRHFRERRRGP SSGACLLSDPTTIEIVNDTRACSNVLVAVSERWNALRHCHEVFDRLSDAVLADAIKLQ CAPNLVQQYATSILPPDAENRRLQEINYQPFMSSASASLHPNSSATVPMNDACYTSTS PLAVDSAFRSCYDDLQNLYDQSFIDDPVMQLSHDWLGYLDDFSGNYQWSNDT LY89DRAFT_648057 MAASETRSEQPKMPTQRYVEDVENVSKEVVDETTVDTHEWTAAD RSLVWKQDLRIVPLCAAIYLLCYLDRSNIGNAKTLNANTHDDLLSDTHMTSYQYTIAL MVFLIAYAIFEVPSNYFLKKLSPSTWISFLMFCWGAITIGLGGAHRFASVTVVRFLLG VFEAGLFPGLVYYLTFWYRTEERSIRVACILASATLAGAFGGALAFAIGHMNQTNGLS AWRWLFIIEGIPSCLSSILVFFFLPDWPETAKWLTTEEKALAAARLRVDGSHGHGESL TWVQAKDTLTDWRLYAHYTVYFGISTPFSSLSLFTPSITAGLGYKNLEAQLMTVPPYA VAYVVTLAVAFSADHFNARALHSAIFATIGAIGFMASALLPADSYTARYGCLIVAASG AFSCIPPLLGWLSCNVFSTGAVGLAIALNVSVGAPGQIAGVWIYKADQAKKGYLTGHW VNAGLLFFVAAGCLGLLIYYRMLNRAILRGGGAGEVRRLYKY LY89DRAFT_697953 MKYPPVLSEEALETLISDVKDWQITHGSLLKLVRTEDEHTVLSQ PVGCTLFPTLFPRNLFQEALSLQAIYNKLYAAVAEDEEWLYETLKDLIDVDPLANALW SIYQEVKREGVLQNITLGIFRSDYMLHNDAQDTSNGLGLKQVEFNTVSCAGGVHGNRI SEMHRHLYRTGMYQQHSDSTSGSPSTVPLDTSSLPRNSTLPMLVSGLKSAHDAYRPAL SRGNSRKTCIIFIVQPYNFNIADERPIEYALWDESIANFRVTWGHEVLSQTSLTPSGE LIYSPPERSISYEVSVVYFRAGFEVHEFNDIGHMARFQLEKSRAIKCPSLLSHLTTFK KVQQALALPNALDRFLNSEEAALVSKTFAPQYPLDTSAFGQHARKLATDPKTAKSFIL KPSLEGGGHNFYGEDIVAFLKATPKEMWHQYVLMEKITPPLVKNFLMSSRGLYEGPVI SELGVFRICLWERKTESGGKIKAEMLDVQEPCWSFKTKDASVNEMSVVKGYGCFDSPA LVDSDVFLSLLERK LY89DRAFT_670498 MATGFTEDDFVPLNELVQQLSNSCKAAASVFEDLSEDLNGIHRF LEHLIKQANNPDSLLMQRCQDRRKDWLHILESLTYALCELQEHISTYYEVGADIWLQA DDAQRHFNNLRSEVMVGYDAVDTFVDSLGLSPAGRKDYSLGQIEKLLVQAVRQERAKA ANYGVTRAGNGIGSFSQIQRYLRDEGIDPAEVERHDARTKQLMIWVLENEPALTDIMA RESLADGDETNAASKKVEGESAGTARAASPPPQYEEKSEGIDSKGKEIDVRSEGKDVE ESRDVESYKEAEDLEMTAILVDRSRPSTTSRPISVATSSPSLIDSDLESAESDWELV LY89DRAFT_100578 MATNKILVLGAGELGTAVLSPLAKLAPPGTSISVLLRPNTISSS NPAKIAELSNLKSLGISFPAGDTATQTIPELVSLFSPYDLIISCLGFASGPGSQIKIA RAVLEAKVKRFVPWQFGVDYDVVGRGSAQDLFDEQSDVRDLLRNQKGTEWIIVSTGMF TSFLFEAYFGVVDFQSSSGDEMVVRALGDWENKVTVTTPEDIGKLTAMVVFEEPKIKN RVVYTAGETVSYGRVAEIVESVLGKKVKREVWSVEKLKEDLKSDPNDSLKKYRVVFAQ GKGVSWDMEKTFNVQRGIEVQDVESFAREKLASLNR LY89DRAFT_587692 MPKVYLLDYVAGNVRSLVNAIEKVGYTIEWVKSPEDVEKADKLI LPGVGHFGHCMTQFSSAGYLPSIRKHIESGKPFMAICVGLQVLFEGSSESPAVPGLGV IKGHLNRFDDTSKSVPHIGWNSANPRGKQAYDLRSSSKYYYVHSYKVPYREGELESQG WTVATARYGDEEFVGAIAKGNILATQFHPEKSGSAGLRVLKAFLDGAEGRGAPAGINA VNEGLTRRVIACLDVRTNDQGDLVVTKGDQYDVREKTDGGNVRNLGKPVEMAKKYYEQ GADEVTFLNITSFRDCPLADLPMLEILRRTSETVFVPLTIGGGIRDTTDTDGTKVSAL EIATMYFKSGADKVSIGSDAVTAAEEYYREGKKLFGNTAIEQISGAYGAQAVVVSVDP KRVYISKPSETKHNTIDTKYPGPNGEEACWYACTIKGGRETRDMDVVELVTAVEAMGT GEILLNCIDKDGTNSGFDLELIDQVKSAVKIPVIASSGAGVPAHFEEVFSKTKTDAAL GAGMFHRGEYTVKNVKDFLSEKGLMVRQFESEL LY89DRAFT_719640 MSSAPTLFIWFPSVLLANSVPWPSFPLHQSSSFTFTISLTLLET VDIRMNPQLSNVELPQDARRQTIEQARTALRQHIGLEPGRTRTSTVAQVGFWLKLSHT PSLCRLDCDTPTIASKTYRISVRPGMPNMARFRGTTHVSFYHVHCFEKIADFSQTHYL DRLRPVTRQYPTSSSHSSIPPDAISAGRHVLDAGAQLLFEFWKSIICSAIQRNGHEQP GVGVINWPAFMDEVDWCNSRKHVDTLSYRHDSSAWNFFNEFLALPTPDPFGPGFCTSL STSLQRWDLAKRVCRAERYDALMFQGISSGAFHTMRQRISDDEVKSTVRLLSYVWEDD TFRRS LY89DRAFT_685854 MSKFIKNLIVQWTPLPYPTTSFTGQTIIVTGANVGLGFEASRHF VRLGAQKVILACRSLSKGEEARADIERTTGRKGVCEVWEVDMGDWDSVKAFATRVEGL ERVDAVCENAGLAGFTFKSHPSGWDASVAVNVVGTFLLALNLLPVLRRSGVKYNMVPR LEITSSETHKWAKFEERNEPSIFEALKRTDQPEWEKERYPVTKLLEVFGARSLVEKMA AGPHANQKVIVNTVNPGFCKSSLARDAKGMMFVIFSMMKMFMARSTEMGSRTIVAAVA AGEESHGKYMSNCVVAPPSDLVLSEEGKKTGDRIWKELLDILEGIQPGISKNI LY89DRAFT_783214 MGSIPPGSAAPSKAALLRKNATAAVESYNSWNIETILAPRHETA THEILPKSLNRPIMNNNTYRSYFSAIMPYFWNFTVTINDLLVDAEQNKVVVWARSTAM TEIGEYANEYMLMFFFDERGEKIVRSLEFVDSKVTGPYMEELGRFIREKKGSDGFERR YGN LY89DRAFT_587687 MSAAGQEKKAPGDDIAFAPELEAVEALKAVKAGHANDVDIAAQI LANNIDIVGNESWSLEEDKSLMRKVDWRLIPILFVCATLSGLDKSAISAAAIYDLKKD LNLVGQEYSWVGSAPFFGGLAFMGPSAYCLQKFPPVTYFAFNVLCWGILEMCMAACTN FGGLFVCRFLLGGFEALLIPAVTLVVAMWYKPSEQPQRNAIILNVVAPIFNGLVAWAV GYHKGTFAPWKIIFLTLGAFTILWSLVVFFFLPNNPLEAKWLSEREKYMLIQRKAIDN TGLESKSFKIEQIWEALLDIKTWLIWFAIIALQVPNGGLTTFNTLIISGLGFDAEKTA LLAMPPGAMSTISGIVLSYIAATTRRYRTLIVAVAVLLPLVGAIICYTLPRTNLAGQL IGLYILYTYWAPYVTLVSIYQANIAGHTKKVFLFAWFYVSWATGNIIGPQTFLAYQAP AYTGGTIAMIVCYVVAIICILTYGLLCHRSNQSRAGEISENRGDMDWLDLTDKQNTSF KYTT LY89DRAFT_685858 MVRTLPRGIYTPLPTFFQDGSEDLDLAAFKKHIQFTASAGTIPV ISGTMGEAPHLSAAERTALIIIGREALDEINLTSIPIVAGIGATSTRESIQLAKEAAA AGADFAIAIPPGYYAGVLKADVTAIKSFFVDIAEASPIPVMMYNFPGVTSGIDMDSDL IIDIAKSAPNICGVKLTCAAVGKLTRITAVVNDPEFKVKYPRKVSAAPFLVIDGFIDI LLPSMAAGSSGAITGLANFAPSACVKLWELCLAVPGSGSYAEAQKVQNLIANADGVAI KIGIPGMKMLLNRMFGYGKNPRRPLMPITAEKRDLIMAEGVLKELVEFEKSLGTSK LY89DRAFT_735119 MPSTVSPPDTPTKNGPLFPDYLPHYDPLEKVEMVGSFEFSDPGL RADPSKQTLLSQATSINDLGPYCGTELLGVDLTNLSPAALDELALLTAQRGCVVFRNQ DAFLAAGFDAQKAIASHFGPLHVHGWMPHPQNGPPEFVIVYDSDQDLRIRKSWARKNP IQFHVDQSPEAQPPGMTLFAMLESPPGVGGDTIICSTVRAFQKLSPKFRARLEGLMAV HTTQAALSREIRDNGDKSVVRRPVTRSVHPVVIVHPVTGQKALFVNSSYTQSIVGFDD DESDYLLKFLFDHINRGHDFSCRVRYEPGTVVLWDQRVTQHSQTLDYPAGARRHAFRL TPLANVPIPSKVDEDDDGCKLEEDREMLGLC LY89DRAFT_697960 MTALVVQSSASCWRDTICVSRTASFPGPWEKYIYAPDSRLVSPA KILNPDHSLISMYPETVNLSSNESLVIYDFGKEVGGIATLSYQSRGSGQLGLSFSEAR NWTGYVSDDSNGKYQTGADGALFVPVTNTSRGNFTMSDSSLRGGFRYLSLFTTSNSTF EVNITAISLELSFQPTWSDLRAYGGYFSSNDDLLNRIWYAGAYTLQTNAISPNTGRAY PLLDNGWANNASLGTSGASIFTDGAKRDRATWSGDLGIALPSAFVSTGDFESAKNALQ LQFDLQKFNGELPMAGPPLSFYGSDTYHMSSIIGTYEYIVYSGDTEFLTRNWRKIKLA IQFVTAKIDSTGILFAQGGHNTQANALMYKTLTAGSALANWTGDNNLARRWKSQAAVL KNKINAPDSYRYPQDGNSLALYYDMANSSSYKNISDYLFSNWGLIGASCPEMEFNIVP FIESMEIKGHFAAHQTTRALDLIRRSWGWYLNNPYGTESTFIEGYLEDGTFGYRANAG YQNTYSYVSHSHGWSTGPTHALSTFVVGLQLTSPGGKTWIIAPQFGDLKSVEGGFTTN LGKFSVKWILVDDGFVLEYNVPSTTSGKLILPASVGKTPKVRVNGGLSTQTTYDVSSG VVTIEKQPGGESRIQVWT LY89DRAFT_546616 DIDRWRYHMEFENFRKRLQDAANIVFPNDTNSRYAKVSVLILSW EDEDPQLPVSQEINQLHGVFRDDYGYETEIWNIPVEHSHWKLTEKVMDFVKPDEEAKT HLKIVYYAGHAKLTETRLLVWTSWRNNKKLKCPMVKWGGIQTILEESISDVLILLDCC ASGTANASDGNGVNELISACAFNEIANGVGPYSFTSALVTELRLLSNKPSFSVGELYK KIFFRTQCRMPEERYLDGTQKERHPAPIHLVLSQGESGPRGIQLPTNLGQRKSRRDLD SRSRTPLDFASPIHSQRPTTDGIQSFEDKDSFSSLVDGTSKEPFDENPTVKYSTPSIG SPRLLFSVRLRETFRPDECMTDLFTEWIRNFPTIADHMSVEALFDSHSTLVIMSLPVD I LY89DRAFT_783221 MNRQNRQGWDFYEQSAGALNATPTALGFSAHASLPNQSLQLQEH GLAHDSGGLARNTITSSAAQFTAAFSSDHEESKSDLQSEVLALIPKASLSVLKEIRNW LKTSTPPTSTNIVPHFTNSQESLMHSILPLQSQHIPPDSRTSNTCQSWASNHDPLHDA ENRWMPLVEPDIVVAKEPFATSSLDLEHVARHSESMSWETTEMDLLPQHHRSSDASST HGTRYSVASSRSSLPACESIYIAQSSAVAMASSLIYPKRFDSTRESVQSSSSYDSTYT DRSASSKHSSSTSIQSFQSARGLSPMTTMSSGRGRGHRRSTSSGGSSTRQSSSTRQSM IPCTEANCTTTFTRESDRERHIETQHDIVQYKCLLHTCTSKCEKDCQDPQHSCSFPRA RRDKVRKHLELIHGWRIASKDVPESWLWSYIKQRNGWSCSSCGAFLGNWVDSSDVIAG HSKVCTDGLSNLVRRLSVEKAAGGNHGNSDDEHAHVRVTMAPASNGVHEDNCNSLFE LY89DRAFT_750088 MGVNASWLVYRDVTNPMFAGGAKGDGKTDDTAAINAAIAYGGNC GSNCLSSSVKGTFIFFPPGTYLVSTPIEAYYYSQIVGDALSPPTLKASANFVGLGVIE SDVYIPIDNGDEWYINQSNFYRQVRNMNIDIIDTTTASVAGVHWQVAQATSITNCRVY APTTAGTTAMGMFTENGSSGSMSDCFFFGGQYGIYGGNQQYTVRNFEQSSQTTASICL IWDWGWTWSQLVITNSPIGIKLINPQDTTGQQAGSIYVLDSLFENVETAIFANQLPAA VLESSVITLDNIGVLNVGSMIGFVDGNVLDIDPIDLNFLIIGNIQDTGSYYGMYYFNA NTPDPSMLDSSTSGYFRQQYFSKSRPQYESLTTADIINVKDRGVKGDGSTDDTAAIQA VLAMATTDNLIYFPAGSYIITSTLILQSGSRITGQVWSQLVASGTYFADMTKPQVMLK VGNYGDVGTVEISDMLFTSKGALPGLVMVEWNMAADSQGSVGLWDSHFRVGGAFGTEL QVAQCPKTIPQIQTGCIAATMMLHLTSSSNGYFENMWAWAADHDLDDPTNTMVSVGVA RGILVESQGPTWMLGTASEHSILYQYNFYGTTNTLAGMIQTESPYYQYAAATESPGPF NASVGLFSNDPVFPDASCDASSLLCSFSWAVVIEATTNLSIPGAGLYSWFDNYDQSVC VDAQNCQQRLVNNQGSNDQLLIWNLVTIGAVEMLSDTNTDTIIYAKNNTQANIHPFWS VLGAYADDFATEPSTCADNDTSAACDTAETCDFTLEFDTLDELSAATGTFPQICTEYY ALGTLGFLLDAAIDNYTAADDGYDGVFGDYVTFTKQMIPTALQTFMGPPNSSSPAGGP GNKYFTCELSEGGVVKIPNQPCPVCILSLQYDFFTVFTMTYTLENSTGFFDELADTYG IEESWVDFTTVKTVVDCSAGSGRACAPINIAQVGFPTDSGNVTVSNPKDVISDALPTV ANLSVTIIARQLELVTGAWYGPTDDLVQVISMPVFLIVQAISDMNEVKTVGQQEEKEL KQQLTWEILGIIFAFIPFLDDLTPEIEGLDLVLSFVDAGANTALAIADIVANPMSAPM EIFGLLTGGGVRDEDDFASMAATRKEEVTEADIGKIGTTFEKLDTALQSLITKGCKA LY89DRAFT_735124 MVSFHGRSVLLQALSFYALLAFLAASASSTQFLYSVVESSHHHQ KRQGVSIAQNASIAQNASTSALAQAQKIVAEAVRQQGEYNTYRVANPRLNKYYASNSA EARAYRKQKRDTEPLPPTLNATIRAAAALLAEDDAAAKAANGTLNRVYPKPTFDSNSD SEDTKRATSSY LY89DRAFT_750091 MKLSLSVLALLALRSVSSISATLITASPSKTAVLAGRQFSSASL ASCSTVSGLASPQPSGAVCGELANSDGTGYLISYVAGSPYVASLAALSGFAKPQPANT TCGVLAFSNGSGTIIDYTNGVYTENAATCGQICLATTGCTNVYFEAGAYCNLHSGPPT NLASSSSPYTFYDLSCFTCQDVIYSSSSSSTSTQTSILSTAQSSTVSSTSSVTTSVTS SITPISSESSTTPTTTASWTWTSVQTNPALYNMALTTTYTQSPQCTTGAITEMAAWGP YLWDNSVNPLPTSTMTTCYPPQFYSSVIGILNNVTLPAFSALVCPYEWDTIPYNASYI ACCPNGFGLIAPNYASNSARPFSGALCTSNIGFGQVYDVSSYNSTAYWTVIPVTATAG TVVFADAFDGITAPATTSTLLITSSSTKSSSFTKSSASTTATTSIKA LY89DRAFT_750092 MRLHFLLLSLFASLEVLALGVAAPWEMMYFYSVYKAEWLAAFTK SQRQLATNCVRSTTHPFPASDFDTQAALAGNMWNVDQYWSTLMKKFEEFKIPGGYEDD LQKLLPKAGFVGLKEEFPPAIKMAADALYSARAAVAGATAVEAQAGYAMEYTRIAMQL RVGDGLYIHTEPNPFTLQLKPTTVIPPPAGYQAPSIASYTGELDWDKTIDLNPDGSRN GANGPQKNGLKRYYQKNYATTTRLKIHNDVIQAFQQARNYITDNRPKCALAAAATVGK KRDLLYSEQEEDGTPEATGSQIGNRYSSHLVPMPVKFKQMNVVLAEQPSSSLVRMDMG ALSA LY89DRAFT_100766 MMTNFSCYLENRLPPELLIPILTHLPDLESLDSFLRASPAAYRV FDTQSMQIFTTVLSSGSTHTYTCALIRIIALIRANALPSTVHDLITLKDLIRHETSPH RWTPPRWVHPPTSLPLDLPTAIIRELLAVNRNIQRLTFGCLEYYLDRFTPLKPFNSAA FSFDSTRFSDDGIGPWDDKAADTIYYYPVHDVGPPSWLEQQRVLRAFWRIQLSHDLNV ALEAARIVLTDGWEGLNAQRTSPAELCDVPIQLGYHPTEDCEISELLLDTRSINDSET ILEQELLESATQYRQDAADLIAESKYWRFKKDWAICSTLTDQQWEILDNTHRSDMWYF FQLLAGSCQVHDYYFRSPLQHAKFELWRPFGFAIWSTSRMAGYGLLMPTSWYAAGVGK PFYEAWRNLLTQEQLDAVEEESKRKEEELSGPRPPGILY LY89DRAFT_100754 MAAPTSSQTLPNGLRDVQRLITDHNSEGKAIFSKDIPSTSSWQE ISPAFSFFLGYTTKGFPVDLNASKAGELPADVQKYEIDLKSPPGGLSLGNGTVLRFVD FAPDKEAYMHRTESIDYGVVLEGSLELLLDSGETRIMNRGDVCVQRATMHAWKNVTEN GGWARMIFVLISSEKVVVGGQELGEDLPGKIGDKDATN LY89DRAFT_100775 MLWGMSSRKGQVEVYRIIGSAWFWALSTVKICRGNVIQTGQLRP SLCRPRPAQLSSLEPACEQQRQDTLVAFSRSV LY89DRAFT_618719 MPFSNDEEIMKTAGGLVEQLQAIFGKHPGFRPAHAKGKLYSGTF TPSAKVKELSIAPHFTNAETPVLVRFSNSTGIPNIPDTDPNADPRGIAIRFMIGERKH TDIIAHSVPAFPTRTGAEFLEMLQHLAAGTVGDFLGTHPKALAFVQYPKPPPASFATQ QYYGVTAFKLIDSAGKATFIRYHVVPEAGVQILDEEVVKEKGANYLQEELETRLAGGP VSFKILAQIAAEDDVTDDNTVHWPDTRPVVELGSFKVDTIEADSAAKTKYIIFDPIPR VQGVEPSDDPLLEMRAALYLISGKQRRAAEA LY89DRAFT_100856 MASYVVTGTSTGIGLGFVKALAANKDNKVFAIIRNPATATDLQT FADSHPNVRIIVGEATSKEDMDRAAEEVGKVTGGKLDALINNVGGGSVDDAKAPRDYE GNPQDLKNAILASMEPNFFSAVFTTSSFMPLIQAGEQKKIICISTGMADDDMSLKTDI PFALGYAASKSALNTLVAKFAIDYKKDGVKFLALSPGWVKTKFGPESEMQAGFMLQAF QKAYPELKGQISTEDSVKMQLEVIDKLTLEDSGKFLSHHGDKYWV LY89DRAFT_783227 MRMAPKVAGVVCFATLSTAVKVDDYQLFYQFGNPTTNISNSVGV FTPAIYDFCAAPEIGEEFSFPDFSASTAGLLLPWIAFFAQLPRQTGSSYNDILSIFIS VGSLAWISSSVFLAVFFRRAVSTRFNQLQAKFISGANKSIYLHLAKRCEAAKIILQAG IQASMRLNPRPGFLSSLIISPENHWWWIAAAADIKALWRRIDAVFIAQTFIAALAWLL AIITNFSGLPGSVSSSNSAEWQICMGTLWLWLFTATYGPIAIESLYKAKVLRHALSSP GETYDRFHFALINGKVAEQHEQTAIVQRSALVPKYLINRRGSTQVYGIMNEDREASSN VRIPDMWGLSIEGAGRKEGLNTFPCKFFPSEQLVDHVVRPFESFHQRLEHDQAPVSNK DDNLFSPKYGSFDLASRLDSKLLDLEAASPTTGRLRPVKTWDSTKSPTLNLKGSSQAL DEYLGIEVSRFTAYPKWSEVVRGTWMRFIMANILGLVVQWGTSGPGIYVMYYTPPVGL GCDSGGLLIYAVGATLSWALLNLGSLLSHTALLHYQRYHDKFPSQPILDCYKRTYSHT FLCGAAVITRVLGKLVAVINACWILVWSFLTYTNVMQTPYCTTAYFSLRNRGWMRLWN FESQQYMAIRIQELQCLVFGTFIAYFACVLISALTSNEKKRQCRWTVTAVLSLAFPTI AILLYSRGVRSVMDSDGGFMI LY89DRAFT_708031 MASSSYLLTFLPLLAWIIYVLYCLTVNFIKAKKTGLPLVILPID CGNPLWMSIDTRVLPYFRRLPFGTNNFTRFNWRGWEIQDRYRAHQELGDAFIFVTPGK NWLQICNAETISEIFARKAEFTRPTEMLEMLNVFGPNLGTTEGPQWQRHRKITATCFN ERNNELVWSESLAQAAGMVHYWSSKRSVNSLANDSRTLSLHVMSSAAFGKSYAFQGAE EVSTTNDLSSIRDSLKLILDNCIPLVALGPSNLNKWWLPKSWKELHQATVTFRTYMTT TYEEEKHAISSGRKGGDNLMTSLVRASQAKSEGFTTNPQQDHGGLTEQEIYGNMFVFN FAGHDTTANSLAFGLVLIATRPDYETVRLYTPVAIVKTTGSEPRSIQIRDKTYTLPAD MMIIPSYSALHTHPRHWGNNSLEWEPSRWIISDPAKKASAEDRLESETFFEFPKGANP FVAWSEGARVCPGRKFSQVEFVGVLVALFRQHKIRPVPLDEEDDEAARKRLRRMVQKD AGMKLLLQLMHPEQVILKCEKRV LY89DRAFT_558666 TLTPSSPILTLDYGADVAGLPYFEVTPLNGSAVQIELKYSEQFQ GLNLSTGDGPFLYTNGLMNNFRTETFNITNASTTESFFIQGGQRWQTLTLLSNTSVTI GNIGFRASVDIEPVTSLIGSFSSSNTVYDGLWGLGARSVQAACVEANSQPSTWEISGD GALIRGQYPGVSALGTEYGNYTMSFSTKITRSGTGWRVAAGPNAGYGAYFVLTSDGPQ YLSTNTTVVPPNSVIATYGFSIVDFYLSSAPPLYYSNPFPISDNEWYRVSTTITSSGY NISVNGTQFAFVPYTTFQPYVDAGFGGTNLITTGSWGFGPFMDQMAYVKDVEVVAQNG TVLYTNSLTSTDILQEYLVASNEYAVCLDGAKRDREIWIGDFAHTARELAATAGIVPI QDSMGSGPQYQSTYYPSQYGETDYQLFFLLTLGDYFVLTSDTSLLSKYWSGTKLLVDA MVSTYLDTSTGLLANSSASWFTAQGYQNATAPTALFAIGLKQLAVVATTLNDTTTADH YTALYTNLSTAINTQLWNPTLGAYSIALGSPTDTSILATAFTIRAGIANSSQATSSIQ SLNSLFYLIGYKDSTAIGNSATTQLSPNVQGFLLESLFLAYTQLNVIADVVVPALQNL LDTYWPKMLNQNEYYTGAAWEYVYADGSPGIGIFTSLCHPWGGAPTYILTDYVLGVRR ELNGTTGEYGWVFDPVLEVAQGLGLSWVNGTVPLVGGGWIEAGWAVTEGNVTWSL LY89DRAFT_648093 MSKPASSYLAIPPGDHCLSGNIHTGETRGKIETIEEAPTYITSP HPGKGNGNILFYYPDVHGFYNNAMLLMDAFADAGYTVFGIDYFRDDPVWKHRKDKDDT TTDPDFDHAAWRTKHSTFAKATVPKWTKAIAETYGTSTTKYCCVGYCFGAPYSMDSLT ASSFISAGAFAHPGSLTDEQFQNLGKPLLLSCAENDHAFPVEKRIRAQKILQEGGKKY QFQLFQGVSHGFAVRCDLEDPYERFVKEQSYRGIVEWFNFWLAQ LY89DRAFT_587055 LATMSQPNTIYLTTEEDERMRKTLKGRLTKCQEVSGQPRVPGDP NTRQNQVAMASLLSDFAAPPEPGLKAGKGRPDAIVAFAVGNPYPPCTVSVQDLKPMNL SDLRMETHHRGHVLTVRRVAPVVKLVASSWTVVEEESSGETERLEISLHKSNHGQEIL DLGSLFQIKEPYFTLNDEGGPTIRIDHPSDLFCTDTLPTGSSGSSPEGHGESGYSESG QKTARDFKEEGNAALKEKALLLAHTKYTHGLQLLTTEGSAKEELAYDLFRNRAHVNLA LNRLDEAKTDGLAAVTGLEDQKYKELDSKAYFRAGCAAYNLGEFDEAKHFFEEQQRLM PSHKDAAVHIRKTEVRLKEKTIGVYDFKKIKAGLLTNRLRVDVTTFSGNVEIKESPGH GRGLFPTCAIKSGEIVLAEKAFCVIWGHEDEALTAMTYDNRDDRIRVCPVGLCKAIVQ KLSDNPSQVEKVMALFSDYKGLDKQLIVKDSKPVIDTFQIHDIVARNAFGPDSVYSSG RNQEDADGTTASAGLWVLAAYINHSCIHNAKKESMGDFMVLRATRAIAAGEEITHSYD SSSDYDARSAELMKTWGFTCDCALCTAEKNDSPAVRKKRRELENDANLLVERNAPVGA KTLSIVKARRLVKLINDTYDVERYKGLPRLALSRIQKWLNEASNNR LY89DRAFT_685872 MTAPANGGYYNNNQGYNAPPGPPPPTYGQPQYENNGYYGQQTGV AQPQGAYV LY89DRAFT_735138 MPTKTHISASTALAVSAPAVVRPTIVPATATSTSATSINPSKTI NNGQPVQTAGNDDPPGSADPPVGFTIDGTTLTPNSESGLVFATQTLRPGSQITYSGTV LSMAADGSSLIFGGTSTQVLAQSTAPAGYIVGSQVLVAGAPAITVSGTLVSLEQGGSS VVIGGTKTEALSDFLGSDPTVAAEYRIGDQILQAGGPAITILGTRVSLDADGSTVVVG TETEALSEFTGSSGCGIGGAILSVGGFGSGDPEAAISSSAQYSGQGGFNGTAFTGAAV RRNWGFGTSGVALVVIAFVGWWL LY89DRAFT_708035 MIWSCVIVQLTLACMSQGLGRRATVLPAPVVVSPSQFFEGSDGP WSSFELRIGSPSQNVRFFPGSSSTSTVVVVPEGCQNEGSDCASTRGGIFTPNSSTSWS SIGSYGLEFEQSLGYSDSAEFGFDSVGLGYQGSGGPTVNHSVVAGVAGSYTAGALYRL KQVLGSLTLGGYDSSRFAALNSNLSFGFGSDQTRDLTVGLQSITTNATGNDIELLASG INIFIDTSVAQLYLPSAACQAFELAFGLTYNTTVGLYLINETLHNLLVSQNPIVSFTI GNTASGGPTTSITLPYASFDLQATYPLVDGNSSRYFPLQRAANETQYTLGRIFMQEAY LTVDYERGNFSVSPCVWDANFGEHIITIFSSNTTLSNGTSLGGTISVTGFGGGAIAGA VIGSLICVALIAGGFWYFIIRPRASHNISQEEDLDSRKEGAAEVGGTEKPIVGEVEGQ NEFTHHEAEGDSAFNMEKSTFGKAELHSPGEISELRSPMSETSELMGTPIHEMPGSDV PEMMAA LY89DRAFT_685874 MAPIHFGCLVFNYQAIDVIGPTDLLNSASKGLLEAISFYGPIDE STIARAPQFVFHHIGVTRDPVHLLTSSITILPTDTVDDCPELDCLLIPGPDLGDFELH PKFVDFTRRHVAAGKLLFTNCTGASVAAATGVLDGRKATVNNVEYMWVKDKYPKVKWT RDKKWIVDGNIWTASGAVAGMDMFSHWLKENYGLDVLIEGALGLDYEPRDSDGLFNVI PKRYDASGKQISTHVFKYHTQSLGGYS LY89DRAFT_685875 MHFLNRLWAGALVVLLGSSYSNASPGPIQLLGRDHSQTRVIAGV TIPDTPVVRAAQAYARAHADDMTYNHVMRSWLFGAIIISRSKDSVAIDPEVHAVAALL HDLGWDNTGELVSPDKRFEVDGALAAWNFIESSVHNGTIHSHDWDDDRKWLVWDAIAL HTTPTIFAYKQPLVFLTAAGINADFHGPESDLSGLITWNDYYQVKAAFPRLDLASGVS KIICGFARNKPATTYDNFMMQFGIRYVANYSQNSVGHLGIDEVENALPN LY89DRAFT_783236 MAIWGMISALQATTHSFVGELLCRLFLGAAEAPFFSGCIFLMSS WYRADELAHRIAILYTGVAMANMFGGLIAAGVLSDLNPAHGIAGWRWLFIIEGAATSG IAIIAVFFMPDYPATTRWLKTEEKHYAQWRLAQDVAGEQDDRNAITWKQALKMAFSDW RLYLFMLMHHSNLLAQSFTYFFPTIVNSLGYGKIETPFLTVPVWFATLLATLLVSYHS SKTRERSFHIAACMLVGALGNILVITTHGVGPRMFAMYLMPIGILPPFQMILAWITSS FPRPLGKRAVVVATCGMFGNAAGIYGSYMYPPSDGPQYVPAGIGLAVVCCFCATMAIV IRFVLKRENKKLEKQEQEQGLRAGFRYIL LY89DRAFT_735144 MEDLKHNSASSEHVESYELSNSKNPNQDQDADLQAYATDFAQRD PEWHSYKTKHLLKKIDLHLLPWIVLMYLTNFLDRTALAQARLGTLVQDLGLKGT LY89DRAFT_618740 MPKPIVLHIGEPIKYNQKFYEEEFLSHFDVVRNEDLDRESFINA LKAEKYGKFSAIYRPHFQTGGEMGQWDDELISLLPSSVRIFASAGAGFNWADVDTLGR RKIWYANGAGASDEAVSDTALYMILSVFRNFTRAQLAARTADPEIFNTTHKLIATISA NPRGHILGIIGLGNISKKLAYKAGVALGMEIHYYDVVRATEEEEKQLAVTFHKSLDSL LKVADCISLHTPLNRHTQDLINPRALSLMKPGSRVVNTARGEVVNEDALIAALESGHI SAAGLDVHYHEPQVSPKLAKMENVTLTTHIGGGALNTRINFELNAMKNILAVVGPDGE FIGEPLTPVNARAFKEV LY89DRAFT_648107 MDEIHAKNDVELVEAIIKPINQLPLPDELLHLDDEGIQKLEKGL VKRLDWTLMPVVVLLFLLNILDRNNIANAKVAGLPKTLGISDSQYNTCLMIFYVGYVL TQVPSNLIIRKVRPSIYICGITSAWGIISMSQGFTKNFAGLFASRFILGLVEAPFLPG VFLMSCWYKRTELPPRIAFLYGANMLASAFGGLIAAGIIARMEGKLGRPAWEWLFIIE GSMTVVIALLVMPILPDYPLQKASKIISHEQQLFAEWRIRKENAGIRDEDPESILWGL KQALFDPKLYMFIILQMSLITAQSFTNFFPSIVGTLGYNTTITLLLTAPPYLLAFITS LAISFHAAHKYERGWHIAIPMLFALLGNLMAMFVPTLGGRYFSMFLMCAGSYSPYNLC VSWLSASLPRPASKRAASLAIINLMGAGVAHFYTSYAFPDSQKPRYYGGGSMMCAASL VCALMAIGIKYHLKRENARFEREEMGGEVPARTIKASKSDGEAVVSFRYVH LY89DRAFT_708040 MWHHIRSPFSSQSEIKHSTEQDCWIAVSSKVWDVTDFLSIHLGG PAVILKYAGGDATEAYEEIHAPGILEETLDQSKFIGNLAASIDIPQAATVPKATENVQ NEEIPEPIRESVKVETTPYQKPPLFTLISAPDFTAVAEQALTPKAWAFYSSAATDLIT HRLNKALVRRIMMRPRILRNVKSVSIKRKVLGHDSSAPFFISPAAMARLAHKDGELAL ARGASKEGIIQCISSNASYPLGSIVGAGHKGQSFFLQLYVNSEREKTAELLRKARDLG IKAIFVTVDAPVAGKREADERLAAENVASAVSGAVAGNDKKGGGMGRLMAQYVDKELV WEDISWIKQVSGLPVVLKGVQSAADAQLAVKYGCKGIMVSNHGGRTLDGAQPSILVLL EIHQICPEVLDKLEVFVDGGFERGSDILKALALGATAVGVGRPYLYSLMYSTDGVEHL TQILKDELETAMKLCGITSIDEAHPGLLNTRDIDHLVSNGDTHPHIKWKPRSRL LY89DRAFT_697978 MDESGPPCRRCAERGLSCTLNKSLQTLIEERSQWKTTVSGDLEH IHSALQELLNRLSLPLLPPLQTTSQDPPAFSLEEELAPRDDPGPSCDASPRVSPKDDE LSHVPIESLYQITRLRALRSDDPTDNPQAPTSNGNREVINDFISNGQLGVQDSERLVQ LYLNRLDHFMYMIGGVCRDLESLHSRSQTLTACICTVAALHDPNSNHLYASCNREFRR LMAASMFDRRIDRDHLRAMCIGSYWLSDISWTLSGYAIRRATEANLNANYHRVISENN EDAADCLRLWYILYICDHHLSILYGRPSIIREDFAIVGWEAFLKAPISTESDKRLISQ VALIVILSNVRELFGPDTGEPIPRGFGTQLAVFNRQINQWMGTRSSELQRQHHVIGEF PAKGVILHHHFAKLHLHSHVFRGLKDAPVPPHFLENASAAVSAATSIVESLLMDSDLR EGLVGIPHYLHTMTAFACVFLLKVVAQYPGQFIEDLQFRSTAVGKWHLVHLMADGLEK LAAKKITSPGGYRNLLTPTATTPAGMAYMNGSTHLQMNEYAAEPLFGQTLGNTFADND AQLGSTPFLHFENGQFDFNYPGFGLL LY89DRAFT_648110 MTTPQKRFTLNTGAEIPAVGFGTWQAPAGEAGRAVKTALKCGYK HLDCAPLYWNEKEIGEALQASFNDTTVSRSDLFITTKLWSSQHSQVESALRKSLADLQ LDYVDLYLMHWPVSLPPNDPSAPNFGKEDRTKHAQGWDFTKTWAEMEKLLGTGLAHAI GVANFSTVNLDKLLRTAKIVPAVNQTELHPLLPQNKLNEYCRKHGIHQTAFGPLGGKG STLHTHSVVNRIAKKWKGSSAQVLLSWGVSRGWSVIPKSVTEERIKANLQIFELDKED VEQLNQLAKTEGRRFNRPNWGTVIFHDDDEGLP LY89DRAFT_587194 MQKSTIPPKEKPLPRGVYCPVISLYKPTARQEIDLDAMYKHCQF LVRGGQHGLVYQGTNGEAVLLSPEEKISVIKTVRKAVTDLGVPDYPIVAGISGQSTNE SIQLAEDAAKAGASFALLLPPSFWAKSVTEEALLGFYRDVADASPLPVVIYNFPGVTS GIDLNSDDLSALASHPNIVGVKLTCGNVGKAVRLTSKFTPAQFSVYGGSSDFLFPTLE AGGVGCVTGMANVFPKSTSRVYDLWIAGKKEESKRLQDIVANAEWACKKSLALTKFAA GYFAGKKLGLTDPKTFFPRKPYLPANEKMQKWTIETMGVLLEEEEKIPDKVFGKVVNG TH LY89DRAFT_750160 MAGDNWRDGMFAIHNISLVCKAFHEHSSSVLFKDVSLSQGNGHY HSLVLACKQIENLFSLINTNPGLAKGCKSLKFSLPCTAPELGRAETNPDWLLANRLLT SLPQVQMIEIHGGFGYRPSWLEISQLLRSMSHLKSLKFGFVKLATRAFPPTEQRDLYL PDVWRCVQDLDLRSLELDGIRSEHNISLWNMSKELVRTSPIINISLEDFGETPKALRT LLRGPKALEHFAFHISNANRASKLWSLETLRTLLYDHCHSLKSIGISALSKEKSISPF NTSSNFHGFPKLEKLTISPRDMDANVTPEIAHGFASNLRHFIWDFTLLEREPWHAFGK DQRDWLLKFAKLAIERGATLRKIDIVFTPSDEIGMGDVIRAQMQDFWPWDLMDEVRDA VCPHIELVYNDHVSKEHCEMLIDSYREYVAGYGNF LY89DRAFT_719668 MRLAKFYAALAAIANLFQINALNILITNDDGFGVSNIRELYKAV KALGHNAYIVASASDKSGTGGSLSFATTANLTADTQYGIVKAGAPSVGADPNDSHIWY YNGTPSVCVMVALDYILSTFANFSTPDLVLSGPNYGDNLGDFAYTGSGTIGATYFSIG RGIPAIAFSANYPTTTPYYEVNTTTKAGLKDPATIAAELAANLVQSLVAKANGSRILP LGYGLNVNMPYITSFDNSSCVDPPLIHTRLTGDAWSYGVKFNASTGLFTAGLKVGNDA TGINVCINGDCTLIGETALIAKGCYSSVSVFTVDYDAPNFGNNVVDETKLLGALVQNL NSTSLIGGLNATATAGRNASVTTPTATSSVITNDASAARWTRYSGTAASLWLVIGVFL LCSF LY89DRAFT_750161 MFSKHSQGFMFAILFIFFAAANANPGKRFSNSIVEDGAVSTSNF TNLTAQFFPAQGSKRVKVTYGPFNVPNMNIENGMKDFTILPSTVGCSDCLITYIEAGL EFPNGTYANANTSLWLHHTVLYNFNNLDTVCGATEPGERFFASGNERSPADISMNGTN TVGYYVGPEDKIGFLAELMNTEMVNQSAVVTITFEYIPGLPASFNKATPIWLDISGCG GGSDMPAKNDTAFQYTSPVWTANATGRVTCAIGHLHDGGVNLDITKNNQTACDCTSAY GQTPGYMDPMGSSGMMSMPGMTMGTHISSITECSTGQVNLGDNMMVTAYYNTSEYEPM TNTDGTLAPIMGIGLLYLAQNEASSSGSSSTSSASTTATGVASSTSKAAGPIMTVAGG PVLFVGALGGMAVLGFA LY89DRAFT_697981 MSGMSISSDALSTDGLDMTNMTVAMDFLGQLLDDTELQVTSNHF ATIFWYGIVVVIGLATLSNIFLRLRSWSRNAASNKAVPVGNAGSGKGDFGLSASFLRK ISYPHVSPTHHPRLLKVPPLGTIIMLVAYLCFVLGLEYVKQDVPGEQHNQAIGVRAGW LTITQMPLLILLSGKVNLIGLLSGVSYERLNVFHRWVARTMLLTATLHMGYQQALWNK LGMKAYAFLVWLNLSTLAPIRNRFYEFFVIQHILSFICFVTFIMLHLPTTALYTRVYI WIPIGLYFLDRLLRSIRYAWNNIRPGRATLIRQHGDVTKVLVRSRQLKSWTPGSFVLL SIPRFGIMQSHPATIASVPTSNNGELIFFLRAHRGFTSHIYKEASDISITGSDRTGEQ THLALIDGPYGGTHLDFASFSQVVLIAGSTGITFILPILLDIAFRAPKTTLPVKEVVL VWAIKTSACMSWVTSELQQGSEALRSVGIKLIVRLFVTADEGFVDESETENVGDNGCQ CDLSSGECVCAAKVVKQRTDDSTSSVGEKIKDGATEQPGSAASIGIDEDKAKPPAGRP GIRGIIAQAQGAADGEIGVAVCGPLGMVAVTRCAVAGLETGTRGIYLHAESFGM LY89DRAFT_101110 MTFRIEPVKYEDIDILYDLYVHAHVTDPLQPFLYPSLSWAEQIQ FESTGPKEVFLKHPWVHYHKIVDAETGIAAWSRWHFPRVLKDHEKEEKTDKDYFPEKI PEGANQELMQEFFDKILKVEEEYIDREKRYFINYLAVHPDYQRKGLGSMLMRIGLDAA DRDGAETFLVATAKARYKASRRGRNSNLFVYD LY89DRAFT_708044 MSSKLLSVPIVDLSPFTSGGDLESRKRAARELAEKGHKNGSVGI SGHGVPSDIHEKAFQITEKLFDLPYEDKMKAPHPDGYVPHRGYSGIAKEQGAAKTALE TDDEAQKAAIMKASDYKESYEIGSEENKVQYNIWMSEDVLPGFRTFMTDFYWSLNKAA NAILEAIMMSLDLTEEEAESMSKIHTGHDNQLRLLHYPPISPEMLKNEAIGRLGAHTD FSTFTLLWQDVQSGLEFGDRETGDFMPAIAKEGVVYMNIGDMGQRISNGFYPSAIHRV VIAGKDTGEVTPARYSIPFFVAPFPEGLVEPQPSLVASHGQRVYEPITFAAYCEKMMK ATQLIPDE LY89DRAFT_670538 MSVPTFIASTATTATPSPSMVCHPYWPKYDMHNYPPLPAWMIIW IVFGVAVVQIALGLLVEYLTARGILERLRNGPYYELDERIRKEAVAIWLEPARPGDRE YGDIRICCCQYFLAAGTLKGTVL LY89DRAFT_719672 MRLLQSDGDGNLSLTEFLEDNVPKYAILSHRWGAEEITFKDLTN GMSKDKAGYGKIQFCGKQARRDGLQYFWVDTCCIDKSNAVEYQHAINLMFRWYRDATK CYVYLPDVSFPRSDSASRSNVAWESTFRESEWFRRGWTLQELIAPVAIDFFSREGELL GNKVSLEQDICEITGILATALRGRLLSGFSITERMSWTKRRETTYKEDKAYSLLGIFN VYMPLIYGEGKDRAVQRLREEIKKASKGHKRADFSVTFSLFDVSDVKHFVAREDELSK IHKTLIGDGSRRAVVLHGLGGIGKTQLSIAYAKRYKENFSAIFWLNIKDKDSLRQSFT KLAKQISREYPSAIPVSNLDTNQNLDEVVESVKAWLSLPNNTRWLIIYDNYDNPKLSS GTDPVAVDIRKYIPESY LY89DRAFT_101127 MQRRLLQHAARCSHLALNSLVVDDGLADEYHNLGILYSVQGKLV EAEQMYQRALQGYEKAWGLEHTSTLDTINNLGLLYKKQGKLVEAEQMYQRALQGYEKA IGPDNITTYVPSLKTILNLGLLFERQADLAKARTMFSEALHGYEQVFGPDHAKSENLR DYLYDLDVGVENEASIQIEERADHLQVGSSHFGIKKPPSISKRHSCFCDGLNPIFGCT TT LY89DRAFT_750186 MVVYLKSKPHANGTSSSPKIDVPSIVQGVINDIRTKGDSAVRSY SEKFDRWSPSSFKLSFQEIENIISKVPKQTIEDIKTVQHNVRTFALAQRESLKDFELE IRPGVHLGQKNIPISAVGAYIPGGRYPLLASAHMTIVTAKAAGVRRVVACTPPINGEI PSSTIAAMHLAGADEIYILGGVQAVAAMAIGTETMDKVDFIAGPGNPFVAEAKRQLFG EIGIDLPAGPTEVLIVADHKADPTTVAVDLLSQAEHGPDTPAVLITTSREVGEKSIKE VDRLLKILPTAALAGVSWDTFGEVIVVDSLNEAYELADKYASEHVQILTEHPREALEK MSNYGALFLGEKTCVSYGDKVIGTNHVLPTKKAARFTGGLWVGKYLKTVTYQEVTSAQ ESGELGVLCGRAARAENFEGHARSGDIRANTYLGESFGWLQ LY89DRAFT_648126 MLIGLCGGICAGKRTVANYLVQHHGFTHLYLRQDTENADILKAL TINGHTKQNSDVSSRTLPDQPTAIEDQSTFRNSEELLDFVTKRWRERWVTTDIHTESI LDKLLRRPFFILVSIDAPVSIRWKRFQERLQRTHSSSESLTLEEFLLKSDEHLYNPNS GLLPLISRATVRLLNTSSDLAHLYATLGKLDLTNEDRLRPSWDQYFMQLASLAAHRSN CMKRRVGCVLVREKRVISTGYNGTPRGLKNCGEGGCPRCNEGQGSGVGLGTCLCIHAE ENALLEAGRERIREGAILYCDTCPCLTCSIKIAQVGISEVVYSQGYSMDTETAAVFKE AGVHLRQFVPPPNGMIHLEKQSLY LY89DRAFT_648128 MALKFAPFGSDIELPFYTSLSNFKIDVDKLDDSARPVLGLYEAR ATKLPAESCRMQVLGNALTSKDVPAGYIRAEGKIKNVNTIEDFKNVDLAAMLHTAGKQ IWDAINDGTIYSIPSLLSSFTILSFANLKKYTFTYWFAFPAIHSEPVWRRIDLEDPSQ LTSQESVALVDEVGTWRYGTDNREHGFFLAKKVRSIPHSHDQSTTPGTPGDELGYTWT IGSLRQFESGFFRGIPEEDRYVAFVDPSTYAENPGWMLRNLLVLIRRRYKLSKVQILC YRDIQAKRHEARSIILKLETDEDGPQTDVMPRVTGWERNSANKLTYKVINLAQYMDPT QIAHQAVDLNLKLMKWRIAPDLDLNKIKETKCLLLGAGTLGTYVSRLLMGWGVKKITF IDNATVSFSNPVRQPLFDFKDCLDGGSKKAYRAAEALQEVYPGVDSTGFVMSVPMLGH PITDEQSTRLDFETLEKLMDDHDAIFLLMDTRESRWLPTVMGKAKDKIVLNAALGFDT WVVMRHGVFAADGGAAALGCYFCNDVVAPSDSVKDQTLDQQCTVTRPGVAPMASAQLV ELLASIQQHPDGARAPAPKIATSLSSSGRVDYERDPPNHPLGLIPHQIRGFGANFQNM LISGQSYDCCSACSPKIVDEYKRSGWEFIKRALTEKDYITELSGLAEVQRAAEAAADD LDWDSEPGAGGDDEDGELL LY89DRAFT_670543 MPKDDKTFHVELARLLYEDCLSENASAGNSTFETNIELLCFVEV LTQTGNSSEARKRVVGRQTQSREESGREGREAIEGPSPWQFWHRILRGFANEDNEVEL LETYKLATEAGLADNHRFQDIMVSFYAAKDDIATTKQWYEKLMPTGDRAAPSVPTLET IRRLCIRNDELSWCKDIFRDLLAKEPTKQIWDVVLQWAAGVWGKGVEDVEGMMEVMIR RNPDDEATQPDAETINGLVELAMSFNDAYLAERYISVGLKFGIRPNAKTYALQMSYRA DANDFRGAQAAYELLQGEEIINNEDLPAINKYIRALCSAKNVDYHRIVSITSDLSERE KRLEADTVRALAKLYLSRDEKDDLIDLIQSESYHYTAEERASIKEVFLDFCLSPETST MRSWTAYTLLHPLFDETTVAERTLLMSEFFSRGRCDMASYVFGHMRAHNMPDRRPVLD TYVKCFLGIASCADQEALDMVHNMLKMDSNIEPTTALYNSLMLAYTACEDADKALDFW DDITNSNEGPSYRSLEIVFWACSQKPFGDVRAREIWGKMRKMEIEVTGKVFSAYVGAL AGQGKLDEAREVCEQVPGELGLSVDVLTLGTFYNAIPGQNRKDLVEEWAKGKYPEAWK ELEKLGRTEQEEGHMLFNMKREFKA LY89DRAFT_618771 MMAPPRFQCLNAVTQVSRQAPSLRAAFSSSRVVQADQPPRPSAT SDLLSAGDGAQPPYPSIRPNFSNPIQEPANRSQPTGSNVQRLLASQARRVAQRAQDTT RMSTAVEAGQYGNGVALTRQITRRFKPGDVYAPHDLSEVEMAKWKKRAKPQHDVFDVL DFKPLDNYRNFSIMSEYMTPMGRIIHSKETGLRPVNQRRISKAIRRCIGMGLMPSVHR HPELLYNTPERRSQVQTPSRTDVPSVY LY89DRAFT_587179 MVRKLKHHEQKLLKKVDFTTYKSDNNHREAAVLRRYNIQKPADY QKYSRICGNLKQLAHRLAALPPDSAFRHKHETLLLAKLNDIGLLPSTASSAKLSEVER HVSVSAFCRRRLPVVMTRLRMAETVQAATKMIEQGHVRVGTETITDTAFLVTRSMEDF VTWVDGSKIKRNILKYREKLDDFDLL LY89DRAFT_685883 MNKIRSFLSKSSLSAFQILSDLHLEVGQQYTSFVIPPSAPYLIL AGDIGRLIDYDSYLAFLAKQAAQFEQVFLVLGNHEFYGLSFLAGLERAQKLENEVVLD GKLVLLHQRRYNTPNSEVTILGCTLWSRVPENAKEVVRGKVKDFEKIEGWTVDDHNAS HEADLTWLRHQALEIQLQNKSRVKGERGRNILVVTHHAPSMQDTSSPRNVSNPWSSAF ATDLLEDPSWGEVKAWVFGHTHFTTQFVRNGIKILSNQRGYVLLGSRERESVEKDGMR VFDVRRVVHV LY89DRAFT_735167 MEALQLKVALRILGHAGIPTCLVGELALNYYNVPRVLHDIEICV PENMVLKAASLLRGTGLFEPDEIEEFDLYNEYKRGCPRLRSSSWISPSSALVILGDKI YGLNPISKNVLSRDKVPSNPSYSSQIMDLIPPAEINQLPIPRLPVLLVGLCRRFLESH DDVAMIAAEQLVDGMDLDDGWCDRNLSGVSSAVLEMSSRLVAGKTSRLDDFSENTITC FIANEDEVERVRRIPGYE LY89DRAFT_670549 MLQDRLNDAAIALSRVLSDARIKFGIFGGYAIAVLGGQRESKDI DCVAALSKQAVISLFDGKLGFVAVPQTREDYVAFLWSNTPNRSNAVLVEIFCEQFPGA RYSMSNVQPNAVAINGASLGNGTAPFLDPVYLFKGKLRAAATRAKFHDSADLRWLEGR FRETIKARRAEFSSKYVGLAMKRYAELEPLFIRLGIDVAVAKDAAANLDPNNLPRPAP GDVQLGILG LY89DRAFT_750204 MRIFLQLLLAALPATTFERSSKRGLVFTPAKPFPADDQYWIQPS SDLTWYYNYMAQPSPQYANISQSKFEFIPMMWGAPTNTSDNTFSDTVQSLIFNGMDIQ HVLTFNEPDGPEIVPLQQMGIKAVAPAVTQRSLPWLANFYGNCTSCNPDFIPLHFYGD YKDFTTYVGSVVFAYPNTPIWITEYTYDHQSLQTTQDFFNISAKYLDGTSFIERYSYF EAFRSYGSNVGLNAAMLTTGGLLTSIGSWYLGGAATNNIRTGPGSAASHTVSMPVVVS LIESYRSSEC LY89DRAFT_685884 MANKYFLPNIAPLHTTIRLGSLIPNISQPDQDAFTGLTLEPGTD YFIHPQANLGKLIKTTTNKSFALQVIQLLTSSFSKSNSDSQWLEECEVRHYQLRQPKA LFKKTCESDEAKEWLQNEVEYGSRAVYFTVGYYTAVDATVGRSSSYTSQTSLGVQVPI ADIASMGATLPLGGFADLNVGGEVGYGRANILMDKARMEGERIYAICYRKVRFSFWPW KDKLESRKLEEGNFWRMTSDNRGDDDGENDEALEVVMEDLDEEDEDNVKGFEV LY89DRAFT_648147 MCAKRFERSGYGDDIDRAIEYAEKALKCSETMNVEEKAYLKGRL MRNVAIKLEMRDQRLSSIRDLNAAISMMGTAITLVNEDNPDWALFNGELGNMKASRFR RTKEITTDLDEAIEKMESALALLPKHHPNKSHLLCDLGDRYLDRYQRLHGKEDLEKSI KISRKSHDIREARDPDRGKPWCSMVCKYVIRAQDFPNRVNIATAISATRHGPKLPEGD PLEMEALERLGDAIMAKHNYNYGIDHRYCDKGIDKYAEAIQVKNGPPLTTIRIAKKIA EYSMRFSRERIACINAARIYTHVIKVVWPRLNPRTLSRDDMEFVIRHLSGLSSLAAVS VMKSGKSASDALVALESGRGLMASLTIDSRSDVSALKEQHADLYKRYMTLQDEISSNI SLGSEPEKSAEESTTVAISQRNRKVREFDKLAEEIRSLEGFEHFQQPLPHAELVSLAE FGPIVCFNTTSQRCDAFLVTRNGIQCLELSAQYDKIQEFVIELVRKKEIFASGNQSQT SERNQRLREILAELWKLAVEPVLSCLGLISNDPPAKLPRIWWVTSGPMGLLPLHAAGS NWGNSRENTASHVVSSYVPTFRALAYARQKMFKSLLEPSRECLIVSMPETEGLFSLDV AKEVKAVQKHLESQGNTVSSLEIPLQKEVRSKLQSASIVHFACHGESNALNPSCSGLR LAGKDLLRVRDLAAMSLDQAQIAYLSACSTADNSVDDQLVEESIHVASAFQLVGFPHV IGSLWPVSNKIAEIVAPKFYESLAKHLQAGENNNDAIAYALDDAVKNWRRGRKTERFI SWCPFIHIGA LY89DRAFT_735172 MASAEEQVKARLEQLSSVGQAALWERSLAEEFKKLNKRHGKKSY QKACGGVGKCDNALCDHRYAVGGSGSVPVSVDIKLLEIVLKKCAADGYPVRPGYASSI LAENGNKIVPAIACIKKEIDFREKTLHETGRVSVPYERIAAYQVIEMYRARGEDTAGK FIDTRDAIIEALEYEEAALLSTEPNSWKKLFGLKSKSKTARKDGEDYAKSVHEGEKEY REARAKHWKIIKQDKDLSEAEIEKCMEAWEDENAKPGMHSRLTGGVGSCYRRTHYTDP DAPARAPVTNVRTTTLADGHKIVEIHTADQTPEEKLVREKARNELQELAKKKVEREAA AKANQSATSAIPIITVTAASEDDQVYASTMSNNGESSGSSSARETITIKSGFWDDDKQ KRVMSVHTYDVDFDVVGEFQAVKLGWEMMGQDSYEFVEAFKHQMDPTREYIEADFEAW AIMYKEQSDRQSFAKMDYVRALDSQIRRIKMANGDTKVQEEYLARIHDDEGVCDLNKA FQEHIDAAEGHNSLYKSLGATVYRGSVMSTISDIEYKPGPRIPLFPLCEEIDAPALAK HYWDVLVDYRKEETAEARAADEEYAQAVAIREQERRKNEAVVNSQDKGKGRAADETTA IPEATGSRSVPKYHTTVEDEETHDFGVASSDAQPYTDAQPYADAQHYADTKGKVRAFN HDPIETQSYHAQYLAKADGNSQSFDKYIGLEHSTSQGQHDYKGKGKERATETDEEFTI DHSQPVDPNVLEILHMFQKFEIRPEDEEMDDDSNSEEEPVNEEEIPGLPIMERDPLFR KLDGIVLKVWKDKIVVADATKDDVDYVMSWGVPFYELANTLIILCGGSAALAAHFHKN FLLPEIAKAFAKIRQPGQVVVPEVENLTYHVEMMEWANHLLEFVLNGYGQFEKKEEAP KAPPPKAPKKKTTKSRKIAAQRARR LY89DRAFT_708053 MPEVTRVVRSYRDDYESDDDTRSTFSRRAKGDGSYRTVQRYRVT PSRVEEVEVDRRSSRLDVAPRAEHIEVDRRVERWEPERPRSAIDIRPRSTVVERERYI EREPAREPERERTRTVVYERDREPEPAREPERERTRTVVYERDTREPEPMRPWERAAR PWEVEKRTTERREEPYELERYQRETEYYDRPEPPPQPIIIRQRAPEPQQIIVQEAPQP PPIVLPPPREEVQIVRQEIREERSEPRPEPRRQEDEYYYRRDVREVRGGDARRSDEDF ALERYDRRDVRPRDSVSGDEYSDEDYVVRKKIIRRERSRSHSPHHKRHLAEGALAGAG AAALLANHASKQGTGGDHRGRKMIGGAALGAIGAEVITRARSRYRESREDGSRSRSRS SSRHSHRKIKTAIGLAAAGLAAAAAAKYVSNRKANNEEIRRGRSRTRSLSRRRSYDDD YDDYDDRRARTRSRSVHADPKHRASTIAKAGAATAAVAGVVEHFRNKSRQRNGSRSKS RIRTGAEIAGAGLAGAAVAGLYENRKAKEDVREEVEEDRRERRRSRSRARSVGAYSDP GVDPELGMVQYGTEPVYTHTPAYQQGYDYDPAAVAAGAAYGATRGSRSRRRDSSSDSA ERRPSRRRSRSHSRVRDIAGAAAGTAAAAIGINQYKKRKEKKEAERERERRRYEEEAP PENYYARNYPDDGYSPSPPHASGGSYYPETNQFAPPPQAPAGFTHHNNQSTPHVNETN IPPYNPADYAGQQPPNHDPYGYPPRTGDNVSNDTTSRQTPYVPPNINPATASSIPAAP YFPPPPTVPIEEEHDQHQQRRSRDPLESAEEGGVTYTPPSTATPSPLPSRSNSPERPA KSVSFSPLSPTSSRSLARIHQSTPHDHTSDHDIAPSPSNSLVKARAGRTHPRESSPSS TFDSQDLTRHRARRRRRNSDPSSNRPNYRRSQRTASRSPSPSSDESSGEVETLPDRFD AEGRPLDRDGNAYRPRNSNWRGGEEGEGGKPQEMVEKWAKDFGDVFEGKKSWKDLLRG FVEEAGSLGGSAAGSRESIDDGARERRRRSRRDTDRY LY89DRAFT_783260 MGSSINPTTPPGSPRVSSDPYTSLGTLLRNTSPVRASKRGKTLV RNDALWETIRDDIFQIYMAQDNTLPLTMLLIETRYNFKRSERKWKAKLKEWNFVKNVT KAEMKVVVAKAEKRATEDGKDTLFLHHGNIIPPEKVANWKRRLTTMAATPANPYAKTP ATIAYFTPIYHSQPNLEELQVFRSTFSRVTIGGEVKPKSLFLPARYSVRATGQGPEEV VNAKPVPPSGRNRREVENFDYMDNSTTTFSVSTRGAWRSEITREDLSTFPSGSVLSIT EYFAYTLDSVQLGSGKLESILASWSIPEIKSRYHALEYLQLQVKRDGRILRFRPGFIR DFITCHTDDINSPNIPRDFTPSDRLPFHHAELQDSVYAALLEELGLALVRNDDSPRAI IIASIMVQSLDIVSRGGTPDSLVGRWHHDQDFFETSIPYLQNALRNSLYHIRQAFGWD FALARLLGDMLDKSYQQLGWTSAWDWRQDIDVSEHGLGKTICELSEERVALVENDFET DDLYFQFIQPLLRSIAVHIMTPLQIARIYNNIYIHILRREADDRGGCGFCQLETPVVV YFKDANGSRKGTSSKTVCDRCELLMKNSIQHLEVLMTTTSKQPIPDEALELYVNTKRR LIAELNGLPGSQPS LY89DRAFT_685889 MSGRRDFLNQPAPENYVAGLGRGATGFTTRSDLGPAREGPSEDQ IKEALAKRAAQLGAAAPTAYGAPEKKEEDDDDERFQDPENEVGLFAGGAYDKDDDEAD RIYQEVDEKMDRRRKARREAREKAEREEYERNNPKIQQQFADLKRALSTVSDDDWANL PEVGDLTGKNRRSKQALRQRFYAVPDSVLAGARDSTELGTTVADDAPAGGDGADGTMT NFADIGAARDKVLKVRLDQASTGSDSVAGSATNIDPKGYLTSLAKSQINEGEAQVGDI NRARVLLESVIKTNPKHAPGWIAAARLEELAGKTVAARNVIARGCEHCPKSEDAWLEN IRLNEGRNARIIAATAIKANDTSVRLWTEAMKLESDPRDKKRVIRHALDHIPQSVMLW KEAVNLEEDPDDAKLLLAKATELIPLSVELWLALARLETSENAQKVLNKARKAIPTSH EIWIAAARLQEQMGNAAKVNVMKRAVQALAKESAMLKREEWITEAEKCEEEDAILTCG NIIRETLGWGLDEDDDRKDIWMEDARSSIGRGKYETARAIYAYALRVFVNSRKLWLAA ADLEKNHGTKEALWQLLEKAVEACPQSEVLWMMLAKEKWQAGEIDNARRVLGRAFNQN PNNEDIWLAAVKLEAENQEPDQARELLKTARQEAPTDRVWMKSVAYERQLGNPDAALD LVNQALQLFPGAAKLWMMKGQIYEGEGKLPQAREAYGTGTKACPKSVPLWLLYSRLEE KAGNVVKARGILDRARLAVPKSPELWTESVRLERRGNNISQAKNLMAQALQQVPSSGL LYTESIWNLEARTQRKPRALEAIKKVDNDPILFVTIARIFWGERRLEKAQNWFEKAIL LDSDLGDTWAWYYKFLLQHGTEEKRADVVAKCTLSEPRHGEVWQSVAKDPKNAGKTLE EILNIVVTRLE LY89DRAFT_783262 MPPKYEYVDKTGQLLKKGPRDLKNQRLTDLAEKYSHPSTNNYPA KDITSSPLAGTFKSGFTPTSPFFSGVAGPYKKRRIFNHQKRRFYGFSAVELQELGVLA GVGDDPYDETYVDVMTGNIIGRTYRRDLDNPIHPLYARQNWEKESDIGLDNGPIPVRG EDTGYWLVSNDNIWAVLEPCLQLVSLLLDNANWFPWFDAILHGEIREVDPTRVPSAHV TKELYSIHMRPPAERNEPLQIQKTKDKLKAAAGTLMFRLSSGYCNPYTGAAQNHTVSY GMTRKNFMKRQPSFTVDIATELLQPLLTGELNYDERMLAQFQVATTILHETGHALWEH RYYDVTYDVYKEPYFEDETLPEMGWSLENHIWGGEPKDLNHPTYFAGGPGLPSMGVVR SYWFNECSTAHGVKDMVPLDEVKGPYNLARGSNPFYYIRYWPIPTTWFKDMHKKDKWE HLVRKFGADSLEMGPMTLGSEFRSNDRDPRNLKQKKNSDSNFNERFMFPAQRAALNPD EKVAAATENQRREKALEILKQMRALYSVKSEFMSDEERAAGAGAPVIEPMEVYTCKCP RYDAIKKHFLGNRGPQELAFDSMEFDIPEPTLLRYIQDRGGISLDAEDLRSFLHCCNV KKELFNYRPFPGTGMVTRIPAGWPAAPPKPIRAMQTPDQANYDKIKKVLLDNEFQDTM YGTRGEFRDMPIGDLGDLANSYLPAEEESILRDELPLILHEMAIRDPAILTLGPKNIV RLRTPIQAF LY89DRAFT_783263 MDDLSSHASQLGISDSNSPQEPFDPRQIARLLSEYYELMARMRY FSPDLIKYPPHDPPIDVAFAQSLGLEPQVIELLQVLPYVEGLHNEDEFILGGSFADFR KNRVLEQSRDPDFACPQGDYEEENGKYVMPWVLVLNECGNHGSIMYFDTRNGHITTIW QGGAGGGNADPYFYGKFGWSTALEHEHPVNKNRIEHFPSRPAKDLFADYTNRLMTLEW IPFNTYGPRIFEKSAKEEYVDLKLLFETYGWPGELDAKGFDAASRRWKEFNRIRREAT KLMTEVQDLEKQSLKLQKHIEKTLQSKDEDVTRTPEEIATMEQHLQAWRRNLEWVAIQ KQEAVEEAEGVNSEDSALEKAWEKHIQNGIERKMRDLSWFRNDGSKYATEEKMRDLEV GIAALEERMKDLKSLPKIAFDAIKSQEDEGQWLCCR LY89DRAFT_685891 MPAFTLYGARGSTNTDRVRLTLAEGGFTDYEIVTLNLQKGEQKS EEHKKRHPWGKVPAITTAEGFTLCESRVICKYLATKYGFSLLPPASEVEAAALFDQAQ TAEMLYFAEPAGRIAFEKFVKRLMGLPPNDAVVIEALRSIEIFFDIAERHLQQKDYMA GNDFTLVDIYYIPLIQRLFACGYKEVISREAVKAWWDRCLNRPAIQKLMAADKEAALA AGR LY89DRAFT_697996 MAGSVFARKEKIASRPKVKTGCTTCRVRKVKCDEKKPFCQKCIN TGRTCDGYQSPFKYFISQRIIRAPNDGIKSNDVLPPIRPTFLGIAPQDIDLLSRYFST KTLFEVKLGYNEEARQVLLASLTDSPLRHAVLSLKALREDLETSGDGPESVVQQTSSF QYGLQQYNIALRSLAFNLSSPSSSGLKSALLCCQVFISIEQVQKNHGAMAQHIIRGLR IMHEYRARPTFVTPNKLVPAYHRQLPSLDIFIIKLFAAPCKFAEPPATATVNGTTLSA GLISLLQQPLGSHGLRTIAPDMRTKLTSIATLTIEFLSKVSQVDTTDTALRLLLEKAT LLKSLESWLNDLEIVLTTIEYPASEPLSVAFLRLFHLVLKIVLLGALESSPDLYDRLR PENDRFQNIANNVGERVKAYIRCIGTSSGRGERSTLR LY89DRAFT_735180 MHFLLLGASGRTGQHVVSELLSPGHTAVALVRTSSSLAPRPGLT VVTGSPLSKSDIRNAFLATSPLSPSATIITLNTVRKLDSPFAAQISPPRFLADSVGNS WDNLPWLSKVFLGWTNVKYALEDHDLVDKEIRLTNMDWTLVRAVRLRFDDQKLADAKT EVKLLGSKGEGMSFFDSVSVASMASFLVNVAVKGLFIRNAVVMAG LY89DRAFT_559467 FRQIALLAALAVLPTSLAQISANFDNGWDQTLWPIYAPDCNQGG TVTLDTTTGHSGTSSMKVTSPGGYCGHIFFGTTAVPTGDVYVRVWLKATNALTANHVS FITMPDSAQGTGKHLRIGGQDQVLMYNRESDDATLPDMSPQGTATSEALPTGAWECFE YHLGTDGSIETWLNSAAIPGLTTKGNANDNGWAKSTIIPKVTGVYFGWESYGGDVNTF WYDDISVQSTRVGC LY89DRAFT_587114 MRITYREAIAIAQVIFFVPALVGGIFLAIRLGFSKSSGWVLLIT FSLLRLIGAVREIIGTQHPSKSVITGAIVCISIGISPLTLICLGLLARVYVAPSLMHG LILPRLIFQLISTVSLAALILGIYGGIQLADQTGATNYTHLNSYSKAAVIIFTVIFVV VCLMFLVLATKVSHVPDGEKRLLVAVTLSLPFLVIRYTYALLADFAQDTRFNSFFGNA TYYLCMAVLTEFVVIFICEIAGFTLRVIPKEERNLEAVEMIRGHVPVDSNDLSRSDAL LEDGGQRTRKPQRKFKGPLSWLFFQAKDAIAAHRDAK LY89DRAFT_648163 MSSSLSAVAPLPPVETTTSASPTTSATAITLPPVDLHNIQGDIL PGLPKKFEAYWFLQIRDDRVKEFRCNLYKLLPLITSAAQAMRDQDQIDHWKRSSPPEG QLLKLSGVNISFSHKGLVKMGIADPVNDTTTDPFQTGMFNDIANLDDQVEKWLPDFKQ EIHCLIFITGESLATVHERYAELKWILDPTINEVKLTVGNARPGKEFGHEHFGYLDGV SQPNIEGIDKSADPNPVQAPIPQGLILTGRPGDESSSGTPTPRPAWALDGSFMAFRYL SQNVPEFQAFVDANNPPNAPKDLLGARLVGRWKSGAPVDITPLHDNPTLGADPAKNNH FLFDPTSQDRCPFAAHIRKTNPRGDFTDPTISQKRRILRRGIPFGPEVSPIEKQNNKT ILDRGLLFKCYQSQIAVGFQFLQKTWANNPNFLARKNVTVPGFDAIIGQAANGGPRAM DINGDTNNPNSFKLPVEWVISKGGEYFFLPSISALREEFALKPVLNGYGTYNGNGVHN GNGHEELLI LY89DRAFT_101906 MPQHCNTCSHRCRCSPYNSTLHFLLYDNNPEMERYCYDDPDWPS TDSDRIFFFVLVILKILKLICAVVILVQKEIEEDERRSNVETRYEYLLEDLGRVERRR KKKKNKPESGKTKSVQKNDIVDRGIKRRPIGILKTRKRMRKIKVESWMQRCRSQQDHV TKRL LY89DRAFT_708059 MSSFQHLLGKHLTSTPLNTGEVVAKVAEASVEDVDTAVSAALAA FPSWSSLSPIQRGKPLKKMAEKILSSTPELAELDAISMGRPVSSFFDADYAAVHFNYF GEAAYAQGHTSLNTPGFINMSLRQPFGVVGIIIPWNAPLVFFSKKVAPAVAAGNCVVL KSSEKAPLTSWKVSQWIKECGFPPGVINVLSGHGHISGSAISSHMSIRAISFTGSTRT GRAIQIASANSNLKKVVFELGGKGPALIFDDADLEQAAKDTENSIFWNSGQTCMANSR IYVQDTATEKFVEVFKKLASARKMGDPTKGEINHGPQADKVQFEAVNKYIDAGKESGG KLALGGEEAKTNGETKSLLIHPTIFLNADESSRIMKEVIFGPVVVINTFSTEAEVIAK ANHTEFGLYACLYTKDLERALRVSKKLESGMVGVNCAAPTGCWDLPFGGWKGSGTGRE SLLESMDHFLEVRSIYFKCPGIGG LY89DRAFT_648168 MASVTVGMFLASINAYLNGTPGAKHHLGYGMLARAAFGLWGSYF CVMLNVFQSFVFYGTQMYFGGQAFVIILNSLSPGFLHMKNTLPESAGITTPGLIGFVL FIILYFPIIYFIPAYKIQKLLEVQVVIATATLLGIMAWAVHENGGSPGNLITSSLKLS KAEAGFRVIQGITSVAGTYTGGTDRVSDWTRYGKKRHTSTPAIITLAVTVILTALIGI ISTSALAERYGEVQWNPLIMLQSVQAMNYTATCRAGTFFAGVGLLSVTVFVNYTQNCV SSGMDVAMLVPKYVSQRRGAIIFSILGVLAQPWRFLTQATTFITVLSSFGVFMSPAAA ILIVDFWLIRKTKWNIPELYKPGGIYWFTGGVNWRAFVAYFLGMWPALPGFVNAVSGI EVAITWRRFYQISFFFGYAVSATLYFLFCKLSPPPGLGVQVNFDVDGTVVVEGVERTS EKSDVDDSKMVGSVETKDLA LY89DRAFT_708061 MDAYDFVVVGAGASGAVVASRLAKSSSRPSVLLLEAGGSNDSIQ HLPATERFNVAFSPDSPLNWNYKTVLQTQLNGQEIDYSRGKGLGGSTAINFCGWVVGP RDDYDEWAELVEDKSFCWQNAKSCLGRIGHLHSEIPQPKLSKYVCARPEDHSTAGPLH LTYGDSWVSDLEDIFMAAEQAGLKSNSDVNSGNPIGMGMGSVCIYKGQRLTSSIAYLS DPPMNLRILSDAGVASVLLDGKRAVGVETLDSRRFYARREVILSAGALNTPQILMLSG IGPREELEKHGIKLQHDLPQLGRNLQDHCFSSVGIVMEEDGRSPHEGKKQSPTPMGWA KLDSVFASKEYKALPRQQQDFLPAPTVPSMEIATHIPPTFLNHTISPGTTFLGAMCLV MNPQSRGTVTLQSPDPKKAPLIDPRFMTHEYDRRVMIEGVRQVQRILAAPVFASRIVH RLGPKDDSEEGIWEHIRCNTGSSWHMSCTARMGTDANSACVDSNFRVFGLAGLRLVDL SICPFVPNNHTQSTAYVVGEIAAEKMIAEYDLDETLPYAML LY89DRAFT_685896 METHSRRRVPLDKRKRTETSCDKCKSRKQKCDRIHGQTQCRYCE LHGIECTSTQPRKKRVYGNVEGLGTRLALLESLVKGLLPEANLSSNDEMQQLGKSLGI PLPPLEDNTINAQKNKEEEEITLPLLPDQQGQVQYIGPASSFGFHLKLRRLIGNYTDF QFSMFGKNAADPDITLAFPNASGAAYEKDPGGQRTLSNASSDCGSPSEAMREIDPTTL ESLIDSYFDVVHSDFPVLHDASFRETYELWTVSDATSAANPVWLCGLFCVLLLARRVA SVSIPQEAERHWWRYVQTLLPTVFFASNIFAVQALLLAALHLHNTSHRDACWNLTGTA VRIAHAIGLHRDDVKHAQSPLGRELRKQLWWTLYAFEQMQVSSYDRPSVIEHNVSLVS CPNERIVGVAGHCPQDYMKWSQKLVILFGTACRVLNTVGNNHNIPDDAYSRPLSPAAG VLRDLIKWQKELPSHLRLDVTDSLAPSSRRPILLLHAQFHYTVLLMSRSTLLRRATVL STNIDDPPSEALLVISKTCIDSGRALGRLLLKLDSINKFNAFTWWDIFYTVASALILV LDISCSAKQNRLSSGAASKDILQKLACLMSRQLRDWNVPGSMAKWATIVVDVNATAEE LMTTPTMQRSARLQDVLAPAAIGSIEPIQHIPQHESDQTIGINDTGLAIPFPYSSPTD GFSYETPAPGREEAQQFWAELSFMDDLNEQSQDWSWDDIDAILRGSEQQNDTRRHIP LY89DRAFT_618811 MVGHLANRAQFGLILPSTNTSVEAEFNRMLVPGVSWHSGRIFAA NPDLSSSEAMVSFLEELRVQIKTAVQSVCHAKVDYLVMGMSAETFWGGKDGAAEFVKF MGELSGGLGITTGAAACEAVIKAYGVKKIGIITPYQPVGDQQVVDFFEQIGCEVKAIH GLKCATATSIADVTGEEIKDSFRKVDSEDVELLIQAGTNLLAGKAAAELEKELGKPVI AINTATVWHAYRNNGIMDKFEGWGSLLSEH LY89DRAFT_101948 MTTEITRVTMIKIPEQYLDIALKGFETFTKNQKKDGEPYILSMA AGLAQGHVKEQGYTFVTKSVFKSKDDMEYYEKKCEGHLEYKKFLKENASVEGLMTCYF TSGVSWEIS LY89DRAFT_648177 MGSIEQAPVTSSSHHPFSLAIVGSGIGGLALAIGLLAQKVPVTI YEAAPKFDAVGAGIGLGPNALKAMELMDPKFARLYDEIKVGNTSPERQHEQIEILSVE EGFGSNVGHEKFERSSAHRRALLEVMKSLIPEGSVKFNKRVVKVLQNGKSEKVELVFG DGERVIVDAVVGCDGIKGMTREAVLGDRWPEEVPAKYCNTYVYRGIAPMEEAKKIIGS YAEDARWWMGIGTGWAMYPISQGREANIVAFMQDENQWNGEQAAREVTREEMEAEFEG FDSRLKGLLVYLKPMKWPLFDHPDTPTYINGRVCLLGDSAHASSPSQAAGAGQGLEDA LILSRLLGLIKDASQLDAAFEVYDVIRRPRAQGVVQASREVGLEYFLGHPKLGTDLQK ITDDANKRLPELWWYDLEGDIRKAEQMFSQKIDKSM LY89DRAFT_685898 MAEDFIELGIEGIDKLVDKHFHKLPDKYTNPHTYDPRRKLSRRR GSGEESDEESTRVVDEQEKDTGPPYNDAAYITDPKDIRDPGYPGNSRDPRDPRESYYP NRPPEPRSLQDPSIYSSRGYEYLVPPPPPNSDPSRQDIRQRPRREALVRRSSSQPGSL RESDRRKRGEGSERERGQRERRRRRSFSDERRRDRGPSGGNGNGGGQNKTEKVMLTLL GAAVGGLAASAAAGAVMDRLDKKSGKGDDIRVGGRAKTQEHTRGSRDKGQGVKGGGKR LY89DRAFT_735191 MKFYQWTTFVLSLHQILIPVSGYDGVADTIITATVSANLLTTAT STWSTSSTSIEYQPFGPWSRIDYITTQYIRQTSSRGTFLSYPTTVIDHGTTTVDYFER VLPTTGPGQSSSWTSTYLSSTTYFVYQPQPTDMAAGITLNNVPCGPCAPAGWKADPTC VALGLDTACQGQCLLEDGFWWCRHLTGLEIVSAPQYQMGRVCWGNGSAYHQLMTPCIQ GDHMFECLACTNSNTSFNINSSTFVLLSNYSIFMKYYIDQLMSFF LY89DRAFT_735192 MAYTYAEAIQIIIELHFAWDLNSFFGGPREPELYLLMLAFQALA VNVTTFGEAVAQGAAMGDLMWDIGRRQGTVLRRVYEALDNIILSILVPPPGVGLLLSR VEFEVLSRLYDVLEQHDTAGDMDDLVSEEENSEDDADEEDEANEEDE LY89DRAFT_101959 MAINLFCFLLLSILILIDAAPTSTQSLSERDTQAVTCSNTFMQS TLTKYLTTHPNWTITFNRTAVTETTDAVLASVTPVRTNLCSFSVNVKPSDSTNTFNFE LMLPQLSQWNHRFLTLGNSAFSGAINRYHVANGLANGYASMGTDTGHGSSTDQGDESW ATNADKRLDWAYRAMDRSIDLAKVMVSAYYGGSTINYSYYSGCSTGGRQGLKQVSVNP ESFDGILIGAPAWDEKHLMGWITMITDADYEAGADTLTTADFQLIDSFVMASCQDTQG YPTNDGIIINSNVCNTTLNWNSSLIRCASTGQQNCLDDNKIAVAKKLTFDAYTSYTTN RGGLLFPGYFPGSSLQWGTYLAPPVTLAWSESYEADFLGMGAVTWKNDAQKIVQAIEQ PDNWTVSDLSKLNYGGKIILYHGTADGYIPTRSSEYLFNQTGQMFSNSDSWFRYFEIP GMQHCTQDTTGLNPPTGKPAPYYMGGAGQAQSIYSTYVPDSVGINTTQHDGLRALVNW VEQATAPTSLITTAWVENEANYPIYRQRPVCPYPKLPTWNGNNANLATSWTC LY89DRAFT_698005 MSGHLVPVVAAVGLLALVALAVYRLHFSPLARFPGPKLAALTRW YEAYYEIWCQGHYYREIDRMHDIYGPVVRVAPDELHIRDSKFFNSVYMNPKVNKHGWD KRFGAQTSTFTTVESAPHRRRRAALSPMFSKRAIQGFEPFIRRHVELFSQRIGKFSLS KKPLVVTDAFPAFTGDIIMEYSFGFMYNQLEAPNFASFHEAFMAIGSSGHIAAQFPWF LSLMNSIPDAIVASLQPALGSLLRLKKDQWDLVTLTIRGGKEQAEKASHRTIFHEILQ SNQLPPSDKTQQRLADEAQTVVGAGVETTSFTLSVALFHIVNTPHINARLHTELRAAS PNKDTLPSLQDLERLPYLKACIQEALRLSYGLTARNPRTHDKELRYGDWIIPAGTVVG MTIVDVHHDESIFPDSEMYRPERWLGDPRTGDGDGDGVPLEHYLVSFGRGPRSCLGNN MAWAELYLVLAMMFRRYKFSLYDTDVSDVRLEHDFFIPNVKLSSKGVRVLVEEVED LY89DRAFT_648183 MSEQATSPAETAPPAEQHQTQTQTQTQTQAPEPSVPSKPESPAK ETEPQGADPSSHPPQPTPNPILEADPDNENDPLDEGYADPSSASAASTSLTSSIYRYE YANGRRYNGFRSGAYPLPNDEEEQDRLDLLHHIFRLLLDGGLVSAPIGANPQRVLDIG TGTGIWAIDFADEYPSAHVIGTDISPIQPAWVPPNANFYIDDVESEWVYRADEAFDYI HCRGMGGSVQDWDRLCGQAYEHLKPGGWLEFQEPEAWMTSDDESKERVHGLNQWQTLV NEAARKFGKEIDLAPSHAQRMIDAGFVDVKEEIYKVPIGPWPKDPKLKEVGRYQLLHV TLGIEPYTFGFVGKILKWEDNECRVLTAKALQDVRNKGNHLYVRFFFVRGRKPEA LY89DRAFT_102015 MASSVRILTVLTILSLLRTINALNYFPAAWTVIWNVPLRVSNKW GNKGTSLLTAGLGVWTHIYDIIKNCKQQDGTPVDGLDCAHSVIVSLFSCGLAAMSYET IAAWTKRDTINADTILDRIPNYIKITDMKVFWEPIIANTSSPSHRRRELHAREAPIDV YYNGSMPFVHLYHASQLVGRHHNLSNIFRNRWPQCRNWWHFPDRWQ LY89DRAFT_102022 MTCYSPPKSPGQSGTAQDGNYTSCYPTQKVSMCCRTEGDSCSTN GLCMNTVGSTATYWRESCTDPTWTSPYCLNAFDVCPLDQSNNTPVTFCQGEGGYCCGA NNPICCGTDSEIIIPQIQTPPAGSTYSGEGTNVGAIAGGLIGGICFISVITYIVWRFC IKSKRQMYESADWAEDFEEPAVDRYHRIVPPVPAWKMQAIQAEQTPHHYTSPSHRDPS HRARVYSTADGYSFSTRSGFAASSAMRDPSHRPKYDSTIDGKSVSARSALGTSLADQD PNHGPEASSTVEGKSAIDERSAIDGKSANDVKRVSFLEELPVDDI LY89DRAFT_102026 MNLLTTGLLALSWASTARATCSGNLLIDNYANYANNLNSLGQWT SDDGTTIGLKADTVNKDITFTSQVSSYFYTTFACEQATVGGYNAFTFPIKGPAGSTFT IELQTSSSCSTTAYTSYYTTLTGVTGSLHTYTVPLSSFMGANLNAIQSVLFESFSING AWEIGQTQLVCAAGGSTVGSSKTSSSSRSSSTTAATSKGSTTLVTSAKSVASTSPKSS TISTSKSSSTSSVVKVVSTSTSSAKTAGTCTPLLIDDFASQSRLTFLFYNAMLQPSSD DGTMKAVAGRVDTSTSVIVANNHVILTPANSGSYWYTMLGCLKATNVYNGIGLTITAP AGTTMTIELQTEADCLTDNPILNDLTSTNLGWTFDGTEHYYTIPFSAYAGLDTNHLIA VLFSSISKPVTFGPIALYCGTGSAYPVPTTVSVIEPSSTIPATTGPSAMVIDTFGNSD TNNLGFWHGGDDTTTYTYSGNKMTINMKGNSDLSWYTQITNGCVDFTANDNSYIHISY TGSSAFTVALQQHNPTCNENINPYPYTWDSVEASRYSNTAKTDLYVPLSHFQINRTLS IGFALKGFYTTTTTVFSLIEIVKTVPPGFLVPSKLPTAPLIFACTRPNSFAFAIDDGD PTLAQQVVTSVAAAGIHVTFFTVGAALLDQSTNLTNVYKSMMAAGHQVAYHSYTHPPM EGLPTLAAIDWELNNDIAAVNSQLGFRSQYFRPPFGTEGARIRQRLAALVPNAKFVEW SVDVQDWLWALSSTPQNQITNFQSDVNKGGNLVVMHYLYQTTVDYLPQFISIAKATGK QLMRVDQCLEDPNAPPL LY89DRAFT_735198 MRVALSPRNCFKASFTLVIFSFLVFFFRENLSYGGHKHAIGTSD LDLEEDHLRSNSPWIDSNGFMNPAEAPGYCSRHGWKEYPHRQSRRKIYDLFMINSELD WLEIRLNELQNHVDYFVILESATTFTGLPKPLVLNDSWDNFTQFRDKIIYHVLQDPDP ALVKEQKWTQWDHEKFQRNAMFEQVLPRLTDAQAPNHGDVILVSDIDEIPRPAALTVL RNCEFPRRLTLRSRFYYYSFQWLHRGSEWAHPQATTYSTPNTTILPQDLRGGHGAGFF SDEKADLYNAAWHCSSCFATIDEMLLKMQSFSHVEYNEKKFRKKAWIVDHIRKGRDLW DRWGQWYLRIEGNRDVPAYVAEKRERFGYLLDRDGESAGFVDYVPGEEITVEISDDEE RWKAADASRDVQDEYLEWSVLRDGEGRIIRAVFTRETPEYWDFLAYKQQQTAIDKICE LNAPLLDDVDQKEFFHVHTSDPGNRDTWFYNLINEYNNSTTNETITHLIQKDNNLQME VDIVAQTTVLRKDKNGKLITDSDQLIRCSKIGCVNQLATTGASVSIADPVAIYINKFS RGSFKLDSTGDQTSIRKEVHCLFNSVPRGGKTKD LY89DRAFT_618825 MSAATKKPPASTGPPGRRPSTDPTSTSPSRTSTPARSPTPSSAT ANGVARARSVRGGTPVSARAAMQRPGAGASNLSSTSTASDAEDDARAETVALLDDLKE RLRKTENASEQFQKQAQVLQSRLDEALAEQGKLEEKLHENEERLETLENDKRDALRQK REMESIYEAERSSMTKEREEMANREEEMQTIIQRLKDSLNQRSNVDEESRLSRRSNNS SPSIEGGQFAPPSGLSRSDSRNNSKLVLQKDRLIESLRLELAEAQIKLVESENMGGGR MREVERLLLEARMTNARLMEDNESYQLLLSEKTLTGDFAKSDFMGSASHNADALNALE GRSSAPSLADELSEAGEGESENYRRLEAELKSAKDQNKALTLYINKIIERLLQHQDFE AILDQSSDFKPGGAANIDKELPPPPPKEASGASILQRAKSVAMGAGRRPRPQSQMPAS HSALNDPDTAPSIPIGLGRSSSFRHGRPKSEQYTGGAANVVNQMYRGGQTSPTLTGPQ TPRHSQSFFAPPLQAGNPNAAHRVPSTGQVPTAGNFPGMKSETSSTSGDSGDVSTPPS NSPPRAEKATTFAGNKPRPLRLVQDQQDSRRTSGEDAANKRASWMGWMGGAFSKKDDV GAVEESIHE LY89DRAFT_698010 MAPTKKAKKTSDSINSRLALVMKSGKVTLGLPCNKTLSSGKAKL VIIAGNTPPLRKSESLHAIELGTACGKLFRCSTMAVLDAGDSDILSGQTA LY89DRAFT_102213 MRLCDGTAKVDAGAMAELHQSHLSGFGLLMVITSEKACQSQIEL RLSPLVSDFNVRTKQVWSYFFAWLIFGHNEPYNLCLPLNSRAGWIRHNNIPQSVGVSW LMARSSIPRCKQDEIWPTPCRESRFTSHSVSRCQSQLRVLSPLGPSSNILNSPALQGQ SEELKTTNLSSLTAIVFPISS LY89DRAFT_587707 MARRPARCYRYCKNKPYPKSRFNRGVPDPKIRIFDLGRKRANVD EFPLCIHLVSNEYEQLSSEALEAARICANKYLVKIAGKEGFHLRVRAHPYHVVRINKM LSCAGADRLQTGMRGAWGKPNGTVARVNIGQIILSVRTRDSHRATALEALRRSQYKFP GRQKIIVSKNWGFTPLRREEYMEKKAGGKVLVDGAYVQFLSNHGKLADNVRRFPAAFQ EA LY89DRAFT_698012 MAFRQPTTYHAPQRVYAAPPDETQTQAQPSSAQPQHLADSQEWI LFSPSAASTTDRGYTTSTARTQTAGRSRISDFGSLDTAARSYDYDEASQDEGAIEEEE EDGELDSLDSHLHEFRAEPSVYGQGQSGEASGTVLPTHDGLGSFRLDQTVMGEEVQEH LYAFERFNPRRVKRRRESLELGMLELENERAAEAERTRRIEKWRMEQSRLLVDEIQKE TRRRKQSMSTDRRSVVVDREQEDVATMSNVDSENVEEQVADEENESFWNRITRRVIRD LIGIDDDLLEIIFGESLPEDDDLSTTPPAVSPLDANRGIVPANEYKQDSWEHRLLERI ARELGILVNQISDHPGAFSTYLQSQQMPLPYAGLPVIPETSRDIPAQPQPSSSILTSP ADPQFLPTIQTATPAIPISTSLSPSPLEEADSTPRPSNPLSQPLTREEWERDLDIKMV FRYLRSRFTAKFSPYSESTYPQPPFSTAGTSHLATASTADTAARAARVRQHHPLVTRQ SNTTKERRRSIEKRTWKPSVPGGGPSSPGAILNVRRGSSSCASDRIGGLKRSSRTVSG SSRHYWDFGPGGSQSVGSGSLIASTGGMGSWGEV LY89DRAFT_685906 MTTLYPDEKKREQEENVGVDPHESDGSSPEYLITALVQEDHTHE IQLRTMSWQKAAWLLCGDQVCLAIMAQTWSLSVLGWVPGLITMVVAGILFWITSITMH KFIMKHPQIKDICDFGYYAFGKSRIAYEFTGFMLLANNILLIGFHVLTGAKVLNTLSD HSQCTVVFSVIATLMGIVMSMPRTLKHVSFMSMFSAAAMAIAILLFLIFSGIEAAPLY GYNGDYPTDGPVKTYAFPLPGTTWVGCMNAVLNITFLWVPQILFPTFISEMERPQDFP KSLAVLAAVSAFLFIVPPAIGFRYLGQYSTAPAFGSLGVTAYKKGSFAFVIVPTLVIG VIYANVSAKFIYFRIMGKSRHAHSNTVIGWGVWAAVMAGIWAIAFIFSEVVPSMGDFL SLLGAAFDSFFGFIFFAVAYWQLYSGRLFRGVGRSVMTVIHVFVMAVGLFLLGPGLYA AVEAIIADYSGSTRPAFSCANLSI LY89DRAFT_750245 MPVLTTVRQSPAYLYVKTYCLCAIITLFQAAPLSSQLLKSSELA SKRTEFLPRVLLAVPVQIPWHKKMKNTSVISNSPVKQSGTATILKRWLQRAVDLTQIK NQLRHDDQDLRTYPELKRDAAVRSSSSLHHEELRFIELRKVKISSKGVNSLHAFLGLP KDEQVDAKDVPLIALGSSGGGYRAMYGFAAFMSASKKLGLWDCITWTAGVSGSCWTLA AYYTIANHNVSSLIRHYLSVAEELAHPMSLHAFDTVVRSSRGVYFLLGPLIRKVRSGI IGLGIMHLYGTLTTTYQLLSREPTARLSRATFQFSKVWSRSGIDRGLEPMPILTAVRR APKDSSGITPLEIGSPDVNRYIPTWSWGRSFVSRNSVGRPPEQSLALLLGQCTSAPAG PLTGYISALLASIPKGTIMSRLLLLLNDFVRMKNWERLWGNPIRAGHDPNPFYGHNNL PKLQERSIVSTEVSTSYPESSNSTPIRVPVSSGNSSERGHLRMEFTDKFMSLEKKFPS NCGSSKSSTGKSTLERNDKLSLSLAAKPTSRSKWEHEGRIRLMDTGMSNNLPSHVLAR PERGADIIMAFDASSDVQKGSAIQRIQNFAEDCHLVLDDVTTMFDAAQPRFSESADGT RSDGMEVAAKFLHKYARVFLGKRRNGEEMYFIYCPLLPNGKNPDFDPSTASFSNSYNL VWTPEQIQTLFSTYETNLSHYAIETIRQVIRKVYTTKKKRRLASMIPNTGSYL LY89DRAFT_685908 MAYFGRFVQDRRIRPPWLSHVNLHYYSSIHYCHFSMVILLIDRN PPTTRTCLVWFITGSSRGLGLALTEAALETGASVIATARNPASLDHLVQKYGAKIYPL PLNVTMNDQVLAAVKRGHEHFGHIDVIVNNAGYADLASVEDTTIESFREQFETNYLGV VYVTKAVLPILRAQGSGHIINVSSVGGRMGTPGLSAYQSAKWAVGGFSTVLAQEVGAL GIKVTVLEPGGMNTDWAGSSMSTPPISEPYKQSVGAFAEILRKYAGQAPSSLQKIASV VVKLSGMEEVPLRLMVGSDAVHFGGQAGEALKAEDEKWKDLSLSVAEQEFTGLPGVAK D LY89DRAFT_587448 MAASTDLKRLRSASLCKADGRISRNKIYFTSSLLLLSLFVWFYF PYKASIPRFTGGRDDFRPLSDAPFAKTIDLPIDHFNQSDTRTFTNRYWMNDTYYRRGG PVFFFDGGEAGLNDRGAAQMLGGDVVFAPLELARKYHGVAIIWEHRFFGGSMPFPSNQ TTGIANAGYNAYKFLNNEQALEDAAYFAQHFRPIGHEKDDMSSNSTPWIAIGGSYAGI RAAMLRSRNPEIFFASWPSSAPVQTQVENSEYYNTIVETISKNCSTDMHAAITYADEI LFRGTEDEIALLKRALFLTNNASVGSFCDYLETWNPNNAPEFSFKSPLSILADNSFDA KPTTDGVKAKHGPKSAFYAFISATIQVSKTLPGSPSRQIGSISDRVSWTWMLCNQFGQ FPVSQYPSPTSIISRYNNITSFLENFCHGTFPYTPDQPKVLEILRYRGWNMRPSNVMF TNGEIDPWRALSIQSSKNIHPNAPDRKTTQVVPACNSPPPRNEVFGLVHKDSVHVGDL RKRREDPPGPVDKGFELFSRALDVWLPCFHREKARETSSNEP LY89DRAFT_750252 MTLDGDTVEAYDKEALVKRNPHVDFPAVEASRPDYDGLRSWIPS KTPNPKWQPGDGASRSGWEQHRMIAIDPDSHDRTVNQNYKLMISSTVPRPIALVSTVS ADGLCRNLAPFSYFNNVSNDPPLYSLAFHGAEANDSLRNLLETGECCISIVSDWFLEA ANFTSVNSPPKISEWELSGLHPKASDIVKVSYVGEAAVSMECQLHSTQTIWSKTVVDD DGKPIRTATLVLVEAVMFHVREDAIDEKRETVDTKVLRPVWRGGGIMYGTCFDGWETP RPDAFRVLRGTQRVLEILATSSHVSNGVKDTNDYQEIE LY89DRAFT_670588 MVSLTWRGHRAHVPKTFTSWEVKEDGQDDKSFNRWTNRHIIPAP PEERRYTAKGYFGFWIAAAVNPSAWSLGSSNLANGLTAGEAIGMVFVGSFIAGLIAFV CGEPGVQYHLGFPMMSRAAFGMYGSYFVVMLKCFVNFIYFGIQAYWGGLAVKVVLSSI FPSFQHMANTLLESAAITTNELIGFVIYIIIFTPLMLAVSSVAGAWTGSSIRQADWTR YSHTKRAPVLNQLITVPLSITITAMLGVFATSAVKNMYGKEIWQPIQLLEFLLTDNYN AATRAGCFFAGMGFFWSQISVNLVQNSVAAGMDLASIAPRWIDVKRGSLIMCVVGYII NPWRFVNSPGTFITVLNSFGMFISPLAAINAVDFWIIRRRNWKVPDLYVGNSTSIYWY QFGLHWRAFAAWTLGIWPSFPGFVDATGGATLAPAWLKCFKQLGSSDF LY89DRAFT_719714 MSSSPVPLIRKAYADTTHGQIHYRYAHPPQNVAPKPTPLLFLHK SASSSASHTSLMIIYASRGYICYAPDMPGFGGSFDQSPEAISLIQSKDWHYLKNMGVG EDINLWQREAVDHIRAWKGRNLVYGAVWDQDAEILYADVKCKVLLMCARDDVLWKYFD HVKDLRSDVRAVEVAGGNFELDRDVEGIEKYWIEFLEGSFE LY89DRAFT_708075 MPSETTPPKPRKRIHLNFFENACTGSHMSPGQWRDPDDKTYGKD RLPYWLNLARLAEEGKISFIFFADSYSLFDIYQSSTAPILRAGAHCAALDPMIIIPAM ASVTKSLGFGVTGSTSYLTPFILARTFSALDHLTEGRVAWNVVTSWSKSAALALGADD VVPHDERYNVAHEYMDLVYKFWESSWEDDAKVWDRENRMAYNPDKVKRIDHKGKYLKS SSAHQTHPSPQRTPVLFQAGTSKMGRAFGSKHAEAIYVGGLVPSQTAGSIAQIRADAA AQGRDPQSIKFFVGITPIVGKTLEEAQTKYERARQNADIVGGLAQFSGYTGIDLSRFP LDEVFELKDAPGDAATHTLLKNFNKATGNTDPWTPRRLGETMALGDFHPAPVGTPEMV ADVFEQWINEADVDGFNIAYVTSPGTFEDVVYLLRPELVKRGLMWNDYDVPGGTLREN LYGVEGQKYLRDDHYGHKFSCRKERN LY89DRAFT_102668 MPSITSDQLSRGTSFSQYTLSSYQDDQNAEDNADDHTPRPSKRA RVALACQRCKKRKQKCDGQTPSCSNCSSFNAVCQYIKPPLATSRSRDPYLKAAEARMA ELERILSREGIADEGQNRWRELQVGLQSDASDHNEMEVRDRAQRPSKRPCREAQGNME MELSNDVQKKEVNTVVDILRDLSLEASGGYIGASSSITMSRMVGSLVKARVEPNLLTN GIADGEHLSPKSASDVLEEGSSELARMPQEIADKLLRGYLKHISTRWPILHSTYIREL HSRRATLVNCYETTVLHLVYACGARFLETTGETGAFFPDRHHSAGMQNLDAILQYHDI RSVQMLILLAIYSLRDPRGPGAWTYIGLAMRTCIDLGLHRRTPAKRYPLLNVEMRKRI FWTCYCLDRQISIILGRPFAISDRDIDVELPLEVDESVQDVSVFEAALLAAKSSPEDQ APAVSTSLSCFIHVCRLRRIESEIQQTIYRVDNSSPTSEDVVESFIQKLEDWREHIPR DARQLVGDKPTTKTDTLVIDGYGYYMVYYYKCIRFLLHPLLSAPDTNVHFLKKCAEAC GDVCQTYKKLHQSIPVGFSLMALHSVFLAGLTLVYCTWISPQEVFSIKTSSDMNACSI VLYIITERWPGAKKYRDT LY89DRAFT_685913 MGSLNPTRPVRIMNISGSPCDKRDAIAKAAAMDEHVDVLVGDWM SELNMPTKAWNLSQGVGIGYEETFVEALEPALEDMAKKGIKLAANAGTVATKDLYEVV VKMIKDEGLDLTVAWIEGDVVMDLVRGGLEKEPEKFVHISTGKSLEEWGFEPVFAQCY LGGMGIATAFKAGADVVICGRVADASPIIGAAAWWHGWSRTDYNQLAQSLIAGHLIEC SVYVTGGNFTGFKTLDWEQINSIGYPIAEIAYDGDVVITKVENTGGLVSKETCKEQLL YEIQGMYYLNCDVTAVIDKASLTDIAPNRVRLSGIIGRPPPATTKMGLTAFGGYQAEL HWAMIGLDIEERVKLLETKVKHSFGKARLAKFSLLDISVYGSVPENPRTQNSAIVDVR LFAQARNAQDLSETNFIRPALDINMHTYPAATFHTDLRTAVPKPYTEYFPTLIPQPTQ TIHFSSGQSSMKLEPPTDTIVHPATQPSYEPTDPVDFRSFGSTKRMPLGRVVYSRAGD KGSNCNVGLFARNAEEWPWLCTLLSTEKFIELMADEFKGQKIDCMEFSNLGQFIFSSM IILIVE LY89DRAFT_102775 MSVHSRSSVSRRPVGAQVNSNSGQPSSPVPSHVSRSSVSSDPIP YDIWVGPDIPFRPANTAAPPSAPPNDPSVLAQQNQSVMENMPPPQFSQAQTGGAEFSS TEPTQSTNAAPWQPAPTEESYMSHSTLEVVPEAYFNNFWSPEPKVAPEPAPGIQAQPQ IKPVSTGWAVASHEPLQNQSPSQPSQNAQTHSQNNLSSTGWAVASPEPFQSPSSSQPP PNVQAHPESTVSSTGWSVASQEQSQYQAPSVSPTIVQPQPQSHVSSTGWAVAAENFQS QNQQASHSPTNVQTRPQSTVSSTGWAIATPEPFPNQASSAHYPPAEDLSPLSMPGMTA TAVPPPQFSGGRAPSVASSHMTTPSVNGVEPIRRQSVATHAPSRMPSNARPPVLNYNQ PRSLETASFASVCCQCSNAILYNTYYYNCTYCKLDICGTCFQAGTHPWTHLPSMVRLN AQETKAGDRRQDKQCQSCKAMKHRRMDCDECPYSICLSCYSNAAVPAHQHKSFQLKAT PGLTLCVRRGDGSPCCTKPSFGHCGRCYTPWKPEEWMVQCKTCLFEFEEVVALCLHCD SEIMSACRQSRHQMVSMKCRQKLRSEQGGQTHASVECTECAIRKGNPEAVDQLLINL LY89DRAFT_102816 MDESRNYKPSKSSSFGMGKLKMDNLMPAMQKAAKVTTKHTLKAG DKLQTFAQKQQSQFSAAYQAYNQQGQFGAQAHNPQTHPQQPYQPQGHQYQQHTAAPHT QRPQSYQTHQHAPSQPLGYQQYQSDQQLNHLSHQIQQGVKHQVHNQLHNQQQQQQQQQ QQQQQNQAYQNQQNAYAMQAQPVMFAPSSLPSYDDSFLPATPTDPDSYSLASDSADGD TGYDSDPPGFDDSYGPATPSSFGGDDGMDGMSDSGVDDTGAGSDVDVQSDPDDSFDDG NDNMSFDDGDSFSM LY89DRAFT_102817 MAHIFQVETDDGISWYVEQSGTGPHILLVPSGEGDCSVYAKAAS HLSSHFTVTTFDLPCFSRTIAPHSAVAAETLTPYKIADQIVSLMDKLSISTASVFGSS SGGNIGFAMLQKYDQRVEKLLVHEVPLHVLGDLKSWVDQPTSEDPKTVATCQHIFAHA MNEDLAAWEGLGAEYHKRLHTNYVTWYRNYSPVVEKLLWDKEELKKKKERIFWSVGGL MPLSWFWENVVLATETGVKLEVLNSKHFPQVSIPGELAEYIKKCHL LY89DRAFT_102789 MEDAVRPNEQAFRAMYKRGYCTWFSLYEMTIADTKTQLPSWDCL FQLENAFLNYPSFPENPAVDASFSNTVIATGISTWRTAQGPCDLPSFDQEFFIPVASD RPTILSISGPQQSTFVFPRSFSEDDDHITLLILAWTYILSARWAEIIPGASGPEYSNC EAEWDDKNIPLENAPTDATTAVIDLGDIDDDNARWWSAVLALEGGWNASIPSDKGPIL HSPWYTKLVSEKRFILSRGTKSRPLPFQHRAASFATALRYLSSYCEFHKVAEQNHAAL AATLLLPVARFDKSRVQLPTPRVRRKVRLNKESICKTPAWSENLNQLDRLLSLSCNAV GTKALLNSVFFEPGVACNICGAWLQGTFAFLDSDIVQDQHILLRVLMKRDPSLGFLWL GAFIIGAQTRSLQEARQAWWKIDLHVAAWTGTLKSFIQEPVSTLPPGTEEISRADECR LMYLSHDQYYTVPPLFPFAPFGSTAITDTNIDVRQHARCETSHGLEYEGLTWRCRGGQ STVTAVPRILLRAKGGQPTDGNISVTYDNLDHEDDDCSEMVTRNIFTWLRDEDGFPVT ERAIREHEWIDNLDSDDDCPITGDAQSTVGGNLHGWLLKTMTRRSNSL LY89DRAFT_783297 MASSTPFKTITLLLLTLLLLTAEVSATAPFGMEQYEGYSEGVMM FQGSIYGHAMEMNGTIEEIFDRFTLEHPEVELDFKSNATAAGFQAERRDLQGSEAHNK VARSKFGLQCCPIAAWGWTGAIQVIIEDGIDYLNHVQARCGVGARSCVRVSCSWNAGI YLCNDNYYGIFPSCPYIGSYAQDISNVCWGFSRGMEVCCGQEFDTDSYNVIVRYANEG C LY89DRAFT_783298 MPSYLFKMIIILLILVPLIPAARDSGRTALKPSNFGVLNYQGTL QGIDVDLNGTIESKTQCCTGSSTAPSPAAILKAINWLLGVPGDCWAPANSCVQLSCTS DSAIVLCNTNSNSVDPSCPSLGSLAQDVYSSCTNGAGICGSQVDSNCYEITNERGSC LY89DRAFT_648214 MAPAGGGNIKVVVRCRPFNGRELDRGAKCIVQMDGAQTVLIPPP EAEERSRTGKGGAKDNGQKVFAFDKSYWSFDRNAPNFAGQDDLHTDLGKPLLDNAFQG YNNCIFAYGQTGSGKSYSMMGYGKEAGVIPKICQDMFERIGELQQDKNLRCTVEVSYL EIYNERVRDLLNPSTKGNLKVREHPSTGPYVEDLAKLVVSSFNEIEHLMDEGNKARTV AATNMNETSSRSHAVFTLTLTQKRHDVETNMSMEKVAKISLVDLAGSERATSTGATGA RLKEGAEINRSLSTLGRVIAALADLSEGKKKKTGKGAGQVPYRDSVLTWLLKDSLGGN SMTAMIAAISPADINFDETLSTLRYADSAKRIKNHAVVNEDANARMIRELKEELATLR SKLSGGGGAPAEETYAEGTPLEKQIVSIVQADGTVKKVSKAEIAEQLNQSEKLYSDLN QTWEQKLQKTEEIHKEREAALEELGISIEKGFVGLHTPKKMPHLVNLSDDPLLAECLV YNLKPGTTTVGNVDTNVGAHQSEIRLNGSRILHEHCTFENVDNAVTLVPREGAAVMVN GKRITEPKRLRSGYRVILGDFHIFRFNHPMEARAERAEQSSLRHSILASNLGDYEKGS PSPSPRPGHERTFSKAGSEADWDASRPESPMPFGRSRDSDWSFARREAAGAILGPDKQ IAGLTDEELNLLFEDVQKARAERAGQNMDDDLDSNTSYPIREKYMSNGTLDNFSLDTA LTMPSTPKQGEVEDKLREVREEMQVQLDKQKEEYQDQLKSAEAANVEVEEIKKEKARM EDDLRQLKEEMLTQLETQRKEFEEKLQQMLPTPPKIDDGLPPLSDAEMEIAEKVIEHW KRRRYVRMAEAVLQSAANLKEAQIMSQEMDESVSFQFTIVDVGHDRCSSYDMVLNGIS GEGEDVFLEEAPKPCVAVRVIDYKNSVVHLWSLEKLQERVRLMRQMHQYMDRPEYLQH FRLDNPFTETCMPQYSHIGDVDVPLAAVFESRVQDFSLDVLSPYTSHAIGMIKLSLEP SSARAPSSTLKFNVVMHDLVGFAEREGTEVHAQLFIPGVSEDGGVTTTQMIKDFDEGP VRFESVHSMSVPMFRPSDVSLRVAIFAKVSSMHLDKLLSWDDMRDNVPRPKQKRKNAR ISESQFYMEEKHDVFARIQILELAENGEYLPVEVIQTSDLDKGSFQLHQGLQRRIVVN LTHSSGDALPWQDVSSLRVGRIQLVDHVGKTPDLSSPTPEIPLKLISKPTVKQNANGT SNVTLMGQWDSSVHESLLLDRVTADKYKVQMSLLWDVTSPKLADLMTFSIDVCSQVLS RAYVRSTSMFASLWQSVRIVHSTSGIFSVAVRPTPVKRAGDLWRMNTQNDYVKGEEGL TDWTPRGVSLIRDHIAARRRKLRHAEIEAAQPLLSRISYPVAAPSPSTPPTTNGSAPN PEEEAMEFPISDHDKELLEKYLKLWKLCHDPISSILTPTNVEPPSNGAPASPPNAPTS PNLLATISIVNRNPTILKGGYLLTPSADSSRWIRRFVELRRPYMHIHSVPDGEEVCVV SLRNSRIDHQPQIARLLRREGESPAGGRMNGARGRAGDREDEGKVFAVYGTDNTWLFK ARNEREKVDWIFKIDQSYFSSGSGTGNGSEEDELS LY89DRAFT_102875 MPLTKPVTALPVAQPSGRECPEGPKDKTCYKCGQSGHISRDCTN PSADGGAGRGGFSSGGGGSGQECYKCSKVGHIARNCPEAAGYGGGGYGGQQGGYGGGG GFGGRQGGQTCYSCGGYGHMSQDRRIRARTIDSTLPRRRLACNLIEREYDTPSIWKGW LADTIDLRICRLKAFNQQRPAFFVHFYFCLYTLPFLFSPFHDNKTKIHDSSRVLELIS LVHYMFRYRWWLSWAI LY89DRAFT_719725 MARSLITADANARPKHFRNFFEEFVFVFTVMMATACTTFIQGVI NINTVTIGRDLIMTPAQITWIAAAIGLANGSFMLFFGKTADFFGRKIQIVFGLAFLSL SSLLTAFAPTPTIFNILCGFLGLGTAVVAPPAIGLLFATYPEGKRRHRVTGALGAGNP IGFLLGSISSGTATNQASELGWKSPKTISFLLLGILLLLLFAFWQTIHPYPLLSPSIW KNTNFTLCIVSVGLGYMAFITNSFWIMLYMQDVQSILPLNIAVRLMPQVFAGILWSYL AQYLVSRWSGKVIIGIGGIAYVVGAILVNFIREDTSYWTFLFPALCITVVGADFQFVV ANLYISQEMPTQSSLGAGFLQTSMRLSISVGLSITSAAYGSVASTLAGKENRTLPYER AYLCSIIFAVLGLSAVPFMKIGRQGTKAQPLRYDGMIGIPKNMSRLSKLSNDSLENSD SDVRSSLRYKPTNANRASVSTIMSMVSVGSADTWNPRWSFEAEEARSTQNDQSFLYEV CTKCMEERRRIMHTNENGLNFFGGLWEGVFGYENKPDAVWKGHHRHTWSGNRGFIALD KPLAVWDEKGARSVERTSGRVQAHEGGWM LY89DRAFT_735223 MQFITSFATAMAILSASMVIAAPQPQPDISIKIKLPGYLEQYFY AQGADDVYATCISGGGICSTSKNDCCAGFVCAGIGAGMCV LY89DRAFT_685921 MPSTILQRRLTLICCGILAVSLHLLFQLVTLSMDHSIFLNLGAA IIISYIWIKVYLFVTRETGIDPFINTAITLGSPFVAALLRDNAIKNGDWVRV LY89DRAFT_648220 MLFYQRALLISFPVFVLASVVSSDQRLLATKASQFAPAKWQHPG YVVDKAQLNIVKGQVANKAQPWSNAYIQMLKDGDKYGKYVSGTRTSEAASTVSCGPTT NPDIKCTDERGDALAAWANALAWYVSGDTAYAKNAIGLMNKWSYKIKGHALSNAVLQT AWAGASWARAGELIRHTSNLWASKDITQFENMLRNVYLPPCQNGDTRASNWDVACLNT AIGIAVFLEDSDLYDHAMGLFQETVPSVIYLESDGSLPHAARGLDSSTAALKSRWFNQ QSWGHSGQSGQIQETCRDLTHTGYSISSISHVLETSRIQGHDQYSGDLGTRLRFALGF HTQFEQGVTPPSWLCQNKGLTRELSSVTEIGFNALSFRMGNPMPKTQTYTEKIRPQGT NGLFYGWETLTHAGNKV LY89DRAFT_783304 MESWKNGREQLLEQLTTVCNQIGSNLRTELDDEKFTTISREELD LLRTNSASVGPVNEQNHRLGEQIEKYRAIAARVDGLETENKRLAAELQQHLQTRQEGL ATPKIRLGPKESLNTTPAATNPPSSAARIECDETKPVAPEKYQALALKYNKLCDEYKK VKDARKTLEDAYRAEKDTGKGWNAFKEEVEKSMAKKEAKIKRLEAELQVFKHTQREIR GLETSIPTDRRPASSLPAQLAKQSSLEEVDAEIPRSSPISDQEDPLADTRGVGCGAFD VDTGIALEEEPVLPKHRENTIQVEETQYVGLEAHHTSSTEDTDPMSGSRGMDEETPEL LIRRSPSPETPVVISSRSVKKRKTRHELSQQTPASKIKSEVILSSPMGLANFFSVNES LDLDDIGEKVDTPRKHRRLQQEFSRQASRGPLQSLESLTRRRSEDPDFNDTRITTKST PIRAAGSVLQSRSTNEQILPRTSDSRGPKKRRIASDKDVETLMEDGELVTPVRGSKRT SDGGERLIDLLSKPSPPRQPISPVGEHATNQQPTWSHRPTTTSGLAREITRLPLETKD FDGRSATSSRPSSKGSAIGQLESSRPSSRGTSRTSVEPPRPVSRGAKKSLEAKTSSKA SPRSKELVARASNTPTHSPPLPPLLARPLPSRRDPEGSFSPKPRLLNIQQVDSARKSK SSVASATKPRREKIANMTLTDWEKDPEQEPFRNRPIETLRLQDFKVNPEYNQGYDYAF RQVVRGNARHSLEGCTKPECCGHQFRALAEINTAKSPTASQEERDDKLLHEYMGDNAY KLRNMSIVDRNELLLQAKTREISNEHGRHRHAYARPVSPPGYWRNDFPTTQEQRADLE KVKENEKELIAERYREAMRPGGRYIFRDE LY89DRAFT_685924 MADEQPAMVHEDSINSQDPNQHGENTKTKSRRPANTAFRQQRLK AWQPILTPKTVLPLFFAIGIIFAPIGGGLLYASAQVQEIILDYTKCYTEAPDYPATAP MPSNLVHLSFNKNSSNQFQADPPSWTKKTINYTYHEGVVVPTVQCTLNFSIPNDMKPP VLFYYRLTNFYQNHRRYAKSFNNDQLSGKAVSASSIDGSDCTPLTTTEVDGVKVPYYP CGLAANSQFNDTFYSPVLLNVPGGSSSDNRTYVMQNNTDISWSSDKALYGESKYNWSQ VAVPPNWVVRYPNGYSTDYHPNLVDDEAFQVWMRLAGLPTFSKLAQRNDDDIMVAGTY TVDINHNFNVTEYGGTKSIIISTRTVMGGKNPFLGIAYVVVGGICIVLGALFTVTHLI KPRKLGDHTYLSWNNEGPSTATTSGRDGGMGLGGEGSHA LY89DRAFT_618861 MAEIKIDKNLFQERLSRFVSAWKTDKRSGDTLFNGASSIVVLMG KTEEAAQFQKNNAIHFWLLGYEFPATLFLFTLDGLYIVTTAKKAKHLEHLKGGKIPLH VLVRGKDNEANEKLFVDINNHIKAAGKKVGILPKDTSSGPFIDEWKKVYSNISKEVEE VDIAPALSAAALAVKDENELRAMRNASKACIALMNPFFLEEMSNVLDEEKKVKHSALA NKVDGQLDNTKFWNTVELPNKQKMPSDFDPSQLDWTHGPIIQSGGKFDLKMTAQVDDE LLHAGIIIASMGLRYKSYCSLIARTYLVDPNKSQESNYKLLLQVHGLVMKEIRDGANV KDIYAKALQMIRTRKPELEKHFLKNVGAGVGIETRDSTLILNGKSTRSLKDGMTLCVT TGFSDIENPNPQDKKSKTYSMVLSDTVRVSPNESVVFTGDAPSDLDATSFFFKDDEEH EPTPKKANKKDSSVGAVAAKNITKTKLRAERTTQSDEGAEAKRREHQKELAKKKQEEG LERFSEATGDSNATAVKKFKRFESYKRDNQFPNKVRDLAIVVDQKNATVVLPIMGRPV PFHIQTIKNASKSDEGEFAYLRINFLSPGQGVGRKDDQPFEDASAHFVRSLTFRSHDG DRLQEISNQIGNMKKDAVKREQEKKEMEDVVEQDKLVEIRNRRPAVMDNVFIRPAMDG KRVPGKVEIHQNGLRYQSPLNTQHRVDILFSNVKHLFFQPCQHELIVIIHVHLKDPIL IGKKKTKDVQFYREATDIQFDETGNRKRRYRYGDEEEFEQEQEERRRRAALDREFKAF AEKIAEAGKNENVDVDVPFRELGFSGVPFRSSVFCQPSTDCLVQLTEPPFMVITLEDI EIAHLERVQFGLKNFDMVFVFKDFHRQPYHINTIPVESLENVKEWLDSVNIPFSDGPL NLNWPTIMKTVTADTHQFFVDGGWSFLQTETDDEDNEAESEESAFEMSDSELAASEES SEDDSDFDSNASADASEEGSDDDASGEDWDELEKKAKRKDRESGLEDEDEAPKKKRKH LY89DRAFT_685926 MSERYSLRQTPKKTEHLGYVPTPGTAGARKAYNRRKSQFAEEDG DDSSSATDTSTTKTASRRASSRKVSMVKEVLTNGHADEEVATNGHANGYTDGGAVNGN GYGTMSPKDVPVAEARKVVEGWEPGKDPKIDYSGHFDFGGSIGVTCMMIGFPTLMYYM WIGATFYDGKFPTPTHGETFLEFVKHCGNLVYNFAFPSLFAWEIYWGYLILEGAFYCL LPGVYGYGKPLPHEGGKQLKYYCSGVWSFYTTIALMAGLHCTGVFPLYTLIDEFGPIM SVAIISGFGVSIVAYFSALLRGKQHRMTGYPLYDFFMGAELNPRMFGILDFKMFFEVR LPWYILLGLSCATAARQYEQYGYVSGEVWFVVMAHFLYANACSKGEELIITTWDMYFE KWGFMLIFWNLAGVPLSYCHCTIYLANHLDSITDYPFRIPFLVTLFGSYLFVYWVWDT TNSQKNRFRAAERGTLVPRKSFPQLPWQEIKNPRTINTKTGDCILADGWYGKARKIHY TCDFFFAFTWGLITGFNSPFPWFYPVFFACMIVHRAYRDIQKCRLKYGEAWTEYEKMV PYLFIPYVF LY89DRAFT_708085 MMNGRHLPAGQGMPPDIGRRRPYNQHQQQQQQQQHHQYPQQMYN SYMQPYAASFYPQPNVPLPQHYQHNAPLPQQYVPYHPPPQPYVRSPPPQMPHYPPPSM PQRPQSFSQPQASPIVSSDYRTPAPVPQAPSSTQSSHTVPVPATPTTPPVAPQPALSS MPPSSPPREEPPQPPFRAPLPWFSRPDLSWPARKARRKRKAVPQIMAEPVELPNISQG IDNEAEMDKHAEVEVAQEVTSAQENTVTDEPVVETVPRPETPTTSQPPSEDIDSTAPT TPSSSNQTNTLAAGDATPIATMPKQRVPKPVVPIVPALPKTIPRDTSRKVSGKSADEQ QAQSIQATTPSTNGNDEAITQKSEQGEVEESTEATPTPKAWTTPKLWTGLFNPATATS TASSESGAATAASGLGKPNSESLGDALRSFNAVSSDSKIAFIEPRGLVNTGNMCYMNS VLQVLIFCTPFYSFLDQVSKRAAYSFKSETPLIDAMILFMREFPIIDYAGNVDQLKLR LKEGELEQYGESFTPDFVYNVINRLPRFSSMRRGHQQDAEEFLGFLLEGLHDECVQVM RNSSSTATSAVATPQNGPSSPISEHGSVQGSVSGKENGWLEVGPKQKAAVTRSSGTIT TGSPITKIFGGNLRSELRVPGLKDSVTLEPYQPLQLDIGATNVNNIIDALKGLTRSEA LHGDFKSPKGPNVTAMKQVFIETLPPVLILHLKRFQYDNAGGTQKIWKKVGYPLELEI PKEVFPRQKRGTYAHSGLPKYRLIAVVYHHGKNASGGHYTVDVRRQDGKEWIRLDDTV IRRVRSEEVAEGGSEEDPKVLAAALEAHKKDGTSGNGFAAIEGVEDEGEEDGWKKATG GSGKKWSSVVNGSSTPKAKTDKFSVKDNKVAYLLFYQKI LY89DRAFT_685928 MTSAPPTSVITGGKYLNTSSSHSPVQQQQSQVTSPSFEFGSRRS ASSSSQPNASPRNNQGQRKQHKNSRRPRLATEDAMAESGVMRNANSRRGQTSITHLMN FSLPPRPQDYRNTMQRGTRRGNIYGIGSGHHSSDKARYIHANYRFIVKPYGEYKTQAV DADQPLDWNDVLQILASSVSQNASCPICLSHPVAPRMAKCGHIFCLPCLIRYMHSTDD TNPVPEKKARWKKCPICWDSVYMSETRPVRWYTGQESAPPREGDDVVLRLVMRQPGST LALPRDGADVLGKNEHLPWYFAAEVTDYARIMKGSEEYMTEQYDAEIADLHRQENEDE LMFGEEPEWTRKAVNAVQEAKDKMKGIGDPPAAAKQPAEKPIKRQPIQFTTMSEDAPE MYFIQNASKSGLGISNERVSTNVIGEGKTEGSQSQTPQASDPKPIPSHPKSHTPRHIQ NEGHHPDAPYFFYQALLHYYLAPLDIRILKSAFGSFASFPSTLLPRVERVSTGHIMDD DLRRRTKYLSHLPYGCEVGFLECNWTDVVVPEILEQFKEEIEKRRKRNREKETREERD RVRAEKAEDDARWAMARRRRPSIPSENFSGDDFHPLAPSSLDASNASPPWGARQGSSF ATLASPSTSPSAPKTVWGTAALVSAPSPEVRPQMAPDVDDGWLHSWEQELLAENEALV AQVQAASLHGQGSANGQAVESDVRPSGGQGKKKKAKKITLMSTTARRAA LY89DRAFT_103097 MKVLLGATQLAKRSDVTEEHGRRDLRDNLESDFKKGDSPAEWAP GHPVAWGHEQWKFSSGEEKSVTSVSATSDEKYFAIAIDDTLVIFEVDGYKLASVLKTG DGPIKSIEFARKPSEDTYVLACQYGDQYGRATNVKIWLLDQDCREIDPDKKIVVEGYL PTFAPSVFSSDCKTLLLLATTTDEWGSHLAIVALDVQTGKEKFRMTGHTDSIMWTGFS PDDKFIASAAWDRYMKLWSGEDGKFIRDYGPTGAQNWACAFDPEGVNLAVTTGAHRAL MWRIDDPQSFPIALAGKVGWERVISNSPDGTKLAIGSADGRLMVHETKAMTLIQVWQL GAEIGGSRWLKEVTEIKWLDNGNRISWTPSDGSLQMYDFETNMKWKWAAGKEDKWRRG AWFNTVVLLERKGLIGSRDQDGAFRMWKIPEK LY89DRAFT_708087 MPISDLTLDISKFKKENIPLNTQAINTYLLNVGSKKPGEPKWWE IGAQAYRDLQDGGKTGWPAPTYRSPHGNDIAIPSMEPGRPIKCRLVLPENKKPEGVFL YFHGGGHVLGHCDWFDDLLDIIAKDTKLAVISPEYRLAPENPFPAGSEDVYDVAEHIV DHAEQEYGGPLKFVGGESAGATLTALTVLHLLEHKPDFALSAAIMIYGLYDWSLLPSA RTWTNPLVMSSENVKRFGDAHLSGRTPEQRRNPSISPIYHPFLQIPGSQMVKNGETKK EVKLPPALFLSGTQDATLDDTVLMSFQWQLADGEATVKFVEGAPHAFMLFGAERCEMT GTGHAILTAFLKEKL LY89DRAFT_735234 MFNEQQWLPTSELKEKLSTPRNTRIVINHEGHSKVSLTRTHQTT LDPATQLSKEVIAHLEDSKPAGIHIRNLGYFFDFIPSRLGLSKALDDSVRCILTAYTA LLRRDAVVLRQDREEYYQAVKSLRIACADEKEALSDETLCAAVLLSWYEVLADNLDES WFTHISGTSHIIKLRGPARHRSGFGLALLKAQEGLISGEAMATFQPCFLDDPAWCEIL DDQNMVVGLPQTAPLTVSNKILAQLSTLLLEARKLHNLSQKGIREIIKQLWGLRRTIK TALDQVTEMAEKDEEEFAPIICCKDSIYLILLDTTILKVLGFSDSKDPQLPETVQELQ DLSLPPQTFTDVELFKQAIDSQIYQNFNTFLSNLALASKVTPFIMRKMAFMCRIMCVE RGKREENPHPIWARYKRAISGVNEPDWLSRIIASFKPILPPANKSSGYQ LY89DRAFT_587008 MFEKDTGKSSSRDVQEAEANRKLAIFERAHRWDPNLEDSQLDDI DDAVNTRDPNAEGKIYDEVFENSPYPEVRAAVRNYDVDLPANTVRAWVIGMLLNTIAS GLNALFSMRAPTLTITSIVAQLVAYPLGVGWSKVMPSRTFNTCGLRWSLNPGPFNLKE HGLIVIMANAAFGSGVAYFTDTLVAQRGFYGQNFGWGFNILFAVTTQCIGFGIAGLMR RYLVEPASMIWPQTLVNTAFIYALHDHSKTDPAKSNGWSIARYRWFFYVFIGSFTWYW FPGYIAKFLSVFAFVTWIRPKSPTINQIFGGWTGISLIPITFDWTQITGYNLKSPLIP PWFAIANTLVGTIFWFWIVTSALHFSGHWYAEYLPISDSNSYDNTGKAYNVTRILTPE YTLDVAKYQKYSPLFLSTTFSLSYGLAFASIAAVLFHTILFHGQEIWIQARSVRGALD DNHTKMMRKYKPVPGWWYGALFLVMIGLSFATALAWPTHLSWWALVIGLLISIVWTIP IGVIYATTNIHLGLNVFTEYIIGYMQPGRPVAMMLFKTYGYITMNQAHAFLADLKLGH YLKIPQRVTFFGQVIGAVWSCFVQLAVMEWALGHISDICKSGQANNFTCPNARVFFNA SVIFGLIGPKRIFSGDATYAGLQWFWLAGSVTPFLIYFGARMFPRSKIRFFSAPIFFG GMLQLPPATPLSYLSWCIVGFVFQKLIRNRFRGWWMRFNYITSAGMDVGLAICTILII AALNLTTTTFPDWWGNRAPAGTLDYLETAFQKKVAKGSTFGPATWS LY89DRAFT_103253 MRSSGLLAFAASLATSSAVYQGFNYGATKSDGYTVRAQADFESL FSTAKSLVGASGFTSARLYTMIQGGTTNTPTSAIPAAIAQDTSLLLTLWASGTTFTDE LAALKSAISTYGSQLSGKVAGISVGSEDLYRNSPTGIAAGSTIGADPATIVDYISQVR TAIAGTALSSVGVGHVDTWTAWVNGSNDAVISACDWVGVDAYPYFQNTETNGIDQGAS LFNEAFDNTKGAVGSKPVWITETGWPVTGKTENLAIPSADNAKTYWDQVGCPNFGVVN TWWYTLEDTDDSATPNPSFGIASAPVQVFHQAAWEDQERTLPRPEVAQAQLPLDLLDQ PPPLAQPPSRPMLPTSCLARSLVLWVP LY89DRAFT_670613 MFPTLVSRATGALLLGSSLVHALDLDLTSTQSIKDTAATIAYDM MTYYKGNTSGGIIGVLPGPPPNPTWGYYWWESGAMWGTMIDYWHYTGDTSYNDVTAAG IQWQVGENDDMMPSNWSQSMGNDDQGFWGMTAMSAAETNFQNPASNQPSWLSLAQAVF NTQAVRPDATCGGGLRWQVYPYLTGYDYKNSIANGCFFNLGSRLARYTNNATYAQYAE KTWDWIQSVGLMDANYNIYDGAHIETNCTDINKVQFSYNMGVWLLGAANMYNFTNGSA IWESRVNLLLNSTFNTFFPDDIAYEVACEPKLTCTTDMYSFKAYLSRWLAATTFVAPF THDLIMPKLQASAKAAAAQCVGGTNGRTCGLSWSKAPAWDGTSGVGQQMAAMSVIFVN LLPLQAVGAPLTNTTGGTSVGNPNAGSQSVANPEAIKPATEGDRVGAGILTTIVLVGA TGMFGWMSL LY89DRAFT_648248 MEGFVSVLPPLLAISLVLSAGSSLDIILLAILEIYKHWQFIIEF VQANINPVIVIDISKYVVAVFTTLQIARFAIALSRSEFCSDEFPVKPMLFPCQTSHVR MFPKKHGFSYSYLLVGIPVGWKGNSRGMISAEEEDSRPWYIRWLSMKPSLGWWTVNSD DYLARGHVHDGLTGKLRGYLESQGVDHKKYAFAYLLTAAKFLGYASNPVSVWHLYSAK RELQALVLEVNNTSDERHTYFLEPSSAKLVSRGADIPDSIRYSGSLNKDFYVSTFNDR AGKYSVAAYDPLFPFMSGAGPVNTTITLSSANSRAMLIARVYSAGQALDPSSMSVWAK TKFLASWWWVGYLTFFPRTVYEAFRLLFRRGVPWVSRPEPRKNTLSRHADPTEIHIEK HFWEYLEDRVMSSENDITLQYISAGLLGPSSEGRTARSHTSGERTLEIRVLTPIFYSR IVQYADIYAGLLQEIQSKTITISDLTLLKLLSFEYSPILNPKISRAGICFALIKKMRS EVPPIPLLEKPDPGSEVFQIPSHSVHGLSALDHYVSATASPSEVYNYVRGVLKLLFAE RIAHGWMEILNFEIFIVRLMAIWAVVKFVLP LY89DRAFT_685931 MDDWDTVTKIGSRARGAAGAQRETVVKGKSALNAAQRSGAVIGT EKKFGAGNSASKPGVEGQHLTKVDRSDDIVKPTAVGKEVGNAISEQRQKMVPKMTQKD LATKCNTTQSIVADFERGSAAPDQKLLASMEKILGIKLRGSDIGAPRFGPKKK LY89DRAFT_103413 MPGAIKRRKLATDRHAASVKQTRGLQTFTTTSKAVSVEKSVIEK ASHVETIAITSKSSTTTKRKLVDSDEESTPEQSPVSSQTSVREIRSLPSRRSNDVQSL HTPERPILSQDSATSIETPTKGARSLLDRFRISTTTPTRSPLNSSSSSPSILEVSPEK IYLDTPHDLPTELLDLINLHAAFLTALSIHYAHNGTHAPADLRNLCPDVARAWGKRTV TLEDIRRTLGILNTNIPEKYDHRISQLSLSDYGHGKICIEVKTNAGKPGRIARPVNED LLNNIFAKGLVTTWEGKIVQKSVVAFIEDLPLQPIAICPSLIKMSPLLAKGQRRLDDL RAGMASKDTKERKLAITSTANGTKPSLLERLRAKQLHQSTLAPLPSKAELARKAALGR IDEVVAVLTILSTSNSVGQQRISFTLPTVIGKLKDSFKTPMSREEADTCVRLLAAEIA PDWVKIVKMGKIEALVVNRDERPQETDIKARVQRVI LY89DRAFT_648257 MPLPFLEVFPREIRDQIYTYVLASPSGAVTLSPWTVEIARSLSL LRTCKQIHRECKDIIWYHNRLDIRQPTHLHRKFLTLSKQRPARRIRQLKVCLELLDRD ELEWVASAAAGLEEWCRVGRLESITLSTEWDKPRGIEEFKEILSLRKYGECLDGRLYQ ESSTWTRMVINTGWPRFSHWGKQRWLKEMLLDPSGLNELLGSIHGAFGGQLYVGGKLC FDNRVRVVEDLKLDPRNGEIRIVPKFQSTQNNSNHSRY LY89DRAFT_735242 MGFPTIPYDPARAAALDNEASQRMFRPLSISERATFKKLIAATK SAKTVENGYPTWKNVISQVSLHNNLLSLREEDSFYLGADFRSIPGTGNISTANLLLYL NMICSYLNTRVGRGHASIHKVPFVAVGRMNLGANIARMQVSSLLDVERSSKGLDELML SHSPGDPSFPMSSNFNNVQHILVPINSSFAPDPSDPQKIFGHQSLLVISPRAQTVDHL DSLQYLYPHPEVVGNTFRLISHHLGRDFIPSEWRMREDESTTQPLNSRDCVVISATNA LAVAMGYDLDFSGRDWKLRRERFAIELAKARFEENPNSPYFYGSTGRTPSNRINDGFI PLTNHMMNWLDFEVRARTGIHDGLTMVELDAHCSARNSEDLDAGQYTERYEKFRIWRQ QGKEKYISSIEQADWIFDNPGQSTAPVRDPDPVRNTSGADDIIDLT LY89DRAFT_618894 MAKIHRNPPFRAEHLGSLLRPKELLQAHAAFEKNELSQEKVTKA EDEAVDAVVKVQTDAGFRAVSDGEYRRAVFWGTFFEELEGMTEIRNPSMDIFRPYVPD IAGFIEKGHKPGQSCLCTGKIRHKGKSTLVGQFEYLKTLIPEEKWGDIKLTMIAPPWY HLRYKDGLAFPKDVYPSDAEYFADIAQAVRTELDILYAAGVRNIQFDDPNFAYFCSEK MLAGWAEDKSNTKTPDELLDAYIQCYNDSIKDHSEKMHFGLHICRGNFMGSRHFSEGG YDRIATKLFQTLNVDSYYLEYDTPRAGGFEPLLHLPAHKNVILGVITSKFPELEDKEK MKERLYAAADVIAKGAGQSREQALDRMGVSPQCGFASHEEGNLLGWEDMKNKLALVRS IADDVWKGQP LY89DRAFT_719742 MQINQALKLSFLLPSVYAQLHGAAKTAGLLYFGTETESVSFGDA AYQAALKGNDDFGQITPGNSMKWDAIQPAPNTFTFTAGDAIADLAATNNQLLRCHNLV WHEQLPDWVSGGNWTAASLTQVLQNHITTEVTHYKGKCYAWDVVNEALNDNGTFGNDV FFNVLGSDYIKIAFQAAAAADPAAKLYYNDFGTEFPGVKSTAAQGIVKMLKDANIKID GVGFQGHFTVGGTPSVATQVTNMEAFTALGVEVAITELDIRMTLPQTDAMLAQQKTDY QTTAAACLATKNCVGVTVWDFDDKYSWVPSTFPGTGSADIMTANVTKKPAYFGVLQAF TGTAANSTTTMPSMPNMTVTAPSLRASSSGASALDKPGMILGMLLSLIFAMFIVS LY89DRAFT_618900 MGDRSLQSSRWAPKNQFQTSSSNREFADDWRCLSCSFSNFQWRK KCFRCSTPKGQGAHVPTTNGLPISISPDLPQNWEKEPAVKSSLLHGLNPTPKQPSFKP VEHGLATSRWAPRRQSHGEPLQVWTRTVSSAKPTRPCSPSKSFANKSVSPDLGFPYQV QHYILALVQRILEEGCYDFAARWLPQVLNEKGWDCPEAVELSIWKSFLPNALPPNAIK PLSNYTLEAALADAVRTRNRAVHRHLCDNNEIRQMTLQAQDLMSMFSDTTRQNKFHQL WAELNVWNSKDDALGARTRLERALREISERPMDDMDWTPNAVSLEELHASSGEVQNTP ELYTDEMELD LY89DRAFT_648266 MTSNPAIERLQTLSLHLPAFSSQASTMSTQTRDPITCHVLDTTT GRPAANMAVKLLCCTIPDIVFECKTNADGRIGNWSNMQGANGEEGAFVADRGGSREQD QAVSCPAGSSLWKLQFDTGAHYGTENTFFPVVELTFLVKEGEHFHVPLLLGPYSFTTY RGS LY89DRAFT_648270 MSTAVINTDDDQLEPTLQSILDQKTLRWIFVGGKGGVGKTTTSC SLAIQLAKVRRSVLLISTDPAHNLSDAFSQKFGKEARLVNGFTNLSAMEIDPNGSIQE LMGQAEEGEGPAAGMGGMMQDLAFAIPGIDEAMSFAEVLKQVKSLSYETIIFDTAPTG HTLRFLQFPTVLEKALVKISQLSTQFGPMLNGLLGANGALPNGANLNEMMEKLEGLRE TISEVNTQFKDENLTTFVCVCIPEFLSLYETERMIQELASYGIDTHCIVVNQLLFPKA GSECEQCNARRKMQKKYLEQIEELYDEFNVVKMPLLVEEVRGKEKLEKFSEMLIHPYV PPAGGL LY89DRAFT_587503 LLQEDSDPSHSMRKKELAQEYKSAHNIQNLVHPAQSPNLNPIEA IWSIIKQRLRRRLFDSKEDMKIGI LY89DRAFT_719745 MILVFLVHQQKPALHKKTALWRKCKLQTFFAEKQHIRYFVVDNT KEAAGASDASTKSLDSGEADFFKLVDEDVAVAEADAKAEANIVHSFDSYRSTVILWLR RTGIEEHTRGLKKDEMHTSFAVPKNAESEPELFLMLEFLTFCYRVVYCGSHFTTADDD QRTPESCIQLTDAQEKAWEAAFQSAVEQDRPALRDTMSVLSMALICHEFRGNWYSSLL LSFCAMLSVKPYTKTWKEPGNYNSCLSSVIWVVQLIIFHTSACLEKAELGDTLERIEQ YCGCLLSRRRSLGRTKHSGMWTRRS LY89DRAFT_750346 MFVQRHIFCALSLGVAITTVSSFETEPFAPPVFNVTELSGLPLA SGLVFLTPLNLTAGSAVIMTGSGDLVWSSEASGSYTNFLTTSLYGQPVLTFWNGTGNA NPLISGHGYGKVQILDNTYTELYSICPDLNLNFPPAAIRYECQADIHESVVTDRGTLI VTAYNYTQADLTSINGTADGWIYDGLVFEIDIPTQEILFSWSAIEHVPVNATKLPLAG AGSSADPFDYFHINSIQPVGDGFLVNSRHTWTTYKIDSKGQIEWEFEGSNGGDFELPS DGEFSWQHRVRVEHVTPEGLVLHMFDNANANRITPNQTLGLDFYLNLTSKEATILKRL ADPNEPLFATSQGAYDALPNGNVFMGYGELPVFKEFGPEGDVRMSTQWAGLDASSSYR TYRLDWTAQPAASPIVVARAGSAFMSWNGATNIAGWEIYEGETADALNLTKTVANDGF ETKVSISNSTQFVQVAALWGHYHGSARNSSVVSVS LY89DRAFT_103619 MRMAKDCQPSPITLCQVPEHLLFQQTLWSFPTTLPLFTLLGLGQ ILLEAITSGLEVSTRQMRHGSWLVISLMELVQTRIGFFLARGTMSGVSLHLMGTRSLH KHQQCSPHLQPAQSLKGVLDPLALLPHLGVLLEPVPRFLLKAEAHFLLPGFQLKLPHL RRSQRMA LY89DRAFT_670626 MKPSKSLSLSCWWSILVFLVLFAVLDTLCHMSSDYDPHLVRLHE VLTNFTTRGQATVELFAPLSTIIGSTPTGQSFMTIAFDIAWMLVGLAFLRLPLQAHQI AQVGSKFWTSTFLYISFASVWLSTSSRQQNSLSLPNGVADAFLTLAFVATTGWGRLPG YLRVLLFLGLGEALVLTGYALGCNFIDAVVAYFLIFVARVRIIIRKVANVQCSPVSIA YAGISNLFISIIVCPIIAIIVIGALLPISESSAGGNYNLRYLSASARRDLTPFPLARS SAQAGPPFAPHHDTAYTLYVPGAGFLWSDSMVWEQSLPAEISPNSTEHYVDETGQLWS IEGSHRVFFQDKFIERYLWEIKQFPQQTRRWRTSQKTIHSGSTVRLYDSIAARYLCVI PGERVVSEAGYFSMAEDGIKEPKNDRTRVGYWNQLLQPRARM LY89DRAFT_685938 MENLSLQDNVDQERRTRIESALQQYRETVSQHNVTLIRAYVRAV AAQPVPGNWSEDTAQTMRMRECSRALRIQFPESVSPQQLLDDDNVIAELVRTKYLEGV SSYPVNLEQREEYFAKVGTRVREIIDVAEFPPADLAYLCTLVSGITGPGLSYHHDAQV FDFISPIKHAQMVDEMAQAVIVPIRYDYSGSADQTTDQLTFVWEEWNIAVAFQIGSGP QTGGSFALYCRRVEANDEPFKWRYGIHVDHWGSEVYDSVEEFLGFYGHFKEQTEQDIV RDMRPVTGSGRGGRGTGGRGAGGM LY89DRAFT_103655 MHSPRSPRSPRFRGSRPSFRPSAPRSRTSSYSSGSSSSDDLLED TTLFTDTIGGVTIHLTKFGGPGQSPRCRAWKADMKVYLDAKGMGDYIRSDMQSDNFHY IEDEVLAKMDRERKAMIWFSVEEDVRREHLMDLCDRDSTSEDVWKRLHERLGADKPYE PLPPLSLEDEE LY89DRAFT_735254 MTSTEIGNLFSVKGRTALVTGGTSGIGLMSAKGLVTNGARVYVC GLESDPIADVEKELNELGKSSGGSAYGFPCDLTSKEGIEAVSTQLRSHESHLDILISN AGIRRDPPIPCNPLTASLTELQTSLWSSKHEDWTRSFQINTMAHYYLSVALLDLLVAA GDIDMPDGSKGRDHGRGVVVVTSSIASLHNATNVDMMSYASTKAATDHLVSLLAAKFA RWYVRVVGINPGFVPSNMNPMGTGKGADIFGDLVQKVPAKRAGETDDLAGIILFLVSK AGAYVDGRCIAIDGGRTLFANGQV LY89DRAFT_783330 MSFQLTPEYIYAFLGPAAQGEWTPFLDGLDPDVNWMIGDPTFNE QHRTGTYNVKTWREIVNKPLIARLASPLTMKIESLDIIGLKAIVEASGSATQKNGKQY NNRYCWILIFDGKTGKVVTIREYINTAMLKEVMETNEI LY89DRAFT_698040 MLSQTLSNIIIGLYLLANQVNATPTHFGYLLYQGFEVLDVFGPL EALNGLAGLYDLNLTIVAETMDPISSLPPTSWFNGNKMNSTFSEHVLPTHTFETAPPL DVLIIPGGNGLFAPAPLLDSTIQFIKARYPTLQYLITVCNGAGIAARAGVLDGKHATT NKAVWDQTIALGPKVKWVAQARWVTDGNVWTSSGVSAGIDVMLAWISAVYSEANATGI ANGMEYERHMNSTDDPFATLHGLTDANNSTNPSRR LY89DRAFT_103946 MFWRFGGYANISTIDTILDKPDVTLEELLDESDLIQELKQHNTK LIEYLREDKILERLLEYVVAPKLEVVASEEEATEEQAKETEGKGKERFSATWPPPEDE DEKEKKRNRYAYVSAEVLSSDNWSICESLMENQTLLRKFWEFLKLPPPLDPLQASYFT KVNEALLDKKTEEMLEFFKSIDGAVKNMLQHVDSPMVMDLLLKIISLEKAEGGQGIVD WLHSQDLMPILLSFLSSEHSWATQTSAGDFLKAIITISANASQNEQSCIGPNELTRQL VSQPCIEKLISDMLKGGNPLTVGVGIVIEVIRKNNSDYDPDVGAEANSVPSSRDPIYL GTLLRMFAQRVPDFMELILSPNHTIGGGDGPVTIRRKELSAAFGGKIEPLGFDRFKTC ELMAELLHCSNMGLLNEVGSEEFVKSRDMERERLKAEGKLGVAANPSNHSSGEDLTMK SSTQTRLGSPEGTRKLEVQNASDDDGFEEVNHSTEDDSKDDFDERFDVKHEGEDNNLT PTPAPLSFMDKDDEEFVDEPLSSPRLKLEEMDHTHQMEEPDMTVLPLSPTKELSQQVG SLDLQQEDTPMTSPPPSVESVDVEDSEPSSGESNKPSPETSEESADSPPKEEHAESHD PIATDETPMPDPLDVARPEDVPAPLFAKKSEPEQQEVPPPVPEVGASLESLDTTMGEA GDSSQLIAMANTDEHNQAAEESRITPVVGDFLKMQFVEHKVVPTILDFFFRFPWNNFL HNVVYDVVQQVFNGPMDRGFNRSLAIDLFETGDITMRIVDGQRKSDEAQEKNRMRLGY MGHLTLIAEEVVKFTERHPPELLSDSVLEKVMNGEWITYVEVTLAETRERDNAILGGV RPDMSVGPRQAVMNAVNAANNFGGSSALAEAGLNGAAGLDTIDLANGNGTSAFTLSSG TLLSGFGSSSDEEDDEMDEDNDEEGGRNNSGAAEVGHSVPPAPNLDKFDEEEVDYEYL EQLDRETPLFPEGGVRDE LY89DRAFT_103721 MNRLFGAKSTAPKPNLNQAISNVDDRVSSIDVKLAAINAELQGY QTKLSKMRDGPGKTAIKQKALKVLQRRKMYEGQRDQLQQQSWNMEQAGMMQDNLKNVM TTVDAMKQTNKALKQQYGKINIDKIERMQDEMADLMDIGNDINESISRSYDIPEDVDE AELDAELEALGDEVEYENMGAESTPSFMVDEVPQFIDEPPQKTDQVKEAAG LY89DRAFT_104003 MDGRFSNSPGSELGCFGLPIWPDVASPLVTKPRRAFFDFWIVSG RNFFFTSREIYRIFVVVVDETEEVEHSN LY89DRAFT_648285 MSLRKTVTLNSSQKLLTLGYGTWQSAPGEVSVGVFEALKAGYRH LDLAKIYENQKEVAQGLKKAFAEIPGLKREDIFITSKLWNTQHRPEEVEAALDDCLAE LDLEYLDLYLVHWPCAFPPAGGNLFPLVEGKNLPDGDVEIDDSVSIVKTWEAMLKLPK TKARAVGVSNHTIEHLETIIKATGVTPTVNQIERHPMLPQPELIKYCKEKGIHITAYS AFGNNAYGYPLLITRPEIVAIAENISKETGKKITGAHVILAWSQVGGHSVIPKSVTPS RIADNFQEIELSKEDVAAIDAMSAKGHERFNVPYLCNKPRWDVEIFGEEGEKSATHKI VLA LY89DRAFT_563938 RYDPSIVAAVISMICFLVSTCVHIFQASKYRTYYFIPFIVGGLL DTTGFLGRILAHSHPYDLNIYVVQTIFILLAPALFAASIYMTLERIILFTRGEKIAPI PARWLSKIFVCGDAILLMVQAAGGGLMVTKSMLLGEHLVVTGLLLQIICFGIFVVTSG IFHYRMVRLPFAISPDPNWQKFMYTLYVASSLIFIRSIFRVIEFLGGNDGVLMRHEIS LYIVDGVMMLAVMASFNAFHPGK LY89DRAFT_685944 MTEPPQRAKVRRPHHKSRNGCVPCKQRKVKCDEEKPVCKKCQLY GTSCSFLQTYPMHKLPPPTAPPAPPAEPPAEAAKSPSRQPTIQSMLTPEAATSSSLSS PKLSPGFSDSNYLSPSGGNFSMLDLELLHFYTTVSINEFLDNNTYVQLFGQAVVHQAF QHQFLMHELLSVSALHLAHLRPEKRLLYGHASDAHAATGLSLYQPQIARLTYKNCHAC FAFSTWIWVHAWAAQDLAKPSTIFFAPSNRDDEDVHIKWIALHRGTNSIIETLWPVLK DGPLGGIFDPWAALDPARPDPLTGEEEPILTALPQIWESSESLSISEKEALNRSISKL RRTFSMLTFNTNISKIAIVMAWFSAVPDEYLLMLERKVPEALILAMHFCPTLKKIEYL WWVKGKAENLLTTLLDALGEGWDEWTKWPKEMVFTEGELTT LY89DRAFT_735262 MSRRLSEATEDALLEGGRAGRKAVEEAGFSEELKQKLLEKVAAS SFRSESASAFAEAEMTSNVGRGSRDIAASQAWTGSEAPEDTMLRMLDDARKPSKPGLR GAAKIPSPVVDMRLRREPKARPGQRLANARDKTSIYAISKDMNMSEKEREAMRKELKE RFTPAARAMPTSFSGLAALANERIEDAIARGQFKNIPRGKKIERDTRADNPFIDTTEY IMNKMIQRQEIVPPWIEKQQELVKATNVFRARLRNDWKRHAARTIASRGGSLQEQMRT ADRYAEAERMYNPKKRAVEQISVPTNATSDPVMVSIIQDVPTSKSDSPVIKVAVENKD EKVVLAEASVQDSTTVTPPESQAEVRPESDAEATPAPLPALFRIPSWEAAELSYLNLA ITNLNSLTRSYNLMAPELAKKPYFSLDRELKSCYADVAPQLADAIKERAARPAKDLVE KIGHRPGSVLERFSSDTATIYDSKRPLYGFKEFWNDLWSEKRA LY89DRAFT_104072 MEEHPERSPSPSPAPSFSSLPPPRQSHRERKPTQKSQNNTEYEV PREKASSEQGPQTPQEDKADEHLSTTVDGRADSRSSKRRSNVKYVQPRSNAKTPTPQT RYQGHLQIENEEHEEWLRNRGGRATPSRNKQAKKVSQRRQTSRVSNAKRFGEAAKIAQ PGDIDPLEIRQLISYKRRPLGLPVPKYVNPRMMQFIPDVSEKSFLADEIRRELSAHRQ EDEIRLQLSSLRQEAAVLPEGEERNEEAFPLISLSHSWDIGDPEIPLSLSLAGHSETT WNSQATQIQAPFLTGKGNRLMEVEWDFISLHRRITFKSRFQEACRGMIVRGLEERLQE ARRLEQQLRLEIGYEEERPNSNSSNNPWL LY89DRAFT_685945 MTSSSSKAIPKAPPDTGPPYPTYESLRDAQKWVRSAPNSGQLLF WPLNGPLTSDLAVLSTPFTPDTREPYYNETNGTWHPVSKLPITEPKVSSITVHVYELE QWEENWLDMHEGCSGAGGEGVEWGISEHEVDDETEEVELVLLKCCGVARPVDKDYTIV VKPTVDGDEGFVTVHDYLTAVHP LY89DRAFT_537440 LNSLPSCGQTCFNNMLGQYSALGCAKPVATCLCANVNFEYGIRD CSNSACGTAVASAWIAYGSAYC LY89DRAFT_685948 MDPLTALGLAGNIVQFIDFAWKLFEGTKAIYKSASGSSHDNAVL QSIANDLRHLSNGIIISNQHSEELRRLATESKRISLELLDALEKLKLQGRNTKWKSFV LALREAWSRGKINEMSDRLSALQSQMNLHIQIMVRALLNSQENVF LY89DRAFT_587891 DWLGAQSEVFWIKGKAGSGKSTLMKFLSNHPETLNHLRAWAGNQ QLITARFFFWNSGTALQKSQTGLLRSLLFEILRQCPEVLHYVCMVRARFKDPELRCLN PGSEHLPRQLDHLLDESLDWSHEELVHVYKCLVHTKAQSKFCFFIDGLDEFKAEGAQD HRELVSTLRELATWPNVKLCLASRPWTVFADAFTSTKWVLQLEDLTKPDIYRYVSDKF MKHDQYQKLLKARPGYSDLIGEVVRKVQGVFLWVFLVVRDLLDGLTYNDPIKTMHLRL NQFPDDLESYFQHMIDSIPKFYRKETAQVFQIALSREEPLSLITYAFVDDLAEDPNLG LAGGDIELTSSAIDDK LY89DRAFT_648297 MSGIASILNGTVNGAAGTAQGKAGTTITTFSISLAAGFILFATQ FSIFLIIRNYLWAKRIYQPRSFLVPVKQRIKPPHNNPFKWLFTVFQIKEDPEVLGKAG MDAYFFLRFLSMCLKIFTPMAIIIVPILIPLNVKQGKATNTIAGVTYNITGLDTLAWS NVSPANSDRYWAHLILSIGVVAWVCFMFHQELIHYITKRVEYISSPGHLLKASSTTVL IQDIPENLCNEAALEELYGDFPGGIRRIWINRDFTTIVNKDKLRKYYENLLENAETDL LRKVAKRSRKAKSHQAGNSVQASEQVAPEHQPEEHPARPEMGPPTPEACRRDLEYDLE TKAAWSRFLAPKNRATMRIPKDGHTAAAKIPLIGRFYTTKIDTIYYCRRELARLNKEI EIDAQTPDAYPQNMSAFVQFNSQKAAHMACQSVADTAPRHMKRRLVEISPGDINWPSL NLSWRSRYIRLVGFLFLFAILLFAFGLISVFTGILSRVSTLSGSTSWLKWIGSLPPWL LSFIQGTLPPVIQVIVLSGPLPILIRLLTNRTRGATTGQEGERSLQLWYFIFLLVELF IIPTVSSGLTSIVEELIRTPTSVPNILATNLPTAANYYFSFLILQALSLSATSILQTI RLFKFYVIGHVNTPDSVFEKLSWTNLTRIGSNVPWYTTFAVIGLVYSTIAPLMLLFML ITFSLFWVVIKNNVLYCVRTGTVDGGGLFFPSAINQLFTGLYFMEICLIGLFFLVRDT QDKVACAAQGIIMAVVFVLTILYQIWLMNHLAPLFKYAPIRLEAESKALLSEDQEERR TASRETHDSSKEINPAPQDEEKDLDLTQNSSTDHNLEPNNQQRPPLWQRQSSNRSKDL QAQQREDTKSAARILARLNAPLNEARLSHLEHKLAGPSAALTNTLLPRRADIEAQMLD DPISKVIMQHNDELENLEPEDRDLLISVAFMHPVLRETSPCVWIPRDDEGVSDDEVRR TRELSADVVIDNRGAFFDRKLKVQVDKQPPDMSEFALIMAEL LY89DRAFT_588357 MKSSIIAAAAAFSTGVSAFPTIALEAAQNAVRHANVFQAARAAH EKRLNGILPGFNAAEQLIDVSGEHAFVPPNFSAGDMRGPCPGLNALANHNYLPHDGFA TIDQFVSATNEVFGMSLDLGLFLSVYGTVMDGNPLSLTPGWSIGGPPTDASEQNLLGN LLGLLGTPDGISGSHNKYETDTSPTRGDLYQYGNDYALQVSQFQTLFDLQPDAATANY NMEVLAQERKITFERSIAENPHFWYGPFTGMAVSQAAHTFIYRFMSNKSAEYPEGVLN QDVLKSFFAITGESGNFTYNPGQERIPENWYKRAIGDEYSILFLETDTLYFASQYPNV LTVGGNTGTVNSFTPLNFDDLTGGVFNATTLLEDNNLACFVYQAAALAAPDILKGLYA DITAPLAMLNNATNNALAGLNCPQLASIDADQFGNYPGYATQL LY89DRAFT_685953 MVGRKTTKTATLMDKMEVIVNPTRKKGKDAVQLKIDVYTQAASV LTVPKFGLSHSSHISKNKPRLVYQSSIELPAFDIRTTAEAPNSSAAGKSASLQFLDAL GRARREEEPLDDGTSGLDVTNAKEFVEYCSSLLGMRDFVVKVNGNAEWKYNGGHSFEA QVFADDAAIGDSVTMQDNRSATKLAYLTAAVHLALKGPMVLVQFLENKNDGKNDSIQN RTSRRTTSIE LY89DRAFT_648304 METSASNSFAKPAIVENDKDVEAGLPRERVNDANPQPNSMDPNI VDWDGPDDPENPLNWTNKKKWANGALLAAMTFVTPLASSMFAPGVEDVLKEFHSTSTL LGSLVVSVYILGYAAGPLAIAPCSEMYGRLIVYHVSNTLFVIFTIACAVSSSLNMLIG FRLLAGITGSTVITIGGGTFGDMFSAKERGAALSIWAMGPLLGPVVGPVAGGFLAEAK GWRWVFWVIAIVAGALTITMLLFLRETYSVRLLELKTRRLRKRTGNKLLKSKHDKGMT PKRLFQRSITLPLRMLFFSPIVLLFSFYMAVIYGYLYLLFTTITGVFEGEYGFSDGIV GLTYLGIGIGMLLGLVIFGIVAKATVKRLEAKKGELKPESRLPIMIPGTLCIPVGLLI YGWTAEYHVFWLVPIIGTLFVGFGLITTFMPISTYLIDAFTIYSASALAANTVLRSLL GALLPLAGPSMYSRLGLGWGNSLLAFIALAMSPLPVLFWKYGERVRTNPRFQIKL LY89DRAFT_685954 MIASFSLLLTTAVLALAKPIEVRTVAALNTAAFEEAQQRDNTAT RAFANTEILTSDGQCLFINELSGDFRANLNPIQVAPCDGSQGQLWDVITAGEHDDQAG TMLVVNTLTQACMNFDPRRAAGNTVIMFSCGGRADGGGAVTNSQLFNFTGGAGPLALA PINAVGTCLTVTSNNVLDSAACSGDSTQSFTFGGGSAASVSASTTAASAAVSSTAVSS PVSELAASTSSSSSSCTSTTILTMTRSSASASAAASTTILSSSALTTSAPISSAAAAA TEISSNPTSAVPVSGAGGVLNPSAAAESNPRDNTATRAFTSVSIKTSSGSCLSINPLA GDFRQNLIPVAIVACSSSDAGQQFDLITAGAHNNAVNATLVVSSLTQGCLNFDPRRAA GNQVLLFSCGGRADGGGATTNSQLFTYDVAAKPSSLTLQPESGEPVGSVCLEPNAGTG LLDDGACDGGAGQVFTVG LY89DRAFT_750399 MAQPSATTPLTTFTYFPRLAIELRQMIWKLTLQPRVVELEFGNI GFEDQDSLDSSFESYGGENDEDDRVELNSRKGFYTTTRNPIVMEVSRDSRNAVLHLYP KCFGSLWYPKCTRFNMAIDTLYIGSGLCKVVPLFFGILSKEERHGIQYLAFNRHDFDC NCLDLENILSGPGFLKRLKHVIEHMKSLREVLMVEPIECWFDTEIQGFGQWIVHSDPS FSNEISLHDTIPGIFDWLEWNLPNSTEFTKDWKVNQRVVLGWRNNIKFVLFPDNLEVL EKAQAISVAKPARLDDVRGNYYDSLISRMTTSLRVLGDRILTPH LY89DRAFT_648309 MAITSKWNPSRVAKSVWEVLEQGWYLGFTAFGGPPVHFKIFHDK YVNKLGWIAEQMYQELFAVCQAFSGPGSTKMYYCLNLIHFGFLPAVLALIIWTLPGAL GMYGFAVGVSHIGESLPRQAYALLSGLNAAVVGIIALAAVQLAQKAITDKMTRILVVL GACAGLLYNALWYFPLLMMLAGCTSVIFDYRVLHPAAKWITSLRRKRKQTNPEDQDLE LPTNVKSEQDKPAASSKPATAATAESKSDNQPSATVALETVPEPRIIPAARTLNISWQ FGSFVLVNFLLLFIAIMVLRGTLPLKPLLFNLFSNMFLAGTIISGGGPVVIPLLREYV VSEGWVSPRDFLLGLAIVQAFPGPNFNFAVFLGALTAVFGGYNAAAGVLLGYLGIFLP GIITVHGTMGIWSAIRGKRWVKSALRGINAAAVGLIYTAVYRLWQIGYVDEGFEAGKS LGDDPWWVVVAVTSYVGGMWFNVNAPVAIVLGATMGLIRYGVVVK LY89DRAFT_783348 MRTKRASSTPTPVIDDDDDYDVPDILQRSSMMGTGSSSTGHASV DTGPAPSTRKTTKKVFEPSKEVLMIEMRLTTDEMENAVYLKEMFDVIKKRMKFENSKD SPEVIAADWHSVASHLFHSAHRTTKDQKYDRKTKRNWYLCEDEKTMRKHPNIKKKSCF FYVAKYVPTSQPRIQLFQGGTEVEGPVMKKDWDMSDRKARSDFVFSEGGTKKRGKAKA GQLPETLLQEEDGGTSRSVEGEGCTPLEQLRSCSAKLPEIIC LY89DRAFT_618947 MADTAVTSTSIEALPKIAKGKVRDLYEVDSKTLLFVATDRISAY DVIMDNGIPSKGTLLTLLSAHWFKLLPTLIPNLRTHFLSLTLPSSIPESQHSLLQNRS MQVRRLKVFPLEAIVRGYITGSAWKEYQRSGTVHTIPIPAGLKECEAFPQGPIYTPST KAEAGQNDQNISKAEAAEIVGLKYAERIEELALKLYTTARDYAAERGIIIADTKFEFG LDEDTDEVVVIDEVLTPDSSRFWPADEYEVGHDQKSYDKQYLRNWLTKEGLMGKQNVA MPEDVVKETAAKYREAFEKLTGQKWLDAIQG LY89DRAFT_783350 MIWEHLRPRARDTSGSESVIQGDGLAILRACQQLNEEIAIPLYE KETLQIRVESDRTKWLAIESSLGASWTIPNIQHPLCESFKNLPYGELQGIQIWIDAPE NITQLFCISRNVRHLVNLLDQAGKLPAVSIHLSNTSNKTWAEQDGTAQRSLPGRYAKP DYFVALLPFCRLRNISQASLHVPNGFIEEGNVDLQLMEQVMGRNMPFGSFTGDDEQIW YDNEMQTDLDDIYMHLERELDTMTGLDPNMLRLERFMSWYDDNGESEYVREFQRMLSD QRLVYTLDIEQRHAYLLTFNPLSANMQRMRLQLDLASRFRKVGFPLERRWDMQKYIEY RRYVEKTSRHAGDIDLAKMVDEEVMAQMKSGWSKEAWRDYYSEGIPPLDDDSQDIEEF YLQMGDVDALSYGLSAEEKPQDFARIHAREQEQSIDFGAGEEKRMALESLLRVPVDSD LRRSIDGEVLQQSGLLVEGWDTGLQMMSSLGL LY89DRAFT_735280 MKFLSLLVIGGAVASAQNINNGGSSNFTDVGTNFTTNATYSNPI MTLNVGDPWMTRYQSNGEGWYLFTYSTNDNVTLKRSQSLTDDWDHADERVVFNPDPNS GLPYATDLWAPEIHNISGTWYIIFSATPDFDAPPPLVDAMCPFECPAVNHRMFVLQGD GPDPWTCNFTMKGMLDTFDQFAIDGTFFQYNDQLYHIYSCWENTYSAWPANLCITQLS DPWTVASNLTDRRMISVPDQPWEQVPYGRAGRLATNEGPEQLTNPTTGQNFVVYSAAR VNTPFYCLGMLELVGNDPMQYQSWKKHTDGCVFHQNTQAGVYGTGHASYTTSPDGSED YVVYHALTTPNPPSNIYRTVRTQKFTWNSDGTPNFPLAANGPFPVPAGQAH LY89DRAFT_719766 MGLGVLDDTHLEHVPGTALLTDVVGADHQHHHGNLDTSALRHDK GRNSDVLLVPQPSKSPNDPLNWPLWKKDMMLFFICIDTAVVGAWGPMISPGFALMAKQ FDMSYNDLNGGLGWGIFVIGVSCFFTNSMAVIWGRRPIFLLGNLLLFISSVWGYFAHS YHSLLASRLIGCIGMSPFEVLVTTTIADLYFVHERGVRLAAWGLCLSVGVGGGSIISG YIIQDLGWNWTYGICAVIYGVWILVLFFFCPETAYRRDPILNIDLGTDDKAAELALDE KATATEAATHVESLERVELNEPVEEKHSYAYELRIFHGRVCDDSFWKVLTRPLMMLIF PQVLFSFFAYGLTTSWLVVVGSVLAQLFTAPPYNFSVSGVGLVAVSPLIGSIIGAFIS GPIADWIVKYMSRRNNGIYEPEFRLVLVIITLIIGGMSFFGFGISLQDQDPWIGPVIF YGMQYFGVGFMSIAVYGYLTDCHRDKAPEAFAAINLRNIYSFGMNYFISSWISTQGPK EVFSIIGGIHVFICLMAIPMYIFGKRCRSWTSRVEVFQKVMRA LY89DRAFT_783352 MSPSSMRLLRTTRASIRHPIFPLSRPIAPRPPTFQTSRPASTAA ETSSPVIRLKNFFYGTSLALFAAFGYLYITDTRASVHQWLVVPAIRWFYPDAEDAHYV GTQALKALYSFNLHPRERDGSSRDTRGADLSVTVFGQKLHNPIGTSAGIDKLGEIPDA LFALGPAIVEVGGATPVAQEGNPRPRVFRIPSQNVLINRYGLNSLGADDMARRLRERV RLFAYSRGYGVGQEAEEFVLSGGAGVPPGSLTEGRMLLVQVAKNKDTKDEDIEAVKRD YVYCVERLAPYADILVVNVSSPNTPGLRTLQRVEPLTRILEGVVGAAKSVKRPTTPKV MVKVSPDEDQDEQMEGIVEAIWKSGVDGVIVGNTTKRRQNLIPANINLTAKETEILDE QGGFSGPQMFERTLNMVKRYRRLLDQGPPVSTQQEEPKESSKPVAGISSGDEPGSAIT EKIESVKEALTAAATPAPSYEPKVIFATGGITNGKQALEILNAGASVAQIYTALVYGG SGTMTRIKKEMKEKISKK LY89DRAFT_670653 MADQITTVSSLPPGYGTLAGTVYAIIASPPADWNNIAWAKMGRV PRFSTLFRPSQHQSTSEASGDHEIVFRLRDALSRSITKRMRKFRAFELDIILKRDIAV LYVISLWASSFESNQERQKGGIDAVYQQINSIDLESIQRSRTSETSQNSNSIIPVDSC PLPSTGSGKIWTLDVRLQVVQYTADRTPVQAMIHTLSMQDFEDAMASALQGWTFNSNV WSTSMNRIINTIKDNNSTTVVLVQAAAPFDILVVEIAFKAVEVLLACAVILGSTAGAW LGLTLGSIFPRRAAAGLFVSSYGQTLGGKMGNFEGNLPYPWPPAGTVWSETEGHDSYC VLPQVKLSDKWRNAIGYWIQFCLLMVYRHFKLPVRNALGFGPYVFTRDAVRYIVLALE PFIIVTSGNILHQRLRKFQGGYSLRNILAIGVYVIMLSASLTIIVLGQKSFLNPMQSH WIGELVDLFAAAGSVALINIELENEKSLLAEKWILIWAIGACTIYRINDKVKLG LY89DRAFT_685960 MPRGGERTNVLRFIVNLRLNEFNFTSLRQQRSDAAKMPPNLTPE QDHTLHLPSHTNSCHATNVRTYKDYRRLPKQSIQDELKRRGLPHIGLKNDLMKSFKLH NELLCTLKGQYEVHLKKLQDFKQANLDAIVPIQQYIPPEIRSMIWRYALPGPRVLVPS DVRRYVDKFHFRKKDNPENPALLYVSRETRNVARERYHLVFGTTNVYADLSCDTLYFN SRWYNLSGLLGDYSSIFTGYKVAHGWNHTSPKLLSDLEEVVNLALPRGCWETYASTSH NSTRNGGVLRSHADKIFRGLQHLMLVQEDTDDGPYRFQFTPGHIELKKTSQTKHCRSI WPYFASKPGFLRAVEANRITDILGENWAHSIGDPYYPQIEASTDDAAQSERKMKDNES SL LY89DRAFT_648327 MPHLSPVVLCPHVAWRGVASTPRYSTVSHRISFDGGGRILHPSA DTVWLLSHPTTIYSNHRLDGINPRPMQQRPLHPHPHPYPHPVDSWPHLTSLSLRHAVK LY89DRAFT_104605 MPHSSSTTTTTTTTTTTETKMAPQVNGEAPSSAFLHHLTSLTHT SYSKISAPLAPYLHTPYSYLSPYLSKADSLGDSTLSSLDSKFPLVKKPTGELYDEGKA IVFYPLKKGNEGKEDGWMD LY89DRAFT_648329 MFCKTLAAAGLLASLAITAVHAATAPTISVSGSKFFYSNGTQYY MKGIAYQLTEADPLIDTEQCQRDADLMSTLGANAIRVYHVDPSGDHDGCMSAFAGAGI YLLVDLDTFTTAIDPTNTSWNQTQYNAFAKVMDAFASYTNTLGFFVGNEVIALANQSL AAPYVKAATRDMKAYRSSKGYRDFPIGYSAADIAELRPMLQNYLACGTNSSETIDMFG LNAYEWCGNNDMETSGYDTLNTYAEGYNIPIFFSETGCNTVPPRTFEDQSAIFGSAMN DIWSGAIIYEWIEETNNYGLISYGPTVAATAVASGVQAGISRTGTPTPVSPDFTNLQS QWATLNPTGVASSAYSPSLTAPACPSSTSGGWLVDGNVKLPSLGQTLATGTSTAAVSS TGSATGSAATPSATGKKSSANGGKEIAGMSAGLLAVMLGFMYWL LY89DRAFT_783358 MNTPPPRSRRVDSSSSPTPSPYQDNTPATTVPPRSTPLEKYPPI PDTLPVRALAPNEVLPFAAPPLDLPIRLNPLLPALASLDAKYNRTRHPILDIDNMWGK GLTEAREGEDVLKLEEASRVLRKHTKDRMPYVKLVSTRLQAIMGLKNELPFDLPNINA PQLSPHYQNVLDFDLDPSQASQPRNPIDGPIPDFMEIDKETGEGLRSWLLKVGTGNGS LKKKECKSISFHDITQEMMSGQFSNVLVAHYNNEKVDQKIEAYELDLPTQSIETATGR EVVTTQTWVWFFISRPLLSIDSNNSYLVSPSRDPGNWMRSEATDDSFYTPFASRRPPS PPDQTRNVEPPPNFPDPVPSSWVVFACPLSNVKTYPESEEKAPNEPANSTIEEDGEDI EPSHPPAKRSNPFNTRRKKVYKRQDYDFAQQGVPIFEFSMATAEMLPINECMCT LY89DRAFT_735289 MPPFAGAIAQGNQMSKYGSLSHFDSYQNSMNRHPQADYYAMQTS ADYKIELNSWKRTSRERKHARSDAEVEKEKIECRDRTKEFPSPYYGTWWKIFYEGIYA DEARWRRIREAMGRGACRVVVRWEDWQIEEVGRGHGSLKARSGGMGLGPGSGGFSTRL RGTGQGQPIHRRGQAHSFGVLPPSLGSTIDHGRTEMDDGFDMRKFEDGESWQFEDGF LY89DRAFT_783360 MSSLEAATLFGVKGLVAVITGGGTGIGLMMAKALEENGAKVYII GRRLETLEKAAKQAKHGNIIPAQGDVTKKEDLDRIVDSITKSDGFINVLVANSGIGGP NLLGLPKDPTLSQYREYMYNVNIDDFTNTFHVNTSAVFFCIMAFLELLNAGNKKGNVQ QKSQVIATSSIGGFNRLPLAGFAYAATKIGTTFMMKALATAFVPYGIRSNIIAPGLYP SELADELLVKLETSGGMPKSFVPEQRAGSIEDMGGAILFLTSRAGGYLNGNVLVTDGG RLGVVPSSY LY89DRAFT_783361 MRFTTSIIMASVAALVVAAPMKAKRQDEFSELLESNQLDNSNLD SLFSSNSLDVNDIAELLSDFDLNSFNSQFDSSFDNNNIEELLIELEGNSFGNSNINDI NDVNSFNLDDFANSFNENFSGSWNSDEIEQLLLELGLVNSNSLDSNNEFFNSSDLSNE DDLFFNSSDLSDLESEIISTSIVA LY89DRAFT_670661 MTFSDFDQPFLLCTDGSKDSGSGAALHQLDENGNKRPILHLSKD FSLAEENYHSVELETAALVHSAIFNSFKDTELGKRPLLSQLLAQNAQIALFARKAQVT QIASHVFQQLKTLQLANPANPPKGSRDASLQPHGETESHPPPQDENPSLACGSRTCSV KAQYTAYGRVILMKASEKSTVLHSS LY89DRAFT_670662 MTETSPPPSSRRFSPTPVETTVKKIRRFAVEPVETTTRSSKKEE APVENESTAEKKDAAPAKRRFAPEPVETTFKSNRHAANPLPTPEPTPVSIPEDSPDEE TPKPRRKFVPELIETTKRSKRAGDTRPATLPTDKTDLTPGVPNIYTRPKRKVPRPPVV PIPPDNTPNSSSVNIQTIPPLPPRRQPSMRPHPNTRRSTRQNSFQPELEAIPSDSNSE ENSEDEDGTPSLTGSYGSSEDSQMRLQLARTRESCDDRFSGYLLALAAKAAEKQLREQ ALAAFPNESMHEIVEHFYDREIEGASDEETVEGVGLLIDDPQLSVIRRKSTEVGWAAK EMQAHQEKLNRLREDETHKKVAAEATQPSFKDPFWTNGMTVKNAAFARGEEPVDAQKE AELARMRSAASPPMLGGDLKFRMCPSPKATKFETDQRMDIQPNRSDTGGGLWGGYCVA DEPGVFLSPNTMKGPALIQTPRNETHSDPFSSAFASEIPGAGAKSPNKANGHAHEGGV RMLAGLDERLKAEVTRSKVEDALLQEFDDTFVTQVYNYLSLGYPSLARQYDEELARIS RISELELRVDDQKKNVKGHIGLSNAHTSNGKVEKEEVHCTRWKALRFYILEWARQHPS MSNGAMGPSAWGVRARRGSWAI LY89DRAFT_670663 MSSVRTRRQAAAIATPVTPSPAPREEQVVMNGNGKAHGSAKDDY PRENIFLFWPNLIGYARIVLAFASLYYMPLHPRTCSGLYSVSCLLDALDGYAARYFEQ STRFGAVLDMVTDRCTTACLLVFLGSAFPRWAIIFQGLISLDLASHYVHMYATLAMGG SDTSHKAVDKSRSWILNLYYTNKTVLFIFCALNEVFFIALYLLSFSSPFLSPSLLQVT NNGTSGTSLEPGSPAQPGASLFLNPHSAAALELARANKMDSFVPWVIAGVSFPIMFMK QFINVVQLVKASRWLAEGDIAARKAAGLPRKRKMK LY89DRAFT_670664 MSFTVLYACVGVGTVAVVLFVVLSLTAPLVLEIGYGIIGGLLVL GGIGWILYRNSDSIGDWWQAFRDRRQAERDARRVRRETLRQEEETRRAVELEERRVRA GVIAVEAISSGEAMNGTNS LY89DRAFT_735294 MGGAAGATVGIAIVSIFAIVMLTVFFGFLPLEIIVGVVGGSIFL GGVIYVLHRTRATWTPWGPALVVWWRDVRTRGRERRVREREEREERRIRERERRRAAL GPIAVEEMGVPERVHLRGW LY89DRAFT_735295 MAVTTGALPDDLRSSSNREPLQSITSSPPPTLTKRIRRKSSFIR HPDSSEDYPSSHDSIYEAVYFSGTNSDSSSNRIELIDIPPRRSAATAVKTVQNDENKK ASEVEGLSTIDQIEFRYGFGTPLETITEQRSYATIRTAGRPKSADDVPYIPFLGHRDS FSLSQSPRRKASFSLDDITLVQKSYHEACATIERQTFKIPINEVYAEPKTPIQKPPDR PPTPDGMPSWTAAQSLPVRPVPLTAAQNVFQRFFGLPASGITLSSRTPRPGLDPQVRS VSAPVRGRMAPRFRPPRSVYGPIDQHPFANAPIAQVKAGSQVDPTQASGSGGIMPTMP KKAKGKRKLQRVRFTASATARDSEMLSLQAAMESTTSLALHPLSPIPPMEAAPMRDEP SKNKCPHRRGRGKAAKGKATITIPQIITPDNDQLSESSAIAHNDLGLVVTARPSATLT VPIRSHSIGALAQAAHSTTSLVTDDPRSRATSYSSTTHLMSGGLRSPSGSIIQAQPLS STPMPSKEQRDPFCWKCATGKAGKKIDQWWKSSASCLCFICCGFDIDEEHVHYAETNE GSSSYGPYSGRSPSNGDFGPRRITLDQSPAGTPRG LY89DRAFT_750435 MAPSVKGLAAYKKKDGTLTISKDQKSILWQPVAPEKGLVINVAD ISNLQQTPESAAKVMLKIFEKSSAAPEPITHLFHFNSPLNPRGEANAVKDLLTNLIAA VKANDAAVSKPNGATPTSAAMAIASAVTSKPNSGAPRWYDDAQLKLDIELQQSLMKKD PALNRTYMESRRTKPDAISDSQFNSQFWSTRTGILRAHAVESNQKRGAYNVLSAVKPR QDGGELKLNISAEQIQLIFSQHPLVKRVYDENVPKLNESEFWSRFFLSRLFKKLKGER IMETDSTDPCFDKYLDATNDDGLSQRLLAAHIPNIIDLEGNEENQGGSKSGNRKDFTM RPGSTAKVPIIRTLNSLSEKIMAHVAPSDVDPADPIGMDEETFNSLALRDLQGDPEEH RIMLNIKEQSRFFSNAKSAVSAEAALYAKQVPSEVLFGLQTDLDPTMMESDGAGGLDL RAAIGVLEDSDSEDEEEKVGHVGSKASIADAQKHILEGIAARRLELDGSNTQSSLSGL SQKLFDRLTLTQATTTEFLHHFWLVFLSGDPDRAGELAKMVETLERALDRINAVAADA EKEREETIKRQKQHIKDVYTTTGKKLQWSSSSVGGGEKVVREMMEPTIKTLAKASREY RKALVAEGVDVS LY89DRAFT_685972 MLRSSLRQKSCQLLKAGSSARALSTTSRSSLFTSNTSTKHAVSS KRCLLTSLSQKRWSSATASAPDPNDNFLSGNTANYVDEMYMQWKEDPSSVHISWQVYF KNMESGDMPMSQAFTPPPNLVPTPTGGVPNFMPGLGMAAGQGSDVTNHLKVQLLVRAY QARGHHKANIDPLGIRREAEEFGYSNPKELRLEHYQFTEKDLDTEYTLGPGILPRFKK EGREKMTLRDIIAACEKIYCGSYGTEYIHIPDREQCDWLRERIETEQPFKYTVDEKRR ILDRLIWSSSFESFLATKYPNDKRFGLEGCETLVPGMKALIDRSVDYGVKDIVIGMPH RGRLNVLSNVVRKPNESIFSEFGGSAAAEDEGSGDVKYHLGMNFERPTPSGKRVQLSL VANPSHLEAEDPVVLGKTRAIQHYNNDEKNHTTAMGVLLHGDAAFAAQGVVYECLGFH SLPAYSTGGTIHLVVNNQIGFTTDPRFARSTAYCTDIAKSIDAPVFHVNADDVEAVNF VCQLAADWRAEFQKDVVIDLVCYRKHGHNETDQPSFTQPLMYKRIQSHEPQIDIYINQ LLKDGSFTKDDIEEHRKWVWGMLEESFAKSKEYQPTSKEWTTSAWNGFKSPKELATEV LPHNPTGVDKQTLEHIGTVIGTAPEGFNVHRNLKRILSNRVKTVTEGKNIDWSTAEAL AFGSLVTEGHHVRVSGQDVERGTFSQRHDVFHDQENEKTYTPLQHISKDQGKFVISNS SLSEFGALGFEYGYSLSSPNALVMWEAQFGDFANNAQCIIDQFIASGEVKWMQRSGLV MSLPHGYDGQGPEHSSGRMERYLQLCNEDPRIFPTPEKLDRQHQDCNMQIAYMTTPSN LFHILRRQMNRQFRKPLIIFFSKSLLRHPLARSSIEEFTGDSQFQWILPDPEHGNTIN EPEQIERVILCTGQVYAALHKHRADQGIKDTAITRIEQLNPFPWQQLKENLDSYPNAK TIVWCQEEPLNAGAWSFTQPRIETLLNETEHHDRKHVMYAGRDPSASVATGLKASHMK EEAKLLETAFSVTQDKLKGE LY89DRAFT_685973 MPNKSKDTLAYGYRQVGTNAEYGESTPLVASPDHFQKLLEDNFE NNLESPIENNLQDLIKQSNHHDLPPTYHQSQSHGMTPTMTPTTAPTTAPTTANDEERG SPLLSIGMPTGRLEVTTNRWCAYSLAIAIIAVGASSLVVALFYVLKFARELFPSSPS LY89DRAFT_618982 MRASVCLRPRALVSINVSQRSSFQPLIISTFRSRPQPQPQPHLT SPSIRAFSISTTRWKEDYASQAKALNQKGLEDQESGFNNQIDNAIGQAEELQARTPWH REGSDQPPVKRPRSAGAMTKGKLLTTPSRLLKLILPLTTLDKNTDRKSIEPLALLVHP QQPLSYLERLIQSELPLIKSKDGKDKAPQVYFRAEDSVQDEIKADTRDGSLPASKEEE EQEEGSDEQMIDGKLVKLGKIENSLEKKEDQDSTSPYDVDKKKLEQHMRGGPGEGGVE SYSGKGRERSSPEDGERKFVRWSSSTEIGDFIRDAARGAEFAVEIEGASHEILVGVPS FNDRTHYLRVRLRKTSRKLADLASLKKECDELAHRSAQRLAMGGFAVLLTWWGAIYHF TFQTDYGWDTMEPITYLAGLSTIMLGYLWFLYHNREVSYRAALNLTVSRRQNHLYQVR GFDVQKWESLIEEANALRKEIKQVANEYDVEWDERQDEGSEAVHDALKAERRKGKKDE DDDGDEDEKPDKKSDGKEKGD LY89DRAFT_105430 MRPDFASFPLIASFPLIASLHFPLLNTFLPTCHVFNFLFERDFL SKNSNCASLTACCRRRLINHLMPERASDEQAAEPLMLCWSWRTGRLQASFQLMKGF LY89DRAFT_105613 MASLAPLAMSSTKDELLNHLNLPPDTYALMAKETDRVYIWLTSE KSHLKSNCKRKPPYDWSDIQEKSKDEAMEAIGKGGDEYTDYYWRLAAPAVDCPNWIAR WFLYHKFRYRDGRNRTHQRGSHNSDRRYHTDSGHGRHHRSRGHHHSSDYANQDDYYEE GLMNAGMYAL LY89DRAFT_588352 MAPIQNIVHLPNGQNLTVLPVFGGFFFKSNDLNLHHNVFPAGWT VILQTEDFSDEHTRDSEDAELSGMPKEKKRHIHPFKQPTLQNDNLFISSISNPSSSDF KTPSSPTRQIAMMLWASLYWYFHQQEPSPYLTTEASKSTPNEGKPRGEWRINIKREGV FRGRNLIQKLERMGLITSEDSRVGINPEENTPEGWSEMFTARRTFWQLSAKLFLFTLT PAGGSSFLGSPYNSRPSSPVRGDRNHSPNHRDSSESFAAQPPASPGLWSSSTPGPFSS GSHLPTYFPPPPLPYTTTAGIRHPMRPKPPRQGEIFYTRYVPSAGQYLAFRAASLSPK PVAYNDLTPPSPAAEPQDTTLMTDLQLLNKWMNDPRVSKFWGCSGPQSTQESFLKSNL SSNHSFPAIGLWDGKPFGYFEIYWVKEDILGKNLGDRAGDYDRGVHVLVGENEFRGNK RVKAWITSLAHWAFVQDYRTNAVVLEPRVDNERFISHLNEAGFLKEGEITFPHKQSAF VKLRRENFETPSL LY89DRAFT_670672 MSSQHASGDHYRNYQDDRSAKSQDTVYTTSNGVPMPHPYETQRA GDNGPLLLQDFHLIDLLSHFDRERIPERVVHAKGSGAHGTYKTTNTLEDLCLADMFKE GKECPVTVRFSTVGGESGSHDCARDPRGFSVKFKTEEGNWDVVANNTPSFFLRDPAKF PHFIHTQKRNPSTHLTHADDSTVFWDYLSQNPESIHQVMILMGDRGIPDGYRFMHGYY GHTIKLVNKAGEWVYCQLHMISQQGTKFITQEDSATKSPDYSQKDLYNAIESGDYPKW SVEVQTMTAKEAEELWEKQKINVFDLTHVWPHKQFPLRKVGEFTLHENAQNYFAEIEQ VAFNPSHMVPGIEPSADPVLQSRLFSYPDAHRHRVGVNYQQLPVNAPRVNYRMANFQR DGSMAFLNQGARPNYISSIEPIRFRERSVNLDKTHGHFIGNAVTFLSEIREEDFTAPR ALWEKIFDAKAKERFVGNVSGHMENCKDKEIIKRQIAIFREVSEDLASRLEKATGVKG YDGITGMTFNGTHNGMGGARKQANGMKSTGGNEQNGAPVKGSHAGAAGAKGINGHANG VNGHSNGVEAPLKDPITLCKMFLRLVIAVLAIGNVLAQTSNQTSLPQYSTNATARAQA IAVKRAGWLYGPGLLNGPFYPAGPLGAARTERDLGLFAIDEAWINGSINADLPAIEAA VIGNGGFHNLADYVNLLYVNKLGDAVPGGEDLGILTNYTEDLLFSMERLSENPYSIRR VKLTDTLPFTIPDSTSISLSSLTLRGLQLSSRLFIVDHSYQAKYPTNARFGGYCTAYF FIDPKSGNFLPLAIKTNIGADLVYTPLDGAIDWLFAKILFNVNDFFHAEMYHLAATHM VGEIVHEAAMRTLSDDHPVMALLERSGLEDQGFFINSTGCMDFITDFYPTAGAFQSNY LEVGLQASGLLGPATQPALKSFPFYEDASTIRNVILQFMTSFVNAYYANDVVVASDSE LQAWFVEANGPAKVIDFPCSPSGAFHACGKADLIAILTHTALLTGVIHHALNTGDMIT TSGTLPFHPFALHAPLPTTKGLNITQLLTYLPGPADAIFQLDLLSVFNRPQYAAQNRT LVHAYNDASFLSQFNEQVTVAEAGYRQGMETIGAEIRGRGFDAEGLSQGMPFVWKNRL DIIGVGVLACEMIGLLLK LY89DRAFT_648361 MGATNSTQRETILNTPRGSLRGITQVDRTTSQPVCSRYTRIPYA LPPAGSRRWRRPVSLPVDFSFNDSSSGEPGNYTEFGNICPQPEYGHSAAVLENENAVK EPENKQSEDCLYLNAWVPASDAPKGGWPVQVYLHGGWLQVGDAMQNATHDPFDLLKTY PRIIVSPTDRLNLFGFLASPELAEESGSIACGNFGFWDQRLAIEWVHANISLFGGNAD NLTVGGLSAGANSTTFQLHYDTYRPAKERLIKRVFLYSNAVGIQPKAVTSPECQTQFD ELLSVLDISKSLSGLEKLAALRSVPDLELVSKITILKQHTFRPSTDGEFISSSFLKSI HDGSFTTLLAEHGVKVLLGEVANEEMLYRLVNPASSLSSMETQLNNYYPTAVTSALVK AYPLPESSASEEEWPEINARILGDCQVHAVIRGFANSLLNPPAGATPLPISSVFRYRI SWRAKGLDEWLDPKVLCCHACDIPVWWLSGHRAGFEMKDEETADGLLKPFYDFLKGDD LDWGTSSKEDIRHVKPDGSVVVEKDDRWDEGLRIWNVMAKAQGL LY89DRAFT_588057 MSNTNGVPRSIEFYGRSYRLPRQPTVIVCVDGFDPEYLERGCAD GILPNFSRFLESGFHVTAKCAMPSLTNPNNVSIITGVPTSVHDISGNFYLDKVTGEEH MVLDDSLLRGSTILEQMANNGVRVAAITAKDKLRKILNHGLSPSKGAICFSAQAAKSC TDAEHGISNVEKWLGRPAPEQYSGDLSIYVLDAGLKLLQENRADLFYLTLSDFIQHKY APGSPESDDFLQLLDHRLGKFDELGAIVAITGDHGMSDKSNEDGSPNILFLETELMAK WPKCSARVICPIADPFVKHHGALGSFVRVHLLGELGTNDIEDMVQYCHSLSQVKAAYT GAEAATVFEMPPDREGDLVVVSEKNAVIGSREDEHDLSQLQGFRLRSHGGVSEERIPL IRSTPLCGRERVEPHVWRNFDVFDVALNF LY89DRAFT_105896 MATSSAALSLRDSFGDRKPPDISRKITACVACRKQKIKCHLNGN PPCSRCKSRGLPCTVNKSLQMLLESDAGWKEEMEERLRKLEDALTLNTNHRDNLAIST SAPYRTSPAPDSPIELSNSVATLTTQASENTSDVTLNLSCSLGSFPGSSIISLTFTEQ GTQSKNKPDLVSSGLVSLEAAEAYFTVYQKSMEPCTPQILLENDCLANVRARSSLLTA ALCTAGSLGADSASHKRCYDAFLQEVSSRLFSRHHSIDDVRAVCIGALWLNKVSSMLI GMAVRMANDLSLHRCITKMPHSKSECYERTRLYFLVYICDHQCFLIYGKPPMTREFHS LKSPKSFLQSPHCIPADEKLISQVELWSISSRVFDIFGADVEASITPERVAELESLSR SFDLCRSALPDSMPMDDHSDVFARQLFDLQIHCAKLSLFSHSFRGSSQRHARSPLKFD GKERFERSALESALSIVRSIACETELQQRLEWLPSYFGTMIAFASISLMKASEKEQTM CYLDKNEVSSALSCLVEVFNTCSARVQPEHPLRSVARSLRIAMNSFCQSGVNHVDPLA NGMLAFDDIGNSWGMDYLGDYNNLLSLPNDVDAGFMDLQNL LY89DRAFT_685985 MPTLVTIPREIRDEIYSLTLAAPLPALPTRDRTRLSYPSSDSDE TYFGENCVRFPHQTPLPPSHGLLHTSRRLRYELLDAIKRLGCIRYKVDIADRKNTGVL VPTWLSVPCLPCFVDKIDVLEVNWRPRFLKTTSVASFNGDDDREDVYWNRFSGSLSML QRFVERGVYLLSKKKRQKTHIGCLEIRMNYPPEERVEDVDEICDFINAWMTTEHGEIA WEDESWEKEDEQFRLLAEKIDRVTLLANGALKREWVMSEMLVKRNEAEALRRERAENP VEEQEI LY89DRAFT_783377 MIRLSTFFIVGSILVIWDQAAASAASFLPQNFVSWLWQSSIVVD KWLYVDGGEIWARWDNASANPSGVWNYQTLAIDLSQDWNISTLSSPHVVATNKSTAIS PTRRPDLFFSPYDDTTYALGGFMYSWEGGGSNNSVPVQLWGFHPENNTGSVAWELQEV GPTAAFPLNELMAGTLTASSGNAHYALGGQTNEDGTGRDDFLIYNYGNGSWMNQTLPG KFYTWGGGHFIPAFGEQGVVIFFGGLWPSNSAGSGDASTAAGFDTVLVYDVQTNTFFN PQQTTSSTGSAVLNRYDFCSVGAGNGSGNSSYEIFVFGGTTGSTPTSDTATALAKVYI LTLPAFHWIEAPAAAPIWRADHTCSVIGNRQMISIGGYQDPMTLQDQPTDVWANGMGI FDMTDLTWTEAYDSSAAAYQPSTLVTQYYSGSGRYPASWVDPKLADIFEKSTSSSTAT PSTSHTSTPNPKKSNAGVIAGGTVGGVAVLAFIIGLVVWYTRRKRNFRSHELGAYQPP PEVGSRGKEASELDVGNTTSELSGAERQRHELDVETRRKTMPVELGT LY89DRAFT_698070 MCYLISFFFQRSSNLPSINYDKSQWTYFPAKSKFRTHAKKLIAQ GFQKFSGPFNLITDLGPLVMLPPEYIDAVNQERKLDFTQYTAQDLLSKYDTFKSFRGT APGLIEEAVMKGLTRSLPKFTKVLSEEMTRCLSESWVESTEWHEVDLREDVLNWVARL SSRIFNGDPLTTNSEWIRISKEFTVNIFTAVAICKMIPYPLRWFAERTLPVCRRVRAD RRAGAKMLAPIIAERKAEIAAAQREGRAPVLPDDSIEWLRNAAKSRKYDDVLLQLGLS MAAIHTTSDLLGQALLNLGAHPEMIEPLRTEAVEVLRAHGWSKVALTELRIMDSFFKE TQRLKPINMASMHRLATADVELPNGVKIRKGEKIAISSHRMWSEADYEDPETFDGYRF VKRRKVPGLEHKSHLISTSHEHTAFSHGKHACPGRFFAANEVKIAMVHLLLKYDMRLE EPKDAGWLEYGTNMFTNPKARMSVRRRKEEIDLDGMR LY89DRAFT_685988 MFTQAFLLALAAASVVNAHGRMDVLTGDLGGNGTALGVKGAVIP GGGANYETEPDTTIFWSKDIATDDDDGFTDSSNGNLSPSKDLAAAMALSGSTLPQVSD GGSITGNWHVVTDDGCGPLQALIDSTASSAWSTAADATVTTDMPGDAGNCVDLDSGDD ETVEAENETISSRARRALVKMGLMKRAKNVNKSFSFKVAVPAGTSCTGTVNGISNLCQ VKVSNNNANGPFGGTFLVQMGSNSTSKRLTRSAKFLA LY89DRAFT_648372 MHSETGIGDGEETSTDKNQSLISDGNRTSDGSPSEGPTAGSKSS LLNGGEATHESARSKQTPVIDITKDLSALVAQQMRATPNAIALEDESTTLTYAALDER VTVLAKRLRDHGVGRDNLVGVLLGRSANYVIACLAALRAGGAFLVLELAYPPNLLADV IDDAKPTVVITNTSQANQINAEIPLIILDGQEVGSYKPKESVKKAPPLPAEDDLKRLA FVSYSSGTTGRPKGIANPHMAPVLSYDLRFRLSDLSPGDRVACNVFFVWEMLRPLLRG ATVVCVPDEASYDPVALVDLLSRRHVTETLMTPTLLAAVLSRHSDIQADIPDLRTLWF NGEVVTTDLARRAMKALPNTRLLNCYSASETHEIACGDIRDIIDNDAPYCPVGPPMEP KHTYILNESGQKVDVGVPGELFVGGNLLARGYLNLPDTTAEAFIPNPYDPTPGSRMYR TGDLARMLPSGILEITGRVGAMIKVRGYSVVPGKVENAIIEHLAVKQCAVIAHGEGLD RQLVAYIVRDKEDPADRAIPIVDEAGYSLVARRTLSKALAQYMIPALWVELDELPTNR VSGKADLKRLPPPVVSISTIFNHTKTERDTSISIDAIAETWATSLNVPFSSVTKEHSF FDLGGHSLTFADLASKLTRTFGFTVPVTRLVVNPTLEGHLEVVRSIRDGHTAAVQADL PAVLRADSILPDDIQPSGASMCSLSTAESVLLTGATGFLGAFLLHDLLQSTSARILCL VRFNDPTDDDAPAGVARIRKSLLDLGLWHDSILDRISVLPGNLSQERLGFPPDVYKQV AGEIQVIVHAGATVNLVYPYAALQNANVGGTREILRLASQSGATLQYISTNGVLPPST SSWPESSIISIDDVPEKLVDGYGQTKYVAEELVHEAGRRGMPVRILRAGTISGHSTSG STNAYDLFTAIIVEALHIGYAPDILGWRAEMTPVDFVSKAIIRTANNIETKQRVFHLG DPDPVDTQSLFDDLNKLGYPTQRLEWDKWVSLWTEKRGSAKRGTGAFTADILRGGMPS VDFLKDITILNNEATKLILGDLQCPNIDSKLLEIYARNWFARGWLPRPPLRLHQCNGF VTRSKEGALSGLVAVVTGASSGIGAATAAALVKEGAHVALAARRTEALESLKKRLEIH GGKVIVHQTDVTKKEQVESLIHATEGALGPVDILVSCAGVMYFTMMANVQTDEWERTV DVNCKGLLHCLSSTVPGMLSRGRGHIVAISSDAGRKVFPGLGVYSASKFFVEATLQAL RVETAGTGLRVTSLQPGNVSTELLAMSTDAEAIKKYGEPSGAKVLDAEDVANSIVYAL KQPQHVAVNEIMIEPRDEPI LY89DRAFT_698073 MPSSRVIHQPIHPDLRPHLDPEYVAFHDEHFQYILPSETTPWDP AVRTAWSPSKLAALNAVEVGKIEDVLLKHAQLRIFTPSVLRPRMGGWTLGNLDSDNGF CSRVAEGASCMAINVNYRHAPEDPYPAAVNDVMEALEWLASSKSTRLNIDRSQLTIGG TPAGGNLAAIASMKAALLQPSIPIKFQALILPVIDNTQTASTPYWASKPHPPWLTPSR MMWYRKMHLPNEKDCLSWDASPNLAPSEILKTSPKTWIAVSEHDLLAPEAVAFGEQLK GLGVEVEIKTYEGSTHSLLALSGHLSLGKLLVDDTISKVRHAFE LY89DRAFT_619010 MATPDATSASYQRSGFSQKMGWGTRPALVLVDVCKAYWSEGSPL DTSSNPESVAAPDSMRKLVAAARSAKVPVIWTKVYYDREDMADAGLMYKKAKVLTVWQ KGDTRGFDGYVDGLVPEPQDTIVTKKMPSAFFGTTLAADLHLLNVDTLVICGVSTSGC VRATTLDAMQNGFRPMVVGEACGDRTPEIQRTNLFDLNAKYADVVSEGEAVEKLTAGW S LY89DRAFT_698075 MGCATSVPRFQRRVSKLLRSKQEHHRPRMTQERPDQLVEIKRPK KISSEIEPDESVSDEGYAESTSMSYNGRIYAAYGKHKPWMPVDDAEMDRNDFQHCKFT LLRKNELHLAPIKRDPYKILDLDTSCTHLLLPNVQFEIDDVLCPLSSDDGTHIPSSAF EEMAQICFDMGDRIGASGKAPFSRKTQLEEAGSENVVEKGPRMKKIGAFELTHFRDGI RGDPLYFEILLARAKAEVSNRRMHTYLLFYVVYSQRPLETQV LY89DRAFT_719795 MAAPAPMIPRKDELQTLIAELTNVAADYSASPDLQGYVSRVQVI AKLKDITKSLITVDQLPNYHGLNMAELIALRTFIKLKVFVAIPESGSISLADLSKATG AQESLLERMARILVATGFLDQTRPDGGDYKHTKFSLSYIVTPAPGPAKLFLSMYDEWF KNMHNFDDYLAAHDLLSHATEPDNHLHNPYTWTHKQEGTPVWTIMAQDPEKFATFQVA MSGLDAAIPVIGHFPFSSLATHESKVQLVDVGGGHGAVLKQILSVHPDLDPKQVVLQD RPEVLELARSNGLSVDVQLQAHDFMTEQPVKGARAYFMRMILHDYADPMCVEILGRLA EAMDAESRLLVCEMVIPQWVGELDFPAAVLDQCVLAMGGKERTEAGFKALFEAVGIEL VQVWRVPGVPGACVEGRLKGSYLGSQS LY89DRAFT_783384 MDPKHDLEKAQQKGSESSSDVVVVETGDSNKKLLLWRWLAKWGV EVRGIDPVPVDERIKTNYHTIFFMWMAILCNLLPIVTGMAGTLSYGLSVRDTSLVIIF FNMLCCILTAFLGTLGPRTGMRQVIQARYSFGPYCVSIVAILNLISVVGFTVIAIIIS GQTLSAVSDGKMTITVGIVLTGLLGMVVSFCGYSVLHLFNKYSWAITIISIVIAVGCG GHHFSDPVPTEPAAASTILSFGCLVAGFTLPFAGIMSDFAVYYSPEAPTKRMFWYVYV GQNLPTILLMILGAAIGAVVPNVESWNDGYNSYSAGGVLEAMLRPAQGFGKFIAVLLA FSLIGNVAASMYTISLNFQALATPLARVPRSIYTIITTAIMIPVGIKAAESFFNSLEN FLGIVSYWPGAFGAIVTLEHFYFRKGDASTYDQAIWKDRSRLPPGIAAISAGILSFGI IVPCMDTVWFVGPIAQTTGDIGFETGFVVTALLYVPFRTLERHIFGR LY89DRAFT_719797 MPTSIVPSSLPGGTARGHYATIPSPQDPYHYQVGFNNRFASEAL PGTLPLGRNTPQRCKYDLFSEQLNGTAFISSRATLQHAWFYRIRPSVVHRPLMKLEKY ADLEGNFSSANPKVEYAPFGNVWNDFSLPEESAKVDFVQGLKTVCGHGEATSREGLAI HVYLANTSMTNKAFCNNDGDMMIVPQQGRLDIQTEFGRMMVRPGEIAVIQAGIRFRVS LPDGPIRGYIQEIFGSHYELPELGPIGSNGMALPRDFETPVASFDIDISSWQIVYKLA GQLYTCTQDHTPFDVVAWHGNYAPYKYCLERFINSATVDREQSDPSIYCVLLAKSKVP GVNLTEFLVFTEKWAVTNDTFRPPYYHRNVNTEIMGMVYGEWYGTSHPLEPGGLSYEP ALMPHGESIEKWREATTAKLEAQRVSKDSIAFMFHIHPHVAVTEYALKRCPNMYVAPV DMWDNIQGGFLDHLERIDEDLKAAGLPALGLESSLKTNGKHVKGEGEEETTTNGVKIA VENGPK LY89DRAFT_783386 MLPISQLQNHPSVLISLVFLLGGFLIWTIRSKKRNACPLPPGPP AEPFIGHFRLIPERNPEHKYIEWGKKYNSDILYLNVLGRPIIVINSTKVAHELLDKRG ANYADRPRFVLFEVMGWGITLTFLRWGPQFKLHRKLLQQSFTPTACKPYRAIQEEEAR RACRQILSHPRDWETLSRCFSSGIVMRIGFGVKIEDKDDPYIQMAINAETATGNGGTP AGTIVDFFPLLRHFPAWLVGSDALQHARDSKSAIQTLHDAPWAATEPEIKSGKAKDTS FMRIHYERFFKNLEEGKGNEMTLADIKGAAGAISIAGGNTTWSTIVVCILYLILYPDV QKKARAEIDAVTGGDRLPTFDDRPSMTYLEYVIEETTRCVPLSPLGVPHAVLEDDIYE GMLIPKGSVVYANAQAMTHDERVYSNPNIFNPDRYAAKEKGGLGEPLPEGPFGFGRRV CPGRHLALAGVYIFMAYMLATFELKPITGPDGKEIPPEIRLTNGLSSRPEPFHCSIVP RSEKVKRFYDDNEKQLV LY89DRAFT_553203 SLLPPHNEVVEAVKVFGTSFFQLGFIPKALFLEQLGNSRSSINV FYLLGILSVSARFTPSLIARYGDGLKAAEVFMDRAAALVMEEMYTPTLDRIQGFFLLA IAEWGKGEKTSSNIHMGIAVRMAGILRLHREETFDLPENYTAEDVINAEMARRTFWML ESQDNLHSGYTIPVSFSLSDITTLLPCEERDFAFGIVPRERSALAGTLAATRKPDIVH TSSRSLFATLIQAHNLWGQVARRAVTSGDRQAGELFNPWDSRSDYATLSISLQIWEEH LPAQHRWSIWNFRGYKAEGLDLAYLAVVMVLRLSNIILRRTFIDSIIHTDQNNGAPDD FWLNMSTKLFENVLVLHEQIDAFFSLRSPQQGFPAMIVFCVYVCGSLANHLWRRPEIC PRLAPGMGDIVSKSLEVLTDLQHAWPLARNWRLALDQRASMI LY89DRAFT_698080 MSLLCGTPANLAFPAKLAFRTFGDASNPAILLPTCFNGKIPETL SFLYSNEDSSDAVLSPSKYFIIISGLLGGGESSSPSNTPEPFHGPNLPRTTYEDNVRF QHALCQKLGVRKLFAYIGFSMGGQQAYHMSTLFPDFVQNMICLAGSARTSWHSQVVLQ TLSQALLSSPDFRDGQYTEPPMQGLKAMDRIFATWAFSSAWYRQRRWEAAGYGNLEEY LDDWWSGDGEANDLLAMLWTWQNGDISVYYPEDGGDLEKTLGRIKARCLVMPSSTDQF CAIKDSEEEVKSLKYREFRCVQSVYGHLAGGGMGTKEDTDFLVQEIRRFLAAGKTNDD LY89DRAFT_719801 MASQPLDDTIMEAVIGTELEMSPMPTSKTLGDTKQMDPFLVTFD EPYDAENPLDWSTSRKWSVTDVMSATGFNRIMVSTIMAPALSTIALELNMNSAESAMA LSIYLLATAFGPLFIGPLSEIYGRQVVLHGSGIWFLIWNIACGFANSKQLLIASRFLA GFGASSIYALAGGVLGDIWRPEQRGRSLGIYLLIPILGACVGPIIGGFMAARTTWRWM FWSTSIFQAIMIVMSFFIFPETYGPLILHRRAKRLRRQTGNQQYYTDNERCDGDRSLL GVLGRALTRPFRLLAFHPIIQVTAVLKGFNYGILYIVLSTFSTLWTSHYHQSVEISGL HYIAISLGEMAGSHIGGPLIDYLYKRRLAKNATSGNDTFTPEFRIPLMFPGVIIGSLG LLLYGWTAQYHVHWAVVDIGIFIVCFGMQLGTIAGTAYIIDSYPGHTSSAMAASQFIS SLAAFLFPLFAPRMYQVLGYGWGNTAMGFAGLLLGIPPPLFLWKYGEKLRAKARSTY LY89DRAFT_698082 MSQKLIHPTEVAKHVTEDSCWVALYGDVYDVTAFLQEHPGGSRA ILRLAGRDATADYDPIHPPGILEETMKPIGKLEVDTKASSLQQRNDIDPKVEEVVDLH DLLNLDEIEALATKKMSKKAWAYFYSAGDDLISKKLNNSVYRSILFRPRIFVDCRKCD TSTSLLGHRVGTPLYVSPTATARLAHPTGEKGIAEGISSFGALQIMSNYASLTPEQIV ADSAPGQIFGWQLYVYKDRTRSEKEIAKINSMRNKIKFICLTLDSPVMGKREDDERHG NAILTREADVDPKSVKRKKVIINSFPGPALDLTWKSTLEWLSKHTDLPIVLKGLQTYE DAYLAMKCASVKAVVISNHGGRSLDTASPAVHTLLEIRKYCPEVFDRMEVWVDGGIKR GTDIVKALCLGAKAVGVGRATLFGLGAGGVAGVERVYEILQAEIETCMRLLGVENINQ LGPQYINSRAVERDIFDGDSSVANLDSWVKSNL LY89DRAFT_106099 MISLSFCEEDYAVTQYIAEFINTLSNIAYIYCALYYPSGTKSRR KLDSMSMALIMVGITSTLYHATLRHSMMLGDDFSMWLIVACILRPLYCRGQSPAVASF ITAVIALACCVMTIIYLHSGSILIHTGFFAFLLQLIWPRTLFLIYGAGNRSQMEKKKL LRRFLKAAVTLIVAFAAWDIDLERCPQLREIRKSIGLPCAWALELHGWWHVLTAVGAA EYITLVRILCDQSFR LY89DRAFT_735322 MARKSLLFGFAIAKSVRAADVGYYISSSCIDPSGFSSCYSNANS SYTDCVNSNCENQSIDCINACECVRSTDYIDCAASSCWNQVYSCEYQLTVSDFINFCV NPDLTTIPYWPPPDNAPIGCSCPIGKVQLSILSDVAHLDMCTNNQTNLDELLSEDDIA NYVDACTCCYQSGILSAIYDICPSTLPSLLGADTYFDSLFTDTDWESCSVYLKAYNCT SELGFSSPAGNMTDYYGPGDFPGNGTLDLFDTKGEGEMRTPASGSVFTWTANGVARTV SAVGVTGSVIGGASATAAETGSGTTTLAASTPSDTSAASVFEVGLWRLFVVSAAMLVF LY89DRAFT_750479 MASPVALTDADKEHFLTHGYIHLTNCFTKEQAAEVTKDVWTRLG MSPDDKSTWTKDQINMPPHWNFDSAAFAPKAWAAICELCGGEERINEKSREWRDSFIV NLGTREGKPVPPKELKRWHVDGDFFVHYLDSPEQGLLVVPLFTDIEPNAGGTMICPEA IPKIAKYLYDHPDGVSPHLTTRAEPNFSVVDYRHIVNRYNGLVQDCEDFVEVTGKIGD VYLLHPLMMHSASHNALRNVRIITNPPVSLKEPHCFDRPDGKYSLVEQKTIQSVGGQD SLKGWKITMPRESIVPEMWKNKDAMKKEEVRRMEEARKVSARG LY89DRAFT_106137 MNMLKSTIVGNASSRYPDSDMSKSESHRSRSISSKSSSRTTLSS RSPSLERDDQIGGVSLQTPELPIEQQIQHMSHHIVPDDDSRGIQYFDTHDSLECVNPL SDRPYLLIPDSEVGASTLQEQNPPTCTVIDVYHSPDDPDEVDRVSTTSHSTNNTPSRT SQDAESSIMDLDDPSTEGDKSSDSKSLSETSDLSEKGMRPSRRTRLERLIKDDVLRSS PPEIAEYVYQAVKGKLECASLDDIPQRDANGELSLLELIELQALTSVTSSPKGFQETY SCPSKIGPQGMINASPTIISHSPSSSTSTSGITPLAPGNSQTEGASSSLGGLSHESPT SFQKSDKGSDPQNKAQKSNGKSAPHSNLQQQLRCPFNAMKPAIFCANPDTKQKYRVCA GPGWNTVSHLKLTIRKKRTCPMPCNVVVARTNF LY89DRAFT_783392 MSMLVLIANGTEGIALDATYCTIGSGSSELYSDDNFSTILNIDT VFGKLSFGMAKFIDLTWDIGFSRCGQAMLAWIAYRVHTAALFRIMETQSVSYELFISL SLSLPSLVCLGSTTKSLFAKLGFRNRLALFWIVLSIIWVALWPTITNAMTGYVAESNT LVKLKTGEGYVDISNITSPSNLAFQVNYLNRTLVSIVLETGPNITLWNYFNQIYRAED FYEPIYYQDVVAYPVYIFQNQSYNPQMWFNIPENVQCVATGVYQWGFSSSIVFAFTII NFLWFLGTYAVWTLMNRKSELCRKGRRLGQYRAMLDVVECIQEDLGMNICAYSEQELQ EELKKKGGIKYYVEYGGDDSSHIGITSAKDKDPLRPRFGETYGQLRIRKSRNLET LY89DRAFT_719805 MAPYFGLTGGWLTFWVTVACATDMTLFGYDQGVFGGVIVTQDFL DTLNLNGKTTLIGTVTALYDIGCFLGAISAVALGDLLGRKKTILLGTSIMTIGAIMQI AAFNVPVMIAGRVIAGIGNGLNTSTAPVWQSETSKASWRGKLVVIEMILNIAGFSLSN WMTYGFSFVGGPISWRFPLAFQLIFILILFATVPWLPESPRWLMAHGNVDQARQILAD IEGRNVNDDLVTQDVNDIQFAVNYEKEHGVSWGDLLRGRAGDQAGTSTIRRLVLGMGT QIMQQLSGINVTSYYLPTVLISSVGLSNSLARLLAACNSVSYLFFSLIGVPNVERWGR RNLMMYAAAGQAFCYVFITVLIRYAEMPGYEHQKEVASASVAFFFLYYVFFGIGWQGV PWLYPTEINSLSMRTKGAALGTAANWIFNFMVVEITPIGIESLGWQFYIIWTVFNAAF VPIVYLFYPETADRTLEDIDRLFRENGDIFIFKDPNAISAKRPLAYSEREDFDARMDP DGYSLRGVVSKHGSGKDNPVGNEGVMVDHEEEAPYGRRWS LY89DRAFT_698086 MGFTRAVEDRPTPKEVYNYRIYLLTFSCCLGSWMFGYNNGVIAG VLVLPAFVGDFHLPDSGTSSYNNIIENIVSLLQIGGLVGAMSTFPCMKHYGRRIALAC AAVVFLLGALLQTVSYGNLSMMYAGRFITGLGAGSVTVTVPLYIAELSPPSIRGSLVG IYEINNQLSSLMGYWCNYIVNEYIPGTASRQWQIPLAMQIIPSALLIIASLLILPESP RFLVKKGKESQARKVLSFVRHLEPEHEYINLEMEEILEAIQRQDTPIPVPGQEKSRLG LFRELWWKGNRNRVLIGLGLMFGQNLTGINGMNFYTPEIFRSIGFSGTNIDLIATGMY ALVKTVATILALVVFIDRAGRRKLLIASSIGTSLALWYIGGFVTAKHIDLTQAQEKSV PGWVAIVCVYIYAASFSIAWNGVVWVYCAEIFPSRIKELAMCLIVASQWLSQFAVARA SPSMLSALHGGFFFFFATCITIMGTGVFFLLPETKGRTLERMDEIFGTARERGITSKQ AGDVKASTEKGNVEGPVRTDGMEIAEVRPSGEV LY89DRAFT_783395 MSIIQQVSNATLNDNWRTINIDALDPESSSNFDTSTLHPAFAPV SDSEARNIGQQVRQLLRGGDAEGALRGALESAPYGGDVAVKEIHLQTVTEVLQSIKAS EMTPMLQRIFQSEGGSEALDVLMKYLYKGMAASSTSKTPTRGSTLTPQSTGFSQMGGR PGAGNESSGAAMSVLLSWHEKVVEVAGLGSVGRCMTDWRKV LY89DRAFT_106243 MPPLKSIDLPPYKEGFGTIFLKSQFCGKPKWAPKTTDLSGKVAI ITGANTGLGFHSSRQLLSFKLAHLIVAVRSVTKGEEAASKLRKQYPKAKIEVWELEMG SYESIQSFARRAAALPRLDIAILNAGLARMEFVTNAKTGHEEVMQINYLSTMLLAILL LPTLKAKSTAGRLTIVNSGTSYRATFLNRNEIPLLPSFDKVEGFVPDQRYACSKLLGH LFFVRLVDYISADDVVVNLVDPGLCKGSGLNREAQGVFGVVVAIGERLLGRNLEVGAS TYVDAAILKGQESHGCFVMDWDIKPFANMVYESEGQPVIDRLWDETLKELDFAGVRGI LEDMRNRK LY89DRAFT_106229 MVHIGIKKSPPKLCAEDNPFIVSTSSDRTNSAARRLIRSHVMRG KNRRKSPSSSMKTGAWLNSGTCSDEELVSAQRECILSGPGLGFFGSGLRLIPFADDMQ PYMLDLVFKFFTILMQAMYPIQLCLSVDSRNTVWMEYLQTDTMFLHSQLWLAQTYFDW TQNRPPSRRALLHESKTLHLLRHRVTDPSTATSDQTISVVVTLVNMTALSGHRELARK HMAGLSKMVSVRGGLRALRENTQLQLKVCRADLSTALSTDIPPFLFTAPQISWSPFLP SSPSPLAPPLPNLDAKLLAIYHDLHTFSQSANLAFQSASKLPPELFQEILISTQYRLM LLSFPADGSALELLRLGMLAFSTSVFLQARGIRVRYEALAGWLRDAAAAREEEGRGAD LGIWILFMAGISGGEEEDGWILPMLKTEMGNREMREWGVVRALLKRYPWVDALHDEVG KRIFGRVEVVDTA LY89DRAFT_106297 MRSCSCFFLLAAGPSLTFPEISVLQPNPERNPTRPPHNTVTAHH RRQLFHFDESGPTPSRRDANTFRGILVISYYSTILTPPLLLLLPTPPSIQLRRDVTES CAIFPSNTRH LY89DRAFT_719810 MPTRLFTLSTLVDRSKPHTSRSLVGLFIQQGLGISSPHFLAGTH PTHLFKQSKLVSATVPLLFSRISAHRYLDTKEKMRDSATKLCEACVKIDPRKIVRLDL KKLGKSLEKGILIAKLGSRVSSPPTNGCDLCMFFYQNRYEEEDYEEEQTSSEFDEEYR GRY LY89DRAFT_735333 MPGVFPPKGKTPEFATPAKPASSTRSSSSKSNKVRSPSLNPPDE FPLGRPPNPLTPKKHKKIRNPDYKDRLDQFVACYHIVWTERAGEGVQEGYSGATFEFR KDERKELFGRYTTNYKVTFQFGVHEATFSCVATTKEEEMFSNFKFISANYPLEVHMKE FGLDYTAFKFKFEEDTLDDEGFPFLAWHTDCAGYLMTKRSEFGWAKRMCDRPLSTEES ERLKNVPHNSVTGDWDE LY89DRAFT_708143 MASSGGGFLSRGSSGNNANMRGLVQFIADLRNARARELEEKRIN KELANIRQKFKDGNLSGYHKKKYVCKLLYIYILGWNVDFGHLEAVNLISANKYSEKQI GYLAMTLFLHEQHELLHLVVNSIRKDLTDHNELFNCLALHAIANVGGREMGEALSGEV HRLLISPTSKAFVKKKAALTLLRLYRKHPDIVQPQWAERIISLMDDADMGVALSVTSL VMALAQDQPDQYKGSYVKAASRMKRILVDGEYAQDYLYYKVPCPWIQVKLLRLLQYYP PSDDLHVRELIRESLQKILNLALEMPKNVQQNNAQNAVLFEAINLIIHLDTEQALMKQ ISHRLGKFIQSRETNVRYLGLEAMTHLAARAETLDPIKQHQTIIIGSLKDRDISVRRK GLDLLYSMCDQTNAQPIVGELLQYLQNADFAIREEMVLKIAILTEKYATDIQWYVDIS LRLIAMAGDHVSDEVWHRVIQIVTNNEELQVYAAQNILQYVKADHCHETLVKIGGYIL GEFGHLIAEDKGCSPIEQFLALQGKLQGCSSGTRAIILSCFIKFVNLFPEIKSRLMFV FRAYSHTLDSELQQRACEYLALASLPTDDLLRTVCDEMPPFPERQSALLSRLHQKHAN TSDKRTWVVGGKDANANEKDFSLAKNPGLKRAFSNANGTTTNGSNGTVHGTATNGTNG HAKVANDLDGLDMTDAGPSEPKTLKAPNLASAAHLSPGWEVGFNRLMLKGEGILYEDG QIQVGVRSEYRGQAACVVLYTSNKAPATITSFTTTMDLDPAEKDNLSYEVRDLPDSSI DPGAQAQQMIMFEAKKVFDKSPTMRISYLAGALQAVTIKLPVMIHKFMDPAELSADDF FKRWKQIGGAPREAQRIFGVKGGKGGDYEFNDSFIRKTVEGFRWGILDGVDPNKKNFV GASVLHTSEGGKFGCLLRLEPNYTTQMIRLTLRATDESVPPVLIKMMEDRLAVGVSTL PEVFEAPTRRDISDAFSNVLVT LY89DRAFT_648410 MKPAKLRPFLPRPAIRQRICLSRSYAVQAPGTPTLQVFNRNTKY LQKERAAANVERSRKVDYLKDEVAMRLSERLLDIDRHFNHVLDLGANSCNIARALTLP DPDPDPSKTSSPPLSSRLSHLTAAESSRGMLYRDADLPFNKDINLTREVLDDEERLPF EANTFDAVLSSLSMHWINDLPSLLAQINNVLKPDAPFMGAMFGGDTLYELRTSLQLAD MERRGGVSPHVSPLADVRDMGGLLQKAGFKMLTIDVDDIIVDYPDTLALMQDLQAMGE SNAILGREAGAIKRDVLLANEGIYREFYGNEDGSIPATFRMIYMIGWKEGPNQAKPLP RGSGQINITDILGGGEVK LY89DRAFT_735336 MDNNGTVTTTSNKETKLDEESQDIPWSSLQEQYDYDPSPAVLGQ RPNKFERLDRRPQEGYHPVSFSEPSELEKHDMTELEPGDIARWVNAPRSITSTALSIK EQQADKRQSGHLERSRTQLSTAVAFPPHESEFIDLLEKHLKAQAKTILCRREKVDSGA VAFLKEFKDDTRQAAVHINGHFRNRTCSLFLMGNVLRNLHPGLPLYLPSLCDPDTAKK EKYPSRQAFDRGDETGKHQRRVYLIRAVRKELIEPVTLLLSYLAPSTSTSTQPLLVRY RYTVFHYVGSDRSAKGGMLLRAVEAAAPEYCRGNRTELHKELSNLNTTFKVYILADWD SIRSELSKESDFDEILIAEAICQTILRTGCLFRQSGNRGEKMTGFFKHLQLEAGDDEP LPNNNGLNVQSALESLRYPDRRNTSFST LY89DRAFT_587961 MGHRQLSTAQSINSTRGRTQSIHSIPPVYTEKEKNRRKREQEEE KKSVSIDEHLLPHQDVAARYKTNINVASPGESQGLTSAQSEQLLLEHGPNILTPPKKT HPFIKYLQYLSSLFNLLLILAGVLEYILLGISFKANFENTYLGAILIAVAFINAFIEF YQQQKSQALLESFLNMIPAKCMAVRDGKLAQHEAVSLVPGDVVFVRMGDKTPADILVF SASDCKVDNSSLTGESEPQERMKDNDMRNPLEATNLMFNSTLCVSGEAYGIVIRTGDS TVLGQIANLTSGEEKMTSPLTHEIGNFVKIIATLAILTALIFFGVAFPVNDDNISLAL NFAIGIFVAWVPEGLPATVTMLLTIAAKRMASQNVLVKDLQGVETLGAITLLATDKTG TLTRNQMTTTNVWTCGELYEATQRAGNEKTVAKLDKPGVPDLLHICALCCRVKFDRTD IPMKERQILGDATESGLIRYAADSLGNFDSLAIKYPKVFEIPFNSETKMHISIHKKAH ANGPLTLYIKGAPERVWKLCNRFLIGSDGESVPLTAEHKQRYDETYEHMASQGHRVLG FAELLLPGDQYPEDFVFDKKTKNYPQGDFTFVGLASLQDPPKHGVREAIGRCRAAGIK VIMVTGDHPLTAEAIGRKINLMLGETKAMVAKRTDRTIEEVREDEYDAIVIHGEMIDN LTEAEWDNILWKPEIIFARTSPKHKLEIVRRAQSMGHIVGVTGDGVNDAPALKKADLG IAMNLSGSDVSKEAAAMILLDDNFASIIRGIEEGRLIFVNLKKSIKYTVSHITPEIIP NLLYVIVPIPLPLSAILILVIDLGFELIAALSFAWDPPETEDGLMQLPPRKPVTPETA NIFRRRALRRTQSRYDEEAGVVIAPENLTKWQKLHYKVRTWFSKAYWLDRFENTGAEV LVDGNVLSWAYLEIGMLEAIGSTVAFFVVMNSRGITPYDAHIMQKGQGAPTNYWTKKA LPYKSIDGPTQYDILAEAQSMYYWSIMTMQMFNLFACKTRLTLPFGKYMFSNHATFYC ILAGSSLAAFIIYTPGVEVVFGTSRHLLPLYWLVPMGFGVVLISYAAIRMIISRKMRP IKWNPEVSGLQMFPTIHTFRSLSVRRESRDFRPLDE LY89DRAFT_708146 MATEPKFHETPEWEAPAATPKKDILSKLNLFATSSHTPSTPKET PAETSGVETGSVKRTFSDRWLPHWLSCMGRSRKNFFCSIAALILLLGLILGLALGLGL SHKSKKSQNLPLPSDTGIFTGDLTYYSPGPGYGACGYENTADDSICAVSHLLWDAVST SSNPNDNPLCGKKIRITRYDSTVGGNRSVDVEVVDRCVGCLATDLDLSLKMFTSLAEE AEGRVVGSWAWLN LY89DRAFT_750502 MSRKRFNREGFLVLDDGKTFLPIQRSPYDPTPSTQSDVNFFISE LSALDFEALGVDEVNKMTRKLEKEILVIPLGSIGLIDYYIYCDIFLPGSLNGQKNSEG RAHVRESLRNLKFFKLNGNPVHSSVLVLPLGRLYASHHHHQHFLQSHWVIVLDKTQQL WALYADGIDNEDAVKETNGRPYRYEEAWDTAFGADRADGEKVVLLGSLKDIPFKEGGR LNQVKVQGRSEWSTTLSNFDLRKPMLSPNIVESHLRRDIRLISNPGNSKTKARNIFEL EHEYGYLNFCDDVQRLNEPKGLIVGRTLMDAAWCRLVVQFFKASIVGRTALGIRKCVK SFHDAIMQGHGISAQKYWYRQANDYPGLNISEGSSNYWDCGHRGMMWWNSRPGSSDIS VRHNAPLQKFLVAYVMKDDATENEEPMSLAEAEEYGLVKLINE LY89DRAFT_648419 MESYSTLNHARLLDTNRSVCEIQFSQILSFIHASPFDPPEHIRQ FYSSMRNRRRQHDKFLLHALHESPSLKTFGTEPTSSQIIVSSPFRTRHLVRDFAADVL DILDGARTPVVWILHKPSSDISEQKLITRIEVVKQLVYQILQKNHTMLDERSFSLSAV RFQSARTENEWFALLGSVLAGFKQIYIVVDTALLGEIEEERLTTTWLKRFRELFAELR NRPNSTVVKVLFINYKPTFTQGLAAEY LY89DRAFT_708147 MAAEKPPGDDFVLFLPPSVYGFNMLDKKWVNLLVDNMVPILWNK DAFESFALDEETKVLITALVTNKITTNMLVDIMSGKGNGLIVLLHGGLGIGKTLTAEN VAEIVEKPLYRVTCGDIGTNAENVEKSVLTQTVVLLDEAEVFLQERSLEDLQRNALVS DSHHPQPNTIHHLPGILILTSNRVGTFDEAFKSRIQLALRYEPFNKFQRRKVWENFIK KIEEFEADTIESGDLCRNIDQLAAFDMNGRQIRNAMTTARQLAKYQEQTLDFKVLRHV INVSGKFDNYLKNLNKKLSDENLAREEPLR LY89DRAFT_735344 MVATHGPSFIAALHIGDLNAELKKRGKQLGRGSGVSGWKNNFDE ATGKLYWENLGKWVQEGKILIPKFRIIEGLNADLVYEALDSYKGQKSVLRAVVHP LY89DRAFT_550444 MTNMQKALFIKEIGKPLTLDTRPISSPKEGEVLIKVNATQVLPH DTASRDRGLFVQGHLPAIPGHNIAGIVQELGQNVTEYSIGQHIYGQGEAVAVIPDSSG LQEYATLPINMSAPVPEGFTDDQMATLPINATTTFCALFLQNWLGFPAPFSTEAKTFD YGKEKLVIVGGGTNCGKLAVQLAKIAGIGTIVSIASLSGEQNLRAMGATHVVDRHSDD LY89DRAFT_698094 MSSKNPVDTFDIIIVGGGTAGSVLAARLSSNPSLRILVLEAGQN RNEDPNVRVPGFSKYLLGNPSYDWQFQTSPEEGLNGRVIQQPRGKLWGGSSAINSHAL VYPSRGYHNAWSSLLGRGAAKSAERWDWEGIKRYYRKFQTLQEPSEDVKRELSIEVSE WGVGDDAGKPQSQKNENGHEGVRASFPVTPHVLQKAWVDAIHDLGFSTSKNPLDGDLV GGSTTTNAIDSSKRERSHAGVAFLEPSTKRENLVVRSNVFVEKVMFDENEKNGNLVAS GVLYRPETSQQDVTVYARQEVIICAGTYGSPKILELSGIGDREIMAAVGINCLRNLPG VGENLQDHLNFGPSVEVHDIIMTADVTARDATAAEAAKTLYEAEKKGSAAEGAAYSFS YIPLQTLSSSDEVRELDALVTKTLEENSSAISKGYNAQYEIIQRLIQDPNESTGTVFM TRKQRSFPSSGPVPGNYMTIIAMLSYPFSRGSSHITSTKSSTPPEIKFNYLQHPLDAE IFSRHVVQIGQMLTQPSLSAQLKPGGNTLPTGYSRQRIDIDDIKRYLPQYAATNYHPA GTCAMMGEELGGVVDEALKVYGTANVRVCDASVIPIVPRGNILSTVYAVAEKGADIIL RAEL LY89DRAFT_783409 MALADEVFCDMKATVRYCDEPIQKDMIKLDVTGSAKKLPVLRSF YTNKENKAWSYASCHTQLIRLGYRMGFEKSATSYAIRLQCANLLCATVAPAQAGKILG QKGPSVLQKSYASTISGIDVQSLYQKKPMHTSDIAKMTRLKFSNNLRKGAPYRLLRKE RLAALQTEEVQDLSRRRERGTVSKQQLDVAKARAKREYIAKLRQDYFERSSNEYAELA LDDLGPCRARVVELMYCHCYHMTFGHVLD LY89DRAFT_587813 MARLIKMHLARLIVLSAATYQIAAALEGFFWPKIFWDFMTDNLN GLVKPVPALQMINLLFGIGIIVLELPLGVIANTWLQRSVRFRVFILILSSFASVLLYQ GTDPAIYHLIGASLYLWAHYTGEKMSFLAENVTEDTS LY89DRAFT_783410 MSQRQAAALAEENRIRDEHQAAITARRAAADTTGHAETNGYRGP SVESESDEYDLAEESAVVPVVLSVIGSNSQQPSGHRAPPAPPAPPASPTPPPAYVPSA PPLELPIVSVPTPTHNPAQAAQQSTTSPSAPIISPVPPIAPPTVGGATLAPQHSLLFT PNDWSSNGSRIAMPPNRSPDLMSLDGLSIDGSWNTHPAVADVRLAIRDEPVRAPFKRA LRTRGNSFLLS LY89DRAFT_719820 MSDDLSFRERFKRVIGRGKKDSAKSEAQDDSPKYCAVSSTNSTQ HAVLRPSEHAGPSTITVPPALPSIPKSSTPLPARLRTPLDTTSNISLNNTGVKVAVVI SETAIADQPADITDDSARDCSLWDTAYDALKKSLIESPRMRIFCLECLPELTRDIIAQ AKSTASHEPEDAGEVTNQIPQHDAIARREKLKQITELGLKHMEDKKVSATLLGHEIVL QDVVANVAGAVEWTEDYIKDAVKDLPYASIVVAGVSLILPLLKNPSAAEAANQDGFTY VTSQMRYYVAIESLLLPEDMKSDLRANLTDRLVDLYKFIIDFQVQTVLRFYRSRTKNF FRGTINYDGWDKKLQDIKDGDKELVLKFKTAMSATSLDILRTLAEEAEVSRTILYSLL KKQQELVEVNRDQLSVAQNYLGFAKRMDRRMSNAENRACLRDLQTTNPCEDKVRIELD KGGLLRDSYCWVLENADFQGWRDDQQNRLLWIKGDPGKGKTMLLCGIIDELVKAASHT TNVLFFFCQATDTRINNATAVLRGLLYLLVKQQQSLISHIRESYDDSGKQRFEGVNAW VALSKIFTNILEDPRLRSTYLVIDALDECTTGLSLLLNLVVQKSSAHSRVKWIVSSRN WPSIEKDLDTATREVRLRFELNEKSVSAAVTTYIQIKVDWLAKRNKYDDGTRNVVQRY LSSNANGTFLWVALVCQELADISWWKAEEVLMAFPPGLDAFYKQMMDQICNSKDAKLC KSILAVVSSVYQPITLYELVSLVDMPDGVSGDESLTEIIALCGSFLTLRDGTISFVHQ SAKDFLVKEASNDIFPSRIEDVHYTIFLRSLQVMSKTLRRDIYSLRAPGISIDEVKQP DPDPLAAARYSCQYWISHLLDCHTLEDKIRNLQDGGSVYSFLCQSFLYWLEALSLMKN LPDGIVMINRLENVQVCFFVLVHHVIKAINVNKFDDNPNLHAFIHDAWWFTVYNRSIV EQAPLQLYCSALIFAPEKSIIRETFENHIPPWIQRKPRVQAYWNAALQTLEGHSKSVW SVAFSPDGKQVVSSSGDKTIRLWDAATGAVLQTLEGHSQSVNSVPFSPDGKLLPILQN RHLPSSFFYKI LY89DRAFT_783412 MDSDSDTSTLIPNFFHKALPLSPRTRSPVLIENSDLRKRNKLLE EVIEVLYKQNLQYATILNSYKSFEKEAKKSAAHVAKASGDNVDYYYVVAYRQYYSKMR TLEREAKSDWEDYCEDKPHGLGAVVDQFLDEIKERLVDEGTEEIIIGFSE LY89DRAFT_670719 MSQQKRRFDLPTVEELRDEEDFLNKGWDDFAHALSLPLGPQSTS HPTQLVLDRRENKPDDQPALTLADIIGSTMAISEMQVAAVLKQVSQPTSSHHCIDWLT GDGAPDHPGTTRVGRKGSRVWGIESIQHLPQHRWRNSPCCFRSTPPTGQNIHRKLEFR LRGTGPPGQPYADKSSATAWSDAE LY89DRAFT_670720 MPTPPVEARTSAWMGAVDNSSQASFGNNSPRINSPKANIQNQKN EDKSTNQGFADDSGDQSTAQSGEEGSSTADHLSTASTAVEESPVELQQLVQNVKDLLA QGLLFLNGAERQKKEYAKLRDEMKKHNEVAAEWTSGMQDLSSNFDRHVRQCKKHFDMD TDGGSASGSSESESETISSERGNRQSARDTRRAADAEKRRRGNRAAPGGKGNSKGNKW DTRLKIRDVV LY89DRAFT_670721 MGLQVAEFTIPNEVPNGDAFVFWKCAGQSPMCNQATMSGGTEDV ENIVNELNGTIECLNPIATQTSISTSIGSSTTAFATLLSTLFTTSSTSISAGAVTIAI IPANKTTSNPPVALTSAVSTLATSVSSAGPGTFRVTQSSIAGQSASSITAVGSAGAPS GQSLSSVASSVTSSVVSVIYQTITTTMTAPCTTTAVAR LY89DRAFT_783413 MATAQSWQPRQPTTPYPLDAYSALPSLHFLPNNGDSQLHPANPT PGFEPETLQKLFAEELRVQASISMCFRLERLLGAELQETFVLLETAEAGNTNKERGLD EAKKEIEHLNSMLEAAFDHKQAPVEPSSQSEESSDDGALAVLPMMPLKRKVDQLPGPS PKRPLISAVC LY89DRAFT_735355 MRLLQCTRDSGFRLTPDMDEDEIAVHPYAILSHTWGAEGEEVTF EDLASGAGERKPGYKKIEFCGKQAEQDGLQYFWIDACCINKGNKAEFSQAIQSMFRWY RNAARCYVYLSDVSCSPHRSEEEANLLLWDLEFRQSKWFLRGWTLQELLAPSLVEFFS CQGKKLGDKISLKQQIHEITSIPLLALEGTPLSQFSIHERLRWKGDRETTRDEDGWYS LSGIFYVEIAPAYSEGAASAFRRLKEEIDKQERCVQDVRHTDPRDDKKRIEDTKGGLL ADSYRWVLDNTTFQQWQQDPHNQLLWIKGDPGKGKTMLLCGIIDELHSSMPRTALLSY FFCQETDSRINSATAVLRGLLYMLVNQQPSLVSHVRKKYDHAGKTLFEDANAWVALTE IFVDVLRDPSLNTTYLIIDALDECITNRPKLLEFIAMQSSTSQRVKWIVSSRNWPDIE TQLERAGHKVRLSLELNAKSVAAAVDTFIQRKVDQLAKEKRYKAEMRHAVLQHLTSNA NDTFLWVALVCQDLRKTTKWNVLKKLPLFPPGLDSLYKRMMHQIGESDSAEVCRQVLA SAAILYRPATIPELIVLVEQLEDFVDDLESVREIISLCGSFLTLREDTVYFVHQSAKD FLFAKALDEVFPHGTKAAHQVIFSRSLAILSKTLHRDMYSLKALGFPVKDVKRPDLDP LAASRYPCIYWIDHLHDSKPRSSANNVQYLQVTGIVNEFIKKKYLYWLEGLSLCESVE KGIVSMTKLWSIVQEMRDQDGFTQLVQDARRFVMYHKGAIESYPLQTYASALLFSPIG SLIRQLFQHEEPKGITMKLAMSDNWGACLQTLEGHSDGVHSVAFSHNSAQLASASWDK TIKIWDASSGACLQTLEGHSDG LY89DRAFT_670724 MRLLQYNNDGDFSLIEFNESDIPEYAILSHTWEQDNSKEVTYAE VISGTGQNKDGFKKINFCGEQARQDGLPYFWVDTCCINKQNKAELRHSINSIFRWYRN ASRYYVYLSDVSTRKRKASDQSSEHNWDLSFREKTGKEEDKAYSLLGIFGVSIVPTYG EGVAKAFDWLWNRFREIQKCMQDLRPTDPRLDKQRIEATKGGLLQEAYRWVIETSDFQ QWRTNQHHRLLWIKGDPGKGKTMLLCGIADELQNSLAKSALLSYFFCQATDSRINRAT AVLRGLIYLLVDQQPSLVSHVQASYDEAGKALFEDANA LY89DRAFT_735357 MARSVWLDLRSRKENTVLQEHHEAFKLIRQELTSPDSQTPQMIS LIGGKVKTSLMQDIFAIKCIPGHNKIHLRLAPPHDKTRSPILLADCELHRASHIGKDL RIRVPGYEDQHILQWHNVPEELDASTLAKILYTQVVIPFSTIVCLFADDFGGTKGVAQ ILAYWLLTEWQKGGKGKRFMTLPPGTYPRVIILQRWQDAGATYDEKLATVAFLREVHQ EVNTKRSGQIALRPLDAAGIEDLVKENFGDICLLALPNSAAEVISLHPYLEKLHRRIL HESENVQILRRAAKISFTATHLEAFFSLACKHFANTIEESFCFVKASRIFNPPSVNLA THVSALLKLNETELHIKFAAPVIASAICLDSLTPQMHQFDAQLVFDRHYADALQKFNT TIYIGHR LY89DRAFT_750550 MFENILLHDVMRSSSVETHSHDIDTVRSLQMRAPSVSLSDRVHI THEMDNFTIFSKVTNVMRRDRIKQTILQLPGIIPTIKSFHENLKFLETAAKIIKREIF DNKIPGSLYQAMQSIWRSTRRAIVEVQEGNFRYVPLSSEDGIQHMAYVQVFLSAFRNF PQLSYDGPLKEKGEVIVRGIPNATIRYQFLKRAQLLGFQNAVILKNLDALENALKNTT PEIAVPITPGNVHRANPIIATSRQDHVNDEYSWPIEPQQQVLVPARTVEVELDHQFMN TVQEANDVSRHVFGPPREAASPETTMTVQTQTTWPEGASSPLQGTAFVSPALQDLASQ LGQTAVHVEPVAEDINHQIDNYVEAGSEPADRVELMTQAADPLILYSQTDSDDGMNME EMKGARESAEWHSESTAASSEQNDQSDRFSDKKSRRSYLRPQMKQGTPSKPHITGHRG RRTFLVPEKRIFSALAQKEAPKPFASAMIWTRSNEDRIEASLKTSQRHRSYLEPLKDD VFQNQTRQVVHFIEHNGMKVSMKSTTNIAEYLEQRLVPRNG LY89DRAFT_106800 MLQLIVAFASIALLSLSPVRRFKYELFLKLHLLLSFAIIASLFW HLLPGTARHILYPLIAISLWFLSSIIRLGQLLYHNLGKRITHQQVLITKYHHSPRTLG NSVYRKVGALKLQVNLKRPMTVKPGQYLYLGTNDLQLRHRVQSHPFALMWWEDAFAAV GPDAVPTRARQLTFLIEPRDGMTARLTKENSLSHLILDGPYGQDHRLQRYDTVVLAAS GIGIAAMLGYAKQLIWWASNSAQRRNVVLSSQARLKREKQ LY89DRAFT_686017 MLDHFTIKPGAGFPDHPHRGQETITYLLEGAVDHEDFAGNAGTI KAGDLQFMTAGRGIMHAEMPRQNPDDSANVGMQLWVDLPEKLKKCEPRYRDLRAKEIP SVDVDDGKVHIKVISGQSHGVDSVKELAYTPVWIMDIEIKPGGKVVQNLPEGWNAFAY TLSGSTAFGVGKDKTIVGQYHNVVFEQKGDEVVAEVEEEAKENEHFTLCHVAPLFLYW PSHFSVTCKLQYGNWECGF LY89DRAFT_783417 MFFLLLLVGELPSHPLVLLLFLVRLRGGCLLYLIGESSSASASS YCSVTIPIAIIHDYRQHLRPSVQRKEKCPKQSNSLGRSISPPPVLDAQTPVLIPVISS MDPLTVIGAVGGLIKTAAFLSSTTSRIVSARNKGSHEIVAVKNTVDIIRSVLQQLQIL LLKQGNIDPERASMLFVGDIVAILTSCVLTMSNLEGCIKGLKVDDKLKLIDSIRWNSR MSEVRKYRGELESSKISLNLVLTIMTCQSVQSAEISATELKVLVVRVLEGNHDLSKRM SIMQKSIVGSIYSSENHDTVSLADTTTGLDGTSNDPLPVAQPNLRHPVIRAFEEELNS SWVYQKSRGRRARARPFSMSSSAQLTQTWSVLSGLSLSEISNISVWALPIQREDLVNS EMYWSENEPSPDFDLESPASYRKEPNSVVGSHLPQLPDLLERNPMLEHSDEHEQAPDK MSETSELLHQSETVATTSANEATQGETEDYLVLYVVASLFDFHLDLEKVEAGYTWLSY NAGDVFDVIGEKGELWLAVNQDDSQNKVGWLWSKHCAILPPEDLKLGDAPRRRQIQVH RRRAQGSREHGPVDLPISRVAIDSILKSLTELP LY89DRAFT_588206 MYASALLPIVALFPVALGQLNALAKAKGLKYFGSATDNSELSDT TYVSILSNISQFGQITLGNTQKWVYTEPTQNTFSWTQGDVITSFAEGNGQLLRCHNLV WYNELPSWITSGTWTNATLIAAMKNHIANEVTHYKGQCYAWDVVNEAFNDDGTYRVDV FYTTIGPEYIPIAFETAALYDDTVKFYYNDYNIEYAGAKATAAQNLVSSLKARGIRID GVGLQGHFIVGETPSLASQISNLESFTALGVEVAYTELDIRFSSLPPTTAGLTQQGTD YVNTVMACVETKNCVGVTVWDFTDKYSWIPSTFSGQGDACLWYSDFTLHPAYYSVVTA LGGTATATASTVTSSATTLATSTVTSTSAKATTTSSSGGGVAKWGQCGGTGWTGGTSC VAGTTCTYSNAYYSQCL LY89DRAFT_106818 MSNLHDLDSNDWEHRSRKSPTHVPHERALANSSLAASPSLLQST STALSIPRPEVTKAASAHPDQSSCLLNCRDVFRDSILSYDNDFHKICNILANENGDVI FHDLYICDEGCGVMVGASQDAIVNWIISRCESLGYFNLVDPGPPPTGSNTTTLSPSLS TSVNGLLIQATSSNLQGNGQETATISQTVTPSKHFTSLLSSSFTNSPNALSSRPALAS TSVPLSDSINVYFATTTTTRTSIAPAISTISYTPTLFPSLFSASILGAPSITPTSSSS PLSFTQTPSSRHTSSSPTSTPPPLPKMDINTEVGLILTVIGIVFLFFAVIIVIFVRQR QRTYFRTLITKHRNKEKCDSQIHLAGDSEAGHCSCITRRHRMRTRGIVYPQSSEYSRS TSGRSPSRPPKVPPKDRRYGAYYPQFLFPSQDAEVEELPISPVVVTVDGIRHELHHPA PNRNLMVDEHGIRWPERVELRGEAKEFLDWSRDYDRKDAEKRARDTARREAERKRASE YDLEQGRREKQKRYERKVRGGQIQGGRGRGGFLLESSSGMRILSPANPRVGSHTQSNY ECE LY89DRAFT_783419 MGQQPSIPTDLSRELQVIGAGFSRTGTVSFSMALERLLQGPVCH SGTAVLMREEEYIKSWIKIQQPGASQEVINENLRSLTAGYVATTDTPATFFTEELTKL YPNAIVICTTRERAAWWKSAQALTKNINLWWLDAMLWPMPTLRYFAKWRDSCGDRIEI AYQRPKEDMDGPQLLDIHEDYVRRVVPPERLFFFNVKEGWGPLCKILNCPVPDEPFPR ANDAGAMQEFFEGVVKKALLKWAQMFGVVGVGIGVGVWCLKHWRGVCCEALE LY89DRAFT_735363 MVAGIEAETSSMHTIPIILDRMPQQQKAKTQNDDWTGQSNQAER RRRQNRLNQRAYSESDLMFGVSPRTDQGIAGKRKEAESQQVPPIEHVKGCAWHVAHNE VNSTPGKEISKLRQYPVNYDPLTGPWQSFSAAIMSAEPQIWALAQVALHLKDDYEKSD RSINQFRKWVERDHLTSSPTSDHVLTLIKFNVFRALVHNAITLNLSVESTLEDNALSP FASKSKSENPFMPLLPAVLQPTLIQRQIPHHPWLDLLPVPRMRDNIILAGEDYDGFDL CKDLCGLFN LY89DRAFT_686023 MSSRYAEIHKNPKGPGDARPTALQILKDQNLGSRLQGKTILITG CSSGIGVETAKALHTTGAILYLTARNLNKAKEALADIVSSPRVNLLELDLNSLASVRA CAKEFLSQSPQLNIFIANAGVMATPEGRTEDGFETQFGTNHLAHFLLFNLLKSALLAS STPEFNSRAILLSSISHRISEPDFDNLTLEGKYHSWVAYGASKTANLWTANEIERRYG TKGLHAWAVQPGGVLTNLAQHLPGEEAAEMMKEPILLAMFKNPDQGASTSVWAAVASE LEGQGGKFLDDCQISGPAREGATTFEHGTSTWAFDEVKEGKLWKKSLELVGLKDNL LY89DRAFT_106883 MRSQYGFSRASHERKPSHRINLEQAFRSEQALRSGERSDQSQLF DQTKAFPEQAIKGAFLKASQERKPSHLINFRASHQMKPSNRATHSTGTSYLIKASLRI SLFRRACFGICDMQQNFSVQPFKRFKAYDGLRCATKLLEISQFGFHNTPR LY89DRAFT_587862 MVSFNPDDEDVGKFEALSYFWGSEEDPIDVFIGEGEEVTLAVTG NLAEALPYLRYTHKPQAFWIDAICVNQKDLVERSKQVGRMADIYSKADSVVVWLGPES YDSDIAIACIEEIAGRIKVNFSLQVMEPTTEETHWGDARYTLPFKRREFRALSNLLGR NWFQRLWVWQEVHLATHNPVVMCGFRRISWSGLRKAVFGLFAKPRPTSSADVVVMEHL RTAYSLMLGQDSNQFDRLLYQTRHTKCTDPRDRVFALCSLSRSDHGMLEMQTDFTKEV HEVYQDAMLHWTRKTRCLNLLCMVEMHEHLKGVPSWIPDWSTKRLTKPLPYGLAGGLT ESLIPSDVNHILPAMGVIVGEVKAAEDFDYSDDGDAEMGPMARELCRVVSRLDITGPF IPGSKLAKNLCVVVVGNRYLERFFPPNTNELPFEDIEKTLCHALNYVFGWTEHITRGK ETSLYTTTDGRFGLAPAKTLPGDVVASLLGCPSAMILRPVQDGKYQVIGEALCQGFMY GEGLLGPLPEHVEPILYYADNLGEDWWAFLNQETTEFLPEDPRLGELPKGWMKTEHEY DKTFSIFVHEGTEERLYNVDPRMTPESLRERGVDLKTFELV LY89DRAFT_106934 MSSNLRIDTSASINDSRLQALLAKQAEFDAAQAQLQAEIASLMP SSTPSISYHRSPIHKQQQQRRSHVPRSMSSTGVPSMSRHSSSDRIESVQQRRTLSQRS ATSMTRTNSRGTSSQQTGNVPFTQHGAMPPTLNTESRRREHPAMMAWAQDPPLTSYTF SHQPTQQSRKPELDLVPELDHLGEDPGDFLYRTSGTLTLPPTVSITSARQISSTSMSQ LQSTSMASMRDPSQSAPSPYHLPIPSTPTSDSLTTATTLTSSMSRQTSLCTVPEPLLE SIQMMKFNSNASYSSDVHDDQPMYDQVAHFPSSHHSRRSSNEEQSQLLVGAGGASHES QFSHSLQMTSSPSYGEKMEKSQSNESTSSSLSSSSRNVKRLQDQINLAAARPLKPKGG SEDSTMSRENSSQSMTRLESKDGSQDKVAISKPTYQRPKHDRVFCKLCDSHQEGFRGE HELRRHQDREHKSMVKKWVCIEPTDGQSHPKPVLALARCKACAQQKKKYGAYYNAAAH LRRAHFKPKAKGRAKNSKGDDAEKRGGKGGGDWPPMSELKCWMKEVEEQASEFPLSDA QQEAADASDDETLEDDQFYSQQTISTMGSSIGFDTPFIADNSPILNLYPNTVSNNDMY GMQMSLDLSGAGQTQCMDQTMYTGPTQNLFPSFSHDSFQNVFFNNNPSVFPQYSDDQI PSWS LY89DRAFT_648441 MRQYVTAFLASAPIALASQTVFSVHDDLLAFPQYEVIFSDSFVS NAEASLIVEQASSAIHDPPQSSTTDGIQSRRGAHSSSAADVPFDPSHETYEYMYLNGE QHLCTIPIVDTPPKNETSAAEARAAEQKELARATDKGWELLQDLEGNCLYFVSGWWSY SFCYNNEITQFHQLPPQPGKPLLPPQRDPTTKQFVLGKAHGKESTEDEWGNQIDVHRG KQSDEPPKTELQVKGDSRYLVQKMDGGTTCDLTGKPRRVEVQFHCNPHVTDRIGYIKE VTTCSYLMVVYTPRLCSDVAFMPPKETKANPIVCRIVVPEDELTYRRELMLIDARLEA DTQKEKPVNVGGLIVGAGKWMNKEGQRMPIPKDFGKENQGKVTEIIAKAKSKAEGGNV EMLSETEMKKIDLDPEMVEQLKHEVQKMAKEKGWRIEVVDAPGQIREILGIVDEDDEA EDDEGSEEIFYKDEL LY89DRAFT_670738 MKPSTLSKNNQKWDLRKEEIYQFYVEEKHTLPITMHTFEQKYGL KASMRKWKLKLDEWKFNKNIRTDEMLFVLAKGEKRAKHDGKDTVFFHGGSRIKRQKIE KFKRKSVANQQTDGTVDSKTPEAIRYHTPSPNEDLTADELPLPQHQPSVLDKDEHPNL CHLPTTEDVAFRQQEILVMDKRYEFNTIHELSAAVPGALLTFIHFH LY89DRAFT_107032 MQFPTTTAVFLSALLSTIAAAPAPQTTVTPGAPTTWEVTNFNLG CSPAGCTYSFTISGPATVDVGSAFTTQCTGTDLQDKFVACADPKVTSNLVPETTGLIL SVIREGPAAAGGPVGIMSGNATAAASGEPALENFSIPAYFYGDIAS LY89DRAFT_750565 MAQNIYDNLEFHQHFMHLPSQTHGLAGAPEWLLFRSLIPSVINA SILDLGCGAGWAKQTKEFPRSAVVVYKQADLETVGLEKEKFNIVLSSLVFHYIVDLPR LLKEIFESLKPGGSLIFSVEHLLYTQATNPAWSKDATALPGDNYFEEGQQTTDWLADG VLKVHRTFGTYANAMMDAGFVFGGVKEWGMEGKEMKDAEQLADRRGRPRFLISKGMKP DS LY89DRAFT_735371 MLIKETHQDIATKADGKHGSMRIFLFHPAIPNYPNARFPGVVLF SEIYQVTGPVARFARQIAGQGYIVAAPSSYHEFTGPEPLAYDGPGTDAGNEWKITKTL ASYDEDSTLSIDALLALKTCNGRIGATGMCLGGHLAFRCALDPRVSAAVTYFATDLHS ATLGQGKKDDSLARCGEIKAELAMIHGTLDNHVPPEGRDLIRKTLRDKGLVFSWYEPA WAQHAFIRDELSKGRYDPAISKICFEILLELFGRTLKLDLGPHDRTTQQIEDIC LY89DRAFT_750569 MSKIRSSTGCWTCRLRRKKCDELKPACYRCSKLGLDCEGYSERP AWMDRGEQEKLKAEHTKRQVQRSLSFGSKRRATDQSLDEVVTSESVPTSSSPKSFRPN EDGTNLPCPDDTTNVSDDGSELPFRNHDFDLEKSFRCPETTLKLHLEPLQVDFGPRYN IPKSASHEVDDDTWKRAILEQERSGIITTGSLDSYNLPWTNEHQDSSSWSQYPTHSEV SAECCHSRSSTRPSTAEEADLLLYYFTVVCEKQFHLESNSKGWLYLATTRTNIAYWAT LSVASHHQGSDSTSYNNLALLELRENMSLLDFKPIRRFDMFLECCFAIVQLMFLEKLR GNLEACKMHRSAANTLFADFQTTKDDLLELTMIASGTGSINSAILQLVIVNLRWFDVL LGCSVRTLPSMSVPLLDILERNSKNIVNRVRCQSQVAAILAQVLELDHWKTITLTAGR LSVVELVNRAATIEKQILADSDVDYTVVEIPASTNLEYKGGMNSQILKIFRSTAIVYL QVIVAGAHRDVPEISRWVSNTVGLFRGLPKAETFPDLAWPFCVIGCLATGEDRVFMAE LADDAMLKTSCAMSVKWSSVIIKECWRLLDEGVLIDPDWTSAMKSLGCELMLL LY89DRAFT_107188 MGPGILSLSRFASREVENIGPGMDSPSRLASSEVVNMGPGMLSP SRFASREVVNIGPGMLSPSRLASRDVVNIGPGMESPSRLESRDVENIGPGMESPSRFA MSFEVENIGPGMESPSRFRTGAATPVPARRAADKMAN LY89DRAFT_107224 MLWQQIVPLICISFFCVLGLVIPLASYSLADYLCRKYTEAGHAS STLAGLTPRMYQPQGCWTQIRNPEGGKSDYRAGGTIAGRSIDGASRPSGAAVVAMISN RDSQ LY89DRAFT_735374 MDKAKESVYMPVRDDDDELPLRVFRSHITPKFKWFWALLVLEGM HLVLILGGYALYSLVRYQTQDNELSRTYMHGLNTYFDLMKFDTVKDFYGDSSLMSRTA ESDALFAHIQKTDGVVAIDTEWALKHNLSPSRLHPEDPTKSIYQIDMFHSMHCVYRLR NMLTSKLSLEAWPRNDDHTLHCLDYIREQLMCSPDLLLQGTVNLIHFNISKGHTCRNS EMITDWAKSHHWEGHRQFLIDTVGIQ LY89DRAFT_686030 MSLVWLSGPISGAIVQPYILLCSDRCESKWGRRRPFIAGGATAI VISLIGLAWSKELILGLASVFGSEQEDKITASTTAATAVLFVFALNIAVQPVQGGLRT LIVDTCPKEQQESANAWAGRIICTANVSSYVCGYIDLPFWFPFLGETQFKVLCVITSI LLVASVGLTCWSAKESPAATLEAGLRGDMGLFRKLTYLTTSFRRLHPEVKRVCATQFF SWMGWFPFLFYINIYIGEKYLDSSPTHSYYSQAPISQADMTTAARTGSFGMLMFAIIS LISGIAIPLLIHATDRTQHHPREPRLMSTILDTIFTWTSSTRRLWMLSQILYATCMLV TLVVSSLVGTFILVGLAGISWAVTIWAPFAIINTAILSDADDENEETGGDNYERGMGT IIGLHNVAIAVPQIVSALVCGFVFWVSERLGFEDGVGWVLRIGGISALAAAFLTTRLN YGNENSEMIATEEYKLEEMDQA LY89DRAFT_564653 CYCGKSVEEAKSLGCKYVTMSAAYLPPHCRDDELDEEFSRLGHK PDGSWTYHSDFERNHETDVADIATWAGSEKRFYTSWEWHVMHCLFYWRKLHRAQFSDV MIEPRFNNDGHLHHCAKLIL LY89DRAFT_107084 MASLSEYQMDELLPEKSSTDALLALHREPNARWQEKPQPVYQWR ILKLGFQSACLVVNLVGLSIIAITLPHYIRRHTEANCGLPTDTLLGNIGWVNVALESE QRFVDADPMDFDGGTSTSIWNEIYPSAWVWVDNPQRIGFGGGIKIKEFAQDASIWNEA QEGFTVAVMHEIHCVAQMKRALIQYRRENMTSIPNEHLDHCVEYLRQATMCHGDMTLE RPDDAMAYPQYTSGWGDVHHCRDWGQVHEAISSRAIKRGSKGWQKSTPYVKTHLVIPR S LY89DRAFT_686032 MALSLDVNSTILYSNNWRNGSLFPQLQSFNNLSEYITVYNGNSQ DQGLDLTILANNIALLTFNASGFNTSPSFGGDNVSLASTFALAETLFDPDLRRFPITC VYPISGQYDTLSRALFYVLMIFSLVFRRHIWISVAALGAAMVYAATSAVHLLALVSQF GFNPDAPELNTSSAQAYADLDFIGILPNLTASAIMLTPILLWSTTVRKYDAQGIVIWW GCLVFAALACLLYNINGFELDTLNSFAICAKDCIPSSDVESYSFPFAVYQNCNCMDFC GTLSPTAPMRKGANMVPWFDAVKTENVVNRPFFQDIFDINIVALCFIVIYGIMGLLIS RYNPDEVRNGIFRFCNSDLRLWLKVIFEGEREDRALAYFHVKEKNTRRTLWKKCKYVF AKAMATSFSFTAGATAIICPAVFVSSVIANEFMIQTYPVSEYSDAVGAWGTWVGALLV LMAAIINKYGDSWLRTLRVVLYSIWRLVKYSSSDRKKLYPKSDDWKISTRLVLFGLVL GSPFEHSFWSLRRGYWTFKTTIHFFFVWWRDTENASQQRGKAIEAAWETERVKVPGGK PECPCYVCKHDEEKRLEKEAHEAKEKTLRLHYDSSNAASGALLVPCALSVSPSGQSTS SLPLKTPSIDVNELPLKVQNIELSSQGRPASPPNVPLPTPRSTFARQNTNESLA LY89DRAFT_686033 MTASKTVRVAVTQAEPAWLDLDGTIAKTIKFMAAAASNGAKIVT FPECWVPGYPAWIWSRPIDFEMTTLYTKNSLKVDSDQMRSLCAAAKKNKIAVCMGFSE NFNNSLYISQAIIGADGTLHLTRRKLKPTHMERTIFGDSTGGNTLANVAEIEGVGNVS ALACWEHIQPLLKYNTCLQRPDVHVAAWPPLWPHSGDGQDLYSMSRDGCRVLSRTFAI ESQTYVLHTTAVLTEEGIQKMGTANNFMGAPGGGSSAIFGPDGRQLSKDLEPTEEGII YGDLDFDAILRAKGFVDICGHYSRPDLLWLGTQEKENLPLRGSKSKTEEEVES LY89DRAFT_587957 MSYYDIDAILTDSQKIPCTFELDVPNLGYLDNNAGHTLKKGTRV DLPLWLAEMLAVSSPNSTKPLVTVDLPPCLAPRVMNALKADPKSVDLRALAQHFYGLG SRILELFEEEEVCDVLMETFRTRAAEISDHASNAGASQRGGGGGVGNDGVEFLRGLDE TERGLFRAAHDSSKSMRVWMGEMKKK LY89DRAFT_686034 MASTSFVRGKATLPDLPYDYGALEPSISGKIMELHHKNHHQTYV NSFNAASEQLEAAESKEDIAAQIALQPLINFHGGGHINHTLFWENLAPSKNGGGGEPA GSLKTAIESSYGDFSQFKTKFNTALAGIQGSGWAWLVKDNETGQVQIRTYANQDPVVG KYTPLLGVDAWEHAYYLQYQNRKAEYFSAIWDVINWKAVEGRLK LY89DRAFT_719842 MSSQTRRDRPSRTCQPCKRRKVRCDRTRPTCAECSKVRITCSYD DDESRPRARNFEFIDETSKTTAEASGFRSLGQEETNGTPIIGQLSQQPGGRMRYVEPS FWAYNKDETDPLDVLLAAMNRYDIQDIPEDKEDDLEPSQSSRASTVSGIATPSRRTAP YRLTGDFASPSPAWTPASLSTSRLQRQATNPLTLLPPKAICDRLFDFYLEGYHYIFPM IHSPSFKTEYASIWDPQPNPQKSMHFVCLLLAILFAGAAACPNREVLEDLIPADETNE SLATSIREKGLKALQQANFPKTPTLETLTAYIILQVTWMKEEEPLTTCAFVGLAYRVA QMLGLHHDPSRFSSLSRVVCETRRRVWWVIVHVDVAVGLAAGLPPLIDLSTCDVWPVS ELSEELFDIPPGNLGSNLEVSVTSVCFAGRIRDILVTRHVLSKIYGHHALSRQDIMAM RAKCRALTADLASKINMIPKSPLLRVDGIPVSYEMASEKSSRNIEAYTRLFFSAMIDK TWFLACHPVLKEAIHGLWKEMYPQALEHCRYFLYKIAQLSCFEEFQHFQWNWPGAHQP LHALITLLHSLLRLPRSDLAPTFRHALDTVFALCGPSFNGGIVASTCTIPPQTRQRPL TEGGIECWTLLWRMRARAWMMIGLDPDIIPTREQALESLYELYARQGWDEGRTMVGDE AEMDRQRTRSSSSQAQTSASMSGDLGLPPQPPQGQQLFAVAPDANPFLSISHHAQDGN LGTGVGGAEVLSDPNIPAPNVDLMFWDQMLQEDFFGHGHPQVDGRVYGMGDGNFGGGG AGNESADFGGSGSGWRGSRMEGDIDFQGGAGGMR LY89DRAFT_735383 MASQTHPKSSSSSSSSSKPHSHSHKSHSSSSSSSSSSTSSQKQY YLITDLPLRSSTDFPAAEEVIDLHAESWKLPYSIDDEDLTFDGKPLNMLYEENRWEAE HHVDYHYSSSCSSTSSAKSSSEHKSRGRSRQSKK LY89DRAFT_686037 MNDCASHVPTLRPPPNQGPFSPELGEFSCIGHEPFIFCQPDGMA CMVRRRRKRTVGPVIFYSTVVMAIRVPDTTCHAMKPPASTASPTRLSSTCDLQDEPFR SRLSCPPFWNVGM LY89DRAFT_686039 MASNEVPEFLYHVKRTVTDYYEDNSGATRMVDILGTFTQLAAAK QAAYSALASEGYLRDDFEKYEAKHEVEAEEWKHPDGVVTFAKAPAGQEFEVRLDTKPN EMKLKGDAAGEVEGHLHYVLQTTINYNNDRIGGIQNTEVEGTYLTRKAAFEAAKTCLL DEEVTKESFAEYDEKEQFKGEWPYGDECLVHAVGETGENFLVSVKAQPHHHKGHGCTH HGGKKCECSCTHAEGGCKHKQCKHEDCDCSKKSH LY89DRAFT_783436 MSPLTAIENLYREYAPLAFQPNEKMSPFSQFGSLPGVGGYGGGI DRDFSPILNYIDEFDRHFSRRHRFMNCFIPRFDLEEDAHNYYLYGEIPGATIDTITIE AHSNHTLQIYGKTIRVGPALLSSQPQEGSDGGGEFVKVQVEDHEHSTAEHKEHVEPPT SAPTQQAVASTFPPPPTQGQQLGHPHHERHHTFGGGLDNGNPSQRHILLSERLVGDFH RTFAFPSPVVEDGVRASLENGVLSLVVPKKDGGSEERRGRRVPIMQGGGRN LY89DRAFT_719846 MQNRRQSIYTIRLMIAFYRGFFFPNSHTINIHNKIKQHTHLQHN TTPAIQQMCILYNTQYICNHSRPIELFPCGEQTGTFACMNGIEIKMLKAPRKKGKCVE CRKKDAKDWREGKVDLGVWGWDVVREKTAVKKEEEEEMVVVKKKEEEELVGEVKAMEE GVKRKRKLRIKRPVVPGCLDVSARL LY89DRAFT_619092 MARKYLGGSGEALTIWISLAASTVLVFYGYDQGVFGNVLVGEDF LRTMNFPSTSVQGTLTSVYNLGCFFGALSTIITGDILGRPRVILLGSSIIAIGAIIQA SSYSVAQMMVGRVVAGLGTGMNTATAGVWQAETSKMRSRGKLVIIQMANCITGFSISN WLTLGFSFAKGSVAWRFPLAFQIFFTGLIWVLCPFLPDSPRLLIRKGKYEEAYEVLAA LEGHGATTSSPEVKTQFNIIKDVLDREHLNSYTWFQLLSGKGPSGVLRRMILGAWMQA MNQISGINVTSYYMTYVFIHALNIDTLRARILAAAGSVDYLLFSVLAYFVIERYGRRK VMMTSAAACCTCWVIITIVLALTESDPSNSYNYGAVAVTFFFVFFASFGMGVLGVPWL YPTEINALEMRTKGASLAMATNWIMNYMVAEVTPSGIANLSWRFWIIWAVICFSFIPI TYFFYPETANRSLEDIDRFFETKPGIFIHRNKIATQLHRPAVYEEEDARIGTLGEKGD GNDVVEERVENSDEKMA LY89DRAFT_698110 MAMNVASKTAIVTGAGSGINLSFAKLLLERGCNVVFADLALRPE AQAVVADYPLTSAGAKAVFQKTDVTDWVQLDKMFDVAIEHFGGADIVCPGAGVYEPPF SNFWNSPGTPPSKDDPAQSRYALLDINVTHPIRVTQMAISHFLTNKKPGVIVHISSVA GQAPFFPTPVYVATKHATNGFVRSLAPLENPPTHLGLPKIRVNAVAPARILTPLWTDN PEKMKMVGDNPGWVTPEDVAKVMLDLVEKEENVGGTIFEVGSTVRKVEVFNDGGPKSG NNHVESGPGYDDDMWASLKKQFDGK LY89DRAFT_588421 MPNSVFGYHQEPDYVAPDVSNVFPTKFQPQNTTDTPDSLFVNGM VLDPASFKPAGSIGIRTKHYRFMSRSGNKDILISTDGACLNNGQANPTAGCAFVFRPA TLGNQPVKYGTFSLRLEDCGPKGDSQAQTSNRAELRAVIAALQFRIWVGEGWKRLIIA TDSEYVVKGATEWIQGWQRKGWVTAKKEPVKNKDLWELLLKEVRKHAAKGLEILFWAI PREQNSEADKAAKDAASLKDVPKFMKYTGVMCKPDEMATMAEFYEDTD LY89DRAFT_686043 MTSSSTKLKIVFGAMTIGKENTLGARVYTKEGANALIDLFQKHG HNEIDTARVYGFGTTEQILAETDWEKRGIVMDTKLYPNRGGPLSDMEVYDHTPEDVRR GLMDSLKALNCKKIDMFYLHGPDRKHPFEDTLREVNKLHQEGYFTRFGVSNYQSWEVA KICEICEKNGWIKPTAYQGIYHALQRSIEAELFPCLRHYGIALYAFQPLAGGFLTGRY TRDQEDFEPGSRFDPKKYQGTLHRGRYWNDSYFDALDIIKPAAEKHGLTIAEVAMRWI EHHSTMKKEFNDAIIIGASSTKHLEENLKDLEKGPLPEDVVEVLEAAWLKTKGVAPKY WH LY89DRAFT_686044 MSSQPPPFLRLPYELRLEIYGLALDQRLGYFPRHYLSISSVNRQ IRQEVLPIILSNSRYFSSLEKISDWTSRGDPFLLSQIQNVTVHIFEDSLLQIADALAS SINDAISGPRIAPRFWKTMSAPQFGRSNTKAGSRHSLRTKILCALCLSKPELVPISSK DAITSTWDAFKAISEVKKLWILFKDSTHPSSRRAFPIEQELILDIIATACERVQDLTV FSDLVSLDYLGHFKDLRRLRFSGYSKSDPEETLKILQSLEKLDTVILYRYPESYDIDN NIITSKLPEYLSVTPNVVASLNPLKHFQISHMSSYVPSQYISTTLLQSLRNHLRTLRI FQLSSDYALTEDIVEELISFLADSRITDIKIRVKIPKRFGERDVMSFFPKTCKNGEAS TRNSNVEGLLHLAMTASGACHHITHVNRMCRFVIPIELIE LY89DRAFT_783441 MLTLINLGHRLLGGNLQSPLLTTPLIKEEVVENPPFNFLALPLD LRLEIYRCIANIASSPYPGESPLYPFFFVSQQVRFEASSVFYAVSGLIFPSPSKCLSF LELTTPHIHFLTSLAISVPDGDTYSLEPIFGMLYEADVPIKTLVLDLQCRRTASAQPD KKHVLAQPVGEHALERARERAQSLETAYQRQKQSRADDLNSADDSIAYPWPSNLGKLK DIRFIAIDGNPSDNWSVEFELAVLCLHERMYRIVGNVDGRAPAWYGRWGDWYWFGSRE VTKRGDMIKSLASLNPSLR LY89DRAFT_670760 MYFNVKSCVATALALLAFTTQAQQYQGTNPVPPLVACSGQGAGN CNLGFVGFNYDGGTTWNWVTIYDNACNPIGGAYGNVDNDDNPWGLDSELPYVTVLYQV GWPNDDYFAFAYADYSFGGEFACGFQDAYGDDFNVCQHAFPC LY89DRAFT_750591 MGRRPPRRDYFPPVFLAINTTHFEILPFSYKSEDLAFSDWITLF TLCLAPLIAHILSGAPKVVYFAKRRPSWHERIGVYNPTTILWRYFAIADRRIRAKHWS AVDVAAANVNFWTSRGWDGSEALSRNSREYCVSRADSKHARLLSVGALETLIVTLQGV NALYTLTSSIVPSQYWNSANFSNTLSIGTIFFPLSVFGLLRLFAAFWLTDDSIYTNYE DFQTMQMSQDRESLQDGVQLLEIPELSTSRLPENSDATSENDYHAPNCWQSLLFRVSF LVPIVGLIVICLYYTVPTSIDDNVSLETVTGFILVLFYLIIFVVTLFIYGYYFFRDQN ITTVIPCISALWYQIYTGILIGMVVVLIIVASLETRQSQCGYFTSWPSDTGTVTHRSI SGIG LY89DRAFT_686046 MTSRKMPYELKTNFKPSKIDVDIVAVQGLWANPEWTWKKAGVLW LADLLPLDIPNAHTMTFGPIPRPPRPQMKPSTYLLLDVFILGLLILGARCHPWRTLAF TMSAALALLQAWFYLEKHLVNLRTTPATEIHDQAIVLLAKLMDRQKEEQDSIPMILIG HSFGGLVIKQAMVLAANDPQYTTIAKRVQGFIFLGTPHRGARLATAAKYWWFQSALDI RAVLEMNSKILVDLDDSFLKLPSIQTSKSIYCFFEEKPTVYGPPFFHFSMKAVDESSA TWPDRPAEGLSRKHSDLSKYEKHDDPDFQRISKTIQKILSSLP LY89DRAFT_735396 MLEGLVASLLNRFLGMYIRNFDPKQLNVGIWSGDVKLRDLELRR EALDQLKLPINVVEGHLGQLTLKIPWSNLRGQPVQVYIEDVFVLAAPKEDAEWDEEEE ERRRQAVKIEKLDSAEMLKERNSEGLSQEEQQKSQSFTDSLVTKIVDNLQITVKNIHV RYEDSISAPGHPFALGLTLKEFSAISTDGNWKPTYIQNSVGTTHKLATLGALALYWNT DTKLLGTGREAEVADAEVTPHDEMLEKFRDMIIRGENPEGAAHQYILKPVSGQAKIEM DKSGKSTVPHVKAGLIFDEIGLVLDDDQYRDALMMVDLFHYFIRHQEYKKLQPKGVSP KEDPRAWLQFAGNAVLSKIHDRNRRWSWDFFKERRDDRIRYIELFKKKKKQTEQLTPD EVTELNKLEWKSSYEDMRFWRSLARNQLRKENVGVKKTDSAKKNQGWVAWAWGSKPQE QEEDSETTMTEEQRKELYDAIDWDEKTALAESVDLPKETVKMQLNASLNTGSFTLKQN PHDDVVEILSLYFDGFRAKFLQRPDSFLADVSLAGLRVNDGTTPESLFPQIVRVKDAP DTPIPKITLDDESDPADLVDPFFNFQLEKNPLDGQGDIAVTGKLKPLEIIWNPHFLVG VIQFFKPPERHMESIGALMETAGATVESLRQQTRAGLEFALEEHKTINAKLDLQAPLI IVPESITTKNTICLILDAGHISVNSQLVDRDTMDEVQSKQKQMYTDDDYKRLESLMYD KFQVKLSSTQVLIGPSIEATKAQLEDRDDKQSMHIVEQINVDFMVELSIIPKAPNLAK VRISGHLPLLHVSASDKKYKSLMRIIEVAIPNLDEEEEKPGTVAPKTPEASRQRSQSN LSKKQSMSKENRKSASFQFTTQEAVILQDESDDETEFQDASHGDDASEKLKLQQRNFE LKFEVDRLQGSLYRSDPDGRKPDQLLVELVADKFSLNLCVRPYDLIVETSLESLMVDD YVEEHPTAEFKSIVSSGDADSQENKDLIHVKLVRVKKESPEFMPKYEGVETNLNCAIS TINLVVTRKTLLTLLDFILITFTNNDAPTTAASPDEIMDSDNKELVPAAAPKPADSGS VRIKIDLKSIRLILNNDGIRLATLSLNAADVGIFVLGKTLRIRAKLGDLSLLDDINQG ASPDSSLRQLITIQGDDLADFRYETFDAENTESYPGYDSSIFLRAGSIKLNFLEEPFR KIIDFLVKFGKMQAIFNAARQAAANQASQIQQSTSRFKFDINVKTPIVVFPRAVVPDR PHRDLITAYLGEIYAENKFVPLDDSKDSIIAMKLSAGIRNIRLTSDFYFHEDKSEELE LIDKVDLGFQVTYAEHSPGIKRPDMEIEGHMTDFNLRISQMQLKFLLELARSVPAAFA VDSDEDEQQAIEDVPAQTSKKAKTIQPKEPENSDHSSALVDLGPELGVDSKNWTKLDL AFHVHTIGLELLLAKEDEPIGDIEAASLSKFSLDDTKVKLRMMTDGSLESELLIDSFT IQDSRSRTTNKFRKIMTSSNKDVQQFMASVTISGGKERNLIAIIAVDSPRVIFALDYI FALQSFVAGGLAVDEPVVPEDDESIADSADDSDTDMLQLSKMSRPISARSKSQTPTSS QSSQSSDPPMNIAFRVNIVDAQVILIANPLSASSEAIVLGTKQVLLAQQHALTLQVSQ MGMFLCRMDRFEDTRLRILDDFSLQMSMDSSKADLTSMHLDVEPLVLRLSLRDILLAL QIVSRASELSKDDDKEDGKALASDVKAKQLKGSSGTSLKRRSASGKATSTMAKKTKSG SVAPSRLRNETSAGKAVQKHEEMTATLEGMRVILIGDLHELPILDLSIKNFTASANDW SSSLRADTSIDMYINIYNFAKSSWEPLIEPWSLGLQVAKDQDPDSMFIDLTSQKMLEL TVTSATIALASKSFQFLTQEEDVLSKPRGAEAPYRIRNYTGFDVNVWADVPGEENDMA VKLEDGEEGPWRFEDWEKMRENLSPESNTGVIGVRLEGSGFDSINKIGVNREGEYLYS LRPRKDQILHRLLVEITLGTDNVKYITLRSPLLVENKTQIPVELGVFDAQEGHLLKIE KIAPGESRPAPVGAAFMKSLLVRPDQGFGYSWSTESLWWKDLLQRPTRTMVCKAEQDK NTPPFYFQMHATFDKSNPLTNIYPYMRIRLSAPVELENLLPYDFKYRIYDKNTKKDWT NFLRKGGLSPVHVVELSHLLLLSIDMQDTVFKASEFAIINSNNQEDFRKESILVCKDN EGLALNLRLHYYKIPDSGGAFRVTVYSPYVILNKTGLGINIRAKSLLQQAKTAAGQGF HTDSADTERRKALPYMFAFGADDQRNRALLKVGESAWSRPQSFDAIGSTVDVVLPSST KNTEIHIGITVAAGDGKYKMTKVVTLAPRFVIKNQMKEELNVREPGSSQLMTLQPGAL QPLHFLQKSAVKQLSLCFPGLNNQWSSPFTISDLGVAHIKLAKAGQRQQLIRVEILME NATIFLHLIPETKNWPFSMRNESDTEFMFFQANPNLDDEDAEDRSGWRPIRYRLPPRS IMPYAWDYPAAKHKELILNANGKERHVKLAEIGNLIPMKIPPPRDAPGAREPKIIDLN VAADGPTQTLILSNYKASKSLYKQKTRTDSTTSLSGGFEVKSQDTGVTFRAQLKLAGF GISLVNAHLKELAYVTFRDISLKYSESPLFQTFIAGVKWVQIDNQLYGGIFPMILYPS VVPKNQKETESHPCVHVMVTRVKDDSYGVLYIKYATLLLQQMTLEIDEDFVFALLDFS KVPGASWAETHEGVLCDENLDIPEPSQDQSGQDIYFELLNIQPMQLDLSFVRTDRVNV EDKTSSRNPLMFFLNVLTMAIGNINDAPVRLNALMLENARVSAGILIQNITNHYSQEA LYQVHKVLGSADFLGNPVGLFNNMSSGVADLFYEPYQGFIMADRPEQLGIGIAKGATS FVKKSVFGVSDSFSKFTGSIAKGLAEATMDKQFQDRRRMTRSRNRPKHALYGVTAGTN SLVSSVASGIGGLARKPLEGAEQEGVAGFFKGVGKGALGFVTKPAIGVFDLASNVSEG IRNTTTVFDGDGLERVRLTRFIGTDGIVRPYSQREALGQFWLKQLDNGKYFNESYIAH LELPREDVVVMLTYSRIMLIKSKKLTSEWDVPLKDIQTISKERTGLSLTLRGGTNGPF IPVAEESSRNFLYKKIGVAVNEFNKKYKATE LY89DRAFT_698120 MLRTPAATRSVLKSFGKASIARSSYIAASAKFRNAPTASQLSNR RPKALVLPRDRPTTLSLLYATKSGPPYDHIDEKSEEKHSKEKIEPHPEDVSLGSSTRH VFEESQGKGATGNEVSGGMKHDLETIKETFSLAEVPRDALYIGAAGVLPYAATSLSTV YLAYDINHAHAHGTGYLFSPETAHQLLGLVTPIQIGYGAVIISFLGAIHWGMEFAGYG GHHGYRRYMYGVISTAVAWPTIFMPVEYALITQFLAFNFLYFADARASVRGWFPAWYS IYRFVLTFIVGASIVISLVGRGRIVKHDAAMRSPSDYIKVDRDAQWEALEREDKERRQ ALADTDEDGDEEESDDSEEGKDEDSDSEDSGDDKKSGDRKDAKSDSKESKGDDKKEKK LY89DRAFT_698121 MSNDKASHFTPTNPSANVWDNEDDSNLDSADVEMNLDDFVDDGY SFEQLDDGSMSIAPSILDHAFEHGRRYHKFREGTYDIKHAMVVNTCDGKLHFAPIGKH PQNILDLGTGTGTWCMEMGDLYPSASILGIDLSPIQSEWVPPNVRFMVDDVESSWLES ENFYDLVHGRHITPAIKDFPALIRRAYSHIKPGGFLEFQEMEPFPYSDDSSLLPTSPL LKYYTSIHLGLKNLGVDLERSRDELTKLSSYNFINTQHEILKIPIGTWSETKMMKTVG MYGRVGIIEGLQAMALGPLCKGLGWSKEDVVTMCEDVKKYLSERK LY89DRAFT_670765 MPGLLIQTTPCKRDCISYSKIPSNRSTPSPSPSPTLQSRPTIHS RRTLSYTLRRSGSQSPPPTLPGLGISNIGLPVPTITPRVEAEKIEIETAFEIPEKEKS DAECWSRMLKLQREFHCYNSARLEAAVEALEMGWGEDAVQMQEL LY89DRAFT_107789 MQVYLSPDHGLPRVIISNRLSAILLNRNTRRSTGSQSGHIPHNR HRLFSHEGGQTNESYMDSDLKQNTALPPQSSAASAPDTPVAPDCHPAGPVIKKSKQSL SESLLSCRCGAPPTPPPPPQTHEEAGKPHNPHLLPQE LY89DRAFT_107690 MEYRRTSLVINRQQQAPQNSHIYASFAPPNRNTNGSLFDSPTMW WDEERIEATVNRQFVLAKLRPDEQARLDQPLGFGDGLTDDTYMEWIETKAKRIFLILV DLGVPDQIFGVIDDSWDDDDLPVPLDQVERLQLTYDRDEKLERKFFQRQFIYLLRNIQ RGEHVSYNDEEVVPLESAEKRPVSVTGLTVGNVDRVHLPGRPDDIFIRRRIPLGVTPG RLPQEEFLSGIEAMKSIEHDHLMSLWASYSYQGCGFLLLTPVNDSSLKSFLAVTPQSV KILAKQDRRILLLNWMHCLADAVSFLHGQGVAHRSIRPSNVMLDLDNHIFLGDSGIFP TANLSGEKQGFDKEVYDYAAPEQTPRPPPAPVVSLPVSRPSTARRATAPSTGTTFAVT SHATHTSYSTFPDTASIYTNSTGSGSSNSPPKHGFSGSKHDPQKSDIFSLGAMFLEIL TFFLKRTSRNFASHRAAKNKTPGRGGGLPDSSFHKNLGQVESWIATLKKDSSKKEDKV FRGVTKILELTERMMKADPEERPLATEVEDILYNIMNEQCGLGQTSDKSSSIHCENRN VETNEWNFGFDQLRLASQRAAAEACASVNPVTANGGTLGLNGGVIYGIERTQSVMSNA WPTSPTSPTSPVKERLPTRDGSIATSKSRSSEGKSRQGSTSQGSGNTHGKTKPKAKAW QAPVYAEMSWG LY89DRAFT_783447 MGKPRLIILVRHAQSEGNKNRDIHQGTPDHRVKLTPLGWTQAYD AGRRLRALLRPSDTLHFFTSPYRRARETTEGILQTLTSDEPSPSPFPRNGIKVYEEPR LREQDFGNFQPCSAEMERMWQERADYGHFFYRIPNGESAADAYDRISGFNESLWRQFG EEDCASVCVLVTHGLMSRVFLMKWYHFSVEYFEDLRNVNHCEFLIMRKKEDSGKYILE NNLRTWSELKHERLLAAAAKEKAVATSPTTASEKDKEKEKKLLGNGETPSSSGVGSPI PVRKKWGGCPNGCDHGKHSYRKENSMHAMQLNGKSAALPPAPGIEISPSSSSQTLQMR RPAARRWQSSSEEDEDDPRGRVGPPAPFEVDVQRSLDELVSSPDGTPSFISVEDRLRN RMKSPRNDLLGLVKSAGRDFGGSASGNTSHAGSDAELSAEEDHRKHAATGKGRPLGTL GRSGMGHGAGGSGSGLGRREESGMHRGALADALGDQSDVGSDAGLEEELDLDKAEAED KSIKGSVY LY89DRAFT_540735 MSEPIPESIPTSADPRSKRPTKKRALSPRSQTASQISSLMSKPD TVINLPSTSITTHPGSAPPEIVQNVQGSSAGAGSGEFHVYKASRRREYERLRGMEEE LY89DRAFT_648481 MQAPVLVMNTQSGERQTGRKAQLSNITAAKTVADIIRSCLGPKA MLKMLLDPMGGIVLTNDGHAILREIEVSHPAAKSMIELSRTQDEEVGDGTTTVIILAG EILAQALPQLERNIHPVVIISAFKHALKDALEIIDEISLPVDINDDKAMYQLISSSIG TKFVSRWSELMCNLALKAVRTVTFEVGGGKKEVDIKRYARVEKVPGGEIEDSTVLDGV MLNKDITHPKMRRRIENPRIVLLDCTLEYKKGESQTNIEISKEEDWNKILQIEEEQVK MMCDAVLAVKPDLVITEKGVSDLAQHYLMKANVTALRRVRKTDNNRIARAVGATVVNR VEDLQESDVGIQCGLFEIEKIGDEYFTFLTKCKSPKACTILLRGPSKDILNEIDRNLA DAMAVARNVMFHPRLSPGGGATEMAVAVRLGQLAKSIEGVQQWPYKAIAEAMEVIPRT LIQNAGASPVRVLTALRAKHAEGGSTWGIDGDTGKLADMREYGVWEPEAIKLQSIKTA VESACLLLRVDDICSAKAARQAGGGSAPAED LY89DRAFT_708174 MSYPQQSPELHWAKPISVDLFLKVLNVTFLHPFVAWMIPLCMRA QAMVWHHPAMQISIGYAAFLTALYFLNILNRQIAYSKPRKVDLSEEVIVITGGASGLG LLIAEVYGMRGATVAILDIKELESGEARGVTAYKCDVADKEQLTRVAIEIERDLGAPT ILINNAAIVNGKPLLDLSIDEIDRSFGVNLLSHFYTLKTFLPGMVCAGSGTIVAISSI IGQTGAAGLTDYSASKAAITAMHKSLAAELKAYPDIKTILVTPGQLSTPLFNGVETPN SFFAPVLEPVDVAKEVIAAIDGGSSAALAMPLYARWIDWLNVMPVGVQAIVRRIAGVD TAMKGFAGRTNSEKESLI LY89DRAFT_619125 MMSIAALVYEILFLLSLFISSSFAQSCSSNDTACLQACDIPSLS EYSIWTVNSSEDLDNLTPQGCNWINASISIGANYSGQFVLDGIRVITGQIASNGFTHE SLQNVSAIIMPDLLTLECLFVRDTANLLSISMPSLQNVTTLELQISGPTALEFNSLTY ASNIIISGPVGDIYFQSLANVNSSLEISSSDTFEDLSAYGYNTSNVFPPLSLRFPFLV NATGIDISGNISEIYMPNLAIAENGHLTNGIVIETYGVPIDVSFPKLDTLQDMVLAGT MKGVSFPSLQNVPGHIAIESVTPVSLNLSSLVNVTGIAIDGNVTGVFLPSLKNITTLS IFSKLPLSCTPTQKIFESIRQSTTGYDCSSSDTSSHLSTGDKVGIGIGSAAAGSMILA CLSYFYLRRKRNQNVVKERTNEHELQDRGGRPIYHEDDYPPEYSSRAGTDIGSTHSDE TIVEDAGDRRTATASAEEGRTTE LY89DRAFT_735405 MASNSIPSIMKGVVIEKTGGPDVLQYKTDLPVPVPKDGEVLVKN EFIGINFIDINFRSGLYPIPLPYIPGVEAEGTIVSTSSSGQIYNLKPGDRVAYIGNGT YAEYSACPASKVYPLPPNLEPSIAAAAISQGVTALTFINKSYHVQKDDWILVQGAAGG VGLWLCQLLRAVGAKVIGTARTDEKMKLAKKNGAEFVLNYSTEDVAAKVMDITGGKGV AAVFDSIGLSTFSSSLQALAQDGTMVSIGNTSGAVPPFKITDLSAKNLRLLKPSVFGY LRTREEFVRWAERLFGLVAKDEELRKGVKGMVWKTYELQDAAKAHGDLEGRKSSGKLL LRV LY89DRAFT_108212 MEYNQNGNRPSRANANRRTNSMNVPTTTQPGLANSSENLHIPRT QSLSYHMDANAAPEETHSPMTAMQSEDNMPFNGFSNVPTSFFLPDQLNYSPEDPIYNP GWSSHSQYGSMDDYGRPDAYGQGHSNFQGASSVYEAPDAHSSSATYNHAESSAQPSMW TSNYQAGQGISDADFDIASFQMPARTLTQTPAPISSADTFDGSTVPLSFENMLSDPQF SPTGSAASSHSSYDTMWSTSRNATSHTATNSDPNVPIQPAASPTQMTQMSPPSDTNSL KPYRCNQRGCTRSYARKFELYRHQRRHTGVKSHHCQVPGCERGPRNGFYRKDHLRQHM RQVHGS LY89DRAFT_108206 MLWCHILLSSALLVGVFSSPTGHVLHEKRSVSLSHKRQRVDGDS IVPVRIGLRQSNLHTGYERLMEVSHPTSKNYGKHLSKEDVHSIFAPAEETVETAKNWL LSTGLFGEDEIIQYENKGWLAVDMPAKHAESLLGTEYYEYESSEGDIRIGCDEYHLPI QVSSYVDYIKPGVKLSVPLKKRQIEKRSTFSGLSRGSHRPTHVKPPHYPGWSMPPGAG NLPSDLQNCGVNITPTCIKALYDIPTAYLDQPENVMGLYETYDAFSLGDISLFFENFA KNVPADTAPKVISVDGGTAPVAPDDVRNGGESDIDLDLSISLIYPQSVTVYQVDDLPN SSGETNTTGFLNTFLDSIDGSYCDYSAFGITGDSPGIDASYPDPTAGGYKGKLECGTY ELTRVVSISYGEAEVYLPKNYVERQCNEIMKLGLQGHTILVASGDYGVASFPGSNGNA EGCLSAAGMNGTIYNPDYPSGCPYITAVGATRLYPNDTVHDPESAMQVNLTAFNIATG AGPTSPPYDFFATGGGFSNYFTPAPFQAAAVSAYLAQSDLPFQSLPYYTINEEATNIG ENGGLYNRIGRGYPDVSANGAFLLTFVNLTAGTYFGTSLASPIFGSVVTLLNEERTKV GKGPVGFINAALYANPSVLNDITNGSNPNCGSVGFQTSKGWDPVTGLGTPNYPRMLEY FLSLP LY89DRAFT_648497 MPRFLYLRTYILRFLQTLGRYCDLYLSTPLPQAPTFTRKIKSTV GSCPGEFELLFYTPPGYADLGNNEKLPLLVNFHGGGYTIGHAADDARFITAIQSRTPC IAVSVNYRLAPEFPFPTGISDCVSALLYLWSTPIASSLHIDTSRTALSGFSAGGQFCF TSSYMLHQQIGKLRNEGKIEETDIGKLVGVCTFYAPADWTKSRADRAKSNPLTQPLSR IPGLFFGMFEQAYFLSFSRPQMRRTDLDMADPLLSPGLASEEMIKEALPENLCMMTCE WDSLLVEGETFKERLKGVGKRVEGCVVKEVPHGWDKWPSWFWPFGGGERKRDEAYKYC GEMLREFWS LY89DRAFT_686059 MSTTTSHDPSSSSTPPKILISPSSAQAFIESVLTGNGVPAANAT IIAKALVLADLRGVDTHGMNRIPSYMERIRQGVLDPKAQPELKQITPVVAQIDGKNSF GFLGASLGMKSCIEAAKIYGIGMASIKHSNHFGMAAWIVQQALDAGLMSLVFTNSSPA LPVWGGKEKLMGVSPIACGAPGAEKDFILDMAPSVAARGKIYKALRRGEKIPSDWALD KEGNRTEDPARALEGVMLPMGGPKGSALSVMMDVFSGVLSGSAFAGGVAGPYDATRAA DVGHFLVAIRPDLFLDGGVEKFRERMGILYQRVVGCEKMAGVERIWFPGEIEMDAAEE RRKSGIPYVQAEVEALNKEAEKVGVPHIQVMS LY89DRAFT_750603 MNTNGDEIAIPQHVEPEKEMHTSKRVACQETTAGAAKALAQGVQ DDTSVDIERIAGAQDQASSGSSSSSESAQSTDSLSLRAVNKGSRTGPPTSGDPIDLDN EDHESSHKEYFLMCTPKRSDMVLRHVDVTRARCDYTSYHNLNREYYKKWPRCLHWLFL KEISSVDFIKFHLYWKQNVSIEEKDIGILPPRLSDEYSYSADTNPPVLRTALRHFIQH PIMPRKLPTIVLEFRGRLEGDLS LY89DRAFT_750605 MCYYEQYSMACGDWKWGNFRAHCSKEYRTGETCEMKLVWESIPL SDKCKYCIKIDTKHGKIAKEEARIKKWRNEGPGYRRASIEQAEEAITVMQDEICELNY QRASYKHLVAPTRSTSTSSTAIMKSYVTVPIPETSPSTGTRKRLFSTKR LY89DRAFT_108310 MGAGGQPVGPGMFKAALADTPHEAFNWRLVFSVVCFGLMGAARG LDEGLIGTTVTQKSFISEYGLSVTKTQNKTELASRIGNITAMVQIGSVGGALIAFIAC DKIGRIWATRQLCVIWIIGVIIYITSAGNYGQILAGRFIMGLGIGQTTVVAPAYLAEA APRSIRGLCICLFSGSVYLGIMLGYFANWGTSLHISNKSADQWIDATVMHIIFAGIIL IMSIFALESPRWLAKVGRNEQAEKNMCKLRQLPPDHPYVRAEMIDIHDQLEREREATL GSGFLGPLKELFMLPANRYRIVLGLMCQLLGQWSGANSITIYAPQFFSILGTTGSSEK LFATAIFGVVKFVSALICAFFLVDFLGRKRSLSIGIIIQFISVLYIAIYLTAVPTITS GQVQSAAARHAGTGAIVFIYFSGVGWALGWNSIQYLIGAEIFPLRVRSLGTSMIMCFH FVNQYGNSKAVPLMLIDGSGGLSPKGTFWFFSAVTLLGLAYVWFFLPETAGRSLEGMD ALFSLPWTVIGRKGAALTAGQGSVAEAMAMGDSEKVPEIEREERVENVENAGTLEEKR T LY89DRAFT_750611 MDLTPDSHHEPNDTYETPEVEPSRRTSSRRAPRIRTACVRCQRR KIKCDGRVPSCSSCSKAGVPCVDGGRQQDKYPRAYIASLQNRIQWLESLLTENCPDID VKSGPRIVQDGTPQDGDSVEAAPPAHEGATHMEEENNIDPAIANDVQQTSDDCQPDRL AHEIGLVSVTGGQDPRYVGPSSGYSFAKLILASIGRRNTSQRQSIQVNPTVPTSAFKV VPSALPTSIEHALQLSSAYWDNIHCQYPFLHKPTHLRLMEHAYSSGDPSPIALFQVYM VLAISSTILSRRLKLPIPAEGYCASAMTYFDRIQIEGSLEGLQCLLLLQMYGMNNPSM GLNLWYLNYQCIANVLDLGLQRDVKAGKNLSFLTQEMRTRIFWVVYSIDRTLATIMGR PIGLRDEACELRIPADVEDDALLTSEFNNSPESSPTSSTMALHLIKLAQINSEIKYVA NSVSHKIPPHCYPRIPDILTWQQDVLGRLNQWLAIVPRLDINQMKLCEIKYHEVMILL LRPSPAIRTPSSHSLQLCHQSAISTIRGFEELYRADLLLYNWPTVHSVFLACVSMLYC IWTVPNIAKDTRLESLSADLNSASKVLCALAEHWVDAKRGRDVLDELSHATIRWIVES QKTGATATSNMDDVPIPRDVPSTNNVSPENGQRISTGSSDIQAPAISSLDVTLSSEPW NTLFGFSDETLDFGLDSLMQGVFSDYQLDFGQSLPLDNQVTEIDASVL LY89DRAFT_686063 MTVIHVVLFKFLPTTSPQQKSTFLREVKSLATLPCVEDQRLIVG GPSISEPKEVSQGFEFGLVSFHPDRKALEEYQASAEHGRVADNYIKPFKENVIRFDFE VPEGDEHLVGVLPMLGNGKK LY89DRAFT_588285 MHFSLLAVCSLLTAAVAAPAPSSKRHVVHERRSRLPTQWRKNAK LHGDSLMPLRIALAQSNLDRADEFLMEVSHPDSPNYGKHWSAKKIAETFAPSEETVTS VLNWLADYGITSDRVKQSQSLNWIHVNITVSEAEGLLNTKYYEYKHSSTHDTHLACDE YSVSEDVRDHIDFITPTVHFDAKIKNPRKKRSMNDNQIAVAKRQTAAAGHNVQPGIGH SIGSPGDASLPKDGGRVPFGTILSELENCDVSIVPDCLRALYEFPPYFPANPKNSFGI VEYTPQAYLPSDLDMFFANFSPSQVGDRPIFDSIDGGLLQTEVESFDYNGESDLDLEY GMTLVYPQKVTLYQTGDLLEGASFNNFLDAIDGSYCTYDGGDDPNQDGIYPDPYGSGY GVYKGPENCGGFAATKVISTSYAYNEADLTPFYENRQCNEYLKLGLQGVTFVYSSGDY GVAGNGGQCIDPVTGNYTNGTYGMFNPSFPGTCPYITSVGATQVKPGASVTQPEEAAE SVIYSGGGFSNVFSVPSYQKSAIAIYFAEHKPPYGPDRYNTSEHSRGLPDVSANGVNY VIAIDGSFSYVYGTSASTPTFGSILTLVNAARLDIGKSSVGFINPTIYQYPGIFNDIT EGGNQGCGTPGFEAVTGWDPVTGLGTPNFPRMLKVFLELP LY89DRAFT_735416 MSFFGSRSTGGSSDLVSFYEGNGRDFRGRSLANILRWNASKLES SHDYIQTVFPLPEGSGVNWDAPIIDRRVFDAFRSRPELRAKLRESFQKILWFYGLNLE KEGDVFKVVKGGNYEQHKENWDSRFDHNHLRITRIIRCLRVLGLEQEALAFHSALEEN FQYVSSRSREYWRRAAERGLNIRPDLEIDDEDDDTIGPKFLREYEQERKKKGAEAEAE KDKATAELISNSATETNDKEIATDAAQDSNTTAEDISVNGVEDTVAGEDEKVTGEEEN KSAGITKIADAEPQAIADEISDPKEVVKSI LY89DRAFT_648509 MASSSPKIAFLGLGAMGFGMATNLIKLSHPVTGFDVWKPTLERF SAAGGSTATTPRECVRDAPYVVFMVATAAQIMSALFEGPDAAVHELKEGVSLMLCSTG PPEYVPLIQGKLKELGRGDVLVVDAPVSGGTIRAANGTLSIFAAGEEKAVEAAKPILN DMAGSNLWLIPGGLGAGTNVKMVHQVLAGIHIAMTSEAMGFVGKLGLPSKKAFELLKA GEGSSWMFENRTPHLLVEDKTIYSALNIIVKDIGIVTAGGRSAHFPLFLSSTTEQILA SGVGAGLGLVDDANLVGVYLPQDPTAILKLASLPEPLAADNAELRLVEKAMAGVHLAA AAEAMCLAAKVGLDAKTMFEIISTAAGASKMFVKKAPLWLSGEWKSGKTVDDVIAELT EAIEEANRLKYPLHLAGTALQLFELASMKGQGKEPDVAIVRIWDGPNGPLYPRESS LY89DRAFT_719871 MKIYTSPYPAPTLPTHLTLPQFLAIDNPDSVPDDKVILEDDWTG NSLTYGGLRDRASEHAWTWRTWAFEGGFELDDGVTVGIAGVNSVHLVSAIYSVLWAGG VVSLMSPQSTIADFKHALGIVKPRYMIVDANIVGKVAAALGDATTDIIVLGESPVGHN SSLAHYPKHFSTTSRLEPQPLPKGKTAKDVTAIIPFSSGTSGLPKAVQLSHYALIAIL KCSRASDPEMCGREDRSVFFAPLGHIYGFNTVLTSVWRGAYFILMRAFDLERFLRLSE EKKVTILGIVPVIANLMARRGVLDRYDLGGVRVVLCAAAVLEDEVVRRLRERLGGAPI VQGYGMTEGLVSVQRRSEAGKVGSVGRLFAGVEARIVDDNMNDVPPGQAGELLVKGPL VFNGYINNPTATNDAFHNGWLKTGDVVRIDNEGFLYIFDRKKEIIKYQGFQVSPTELE AILSTHPFVLDAAVCAKWDKGQGTEVPLAYIVLSQKGKEMGIEKACEEVRAWFDGRIA GYKRLRGGVESIDVVPRTALGKVMRWGLPARVEMVRERERERKVVGRARL LY89DRAFT_735419 MGEPGSSNQRETESERTPLLPDSERQPNKSSKAPLKKVARWTAR NAVIICMIYNKKKHSHKASVCLTPACVHASSEILYNLDTDYKNIDPCTDFEQMVCGGW DDRHDLRPDQGDAFTGTIMSENSAMLLRHILEAPYPNDSKHSSFSPAQLVKSLSSVDE QNFDKLKSAYDACMDEDTIKTAGIKPLMELLHEVADLFPVMESAFNRRTPLVATDSKS IAETILYLSKQGVSALVSAGAGADDKDPDTVVVQVSPPYRIGLPAKDYYNNAAVVKKY EDTLAKIIANLHPDHKHENATLHAEWMKVKGHGKVAARGDGKNYAHDVVEFEKLLAAA SPDAEDSNDVTKYYNPMSLKEADSLTPQIHLATIINGLTPSNYKTDRLIIASPSYMAN VSDIISSTSKEVLQTYFMWKVIQAYASKVEADELKPYTQFTNELQGKDPDSAPERWRT CVNHVDDGLGWILSRFFVEKAFSEKAKDFGDQIVSDIKEMFIGKLQKTTWMDKSVIAL AIEKVHKIVQKIGYPTKSPNIMDPPSLQTYYQSVNITPTTFFQNTLNMNRFGVVEEWS TLGKPVDRDSWGMTVPTVNAYYNPPGNEIVFPAGIMQFPVFDVNVPQYLSYGAFGSVS GHELSHAFDSTGRHYDQNGNYTDWWTNSTVEGFKERAECFVDQYAEYTVPGPDDKPLH VNGKLTLGENIADAGGVTAAFAAWQKRRAETPNEDLPGLDYFTQEQLFFVSYANWWCG KSRKETAINRIYTDPHAPKWARILGTMANSRDFKESFKCAKKDPICELW LY89DRAFT_108426 MTLWQADWFHFHPMEVPLFGPIVSLRPVNPEPATPRTSPYTITC YTIRQGNEDQAVIVCTTVSMSFAPWTCSTGRASNSGAVPDGWDPEDTSMARIAYSDIL FLRCDNFTDSCFFVGRKLTIPWQPADTTNSGAVGSTIINKAVISIRAPQGSVKAIWRV RRPLLLGVLVRQPMKINCRYPDNKVTTLRKVTRKTSWSLSSRIVPELHPAISIIPTCI NL LY89DRAFT_588434 MNAQLQLNQHRERLAKHLGEKGERESTGTPIAGGKTRISPIHEE TSSPSLDEAFASPVTGLETASTESVKTVRGGLTPGPMAVRTPSYPFPPMRTPQQSFTG HRPFTALSPTVNPANYAGGTFDGGPQDHVISGSVTPASTMRFQPPGYLTQEDPNFESP NLYDLSLMLASEPGLEPWWTTVVEIMRTLYQADRVTLSVPADATDVENVPWGQKATFN AVQEDELSLTYLPRGSSFVPSSVDTNETSNSGDTNPADEYSGTSTPLHAVRPGLLSRH SFTAYEDSKRAPALVSEANRLPVTTRPTPLVRAKSYLSTRPDLPPRTATLQNAELNLQ SLEDHMAFEDAKQSAWENPESASRETRGQVFPVLQALDYEAEPLIDNKGVMRVLNRGR VIALTRDYPYVETSVDEKSRGSKTSSKSKESPEDKLKRNKSPDVSSRISSFFGQSRPR RARSQISDKGKSMPSSRRSLDLDDSPQPAVPRYEEYEQAPPSPWSQSPAPSPAVRAET SENPFFANAPVDEESFNPRTASQDYTGMPPPETIGVDRSWTVLHIPLIHPLLSKPVQS FRLDTAAMESRTTRGKGGLGKNAEDPKTKPKDERKTKPIPIAILSILSPVIPYPSSLR HSLQHLSAHLATSFSLCRHYSNLETEIAGLSRKRPQTTGFGAVAAGGRPSEESIYLGK TTYSPADEGGPQHSTGGSITSPSDYSGVSRSTAGSPGATPGWDPGSVGMSMDKRSTGG SPSYVSGESYFSSRSRPGIGKVDTGSASSIAGARRSSKESSPADTRLQKMTLPTEGGQ DQETSISDFAETPSKEKQEPEDLSSSTSTPKQEPRAQRQSRDSHPETPEAREISPSRQ QQEGQERPHTQLHSYGADFGATFQSLPTTATPLKTPAIPKPHSRSASLSAPASDYMPP PSDRVKSIMLDSLPMHIFIALPPTGEIVWVNSRYLTYRGQSVKDLHEDPWATIHPEEK DDYLKAWSTCIRTGEQFSMQVRLKRFDGTYRWFYTRAVGLRDSRGVIVQWYGTHMDIH DQHIAEVKAARQEEIEASEAKHKQLANLIPQIIFSATEDEGVTFANQQWLSYTGQPFD DALGLGFMDYVHPEDLARCHIPFNSPPTPSAPGPKKPAEPSRNPSQTSSGGKSSNKSG VSDPVTETTIRGRYQSLSRTNSSSDGSVYEFPSADLTELTRSGVIKVDKDSNGRVSYS SEVRLRSKSGEYRWHLVRCVEVDNINLGSGDGSWFGACADINDHKLLEMKLKEAMESK SKFLSNMSHEIRTPLIGISGMISFLQDTPLNDEQTDYCNTIASSAEGLLNIINDILDL AKADAGMMKLSYDWFHTRSLVEEVNEMVSTIAITKHLEVNYVVDPDVPEMIKGDRFRI RQVLLNVIGNAIKFTTVGEVFSRCTILKSDDGDLRENEVMLEFSIIDTGRGFTQEEAE MIFKPFSQIDGSSTRTHGGTGLGLVISRQFVELHGGKMEGSAIPGKGSTFTFTAKFGL PTTDDHPDPPLTPALSKVPSAQSSVRSSISDAGLRPLKQIAGSSFPQTESVLTDSPAV LSSGSSEPSLRSARSHATRSSNSSIAGSLARFGEAARSSSVDPSNMKLALPDRLSPPP GSPPLQGLTPTPQTISTSGSTSIQSGTPTDAKHFRPPMFSILLICPQTHSREATTQHI EMTLPKDIPHQITGLASVEEARVMIGGEDPVIFTHIVLNLGSAEEIVALIDQIVASIS LPQTSIVVLSDPVQRQEVMKLATVYDYDQLAKDNRVTFIYKPVKPSRFAVIFDPEKER DLSTDRNRFSAQQQVANQRQNYLDIGKRLGNKGHKVLLVEDNATNQKVLLKFLSKVGI NVDLALDGVECTDKVFSQSHSFYSLILCDLHMPNKDGYQACREIREWEKKESHPRMPI IALSANVMADVLDKCVAAGFSNYITKPVDFKALSTVMGDLLDPLPGPEGGKI LY89DRAFT_686071 MIRTCQNSRLVRTQLSVTESLPFPSLLICNHPPRSSRKISFFPL ARSLNPSLSQRYYSTRPPSFIPNAPKIIGPKLLGNYQYLGMYHIYEVLTSPRTFI LY89DRAFT_108560 MNQPVISTPPAIPTIITRKRIGDINRTRLLTQASEYYRLRLGEK TDPAKTQRAGHGKSKFPHKKRNMANPRAQNHTSNSNHPAGFTESWETSPRRRRFLLPS AFKLMVLRDKSSTLNLFDPAEEEVEINPTQRLLRESKHKKIHVDTQFKAKISISQTSV EIRTSKTILSSTHPTSILIRIIYLPFHFISHTCDPTHELILSFHPIPSFHPGLPSPMT LY89DRAFT_735421 MDIDGPAPPGAAAPTPSSTHTPESLRQRQRDRLSPRGRFAKTSR ISESVREIDPSRKNGITLSPAPKPKAIGTRSSDLMKKKQLQDGLRKENSVNRRARRDA EDMRYDVTPDGGSAGREGRQFTVAKVGNNGKIYLRPTIRPANQRYPQPSFVFPITPPS TAGLDALVARNEADDSQRDSLWTPTRSPATPAQRDRDQYFPQIKSPTQKPRHKRAHSY STVDEQHVPVLDSDAGAFKVVIERPGTGPKPAETFIGIPTLEVPIPSYKLGTPRFSMR GTAFLRGSSYTTTDDMRSSVFSYKDASREQSTDRRPRILSRRHSDASPQPYQPAVPAS PFGGSNQSIAPGIIRQRTAITPDMFDALTFKPECDHPSVVRYSASGGIRAATPARLVA EITSPTFVDYDLLSDFFLTFRSFLETSDLLFMLIARLKWALARGDETGTVVRVRTFVA IRHWILNYFLDDYVVDYDLRVSFCDLMNNFARDIDQDPVRTKIPLKIVGELKKCWRRV CALYWDGPEFDADLGPDIPIRPGGVAGSRNPKLDPSFWETHPNGPPRLDGIIEPDFID SEAEAADRQNFFADVSRAGHLDTMIMPHQIPTIQKEDPLEDPPLSPTSIMSEDFVSCS FPSRTRANGGTNPLRAHPVPASSIYDTSPHVATTPRALTGKRVRPTHAHKRSASFSDS LRDKRAQQQPVHKVIYKSTELFLALPYAGSLVRGNLFPPGQAFVEVLAPSTPAEMNRQ TTLFPGTGANQKGPSAMSGPGMKKLLGSVRRALSTRTGQSPNASPTQGSFPNIPPLGV RGATINRLPGTAIVPQARPRNTSAKAPMRIDLLGAEIAEDFKKAVREDAEAEAELRAA ADHKSTKSSEHETALNYSVMAPDITFEDSRPTPHRAPVSEMTTGSKSIVIVDDTLPTD LPVMTGALPMNPSVDTFADTFMHPSGGPTPPSTPPDAPLGTPRRSSHLLGQHTRTRSH SFEQTPSLVNDSRPSGDDGRSPSHRPPGRLAMRGARGQSYKSKRSLSLRRYASYHSGF TRDLSERSFDASTFSEAEDVHRLSDVPSVPLPLRVLRRRPGGDLRAVSNVGDLNAHPL RRPMSTGSLTTYSESVRSSYLFGHVSSDYVDVPQEEQPQTTSTFSLGALAESSPKPRA SLFSTHSSQPVMRPSFEKEAQMLAQIPDDEDDDGGVESALLKLEGKFEKRRSDVSGQT QPQELLADGNSFGRQVSTIDEEEEEKRRHRQKHVLETGMTKTPPPFIETYRPQQVSNL EELKPTVYQPRRMQPPASSMLISPRSVESYNSIPLLERGLTDDGNERRERNWSDHSIL RDASNERAAFEFQTPESSHVNSFDFIEETDSMKRIAAGDTRPSVSQSFLDTDSDARSD LSSEISMEIISRTEYTAHDSTSTRAFPPFRSGTNKENDLPSHPLAHPPSPPMTLIQAL QLSPNTAHIPMVHEGQLDQQQKQHKALPPTPEITPTATFPIKGFGGTRGASPTMDPLR SHQIPEASRKTSVHLPFTLAFDSQVLAQQFTLIEKDALNEIDWKDLIEMRWKDASTES RSWVDFLRASEPRGVEVVIARFNIMVKWAVSECVLTQDLGERVRCIIKYIHIAAHCRK YRNFATMTQLTVALTSKDVARLTKTWAHVPAADVQTLKELETLVTPTHNFHNLRAEME GAGGDQGCIPFVGIYTHDLLVNSERPSQIASTPTTEPLVNFERCRTDATIVKNLLRLL EASQLYRFVPIEGVTERCLWMAALSDEEISRYGKIIQP LY89DRAFT_708184 MTDLTPNPPSQINIRYPSLYQTSSASATQEEAPAWQPPPLEFLE RTWTVTHSTLPMWRKAKNVRITYKIIPGNGTGTGTGPVLLDDVVESVPTARTFLPQPR MIKGVDTPDEKVPAAWNWMGRGWLRITSSHWEVLGWGERDGEGDGGKERWVVTWFAPS LFTPAGVDVYSDRRGGGSHALVEELLRRLEGLGCREVSEVCRGEMRKVVVDDA LY89DRAFT_648528 MPLHLLGKKSWNVYNTDNIERVKRDEAIAAAREAAEEERMQELD AERRMQILRGEVPTPLPITSSQDDQDSQPRREDGRERKRRKKAGENDTDFEMRVVRED AKRSEQTSQLVLRKDSGAPLTDHKGHISLFPESQPKRQEKNAEAEAEAAKKKKEYEDQ YTMRFSNAAGFKQDIGGQPWYSKAGREDETESEVVVGKDIWGNEDPRRKEREVQRLVS SDPLAMMKAGAAQVRHVEKERKKWREEKERELEALKKEDRRRRKRRRDEEDDLDSFRL DDGESRREHRDGDRSREKEREHKRRDSDPRRSSFRGDDRHKDRHKSSHSHSHRHRHHD R LY89DRAFT_783470 MNIRQNLFGQSPKAGRTAGGYDRPPATPPRDEVMVGGYDDPRQY GNPPRQSYGAPMSPERQMPSRPPVGRAPPQPKSPGKRIPLRIAKVEDKTLQSQYIFGN LCAVSPMDFPPSRDGSDLYILLNGRNVVTARPLQGFPPGCISLSDPQRTWCDVGMMDQ ITAELYDPFQQGPSTYLGALDVDVGFASLKKFTETPYDQDVLADVFIKQFENQIFAPG QRLLMDYKNIPLMFMVKTVQLVDLSMEKSSGAAPTVSNLAARGILTRHTPITFYKDAK SPIKLKGSSKRPAANSIIAPDFKFEDMGIGGLDTEFSAIFRRAFASRIFPPGLIEKLG IQHVKGILLYGPPGTGKTLIARQIGKMLNSREPKVINGPEVLNKYVGQSEENIRKLFA DAEKEYKEKGDESGLHIIIFDELDAVCKQRGSGAGGGTGVGDSVVNQLLSKLDGVDQL NNILLIGMTNRMDMIDDALLRPGRLEVHMEISLPDEAGRAQILKIHTSKMRDNNVMDT DVNVLELAHITKNFSGAEIGGLVKSASSFAFNRHVKVGTVAGVSDDIENMKVNRGDFM NALEEVKPAFGVSEEELETAMSAGILHYSPYIENILKDGRLFVDLVKNSATTSLLSVL LHGPPGSGKTALAAKIAKDSQFPFIKLVSAENMVGFSEMAKIQYLNKVFTDAYKSPQN IVVVDNIERIIEWVPIGPRFSNPVLQALMVLLTKQPPAPRRLLILGTTSQRSVMRQLD LQQIFNRELAVPNITSHQELASVLREVQAFEHESDLAESLNELRSITGTDEVGVGIKK VLLAVGEAKQDSDMSGRLAQVISEQMVASRG LY89DRAFT_686075 MGLFVLTETAAGFALFKAKDKKILQKDDLSSSDAEAINELLKLK TFEKFDSAASALEEVACITEGKVSGLLGKLLDTLKDEKKASLAVADPKLASAINKLPG LTLTPISDSTTNEIYRAIRDQLPSLIPGLKQENISTMALGLSHSLSRHKLKFSPDKVD TMIIQAIALLDDLDKELNTYAMRVKEWYGWHFPEMGKIVNDNLAYARVILTVGMRTNC SNTDLSEVLPEEIETAVKAAAEVSMGTEITQEDLDNIQLLAEQVVGFTEYRQQLSLYL TARMAAIAPNLTEMVGELVGARLIAHSGSLMNLAKSPASTIQILGAEKALFRALKTKH DTPKYGLIYHASLVGQATGKNKGKIARMLAAKAAIGIRVDALSDWSASGEGKGDDIDD EERSILGVTSRAKIERHLRALEGKPLLPRGVAVGPNGKAATPGKWEVKEARKYNADAD GLTGDEPAAIEPVSEKKSKKEKKEKKEKKSKVEEVKKPLIQEVDEDEDSDEEMADVDA GLGLAPPNLNGTKAKPVANVKKVDEEEGSDSESDKQWQSSITGNTKEAKKARKKERHE RNLAKAAKKAEKAAKAAAKAVVSEPEQNGEDPDIKAAEAMGLSLKKYKKKLAKGSIKV SEDNTPVKVKTPKPSEAGSTPDTSSKKRKADDAEEGEKSEKKKKKKNKN LY89DRAFT_708188 MEGLLFNVNNGYIEGIVRGYRNNLLTGQNYNNLVQCDTIDDLKL QLGPAYGDFLASLPPNPSTSSLAARTTDKLISEFRYVRANAVGSLAKFIDFLTYGYMI DNVALLITGTLHERDTRELLERCHPLGWFETMPVLCVATNIEELYNSVLIETPLAPYF KGSLSHQDLDELNIEIVRNTLYKNYLEDFHNFVNTDPEMAGTPTAEVMTEILEFEADR RAINITLNSFGTELSKADRKKLYPAFGRLYPEGSYMLSRADDIEGVRLAVDGVGDYKS YFEATGMGQNSTGAGNMSGGAGSDGKSLEDLFYQKEMEISKGAFTRQFTFAIVYAWVK LREQEIRNITWIAECIAQNQKERIGNYISVF LY89DRAFT_686077 MPPRISVPLRFSVSKLCLRPAPSPSNPQLPIIPVANLVTMAQRR KYKDPYALAQAKQRKDANTSRQAELQKQRKEALGDPVRGITTEFVQSFDNVGGSAVLQ EVTSTENPSEGVRITSADDVLLNHFLKPSELEASIRHSQLLTEPVIDKVRDSADPALE AAAKKKHEEGHKNATAALARIVSLANASQKDKTRANIMRCIDIFGRHKTDSTLRPRAP TNPLILGDKPLPEKTPRAGPDTGSSEVQIAILTAKIRVLADQLDTRGGKKDKVNKRNL RIMVHKRQKLLRYLRTKERGGDRWQHVTNTLGLSEGTWKGEISL LY89DRAFT_708190 MAPSQLPPIFNATSQDIEMLLSAQCHLGSKNLQTHMSPYLWKTR PDGINVINIGKTWEKIVLAARIIAAVDNPADICVISARPYGQRAVLKFAAHTGAVAIA GRFTPGNFTNYITRSFKEPRLIIVTDPRTDAQAIKEASYVNIPVIALCDTDSPTEFVD VAIPTNNKGRHAIGLVWWMLAREVLRLRGSIANRETEWDVMVDLYFYRDPEAEENKEA LEEKVPGADEVGTTAIETGFAATGGDWEVAGPSATAFAGATTAAPAGAGWDATGADDW AASGTATGEWGAATDATKPAGEW LY89DRAFT_108819 MRQKEDHAFPETATYPPEAVRNRPDRVRGSVAPLTHHTHHPDHL HVPPRPGDPPEARRTAEAQAHPPVPQNGRPGAHCDWHMQQWPHTPIRELLHSAPLQRS ARRRGTTQSSQRPIISHNGRSLRVEYARCKAAVWGSDPLAAHHQRHVLLARK LY89DRAFT_108760 MAYQSTYYQTTSLPIAVPHKQQYAYAPATHGYAVSGYAVSPPEA PESVTTGSGTYGHSAYSATSSSYAGSSSEYDSTSSANGVDMQEYMQDRFQGAFDPLPL DRTLAVQAQTSGLLNAKHRELLDLQALAQQRIAKSRARLAEGYQDAKEVKRDLEWTQK RVSSMKTKASRKHPAEYRQARARYPSPEY LY89DRAFT_783476 MSPSSNSIPATPRVISPSPTPSESRDSADGYFGPMTRSARKKQA AASQVPINEEIDEDDEVNAELRRARSKSRSPMRVRRMSGLTAVTKAPTKTDSILPSKP VQNGKATNGHLSPDSASGSGWSWRDISRSPSPLGLIPIHRHFRVFVHKHEVPRKLLHV SIGFFTTWLYVSGAQTTTIAPYLMSALAPITAVDFARHRYASFNRLYVRVLGALMRET EYAGWNGVIWYLLGVWIVLSFFPKDVAIMGVLLLSWCDTAASTFGRAYGRYTPRIRKG KSLAGSLGAFVVGVLTAVFFWGWLAPRTSPYADDINFPFMFTGTLSLPAPLRDLIGIT KAQGSISGGLALGIMSLWTGFAASASELVDLFGWDDNLTIPVLSGMGMWGFLKIFG LY89DRAFT_648550 MAPDTAPKKDPRAWDALTPPLAEWILDAITAMGFGKMTPVQAST IPLFAGNKDVVVEAVTGSGKTLAFLIPVIEKLLRLEEPVKKHHVGAIIVSPTRELATQ IHSVLLSILAFHEPSSQALNPSEEGNAKRSTSTLTVLPQLLLGGTTTPAQDLSRFLKN SPNLLISTPGRLLELLTSPHVHCPQSSFEVLVLDEADRLLDLGFKDDLQKILARLPKQ RRTGLFSASVSEAVGEIVRVGLRNPVKIAVKVRGASGDDNRTPASLQMSYLLTPPTHK FPALISLLSKLDPTPQKSIVYLSTCAAVDYFQHILSALLPDQFSLIPLHGKHPPNVRQ KNFARYISAVSPTILLTTDVAARGLDIPQVDLVVQIDPPCDPKVFIHRCGRAGRAGRK GLSVIFLQPGREEDYIPFLEIRKTPIALLKKPTIFVTDEDVNKTISKMRKEVLADRAL YDKGQRAFVSWVRAYSKHQASSIFRVADLVWTELGNSWGLIRLPKMPELKKWEGDKSL GVKVDMSEYAYKDKAREKARRVAVEEEKTKAPYVPSEEQIKKRKEREAWSHKHEQQDE KEARREKKRRKKEAERLAVMTEEEKVKERELQDLIEQVKRKKLEEEDEFQGFDD LY89DRAFT_686080 MDFDELHEDASAMAAAMGFSTFGSHKPPAKKRKFNPATDAFVSG QELESIDRGGKKGKGSGGNTMPLGKVRQFGVERRNEDEIGLDVDDEEDGESFATTRID LPAERNHVEVSGNGAMRSERREGGTTDKERLPIRRASHNGGNEDEIGLDEDEDGVMEK AQNEEENDGPAYIVTSEPAPIEAVPPLDPEAVEMQARIDALLASIEEGPPPEENPITQ SHLPPPPLDLPAKPTFMDQGFGVGSQRGGRGRQGRGAFSDTASVASSRQSHGEKNPRW FEGYYDPTFNENPWRVLEVEKGMESVGTWLGLKDRPGLSHE LY89DRAFT_686082 MSETAAPKRQACPGSCHCGFTQYIVFLILPHPFSSSHPPPPHTG QEVYRCNCRTCYKMNFFHVHPAHPRDDFMLLSPLDPDRDLSTYFCCEKKRKFYFCPKC GVRCFTFSGVGETTVVDVPGEEGKREVWQAKWDGGMETRPYVSVNGTTIDAREDFDLR VLTEEKRVQYFDDRSELGEKKEERWDRPHYGGSY LY89DRAFT_588283 MEKPLMDDRSYRVIQLPNQLEILLIQDPDTDKAAAAMDVNVGSF SDPDDLPGTAHAVEHFCFMGTKKYPGENEYNTYLTKYGGYSNAYTASTSTNYYFELSA SSTSNSTGSSANVKQPNVPIAKDKAPLYGALDRFAQFFIQPLFLADTLDRELRAVESE YRKNLQSDAWRLLQVSRSTASEKHPIHKFAAGNYRCLFEEPVSRSVDIRKRFIDFYEA YYSANRMKLVVLGRESLQELESWVQELFSDVLNKNLPRFRWDGPPALGEPELMTQTFV KPVMEQRLLNIDFTYPDEEALCASHPSRYLGHLIGHEGPGSALAYLRELGLVESLFAE ASPQCPGTAIFCIETRLTEKGIQQYREVLKIIFQYIAMLKENPPLAWISDEMSRLAEV EFKFRQKTPPFRFVSRLAQLMQQACIPREHLLSPSLIRKFDPENIERGLSYLRPDNCR FFVVDQQFPGDWDANEKWYETEYKLEKIPEDFMQDLWAAAQAPVTERPSELHLPAVNE FVPERLEVERKDVTEPARHPTLIRSDDNVRVWFKKDDQFWVPKANIRLLLRSPVASLT PMNAVMTRLYVDLVEESLNEYAYDADIAGLTYSLSESAQGLNIEIDGFNDKMSVLLEK VLLGVRDLEIKQERFDVVKERVRKAYKNFEYRDPYRQVNAFSRMLISERSWAPFQMLE ELPAVTAEDMRSYFPELLRQMHIEILVHGNLYKEDALTITKLVESALSPRRLPESQWP SRRAIALPSGANYLYEWVLKDPDNVNHCLEYIISAGSVSDRSQRAKLLLFGHIANEPC FNTLRTIEQLGYIVSSDASVYVTVGTWRILLQSERDCKYLEERCDAFLVKLEQDLCAM TDETFEEHKIGLINKRLEKVKNLSQETSRFWTHITSEVFDFEQVYRDVENIEPLTKND ILEFFNLYIHPSSSTRAKVSVHLIAQASTGASAAAEDSAAVEENPNVALRTEQDVVAA NRHEPSVSSAKIPVKVEDVKAWKASLHLSAAAIPVTSLSEFEEFSSKL LY89DRAFT_686083 MSSIDNALIHPVPLLSSIEPQTLSGKESVAGSGSENEKFAQQPL ESSIGDSNPDVVPVDSDVVLVDYEENDPENPLNWSPTRKWLMVFAISWMGFVSVFATM TITPTAPQILQEFHSHNTLDQTLLVTIWELGEAIGPFFIAPLSERFGRLPVFHTGNFL ALCCLVACALSRNIPMVIAFRFLTGCFLSILTLGPAIVGDLFEMEQTGVTMSLVMGTP MLADFISPVAGAYIAQDLGWRWSIWLAAIVLGFFSLFLFLVLRETYAVVILRRKAARL QKKSVDGKKYRSKHQARVDASTILESVLRPMQILLHSPILMLTTSYMALKYGLLSLIL ATLTETMESTYPTVFSRGSVGLTFLSLAIGNTISLIFYSLTSDRYVIHQRKKKGDAFK PEARLVHLLAAAIILPIGFLIYGWALRYHVQYIVPLIGTAVAAFSTTLSTVPVETYVV DVYKIHGASGIAAGVIFRATAGAFLPLIGPPLYSSIGLGWGNTVLAFIAATFIPPVAL LMRYGDWFRSKERVLKSGR LY89DRAFT_735436 MATIDTDDTVIGQIQYLARDDQHQLVKPYYLYLDYDYDLAPTNT TADDRLVQIRNARSLDIPAKEMFFQWGFAQFHLDCPLTPEEYWYKEKVEKILYPKYKS IARSLFPDAARVEVLEHATRKRHPRWMSETIERHHLKTNQPSDYVHIDMTASSAAKCA IKQFNIHPKDYSRFVVMNIWKPIKGPVYDFPLTLCDRRTVDYVSQTTAMDVVTNNFAN ENSRVYFDEKHEWYYWHGLQVDEVVAFIQADSELPNRAGTYYGLYIYLCRV LY89DRAFT_686084 MPQSTSTVTEQRAQPWQAMQEVQSLFKPEMPAATAQSGADATSE TEKIEDKPLKLNLFRQNLNAATTNIADLKEEN LY89DRAFT_648558 MLAIVVIPALLILWIITSIRRHNKSGLKDIPNAHFSVPYSRLWL LSIRWRKKENRSRIYLHRRLGPVVRLAPRELSVNCVEDGIRTIYSAKFDKDADFYHAL VDQTGFMVTMIGNDEHRRRRRMLAHPYSNSYITNSGTIDNILSRVKHRLQEGMAQWAS TGASVDVYMQAKCSMLDVVTGFLFGSENATDTLLDPSFENDLTTLTQATTKNLHVRTS LEWPMSYFASWIGGNVRPDPVARSRWEEWLTQVITNSYRSHPTKPSSTASLYDHFYDN FKAADPEMSLNDMASFIAVECDDHLSASHIGLGILLSYTMYELSRAPHWQHALRKELG TLNEPSELSLAHRLPNLRVLDAVVTETMRTRAPCPGPFPRLVPESGCRLVGKFDVPGG TVVSSSAWALHFNPDPFPVPDEWRPQRWLEADEKTEVEMRKWIWTFGSGARVCIGTHF SARVMKELLITIYSNYETLLDEEFTESVEQEDVFSSSPLAGRIQLKFRRLTQPDTMVV A LY89DRAFT_719891 MEAVDPAAKVEFDQALTYIKDVENSVSPDTYKQFLDIMRSVAER GMDPVPNDEVLEQIQALFATSPGLIEGFKKFLPVAAPPATSSLAKGIEQDVATEEEAS LY89DRAFT_708199 MDPPIPYPTLQTWKSQCHSLDSSLFLLTLPKIELHVHLEGTLTP SLRFALARRNNIPLHSSRLNKDFHTLDELQEAYNLLQPRSVKGRGVSAFFEAYYGGME VLRTEEDFYELAMAYFDKAKAMNVRYAEVMFDLQAHTRRGVGVETVMVALRRAREDAE KHLDVKSNFIACFLRDQSLESALTHYELLVPYHDIIVGVGLDGNEFERPPMLFDELFK RARRDGLRITAHCDVKQPNTLTNIRQVVEEIGGTGADRVDHGLDAAADASLVQAIKAK GTGMTLCPWAYVRHHTEQDLFGFLRVLKDEQVKICISCDSPAYVEDNWVLQNLSLLRL RGGLTDEELLGAQRDAIEMCWASEGVKTCLREDIHRFCEISSTL LY89DRAFT_735441 MTSKTNSETELGTEPETDPETDPETDPETDPETDPETDPETDPE TKPETKPETEPDFATCNKNPD LY89DRAFT_588302 MIIVHVNDRLGTKAAIPCLASDPIKLFKAQVAARIGRKPHEILL KRQGERPFKDQLTLEDYGVGNGVQIDLEIDTGE LY89DRAFT_708201 MLTTTPHLRTRRPSPTTVEYIVSTAPDLTLPLRALTIFTILLRL LTGLSVILLLYSKFLQSSYSLPLTPYSSPPPLLTTDYIFHIAYNVTTSSLGTIFGRLS SRIPALFLIPTAFGLLYALSLRLHTIESLLVLRGLGIQTSSSSSTYLSSSTTRFIPTE KIQDIFINEAFRGFEVRYYLVVVVEGEESVVVVFPRLLPRRAIVEKVWRGARGCLFEG VGEKQRAKEGDTAVGKG LY89DRAFT_735444 MDALKAEKPDYTAWSQEELIKRVTSLENELKLKNSSIQAPLTER KTWKKPRSERAFDPSKYSTRLIALKLAYLGKRYNGFEHHTGHTTPLTTIEEELWKALN KGRLIFPQGSHPLNPGEVNWEGCEYSKCGRTDRGVSAFGQVIGIRVRSNRPLGKKKER AKAPATGNELDKLLGQVEGPGGIVNNKAPEDGTNEFNTLPRKNSLEMVSPPLAPSRTQ GEEQDVEPEDLALDDPDFEAALNFDPIADEIPYPSLLNRLLPPDIRILAWCPAPPADF SARFSCRERRYRYFFTQPAFPPIPHHFELETPSKKRQPNVKDGWLDIDAMRTAAKLFE GLHDFRNFCKVDPSKQIANFERRIFFADIEEVDDSTNGLNFVNGPEFVPVGSATSGSP KVYTFTLHGSAFLWHQVRCMVSILFLVGQGLEKPSIVSELLHVDKNPRRPTYEMATDT PLVLWDCVFPREDDPERKDAMQWVYVGDEPGKGDTKYGTSGLVDDLWKVWRERKIDEM LAGTLIDVVSKQGRPVQDLTAGRASKATKSQKVFDGGDTPRLQGTYTPVMKKQLMETV DVINEKYAVRKRFENAEDLKKQGFRRLNRPEKESEEASVNE LY89DRAFT_587808 MDDPPKVNILLLGDSEVGKSTFLGKGSSSHDPKTPIPRLRDHDQ PFIFEIKFFNRPYRFSFYDTASPENWTLLTPDVIVLCYDISTRLSLINVQRIWVNEVK RLFTVSKELPVLLLGLKRDLRSEDDPNGIIYPQEGYRIAQEMRCDRYLECSAVTGELV HEVFEDICRTAAKSTKDEGGLSEGGCVAM LY89DRAFT_108924 MYTKTALVSALAGLAAAAPAPRSDNSNVFEAISLHSGSPVQGST INANGNHFYINKAASTYCPSGVEGLDCSQYGTQTIFAFNPSSSGLALYAAVPGGQQIY VEATGALGYTIPHSGAIPNDAYVSPFEYIVQTSTGTVGKLTFEGKDFNACPTGETNGE VDIYQIFASAVSSDTQTGCIDIAIGTATDVAAPTAYEYS LY89DRAFT_648570 MVRNIVVLGGNSHPQLVDTISNILGVPPCNRILSKFSVGESRLE IKDSVRGKDVYIIQSGGGKVNDNFVDLCIMISACKTGSAKRVTAVLPLFPYSRQPDLP YNKVGAPLSKAPTDVNRGDFTFESRPPTPGPGQPQSQSLTNGNGNGMEGLQKKLGKAS LEQQNGTTYTNGITPPRRSDTLDSSSSVTGRGRGSSSSSASAPPFTTHDYENPTMLKE AGKIFQAKPGYKQWVAQAGTLVADLLTCAGADHIITMDLHDPQYQGFFDIPVDNLYGK ALLKRYIQTKIPDFKDAVIVSPDAGGAKRATAIADDLNVDFALIHKERRPTRITDRQN ATMMLVGEVAGKVTILVDDLADTSNTITRAAKLLKKEGATKVYALLTHGVFSGDAIAR INASALDKVVVTNSVPQDEHKRLCPKLEVLEVGTVFAEAIRRVHHGESISALFWE LY89DRAFT_648574 MKILEAQSATLTNYEVYQHLTEQKRRYSGTKGRRPGNLETVVKE LLDYFHEAPSPLASKPFPYHDGVFKALLERLRPWDFTKAEILMIMNLRPTKPENLNTI VEEMEERFPGDELQWEIVSAIAEVLGKPDGEAERQAMSDEAKEARKEQADRRDDVDMD G LY89DRAFT_708206 MKIKALSRPSSLAQAPGSDVQRTPRNLDPALHPFERAREYTRAL NATKMERMFAAPFIGDLGKGHVDGVYTMAKDPTNLQRFASGSGDGVVKVWDLTSRDET WNTSAHENIVKGMCWTQDSKVLTCASDRSIKLWFPYDTPSGSAPTATWLGSNAFTSLS SHRSKASFAASSGVISIYDLSRHTAPPEVLHWPTSTDTITTVSFNQIETSILASCATD RSIVLYDLRTSMPLAKTILNFTSNAISWNPQEAFNFAVANEDHNIYVFDMRKMDRAQN VLKDHVAAVMDVEFSPTGEELVSASYDRTIRLFSKMKGHSRDVYHTKRMQRVFSTKWS PDSKYILSGSDDGNIRLWRANASKREGVKSARQRQALEYNEALTERYAHMPEIRRIQR HRHIPKVIKKAGEIKSEELKAIKRRQENERKHSKKQFQKRRPEREKMVLASEK LY89DRAFT_619217 MAPADSSRIVEAQKLTKTNPREAEAKYKEIISKPPSATSDAAVR EYETALVSLGELYRDQKKADELVELITTSRTVLSSFAKAKTAKLVRQLLDLFHGIPSS TDTQISVTKSCIEWATSERRGFLRQNLETRLVQLYMTKASYYDALTLINSLLKELKRL DDKLVLVEVQLLESRVYHALGNVAKGRAALTSARTSAASVYTPPLLQAGLDMQSGKLH AEDKDFNTAFSYFIEALDGYHTQDEPEKATAALQYMLLCKIMLNLADDVNQLMTSKQA VKYAGKNLEAMKAVARAHSNRSLEEYEKALGDYRHELGSDPFIRNHLRRLYDAMLEQN LIKVIEPFSRVEIDHIAKMVGLDTIQVEKKLSQMILDKVIIGVLDQGAGCLIIFDETE RDEGYDSALATIEKLSSVVDVLYTNQASMLE LY89DRAFT_648582 MHSHIPSSPPIAPRRYTYTAHIRQHPQFNPDVTQTPSIVFSSPS LPPPIQPGRDQASLAPASQHPDRPTLSPIARAGRMLQNIVVPSSPRSRSQSLGSPFKS PAADRRSSSVIAQPATESPLLERRTGPLPKLDLSSHFSGSSSQYHQRSTVRQHEDPFQ SSVLRQQRSPSPEERQQYRLGLPQDRRYSDPRLSDLRSGVESVHSDNDMSWQAEEEIS VPLSSASMTKDDATSMNTNGSSSEHRTLTSEEKWSAERAEVIKQAESADADRLIVIGS DDDGFNDQEFDDDEGFGQLLETLNSSSPAAPKTQEASRDIAEKPRRSKIPSPWRKNSK CLVYSDELSHLASSPAAAKQTLRDNSTKKAIPEPVTIRRSLQPELSDDVSADFSGWQI PQKSNFNPRPREPINVDLSALLSASPPKRLPVLPKDRQQPTVQKTTSNKASSSEPPSQ EIEPTHERRTSFAPIPQKMGFNPRPRADSSSPVKQPSLGQILFGNTRRTNLNTPPEPS SSSSVSRLLSASSPSRTNTIPACRTPSAQVSPLNSERDSSLISTSEKENQVTNSRTLK WTESLQLHTTRTLIQTPLPPPNLSPTKSCLRSPMKTPSGPGSSENVSPSKAVAFVSSS PMPSSPTTAPLSSTTWSKDHWKLLDSILQQWKPENQNSSPLSDGSGSKEKRRRNSTRV ISRLLGKTVSSQGEKLRLEQWHLEVVDDFRGYVPGWEEKAVAMRVFSLVIGEEKRALR AAAQSAIF LY89DRAFT_686093 MATAAPLQATAAHNLSQSARTEAAYHANESADDTYIPRGDTVVT LNYFRPPEDGSVPFNFVEKQPEGQPQRNFGDFDVQVPITDIRGRESEYTLDKDAFAVV SGVPESAERDFVDDESIKKNYYPEVEKLLLDHVPGSNKIFLFDHTIRRSTPGAPRAPV TRVHIDQTKKSSTWRVNLHLPEEAEKLLQGRYRIINVWRPLNGPVQANPLGFASSSTL KDEDLIPIEHRYPHRTGETAGIRYNDSQKWHYLSGMKNDERLFLECFDSEASKDGSNV LGGRVPHTAFQDPRTPANAVGRESIEVRALVFGP LY89DRAFT_109012 MKIDPEGVFMLGTDGVLRSFDENHMVLDAVGLSPEQIKEMLDQH PWDQEIEDKYRGVDGTNVVDMKQLYEPDEDSRPKELTEEEMRQAEEEIRVHNEKLMQQ MEQDEKDGVDVAEKYRSKSNY LY89DRAFT_619223 MSSKRDPLQNAFNLTPSISLKHRIVLAPMTRMRASDTGLPHPRT AEYYASRAAPGGFLISEALEIHPRGKGFLHTPGIFAEAQIEAWKPVTAAVHKKGGIFF AQLCHPGRVAVPSQNGGFPPLSSTPKPLPGNHPNFGQDNSIGEPYVESQAMSLSDISD VTSQFVLAAQNAVRAGFEGIEIHAANGYLFDQFLHDNINDRTDRYGGSIENRTRFLLE TVDAIGKEIGCEKVGVRLAPWYRQKGTEDSDRIGTFSKMAGALDRRGLAYVHLIEPRY DLGERNSLAKESGPVDAGKVFKGLEVSLWPFRRVLKNTPVIGAGGYDAVIAREALDEG RVDLAAFGRPFTSNPDLVRRIFGGLPLTKYDRKTFYTQGMEGYLGWKTWEEEENGELK LY89DRAFT_648588 MSASPQLSPMMGSAHRIDRLQSTSPPLPQQQLSKRDKRRTQLLE RLNDMTAGFSANRDIHYRDQLQAIQVDINLITNADPHSKDVLPDRPEEIDSLVTKEVQ RMMMKSLSDKTPLRAGRIYADFAKDVNDAIEERDSALTQHKRNFDVKMTEIAAQHAYK KKLATNEYKALSSTMRDRLINSVTSKKARLSKDKEALEINNDNAYLLHPSQFSLTNPA SPGGVHGKRATRHRREADELPNFAESHKRKRKAQDSDESPAPSRQRLENGTSTPVWHA EKQHANALQFDTPLYSIEKLFTEKELSMTYNSSALAAYSYMNRPTQNGEDIDTPSNGK SDSSSENEKAAAAAIEGEGDDAESPPGGVGMERQYSHATRSTRGNHLQTGLGFEAFPD LEYPGTLEALSKQIPKLPPMINSLGTRQFSSRTDTVASVNGLSPEDAAAEIDLIRRAR AYNDEKGIGKNLNLEPGAKNLLEQAAQTKTYKHLLEAFATPKEYSYITPSDVKNVLYM NKMQNLATSSVREEMGGLEMVPQLSQGASSIGGTPMSRQATDDSITKTKSGRASKRRT D LY89DRAFT_783500 MPRKAFVADIQAAAAQSIPGITSVTRGADDNDVIVLFTPASGLP IEITVMVTPDVASYPTESSFMLWTDTPGVSQAATDTIQDITAGSTGLLVPDLVNTISR RLSRALATGARNDPVSIDDDVEMLDVQQSGDGTDASDTDYGYESDHFGIEGGHVPGNG ASNATISLAPEAAAKMNSRIRQDLRSAKFAGFSIGILSGMKADSVNSMLSLSIRIAKL GLSEEALQAWDLEPHQYIVLIIRYMSGYKPFDSVILEAAKNLDISFRIGVSKQYKPSL VEALAAFTDITRNAGVSTSEQASGDGEPSSNNVAGFSNMFISSSLNDFVNGQFISLLK IRSLVGLGWDGAKRYFNDKQGRLDQQSNDLPDIYYQETTKQDSTLPGVVTADHLSDQK SSHLSVPLLAAQFCMRYLTRCTEFCLVCHDKIEEEFEALKPYGNKPLCLYQYMSLGFG PSVEHEILTQPYVVDLLVSFCYTSAFSQRLREYPTGMSLMVPPVVNRITQAYASYGMR QIPQSSTAAVPVASADLGGLVLDVLFDTGRQELLFEAGQSPTLRVGDWLVVNLVGRNA EHYRIEDVSLFPTIRLSAAVSQTGNLSNGLPSRDPTVNLATPATTPPPTAVVPAQIAP YNQNFDDMTDPSKAETIVTLLQTLPSVKDMRAYLVQQSRYSEPSLKAWKERISPAALG LLRWVIASNRSCIVQVDKCPGQEDSDAAVAKLRLDQRVSNISDNWVQFRFAQGSPDKE QRFYNALKAQQSQAELSSTWPTIWAWHGSPLQNWHSIIRSGLDFKEVLHGRAFGAGVY HAMDQATSTGYAQQGGTIWHGSELKISSAMSLNEIVNCPTKFSSSNPYLVVQFVDWIQ CRYLFVLSQATSDGSYNTGASSSTVSREPNPHQEVAQDPKYTAKSTLSKPIGVPKCAA TISRTFRHDAKAASPTNKRHKNSMSTTTTDLQDWAVSEEEIDDIQFLMTEDEDEHIKG KGKASTVLSTPKADAKPLTDFVPGSLDQSTLPMLEPPAYATPSATKSLNRALQEVLAI QNKIPLHELGWYLDQELISNVYQWIVELHSFDADLPLAKDMKAAGLTSVVLEIRFGKD FPFSPPFVRVIRPRFLSFMAGGGGHVTAGGAMCMELLTNTGWSSVSTIESVLLQVRMA ISSTDPKPARLESQNHGKQRDYGTGEAIEAFKRACHTHGWTIPPEFDNFRETAGASGS FGVYGS LY89DRAFT_686099 MAWDSKSARRRQNSNATVRAAQSQPPTAPSIIIEPKLAHVTDPA LQPFLNPSFDPADYLNATLPSLQGSNASHSTKGNVPLAELSTQTQTLLSYLSAHTTRL TTTLTQLTDEILRSGSRLAYEVEVLRGETLGLSETLTEGLQEHAVKFVPGGLDQDLAR KQSRATETNGHRRRSSTITVPKTPIREEAPAVADPPYIQQLRTLTLVRSRLETVIKTF GDAMAWTFPPSEVSVTSSFLSVSAPEPGSDVASTEEKGQQVSKKLRDEIADLLIGGDP IEGIEAAAKRVEELKELAAVWKGTAEEKARTKFVDTLAKMVEDRHRDLLREAEQDSRL RQRVETLHEEVEVAPEESKPLGYGFISQLQKLRGA LY89DRAFT_719906 MYGGLPGGGSPKRGRKSSGRVVAHLYNQVRRPSRFRGRLLFTIF GITGILCLIYGRKHAVDLSRTASSLKDYIPDSITDVIAQYGDFEEQRWDDGVDPENAT SRIIKKPKFHLLIPANKPTENLCKTLLSAAILNYPPPTLISYGNKGQDTRPGADVVKN IFAFLHGKEVHEDDFILVVEEDAWFQLPAEVTINRFFHGLKDSNSKLLDKYGGLDHNE NATRSSTQHQKYTMKVLFGSGKQCSNTASDPACYSVPESPLPKDIYGDQTDRHPEGKY NRPRYMSSAMVMGRVADLRPIYKEATEMLEFNDIGKKGSQYVFSEILGIQEYARTLSL ASARNGPSKWRSWFSAVLSKSSDPSVNPPNITLKADKNYEFGIGLDYFSSVFQVMNNS AEDVRFVRFNHPSVIASPSRISAKAFKNPIRIPSDLALAPPPFFQNQMSSAIPDPPIT ELDNMHDDTALWTDIELSTNVIVPGSSVPASLNFHGSEYLLDGEMWEKMWFHPNARAL MRQYIRSPDGPIAASAAAKGGDQWWDLRGGKGGVWTDRGEWLEWNEVCGAFDEKVFGD DKGEFMREKEEVGGQKVVYKFGQVVQGKLPKPKPSNLPNPNPSNMNAHKGLLGEAMKV GGAKPGSGINFEEPNITPPDPESHKTLLGEAAKAADGGFPGTGAPPANAHFEQDAMNP PKGLTGEVVQAGGGITTGQPAHITQETLDELKAKQDHLTGFTVGTGPPPSQEKVPTPM QDQGTVLDSQGMSVSAEQGAPMAIGGGMQVSGTMNLEKVKAAHEQMFQLNKGPTIEKP EGHGNPFQLDKGPANEKSEDEKKAEEIIKATEENNGDGSEGQQRMDDVVERID LY89DRAFT_735459 MDKRECMHAMLNFCPEDDLFSNITERGRYVSNDAPVHQAFLQIL DIPPTWDSCPEGDLFSNITERGQYVSNDAPARQGFREILDAPTLEQCHWGTCHKELPK QRPSQAPQDTTSHLIRNELFESDISLTATFTGNTELYGSGVPTLPFDFNQAVFDPLFW DPNTFVFDTHSANDMSTPIPLYFANDVLQSPQCIDSPFNNGEQVYDSAHPPTNQPQSQ RFHCDADGCTRHYKRKHELKRHQKDHAETKAYQCRVPFCNRSGENGFARSDHLRQHMR KVHGMKLRRN LY89DRAFT_109646 MNPLPLAPSSELAVTTLVAKQNFSANKGARPTFKILNLHRYYIT DFPKNESTYVDFTLRGRISPEKQTALRCITAGSDWVEEQNFPLVHQIIFGIFQSSRD LY89DRAFT_735460 MAIIYNNHEILKLLHADLETIRILSATPYLNCHRSTTSADRVVS ARKTLQQRSGTKSEILAEAFEELIAIIEAEPSIGASEEDLMESGFFSCRSTWNMKQGS GDLMEKDFDSDLDTVD LY89DRAFT_698165 MSTSLDTGSVAKDDLHKNGQPSTSLNGGGGYRVPGRADNPLVNV QPPKREDLQPSYAQMLGESDTGAHGWYGSMIDTLGSCLGTMGAIPCCVICPNPYKPVS QGNVGLVTKFGRFYRAVDPGLVRINPLSERLIQVDVKIQIVEVPKQVCMTKDNVTLHL TSVIYYHITSPHKAAFGISNVRQALVERTQTTLRHVVGARVLQDVIERREEIAQSIGE IIEDVASGWGVQVESMLIKDIIFSNELQDSLSMAAQSKRIGESKVIAARAEVESAKLM RQAADILSSAPAMQIRYLEAMQAMAKTANSKVIFLPSASTAGAQMNLAGSFGEGSEHN GLSAAQKYDNNHGDFGGQDSGFQRAINAHVVENI LY89DRAFT_109243 MTRYEKTTPGMLLRQKASVPGRIDYRRRVGTSSIVKLAKSPMCL ICCICCFCWCWCCCCCKRSENARMEAKGPTAVLDFNTTMDLKSKECESATLSTCCEKA TPSKAERLQYLCESRNAIVNAQLHFHFSPKPWTLQRARGALLAPQL LY89DRAFT_735462 MTDPTQKRVKFQLLSDLHLQTSTGSYNYDFPRNADYLLLAGDIG SAGRPLSRFGLNHLEEYTKFLQRQCERFKRVILIAGNHEYKQNSIMFGNQVLKALETD VRMGGKFTFLESEVYDLKDEETGETLIHILGCVMWSRILRQHFGFRYNDLDGGDGAGI TGETIAEHNKRFEEALKFLKLRVKTARIKSRTQRILVMTHHAPAIRGTSRPAWDGTGP AWSNYQNDILGGEGIEGLQEGDVWVFGHTHWSVNKYMLDEVRLIANQRGGSQEQTRVN NVYDPGFTFEM LY89DRAFT_686104 MWPFDHFTSWFGAAPASPPPPPPPPPRATATSNLPPAIQNGAGA NNLVRFQIESDWHLEQAQFFDLEYRVFRANFPAPQPGDILLLAGDCGRVNLGTARAAN GSLSEFDYFAAYQRVLQEDFAPTYRHVFLIGGNNEPKTLPLGPILEESIVKLKSMENA IPNLTVLENQSADLSGLGHDITILGCTLWSRIREDAAPASGDAGTWTGETNAAHNERF ERSYQWLKDEVARVRRERPTHRIIIMTHHAPTIRESGQPGRDGTRAEGLVPAQPEQWS GYQTDILGGEGLVGLRPGDHWVFGHTHFSTQFEQDEVRCISNQRGGPSNDSKLRATRY RKGGVVVEI LY89DRAFT_783508 MFSRKRKRAEGTAERDGSSRAINESEIPLRSTGNAEMCDHKNLS RLFVAVHGLGGDWEDTWTDGSSGKLWLRDFLPKQYPNARVMSFGYDASHALSTSVADI NDAAISLIDSLNGERQEPSSRRRPIVFVAHSLGGIVVKKALILANERSDHWKNIKESA ACAIFFAVPHRGADTAYWANLATSALTFASLGARGNSNFVAALQRNSPELSSISQAFV QPAANLSIIRTFYETVKIGNQLIVDRDSASFRINNEVAVPIQGADHRNICKFGSSDSQ KYRPVYNALQLIVDMLKTPINSLILKEVQLQSQDARPQWQEKVIGNHDTDQDIDWVLQ MSGARSWAVGAPQKQFLYIEYHHSLEWEFSLARAFQKKMEIGSSGGDMVFFLSRETFK DGDLHRHLAQSLLSQILVVRPELIARLDYLQEEIQGNPVDEWQEKQWSYDRRIISWKG SIYSPRAPWQYL LY89DRAFT_110014 MLQSILYQLLMQDHSLYTSFLQSFRKLRGLSPDSIIWPYQELQQ ILLSLAETPKGTLEIPTPKRPIFLLLDGLDESEKQLTNGLERRDVFALFSRLCAMDGD VVFKVIVLSRAELDIRGTLKTPYSIDMKEVNGPDIRTIVRSGICKLWKCIVNAEDDLH ERSTSALVHNRFDEPEEQVNQNPKEVVRASVGEHEMTSSKDRIDMVSDVPELHFVRDY LVENADGVILWVVMIIRGLIKVAQSGSCTVRELRAQLSSIPTNVSDVYGDILGKIREG DYRDEQQARYIFSWVLFASRPLRVSEVRDVIAMFHWDDSFANDSGNFLRENRVGYLTH SWGPVQTHLWNICDGLIEVVPAGRTTVTMLWQHRTVEAEDLVQLIHQTAKDYLLGRPE ASFLNLDETKALEIISKTSIDYLALALPSRDPRGQNSMWNWRMRSWKQSQHLTFVMHL EEHPFLGYTLEEARKCIEELAYLQEIRNDDTTNSHLNIDNEMNCKSCDSRLPNLHSIS LTSIRPEHTKLIHYLSSAICKQGTLVNECISEWARKNDILIEIYQLIVSSMSLTKLLP PTILKLALNFGRWKDQDDWSDTDEDEENWSDTGEDERQMEVTAHRSNRSCGTPYSESH ETSSLRTLNDLTNGEKSTRTAVREMVVRLPSQPSVSLEEVDDQSNLTLPHILVTFAHS FQQANSLGYLGAKRILLVFGAGPSHLQTPDTRQTRDNICRPATADNICRPATTDNQPI VLFPMNG LY89DRAFT_588035 MAFKHGRTFQTKQSAHRTRRLSQLATQLGPFAPALTTLYAGISA VFSDSHTAVVAVAIHDNTYLLDFSVKDIELNGGATGEDRIADYVVQELTSYEHNNLAK FVGAGLPYELLARAPKLCSRLWLELDIVPISIMPELEGHEAESKDRTFWSAKCVDEQA DSMARKCIMHFGPSLAPILQVGYRGVVEVDSGQRAHILSLEDFKTTCDPPTWDAMMHY VASLKKLGTKIAFFSSTPQGGGVALMRHALVRLAKVLGVDLTWYVPKPKPGVFRITKT VHNILQGVAEPGVVISAEEKQTLTDWIEDNAKRYWLSPGGPLRPAEEGGADVIFIDDP QMPGLIPLIKTLTPNRPVLYRSHIQIRSDLAMTPGSPQSDIWQFLWSNIKHADMFISH PIPSFVPANVPADKVAYLPATTDWLDGLNKDMNEWDQGYYGHLYNEQCHTLRMTELTW PFKKYIVQVARFDPAKGIPTVIESYAEFRRLLAKHHPHIEPPQLVICGNGSVDDPDGT IILDQTMSQLERRFPHLISSISVMRLAPNDQLLNTLLSAAHIVLQLSTREGFEVKVSE AIHKGKPTIATNCGGIPLQVQHRKNGYLVEPGDWKAVAKHLLDLWTDEKLYEEMSAFA KISVSDEVGTVGNALSWFYLADKWANGKGVVPKGRWVNDLARDEAGVPYAEGEKRLPR DFCLGRVYD LY89DRAFT_588003 MASVGELLRWGQQHDTTLDERVEVYQDSITGLSFRALEEIPHEI KIATSSHTTTLSYLNAVQSLGYSRYNSPEFPPQFLAELEDEFPHIIGHFFLMQQYLME KESFWWQYISLLPQPDEPERMPTPMWWSAEDIYFLAGTNSAPAIEKKIALWKSDYEKG LAKLTSNAEHYTWDLYKWAATIFGTRSFRPSLTTSWATKGMDVLDTSSFNNTKALTHV LNDHFSILFPIVDIGNHNGENTVRWSAEPNNSFSVITTSQTTKGSQIYNFYGIKSNSE LLVGYGFTLDKGALDVVNLKIQPPSSSTLSAKSDFDAHSLRRSQKCHIDINYNRQPEE EWMYNILSQPPLPDRLLSFQLLSHGLIETLSCLVANERERRFLSASPEYCLEKGSKTF SGTMSRNIIAVYHLLYDKLLHDVEKLRTTSKDLGPPRNSNQVLALDYRKKQSSVLEHA MFPFTTIVQTILRHNDFCEQSQHLHSQALKNFRSPPFTGGNDILSLECAYDWLGRTYP EVYGPLASFVSTLEDEPVPPRWEILANDFGNVYWTVWIFVVYVLQDHGIKNGDSGLPY SQLCQWLSRMHS LY89DRAFT_110461 MVSLMGNRTLVTTSGDSELCQRNLANPFLPSHQQSNNNASTSAT MQLSHQPDSFTSNLWSDKIRYGTPTDYTGELVGFQSPPTTTFSFDTLQPFDTFDLNDD FILFDNATDFNYDSSMGMAELFQTDFNSTQESQPMYTFGSPGSEVSASGVNEFTLQAP DRNNQDSIQPQTLSSAPHRPATRYNCAHATCQASYKRRYELYRHQRVHNGVRNHVCHF VGCHKAAPNGFARKDHLRQHLRQVHGV LY89DRAFT_110485 MQENSIDEVDLISFDVDLIDLDPKPKPTEDETAQPTHTAPDTIP APGQDPALDDEPETTSQPTILSQVNSHLLPFQHAKALGKQLHGKYFEKLLTRYLSLRR QISNTQHDDEIEKLKKGAEELVKELVGTITGLELGGEVGDEGEVGEDGEREGG LY89DRAFT_686111 MSSHDDTPTEASTYPEKEPGTISEKDNESDGIEHVRPANDDAVD TNFQAGVQNIEAVTVTWSMTWLVIAYALIWIIYFIQGLVSGVTGALLPYVTSAFALHS LTPTVGILSAVIGGVTNLSIAKILDIFGRPQGFVFCIVLTVLGLIMSAACNNVEAYAA SQVFYTVGINGIGYSLSVFVADTSSLRNRGLMQAFAASPNLITCWLAGPISTSFLDGA GWRWAFGMFCIIVPVVTLPLFGLFQYQYSRAKKAGVISKRESGRTTCQSIVYYTREFD ALGLFLISAGVAFFLLPFNLYYQQAKGWRSALIISFLVVGILLLIAFVVWEAFFASIS FFPFALLRDRTVLGAGILSFTLFISNSCWALYFSSVLQVVFDLSVTHVSYVVQIQTVG SVLTSLAGGAVISYTGRYKPISLYFGVPITALGMGLLIYFRHPDQSIGYIVMCQIFIS FASGVLIITDEIAIMAAAVEQQYFAVSIAVLGCFGSIGSAIGLTISSTIWQDILPKKL ALYLPAEELPNLVMIYGDLTTQLSYPPGSPTRLAIQHAYGDAQKLLVIAGTAVWVLGF LAVFMWRDIKVTGIKQTKGTVA LY89DRAFT_698169 MLRIAAPRLSCSTSGDVSSIIARLKANEHEIDRICQIAGIAGAS VGVIHEGEVIYKHHHGFQNIAAQEKANDDTLYGIGSCSKPFFAAVIGSIVSEGKLKWD EPLKNYLPMLATSSKIVTECSTLVDVLGHRTGLTGAFHTTFQGNGDHLIGNKDFWAYL PTLAPAASFRERWIYNSHGYSIAGELLTQLTGKNLTSLLQEHVNKALGLTRTITELDF DKEPNFAKPYSTLEDGTPYELKERQDFRGHFFEAAAGLFKANLDAMHTSDGFEEVSNP IKEGETLFSQHIPVLNPSFRERSYALGWIRTQLPEIAGVMGDNIRILGLDELPIIGEG TESRLLLYHQGATVGYFPSLYQFPELRSGIVVLTNSIALGDQADWIAQSLTQGDYWDA GGLFYIQVRRKPGSHDTLRIAFQGLEKHAYDLRHYHDDVFEWTLTRNETAKRARYHMF GLDYFLMQFKGNSKDIDELAWHDDGNLHNPRVLKKSASSAI LY89DRAFT_719919 MDTEAVGKHSHTYSFIDPEKFKGTLKGKVALVIGAGRGIGRAIT IALAQAGASIACVSRTQTEIDQVVEFIIKVFGSQAMAVAADVAMPEAPKVILNKVHAL LGAVDMLVNNAGIFRYNTLEHEKDFETWWWVVGGRSQPSRSCGNGACCPAIDVIAEYG NDHIYG LY89DRAFT_783518 MKLSSLFWTAWVLASSVLAGTPFQGSINGMPVNPYYPFCAMTCL RSIYGLTLSCSTMDAGTLGMMKMETTSACWAENTPYLTSLAWCMNQKCAEYNNTVAEL EYFWETEATGQSNAGQTGVPPKWSYSQSLANVSASPPTTVLAANATWLNSTSLVNPLV YLEEYSILTMVQRETAQENVFGIALLVVGFGSPIVFTWLGYVPLISSLSRKLKPYLIW PSLIGTYSVRPLPYLLGNVPTRGQSLYIALFFILNIVLTSVRYESRQPNAWYANRWDE IMAYVMYRTGALAYIFAPLIWLFAGRNNFLLWITNWSHSTFLLLHRWIARAFTLQAIL HSLVAVVLYQHEGSYDSEVKQKYWIWGIVATLLAVILTFGSGLFVRKFAYEFFLIQHI VLSVIIIIAMWYHAMDLYAFLGGYQDWLIAISVVWMFDRLGRILRIAMVGAQRAKVTQ LGGDYVRIDIPNVRWGIEPGKHVYVYFPTLHPLRPWENHPFSVLQTALVQPSPTHIGS DAHSQSSAEHADQHHDVEKTYPVALTTKPVAHTRPDMGLTLYVRKGTGATKQLASYDN LLTFIEGPYPNTSTGEVLRADRLLLISGGIGITGLLPYVNYHWNVKLAWSVREAARPL VNDLGGTLSSISDKDIRIGSRFDIKQLLQEEMNAGWARVGVVVCGPGGLCDEVRAAVS AAARNSKTEFDLEVEAYSW LY89DRAFT_735476 MAPTVRYILISALRGEPLMQIRPRDPASRALGFLQTDLSLLYRV IVQDGLVSGCDRHWRVILQDLHRTLLTISDMNNKVNLAFYTQLICFYRNHHETESVFL SPDNPQQRMLQSWAHQLGFAFEYTLATHSGRVVRMSPPEKSTNPENDNLNFLGLDNTT IMDPDHCWTFGHDMFSETSTRVNPVPSFAAVDYSIAQHQPLIIDYSAHTGTCIRSEDF APKYNQVTESALADLPRSSGNLSSPLTKCCTHAFSLEHTVISALSTLDQEMTGFQNNC CSVTSDIVAGKPNDVRDVVKEYISSTRIQKKLENPLASVSQKAKTAVRTASALDSTYW VSHGFPSELFGPNTKYVTATQEEAGIDPLEPRSVSPESQIMPSDRLCYSGSVSYKEQN YRSSSIGSVSSTKMDRGQKRVRDVSSRRPSLLSTASGTFREYVFNSKSPCMESPASGT SGRRGPLDPASNAAAKAVKAVRACWRCKFLRKTCSLDDPCVACPKPHKNPKRLGWSSV ACRRGTFAEEMLPFRLCSQQILGGSVPTDEQRKRSNDWLHSHIQSREENVGESRNLIL AQHSGSEPVQTLYDLKQRRRLFSLFSWTLIPARSVPESAIAPLNECIDSIVQETLDVP CCRLIMSNDVDSQLADVVLLLRSAAQYQASIHTDRLIAQSLNCLRSGVEALAVDDQRL YSAYQHNSCSEPVCQFDWIKQLHLDLELYLAELSQVFFTKDNMRAKESWWLSTFYSFC IQGIVRRVLLKLQDSPGSEVAAKQYLHLAVRLFSASSGSYDPLIRDYAAPYLLEDEDA STVANFRTAQLAVQQLSWRSKCIKSSAEYLRQLFEDEGNGLEGRKVLKTASSNAKVQA VSTATSDVVESRQQCINSSDPITPNPGARLYRTLSLSSMESLD LY89DRAFT_110543 MPTPIPITILTGFLGSGKTTLLLSLLPQLHALNPSYKLALLKNE FGDLAIDSQLARSSSISSVRELLNGCICCNLVGSLSSALAELSSAQNPDRIVIETSGS AFPATLAMEVNRLARETGGRYALDGVVTVLDVENWKGYEDTSFTAKIQARYTDLVVFN KWEVAGERRMEECLDRLGDLEGVDVAWVKSERGRVDVGLVFGIDGGLARGLVDDGHAR EHAHENGEKHEHADGKGHQSEVEVLSITLTSPSPSSTISPSPLLHLLKTAPKDEVYRI KAVLTSSSPIPSSDPTTSPSPPSNGTGKYILNWAFGRWTCTPMNSGGEEHESSKGDGV VLRMTMILARYESKKWKKRIEGGGYLEIEGGQEKGELRVEKIA LY89DRAFT_686116 MWIAMFWDFLLLGSESGTAAHVKKMYDAGEEAFCGEEIKNRGAE SSDLVETTGLKKRPWGGPFLVVDGGGRSFATQLESPGQKKKDGIMADAGTRRCLPRIR FDRSGETCSVFSAAFQGLCEVMR LY89DRAFT_541649 FAASASAYSATGRTFAVNHFYGKDAMMYGRVDPIISPGVPSGHV HAIQGGNAFGMTMTDTQALDQSTCTSSLVKNDKSNYWTPSLYFQDPVTKELEFVDMFY MNVYYFFEATTDKIEAFQPGHRMVVGNPELRTPPATGGQSITDLSDGTPQPVQFTCPR TNTNSPLYPTDSTGLDGVGIQDPGNKGAGVGFPDQNCDGFASPLRADIHFPSCYNPAA GLDNYKENMQFPTNGNCPTGWIHTPHLFYEVYWNTPPFASRWTQGQGTQPFVLSNGDR TGYGLHADFISGWDVDTLQQIIDNCDAGDSGMDKCPGLMGGLNDPSTTCNLPDPVANE VVTGTMTALPGTNPLSGWGVGAVVGSGGSGTTGSMSQSPTATSAPASSKASSQVVESS SKATSVKEVSSQSNAAGVSSSSDVKVVQTQATSTAVGVATTPVDSQPTTLVTQVATTS TDGDQVVTSYVSETTVVWTTVTATGAAPTSSGGSSVANGWYYYGCYQDTRARVLSGIT LANVGQHAVTNTKCVDYCSNAGYSMAGTEYGGQCFCGNQLDGSTALNETSCDMPCEGD GTQTCGGGMALSVYSTSSTSKRSSRHLHRHLNR LY89DRAFT_110675 MPSFLYPLFENTFTVRPQDGSHLVQSYDCSWQFHKELYQLIGKL RKGRAHRRVFRLIEEISGAVEDGDHHRTYNKPRHP LY89DRAFT_719925 MNNATVYLGVWTNWSNGGLMGATLTTTRKLGDLLIAFTAFLIPY VASRFWRIFCLIVHRYYSTADHRDAVHHQRQIILRNSSSPEVGLVSLFNIFFAWWTSQ QPTGKTHKRLSRLLPTALFAICCISAFTVAGGLSAQISTARGDVVLLKGSQCGIGSPP NDTSSQSDYYSTMSESLKDAANYAHGYLDSNNDLGMNTPASERLRMRYVLQCSPLVTE GFTSEFVTPEDNMTWVRYNYGEWAAVDANNDTVANYTFDVPNLDAQYAFLHNAPQFRA GGNYGLNYRLSATTSKTVAGSAVAALSDFMPIPQLFRPDGDVSIMLLSGNGVLFYGQE MDDDWYRGTVPGTVMSSAENASTMMMEGYLPQEAGSPLGCLTIERWQWCNGDKCGPLA SAWDSFAGAAPLFNMTAEDFDPNAIDRPLSNSTIGTLLTWSFLTQVEAFPDVSSIVRE LRAESLASQSLLQDAFQLPIPLNQWQLDVTNWFNIVLAVWQSTFVTTAVGNSDPVLEQ SQFTPQNAAERKYCNSQKIRSTTYASFSLFGLLFTYIITILIILTSFILEPIFSLLQR RRKYEEYARLEWVTNETLQLHRLTQEELNLGTWHGCTDLVPTTEVGEVMAGLDIADLE HPVLRRPGEEGEKKKREGGEIEHVNSGSDQGLVQHNTESTTVGSDQVSLVSDEGEDEM RILPMRVNGQDENFAPDKKRVDVAEVEVDDRSE LY89DRAFT_686118 MAKSKGERGEEVEKGEKGEKGEKEMGERIKKVDKTKKTNARTGK GRKTEIPKKEETHEEEKEEDLSALLKNALDKFPEPHDPDKSEKQDDDDNITTQESKPI MEDFEKLFKTQLKDATGRAKSGQDNLFHVMVKTLFWPKTAKEKAFLNWMLKQQEHHHL LNLPDVLVCTPMHHALFNKLYDFVNCVLAVKELNMISILGQKGSAGNCLHVATGQSFP NLEDMIKKCAGDKKILMGDDNTPENTPLHIAVQQVLVPVKIKPVEDDIDQESEDGTEK PDNNDDEHSQQNHERDGVLEDSDDDDEDYRDSESEVESESSLEHDEEDQEHDVEAQEL EPVRYRLETKPERETRLKTILKNLDKAIVRPTPPSRTKDTKLNAAQHLGSGEAKNGLP EEGDTLSTSQDTLTQDVMELPLDHSVRLLVEECPETLTTKNVPRRTPYQEREYILLKD ETVKKLVKEYAEKKVRRGGTEEVREARAKREIVVKDPVAHYIRSFCVRKSKSREETMK RLYKPGQECHIEFDLGGFPTPVIKLDYLEQLEKHLKFESILKYVALPILSVEAQPVKG PRSQSTPPSETQSELHRKGRSDLVAVFDWLWRRGVREIIKVMVVDDGDIPHANDAIVE ALYGFKVEEWDWKRVDLCSDVIYESSPAVREVSLYSSGNNAVLMGWASAEGLGNREKF PHLEKVNLFVQEGLEDKVRWNWNNNCCKENIRKYGGKAANGVEISVEIIDDNKPVKRS SELSTTEKIEEAPAWIKSVRDFSTFLMNASMSLGKDKQVPPVKIAIIDDGIDATMYDL QSKIAGGATFCPYPLSPDLVNSYFVPRGKHGTLMAQLICSMCPSTQLYIARLEELPTL TGAGRRVTARSAAKAVDWAVSCNVNIISMSWTIQTAVKGNEDMRKLEDAIGRAHAAKI LMFCSASDQGANNTEACYPGDWNQCIRIGGATFTGEKLTWVDKNVDFWFPGRNVPFPS KDGLSVVYESGSSVATAAASGLAGVLIYSARLLDRTLDDKNNPFQDKKTLVDAFGIMA NGSDGKFPRTDDALNKFFKIKIQGVTKKSSKNIDIDALSWTKESGSAEALQALLNYLQ RL LY89DRAFT_686119 MNQDPVVHAISPEGQWALNKTDKVQKIKWGAKAGVKAGIGPVDL ETGVHWDVEEVKSRKFYTALTGTKKIIRTGFVGEENVVVWTLEENEDKADGLPTFMRA AVLLRRPYDVAFTFTVKVKADVDFIGEVKTLFGLERKDPIDPVEIDPGKFPKAARSTV RSLDPKIHNLKEMDYLDLKKVADVEVVTLLDGGELLHKGGASK LY89DRAFT_110784 MANDIHNSLVLVSGTAVPRLENDRDPKTFFTQRFPKSLIDEFLF MDSSADSERLLYQRSTVLLEQLHNSRGSSTLPIVFLAHGLGGFVVKQTLISATEEPRY RDIALHTSTILFFGASHRATPQMSWEHLYLRLLSASGSLPPHPLKLVQRLVEELEGVS ASFRTISCSFDVVNFYEAGPHPLVSVRLGS LY89DRAFT_110786 MLGWDAGSWGFHSDDGCLYEDGKQSWKGILYSDPYTGGEVIGCG VNFAENTAFYTRGGKVVGDTVTEAKIIGRAFQDIRGKLYPAVSMDITQEGWEITAVFP GKDGTSPDFIFQGDLESSETLAPPVKKDDSSTSDDADSGSEIVVIED LY89DRAFT_735483 MANDDPLVHSLTRSSNSSEPSSWESSNTSIATPPEENKKIGRPS QWTESRQRKLARLYLYSNLPPKDIRLALHDRSDNWLPEKETTTKTFNALLDKDPRWLR PKNEEEMEQRILALANCKAQRNLKRQLRQARLAKHFDIIMEESITNRNDPDLSEEPTV EPDSPIDQTISKDCEEVNRLSWTEFTHTPVQDLLAMKDPELSEAAIKELWQFVDSDLN AEVEFQTSAPGGQNGKMPALEETADFQNTSLDEISTHRNENTLVNHSPLGTDGITKLL QAYSICDSPAASTTDMSRRLSAGTALTELMSTEKSPSYSQPTEGALPNAFLVADFHRA KQGPCFPGLKVHDSGSCWCMLDLESLPTNKRWYIGPDAISPLHMPNPPRSLHNLNLRY RDTFGNTILHLLASRGASTGLIQNALTSGMDGNAKNTAGQTFLHCLPYIDLWNPDLHF ELSSRSSLQLVVKCSVDITACDPFGRTFAHLLTYNAFTNHLYRRDSESRDLFELLVWS HRSTHKRDAFGWIPTNLNWRLSEKIMQANYGRTGIGAVGPFSFNVPSQSQQSFIEEVG EEIRLPASANAVSLITMHARLLETAALALDVPNTEDSRGRNGLHCLAEVSLDLHKVTD VLHSGAKTSKKRKRDQIVSEPSVIWTSGLQYRYGLLQQMIKSGVDVNSYDKFGNTVLM AFVSHLDDGLENNTLSAIFRFLVNHGADPGRRNRKGETALHIAVKLATRLLWLSCYKK VPMSMPGLWRARAFWL LY89DRAFT_619257 MGNRSSTLVATTTVTSIRTATPAVVTSTLSLISSVSVIPAATSS IPTVTTSVTSLLSTQIPPAPAVQTVLVTLTPSATTVTKVSTEAPTTTIVVQQEAPATL VGLAAGRLENGLSGTSSSLLTMASASSSPTPTGLATAGIVISGKKAVGPFAPQEAILG GIPTTSLDLPLSAVFLVLFLIGAATHFSIHEYNGKRSHKFHLSDAVFDFCMVRTVTMT MRIVWAFRPSNNSVVLAALIFENAGVVVLFAVNVIFTQRIIRALNPNLGWHPIFSGFI TAIIISVPMIIVWNIVNLTVEFFTLNAHSIKVVKDLLLFGSCWTLFLSLFPILFVGFL APSNPLGNKIENFGLGSFMSKVVILISASALLFVGAVVRLVAAVQVHPKDAPGPIDSK LVFYLTGFTLEIIVIYMYAISRIDLRFWVPNGSSQPGDYLKAKSGFRLSSEDEEALFQ EVDFKMRFSSGTDLPRDTKPQGMWDSDDTSARWRPVSGPRWQDMAVDRSNATREQVRQ AIYDLKLNSELVGEPVNVGDKEELLVYAFRCRKGSFSDNGERRGSGGSATLRGSGIRV PKKILPPRSESWAAKDRATPERMREMI LY89DRAFT_670845 MDVILFGDQTVDPQQFLTKILRRKGFPLLSSFLEQVHVALQDEI SSLSSTHRAAVPPFSNIAELVERYYAAENPNIALESTLTCLAQLAHFIGYYEEYPLEY PRISTTHILGVCTGLLAASAVASSTSLSSLIPLAIQTIRITFRLGSRVATVAEQLEPP TNRTQTWSTIVLGIGSEDAGIAVAEFNKAHGLSESKGLYISAVGSMSVTISGSPSMRS HLLETSSAFQNLQRREIPIRGPYHADHLYSQTDVTRIVDSDMALILEQYSVVHPVIGL SAPKSSNSTLELFRLSVLEVLARQVQWDALVRTCVADVRSTAVTGVRVLAMGPTALTN SLVSALKVGGGLTIALEDGVSWFAQNPLPRVNGDLKNAKIAIVGMAGRFPNAADHEAF WKLLEQGLDVHREVPPDRFDAKAHFDPTGKGKNKSHTTYGCFIDQPGLFDPRFFNMSP REATQTDPMQRLALATAYEAMEMSGFVRDRTPSTMAHRIGTYYGQTSDDWREINAAQD IDTYFITGGVRAFGPGRINYHFGFSGPSFSIDTACSSSFAAIQLACTSLRAGDCDTVF TGGMNVLTNPDIFSGLSKGQFLSKTGSCKTYDQGADGYCRGDGVVTLILKRLDDAITE KDPILGVIAGTATNHSAEAVSITHPHAGAQKFLFQKVMDEAQIDVRSVKYVEMHGTGT QAGDGVEMDSVSSVFAPPASSKRMRRSDQPLFVGSVKSNIGHGEAVSGACAMVKVLLM MQKNLIPPHCGIKTEMNKTFPKDLKERQLQVAFKPTPFPRPADAPRYVFINNFSAAGG NTAILLEDAPISTPLKADPRSSHVVLVTAKSLSSFKQNIKRLLAWTKDQSDSILPSLA YTTTARRAHYQYRLAFEAKSISQVREVLASNADAARTPISSSKIPTVGFAFTGQGSHY IGMGKKLFQDVDQFRRDLEDYNQIACSHGFPSFIGLIDGTVENLVNVSPIVTQLAITC IEMALAQLWRSWGIEPTVVIGHSLGEYAALQVAGVLSILDTILLVGKRAELLVSRCAM GSHAMLAVRASFSSVESLISHIDVERACINAPEELVFSGTVDCIEQLKDLLTTEGFKT TKLNVPYAFHSAQVEPILDDFKTYAESIVFHPPRIPVISAFLGNVVAQSDVFGPDYLA RHCRESVNFLGGLSSALENGAIDESTVWVEVGPHPVCSNMIKGIIGKDTTAVSSLNLN DDAWKTVATSLSTLFNTGVGINWSEYHHTFSKAHEVISLPSYAFDDKVYWIDYKGNWT LTKGDVVQAEPVKPNFSTTAIHSIIHEEVTADSAVIIGQSDFANPLLRQVIEGHQVNG VGLCPSTLYADMAMTLCDYAWRAGRPDGGKPHFNIANMENTKPLVFNVDKNNPHQVVQ VEAKLDFNSGRGTINYRSVLPDGKLVDQSRCDIAYEDPSTWTKEWERNKFLVQSRIDM LKAGGKGIHSIQRGLAYKLFSALVTYDEKFRGMEEVILHSDGLEATSLVKFQAGPKDG NFYMSPYFIDSVCHITGFIMNANDAVDSKKQVYISHGWETLRFAKSLEAVKTYRSWCK MQPVPGGGKMVAGDVYVFDGDEVVGVAGGVKFQCVPKQLLETLLSPSKSAQSRPAISP AAAAQIKQQSVFGATSRVSVSKPAESKTASVDVKVSKKNKTTAKINIPVSSNLIAQAL TIIASEVGCETTELVDPIALSDLGVDSLMSLSIAGRFREELELDFASTVFNDLPTIAD LKSHLRKFETVTESPASSGMSTPEMMVSDHSESDFSEFSDTETPMDEPLSKELGKTTG DSDIVHIIRSTIAEEMGVDLDEITDNTDLATMGMDSLMSLSILGALREKTGLAMQSDL LVNNTSIDQIELSLGLRSIQAKASHKKSSTVIIPAPTSAPKEAKKSTKSSSSINLSSY PPATSVLLQGNPRTATTTLFLLPDGSGSATSYAPIPDISSKKLVVYGLNCPFMKTPED FTIGVAGVCQIYMAEIKRRQPKGPYILGGWSAGGVLAYEMTRQFIAQGEKVERLLLID SPCPVKLEALPSSFHRYCDRIGLLGKPGAKIPEWLLPHFASAVRELTNYSDSLGEADN IDVSKMPKTTAIWARDGIVHKETDPKPDWDPKMKMPNSMYWLTNNRTDLGSNGWEKLV GGKNIKCMSTSGNHFTMMRQPITTELAALMKEALEL LY89DRAFT_698178 MGSIAVEKKTRGYQGGALDGKVALVTGSGRGIGKGIALEFAQRG ASVVINYNNNSKGAEALVAEIEATGSKAFAIKADVTKVAEVKRLFQEAVDHFGHVDIV MSNSGTESFKPELEITEEDYDKVFNLNTKAQFFVAQQAYKHLEHGGRIILMSSVAATM TGVTNHALYAGSKAAVEGFTRSFSADCGHKGITVNAIAPGGVKSDMFEQNAWHYAPGA TPSWPIEAIEQGIAALCPLKRVAVPQDIARVVAFLASRESEWINGQIIKLSGGSIA LY89DRAFT_588204 MVNTGKPSRGCYMCRARRIKCDEGKPSCMRCQKSKRVCPGYRDA FELKLRDESKSTKKKLNRRINQEQSDPNSLVYNAELYHGPNVASGHGASHSRSSSISS SSSQLSSSRNRSIVPYPHKHNVIATNMTSPIQQQAACYFLSNFVLVPESGTMRGYLDF VLPLMKQKAPQTALAYAFSAVSLAALGTRPNSKGLASTADVWYLKALKEINVALKDPK VASSEATLASVMLMASFEQLTPSRMKVGGWASHIDGAVAVIKSCPIQRWSTGVGRDLF IAVRAHKTLQCIANSKDVDRDVDWLGVQAYDSIVQSFATINLKMAALRADNDQTTTLK THTADNVEKVLALLRRAESLDQEYIEWIKALPANWAIKTVSWIDDEVPDLENSVVHPG RVDTYGELWMAYKYNIVRGCRLFIWTVILRCVAWLGDPRDYRLTPEYTTASRICQQLV EDVVASVPYFFGWNRDKDSAMVDRSQYACGATDYSSVKPLAGIFVMWPLFAAASSDFA SPSQRVFLRGRLKFVAEMMGINQALILFQVRFFCVFLCVVSHQSRHN LY89DRAFT_111170 MDVTDNKSVSTHRMSTKKPYQRIQSTSYHRQSTSRLVYKGVLGT IAAKVSSESEENLEAEHSTKAYTREERSWFIIPSFFSRCVQIQYSSAFGSAERVLRTY PIIRDDHPVWKMCSQNDTIGLQQLFSDREISPYSVDSHGATLLHDTVHWFNVETSALL LNFGVQPGVEDARGR LY89DRAFT_698179 MSPETAQLTTNNSSIQGSPEGLRGRDAEFTLPPFELPESIVSRK SSQSAMSEAMSMSKSPGLIRRLSNRATQFAGRRRQSSTTAMSRDHSTGPVIMRRRSDS TNTAPEGGKGALFSDSDDEIELGNLDSYGGPYGLGIDFPSTTASIGSSTVASSAPAPG PVIPSILLQGTAMTKITKKKKKLLTFVLEREAAKVSWDKNRPSKSLYIDDITEIRVGI DARNYRQEHGISEADETRFFTIIYNVPDKSKSKSQKLMHLIASDDQTFELWTTTLEAI SKHRQELMASLSSFHEKAVKAHWRTEMNRRSPESSHLVDEEIEFVGVERLCRSLHIYG SSDYLRTKFEQADVSRSGRLNFVEFQEFVKLMKRREDVRAIYRELTADTEKGITIEEF FRFLVEVQDEDVESNRSHWESVFAKFARKSKPREQTQQEGSDAEIPRMNEAALSSFMI STFNLPLDNAPAEYSLDRPMNEYYISSSHNTYLLGRQVAGQSSVEAYISALNRGCRCV EVDCWNGSGGEPVVMHGRTLTSQVSFADVMSTISKYAFVKSPYPLWVSLEVHCNPQQQ AIMAEIIKETCGSKLVTQPIDPTSEQLPSPSELLGRILIKVKKPRMFEDAVVMEQTVG RNRGNSLNSPLVRPLQLDNSTVSAGLLPPSPYVRANRIMTRSQLKYSGSEGQDSLSSS TSDSESLTEDIARAKDSTRRNKTSNIIKVLGELGVYSMGLKFHGFDAPESKTYNHVFS FMENTFDKNSKTQDDKRIITRHNMRYMMRVYPNGWRVASTNFDPLKYWRRSVQMVALN WQTYDLGMQMNDAMFAAGTDQSGYVLKPSEIREIKMLPTVPEEAGDGHVKRERKNVTF SIDVVSAQQLMRPKTLASNRSVDPYVEVEVYHADDKDKENKGVVGEGGLDASGKDGSS GLGTPHRRRTQIVRENGFNPIFDKKFNFTLTTKHPELVFVRWTVRCSTDGNSYNEKGA PLATYTAKLCSLKQGYRTLPLYDNNGDQFLFSTLFCRIKIDPATSIYVNGPEAATTDS VGVLKTIGRTVWNRSPMSPKSSMDSGHQ LY89DRAFT_111202 MHLSSCGIWVRVRGRGVHRKGIIHACCRTYVEDETTKFECDGTS RKPEGRRSQTRAKQQSRGRRSELRVLFSRRRRAKVGVERSEVVGLMRKSRGSSFLVVV VVMVLLLLGWKGRGEFFCSLSRSRTPLSSQSQSKDLFLPGIA LY89DRAFT_686133 MRLQQHASLALSHHARYFLSLLLCPESSVLALDRHLSFKSKQHA TLIPLRALLLQAVTKSSSQVKSPSPACLPACLPACLPAYLPTCLSPGGPLSLVGKVAL CSSAQQRVIVTRMAGSFHENHRLAGLGGHAEPASRGSIRRDFMHDESLS LY89DRAFT_686136 MRDTFLLLQSDRLLFALMTSSLHHLCITAWIVGDSQAAYHHSPS PLPYPIKQVVLSQGQEQVAEQEASKQQPK LY89DRAFT_719937 MSCERLKAVHKFKGLFRSRSRSTASDKSTESQGTSNDNVPSRTD DAVSPAPVIPTTASTDTTAAEPDESKKASSEASPPETDIWKLAYERLTKEKPSLVEHY EQILAQEEADSSAKSQDSPENITNSITRLTDLASKKLAHLDDSRLKIRLRSKTFVVKD GVDQVLEIVVAAKDFVSGIVASDPHVAIAWTGVCVLLPLLLNPKAQYDDASAGLLEIP LIIRRYQVLEPLYQRRSAENESLKGDFERKLTDLYCTILEYQARAVCQWSRDALHQYG RDVFKADSWAKLFKEIKDQDSACKEIATTLDAGLWEKTLQTESRHLQEILQSWQEEER KTLQDLQESMRKLHGLAEDRQTRQLSIEQQKEEADCHQSFGPMDYRDHKDQNPSRVPG TCTWFLEHRSFLEWKQETNSALLWVSADPGCGKSVLMKSLVDEGLLGKVQSRPRFATS SRTDLSANKNPYLIQYALPDFRRDRRAADNFSVVWDILVKAASASEAGELVCVLDALD ECEEKAREQLIEHLKRLYLDQDKCQGMKLKFLVSSRPYPIIERRFRALIKDFPSIHLK GEQESDTISEEINLVIQKRVPEIAVDPELSPEAQQSLLENLLSVQNRTYLWLHLIFEA ILKSLGATSAKGLAKIIKQLPESVDEAYDKILAKASDPQVCRKLLHIILAAREPLSIA QVNVALHVDESATCVEDLDLEADEYFEKTVRGLCGFFVSVVGKKVFLIHQTAREYLQG NSPETSNLKHSFPDFDSETIFARSCIVYLQYADFRLERPSQSNDDDEEVIDCADENID IDEELDTSQAFSKVHFEPPLALQIKSLADKYPFFGYAAINWAAHFEVSAKIELLKVAM PLCDPKSEIFLAWFNYYIYMPRPAIFLAPDAEISSLMVAVRLSSGILIEKFLSEINHT TTSGVTALMIAAYSSTAECFAKLFQSGANAEASDRDGWRAIRYAICAGSHAITYPLVT QGVDLNCASKDGTPLVLTVYGGHNKIVQHLCKHGARINEVSRGRTATETALCAAVRWN HLDVAKTLLELGADLTQEFDGSNVFEFAISTSNMEALKLLLQHKPELYLEAPRLALTA AIKYGDSKAVKIILWYTNLTPSLLHAAQEDIVRILQEGLQSKASREEKERLDRLPEIG HLIDIFLSSKTIIDNNNELDDLSEMTAPHKPRDLKLYGESRMLNIRTFWDELWLLD LY89DRAFT_686141 MAANSYQMQSMGAKPFNHSSSSDAEAEYDRLRDLARQEAGKRSS CFDKAHNAYSSGDGAAAHQLSEEGKQHAAKMDQYNKQASDYIFRQNNALGRVADDTID LHGQFVEEGEEILEQRIRYAQQNGQTHLHVIVGKGNHSVNHIQKIKPKVEQVCQELGL QYATEENAGRMYINLQGGPATMPPQNAYQPGGQHGGFHNQPQGQQQGGYQQGGGYPGQ QQQQQGGYQQGNQQNNGQNDELEKLAMKLLPRIMKKLDGCCTVM LY89DRAFT_686143 MRLLQFNNDGAFSLTEFFESDIPKYAILSHRWGPEEVTLADLKN GNGKKMAGYGKIQFCGEQAKRDGLQYFWVDTCCIDKSNSTELAEAINSMFRWYRDATK CYVYLPDVSRPRTDSANGFNEPWESTFRKSEWFRRGWTLQELIAPASVDFFCKEEELL GNKVSLERHICEVTGIPATALRGSQLSVFSVAERMSWAASRETFRQEDKAYSLLGIFD VNMPLIYSEGKDKAMKRLREEIDKASKGFKREDFSVTFSLSNVSDVEHFVARRTELVE IHKALGGDGSRRTVVLHGLGGIGKTQLSIAYAKRHKDDYSGIFWLNIKDEDSLKQSFV KIAKQISREHPSVVRLSNVDTNENLDDIVDSVKAWLSLPQNTRWLMIYDNYDNPKLPG RTDPAAVDIRRFLPESYHGSIIITTRSSQLRIGQPLQIRKLEDVHDSVEILSNVSRRE GLRSDPDAIMLARELDGLPLALATAGAYLDQVAVSLSDYLHLYKQSWVQLQESSPELD SYEDRTLYSTWQISLDHVKHQNDLSAKLLCFWSYFDNQDFWLELLQHDDPEDPDWVRE LTKDDIRFHQAMRVLSNHGLVEVNTSSQELNESRGYSIHGCVHSWTIYVLNREWDYDL AKLAVKFVSSHVPGEQDFRPWLTQRRLLQHAIRCSYMFSYNLVVDDQLVDECHQLGLL YANQSKLVEAEQMYKRALQGYEKAWGLEHTSTLNTVNNLAILYKNQGKLVEAEQMYQR ALQGYKKAWGPEHTSTLNTVNNLAALYANQGKLVEAEQMYQRALQGKEKAWGLKHTST LNTVNNLAALYKNQGKLVEAEQMYQRALQGKEKAWGLEHTSTLDTVNNLAILYADQGK LVVAEQMYQRALQGYEKAWGPEHTSTLDTVNNLATLYQNQGKLVEAEQMYQRALQGYE KAIGPDNIITYVPALNTIWGFGSLFERQADLAKARTMFSKALRGYEQVFGPDHANSKI LRNKLRLLDAVMKSDVSIDTKDRADDLHVRPIKKPLSTSKRHKLFRKLGLRP LY89DRAFT_111425 MDDDLSVKMEPSKTYPASTSTVTPLLARPTGVHRFPPTKAFDSF RRGSGPEYSFAPALGENGRVFNPKAAAWNTAHTPLVRRLKGRHLQMIAIGGSIGTGLF IGSGSALATGGPASLLLAFILIGAVLFCTVQALGEMAVTFPVAGSFSAFATRFIDPAW GFASGWNYAMQWAFTMPLEVMSAAITLEYWNIDLPGWAAITIFLFAIVMINLCGVKIY GEAEYTFSIIKVTAVIGFIILGAVINCGGTQDSGYIGGKYWANPGAFHNGFKGFCNIL VTAAFSFSGTELVGLAAAETHNPSKSLPTAIKQVFWRIALFYIVSIAIVGLLVPYTSP QLISRNSVDSKASPFIIAIQSAGIEGLDSVMNAVVMIAVLSVANSSMYGATRTLQALA EQGQAPRALAYVDRKGRPLVAIAVASAIGLLAYLYVSPVQGPAFTWLLALSGLSSIFT WASICWAHIQFRKAWTLQGNSLSDLVYQSPIGVAGSWIGLVSLILILVAQLWVAIAPE GASANLSAGEVATNFFEAYLAMPVVLLFYGAYKWGYGTRWVRVRDIDLVTGRNEFESL GVRRQWREDRSEWPRWKVVYKMLC LY89DRAFT_670855 MDVLPPLTTFRDSKPTLLVAWWCTCYSIVIIGIRFGGRYVRAEK VFLEDAIMLLAITPLLIRMAFVHFVLLDGTNNTVTAGLSLQAIHQRELGSQLVLGARV FYAAYLWAIKYSTTLFFRTLTERPQKHLLKYLHIFLLLTFLATIVADLGACHPFSHYW QVVPTPSPACRTGAAHLYTMGTLNIVTNLALILFPIPMVMKSKISRGHFTTYTLPHIT HHHFAQQTRSLLASLDILFNTFISNAVVLFSLLADRGYKKEKFRQPRLEERKRGRMVP EWGSDEDLVSGKGEVRIGLSDLGTGKDEEEGEGGFGGVGRLVAGGRLGERGGGGGSLK RLPEAKLGSIRVASTWEIRVDDK LY89DRAFT_670856 MVNKKIDVTPAANAKYAVRATPTRRIALCAERGARRANTCSRRT SGGIGNRRQLGDRGGRRRVVVVVLRRRVLFRRDLWLKREMEGRRMESGFATSDWAILR IKGDNGEVPLHFTVVPDSHLDARPDYYPTTDTEAIVKPHGEDLLRTYFEVIHVSYPLL DPLRFGAEPSTGDPLLAIMYNLAAPFCQDTPPVFALLSDFVHQALPIEKRHPRLETIE AALLHLQRHTIIHRSPTLPGLWSDIGTIVGMAHDLGLNLDPTTWSLSPSDLNRRVRIW WALYIQDKWSALGLGRPSYLSDDHCSVPLPTVSNFSPTDAGQPALQFIAMAHLTVILS DLLNTFYTLKSMERVKNLPISMLYGILDEFQARLDAWNEEYGWKLEGGGNGLLDSSGS VVLAYYTAEIVLYRAVLRALPMSEEGYEAVRARARDTLGRVVGFVEKLNVSRLRAFWW SPMTRINFALAGTFMFFQLLTSITTSDIEFWSETIAHYRSLLRLQSLSFDMTKLACTR MDLLAQGMGVDPPCSGGEEGRGVGGGGGGGVVLTPGSAEEWIARQGHEGMLLC LY89DRAFT_698186 MPEQPKPKTLSRTHSLDVRDDALRRLSRSPHPYHRQQFELPYGS EKFSTNAPPLRSHLRSTQNTDDEEQDTPRHMPEDFKDAYKGSTGSDSGTEADDEHFLK GLPAPKLRPHKGLRGFEASLSGTPSPILSPAILDEDSTRSQGYLRQKKAVVPVLPDEE TRKLADKVRRKRNVEVIRRCSETSILFFLAAMLCCDPEIRKLLHFWRRELSCQVLTIS SLVVIYPLRLLRNIRPSMSFKRPFPIAIPAAFDPAPLLYPPSITMLVSLVLSKNTASN LLPSMILGISSLPRHLIPSTGGLWGSNISHWFLSCLPLLIRHTGDVENLVGKDSEKFS LQPEVLVLLYPLHQSLCVTLYYLTTTSLLEAELQLLSVALIDLLLLASSPQAMILKIL LWGGGIGLLVTCGRVLKWGVALARVPKWRFKRSPNQGRYFHEKGAKFMQKPFSFGGLG TTIFSKDSAMTDSSNDDEAIEVIPLSPTLKLNTSSRLFDGSGENGSVSAIEPKGVNFM NGSTAVEEDENSVIKRRYTLPSLSNLPQKLTKRTPSGRRKRATSSSVQAFFSLTQTEA TIRKWVYAGYVYIWVALLILVGIREYVQRYALHGIEPIGWALGYLFGDLPWFRMEVVS GNYQRWICLPSRNGREHEESCYLGWAQHLRHASFGEANTRLILSAYWLAIIIVGLAVV FRLSGVYEVDTRRKVFHFMMVAMLLPATYVDPTFAALALILMLAIFLLLDLFRASQLP PLSKSLAYFLTPYVDGRDLKGPVVISHIFLLIGCAIPLWLSLASLPRIGTGCLEGWEV PTREVSMVSGVICVGMGDAAASLIGRRYGRHKWIWGGGKSIEGSVAFATAVGLALLFA KAWLRIGGWPANNDDVWFMTIGKASIAAWVASLTEAVLTGGNDNVVVPVVLWLCVKGL DI LY89DRAFT_111541 MVFCGKPSGGCHACRERKTKCDQVLPGCTQCKRAKRLCPGYRVP GDLIFRNESSNVIRKFKAKEAKQKEAAKTLTTPPVSEDESNVEDASLEVAQQQDRQLD VFSLAPSLEDRATGFFVANYVLGMSGPSRGHLDYIADVSRTQIMDDSLVSSMKAVGLA GFAHAEHAPSLMKNARYQYVRALKSTNAALRDPVEAKKDTTLLAIMILGIFETITGCT TRSLRDWARHVNGAAAVIKLRGPDQIKTPHGRRMLIQVTASLLINCLQRGVALPSHIQ EYMTAAIKLVGTPDPAFVINDCMMHFANLRASVLSGKLTDQHEILRLSLELDAKLLDI VINVPPGWEYQTITTLEQSDVAYKGRYHLYYDYWIAMIWNALRSLRLLIHELIRDILL KGFAQRPPTFHSTEHTAQFQISTDTLYQHQEEILLSAAQHMNNFPKAQDLSVYKSVTS IPLIAYNELHAPLRMSGGSFLIWPLWHAGILDISTEEVRRFAIKNLNFIGDTMGIQQA FVLAKSVEERLDIEVWKEGDPPHSNPDYTFDTEVNG LY89DRAFT_783541 MATIIYKKFIKKSPSQPEPCEHQRPVGNIEDGFTNTNALPDNQD IPLNETKANKEAVHGSDVLDGTKCAICKDEQRAMRKYRLKLMVGLFLPFMVQSLDATI IASALPFIASDFHQLSQLNWIVSAFNLTSATFVPFWGQFSDVFGRYAAIQFALCSMIL GSVLCSAAPTTAFPMLLVGRAFQGIGCAGLLINSKIILADKVSLKENAKNNTVFTIVG GVGYGIGPVLGGYLTDANWRWCFIINIPIGVVGLVLAHFVLRPELLGPQKITRTDGTE DPSLSQSFTARLMTIDFGGQFLFLFGMGLLVLALTWAGAYYPWKSANVLAPLIIGAIL MICFLIWEHYMLPGRFLSLRAPTRKAMIPIELLFTRNAGLLIYINLITGMAMYAVFYF VDLYFALVQNYGPGKSGTNLLYYLPGLAGGAYIAMFACNKWPLQTFFPLLVGTIIEPL GITILAIAINTGHLPLIYGMLALTGVGTGIRFMPGTLHGVGYFPKKIASIVSLMSLSV SLGGTLATTIMLNIFNNELRKGGISLNSAGSSSFDAIEVLPASQQAYLRQKALRGIVV AFFAITAFMWLGVIAALGLGNVRIGKNGRKDEVLASGSFVGSLLWRRRGKEFEQSAS LY89DRAFT_648653 MAANFWRDMEEPSHDMSELAFELFDRYGYLNAKFKDHCIQRGTG VWGAEMDNGPLFLIERTIVTDRDLRGKGIGRAMISLMIQKAQTREKPQTGEQIQMSKI FYGEEDLSKYSTLHAVVVPGWLRSDVEPLAKGVSRAEKRKIYNQACDDAVAFYRTFGF RRIGASSCFGYSFDPAHKSRAIEASADYDPPEPPEEDLSEDEGANPFDDSKQQKKMDR LRDKLPLHHATLTLPDKECVAFYKGFLDHSGIEWKQSDRLENNVLHVAACQQKPESVK WIMENANTGTVLSSSRNIHGYTPLEALQDVLEIGRTRKEVRMLTLVVADQFEGFNTDA VDCLSLLTGLDPRTMSKIQRQRLKFGCTCGECLDGFMSPRMCAALLFQAETTCDMLDM DIGNGPDWCMSNDYMFTYVAPDLRQNFRTNKSYREGFKNIFGFMAECLRAKMLPVRDN VLLQWENESEWPPVTRNYLQRGGTLEGKIEPALRICFDHAQEQGEKTGDGEYERVMKN EVPLLKKCRNDDEFRFVAVQCGLPAQEYY LY89DRAFT_735500 MKDALLQGFEPQSDGRSIAQTTSYWTVPEQNEFPALLKYFGTDW YGIAKHMPTKTHIMIKNYYQRLVDSGKGEWEDLAKEANDRRETGFHHGKEKAMGLYTA WDQYPPTKKSLIVKLKYKKRGADIERILRVRAKPSLEFVRLEKARIAVQQKELRSEQD EEPVLPQGNCHYILLHPEVKGLRCACVRYSLNRLIPGSTCDCGHQAYYHEPVQADIPK HFNLNVVAPELDMERRQDPMLVGDFMEINAGIAFDKNMLSRLDRKGITDKEAARPPGW AYHSPALSREAQALEQLPRNGPGLNFPSPCFLCVIGPTQEVVFDSIDDYNVHLWQKHM DLTKWQETKCIWEGCSSLGTFATAKLWFAHVRSVHQKNFWCTSVDCEYRKSGPNPKPF GSQNTLNRHSQTHADPVYCMEPGCTGRDNIARSDKKKKHNIEYHGEILCGVYGCPRSR HIKEVYFGFSTNADLVAHQRSKHADMNLAYGMNTAYIGQDSDGDNHFHGSPPFQFPAP FSQQMPSDIDQRPTFISGQNSHGFENFTDSGIGSTLALTVKALSDKQVSYLAALQESS KEDSEAKPLKAPAAEDHSDTQTLLSDTSSLRDPKLGEYVTELADAICRAFPPSFNETD LARISAGLPVLLKEFAVRLGDDGSSHVYGQLKHLVYRYHRSIISHFQHEYGEKDDGDL PLRADEMTLNDKMTLWQNNDDKEPEVIAFDNGSDEGEDDHDQDFEPDSLDLSEFRRAL TDGPAYTWLRCHLQIQSDLEIPGPLQTKNEIRNQIVKKIGRPHKISRRARSPVHTIMF DLEWNPIAFYQEQQYKETLSEVLSHAVTLTGSGNNVQATTCLQYLSQTWPETGVHFLQ LLQRCIGTRTVHSGTFPDNTHLTVYDYPTQIRVSATGNAFSVAEVGEQLAWLGAALRS SPNDQEVAYCKPFISEITSSSCKFDFEIQTKGERDDTSSGDCWQNLFRNPMVVIGYPI PRRPVADRGLEVSLDIMVTLANTRRLIDFCGRTFVKGFSAMLTVMEVIEDTVFWHLFY NEDRSYISYSDPRILRNPSSPQSLAIKNPDSKRHILGWCESIRNYAGNRISSMFPTIH QQTLTDYHITGAHDANYDIGWSGLSSPNQTCAFEKVSVSTGKIVSVGVSCAIGIKDKS IRVDFGDDYVSMLSMISKRHFVFHDLDEEDRRAWLVDGASALLHLLRASLKHYQNDRH LQRIFCFDFSELEEARTTHTGADAAFEVLLNVDNQQLSLYSKMPESWREKTIGERGKQ EEVLKEKTVDFCLKDRVDQICNILGQIMAHQDDVSSQSGVGFRLKTTPQRQLEGFDFM DVATGQGTLWPKVATLRASGAGWVDFTRKIHAISLFGTGFGDLLAPANNRAGCGSCLW NEGVPKGKDYLAVPVAELKDIIRTKGNMRRNPWRVVDDIYWHTPDMTFERCGCLQSRS AKHDRVQVFLPATFPKMWGRNLKSPSKLASDGAVIFGHSWKFPLRWGVSGNPEEGNPL PSIEGGDPVFYDSGIGSAQSASSSERPSDSPNPLQPSNGCLASDPRLYKLSNQQFIHQ DASTRTGVTPEIAALQLLNSMEEPEGSRKESKGRAKRSISPTDVLMTRQLHGRGYDHE MEQIPGMLAVNEPRNKRRRHEFHTEDLSEQRESRRRKGKERAP LY89DRAFT_735501 MSHVDVARHGYFSFSTTNKFQAEDETLAGPKTLREWELKDLHPS YNTKAMTSLRLTFTEIDELFLRGFRRSLTLLDLISEDYRGDWKRNLIISPTDAEDFER HIQFGRLSSEVSNIDYFQDQAEIRFWCLATEFLVLENEGFPGGQVFDPNTNGWRACPV PDVHASGFSSRILEIEKVASHVPQQVIAAMSSISHLSAPWNVIRHLRKRTDLLDSLFE RTHRPVSADQHLISLAAQIDQSWPKNVPKNETFRPSGIVVKAYPKGKGLSIPTPTIMM ITTISSVISISLAVVSYLKATPQPGTPQDADFFSLFQSSVLQISSIWPMMLTLRKTRL NKLASIYIWVLVVFGLLTAAVAVPLYILVHTGWSTLFSAASGVSQGFVTLQLVYVVAA LY89DRAFT_670863 MARLQYFSLGLLLLVTFVVTLTSASRQQVPSNEISAEDQAFQEL LTSIDPASLHDVLHEHLADKYQDGVYQEDKNVMEVVHQSNAEVAHSLLELAKRQASNS TSSTAPSSTSDSPNSVSSSASTTPTPTSQTSATVTPPTTSSASQAQSQTPTQSDSQSS SQTQNTQDQSSTQSTPQSTTPDQTSSASQSSVESTSPSNSQSSTNGTFRGGPAIYPFA PPLIPPSPSAYSAVLNSSSMSSTLQSMYLGQNSSASTPSLSAYMFQAPSSSTSVLPGY TFQAPSIAVYTMVSAPLVRSSSSLEYISSSPLSSSSPSSSFLPNITSTCNSSVPMSSN SILPYVSVTSSIASNASTPLPYIPTTSSMTANSSTPLPYIPMSSLIPVPSSSCTYPTL SNSTNSISSLSGYGFNNSTSGASSTPSSSPGSPSPVTSSTSTGSHVPTTTSRGVSFTS TKGQTSSSSIPSSSSSRKESSSNSPTGAVTTSNSMTPTTGKSSSTSVRSSVTQQTIYT TTLADGAVSTVTSVTVVPADQADSTGGESTKTGANASLQTNGANSLSLGLGGILGAVG LVVIGAL LY89DRAFT_686148 MDGLTAAEQREFQSRMERKQMKEFMGMFSNLVSHCFDSCIDDFT TKSLIARETGCVSRCVKKFMAGSERIGQRFQEQQAQMMQNPPPGR LY89DRAFT_111701 MASDPPGSSRAQQPHAGPQPAPIPAPGDAASPPSKASLKQWWRN FSKPPNKGQENVSNVQQPTGIFGVPLRQSITYANVAISLVDGEGRSYIYGYVPIVVAK CGVFLKEKATNVEGIFRLSGSEKRIKELRTQFDSPDRYGKGLDWAGYTVHDAANVLRR YLNQLPEPIVPLELYEKFREPLRGHTQEAVGDAEGPQLAADFDVAKAISTYQKLITDL PPLNRQLLLYILDLLAVFASKSDENRMPSANLAAIFQPGMLSHPQHDMAPEEYRLSQD VLIFLIENQDHFLIGMRGTAADEQTVYEVQNGGTPPPGTPNTPGRKTNVARSASNASA GADSVRKYGGIRRNVSVSSRHSRQSNGAPSPASPAYGAPLTNTTSSGVHRSNTVPSKR SPGLPGNRLQKTSGSPSPTATAFNPAQAAAPRGLSPAGVTIEDRSFTSSSPGATPTAL GISATSAASAITSQERLLAEPGNAATPARERNISNLFQRSPTAETDRKAPKKLQKKRG APGSANPSAQSSTQSLHSQSHLASPPLNAARGTGDVTESSPLEPIPSSSEPPAIEQTE ATPPATTTPRADQTAHQFPTRPEGMHQPSERTLKPASPPTSLHSSSSFNDQSDADRHD DTVVANEPKEKRRLFRMSRHRDTSGPSLTPRKGLGSDAGAGASSTSVGSAKPRKSWTG ETMPASSDSTLVGAHLHSSNDTDHGEEKKGPLSRLWEKVRENKEERDAKKERNKSPPG SNERLASALPRGKSMDVRRDEHFEMPLEGSSTPRAQQPPPPR LY89DRAFT_619298 MGDKSTSTETKPRIRVGHSKAKGGCTTCKARHVKCDETKPACLR CLNFWGRCEGYAAPKKRARPRQALSFIERSRPLLPRSSANPARDTLITTAPFKTADEH QGFQSFVTHTVPQLPGVFSSSLWSKIILQASNSEPFVRDTLSAIGALSSCGRKWAKNL PAGKKSTDGIQVTPQYRFALQQYGNAVNRMRKKLASYANRTDSSQETKDRNLRTALIA CLLVICFEGLQGNYFQGLQHASSGHAILHEWLSEHQALEPNPPSSSSIAKPGLGSPQP HLIEDELIHVFSRLDLQIMSFVDARPPSLHSKLKSEGTSTIANMPNSFTSLTSARLYW ELIQRRTSHFIGDAATRTSLRQDVDMNIDMGLGSGPVAVTPESEVRLSSHPALMTEYH SYASEISSWFTSFLPFYSTLQTGSRTWNAASTLKIQALSHQILLHSSILADEWSLDHF IPDFRTIVSLGNLISTDPLFSTPHLFSFDTGLVNPIRLVSKWCREPSIRRDSIALLRK VACREGVWDALTMASVSEWMMEVEEERMTWDEEGEWCIRKEDRVRTTNIVIDSLERTA TVTCERLGRREDRSKDERETVIKW LY89DRAFT_783548 MAPLRDASYFPSLDKCLTGEELLISWKSAFAAITSEFELDDEHQ DAIQEFLQDSEVLHLLANPFEAFLEPSPQTKSTFETKTSAINVTPSSNAKYDIKQIKE DTLWLSRVARLDEVSALRVVVGECQSRAAAQLLGPFSEEELVGIREAAGNSRYSSPIP LSLLSGAVEPTTIQKNFDKEGSRRSRILRTYLSERRHFFKCTERLLHAAYRRVDAGRQ ENKGKQPEKGAPWLAKAGDTLYSKIAKGSEPKLIIKAIATIESNVKSIENGSGWSDEC EGGDELEMDWLQTKIIETIHIMELIWTLMIYVVDCPSSDIILAWFHLQQACKFFSTFE MEHPNIQGILFAFQSTSMLVSLIMISLTPCIEFLQDSHNHEGVSPDAPTDEMYIFNTT TIMEIHSIVLEAADNGFPTAGPATLAWAYVVSAMQERVQADNTPEPSRFDRATSVDTE LTMAPDCYRDTLDQIVATVDSDIVEFLGIRAVNTCRVFETLTSLSLQLGTTTAALFPY TTGSQMRTLILDLLKYALGLGYLPELMQAVISTLTGGRDYWTISSSKPLLQVDDPMVH FLSDTDLVNATVMNAQQRYPYESLPFLQMVRALAASPATFGTEPSQSVLALLEAMPVF TYTLPPHFADYETAQEEDNNNTIRLTQPVQLFEPRSKSSRLQSMQIKSWAVTRLDQDF CIPAGAYGRIISEAGPRVAFWFHEYPALKYFGKLLETFLAAGDVIDATAGGPADRDSV CEIINIIATLLLSTFQSAEANNNWKEDARRVLEQSSAGLSRNRDITSVIFDIFEQELQ NISSSSGSETSLEILVNCISFIHAMLPVFPGRVWPLLARSGLLGVTRGSGQLSVIVEG VELVSGRYGFLTSCCRLYEALVDDFATNAILRRGGGRSSARFQEGDDVGTGIPDHTLS KVLFVFTRYLVDVFESSCTWRYQDQDDRQRVSLVIGAALNQVLQYAYGIESWADDDKS DQKTFKPKSVLTAGAVKKQKVTRIMDPLLPSASHIVETFLSSSSGALRFQPLLRSYFD GLATPDSTVFVHSTNLRISHITTLLSFSTTLLRVSKLLNRPSSQLEKHLFKASPLIAR LYAANDFYRNPVVALFEALIVTAASGNSEPPSILGHLGGLTARNFLHMLTDLDQPLSR GHNIITIWHFLSMVVSSRQQWFANYLLTGKTPRDAMKSGTTGKEVASLEKPLLTTALE KLSKIDEIPQAQSLVMLEFVSRAQSFWPWTVCNTPMYAAFIKNISEFAGRLRPIQSSS KTEEYYEPCYQTRIASHIAEILAMHLFHSRQIGAASPLKDLKENLSFFSRFAVGVPNF NASLHASLKKNLEARFPGCTLQDLKRTTLEPPQIGKNFFYDLTLANKLLQHDSAWTGR QDGGLQMEVERANLNLSLVDAQIALFHSWKFLAIELSVNMKGDADLERMCAEVVNSCL LANCAYEHPEEVFARLSLIRTDFALVLAQRLIQAETSIEEVKNLLSVVWKTITKLRGS FERAVPDGDILYYRSLLKVLFLAVRVHAEAKSEVKENDLRASLRINQSAPIIPIILDI IKHVVSMGLRELASAVHDSPADSSPEDLSLLTGILQSCLRIPGIELYHTQIVNIVSSN STPRVALTLFSWSDKIAIDGDPIYGELSMLFLVELSSIPLMAEQLAIDGILGHIASAS ITSYLRRGGVGPFADSAGLQRCYSIWVRGILPLLLNIIDAVQASVTTEVALFLEQFPT LLAQSEQALDAPETSRIVPKGQTKYITLTICSELHSMALLTFVLNGFREVLKGTTEVP DVKWDAAGVLENAEFWLTSRALLRERILPMGEREVAMVKKKNAEGRAVSALENKVVIE LMGIRDVLSSGNDS LY89DRAFT_686152 MPALDLVDHSPQQPQPAPPLASASNVILIDNFDSFTWNIYQYLA LEGATVQVYRNDAITIEKLAALKPTQLVISPGPGHPQTDSGISRDAIKYFAGKIPVLG VCMGQQCMIDLWGGDVSFAGEILHGKTSPLRHDSKGVYSGLPQDLPVTRYHSLAGTHL TLPECLQVSSWIAAGPDGDKGVIMGVRHKEYVVEGVQFHPESILSEKGRVMLSNFLKM RGGTWAENDQLRTDASGATKQANGSNGMKKENILEKIFAHRKAAVAAQKKIPSQRPSD LQAAYDLEISPPLVPFVSRLRKSPFRSSLMAEIKRASPSKGIISLSACAPAQARTYAL AGASVISVLTEPEWFKGSIDDLRAVRQALEGMPNRPAVLRKEFVFDEYQILEARLAGA DTVLLIVKMLDEATLTRLYQYSQSLGMEPLVEVNTAEEMAIAVKLGSKVIGVNNRNLT SFEVDLDTTSRLLDQVPKDTIVCALSGISGPKDVEAYQKNGVGAVLVGEALMRAKDTA QFIHELLGVSGPMVKSAPGSLLVKICGTRKAEVAAEAVKAGADLIGMILVPGVKRSVA YKDAIAISKVVRETKAVTGSVAADRVGSQASDFFANAASNVSSHRALLVGVFRNQSLE EILELQKAYELDVVQLHGDEPLEWANLIPVPVIKAFKPGQQGLGRRGYHTVPLLDAGS GGSGQQLDMGDVSAVLSKDQGMRVLLAGGLNPDNVAEAVRAAGSVGDRIIGVDVSSGV EEDGAQSISKIQAFIKAAKSVR LY89DRAFT_111738 MRMPGRTAPSKRRHSGPTPTTNTRLAPNPITERKRAAKNAKPLK AIFKGKSISSVGHFENCGKILGAEDITNYVTAHGGTYEREVSNGTTHLICSIEEYKKR NAQVQRAWALGKGRCSIVVFDWIVDCTTRKSKSCRAATGYTLDRTIKRMQNGKTNDIS FREKFEDGVRASKELVDNRLWHIYYDREAFEYKVLLTRINFGTQTGKSLIEKYTLYLF ESHAFPHLYMCGAKLSRSNRPCTYYREACHAMEFRDAFKHFQHFFKTKTGVAWDDRLE KRIPTPIGANAVLPGTGQLVERNFIYAPPVRGRPVGLLPAGYIRPEDRVVPVETGDST NSSSGSSSEEGSGSGSGSGSEGKSNEDVVYDTDSDIDSDDGEEDHRFGPYANQGSFGS INTEEADLRLAQDLADELDVELTPPPRVAQVIDLTEE LY89DRAFT_750731 MARLSTANSPAPPPDPTAINLSRMLERLQQTLINPDSATEARLR ASSLERGRVGTNLEHARSLLMRLEQDATSIKIQGKKQDTQADLSRKRELLQRLGERLQ ELNELGGYEANEDDTSEGEDLLGEDTPSEETDASHADLASETPSPRNLTPPPISPALQ SHQSIPEPSVPELPVPAPEEPQSILRARTKDARAELLTPAATSTGMSTATSEALLTHN RTEQEDLTQSLLSMASALKESSHAFATSLEEEKGVLDSATKGLDKNELGLEAASRRMG YLRTMTEGRGWWGRMLMYAWIFGLMVIAILVVFVLPKLRF LY89DRAFT_686154 MENVGPTQTDYEPHPLTDEHYDASAKALTELTTRAENHNQPPKS HIHGSSSTTESHAWLSKFLPPSTIQAVENKFHLGNYVLDRETGEKTWEAMSVYVRVGM HLLYYGSAQEEALHWKKTLDLLKAQSEKMGKEYDSPESKQHIVPFIQSFDLESGLHEM VQPDPNAYSTFNEFFARQIRESARPVAEPENDLVTSSPADCRLTAFPTVDLAKKYWIK GFGFTLAALLGDEQLASQFEGGSIVIARLAPQDYHRWHAPVSGTVRGIKDIPGAYYTV NPQAINEPGTLDVFCENKRSVMTLERSSTGAPIAIVAVGAMLVGSIKYVGGVDTIGAE VRRGQCLGAFYYGGSTVIVLYPPGEVVLDEDLVRHSTELGMETYVKVGWRTGMKA LY89DRAFT_698195 MQPHEDFPSGTRTLYLHNHHRDPFGFLANGPNFVRGIPGFSLSQ TEPTQGNHSGQFWNGEGQQYLHQDPISPNGVQHGFSDSFSFHDSEENLTGHNVGRGSV SGSTRGPWNNELSTAHLESVEMSRFPSQDSIGAHSQRTTNSYDQSRSTRMYPNVNQMT FNMPSARSDITGRSNSPVNSALYTPTQPTDLHGFSTYPYPGEDMSGANPMFHRNSNAS IAHPMNVSLSTGPYNMYATSGEEAFVSLTAESDILGAQGPDGGSMMYNPGAIMESPTL WDNADYMDESRRSSPVIFEEQWSLPPAQITASATNSPLDYSPSLEGISPRYVEDFPDL VELPPYTTTGDRVMRKPIGPRPSKVASDLAAASRRQRLPGTSETSDESLKLVGRSSLD VDNTARDHHLYHNVTPQADGLYHCPWEKDPNSNCQHKPEKLKCNYDKFVDSHLKPYKC KIHTCKELQFSSTACLLRHEREAHAMHGHGDKPFLCSYDGCERGVQGNGFPRHWNLRD HMKRVHNDPGHPKSNASGSPPPSAPAPKSKKRKAGDSNPDSPFVEKATKRIATPPVVM RQPQTQEPSLLERYHEKQRLLLSIGKQLADPKHADNMKLLREANDCIKVMVQTTQRIN AAPAMARNFSQQSSG LY89DRAFT_111797 MDNASMSHASSSSLLFPASPSCSITPTILQIYCYRHCCLQPPQA GLIRHHDYLQQLNWTSCRWLIEVNSTHHPTNQARPRRFCLFSIFLHRSHISSQMSCVQ TQTCGNLQLDTMAGFNPQKNSTRTKAAARYIVISLRNAFLLFCLQIASHVVRNQCFPC NATSNDTFICRSRDYFRIFRCTTGLIYHPGIPNGFICSRPTKASSCD LY89DRAFT_735511 MSTSGPSSGMGSSSSKSESEYNVTNTEQDREVLQSVQNLRILCK QFHTHCRNPLCNRTLPIHDDPDRIDDWRAGARSIPPTTHLSAWTCRCKATTCVGCNFL PTLNARSFFTPLGVVNHCCDLGRLYTIYFLLCRFDDSQRQPEKEAPEKSKAKKKSPTK GTKAASISGVGYASGYDPVAYGGSWGPISVDEIDDIDGFPPDFASYASVVHAHYSTIS TGPLPTTDEDEKKEQDALMIETLQLLSPCLPDASYPALMETNLFHLSILFDRITDLIR NDAIADVIERANLYVEVLSFVKLIANIPNLSGLLFEERPEKSRSPGLRALANPIIQDS SIPVISSSSRSASVFASSKNIYDQVKMYLKMAAKKTLTGGSAQTPVNPVKKEIMKLCE GILELHENLKTKADVAEQARVKMFGNVNAGFSIGAETAWGKYQEENRVTFTDEVLVAH RFTSDINAFKSSGAKNRLNTISKEVATLTTSLPPGIFLKVAESRSDVMKVLIVGSGGS PYAGGLFTFDIFLPPDYPIVPPKMAFVLDGNDTDTYSFNPNLHVGGSVCLSILNTWSG MPQEMWQPNKSTILAVLVSVQAMILGAPYPWHNEPGHENEGESPQVKENKMVVQTKTL RYAMIAWVENTFQDPKAKEHVWADISKTYWKYNGKNVLAEVDTWVPDNPRLLNFSPNL PYPLGPKKGRGKSVPNTQIQGVNLLNKLAVVLGLDPPYPDVEPEDKKKKGLLSKLMKG KRKVSESDLNLAHHLKKQKSESNHSGSFEQKWIYTGTHNQKESRAACKEFGIGSASSI KETIKKLEKHVNEKGKANPALMEKWGKSIYVEEPDLEASSAGSSFPGEESTGLSDSEY LY89DRAFT_686160 MPSDDYTPVVRGALKLKGSTPSGITKKKKKKTPKPAPESEASTS KQSAFQKALEEEDASTKEDDLRELEERGHDGKTASERAREEMRRKRLHERLQKEGVKT HKERVEELNKYLSNLSEHHDMPRIGPG LY89DRAFT_670876 MRSAMKTAWLSALILLVTSILGANGQWQVIELSTHEMREGGVVK FNSTVDFSLTRPGKDITPCSTTWTHYPTPIIPTQWIKCETDPMLRFRIAVYNDVGNYT LEVVELDAVRSHDKTTSIGVTRANITASTNAGIECFGADTDSGTQCVLYNAPMTLDST TSTFTPSPLPIVWAESRSDGPLSGDIPCNEAWTFYIYNLNVALLGLPTLGRNPAQWET HCDSNWACGGTDKSVNNWTPCAAPTFQFLKALPAAGGDVLSDFVIRINHTFPLMMATS IRGSNASDVHLTDPEEAAGMQVRLVLEDTAWKVGSTLTDVKGGGIDQLGNCSIGCEYS GKQVSVKVVDMEIL LY89DRAFT_735514 MNRLEMTLVTSLKVGAPKKTDERITRPFTFAQKFANYFSEADNI RHVTLNHITDFREPSDVVHFTTELPFWLKGFKSLRTLTVDIPVVRQIFDADLQDKLLN ALISRINKKVGVTADIQTWTWKAPAGRTMDWSQDLGWEWTFTRLLGIDDDEGEHDGIL VERELDAEKRYRGFDIPYSSDDEVRLGESYIVKSEEEEEEEEEEEEEEEEEEEEEKEG KEESEVEEGTRENSSAAGEEDKDAGVQQAIEVAAEGGNGTQE LY89DRAFT_735515 MDTAIMNEVVSPQHHPSTSITSRSANSTSAEHDIRLNKRPRDVI APVKTSRKKLRMPKFPWEKLPFELREKIFEFVSGISRAEHKQLLNKDAPATYFKRERG SHMPALIVALRPLATSYHHVLQWF LY89DRAFT_111870 MPGRSIRSEARVACFRAHSISRVWLGSIAENGPGCRRRSQINSY ITFRCNAGLAKTLKHVGIESALKRTRTSERKCRKNTLLSRARLPLTLCSACLNVVLLH RCGCLHRTEGKEFSAHGLAAAGSHCVFGDHRHEI LY89DRAFT_735517 MSSPRRAPIAKKACTRCSTQKRKCDRALPECGLCLRLRQVCKYD SRPLASSGPTPDPSPSPRSEISLTAAAFTPSQTKHAIIQRLGSLKPEDIVSVCIQMID PWFPIMSIPRLQARLPPSWDECSLDLALLCFSINLVATTPPELPEDVNDPSEFKTSYL CAKSWISLMEGFGINTLDLLLARILVTLFEVVHGIYPAAYLSIASTTRAADALALYPG VDASLSEDEDNRQDGVSGWCGILVLDRYITIQSGSQPSLTRSRIHPLHAKLKPHICPT HDEGKDPSLPLCRLSRLFESSALLDQIHSVLYNPTSEETFNVSETMLTVDTLHSLQTI LEGETPDNRRIYCGASIMCKIGLLLAFENGSKVFPVDVSTTTCTYVATTSLNNLISGI VELIEPFTLGARPLDFRSLTPFIPFLVYKTASIITGRLWLENDSIDGLRKLVILRDFL KLVAKRWLSCERYLQLLNDDTAPRVLRAIEQA LY89DRAFT_619320 MPEIKSVAIAGGSGTLGRHVLKAFLEADFEVTLLTRTAKADGYD PKVKVQVVDFTSIESLTAALKGIDAVVSTVAVEAQDSQHLLIDASVAAGVKRFIPSEF GSCTTSPEVASLPFYSPVVETRKHLQKQCEIGNLTWTVLACGGFIEFLIGQPALVDLV NHKATLLDGGDNRASSTSLPNIAKAIAVILKKFDATKNKVLKVSEVILTQNRILAIAK KLKPEIEWDITNVESQALLKEALAELAAGKFDMPVIMKLLKGTALGGDRYGGAYDKTD NELLGIKELTEEQLTALIAAKLA LY89DRAFT_735519 MASKVSSVCATNGSARISKRKRSICSCPHFNPYGRKRQSSSITT VPLDFPSYQHISPPSIPSLKSFNHDANSSLIHPNPNPETRKRKRNLRHDINFIIESAG RNVTYRPEAAHFSYSLARLDGSADNTGVRYAGGEEQSLDIRITRMRCIIKGFEKAFWQ T LY89DRAFT_783560 MAVRMRDVGAGHVDFLSSPEGLIMLSLDMSSCIPSEGAVLGHKS KLMPLRLQASTKHWSRSRGGFSRLPTISSLYLLLLLSYPHTPRTCLFTTLTVYVQILL LMAQPRTHPRKPRLLLSRLELHAATMLHIPLLLQRRTN LY89DRAFT_783561 MPLSPASTHITHGTSTESPPDNSSACTSNSNLKSSLAKNITSLP LPTSTNDSTLPDPNIRTSPSLLIVLPTSRTISERQKLCSGRVNSYFADPIHTLPQYKR NTIQAGMKDHVEDMLSQVEALTMKINEDDA LY89DRAFT_686171 MSTNTNEASPAAITHNQSPHTTNSLSNSSSNASSLKHHVSSHSQ LNEKKLYEARMTSYFSPTSGSLPLSQYTSSTKSDSARAKDMKDYLDSLDGIIFKE LY89DRAFT_670883 MASYPDSTAATDGSATDFPPLPTTSTSSSTTTTEAATFASMLAA INAASNPPHAQASIPSSSSNTLKLQHIASWLNNAVEGHPRFTYTSDAIIDAHIKDIKE CLNTFDDVMAKK LY89DRAFT_112098 MEDGNSAYGHKTTSNICATWRTSMGITLFPHPAVSPDMNPIEKC WRRIKQALYRRLRQPTTEVQMVVAVLEEWDKIPQEWINGLIEQQDFWVHDLIKRCGWS TAN LY89DRAFT_670884 MAAYLNSTAATDGSANDFPRLPSTLTSSPTPTTEAIKFASILAA IDANSPNLHAQPSTSSSCATPNTLELPRTISWLNNPVEGQPRYTYTCYESVDAHIKDV AEYLKSFDDIMAKK LY89DRAFT_686173 MSELTALINVLEDAIYEQKLDKVNEILETSPGLSQDDLNLALGS SIDPDGDIRAMAPLFARGAKVNHSAFRSAMMRKDLVAWQAFIDQGWDINSKEFGDIAL RSAVYNEEHVKWFLDHGVDPSIPCARGWNLPMLATNNDPDKAIAILKLLLSHGAELDP KAAMFAMERPGGLPIVKFLIEEGMDVNVVLPKTGRHCRGMGRPGSFPRTLLLCAVRWE KIEIVKFLSDEGADKNLSPDGFSATDCARNHGCTDIYELLSNW LY89DRAFT_670886 MDTHHITEMEILPKQDLEANQRHDISPPAYSLNDPWLGKDPWSG LIVQQRNRTGWPVGNITLDWPYALSRRRSTYWFRDAQGQWHPTNAGLEAIRNGERVKQ FHADLHQGSTCDVLLEEFIGPVVRGDECRLHKGLRCFCEAYGCATKGGLKTIDFRGVI LANLDQAASQELECSVHFTGSCWCYTFEEDGVLWVVDSKRSRIRKFGMVGPWVDIV LY89DRAFT_719968 MSFLSESSSGRGDAGLIIRDIKARLGHLSTSAFYTAGIGAAFLA LGFNTYREDGKFLHHVEAARSLFALSTLFGLLTGLGCGFTANVLPTEAGTLVPKAFPW AYRVWFCLLSVAGALFLAGLCIWVGAEKGTSDYSWVTVAVVLICTFLFILMLITLSWP DIENWGSTPVPMFSPSLLIPRTRASLTREEVQGSLE LY89DRAFT_588159 MILAQLNRSATIAWDSRPRIPQEPTAIVSEPETKDPVAVSAEKE PVKEEHGLFHRTHTTEEQVVTIPPPRPVWGDISHNGWSSPTSPDLPSLQYTTVILELP HLIEARAVSLAPTNLQTDPPLPDARVVELLQRPAAMGLRDYIGQLSSMGVLTEPAATA EFLTQYEYARFSGRLLAEQQFRDLMGHFAELLRNMDTLGPAVLASLDIVDSDIDDDGS STTPITPRSRSPNRSVSSHEGTIRTAPSRHTDTTPSKRQEFSTAPATPRSKKRVVSRS PSMNTFAQTRRPYAASSSSESLRSTSESSVIKLSRSNTPGDLPYTLNIPGAR LY89DRAFT_708248 MSAEHSPALASSEKGHEKSATAGPDVILDDYSAAEGSDILALPN DLALNAKIHLVNNAIDEIGWTNYHWKLFVLNGFGYAVDSLCLLLQSIIATQAGYEFTP SYSRALTVAVYVGMLFGALFWGISGDIIGRRFAFNTSLFLCSMFTIIAGAAPNWVALA VFIALLGFGGGGNLIMDTTVFIEYLPSNKQWVLTWLAAWWGLGQAIAGFIAWGFLSPL KWNCPDPFDKAGVRTSFCHKDSNMGWRYVMYTSGALVFAMSIARITVIRLKETPKYLL GEGKDQQLVADFHAMAAKYNRPCSITIEKLDACGVINSAHAKNAFSIGELTIHFRGLF ATKTIGLSTVLIWLSWTLIGLAYPLFYVFLSSYLSSRGADFGNVSTYDTWRNYALVNI SGIPGPMLAGYMCNFRLLGRKYTMVIGALITMVFFFAYTAIRNESENVGFSCAIAFCL NIYYGTLYAYTPEVLPSAHRATGNGVAVACNRIMGIVSAIVATYANTETSVPIYVCAA LYIAMAAVAVAFPFEPYGKRSS LY89DRAFT_112162 MFSYLRPHHKRTPSNPTSPAEQSHSFESPLHDHGHPPRDVLPPE PTRPPPPPLPPIARVSSADSEEDLRSGRFKRDPRAQNVDFQRDNTRPRDIHAFSNHQR LPYAGMQRPESAGNAIPSNYTSQPKPTFELTSRPRPPDSGQTFADQNRPQGRRPNGAR LPSPPPAPPVSTFEPVQQRSGKARLNLLNPMSLLARRRTSQAVAQLAPESLVSNRGNF SETFDPRIRGTVVHDFSAPRPRRNVSYNDVREDTTAPKQFQRSPHGDLQPSDDNATSP WSGGNHTPVFTENFEEEQYPAAGPHVRKASDLTDLPLPQPPYAKGSQQVADPRAATKS NEISQKQIQDVRRLSARKPVPGLPPPVPPKNEGQPEPRRISIDPGATPPKPASSKKGR PRGVSEVSAKDGIPKHMKSTSSRFSFDMIGAAEQERLLEDRHRQKALERKAESADEDR LEEEFENDYDYDNMDDDDGLEERIPGVNADLEDEDDPYADLEEPIPGINTELDEEEDP YEVPQDDEHLGGFTFQQSTLATPMSPSSPGMVSTPRDINGEVIGFAMTRNSPHMAQGM QDPSQPSTSPVSPELKTTIDPPFQGLGLHGIDIQSFNGVKPQVQPQVDEFPRPAALDD DDLYFDDGIITGPGDEEDAVEFDESIFDNVDTDEYGRPLKTLSSLPTLYNPPMLGPDK PLSPAKTNNEPESAASPRTIGRLAPQPSISEPNKNVMGPPQSQGLTQDSLAAYQTALA EAAFTAAANGKFRRDSIPPPTPSEQEDTQPGLVPDSSHTSHYEPFSPSYELEDDFDYD DVLEDDPIIAAANAEALANDCDGFYGQEFGFYSAPAASEAEYANGGYFGPRGVEGVLR SQSGRVASREPNLTPITERSEYSNRNSFMSLSMHGPGSVSSPGLAQLTNMLRSPADYD GEMSLDALLKLRNKAWGGSQASLHSSNGGSPRSAGGLEESSPIGQLNPWQQSVPTSAQ GHRRKNSTFSLVSEEASATDTPGAIQSEAGSPPGSPTLTMSFASLDNSSNNMNTNTGA RDERDKGIKKHRYTGSAESISYLKEDDPVTGERWILERRRTAESGEVEFLGREVVSGG RI LY89DRAFT_648690 MCWCIGYFLPNTESEADRLNMQHHIFALSFSDRLSLAPLSESDL DGKHVLDIGTGTGIWAVDFAKQYPNCHVTGFDISPCNPTSPPPNTTFLTHNAESTPWP FTQKFHYIHGRAMMSCFDSAALVIQEAYQNLEPGGWLELQDVVAPWTDVDGSLSNSAL LHFHTLTISAAAQIGRDVCQVITYPSLLAAAGFSSVTEMHYQWPIGPWAMDPRLKRVG EMFREDLDVTVEPIAERLYKGVLGMGDSEVGEIVRAARRDAWDVKRVRGYMPG LY89DRAFT_588475 MVPGTVEFVDVQGTSRRLKNRRGADTDVVLIPQPSSDPDDPLNW SRFRKEYHFWLLIVWGILVAASVNWSGPVWVPLQEDLNTTLDDMNISAALCFGFLAIG CILLQPTAMKIGRRPVYLIGTFLNLVGCILGGLQTTIVEYYVVNILTGFGAAPVDSLV QISTTDIFFAHQRGTRLAFFALALGTGSYMGPVAAGYITVAQDWTWCFWYLVIFFTAL LIIQIFTLEESVYRRQLPSSSNSEVATLDDRPQRDVKDQKSSADDGVSGVETARNRSN TLQTLPGGRKKYWQRMAPIHTLHANPRPWWYLAIFPFRLVTFPAIVWAGFMGGIQIWW LSLLSVTQSEIFSEPPYNFDIADVGDTNIAAFIGGIFGMLWGGPLSDWYVLHRARRNK GIMEPEFRLWLLIVPAVLNSVGLLLYGLGGYNGWHWMVSAGVGTAFIGFGIGAGGAIG LTYAIDCYPHIAAESMVLILFMRNVLGFAFTFAIQPWIDAMGGSNTCVILAVVCLVTT MTFLILVKWGKGFRRKTASTYLRFAKDRNRIAS LY89DRAFT_619332 MTDTIKNVAIAGAAGNLGKIILQDLLETKKFNITILTRKASAST PDGVLVKETDYSSKSQLTTALQGQDAVIDATFARDTFTSSNLIEAAVEARVGRFILSE YGNDLDNPNFAALPILQSKVANYELMKKKAQESGITWTAVASGPFLDAYLQTGFLGIL LKDKKVTLFNDGKNVFPSTPMAIVGHAAAAVLLHLDETENRRVYISAVCKSQVELLNL AKEALGSDGWEVESRDIEPAYKQALADVKAGKVDMMVFGTLMQYAMSNPEYSRPWRKN DNELLGVNQLSDVELKDMMRGMVSEK LY89DRAFT_112201 MAKREHKPLKEPKLPEESKSPKKPKPPKASKSLKEPKPSKKTPT KPPRKSAKKDRIQRTSSRTSLRFKPTRTAPQPVKPGTLSRSTSNRVINSGENIFAVTS HIQPDGLSRRNKSGIEPATNATHVLTDRLPQSFVLYSPSFLNRDITISLIQDGPRYFT SQWDTEVSFEAIPKILHSSELETTIP LY89DRAFT_588055 MAAVALPPQPLNDESSLKAALEAQEYLQSRLTATSEAPAFRSIP IIDLTKSFSSSLVDRRAVAEQINSACTDVGFFYITGHGIATGVCEDVLKLAHRFFHEL PQPSKDAMLCNDYFRGYEPAAFTSVNNFESKETKEAFNWGYESGLDPTGGDGKYVELD GKPEASVNLWPSEKELPGFYAGIAKYYGEVLQLSRHLFRLFALSLALPEDYFDPLMTH PGGIARLIRYPPATNPKPLSELNEDEEIGLGAHTDYECFTLLLQDANQGLEILSPDNH WISAAPVEGGIVVNVADFLMRWTNGIYKSTVHRVVNRTDKERYSIPLFFSINYDETVE TLPSCVKEGNPSKFPPITAGRYILDRLNLTVKTGKY LY89DRAFT_719976 MLQNLRGLKHLQHNGPRLKLQRPSDHKRRAHRGLRTDRKAQHLG RHILNLERHRLPLAKPSRSLVRLKPNPGKRKPNLEIRKPVLSPIKLKPNPGKLKHDPG KPKPSLGKLRHTFTEPQSSNPRDTRTNPRQTFTPPTQSYTQPQQTYTPPFRTTNYNPP PPFSTTYTSVYFPNPPQHTTHHRSENSYTTIYISVGNPTTYVQPIFVQPTYYWPPPTI TLAPEPVTYTTVYVYPDTDSDLQTYYSTVTTYVVPSQETSTTYVYQTYVPPPATTAQR PGAEPTAGVNPWAGSCPVASDFIVHNFVSNSGGVSLSISYNGGTFNCPNTPTNEVTYN GMNDMYCDDDGLVRVITDGATWLWISEWSWCSAVPATAGNMPTLEAATNYTNFGYYAL DCTTGSTGIQSCTQAVQNFAIPVVSFGLGGAIGFEPLDINGNYLPGCPSVTNTQPIVT NTATCSAVQTQTTGLTTVTIIS LY89DRAFT_686177 MHAHTTCTSSTHRTILLLLLADAAAYPRTICTFERTLTSEDSVS SLSWAHSVLFVSISEASLRTMRSANSPAAGT LY89DRAFT_698204 MDVMELVENEPNARPFQCDWQTCNKSFNRKSDLQRHYRIHTNER PYSCMTPGCGKSFIQRSALTVHIRTHTGEKPHQCQHIGCGKRFSDSSSLARHRRIHTG KRPYKCAHEGCLKSFCRKTTMVKHQRRSHQRGVHSSELDDGDTSDSDSGESPSTPQHP GHVQWPQTVQIPQHMPHGHHMHRAHSFADFGHHQIDNYPMQQNFGHRHSLSSGGAQHF NGPIQEHGHHNPIMHRAPSLPAHSSYYVPEQNNPGVATLNTNPTPIQTYQIPRQLERQ EVLQSSPSSYSSASRASPVSQEPFYTHHPAQAATYALQNSSPIEQQPMVQYQQQMPQH LAQHHGLPQPISQPHQQEQFHSPAPQEGQWYDNVAYQAPVEVISHIPSYPQTHVFTDP WAQKIEAFDDPSLQMPSARIENL LY89DRAFT_588464 MSTSVRSRFVKPDLTLSTTSTIQRRTLFGASTSRSILAHLEETA NKNPNSATSQYAFYQALIRANMPAIVVERFQSNQFASNQACENLYHRALGTLGQSEAI NPMNQSQFGTQTQQQGNLNNAQLQAIGQAVAASSRGGNVAVSRGPTGSGAKDSPLHVV VEETIGGSIFKWVKFLLYFGLFTYLSLVVVAMVIETFQQFRKVGGKAENEAKAEHQNV RFSDVHGCDEAKEELQELVDFLKSPDKFNTLGGKLPKGVLLVGPPGTGKTLLARAVAG EAGVPFFFMSGSEFDEVYVGVGAKRVRELFGAAKAKSPAIVFIDELDAIGGKRNARDA AYVKQTLNQLLTELDGFEQNSGVIILAATNFPEMLDKALTRPGRFDRNVVVGLPDVRG RIAILQHHMKKIIAAPDVSLEALAAGTPGFSGAELENIINQAAVHASKAKAQAVSMLD FEWAKDKVMMGAEKKSMVITQQEKVMTAYHEAGHALVIMFTPGTDNLHKVTIMPRGGA LGITFHLPEMDKYSKGMNEYHAEIDVCLGGKVAEELIYGSDKVTSGCASDLQHATQVA YNMVTRMGMSDKLGNVDLASNYENLSMGTKELIEQEVRRFIEESRTRVTALLTDKKKE LELLSKALVDYETLNKEEAYKVIKGEKLEGRMIMPSGSIKVPQIGLGGQLPELPQIPG SAAPEPVGKEPPKGGAVA LY89DRAFT_686183 MVHHQDTMFRSADMSMVQLYIANEIGREIVNALGELGQIQFRDL NSEVTAFQRTFTQEIRRLDNVERQLRYFYSQMEKAGIPLRKIDLDVDTLAAPSASEID ELADRSQSLEQRVASLNDSYETLKKREVELTEWRWVLREAGGFFDRAHGNVEEIRASS DNDDAPLLQDVEQHVQGQNGDAERSFSVMNIGFVAGVIPRDRVAAFERILWRTLRGNL YMNQSEIPEPLTDPTNNEAVNKNVFVIFAHGKELIAKIRKISESLGADLYSVDENSDL RRDQIHEVNTRLGDLGNVLRNTKTTLDAELAQIAQSLAAWMVIIKKEKAVYQTLNLFS YDHARKTLIAEAWCPTNSLPLIKSTLHDVNNRAGLSVPSIINEIKTNKTPPTYQKTNK FTEGFQTIINAYGTAKYQEVNPGLPTIVTFPFLFAVMFGDFGHGFLMFCAASAMIYWE KPLKKVRDELFSMAYYGRYIMLMMGIFSMYTGLIYNDVFSKSLSPFESAWRWVVPDGW TDGQTITAELKGSYRYPFGLDYMWHGTENDLLFTNSYKMKLSILMGWCHMTYSLCLSY INARHFKTPIDIWGVFVPGMIFFQAIFGYLVFTIVYKWSIDWYAIGKSPPGLLNMLIY MFLSPGTIEEPLYPGQAAVQIFLVLIAVVQVPILLFLKPFYLRWEHNKARAKGYRGIG ETSRVSALDGDDDDSNTLDGRASLASDGEGVAMITQDIGDEEHEEFEFSEVMIHQVIH TIEFCLNCVSHTASYLRLWALSLAHQQLSVVLWTMTLAIGLGTTGVLGAIMIVIAFFA WFFLTIAVLVVMEGTSAMLHSLRLHWVEAMSKHFMGDGIPFEPFSFKQMLEDDEQAAE IS LY89DRAFT_686184 MSFKNRQFSMNRSTGSPRLSTKIQRRFSTHNPDANETNSKIHRQ FRNAHEGHKSHAGLDPTRASTGVIWCTERAYEHGFLENPEEWANLGQGAPEVDDEIEG SFHRPTEIDITMAGREYGPTAGIKGLRAAVANLYNVHHRAGKASQYSWENVCIVPGGR AGLIRIAAVLNNAYLGFFIPDYTAYNEMLSLFKNFAAIPSPLSEEDGYHIHPDKIAEE IARGTSVILTSNPRNPTGKVVKNPELAEIQNLCRDRATLIMDEFYGGYNYTSNCDGTT ISSAENIEDVDEDDVLIIDGLTKRFRLPGWRIAWIIGPKEFIKAIGSCGSYLDGGANV PFQEAAIPMLEPNKVLKEMQAIQRHFKDKRDYVVKRLRDMGFHIKTVPDSTFYLWLDL EGLPEAIADGLNFFQACLEEKVIVVPGIFFDLNPSKRRDLFDSPCHHFVRFSYGPKME TLKMGLDGIERVVNKFKKDGKLMKSDDDTVAEEAVE LY89DRAFT_112632 MASNGSPIHTTSALNLNDLYKQLRDIDSMEERLVEDERSLARGQ RPAWGYDDDSEFNEEDTTKHLSRVIGILKKQQETISLLNPMLNELRDTLRENVNIVSR PTIRALTILDLPDELLAHIFDFVKGRITVKHVYMEFGSDDTVKNVRLTCKRFCNASSH LLVHFLRLHIEPGSLSHLKDVSSHTLICKRIEYVHLTLRFYAADMARDIYMFARYHLK HLRELTDDWERFFSSDYEGDLERKALISKAVMHVRNILKSWEMFLDGSPEDLVDSRTD FTARLHDGIANESSGHLLILRKAHGLYKHRFGEQQRLLKKNNFVEAVAAAFSRMPKAR RLELHDREDRWKVRNAPHWIEGATNHSVLVHYMVYPMSSEQATLLGLQAPGRMLRMPI TLPKAIHKAGSCLTSFSLRLSNPQIFSCLSMSNGDCTNLRVAMRKLTAFEFRPDPFAS WAPSAVREEAEMKHLRDYVTAVIDTDTIEDLSLWFDSYWTKGEVPLFEMGALMSSRPW PKLYSLHTDGIPLHLADIKCFVEQLQGDVSAVRNERHLLVNWDLGRSTGHVAYES LY89DRAFT_735544 MMNGQRKALPPRPSQDLGYGGMQNGYGKENVDPRSIGPQNGRKP STQEPSGRVILQGYGNEISNSFERTPRYNPSNPSRPQSSNLVNVDDPIQIHLLVETAL GDSKEFEILSQEEVDDLKKQCQVLTQRIEQTRQNLAIQSKYRDAAISMGKLYTTNDKK KSIDSQDGKKDRRSIFGHNRVGSDQVREAEQERMASERKCEELAAELWSLEKKIIDPQ SRLLKHTAGILQMTHKGPKNLPKGQNGVPQVPGSPESMFTYSNARNSIEPITDELFFD DRSLYKPADGFGDLRLNDSFAPPPKSAGREQMQMIARTEQRLDDLNTRLRAVIVKVNP ERDGTYGTPPVSGTDRDGTGEMLQRHLEYLERSISTIDDEHTRALAKSTQDSEKNVGR KFQESQAAMEQRLQESEAAAERRLQESETAMEETLEGLNHDLRNLLLPYDADRPEPPQ INGMVDDQLAYFQDSLAAMEMELSRASSSENKNSGNQDDVEQMQTVMMGLWDIIQSGD EIERQRKLERKKVTYNQNLDDEDDSADDGGDPNEPFSLQGFSAKVQWLYTQATRLKDQ KKVLQRQIKQQRELNSKSDATKDAEMMQKVEELERTQNLLTRTEMDADRVREQLTLVM EKLDESKQQEQLREQSRATDESSQVRAAHAELDQKNEMIATLEEELQDLKDDQSIGNA ELQGRIADSETKIATLTQELAAAAAAQATFEANVKAKEKEVEDTEQEMENMNMEIARL QTEVTIARAELDGAYGSRAQRAAEVAANPVIQKEIDDLKEKNASLANELATLQSRGTA NPETDEKMKTLKKELEETIEEYEQMTKASIEWEKEREQLEGTIDKLRDEREKMEAELS DEKVRWLGMKSPGLDGSAPGSTSTTVLKNEFKKMMRDTRAESAKALRAEQAERRRLED ELRALKRAQGPGKSSLSQSVGA LY89DRAFT_558244 GDFDPAFVQALAVQSWSLYARIHRLGIRGLQVDDYLMMLAGGLY TALIVCLNVISGGGGSNLYLPEEFSTFTPLDIQERIKGSKIVIVSEQAMLNVIYTIKA CMLIMYSRLTLGLQVQKMVFYLAIYVAIGWASTEIAFFTACRPFVGYWAMPPPNPQCT TLQHYAIVQACFNISSDTLMLFIPLPLITRLNMPLKQKAVLMLIFSMGIFVILAAILT KVFNLSNIWDPSYMLWYTREASVAVYVSNLPMIWPLLREWFPMLRSMT LY89DRAFT_686187 MTSTPSAHLTCLCGAISEPGTLLKDDRFPTSASICHCNSCRRTS GSLGASFPPLKSPPPEATLSRLTAYHSSDIITRYFCSKCGCHCFIFHHPRKKWYCLGG VVEPSPSPEAHNVSGPKNTIQVSLHEYVSDTLDGGLAPLFHNLGGRFIPIYTAAPGSA EIAYDTTLSSLKESVNSTPPPAVNSCLPAKCHCGGVSLLIKRANYESSTAAESARYIP SDPTKWLSYFCTCRSCRLSFGVSLTPWTLVLPAHVFNASGVVEGSSKAELVPVVFDRQ AASPDANPGLTLKHYWSSPDVCRTFCGKCGASVSY LY89DRAFT_750774 MTSQQDFHIPPSLSRQYFELGNLKLLSNSREAIFAALVAHIEFT ARCKPEDIPPRAKRAFARLENQMTWKLGKVDDIENLKLFFDLFNDMYFNGLLTGYCEL ELIEEYKLQRRRLKLKEAVGLCQPYFPGEGHPRYKEGRVFCTLSVAMSEKRDSVNNRW SGPGQRIKHYLDTLIHEMIHALFDIFTCRCPKGCKEKHNLQGGGGHHLAFQAAAHAIG SADIQYLSRYPCLSLSLTRYESFVGDLQLGYNLPPPSSLKSVDLDINVIMELLKEARV KTFEREKLLRPQLVVNKNNRCIRDEFTVDSRDRHYGFERKWWGSELVSDCDETYFPLY LGKIDVKAPEWAEFKRWRNFEQRAINKYRDFRRATQDQRE LY89DRAFT_670906 MSSQELLHEPPSISPEEFEFGRLKPLSHSAESISKAIIQFNKRE TYSVYSMAEDPCPRRRMGAENFKHHGTWNLGNPHNLEDIKKYFGYFNDVYFNGLLTGY CTLELVDGGAMKRRYGSDCGGRCQPYFPGEEIDSRHKATKPQIIITIRSLANYRDKYA AIKEYHLVILHEMLHAMFDIFECRCYRGCLDRTNREASGGHHIAWQAAAKALERGVWL GANPFDLYFDLRREVCLAADMMLGNKLPNEATLRILRLDIELILKSLKEQRAEENQDL KERSAWSRLIRASNSCISKQQTVDAWDRNFGFERDKWPYRSHCMERGERDLWGMPSST ISANELWQFRA LY89DRAFT_750779 MTQSFLEDMYINFLMRQKIMKYVEDLHATLPINTDDFDMGKLEL GSFSMDHISKAIIAYVKHGHSSRQEEAKDTFEFGGIFNIGKPENLPDLKRLFQLYNSA YFNGLLTDYCRIETVEACRISKRTGKKACLSGTCEIFRPGEERNPRYRIKDPYVKITI VQDKTRKCLRTSPEISKRTVKQHTGEGGHHLAWQATAQAIEEADEAGSAMFGFGTDLS RNELMARDMQLGYNHPDDAALRSVGLDIVEILKYRDAYRQEDAERDRLKDKIIFLRGS SCLRAHWAITG LY89DRAFT_670908 MDSCQSSSRSSSSTSYIHRPHVDLPLLKEDFELGLLRYDSYSPS SISEAVVHCVNRIRLLDENKWHPRQRYALKSFKNEGTWKLGMPNNLEDLRKWFEIFND AFFGGFLTGLVVLRFENITTMLSDHELAMGLTSSPQLSDNADLTYRLERPEITITLTS RPHAHPTKDVRIILENLLHELCHAIVMAYSCCCRSCQRHQMYGGGNDGPHGICWQRLA QAIEKAVDVSVGCFKLGLNLSRSSSLASDVFEHWRFPSEAEIRPLELDTLEILERIQE LRDWTIKGAAWVEENICGPESENEMELRLPITHDMGFLDECHFPARKFREDLEIGCLK PLSYSAEDISAGIISYLTPTTYYCLVGDLAPHQQQALKLFKNVGAWSISNPHNLNDLK KYFEIFDGAYFNGALEGYCNLEFVSSERLIERGQNSCGILSACGGFVQPGAERDPRYP VENPQVTISISRLQQTTTFSIIQMYLNTLLHQMLHAIFDIYQYPSVQGSRQSMGQDHN TRHHSSWAATAYALERCNRPGRNLFGLGLWLWTTIGLAMDAQHGSSVPNEVELRSIDT DMVTLLERLKHIRTEEAERRKHARTFMKPIKASSCCLRDQCSTDGWDRNFGYDRSIWT ERLGD LY89DRAFT_783583 MRFSTISAIALPILSSFALADDTVTVSDLTVRNINSTIYSVSFS VANTTCSASQPTAVVVCGDSPYRFYIEENSLDSYNLTIYEQTGVAVGLYKEQEVSTIC RAGPTGATDYVCQSFSNSTFTLTFDGQ LY89DRAFT_735552 MDIPDPVHGPQPTIKEEPRHPDIKPDKTKASNAGHISQPIAQED FELGRLNPESYSLDHISKALVANIERVTTVHERCYSARQKRALQQFRSHGTWNIAEAH NIEDITRWFAIFNDAFFGGLLTGDANAYCSMTRSGSRRDPRYPISKPYCTLTFRRTRH YVDDNYAAIKSYQECLLHEMSHAIFDIYECGCTKCYACIGNEAAFGHGAYWQAVAQAL EEACRHRYWEVFGLCLTLNRSMCLVDDVQSGCPLPNDIVLRSVGLDIVHLLENIKCLR GNAHSAFLARHRKKKRIPSKSSVCLLPNWTVDSWERNFSYNPGRWDSTCHSDDQAVLD EYAFDSWMRINSRPAHKKLLSER LY89DRAFT_735553 MYISTIFFGAVLYLASSSEAKQINRTQISQSIMSKTATAVTASQ TAAILNATVLDCFLTLPASPLTAQGLSTPFLLKAPCSQSVATQQAFAEAAIFDPTTGT ISIYHPLVIDAGTNPAAAPVVPTLPTGAVVGLWFGFNGGTLQLLDTNGENANTSPTLK TANCINGLPGTQGDVFGQVSWCNAEQWFTAANTGVSSGKTVIPDLGVDKLGNACPTSR SFEITDACPSDNVPTQYLLLANGQLAQDTAANRAANPDATVINNASDEALLTNIIDPL IGCTPFVAPSLDDPGSSVPALALSELQASAKQAAPIGLVPLNDPDCLLTAGGTVSTTK TNTYRLGVNQPVISSTANGELVPYCQDMVSVAPPFLSGFQTVFSNAASPDLCVGTNLF TFLANRYLMSLTQLTCPASSIPFQPVVCKLNGNGVATACTITLTNGTTTGSTSTKATS SVSATSKAVTSVKASSSVKAVSVATSIKASASTSVKGSISTSAKAITKDSSTLATSFK LGTTSLANNVATSSITTPPSSTTALSTVAALSSKTQQTKTILVEIITFFIFLPALGSP PPGVTSNSTGFLVEEALFLSLPAAASAACTSQFNACAALAGSAFSGTDCLDQLNSCQG VATTASQTASAPATVTASVQIPVSGTGTVSLAGVTSALGTGGDACAPVTVTIGQGSVG TNVPRAVHGHGKFGLFRH LY89DRAFT_537984 MLSTGFADAPVSRSLAYGIVAASILASITDSKHYFYIQVDPHLW KYYQVWRIFTYQLCYTNSTEVLFAAMTVYNMRVIERLWGSRKYASFILLSFCFAAIVP PILLALVLRPLSFNTFNYLPAGPTPVIFAILAQYYAVIPHVYKYRIAASAAPPTNEPF VGLTFSDKSYVYLPAVQLALSQFPGSLLCAFVGWVVGHSWRNEVLPVSMMRWRVPGWL VGIAPRKRGEDFEAMRRRLEGENTNSAIATGAD LY89DRAFT_686191 MSGIHLTQLRKWMLESPPVEWAMNQLRELLIGALRQGQIPQHVA FVMDGNRRFARSQKIETVEGHNLGFEALARILEVCYKSGVKVVTVYAFSIENFKRSKY EVDALMEMAKIKLFQLSQHGELLDKYGASIRVLGNKNFVKPDVAEAVNKAVEMTKNNG DCVLNICFPYTSRDEITTAIKATVEDYTKPLSAHARPFSQTRITQKIRSRNLSTSPLR ASSPTPDNASDVDESVSSSTTLHPETPPQDSRENNVYPDPETISSDTIGDHMFTAGDP PLDLLVRTSGVKRLSDFMLWQCHEDTEIVFLDCLWPEFDLWQFLPVLVEWQWRQKHID EKALSAKTKVR LY89DRAFT_588326 MKTSKQASSDLASSRRAQPLRQTRNNPPRSSISGSRPFGSRASL NSGLEENSIEIFPAITHFADAITALPKELVRHFTLLKEVDAKIFAPEEELGKLIDAAL SAPLPNRTQNALGPTSAPMSAQGSLNGSIINGHAGSANSVVEAGDAYTFEPANIPRRQ LFQRIAYTMSNMLVSLDEKNHVIATAAEALNKQVARIDDCFPYIELEISEEARYGSST HWAYPENRVPKTGAVSRREIAAANNLSAAAQHLVDEAAARSDARKQALLERKKGKTQH ADSDFDDQESRQKDKKLHGNSKVRKAADAGVGLGITNGATTNGNPPKRQKVANNKTAA GGAGMERSLSSVFGSNGTAAKGKTGSPRETPVPEPKKRARAAAGTGQTRKSRNNTVTS AAMSPSLASSPIRSTFPEPKGVGTGTPPPTNGRPASVRGRQNSTQSIIEKRAPSEVAS KQNGNAVGTPDLGTAATITGRSIPEVKVTMKETGSNSKGEHLLEDVNQGPPEMVGGLL VGSRKGSTTTKQEEPDSNGSSMQDIQTTTITTTKSGRASKPSTPAIPSFPEAIRSRSS RALETTSINKRSHKKGAGAAAQQLLAQQVQPDDGDDAASNINDEDVEEEIAEDEPTYC YCNGVSYGEMVGCDAPDCEREWFHLECVGLKVAPKGNAKWFCDDCIKKRPNQKRR LY89DRAFT_708259 MTTDRVDRSSFSSVKESHGGIAQSFTDSKTSSYLRNEVSNTDNE DAILDDFVSTTGSRTPELEFERQPELGSGMLTNPQSNLFAFTPCDGFRGWKDIPLMAQ TIKNVKSKSYSDLARLHRGFQWEISDRDRMDLDVVTEEKGALQTGKSRLESLPTELLG AIIDQLATDIPPNGFSARNIDLMSLLLTSHTMHSVTLATLYNQVTIPHSRIFAKFLSH VSSHPALGTIVRRLDFSHFNPTCAGMTARERSETMNLIPETLLRCLSLTPNLREFLAQ EHIDNDLSSEVLKTLLCDLPRLKALDLCACSSANFRDAFTNVLSTPDLLPAALPITRL SLHECTILPSSTFDILLPRLPHLTHLDVAHTRITSTALQSIPPTARLTHLNLSKCSFL NGAAVVDFLSTHPAAQHLVYLNLAMDPKSHEMLPATLLTALLPRLPSTLRSLNLNGSK MDSTHIPLLLPLSKHIEELGLGRHLSLPDIQALFVPDERLPLPQQLSWTPHSLRYIDV SDLSSAELDLGSLFGPRCPVLKSVAEPLEVIEVGADVLKRLERSKPVLDRVGWCVKEA GRRAWLVRKRDRVTDDGGRGWKWGACYWGMRKVPVARAEVGGMYGHYMFKR LY89DRAFT_686193 MSLLDVPLALLLCLPPVLFPGISAVLPRRRGGSFRLSLLVLFLL NFVISSSSFHQGVSPQLLSYTVQ LY89DRAFT_670915 MGYNALQQHDHDHDHDEDDGDHDDSPSPNPQQHTLASPVFTPPL SASLFLDDPNTSNEPSYPHTNALGLNYLVQSIASRSTRTDASYDMVESEDFAADARSS PALSTEKPPGTGRSPPSESSVVALHIPRARRRRSPATAAHQQSTTISPRIPSQPIPRT ASGRSIALRHPTPDLQVLQGAYTGNILHLEKTAEQLSMTSSMDDAIRELHEEQKRSDS RRSSLLSSQGMSAISRQVSNASSIVEVNSAARSGGFAPAGFMMSPKGSFTAAAGGRGR SASKSSRYGSRPEPELEGRPLDSFVNMHSMSSIAPPSPVLSRSVSIAEQDEDAATMTK PIADLLESPEMNETPRGSDEKKSEEEDRPATSASISTFDQAQKMFADFDGIHSGPSPE EQMDTVDMSREMGDSPKNDNHQRRPSGGRVLSGGRPQSYADPRTGQEMVYYPAPVPMM LNLPQKLSKAPSSMARNKRRSQVMSNIPAAARQSAIWLPDVLENEEDGEMPEDDESQQ QEYIPQHQRASMGGRRLTQDLSHMPAHLRASTFFDLPAATQVVELKEQSAVATLDSIL DASAHAPVSAFTDHAFAGALGAEVYGKERTHNRNSRSTTQLLEPEQHKKRTSSFNLLL RGRRASSSDLLDTDKRRSTMSGVIEATVRSPIEEDGDEELENGDDFAVKAVEEEEEEE DEGQLDDDVYHGAPTTLLAELQLRKQQQKQRTQHLTKQFPTGIHSTLLEMDAVAQVQQ KSRKKKRTILAWEDPNMADQDDEDGDDEDVPLAMLYAKKSAQLRDANRPLGLMERRDM EDNEPLSRRKDRLQGRPPAAPRASTMMNLSGPFIPEEEEGETLAQRVRRLKEQGGTTT GLPSARPVSGDFTSELMSQFGGDLLDPKEKGKGKEPSTSPAPEEEETLGQRRKRLQAE REARAKEVGTQGPPPPYAEEVPSTHNKRRSMADILQAHPAAGAERVVNYQKPVGGLLG LHEKKSSQRASTMLDFPPTAAAEFIKSHPPNRQSSGGFKAGMYNDGKGGIIPPSQIPQ QQQYTFPQPSLGAFHGFNTFQNPYASNMNLAAQNSSYNLGAMPSPLPLQMSYMPGMPM VQGMNMNMNMGMGMSMLQMGQGVQPLKQGQIDMVERWRQSVMQ LY89DRAFT_708262 MSIWQAFRTYPKAVMFSIILSTAIVMEGYDVVLLANLYAFPSFN KKYGVATGNPLNPYQVPAPWQAGLSNGANVGEILGLFVNGIVSERYGYRKTMIVSLVA VIAFIFIPFFAQNLIDLQVGEILCGIPWGVFQTLTTAYASEVCPTQLRAYLTTYVNLC WVMGQLIGSGVLRSLVQRSDQWSYRIPFAIQWLWPIPIIIGVLFAPESPWWLVRRGRV EDAKKALRRLTSSKNADESFNVDDTIAMMVTTNELEKSLASGVGYLDCFKGIDLRRTE IVCVTWSIQNLCGSAFMGYSTYFYEQAGLPIVDSFDMSMAQYAIGFIGTIGSWFLMSH AGRRTLYVNGLALLTMLLLLIGLVSISKSKNSAWGIGSLLLIYTFTIGPVCYSLVSEL PSTRLKTKTIVLARNIYNVVGIINGIIVPYMLNPTAWNWKGKAGFFWAGMCGLCWVWT YFRLPEPKGRTYGEMDVLFEKGVSARKFSGTDVSPWSHGNGQGGERGSEAKGKEKEEE EVEMENGKAEMVEKL LY89DRAFT_112791 MSDISHQTEESASIFTSDQFRPTSVSYLHLIPTCLDLFLEHIYP IMPLVHFPTLRASLNRPLEMYEKNLLYSLCALTSTHMSGKSILAPGPPSWEAAGRFFL DECISVRQHYDFVEDKSLSAVISSYFVSTAFFELNQNRKSWYYLREALTMGQDLGFHD ENSYTGLSPAEALCRRRTFWILYVTERSFAILRHKPLTLQKTPAFPSTLHEYESPEIH SGFMHLVNSYHLLDSSFVDSWNESASVPASTLTYTALQQQLSRPSHATNVPLTDIQKA DILVTQQWLRLIIWQSSMRQGLLSSNAADESMTFRYPLQIAHSLLNVISSLPTTSIEV HGMGIFEKIFEIGNTMLDVMQFCGPTMNSEGAYGVVQDPFEVFVKTLSQTPNSQRQYA NLLLAKAAEKPEFRRFSDHLMPELHGHADLLPGAGLEGVGLLQPPVTQGRQWRGSIVG EVAEDGSYKVNDDVEVQNQDWGANNASLPGTGAANAIWIPDTVSVSDDGSGSLLVGDG MDGVWMKME LY89DRAFT_750798 MSEMSQLHETNDYDFNLSVLPLLEWNLDGESMSLNAPTQMTSPG HASNVVTGNEGIGESEADDEDNTRSNAELIKDTETTDRGHEVATPDLSREDLESRSSA AISTSDLGIQWDLKVSVEKRNAWLHKIVVEYIHDDNSIGHCLAYYINRYAIDISKVGK FWERIEYSDQFSILGPDIFDGDGKFRKCYYKDPIQRGTGAWGKIELNRGPLLFIERLR VTAREFRGTGLERAIVHKIIDLAHAVTSWEGKGAFQDQNRFYDQKSLTMVPRRFRQIT DLNVLFVPVSHQIDYEDLRGKSRQEQYEIGLGLRELVEAFWRSLGFRRIGVSRCFGLS FDPNHASRRMPMESDCDGLAHPRMDEHSREKDKHSLWAWLLSPERKRQMEQDFIFHYL TAISTERQYLEFYKDLINRESVANQLGDNLLHIAARSLNLRCIRWLLENYNQAQNLTS ARNKGGGTPFEVLKANLEGIRNRKDQNGNCQFVGYLFEEVDCIIAFKGIKKLPRTDIQ YHRLTYGCTCGECVEGYISPLMKAALLYECDEIEKRSHSYAEYGFPDNMLLHIPSSVQ IIQRSVPSRRSFLALLKAVAFWLRQPINPAPRRKIVISRFTSDGGQWDRDPDIAPEKW VTLAGATDAALAFIFALAKHHDSRIGDGSFEASAPDIANLKPCRNDHEFDFVERVRVF RDDEEEKAAM LY89DRAFT_648735 MSWITRGLNDDGFVQDTPNGQTPSVPALATHHGELWCLWSDPSG LLFYARGDNNVFQPRLQFPDQGIPVIAELSGQLHAIIVRDNGEMAHYVFSDVDQLWTT PAILDPRAGFIAHSTPAFVAFHNKLFLVFVQDNNLYYSIWAVNPQDETTTWTPVQDVS GIKQVSGIPALFVLDGTLHVLCSSNDESREILCFAYDVPSSIWNSASDISEGRAATGV SATSYGDSAFLAFQENGPDDESHTIYISEYKDGKWAPQEAVAGQTSTSPPQLAVLNGR INCIFNANDAAMDLRWYSRALLDFSLSSWMGGIPDDTLLSDITVPGTHDTCAMSNIPF VRTQYLNVKQQMEAGLRFLDLRCRVHPDGQLYMYHGGIPINFPKYLKFDDVMQEVFTF FLTHHPTPTETVLVSINNDDTAQTPANTPSIFYQAVATHIANTPLYPDGTSRWLTSPT TSTLGLARGKAVLLRRYQPDPTLSPSSQIGLDLSGWLNNNPDFTLLSPAGVQFTLQDH WQYSDIQPLAQLIESKFSYVSNMLSKAASSSPEHWFLNFTSAVGDPVEKGEVAESHWI AVGAHSGFIGKFVQGMNVTTRRSFDWGAGTEREGGRKRYGVIAMDYPELPKDSDLIAW LIGTNF LY89DRAFT_619376 MIIMASKSRAAQEKLQVLEKEAAPFEDQLVEAANFVGTTLDEVR RLSEDECNKEKSSAKAIYHGREEWLLRWLLKKLQAPKNDVPKRTSSSWFLLCYLIRNI PLVNVAQILVERKFTSIVQLALEETDKRDVSSQPPAAGSESSSTERGSPEKKSKKRKR GGAVVSNSTLIEQADLLSLVGAIFAAVNCVVESTSGKLEQGRSSAFVAEYMKTVIRTS AEQSATILGLWLSLCSKALSGDALASSSIQDWLSPFIEIWGCHIAEENQHLQFSLHNA NPLLSLIRTLKSGKYPALNWLSRLEALVARNIIVPAKTAYSEDKNTEMLSSLTRISVL QDSANAAIIFEIAIRSVQVLGARKRRRPQDDAWLATVFNTLKAGIPSRASSAKSISAM LRSAIDYKVSIDLEVLREITWEYGLRTGSEDWDLVATILKLDGNTFLIPSEKDLLKEL FGRITKSSSQASWIEFSNSVVSHVVVPLMNEFAKARDLSGFIRHWYAQLVATWSLQQS SSTTTFTAWEDEALQTELGKLFESSLTVQQISQILDWLENQIKEYRDSHEEEDATGGC LDAACVILEAIAGSISGDESVVDAVGLRLFHILFDNGASKMLSARYKWRSWRIISRTL TWLEHSDIDELSMLWENGAEPFDILLQIDTRIRSMLGSPLHTYENLEILSYACTAWEA AEAEKAGRLKKLAEPLLAAFLQHITETFTSKMSNMSDGNLDFVNGTYQPVRYMATTDE DWTLWSFARCLFVEHPKVLEFGLSICGNVFHDLLQQIFWIASAGYNTTTDAESIAMTF PGLWAAVLGRDSPVFGNKKLTKRIVDTLLNSTTNSDNPLINTSTSNHFTTHCLHMLRL DDISKSQKEQIMNSWPTPESTALDLPVLALKVKIMRHPAIYDDMKFKDLIELAGTLAV ADIAGCQSHLALLKELTRLIFFAALANMDQKRNTTYITEAFKAIQKKVSKASKKKQLN YALISIIGVALVAFREKEMALNDHDIILRDDLESITATFREALLIQLKQHLETEVHQI STEIAMVSLIDALAALGVDASKLASLEDMGDQFEGFEDNELNTRSRLQAFIAIYGRED IEHIDLRGDATTIVGRESIVKRTQGATKGRNNAQKLELLQAVMAGDATLLDELLSTRQ IISSIEDVRGHKEDSESFTLSDAYTILAEQLTKVTEIRQFCLISETLELMLKSKGHSM SQYNIDCTVGCITTFCSSQAPALQPIHASTIYTHLCRLLQTILMHHRLKLQGHTHLAQ QAMQALLRCLFVPTTPAGTSSVTNTKPKFASRPSWLQPLTTTHAQHFTKLLLLIADPP LSTLSQGRTNPLISLKDKAKRMSAQWTKYIMQEYIWMQLDMNMRMESGIREALMQGWY AVLETMNESGRDAMRGEMDSGGRAVFRGLFDDWRRVGGRREG LY89DRAFT_686198 MPETTIPPPPLAARPAKPVSEALLNEKWDRCLSSLLIRSSLGLS FGVVFSVLLFKRRAWPAFVGLGFGAGRAYEECNGSFLREGRKEGIRTVRP LY89DRAFT_670921 MSAHLRRLNRYTMHAPISKLSRHRLRQVHTHPYPQTQPEYPTPY HAQAHPLQISTAAMHVTPVEMVILMGALGILVALLCWIGWIIFTYVFQFVKDKIDDFN VGKRTRARVYRREQEIEDTPRKLGKAVNTVATAAKHLFEGLTRSGGWRDREGGERRGL LQEVEREARQYGYHEGTLYEDRTRYVDGDGTSESTGSAKTTVTHRDDCGGQQCGESGQ PRKRSIEV LY89DRAFT_588442 MGCGMSTEEKEGKARNEEIENQLKRDKMMQRNEIKMLLLGAGES GKSTILKQMKLIHEGGYSRDERESFKEIIFSNTVQSMRVILEAMESLELPLDDQKAEY HVQTIFMQPQQIEGECLPPEVGNAIACLWKDAGVQDCFKRSREYQLNDSARYYFDNIE RISQGDYMPNDQDVLRSRVKTTGITETTFIIGDLTYRMFDVGGQRSERKKWIHCFENV TTILFLVAISEYDQLLFEDETVNRMQEALTLFDSICNSRWFIKTSIILFLNKIDRFKE KLPVSPMKNYFPDFEGGDDYALACDYILNRFVSLNQHETKQIYTHFTCATDTTQIRFV MAAVNDIIIQENLRLCGLI LY89DRAFT_735565 MRLSHLLTLVLQCQLIYVSALITLESIRDLTRLTDDMRARIEGS GKVSIVPGQRVLGGGEDGDEIEDVVAAALKVLCSELLRELEKPLIEPLNAEVLVDVGE QDLRCRVIRRGYHGFLEATVKFLHEVQERELRWQWDHESMVFEGVGWLQGLWASRKTN IQDRSFIEQQMMLYSETGCFGKSQEVLRSGVEVVEYLNDLLDSFP LY89DRAFT_686203 MNNFVYLPSLPPSLSERDHNNTQKNHHQPKTTIRDKTPGHTVLR YYYRSTVCPGAQAHSLFAVAEGMA LY89DRAFT_113348 MSSNSNRNGTFMGPKPTKACVNCRRQKMKCEVEDQSSTCKRCRL SQIPCIFKPRANAAAVVHELPPPSHAIAGHASNTAQPTLDPQTVLSRLDTIEALLGIV SSPRPVLDDDVCLRPTDADSAFHGVFAAAMHLKTTTRPPQTPKIWSRDVIKQLWLSFH KNMPGLHFLSKKKTSSTPTPLLLAAILYVSALHHQSPDFAGLAPGYFVATCSAISELA VPIQAASRASKDGPNQEKTKLSEEQRGFQNVLGLILAGLISEAFIETAGLWITMGYRL TLDHCPVHIDERSHEWRGLFSGLQIIDLEHASLHMSCPIVPKEAPLPSLRQLQTAAKD PFYSLTQMMHTGLSHFTGRGLPTIWSFISSNQVDSAQRTPAVFTEADSKVIREWARQL DDWLVQWNKPNDPDHDSLMIFRQYSLHRLFVLSIYHPARGFDLFANNVANMERHELLI SARATLKLQNEDKGIWSNWDLVMITWAALLVLQGIEGGVGESDDFILIQTHLNMLQTT HQPEPSLRHTLASRLESSLQNMHTPRPAPELLSTSAQVYNNEWAIFDSNSILQFQNIG PGTGNGVGMSGFGAQQQFGDMDAQWLSGEQYSDAWQSTMFRIFGSGNENQEMGMEMGG NGMV LY89DRAFT_686205 MSLTSAPSLAVTARNDTRLPREIHVPVVSSVLLLSSRKLTLRSS SGCQRFPPSLVHPTLLWAATCLQLALWSTGMCLWSAQ LY89DRAFT_113569 MMASRRSLQVQPDYGYGRPVPIGTMSASRSTVYPESTQASYDNS YYAASSATYTPAVAATYSPIATTSSSGITPIRSNSIYSSSGYNVGSSSALYGAAGPGY NSYSSPASSWEPQGRVSPETYPDEYMASPSPTDYVLESSSKTVSKIKGEGKHSQRRPS NRDEFDGPHQFLQRPPPEYIAQQERDLPHLPTNLVVHEQDSILTQVNDRLSQCAFDFV AKYQFPIPLANGELRPVERPDDREWTEWVYLLKRLATKRRIPARVLYNGQIKSFITIL ENSLELRHAAKHQSRPLKDDRNILQLISAGIQVCKLLKDSPAMESLDRLYVATEKKIQ ERSQVSRFRVA LY89DRAFT_783598 MADRTSSRKTTLGLGHHHNHNSQARHDCRSSSTRKFLPPQSSPS QPYRPPLPPITLLQQPHLPVLDTPSTSYHQFPGVSNFPGPPGPGPGFSSFMALPPPLP IPVSVPAAYQTTTTTTTTTTTEIDVLMQSSSPDYATTNTEGDVSFLMSSHSDIELGFS SSKAFPLSPGSSSTTTGTLSFLTTAGGTPSVVTEDLSVSGTGSGIVTGGYVMVSHRRT YSDQSNRSSGEGGGLYSTPDEEWVEHQGEVWGAVGTGAAGQRQEFAVEVEMEGGDEEA RSMPHDPKLVLYSLGTNEPRPVENPYQYQQVQSSGYQDAATYQDQNQYSYQTVNHQVF VQTSGPAKVSSDGPWSPVAPQVPVYDSGYVAFLPSPGPAGEQDSETSQSRASSATHSP QPGDSSVSKRRSKKAGGKGKEKDVSGWEHTVVSKGGLQFVSENVKEEVDVRSGIRKGK LDPETAEKARRIRRMKACWNCWIQKVPCSEEKTCERCTKLFSPVADQLCCRSGFKDYE STFFPDYLHAHFKKKKIEDLISEHTSGFSNTVLVVDVSTGGLFKAMTLTANVFKPKTR ELLRHASLVSQPGQDSQLVERYSAPVGILGLSPPEMKKLCTEHIDEMIANPSYAAQAT AGDDTKLPCEILEIVRKYCSKKDIPLVQNALKMHAIHYFMSTLITFSEESAEKVSRSI RNYDALLEPYLSSRLLNRQIKYVMHKLHRELTIEVLEGLERSLRARTKDSWGPSFCTI LILCLCIEDLQIAADTMVVCDMLKKGNESQYTRDQSYQVCLALDEYPFSQCKRLFHDI YKSHKEANGGAREGGFNPLRSVHEKTSTGLDATTDAMVKSVYGVVEESYDEMVELSKR IATIEFGYTVKPKDIKTNNTGRLASDFLRSFFPDS LY89DRAFT_619388 MSTHGAPVVMEAVLSGRKTGWFATRGVVLLNLNLCLSLISSYAT GYDGSMMNGLQSLDTWKAYFHDPDASSLALYVSPLNAIQNVGQLVALPFCAIASDKFG RRATLLLGALIMLVGVLLQGAAKNTGMFVAARGILGMGLAFNITAAPLLILELAFPTQ RAPLVSIYNALWSLGAIAAAWITYGTFRMGNDYAWRIPSYLQGVSSVIQLAAAFFIEE SPRWLVDTGREEKAKQIITKYHCNGDSEDYMVLLELEEIKEALRLEKEALASTSYMSF FKTKGNRLRFFIILAVGFFSQWSGNGLISYYLTLILNSIGYTSQDTQTLINGLLTIWG LVTTFFFSLLVNKFGRRTMFLVSTASMLVCFIIWTALESTYEKSTDLTGQGSDGVAKG VLAMIFLYNLSYAIGWGPLQVTYVVEILPYHLRARGLVLYNLFVALALIFNQYANPVG VTNIKWKYYIVYDVWLFVELVVVYFLFVETSGASLEETAVIIDGEEIQEKLAEGVARA TEGEKKVVMSEETKEMTGI LY89DRAFT_619393 MRGLSIAGVVFGLAALASVSSAMTLSAAAQDLFDYCMSIQDPRW DETYNVVWFNDNGPWSIRFTAWYTAGLLHRNQGHDVENAKAALKAILAVQMNYDFDSA WYGDFKLSPDEPNPQTPLYTPSIYNTYDPNWREFIGTQLVQVVEEFEELIGPGLVSDI ETAMAYDAVGAMRRNGSFPEGDNLILAYSNPRYMRALNVGWIGARLNNQTFIDFGNDQ GTMLYELFTKNGANTLGEYNAPTYYGMDMWAMASMAKYGPKNATFTENAKKIMALVWE DIAEHYNPYLGNMAGPYDRAYTRDMTTHDAVLSMFWWGICGHDKAPTPPKSDLDLNYD VSQGAALALIMDTVASTFSPDVITKLTTPFTTERFLNKTIYYDLDTNNSRTATSWLSK PLMIGAQIVSETVNRGQQFTPAIVHWASDPSHKPFPYNGFFSLYPTASTISATASSHY LEISYPNTTQDGTDSFQFMLSGIPPPWNLAGNVVDGFTHLPCLNVTVEAPGLERLDTV YGSMIYDHYYYNITYVVPGNFSGTPWMGFEFVYTC LY89DRAFT_113641 MDNALVHNPVDQPPKTSSTLTGRLLQKLVAPWKAYFKVEVKPHA LEQCPLRVLPREVISLIADFLPSESVAAFAFCCRPINDLLGRSSWDDLRSKSSEAKLH KMAFISLLEKGMPDHIACQACLKLHRVDKSFVKDPGPRTLGYADRPYIGDLACVKQDM NTRTHDAIYENFRYPIFQMVMKRHRLGLDTAELLKLLRFDSTYPYYGHMRQDTAEARI IQNSLYVRVQHIFMLPLGQAVELPKSYGATICRHLGSLEPRLSNIEPLARVLRCRTKH WTIDDNQPCPTCSEIISCESCPTEFHFDIVNYDEHGVALIATKWQALGCGLDYEDPVW FSHLYTRAARSTRVVFEPRTISATFENNQPFQPDTNIPKIRKDFFGQLPLLFQRAQIR LY89DRAFT_619396 MPLILLTGYPTSGKTHRATQLHDYLTTRISSLPPSSPSSSLRVH LVSDHTLSIPRTVYNLDTKSQNERSNNASEKDARAMIFGEVKRVLSAKDIVILDHANY IKGWRYQLYCEAKAVRTSHCVVHVGTPVEKCREVNEGRLARRDTENGEEAEIEAVKEQ DMPYEKDNWENLVFRYEEPNAFTRWDSPLFTVLWDDTSPPCEAIWDALIGSDAEGGRK VIRPNQATVLKAPTSADYLHELDRTTQGVLNRILEWGKDHPGEGGGEVVVSDGGDELV ITLPAREMGLPALQRLRRQFIALNRQNAVPVKRIRESFVGYLNVSFESL LY89DRAFT_686210 MEAIASLNTTAGQSIPSILPPTFKIFAPLLSSHASTIKSIPSRE VSYGTHPRQKLDIYTPPSSSSSASSPILIFLHGGGLVRGDKSSPQMPGNLVYANVGAF FALRGFTTLVMNYRRVDSSAPGCQVGEHAQFPSGGEDVSEALKWVEKEFGGGEEGKRD VFLVGNSAGGVHASTFVLGEKFAEQRKSLVSGKGGVVLRGLVNVAVPCHFRRAEEGRS EVLKAYYGDTKEVEERCVFGLLEAVMKSGKSREEVGVPACLAVLGEFDPEDEICEPME DFVKLWESWGDGIESLKAEGHNHISPPMALCSGDVKGEKWGEDVVAWIKKQS LY89DRAFT_619401 MTIEHLTTPPGKTDLAYVLPCFTGELWTIPTSNSTMRLLVTGKE TDNAFAVVGTGGTYDKPIGFHYHREAHDVFLCLKGKINVWANDQARSLGPGDFASVPP GTIHQYQIDSAHTEFIGLIIPGGWEEFFRFIGEPYAGPLFPTNDRRDPFEVLIPKLMA ATEKFDMIPVREKAQFDPQPWDGTETRLPGKCETGGYFLRVGAGEKFVVGGTVVRALA RREETGGRFSIYEVGASEIHRGKGVGRKLEFRDTHHAIYTVEGVLRLSIDGSEVSTTA GETTFVPAGTKWSFEAGSGYARAYVFANGGGIGEVLTSVGSKYEFPGVPAEAESWDES KLKGLETELKYVVI LY89DRAFT_619404 MSTSKELKAPTKSTKEDQVQSSEYPQDNGLNHLVNEQTAKDTWM VLLVFRCINAALIWTFFQPDEYFQSLEPAWQMAFGPQSGAWITWEWHHQLRSSLHPAL FAVIYYGADKAMQFLSFFPQFRGMLLAILPNIVQAYFAALSDYYTWQLSERIYGTGSN APWVAIMMSALSPWNWFCSTRTFSNSLETTLTIVAMYFWPWKISSDSVLGAGSDLSQS NDDEEQTTSSARESESGVFGTAKSVNHLRIALLLAGTACILRPTNLLIWFCVIVPIIS GMLSGTRGPFADYMILLREAVLCGSAVLLVSALSDYYYFGEWTFPPYQWLHFNISQDL AVFYGRNDWHYYLSQGLPLLLTTSLPFGLITLYQTSALPTSNIRFIFTTAILLTLTTL SIVSHKEVRFIYPLLPLLHIITAPTIAAYFFKTVTTPPPPKSLDRTPKSSTTTLHKAL LYTLLTLNLLIAGYTTIFHQRGVISVTKFLRNEYELLALDGRGRLLSDPDAGIDDDRT KKTDYSDSETFAAFLMPCHSTPWRSQLFHSGLKAWALSCEPPIHLAAYSEEREAYRDE ADRFYDDPVKFLKEEVGTKDKPWPRYVVGFEGIEGPLKEYYEGEMKGHKVQERWRTFN SHWHDDSRRRGDVVVWEFVGGSQN LY89DRAFT_619408 MAPHANGESTTGSSVLKPTAAAFQPIAAHQGSNYHSTSTAEAIE AEHKYAAHNYHPLPIVFARAEGCNVWDPEGKHYIDCLSAYSAVNQGHCHPELVKALCE QASRLTLSSRAFHNDVFPKWAEKVKEVFGYDMVLPMNTGAEAVETAIKIARKWAYKVK KVPQDKALVFAAAENFHGRTMTAISMSIDPESRDNYGPYVPGVGAICPSTKKTIRFGN VADLEEALEAHGKETAAFIVEPIQGEAGVVVPADDYLTKVQALCKKHNVLLICDEIQT GIGRTGKMLCSEWAGIKPDMVTLGKAISGGLYPVSCVLSSKEIMLTIEPGTHGSTYGG NPLGCAVSIRALEIMEEEDLTARAEALGKILRQGLEDLKSPMIKLVRGKGLLNAIVID ESKTGGHSAWDLCMLMKSKGLLAKPTHENIIRLAPPLVISEAQIKEVLRIISESITEL PELKGKNEDEVIPKSEKGVHIGLD LY89DRAFT_113785 MQETRARNIGHRVCVFAVVTWSLASASCFGWRRGRDGWGRDLVA IVGVLMQKDSELSMRVLTWVGRSEANGTCIAWLSYREESGSALDDDVELLPTFKIRGG SIRKDIMM LY89DRAFT_113663 MAAHPPPSSSSSPRRSRTGDKVVGSFSIEEEIGKGSFATVYKGT HATTGALVAIKSVNLSKLNKKLKENLYCEIEILKGLHHPHIVALIDCRESPTHIHLVM EYCELGDLSYFIKKRDRLADNAALKDMVRKYPMPPAGGLNEVIVRHFLKQLASAMEFL RERNFIHRDVKPQNLLLLPSPQFLAQSKDRRLVMSASREAMVPIVGLTSLPMLKIADF GFARSLPSTSLAETLCGSPLYMAPEILRYEKYDAKADLWSVGTVLFEMMTGRPPFRAN NHVELLRKIEQSEDHIRFPKEAILSPPMKELIKALLKRTPVERLPFENFFRHPVVVDP IPGLVGDDRPAELRVSNKATSDLQRKQTRAESKSADQDMERIPSSGSGRRVAAQTDSP IYSSSPRSKPVPSSPRPRSTVPVQQVGTPPQPVVRPASREKRPTIPSSATAPNAETIQ ANRPKEPRRTSSRKSSIDEGQVRKSSESTQSREAIEEAEQAVRDAREYVLVEKRAVEV NAFADEMAANPRLQGTKQQPVPTRTGQISRRATTQGYPASATGAVPASPSRAVQIAQG KPRSDVVPQRQNSFGKSYGSPSATSAIAKALHGASVRVFGVGWSPHLIGKGVSPPQLY SPFPAYPTPVGLGLIGDSRPAGPVDEDQKAVNYIEESATRSDVVYGFAEVKYKQLIPM APSMDHGLGGPAADKSGGSIQDDEGLTTEAIVALSEEALVLYVKALSLLAKSMDIAGG WWARKSRGEVLPGGQIRAESASSVSAGNRINSAVQWVRNRFNEVLEKAEVVRLKLLDA QKKLPEDHPGHPSNHTSASRIAGASSTDGVVLSSGITAEKLMYDRALEMSRSAAINEI ANEDLPGCEISYITAIRMLEAVLENDDDSVPHRRRSSSLREEKVTKEENDGTVNGIYL EDRQAVLKVVSMIRTRLSTLRKKMALIAKHQSLPPSSPRPSSITHHSSGGTTPTVANT PPH LY89DRAFT_113934 MAPQTKKPNRDLVIEHITYDSTHQDPQPTEDIATYLTRLRRREK REKVLAKANASGRYLRLSTEDSKKTTTTTTTTNPPPLKRKEKFSDIVMAKLNHRHPSS AKENEQKDKQPLLPLKDIRTLILEGKLERVIPPPTPAKKERLAPPAARRRLSKESGTS TTLNTHQKKKKKKKKASDLVDNILQAKFHSPFEHIPYPSLSSSRRTSSSSSSSSSSFC CIGEESLKTGRRSGEGTSLWYNPSPSPSRPAVVLRDSRSTTTTGLGIGREIKPTPPLK DVRKSSNPFYSSSSNTSSNPFLDQVPITFPLHAKAQGTLHAVPVPSRVYSQRAEIVDA DVFREWSACYERGDYEQVFKKEEEERFEVL LY89DRAFT_698231 MPILMMDMLKQDSILPGISNQTGLIGLTIISLVFGLWHLSTATD IPHIKGIFEIPSSLPITGHLLALGPDHASVCEKWWRQYNRSIFQIKLGNTRAVVVNSF EDCKRMLIGNQSAVIDRPTLYTFHGIISSTQGFTIGSSPWDESCKNKRKASGQALGRA KIRDYFDMFDLESYCIARDLALDSCNGQKEIMIRPYIQRYALNTTLTLCYGIRMDSVY DSMLREILEVGSAISLLRSASENFQDYIPIMRYFPNNEKNRRSKELRDRRDAYLNSLL NQVREMIRKETDKPCISAAILKDQETKLSGVEVSSICLSLVSGGFETIPGTLTSCIGS LATPEGQVWQEKAYEDIKRMYPDVRDAWRNSFQGEEVPYVNAIIKEAARYYTVSAMSL PRKTVTDVHWDGAVIPAKTMILINAQAANHDVDHFGPSASTFNPERWLDSDGTLSPAT EKIPTGLQHFSFGAGSRACSGQIIATRLLYTALIRLLTSYRIVASEEMPPNTDYVDYN QFKSALVAIPVDFKVRLVPREGVEGLGEKGTVEGMLGECLEDARGRTEGYYRVDEGVK V LY89DRAFT_670937 MTSNLHNQPHTDFTRQPPDDHTLPPATACIPRTHYSKSAFQTSS ISCQTSWSLRQSLWRGAFNPDDDSPPKSLSSREMSSGHPPTTIPDESDTVFDLNTVFS HPAGLRVARYIVGTYIRAAQHSLPPPAAEDTTYSVPVPSFESSPEVASLLETILALSD SQVRPSEENDARLGEFAMEALPLCTHEEKRTDEIFFVSPDSSAFDRIQESFPSSSQQT PNNFFATTNTSHTSLSTNSSYATQHSFIFSNSFQLSLASHTQLDQASRSFVNSWPDLS SSFTSGSDSPYRIESPSRTSTNNTPQPRCSQSSGPSLPETNMFSEDDVFVSRLPRMRK SLSTDSTTSSSTRGNMGENTFRVAFSSGRGQPVSSTSPTIKSFMPVNKMPEGSLIVTK PSASGNSSFPARDMATGDLTTSTTSSQTSTPTPSSSSSSLNCTQRPFSTIMQAFEMEH TPSASSSHKRKAESADNSAVKKTEKGNIKRIVKLKEAKPKPAAHKLGPDLWMRILEFT PPPFIKKARSVSKDFKCWIDEYSSIFVNQRMENYGVEMPPASAIQVYLNFDDDNDEEE IEIPAVPATPGVPGGDNAERQVVKLIKKKQDITERQYNDLLGGKGCLEKEKVTMAGTK EPCSDEMACRTHWSWAKRWCSDCWKAKIEREDRILKARQNIVGRQTLQDLLACIPNGM HDSFMKPHDYVTEEESRERARGAPRLYRYYLKQDVERIIAEYNKRTPLPYVDDPSLSA AANASAKAVHQIKETALIQKRQDWVDKMKLRNAAWMETVKKIESAVRKRRVKNGLPNE VNRAARRELFTRRAKDEIPHVPTEFVEKTAAYKAATRIFRDGGTERGWQTLKPKIEKE WLDEEERKSENLEAAVESEAGGSMDVDSVTNEPLEVEAGNMRTNSNAGMPTRYGTQDN LLQMHRRHQQVQVQLRLNQQAFQQHRIQQRNHQASLLAAQNRNSFVARHLLQPQQQGQ QNSLSVLAAAADLTNPSSYTGYGSTTSFQAQPSTHHTQMYSSMRFNSHSQTGSQSAFT QYPSINTSSMHQNPPIQEPARTGLTIHDLLAADPPNSKPAHFYPSY LY89DRAFT_686216 MDLSFITVDPSTPHTHTVVFLHGRGDTAKNFASSLRYSTDSHNR TLSEIFPSFRWVFPQSEIRQCTASPGEKWSQWFDVWNVSNFSDREELQAKGLRESVAG IQRILSSEAAVLGGQWDRVILAGISQGAATSVHTLLNLSLPPVVGGQQVLPRLGAFLG FSCRMPFPGRTLAETRKVLSLDGSPETDEVLRSTPILLEHCVDDPLVLIGNGRGLRDS LRGFGAQVTWKEYPKGGHWFNSPAGIDDVVEFLKNHVLKKPSAGEKLSSQLLPDSMDL S LY89DRAFT_619418 MASFMNDKDVKEALSLIEGAKLPHPSDPLLSSFIIEALDPRLAA RYVLRTTQSGENQQVGLLRLVSDWTYLVESISKHGCSPPTPDAVTQANITKRDGGKCC ITGKVSRVWDPLVVVPVLPVPSRWIVDEPRIFNMLGAFLTPSYRDWWLAYVERPEDMN SYHNHWLVRRSAAHAFAQGVVTLDRFQPSMIEYEIKHVLIGVLNPIDTDGSYPLLGDH SRLGIEKVDPRFIGTHARFSPSCRWLHIAEQIALSKEPSSRTKYLTKQPAKTSQSDQR SPFSITGLISTACLAVWVRFPDRVRVATYKMLQKIGYRLYGKPNAWESVQRLPFGLYL KYLEDPDRFRNEFNALKMVRQYTSVPVPKPIDLVIVPTKSKDPFYSHDAYLVTSRVPG IPLSRCQAMLSDDDSSKFVSQMQDYLTQIRAIPKIVSPEYAICDTLGGPCRDPRIRDS NPVGPFVDEAAFSQVLRNPDEPSRRGHQVVFTHGDLNARNILVDRDGTRGWKVTGIVD WENSGYYPEYWDYTKSLFEGFRYSHRWCEIMHDIFKQFGDLSKEFEVENRSWEEGDYV LY89DRAFT_735582 MSTATSPTAPRTLYITLQYLRRDASGNKYHWGLYATSDTPPRGT LFHATDAGRQPLDLYYEERKVENPTKSKSMTVCVKIGDVSSTTAIHRCVSTVPLMSRS RIPAGERQWTCRVYVKEALNALHNAQLLSLPASVGSIERACLAVADAYLPYRLSRERP GVYNDFSWLRRGSTSRTIPMEVDPTLYYPSEMEVDSSGRYRSTRSYGSLMDVDSSGRY ASSQSYGF LY89DRAFT_720013 MSRNTITSPTPQLPDSFESYASNNDQSVGNIISPNGTRTHLTEF DGLFNNGDFESSSSIMDPRKLLPLSEAEVRDNLTKANRGNMNPAKPEKVDSDMKVLAG GPPFSQLISIAVKFAATNRCHQLPTCFDARGKLKVPWLYAVGERIREARKEKELAKDR PESEAASPEEQTRSNKTRTSRNKSLGVRQSDFVPLLDLCVMCGKKPIAPESRDTSSCT SCAELIGNPLPRKTSRKLDDKKSKLGVDTNPPHHRPSPFQLGRNGQAPKSTRPTPETL SPSPDHLAPDSRLSQQKSSTSTQKRAIIILQSSPRSVPESQASFDPDFVATQGTLSKK VKTQNGGQRNHQSPDFSQARRPSQSITCSGSTCQRSQFSASLLYQTWLIRIKDAQECL NNTGSWFCPDCQQRRPDVPNTQGSNRTESIQNTPRYGQEWLDHQDEICRSLDELSGIL SQYTAMAGLQPYKVAFSDLACVRDEAPGNLAAMVLRQMLDIYSGVSIKGKIESLRIKP ENSVWIKGALMLLVKQFVFESGSPFDDSSILTEGLSYAGLSSDMIQMIIQDTRIRTMK KNPADAQSFTERRKTRVDDFVKRLNGAMVAIVGNNAEINSFHESIANIASTLNVNMSA YRGEYAPIYPQLSDAFDLNFHVLDSLELEGPGLNVKDLEGRPILLTMLMGVKFKGPGR DWVVCYRAKVRLWRPTNPRAILPAGKRKAELITSE LY89DRAFT_114090 MQILGQRGDIRQPVDDALLRDLLNTKYIIYLEQWEGQRVFRDMV EKTISRHIDFSSTRMTKTVA LY89DRAFT_783614 MLTIGLIQSPPSAQCNDDSGKDAPVSNSHYIGLDVGTGSARAYI IDSEGELVGHASENIQQWQSRPGCYEQSTDDIWHVVCNVVREAIVQSGIDPHTVRSIA FAATCSLSVHTRDTDEPVEVSGSSSSGAVHNIILWMDRRADIEAASINSKAHSLLKYL GGSISVLMELPKVLWLKNNTPKEVFDRCKFYDLNDALTHIATGNVSIPCTAACDQETL PLGVDGTIKGWDREFLADIGLEDLVANDFEKVGGVCKHGRRLWHHAYAGWVGTVGAQL QAPGNPDAFPVQSRLALVAGTSSCLIVVSKAPIFVHGIWGPYKDWVLPGFWMSGGGQT ASGQLLQHMLQIHPAYEITMSLAESQKSNIFEAATSSSMRGSAIGLTADKSLDSLAIT YYGVIEFLALQIHQIISEMNRAGHTISSIFMSGSQCQNKFLVATIATACKLPVVTPRY ENAAVCCGAALLAAKASTVEQNGNTEDLWTVIQRLSKSGELVRPSDDEDVRALLDVKY QVFLEQCERQRVFRASVDKVTVAKHKYNR LY89DRAFT_783615 MLPSPVRGRAIRGGKARTGPSPRAKPPLGASTSSASTQSFAVTQ TTAAKPASTSKSVGRLIVGIDVGTTQCAVATAEILYGKQNGGKAQSTPRVKLYEGWIG FYMNHSSTPCTAMYYEEDGTPLTGNDLQPLFDNANPKSYRPDRLIRLWKLMFHHHQNK ALIANIQGQIQKQLDMLGKTMDDLMRDWARLLFDHLFTEGSGISSLRQIYPKFDQLDL ELVVAVPPGRSTIAHEQVLQSFIQGPIKSTTVSLESEPAALFRNWVQEGENDQDWVVG KRYLVADGGGGTCSFVRFRLDGLEPLRFAQEFQSESVVCGAETISDLFERLIATKIPE NCPYRDWYIERIRNKFDTQYKLFVGSNDRALSFENPMKVSADDVITVTVDETKQCFAL CIAALVEAIRRQLAMGEPVDYIVLGGGLFQNPHVLKSIGAEFKGLRLLETSKLKGAVA KGAVLSKIFDNFISNDEITRTKGNLTMIEVTPKLKKSKFFKNLVTKKGVDGRTYYWAV TYLVKQGQKSMNGREISTETSAKDARRHYLELDDDDLNFSEKIFTFNYRPGKDKWAAL TKSGSWINERGHEIPYPEHYEKITWSPLADEMEVELSDLETERTKDGTVKRVLRYSLQ LQVKETGTKYWAKMWSSDAMKRKTGESVWSLSQPVERQPLFTPQAISQSLADSFKFDD SQTLTSTSISTSNASVVQNSAEVARPNLAAKIQRPAEVAAPNLVAKTPKSTEVARPNL IAKTQRPAEIATPSLSAKTQKPAEVTRFSFSAKTVEIIAKPSTVASTFTQSNGFASTN KGQETSLPSYALPRGPVHVAERPIQQSLVKPGQSSSQFSQPVLKQNAKASTSRPGALR RGGGCWTCSLRHASCNLQKPKCWQCEEFGLQCDYIRPAWWDDDQLKGKQTELYRLIVK QSNTVKKLGSSSKPTRSMTGSTTPNMTTNSSLTQQRVQSYTPGSGTTGSQSSVQNGSS FSSGTHKQAAPAFDWSKRSRDSDGETSTPQASKRRRLENLFADNNTPPNTSGSPIRKS DQAKVQRSAPDMRTWGSLDDEDLNGSDLVPHASDVED LY89DRAFT_735586 MSAVDEKSVVVTTTDATGDAPTSNHNILTITRPSPARQHQQGLV LSTIQDVDSTHSLSPPPSATNEKSILDSLNSPYEYHHSHNDESHSDSKMNVNVIHSSF ENDLEAQALTQEKTQASQSKSNLLKNKTKSCVDPAWPGRNHLKMQRKIAKRERACCRP WAALSKKTKGIISAVIFLVILGIALGVGFGISSRVGGTIQTGNGTNKPINTK LY89DRAFT_686221 MLRNGTFPNVPHAFTKVVHIGHSFGSAQTYSLANMYPSLTDGIV LTGFSMNASFPPFFLAGGNFVLASKNQPLRFSNFTGAQISSLLSTYAEPLLDYITPLS TTLSSLAPPQILPNGYIINSNAEANKYLFLKPHFYDPAILVLAEAIKQPVTLGEILTL GSLAMKNAFTGPVMVITGQNDLPYCGGDCLDTGGAAASIPAQVAMNFPVGNFTSYIQP DSGHGINLHYNATGAYAVINDYLNSKGLMSS LY89DRAFT_114505 MQKGHVLSRDQTIHELSEAILQKDELLRARERELQDLRDQILVL EATFNLARRAQSQLIKEKDQELREAQLRFDVAVTELLSKQEEKDAELWRSRTKIES LY89DRAFT_783619 MAEPNSMYRALRPKQHHHRPQTNPIAAIFETCRDAFERLCIVAR RNSSPAISTSIDGQYGQFLTWGKDSGASTRSLDRALRKTTNLSSIVMEVLRGLYSTLV RGMEKVQETAAVLEEDAEAMMIGSFDASDPGLMLDSQMQENPRISMLIENIEGLLVCL MRVVPNVCDPFPLDEYTVDANPNDAVPDIDIATDMFPAATKVLITRLGCANWRRRKYL KSLQEKRQPGISYSGFNSTSAPRRIKKSQLREVAVDAFNFQKPVLRKDSPPSRPPLRT IPSYLGSSTTTPSVDGESVFSRPGIGHESVTTMSEAEFVVKPMTTMTVPKPPVSWEKG GLFICPYCHDEVEIPNAITTEVDWEGHVFEDLEPYMCTFDKCLRPEKTYGERDEWFRH ELESHRILKVWVCQSCNEEFDSACACEFHLQDKHSSICGPEEMAMMVSLFEKYSQKGL KADVCPLCAVKLGAEALKVHVAGHLEQLALTSINSEESSEGDDSDEWGSQKFDDNVSE GRTKLEILNDFVEEQLGYVLPEKKGPADTGVEQTNLDFVGDSDDDSGDESLFGPSNKK GGDEGAVWKVANYLSGHTSKQRREIGNSMRRSGSGKLSGTEPYIQGSSDAPSSTGALL PLRTSARPRDDDFVGRETDLANMYKILSVPGRICTISGTGGIGKTATAGEFTYRYESS YAYVFWTQSETRVGCEDTFSMIALALGLEADDRDQKQLIESSREFLQTCDKRWLLVFD NVDSWEAVEEFIPINMIRTQGSVLVTTRLPDLAPIPIPTNYFHINLKEMTMEESRSLL IQGMQSDLKFERTRFHPEWKVAGEIASLAGLPLAISHIAGYVKASKCSLAEFLELWNE WRRNNFSTRPPEASSNMALETIWNIGLSDLGSDALKLLKIMAFLDSDGIQRELLMNDH TTPALAFLRTNNAFRCRKMVTDLMDRRLIGVKVQDHSQVFSIHRLLQHRLLQDLDDNP QEREMIFNMAFELIRERLPRPSIDSSDSMKWNVFKEYVPHVLTIQKIFDEGLPSIQPF VGLAELFRDGGVHLWQRGLIYDALRLLNSAEAILNRLDCDEDKLRIDIHIATTLLIQY FGISHRMESRDRHSKILEIRQKQLADAIPGTATRDDEVTLCNSQADFGNALLQFNRYE EAEIIYQNCKDKYKEWGSEDELAFEYAKFNHHLAFCRMYCHDFDNAIRLSEKAVELVS RQTGQVQLILRYKFDLASIILQHGDAKKSLEMQEQILQARLSLQGTGKTTYFTLQSYY AVGALYAHLGRLDEAESYMRTALSRAQERADKGFWPDAAVARTDFHLSKILIQNGKDG VEAEALATKARSVLSRLLPFDPLDGVAEEDELALFDHLQPVFGGRFVGTSLLKYLR LY89DRAFT_114314 MSGFEVVGVVLAVWPLVINGIQLYKSCKAGQGWSLLLTEFKTEG IIYTEFVCHLLASDISEADLHQITTRSKPNQGLFRDKNLSDGLRERLGVDKSDVVIST LEEMDNLLTSLTRRFGVNQVELEAPPSRIRRGLQNIQLNLPASAAKEELKKLRSLNGR LQRLLTNPPASSYSTQSQQPKTSSTLQPEVLNRISANAKVLHDALVDNYHCHCEVGHD ANLGSRLVCAEDLGFMEPLELLFPVCAEVAKELTEMGLSSSSSMGCTSPDAVEFDALS MSDAPPRPWQPRFPPERSWSQRGQRASISTIPTSLPSPGSSPPPFRGRKISLSKSDGM EPNNLIEDLCAFIKNLAETEVKSAPPSCLGILGLKEKKYAVNVTSLGSDLEEEPKSFV CLEDYLSPNRSWNLSRQKRMDLALSLSLAILQFVSTQWVDKWWSFRDFCMLKGDKSQI FVTKKFYSTQLGAAKTDEPLHSPQMSMFWDCIGEPILTRLGFALVELALGKRLSDLRA QASDPNVNDMLDLAAAKKLVNEGQVLEEAGRCYNEAVLACLTHQIVTAEGVTGLNSQH ANFQADLETFIVAPVREFYLTSWRPVQALVAA LY89DRAFT_735591 MAPPEDLSVKAPIYFLSRNPIYETTKPYTLRYRPSEASQIPQTN VERELHELVFHDLRLAHGLEYETCGFQVVSLESEMKYEEYDDEEKVERFHGKEVGEAV RVALGARGVDVVDYVIRRRDPSWPIATGETYRSQQPASAAHIDHTYEEGCRIVRDIYG EKADVVLQGRWQCVNVWHPLQGPLVDWPLAVCDASTVDFDNDTMAGDVVDREAVFENT QVHFNFDQKWYYLPYQLPSELLIFKNADSEEKDGSTQGSPHASFDNPRTTDADLRRES VEFRILVRW LY89DRAFT_686223 MPPHAASCVFVNFTNHFTCHSPSQSGGIWHGKAHRHVRCWREVR PGCGYRICPSLYPSIKRNSLNSSKKASKIQQPSAYSDSASVNSLLESLHFTIARAMPS STRTQTCRKAQPWKAHWPPVEAKEGSGVEYGVEKGAVIVVDGTSAKVSPAKNEAAAAS LY89DRAFT_720021 MPPSTPFKSAWTQFFPPKATFTDKNVTADLKGKVYIVTGANSGM GQDLARVLYAKNAKVYIACRSEEKATESISYIKKSAPTSKGELVFLPLDLADLAKVKA AAQRFLAQESRLHVLFNNAGVMTGPAEPPPKTVQGHELALGVNCVGTFLFTKLLTPTL ITTARSEPANTVRVVWLSSFGLTQYAHESRGIDMDNLDYHIPKPGIDRYGISKCGNWL LGVEYARRHKNDGIVSVPINPGNLRTALARDQSWLLQFIANLIVYPVINGVYTQLFAG FSPEVTTETDWSAKWVIPWGRFQPLRSDLPKATKPVAEGGNGNAQQFWDWNEEQVKKY L LY89DRAFT_783623 MSKVTAISGFTWTSKLKKTTYVASAQKGFNLACLFSWTSRYSLY QNLSDRHFLQTQQTLIRSRCQLPAPQGLNLAPVPGLAPVQGRRPAAVLQPPRQPQVSR APPVNATNPPTAVTTRCLSYNTGLGAELPGVAQRQVVTRAGLATDPTNSVRASHRSSA KGQAVGTLAPVACDLCAAGYGPYVDCVVALGTRANGKKFFGGGCASCTMGSHPERCSF YTG LY89DRAFT_648788 MFGKKNGARGSPTTSDLDPEPGTKNEINNYYDPNISNPAAPPEY DDLNVICPPHTTEKKLMAKIDLRVIPFLCILYLLAFLDRVNIANAKAFGLIKDLDLKN TEFNTALTIFFVPYVVFEIPSNVFLKRLSPRIWLSFCMFFFGLVSICQGLVSSYGGLL TTRFFLGLFEAGMFPGCFYLIGMWYKRSEAQRRYSFFFSSTTLAGAFGGLLASAIGKM NGLRGYNGWRWIFILEGVLTCVVAILFFFVLPSFPEDAKWLTPEERSYVKARLQADQG RSAAERKITIRDVGRVFQDFKVILGGFMYFGMIVPAYGYAFFAPTILATYGYSAIETQ LHSVPPWACAFAFAMIVATCSDFTRHRFAFTIAPICVAIAGFAILITVHHNKHLEYAA LFLVAMGAYSAMPVIVCWFNMNLGGHHRRAVGTAWQVGFGNIGGIIATYAFISTDAPY YKKGYSICLAFLCLSAFSCVLYAIAVVWQNRARDRAVVDVGLTEYEKTELGDMSPDYR YLL LY89DRAFT_750859 MSTFNSREAALTGVNLQTLRIESVGPERIEEAQYAEVEAEEEDE DDDALNIDDELSATVEDARQKTERHNIAEQSGPSSQKFPIDIIEDAATKMKISRTCAH CRKEGKIADLCICAGCGGRPYHKLCWPRAVLHQPDDGFDTCKSPTEFTEYIWIQHLLY SNTSREEQATLHRKDIWSTWFGVPHEQETPMLYIYPRLQLLISEAQALRDDDKELEQF PSLVSFFGDTGGGKSTIIRALIRNACLESSKPGSVPIPGNSIDRHKSTSGDVHLYSDP ATIDSKVPMFYADCEGLRGSGVSAATRIAEGDFNFGSSSKKLLPRPPSDNKGGKPANS SKRPSEKHTRRRNRRFNKEALLREVADHKATSSRRLELQWAGTTTSGILEHKTTVVGP NTRQIIVKDLYPRLLYTFSDVVCYITNNPRATEAELLHLFEWASSGHELTLNQRVRPG LLIVVNKDVPSASEDWLDVDFATKTLLSHLELSSAFADLRKTWRKRGKSLKTAEDLIL CYYDSFRIICIPNLDQKTTHTIAVQYDKLYKEVRQAIEKMRVKKKSVGMNLNVQSFNK YMGYAFERLARDRTSSIDFHYLESKDATRPRRFHEHMLALMISLKEEEERNSPESIAD QETLVTNLVPFMANVIASDVRGRKVSSEEEKLLIDRRLRECRHTLERFREKAWRCEAT SGKRRCRNYWQGHEKGHQFSSSGRRRHSSRPSDSSGEESLLVGDFQCSFDQEAVEIAM HQGIRRLLKKVEDLNGNLAECAQDSGVAQISSYITCYGCLRRCPIFILPCQDIQHAFC QPCLKGFHHETGRGESTLFLRGCPLGCRFKNGTPWHIRVKSRLAGARLLSLDGGGIRG IIELCILKQIVAEVGFGIPIQELFDIAFGTSTGGIIALGLFKNNWSIDTAITEFERFA EAAFSKRMWLKVPMFRHTAQLLYSYRFKSEGIDSALQQAFGKGPLFGYNQSSSPDIVK VGVVAGVLGERQPYIFTNYSRDVQGTGPDYLVREENPADELKIWEAARCTSAAPTYFE PYFHDQTKKDYIDGALMRNNPVQIIEEERRMVWTDGRPLDIVLSIGTGVQANSEGAAK LGGKAFNLAKKLVPKGLKGKIAVGLDMIQSTLDCEKQWKDFISATDTKTRRVCHRINV GLYERPPNLDDVSKLPLLKWEADKYLQPRGTNTYIYPPYNSAHAHITAVARRLLAALF YFEEDEDSVEQRGPSWTGRIHCRLSSSMTEQFGRLSRSGRPEFRLRQLGKGGEISVAP LATDFDLKTFSSKVSFEAETEELVIEVKMHRWPGWERISGRHQL LY89DRAFT_783626 MSDYLIISTVNKDRYSYSHFGITLTNLVKDHPKPPPPNANNPAA PAPAAVPVPAPAANPAPPPLVVVPAVLAAPFNPAGLAPNTATSGLILIAVAAGPLSTR QARDLASRPIRRQLAWEANVSGALTHPSYVRGALGFCVGTVAPRREAFKQQNQFWQDD DDDDDEYSFPFDESKDLQGGHDNLALIPLLCTVIILVINFLTPGLDFETLEVKRGITA LLFDYRYSPLNPILGRRRPVGFGWITQNPHLDGWNSDTMAIMSSSLVKWRSQLLHENP SQSLTEADKMTHSFDTEDTLSVRDYH LY89DRAFT_114611 MFRLLVVIVRTRVGRFLFVWWSLVLLVARAPIARINLGSALAPY TRRMTTMIMTRRISMRILEDTKKKMMTTKIDANSAQFKRPTGHYD LY89DRAFT_750864 MTEVLHRPRVHVSDLPAGIPHVPTFDGESTLDVGKEATTFIKQF AEAIHKRDWETFEGFFYEDSWWRDSLTLTFDKRTLKGAQHITEAFKTLCQRRKPSNFS VEKNDTMDMEPAFIRMAPQLASLDVPFGFTTEAPTSKCIGQVKLVPKGGQWKIWIMAT AVISLDEHPFESLPRQSPSLIDVSQRGKAHAQGLPRVQGVLDAIVIGASSSGLANTIM LDSIGANVAAFDIEPMAGGNWSTKRYENVRLHHPAVMIQLPMFPVPSEGYPEYLYGQD LTRYFGTAVEELKLPVFAGVKVLSNSFDEKTNLWTTKVQDVETKKEATLQARNIVIST GFLVSHENPKSPKLSDRQLFKGPIEHTTEYRTPAPYGNKDVLIIGSGNSAHDVAHNLA LSPAVKTVTILQRSPTVLFDFDVIGPMVTMRWQGQTSVEVADFLDTGMPAAVTRDLAR GALAAMIEAQAERCKEFESKGYMVDRTPCLVSRAYEERGRSFYMDQPKMFDLVFSDRI KIARGEAKGFVENGVLVRDVEGGEDRVIEAGGVVLATGYETVDLPKRWRESGFLDEKS AGMVENVSLFGVDSEGEVPGYTTASGHPQLYFSGIGFYMCRWIGRYTAIQIMGDVTGK FPSTYPRE LY89DRAFT_619433 MSHQPSTTDNIKSTANSAYNSVVNTITPSNNQEDYDPNQDPANF KKDAHGNTVKKGDLKDKLNEAALGGPREPEPTYVEKALSNIPGVSKLQQMAFDQGEPA KQGQPPGPPERPDHDVQVEQFLRKQYHSQSGDGMPDPDAKD LY89DRAFT_720029 MTIMSTNLPIGHEERVPKHKTDSERLPRIQTSGGLPPVPISFLP KFREHRPRNLSIQVTMSKPTIVMVPGAWHKPIIYSDVASHLHKHGYPTVLLPLPSAGA VPPHEDFAEDVTAIRDCLTSLINEGKDIVLAVHSYTGMPGSEACKGLGKKERQTRGEK GGVIRFVCINAFAMPIGFQPTLRGEYSQFPAWMKINTSDDITTVTPSDAASIFYHDLP SSECSSTREKWVKELEPHQSLGMKDKSVFTLEAVEGMLGAARGQVESAFDVVERCEEG GHCLMVSYPEWTAQALRRAAGEKV LY89DRAFT_588391 MASVTSLDSDMRKLRLDRYTESAANEAKTFIESSLGEPLPSADL LPGLKDGVALCKLVNLAVPNTLRFKARAVMPFVQMENIALFLEACRKPPFGLQEHDMF LTVDLYEAKDPAQVLQCLGAFSRAANRINPSQFPTPIGGKRSGGIMSPQGTGSGLVGG GSYGNRGRGVSNASNGSSAYGSGVRPVSSLTPTRTGDSNSGRWSPTKGTPLSPSVSSW SKKADEGATAPAWNIAQYGYMGGASQGNLGVSFGGRRQITSAGPHVPNMAEKEKRRKE KEAEEERQRIQAEEDERRQREELEAEEERARIAEEQRWADETRKLREQERQKAEEEKR KWEEEERRWKLEEERRQQEEQEAEARLQEERKRMRGNSDARLRGQFLSQYHAENGASN GNNSESSRIKELERELEQARERERQYERERQAKLNQRSRQVVEDHDMTVRMKEENNKT RARSRSRPRAPSRKNSDEAWREDERDYLRKQWNASHDAPPPAKSPRPLPEPTQPVRLV KNNTGPSSRPLPDPTKYAAPKQISPNTSRTDRYLASNPAPQQSQPTTTYSNEIGGFDS SAERDAEDRRRMASQQKTKAGGWASKSLLEREMEMERQRQQEWEESQKELKSKVPQGN GVDGIGGGIGGKWDVNQWTGYTGGDGQNRGAQGIGAGRRQIVGPRPPPPGR LY89DRAFT_686232 MGSTRPTFTSPFSNGAQQSPLNSSWSHLTPTQATPSSAAGRKRS RDEAASNLEEDYFPVQPPAAKPEPENEEEWEYGEGMTLIRKGGYIIEASSQTGTWAEE KAEQEKAKAAAIHALSNAGPERPVLRAAKSQRLDLSATPAIIEEVSQNGTLVSPGSPE RHIEPTVDDFTRHLGIGWSSINQADLDIQAAARGWAKFIENHFPVTDAKIRLQSKGLA SYLVEANEGYFLFGEDLKQGRLVSTSLDRTWVNLQGPVPAFEGDLVMEAGQTPKVDVD VQSSVNEAHVMNGFASGNGHLMNNGHGMMDMQHINDGQDTTGTTMEMDMDMS LY89DRAFT_670960 MKGCTSQCKAAILPSLCVPLLIRPTLAANARILQAAVSPLELPS SAPHFQVVLLPARDWPARSRTRHAMLVPELESDELICADASCGSADHAELLGKASSKV TAGQELACDSPIATLARPSPDVRCGAVLRCA LY89DRAFT_114667 MVSQVEPSQSLTLRGPSSVVPRSSRHRRHGRSHAGGTSFVPQNE FPVFSHTGDVEILVKAGSHTNRYLLHKIILSQCSGFFEASTSQEWSQSAGGGGELARL GEDSGSESARRSEVKKKWRYELDPGADQNDIPMLVKKEGSHSLFGSNDGPPPVRNKPP SSNPSFFRSVANLSLSAPTHAPAPLSHEDQDLLNDYDNLFRIFYNYPPTLDPIDIAIA YVQCKSLLTLADLYDALAVVGPRIDHHLLQFQSRLWKQIAKYPSSYLRIGYLSQSKTI FQEALIHVVGAWPVGERHIRHQLPDTVLDIIEDKVEDLSDLVGKIEGRLFRLTLLTPR GERVGPQNSYIDWLAVSLFRQWLAEATSPPPTPPAPRSRSGNGHHTRNNTVQVPNTNT TIQQYSPLPAPSDISTNAPNPNLGRVFRIIGSLPSTYLPHEECKRFLKLSPDIYSRES LKKFEKRLDELKGLAREIVRPLMRCSLLGSGEGVNYLVCTKVGERDFPWSE LY89DRAFT_783633 MGIKGIYGEIGPGERISLSKLAVEKLEKEGRPLRVAIDVSIWQF QTLAGQGGSNPAIRTFYYRLLRLLSFSVQPLFVFDGPHKPPFKRGKKTGHHGACVPNL MTKQMLALFGYPYHMAPGEAEAECALLQRDGIVDAVLSEDVDTLMFGCGLTFRNWSSE GTRGNKSPTHVSVYDAKATKEGKSGLDREGMVLVALMSGGDYITEGIPHCGIKVACEA ARAGFGNSLCKLANNDVAGIATWRGNLAHEIRTNESKYFRIKHKTLTIPENFPNREVL GYYTNPVVSSALKVQKMKDEIVWDGEIDIQGLRQFVGEAFDWTNKIGARKFIRNLAPA LLVHKLRLRGDRRDSGYGDLVLTAMNEMELVRAICGNRNHFTVDCIKELRVVYSPIDI VGIDLDAEHDDAVDFGRSGLATNNADDGDLDVSDRDTSKSPGRRGPSTYDPTQPDKLW LPETIAKLGVPLKVEDYKESLRNPRKFLKAKAAAKKAAMPKGAMDKFVAVTKPTKQNE KSEASRELAESPEPDLPPVYLAPRLRPQQRSQFLPTTKASRAAIVDESARTNTDSLAT KPAKTTTRIRNKPTATTKPQPNTNPWTIARASSSPQNLPKVTKNTVTPSSTPVAIFRP PSPCYITSSSPPAPTPLSPRSPNLSLIPSNGTKHQHSPIPSPTPSPEPNLDLPNTVTI TRPRSRRTQDPSTPSKTRDPDHPSPRKRVSPDHPVSIHSHTPEPVARLLNFSASKNTQ HTETENHRSQTSSPELPSLAELRSSSSASASVSMQSSRPTTAESFELRGRVEMKKKKK FIMPRKSLAGAWKEIEVDADEDEDDVFGGEKVGKGEERERRWRLSEVEVVDLSAD LY89DRAFT_619446 MSNPSAPAAHRNVASIRSPGSNNTGAPASPHTPLRNISSAFGSP SALRAEEETVIFELGTRYLRAGFAGDAVPKAVINFGPEEQRRAGDYRRWGTNYDKSAA KGLQSKSWGEAWELWKLDLRDLDMGLVGDKLDRAVRDAFTKFLLIDSRPRRLSLAIPS TLPLPLLSTILDTLFNNFQPPTISLLSAPVLTTVAAGLRSALVVDIGWAETVVTAVYE YREVQCRRAVRGTKLLGEATYKVLAEAADLAEAMSKDKPADDKSEDDNKAHPSFEECE EIMARVLWCKAAKKDEPRRFDRGLTPVTEEDELRSSMRMMSISGQSDDDSTISIPLTS TEPPTTLKLQFADLAEPCDTALFATDTTETELDDEELPLHLLVYRSLLQLPVDVRSIC MSRIVFVGGGSNILGLKGRVLDELAQLIDERSWDPVRGKAAEQYRNNPKLQRTKLRQN GPIEVLQQKDSNGILIIPAHIQKQEDDPIDEQLKREARKGLPDVESGNLRAVNSLGAW SGASLLSQMKVPAISIIDREQWLQHGAAGASKSTEIDLTNRRQSMGPGAAFKSGAGDR SSWTLGLWG LY89DRAFT_686236 MPPPRRPIPFTCLQCRLRLLPQLLRSSFHTTRPLQAEPPRPSTA PKISVDIKHIRQNAALYEQNCLDRNYKTQSTYPTRINSLYEQWHNHQASARALRERNN RLKLQLANPTTLRDEDSVEAKGLRQMSKQELIDEARTLKEKIGGIEDQEHTIMADIES LAASIPNLTSDETPRGTEPKVVGFINSHPEPDPSSSDRVWRSHVHIGSELNLLDFAGA ATTSGWGWYSLLNEAAMLEQALVQYALSVARKKGWGMVTPPSMVYSHIAAACGFQPRD QNGEQQIYNIQQNPADVGRKPELSLAGTAEIPLAGMKANTTLEEADLPLKRVGVSRCY RAEAGARGVDTKGLYRVHEFTKVEMFAWTMPSSEASTAVFEEMVSIQTEILTALGLHC RIIEMPSTDLGASATRKRDIEAFFPSRRAKDEGWGEVTSVSTCTDYQSRRLATKVDVR SMGGKTAFPYTVNGTALAVPRVLAAMLENGWNESRLEVRIPEVLWPWMDGLKVIKQKE RLR LY89DRAFT_720038 MLLKSVLICFAASQLVAGHGAIVKAVGDQGGNGTALGIDAGTPR DGATRNPFQQDSTRFKNAAADQCGETLGGGTNDPATQVPQMLAANGGQMPQISAGGSV MMTLHQVNGDGAGPYDCMIDSTGTGTQWTAMQVTTNVPGTNSRSKAKATDFPLTAKVA ADQTCTGSMGGQSGICMVRCNNAANAGPFGGCVPVQMAGAGNATAAPAAKLRRSFIA LY89DRAFT_686240 MKFLKIGRVAIITRGRYAGKKVVIIQPQDTGNKSHPYPHALVAG IERYPSQITRRMSKARQAKRSKVKPFIKVINYNHLMPTRYTLELEGLKGVVTADTFKE VSQREEAKKTVKKALEERYTSGKNRWFFTPLRF LY89DRAFT_114820 MAPKKFNRHFEKLPKELQLRIWVLSMEPRIIRAKWETVIIDDNY KAIYRLSCGPVPKILHICRESRAEALKHYTPIKSRGAHLEQAEKRCIKDNENSIYMNF DIDTLYFVDFPDTNSFLSWIRRVPREPKPKNNAKLLAEGDENGKVQKPQKVVKHIAFH RHLPMRWSTLQSKVDVWYGMAIKNPGLETIKIVMDGSSFEKTEKPHTFSFKKAPTMVW RKQTRKPLSVGGQPAGSQAPAARPSTARTDSSKTASKEVGVKKPAALRNGNWVPVPYR AKVDALLDGFWEKSETHGTALGKTFREWRMTEEGKLWVAPRFEVMSISINPKKNVRGG LY89DRAFT_114827 MASATGIPDTAVIHAGEDEPLIGRPGGASQQEGKSLWYNLVIGT AVIAQAGIILLTASVWASIFLSPLMLFSAHPLLNSAGLLILTQGILILQPTHTVDQKR YGTAAHFIFNNLGIYSLIAGLVVIEVNKFAHNGTHFESPHAILGLITYILLAIQSFVG FTQYYTPRLYGSVDTAKSLYKYHRVSGYVVLTLMLATVAAATQTDFNKTTLDIKLWAV LVTAVLVLIGIIPRIKKQKLGLKGSAKPAASDNLTQ LY89DRAFT_686242 MAFESEYKLEDVAVTRIASDKIDETFRSSTIDLISATLGAKVLS FSDEWFAETSNLLTPTPPIRQPGKMVYSGAWYDGWETRRHNPEPFDYVLIRLGVASGT VEGIEVDTAFFSGNHAPAISVEGVFSDNDEEVMGWKGGKGKWETILGIQECGPSQTFG WKLHVPTTKPYTHVRLNMYPDGGIARFRLFGHAVPVFPEDKEAIFDLAAAQNGGVAIS CSDQHFGVIANLILPGRGKDMGDGWETSRSRGKDHVDWAVIRLGAKGTIQNLLVDTAF FRGNFPQKVKVEAIDWSGEGEPGALAADWVTVVEPFKCGPDKEHEVESAVKDKSFTHV KLVMIPDGGVKRIRVFGKRSV LY89DRAFT_619467 MDIGDNEGDLAGFPEAPTKKPRVLPDDLPRSLDDRKSVPQYTGE TEFYDGWGGQSQFLTTPVLAKPLEFKSLSLDDHGYDDDLTTQKIGDSETRLMEMLAAQ AAHRDGSANASEDEDTVATNEKLSDDEKKEVLQKALNMAASNGDVERIERILKGKAKD FVDIDAPDEEGTAPIIYASCFGHEAVVTALLDAGAKVDNQDRNQWSALMWAMTNRHKG ITKALLDHGASTDIKSSSGRTAFDFVAPDSEISEYLHDSGYGNQIGSVGVGGDWYNEG LAEDRFEEEMAENELRRRMMMDSARDLEVDIGNMGMDDQPEDPEELEEEEQEFDWSRC LHDQMFVFQESELSRILDIIITNMTPQRSPSQKPVPANVLFLSARYAHYHAHHDLLAK LLITAIDKINDVVETHQWDMTILAFWISNATLLLHYLKKDAGLSDSTSNFQLQLAELI NEIFILIIRDAERRIDKVLDSAMLDHETIPGFEDITFQNEWKIFKRKNQVKEEPVEKR YRPPSPKARAKPSPRNVTSLLSSTLFVLDLYDIHSVITAQILAQLLYWIGAELFNRIM SNRKYLARTKAMQIRMNISSLEDWARGNNRQPEHYEHGSMTSSGETTIDSARTHLAPV IQLLQWLQCFSSLTQDDFEALVSTLQQLTRLTPQQLLHAVDHYRPEVGEKGLPRSAKK YLMDLQKSLAERKQQQRMSRRRSGAPVTPTKKNGQNPNTPESNGKGAPPNTMKPLPAD QIPDDDDDAPEHMLLDPALMLPFSLPTSTDMLVTYGAGFGGLNRERERKYIPTVPAEF LTKLDLSGGRAQSTYEERDWENEDL LY89DRAFT_114858 MNSPSMTTISSRDKERDSQGELQPDSLTSSFSSRRIRKGGFAYD VPTLTSSATRNENGIEALSYEDTTAGKPPIPVIKAPPRLPPTETHPALRRTSLSAEEE KKRDSGLTTTTRSDPRSNSLAGSTLQDKERELGIVIDFDANSALANTVSLAPPMSAGD EGLRKSETKSSGIGSRWRKSSNKKRKSEAEDFTPLSTQIPTHSLIDDETLESIQFSKR GSLMLLGDRVRFGHARPYATRRQPSFSMLTSPAMKILPEDVEKESQKVRSMYEQGNNV AWEDGQYSSLAQNMAGLTTEPGKFGFLAPPPGIPFNRPGSTLSVRNEHELAGGIEDWE DIDGNEVDRYGFIDTSQRSSRPGTPEPRIQRVSTMLQLASNAPRVKRKWGRATTSSAA SQGSSQKKTPSRKVSARSVTSQGSDSSQRSAGGRIKAASKRLPGNKDWKLIQTAGDML TLPPGLADIAEDEEGGRAANAQKLKEIERGEKWRKMAKVVKYGAAGQGMQFEFDPKNP KVIERTWKGIPDRWRSAVWYSFLATSAAKRQDTIPDQHLINAFHRFQVESSREDVQID VDVPRTINAHIMFRKRYTGGQRLLFRVLHAFSLQFPEPGYVQGMAALAATLLCYYDEE MAFIMMVRIWTLRGMDKVYSDDFRGLRAALDEFEKDWQSNSGSGIAKKLADLGINPTD WGVRWYLTIFNYSIPFAAQLRIWDVFMLLGEIDPTQPYTEHRPFQGSIDILHATSAAL MDAVREILMDEESDFETAMKVIMSWIPIKDEELLMKVVRAEYKLHKKSDWTPAPPALA ETATLPAML LY89DRAFT_588059 MLLTLKQPANHGYKVYSPPTPPSSSRFLVASPSTGHSLATVPTN NKSLPPLPSKSMSGPHRGLPPLNLPSAQTQQQHPPAPPAPIGQPPLGQLPAPPQQWQG AEESMRNWLHAKAEEDKRKQEEEKTRQESLRLEQRKIEQDMLRQSLNGGIPPYMIPMV FAGMGGGNLPNASLEWAQHYMAQAHHLQQQQQLQQQQLLPSQGQTSPEQVQRRDSRAV GPPYGTQQHPVPITLPSTPIGPGGSQQGSNFPPSYLMSPASRSRQGPQAQSSIARPPQ TSHLPRLNTGEIHIQPPPAGPSMQILPGQPASHPLQQSQSVQQQESQSSPSIYFHHWQ PPTTQAGTSNHTSSPKKRKATGPQQPAPPPTSQPQYTSPPFSRTGSSVSNTPSSRRRG HSRQRSDMSSRGLDSYGRPSSRQGGFTSRGLASPSQAQSGEPTQVSTMSAEAPRRRSG GSHPVSSLLEQSESRPQSQAHPQSQASQQQTQGDPQYSAGPEMRHEARRSSVEGESSR GGNVIKRDEGRD LY89DRAFT_115133 MHIFTLHILRHLDPLHSDDLEDTHLLFARYSICAFSTGVSIRLL TRRPTGNCTLIVHYTQLFTSILICVFLFEDRALGKDPLYHMIIWHGRVR LY89DRAFT_115252 MQGPRVRIQYSTVLYCRHQSIIHPISSHPKATNPDNQQSTVPYC TQQLHHASIRSRPLSPPPCCPSCLTQSPSIPSIPSIPSITRLTHAPYRPDWRATSKLI RPRPELLTLHPPTHHQHRKSQIQGSSISSHITHREKGETETSVRAACVRLRCLGTSHP LSPQFTYRAALISQDRGQWSWLALRPEPEPCKQHTGTQGKVR LY89DRAFT_783645 MRILLLGGTGNLGRRLIPALLSHNHTLTLLLRNPSKLTSLITPN LHALISAIETGDATDAAAIKRTLVAHDIEAIINVAGDQVRSGEEWVLPKIAKAVTEAA IQVSEERGKGRELRVWITCGLGIAAYPGTGRLIQDFLPKFATQQHNATLEIVERIPVS SLRWSLLAIAMMYPLNADQGPYSPITEPKPHGLQVGAGSPPRWKDHWLGKLWWVGLYL NVWRAVLGDYCTHYENVADFLAEDVQRDGEEWVGKRVVLWEDWGV LY89DRAFT_619476 MDGTVASGEGNELDPPLRLYERLRQITGYIWDEEKEPFHSSYDN WQVFGYRNTKEGQKASATAAVESSRPGSAQNSSHQDSQSVNGSRTTQSESGSESKISH EDGAEPSRVEVVARVSLHALREERAYHICKNLIKKVDPDGNHIIRPLNIVRLPSQQGD KGPVVVCIFEDPGPNYLPRVIDYGLAWYRGRKVEDRLEAFRDEFVAKEVVPLQTFLDF AVGATECLEILHHGQRIVHGEIRGDAFHMNMMDGKVRLINFGSGLRTFEHGLTSTGWS TLSKEVGAKTKLSYMSPEQTGRMPAEPDSRTDIYSLGILFWTMLTQQPAFDGATPMDI IQGVLSRRLPLVSSIRLDIPDVIGRIIQKMTAKIIGERYHSVSGLRHDLMEVRKLLGA GDSAALRNWKIATKDISSFFILPTVMIGRASEHDEVVKVIDKVSRRHMVSQRQDLHSL SSSSSMSDGRLEMIAVAGDGSSEGDNTSSAEGRSSSLTTGGLSGGMSGEIKTMRSGSS SQMRSAAESQHNSLDSQDASSRSGGTRLMRPWEKNNSTSLDNKSVAETMNSDNATGRS SSDGVGSLASKSNSQKFRRKGRCEVVAISGAAGLGKSCLVHSVQVEARRRGYFASSKF DQAKKTPFGPVLKLLSSLFKQVFSESNTDTPFHQLLKQYVKPAWPMLHKALGLPEFLL GPMPSPQRGHSSQLSQQGYNRSLQAEMNPRRESSPSSSSRGSLYSMALGSQSSQDFLR AGSSTKSLRLMNTTLDVLRVFAQHKFICFCLDDLQFADDESLDLITQIIAARMKMVII VTYRPDEILPERIKGIIEPPNSQEYIKTGGVGVTRVTLKPLSEEDIKQYVAATLCRSE VDVIPLAAVIQSKTAGNPFYMREMLNSCHRNHCIYYDYKESGWCYDLDKIFTQFETKN YHDTLNTSFVTSRLNELPSASRSILAWASLIGNSFSFDLVQRLLSGEFDYDDTAPNME ISQPYSLTHSQQGAVEGLQAAIQNYIVIATQDDDRFRFAHDRYMQAATSLGECNASKM HFIIAQTMMKYYSADERSRSTTAEHICESIDIIKKRILHRQSFRKLLADCAQSAAESG ARPTAAKFYKACFALLQDKAWTDGTPDAYYDETLHLHTRAAETYLYMGQYSEAKQLLE TVFARAKTPVDKAPAWVLQSRLYAQESDSPAAFRALKYCLGALDIHVDDDPTFEKLDK EFERLSLKIQSMEPEELVGRVMAKDSNFAAVGAVLVETISAAFWTDTLTFYQMALVMV DTQIELGSFPQAGMAYVHFAMIAITRFNMMEFASDMGKIALRLMERWRDPYTMGRGGT IYSLFVGHIQVNLQESIAQLEGALEYAIQAGDRISTILNFGLVGTLKFFGSENVTELE AFLQYGCEEVPNWQHDTRGGTMAIAIRQACRALQGRTNTNDPLEIMSDESHNALWYKS WLKGSSTDSSRPLIFYEGIEMAPLFLYGHYSRAIELGNACLNNISTVWSSRNTRFIMF MQGLSLAGLAWYKLQSPLRAVNQDADHIDNQSSEAQLQAEIGVVVKQIKDLRKQIEDW QVVNNVNYLAWSTLLSAQIAELEGNHGLAMRHYEKSLDHAEAHDFLFEEALGNYLQAG FFLRTGSRRAAKASLREATALYRSLGAVGVAKYIEDEHSLLLQGPTRTQRSADAGVQT DFAGDSAPVQYTTLEGDEDDVRQQTRAIIIESKGDRIGAWQGGSARDAAGSGLPALDM LDLTSILESSQVISSVLQVDQLLKTMCEIILQNCGGLATLAAIVVEDDDPIGWSIAAS GDPEKGAMAHIPGLPVSETDLVAEGVILYCTRFRETVFLPDVTHDERFSNVTEAWAAR NPFSKSVIALPICHGSKPLLGVLYLEGEPNVFTDRNLTVLQLLVNQIGISYSNALTLK EVEKVSAFNNSMVDVQKRALEKAINAEKAANAAKAEALRNVKLAKEAAKAKSIFLANV SHELRTPLNGVIGNSELLRDSELTKDQVEMADSIRVSADLLLTVINDILDFSKMEADK MELYIVAFKADEMMKEVFRSVSYSNRDKKNLRNVEILQDIKLPQCLIFGDPVRLHQVL GNLVSNSLKFTENGSITIGAKTDLETDDEVKLTFWVRDTGIGIPPQQLKKLFKPFSQA DASTARKYGGSGLGLSICRSLIESMMGGQIELESVEGQGTLAWFTVTFQKAKEAAVGD CQNGNDNHDPMAKFVDADRQRPVTPFKNFQNVPRDQLRICIAEDNPVNKRIAVQFMQK LGFKDIFAYDDGLQAVEGLREHAKAGHPCHIILMDVQMPVLDGYGATRLLRKDPIEAV RDILVIAMTASAIQGDREKCLEAGMNDYLAKPVRSNVLKKKLDQYIQQPPIQIQDLQG EARKVAHQVLREMNGPTSSGVSGTSTPTANPASPPFLTPGTTTPELPPIHSQRRERGF DIDGSSDSRSSPGSKFPIHRKSVVDTSVSKQNLHEDELSPKSLSSKSNKGSIDGNIRD KYKSAKDENYAESGKGKRISSVVRIDGVVDGVDGKKGT LY89DRAFT_115382 MSKKIAPSFALLPQSIATPLYEAEAEAQEVGLRVRCQKLTDQYF PQCYAVTPSLSSEILNFSLGSRANFSYSKSQKYAMSEAISLSNASRLDVQNQLMSISD EYTPLSGNTHIQPSTLVSLSSYLAPRNPKNLNTFTVSHLRRFRKSSRNLENIWLKFKT MLCIPKKRFLDVSHPF LY89DRAFT_686250 MADINVDVLVIGAGPTGLGAAKRLNQIDGPSWMIIDSNATPGGL ASTDVTPEGFLYDVGGHVIFSHYKYFDDCIDEALPKEDDWYTHQRISYVRCKNLWVPY PLQNNISMLPVEEKVRCMEGMIDAALVARTATTKPTNFDEWIVRQMGVGIADLFMRPY NFKVWAVPTTKMQCQWLGERVAAPDVKTVMKNVLLNKTAGNWGPNATFRFPARDGTGG IWIAVAKTLPKEKTLFGKQGEVSKVNADAHTVTLKDGKTIGYKKLITTMAVDQLVEQM GDKELVNLSKGLFYSSTHVVGVGIRGARPENIGDKCWLYFPEDDCPFYRATIFSNYSP YNQPEKSVKLATQYLANGSKPKSSEPQEGPYWSIMLEISESSMKPVDHANILKDSIQG LINTEMIKPEDEIVSTYHRRFDHGYPTPSLEREGVLKELLPKLQAKDIYSRGRFGSWR YEVGNQDHSFMLGVEAADHIVNGAVELTLNYPDFVNGRQNNERRLLEGAQAFRNKATD KADAAGAAKVIDIEANKAGVNGLANAKELPARERAASKTSSSTHGRKPSKSVK LY89DRAFT_783648 MSEEKVRLSGENARAADSPILPTVNPNLEKKQEPKGNGINPAVY VIVWISLSSSVILFNKWILSTLNFHYPILLTSWHLVFATIMTQIMARTTTLLDGRKTV KMTGRVYLRAIVPIGLFFSLSLICGNLTYLYLSVSFIQMIKAFTPVAVLIAGWILQIE PVDLKKLGNVSMIVVGVALASYGELDFVLTGFLYQVGGIIFEAIRICLVQTLLHGSEF KMDPLVSLYYFAPVCAVMNLTVAVLWEVPKVTMTEVYAVGLWTFFANACCAFLLNVSV VFLIGKTSGLVLTLCGVLKDVLLVAASMLIWGTKISGLQAFGYSIALMGMIYYKLGQK ELKPFIAEMSRRWAEFGANKPVLRKLLIFTFFIGSIMFLFNQLAPTYAPDYDTKSLID ASKTYLGTS LY89DRAFT_783649 MAPRTRNQAHQALQDASAPAESTSNMNDDGPRRRTRQDPTKKAS TFDDPLPSVEDEEVATSSFAQAQVAADEPITSRLRSRSRSTSVVPTTRTTYNRRASTR SQSPSQKANGSNKGKRGVKRGREDTHDDDDEEDGLSQSNNKKSRGNNYEADMSSQPSQ QPTRAGRGGRGGGRGRGARKHVKLPAIQEASPARAAQQTFESPAETNDLTSIVPAIQK ASPAQAQETFEYPAEPKGLTSILSPPSDVQSLRPNRFHPYKRSLSPLKHNQIPNGYES LSDDDSAPAHKPGDPAWWDKKQRIKSFTRFVNDGVVDKALKNKVQEVEPETKQRMPSN STSVQRKKTLRTVAEWATSDASNKLPTAGEMVSFLEQTKTRNSANIATLPKQSNAGQA AQTSEAAESIPQDVNGDQSRSYAEIPGKTFQVPDESDSEDDIMSNHNETAADAESDHA SSPHITSPQIPTTPTTPTPTLLPKAEEPQGWLGSAKKAILNTTFKFFGRGSQNDSQAG SSTATTATSKFTFTSQQKIPQTPTPSIHGGRAQRKTPQTERPRRINKALIPPERFVPN TEYRKKKAKKLPIEQRGVISPKRRAELQAIQAEKKRQQREAEETRVELEEWQRVVTYK CPSETSSDEEDGAKKDESEGSDSQEFDPDNSYEVWKAAEAKKAREAKPKFQCPEEPER DWKNDDKWGFTYQRDGKRYSRLDNIPNRIPGMFRLRNLPQHPKLALDQVPAHIQQWIA EHDAWERIYWGIRNDEVTQVDIDKYNQMIRDNELGRQAEEAEEAKKAAAPPPAPRPAN AELPAQSAPAAAMAKATKYAPKTPSGLRNAERAYSSPVDSENEVVEKLEDTTVDAGER ILQLVHNRNEKVPELRLPELMKNEDIVVPAWLKAFV LY89DRAFT_619485 MGSNTSPLTQTWVETPCIRSAALSRAAGCNIYLKLETLQPSGSF KSRGVGHMMSTAILHHGPSKPIHFYTSSGGNAGLACITAANVLKRPATVVVPLSTSAL MIQKLKLLGADVVQIGKHWSEADTYLRDELLAKDADGIYVHPFDHKDIWEGHSSMIDE IEVQMRYQGGYDAVVCSVGGGGLFCGIMQGLERNGHLGQNESSRKSTGGVRVLAMETI GADSLNVSVKQGELTRLPAITSIATSLGATQVAEQAFRWSQRPEVVSHTLTDAEAAMA CVQFADDERIIVETACGAAVATAYIGTLHSVLFPELSKEEFAGKTVVLIVCGGSNITL QILEKYKEQYGGEKP LY89DRAFT_735621 MIDLFRGMTWYELIAFCTLGYFREIPRRIRQKCHRAFGKHYDLL CDNYESMRESLEKFSRVMPQFPRFQELPAEIRCLIWNYATPEARVVEMRLKQSKGNKV SFRSICQIPAILHTCQESRAIGLQTYHLAFRTSSSPAMTFVDFERDIIYFGAKAIFQS YKPIISRSKEWWDEEGFSDFENIRRIGLGSTSSPFYEGPNLEELRGVKEIIMVARMEY GSHRKWDLGRNPKLVRFGIFLHDANAVVAWRRIMDRSPKGRPMANASLECFVKAPGDR WWFKGNGVPF LY89DRAFT_735622 MLVNKYIAVSTSSVLLVPYEASHVPAYHEWLKDEEIQETTASEP LSLEEEYAMQASWRSDHDKLTFIACIPSSAASIKAGGCDTPDRMIGDVNLFLSPADED EEGCIGELELMIAPKDARRQGYGRGTILTFLQFIQLHLDEIMNEYKTKLGIEKMKLLQ LKVKIGSKNEKSIKLFEGIGFIKVEASPNYFGEFELTLEGFLSEERTRNLMERYNIQD YKEMEYQASIV LY89DRAFT_686256 MADMQNMQPVRMANIPNSPERSPILRIALEPREVIYSYLLIYPK PIIVKHDWEIVERNPFVDHSIILVCKQFATEASAFLYRNNTFQALLRVTTGQFRRFEV PTTIAPSHHANFRNLIINCSPDCWNMDWHEKTAKGLMTLVSAKAVIKSLTLVVSPKRV GMSTTALGDENTPITFADFLWYHGPLMTAIRRLAPQVLKIIVTKSGTNRFGMEVNTSY VQAGLLEEGPLANEETVRMRKLNVQSVEGELMTLKMRLEQIYEDDQLAALEGVCTPLI DEKVANRATPPTTVPSHGRRK LY89DRAFT_735624 MSTTGGFWMVDTGGIALKYLAYELGLPHQDIWRSGLTMEIRMPV WTLYVILAANILLRGTKEVDLLTRYISNIQCIVMDMCTWFLTEDRGLTPPKDLDFVLG CPPEPERYCVYLNVLHDLVSQIEAKIFKVDKKDRVKLSLESFNGACIEAGKLCVKNQY PVNYYLDYEREFPGFRKNFKQVANMKELPGIRDTKSSNPESDNADEELFDVDEEGETE LENMRYSTAGAV LY89DRAFT_619491 MAVPKLEGSLLRGPSDQKYASIERQTSSYKPLDTYALEKEKHYQ QQFGDMYFLRLTKLKPAVERIAEEAWEDFRIGNEMVEKVERVLDVRQGKLCWVAGTIY MEMPLKPNILDDISKDHWISAPPARQKYLSATGEDLVMLEDESGRLRLVGAPLASEML VTGCIIAVMGTENANGDFEVVDIKVPDLPPQAERWHTSEPVGDGISRKKLKLDEDEDE DMDKPVSNSKKIAIVSGLGFSGTDSGHSLEINLLTEYLLGEALDPANQESASQISRLI IAGNSIALDHDAVATDTIGNTRKAHKKYGYDSSAYNPAPTKHFDDFLATLLPTMPVTL IPGYTDPANVSLPQQPIHPAMFPQARAFSRGPPKDGEETQPGWFDTVTNPWDSEIEGW KVLGTGGQNVDDVFKYVESEDRLGMMEAMCRWRCCAPTAPDTLWSYPFQDDDPFVLNT CPHLFFVGSQPKFDTAEIEGPAGQTIRLIAVPQFSETGEIVIVDSETLETSLVRISVA LY89DRAFT_783655 MARSAASSFTLAVLPFLFLAMFVTSAVATTASVGLTTASAVPSP TANGTYTKPAPTNSGSVAATSSIVGAAAIPDAQYSAFFALTVAIFGSFALGLGL LY89DRAFT_587980 MSTSKDGEPSAAPLAVPMSSSPGSFRGRLSSSPVPRATSTARLA SPVPSHSPVGTPRVPQIPTPNQTGSTALPSGSLTPLAGTAPHSLIPGPGVSALAAALS HSFGHSPPTFGTPPLRPLSPVAAGHQIQSSNPQSNYGSFDRARSIQGAPGWAGPSTFE DPEIVKRHLVQGPETMSQAGDGPTDGIFGMSMRGGSPAGRSPAGKGRQAIEDVAPGLD DDEFSSLRLQGGDITRPIYKWTEEAEARAQGRGRAQRSQSFHLSRPQPENDILDIGSI KVPGGFRRNFLRRAAGSPTPNDGVVEEGYGRTQPKLLTNNFIEFLTIYGHFAGEELEE DDEVLEPDEYFSSDAYDGGEEASDEDREPMEDSALLTPGRRKRKRKDRHVKGTNGTTG AALLLLKSFVGTGVLFLPKAYLNGGMMFSNLVLLFVAALSYYCFVLLVNTRIKYDGSF GDLGGILYGNWMRNIILFSIVISQIGFVAAYIVFTSENLQAFILAVTDCKTHIDVPYL ILMQMLIFLPFSLMRDISKLGFTALVADAFILIGLLYLYYYDILTLVRQGGVSDIINF NSDDWTLFIGTAIFTFEGIGLIIPIQESMKEPKKFPTVMAGVMVIITVVFISMGALSY AAYGSATETVVILNLPQDDKLVNGIQFLYSLAILLSTPLQFFPAIRITENELFTRSGK YNPYIKWQKNIFRFFVVIICTAIAWFGANDLDKFVALIGSFACIPLVYIYPPMLHFQG VAKSRFRKACDVLLCVFGLVVMIYTTGQTIASWGTNTGKPALSYCDKRGKGV LY89DRAFT_588185 MQQLDEEQDTAQPHQPDDNPYEPLTNDSTPPPREHDLTKRLLHL QPHDQPHNGRSQSASRPRRSISGRRKASTSRHSGALAEASLTAQMMDISGDKKTNGDS VAKPGLGPRPVGGDEKLGMFSGVYVPTCLNVLSVLMFLRFSFILGQGGVLGMMGMLIA AYVINLVTTLSLSAIASNGTVRGGGAYYLISRSLGPEFGGSIGLVFYMGFVFNTGLNA VGLIDCINLNFGAVSGNWAQVLPESRWYSYLWSTIVLVVCTGICLAGSSIFARASNGL LVVLLIATLSIPFSALVVSPFESRSLGIEYTGISGTTFVGNLMPRLTKGAAGSQLRGK ETFQDLFGILFPATGGIFAGASMSGDLKNPSKSIPKGTLYGLGTTFVLYTLVILAMAA TITRASFARNANIIQDTNVSGIVVLAGEFASSFFSTLMGVIGSAKLLQALARDNLLPG FSIFGQGTTHGDEPTYAIIITYIVAQITMLCNLNQIASFTTMTYLMTFLVMNLACFLL KIGSAPNFRPSFHFFNWQTAFFGTVISAVAMFFVDGLYATGCVGILIAIFLVIHYTSP PKSWGDVSQNLIYHQVRKYLLRLKQEHVKFWRPQILLFVNDPRRQYKLIQFCNSMKKG ALYILGHVIVTDDFGGSVPEARRQQAAWTKYIDFSKIKAFVNIAISPGVEWGARNIVL SAGLGGMRPNIAVMGFYNLDDLRRNLPLIDIPELAQSPELRPKSSEAGERTHKDSKDA KLQGILPTDTCRTEGMMSVTSYVTILEDLLLRLQINVAIAKGFQDLEFPEPHAENGKR YIDLWPIQMSAEIASEGDNKQNVLTTNFDTYTLILQLGVILNTVPAWKKAYKLRVIVF VEYESDIEEERGRVQSLLENLRIEAEVVVLWLASGKLSTYEIIVNGADPGKEAIEEVE DCLGGQEWWDEIQKIRGKRGPTSGSEDLAEIASIFTTGSNWPEASFQQGPRDERHTMS GITKYGVSLNMRTHRLSDNIVNHHAATASASEDSSSDSEDSSDSEAIETGSEDGSQGA ASAASEGDIDDFESSSDSPISPTKTTIRRRRSHGDTMRGPPPSKRSTGEKEVKVPERT PRRPPLPEFPATTSAVEGSSIISGPDLSFRRSESPNRKPPSLSNLSTSLKATSEGSGS KPASLKELDKALKEPDKSARPESSANARPASVRSERPSISRHASQPKFTSKPVPMTRV ATEDGPGPSIMFTETPSPPVHARANRLPSAYRPNLSDNISEVSEPQDISTSRRGSTYS TQALPLSFNDLPCRAQHLILNELMRSKSRYTAVMFTTLPSPIEGTCQSVEASAGYLSD LEVLCKGCPPVLMVHSNSMTVTMSL LY89DRAFT_686260 MASNHYKTLNEHYPWTANPTVVLAPMRMISTPPLATEVSRAGGF GFLGVGTDVSTFSPMLKEASSILESSLPTSEGVLPIGVGFICWGVDLSVAISVFESAP LKPAAAWLFAPREPKDLISWTEGIRKATNSRTKIWIQVGTVTMALDVVKSCNPDVLVI QGADAGGHGLAQSSSIISLLPECGDALAKEGFGHIPLIAAGGIIDGRGVSAALTLGAS AVCMGTRFLAAPETPISNGYKNAVVKASDGGVTTARTTVYDKIRGTIGWPATYNARGV LNQSFWDHGKGMSEEENKRLYEEEMKKGDAGWSDQGRMTTYAGTGVGLVRNTQPAGEI VKEVMRDAKERLKTVGNMF LY89DRAFT_686261 MTITGKHKVDVAPDRFELFLLGDGERKVTEESDTRTSNSSIFTF NKEDHTLANMVRAALLKDPHVIFAGYKIPHPLFAKFELRIQTNGEITPKEALVNCCKS LVGDLEVLSREFTKEYELRKMVSGDGAAENGGR LY89DRAFT_686262 MATQLLPLELIDKCVGSKIWVVMKGDKEFSGTLVGFDDYVNMVL EDVTEFDYSGNHTKLSKILLNGNNICMLIPGGEGPVAAGS LY89DRAFT_648870 MLPCSNGASTLGKTLRRPIMNTMNSLESSVASTESMRSTVSRTG GRALSSTTRSSTHHPQLRTWHPNHGIVFRTLSTTSRTPSVAATAELREDHLLHSNAED NYVLASADLPALDKEVNPTPAVAEDESKPAARLTADELHEQALNDPEQDELPETSTSY KMPEEIFRAAKDASAGSPESFWSHSLYRSPPDAEGKRKKPVVHYCRTIHTTEKVIKDY FKGKTVIGFDIEWQPEAFKLSKARKNVSLIQLACEDRIALFHLALYPRIAETSDNNLA ALVAPSLKKVMEDPKITKVGVAIKADCTRLRKYLDIHAQNIFELSHLHKLVKFSKNKD FGLINRSLVSLAKQIQEHLHLPLFKGDEVRSTDWSQKLHMAQIMYAASDSYAGFQLFH TLELKRKALDPIPPCPYPAEFNKAIRLAEGVEIPPEEDDPKVTSTDVKVIRRTRRYGK RVLAAAAEVVKDEDFSPASELPHSFFTSKSENPLSVALQKTNLGDVSPEPPNDSLQLQ KAEKASMRHIRAKQGKLGDVSHLPLSPTQLSKRSIKTYYLWLDNPTLKLEDIGAMLRS PPMTARAVSTMILEAITADKLPYQKSRLREVLKIQAIYTDGNYIPEHRYFELARDSGY NELREQELATQ LY89DRAFT_116129 MSPSAIQSLETIPSLTSVHSFFENSKNNYVEHNNYGVNTPKKLI GDALKGRIESIDHNVCEAGEEDTFFVADLGEVYRQHMRWKLNLPRVKPFYAVKCNPDP QVIRLLAELGTGFDCASKAEIEQVLKMDVDPSRIIYAQPCKTNSYVRYAKDHGVKQMT FDNTDELYKIKKLFPGAELFLRISTDDSSSLCRLSLKFGAAMDVTDELLALAKDLELN VVGVSFHVGSGASDPLAFLKAVQDARTVFNQAEAYGFNLHTLDVGGGFTGETFEAMAA VLRDALDEYMPSHINIISEPGRYYVSSAFTLACHVIARRTIEDPSRGEKSYMLYLNDG LYGNFSSIMFDHQHPVTQVLRTGDHTYYDTIDSHASTDGIEYSIWGPTCDGIDRIAES IRFDHNLDVGDWLYFQDMGAYTKCSATRFNGFSDAHDVIYVASEPGARALLGL LY89DRAFT_619515 MLRNASKGAVRKAVTELAQYPKPGEKLHGFTLLRSKHVPELELT ALHLQHDKTGADYLHVARDDKNNVFSIGFKTNPPDDTGIPHILEHTTLCGSEKYPIRD PFFKMLPRTLSNFMNAFTASDHTFYPFATTNEQDFKNLMSVYLDATLHPLLKQSDFTQ EGWRIGPENPQAISSGSAKPEDNNLVFKGVVYNEMKGQMSDAGYLFYIRFQDAIFPAI NNSGGDPQKMTDLTYDQLKKFHAEHYHPSNAKVFTYGDMPLADHLHEVNAQLSAFERI QGDMEIRKPIDLSNGPLSVTVPGPIDPLVDKDMQYKTSTSWLMGDATNILETFSLGIM SALLMDGYGSPLYKNLIEAGLGTDWSPNSGFDGSGRVGIFSIGLTGVKEADVEKVKSA IHKTFEEVRSTGFEKSKVDGYLHQLEISLKHKTAKFGMGLLQRLKPSWFQGVDPFDSL AWNDTVSAFEAEFAKGGYLEGLLGKYLLNDRTLTFTMTPSAIYGDELVKEEATRLANK IEEVVETAGGQPEARALLEKQELVLLQEQGKTMTEDLSCLPSVYVKDIPRQKEIIDVR EGKVDNVDVQWREAPTNGLTYFRAINLFKDLPEELRALTPLFTDAIMRLGTKDMTMEQ LEDLIKLKTGGISVSYYGSSSPTDFRSSTEGLSFAGTVLDRNVPEMFSLLRKLVLETN FDSPEAQSQIRQLLQGAADGAVNNIASSGHSYARSYAHAGLTSYFRAKEQVGGLSQVK LVTSLASRPEEDGLADVIEKLKTIQKMAFAGTNTFRTAVTCGSESVSTNEAALQNFIS SLPRNDSVSLKMPTPDFSRNTKTFFPLPYQVYYGALALPTVSYTSPAGAPLQILSQLL THKHLHHEIREKGGAYGGGAYSVGLDGVFGLYSYRDPNPQNTMSIMRNAGQWAVEKQW TDRDLEEAKLSVFQGVDAPQAVSSEGMHRFASGITDEMLQRRREQLLDVTKHQVREVA QKYIVDALAKDEGRLVFLGEKKPWVDGTWETRDMGISSQEPEILDEEDVKQAALGS LY89DRAFT_588557 MSTSVNSTLPASSRTPSIPATHTPESAYTNGHQHVHHHDMGAHS GSGNMGKKGKQKKATDPTEASNLIAAKISQLESDAAGDKEQEAEIERERRKYARELNN LTGKMDDLQRIDTLQTRVSELFTNMKRLERENQKNKKRGDQLQKERDHARTDFTKQTS LREKLEKLCRELQKENNRLKNENKTLQDTEKENHAGWDEKFKQVLWQLQDYQEAKDHP QAQVVNIEMDELFKQRFKSLIDQYELRELHFHALLRSKELEVQYNIGRFDREKKLAET ENSRSRALNAQVLTFSKTETELRNQLNIYVEKFKQMEEMSKKTKRLEKENMNLTRKQD LTNQNILKMAEERTKTNEELKEMRKKNDKLTSIINQMQKQGRGVAGGMAGMVAGSQEG EYVEGETESDYEYEDEEGEDGDGEEDDEDHGSEVDYNEDTEEEVQLQGPKPFGPVPPP TLATNGVAANGVKH LY89DRAFT_116104 MAPLLEDPRIRQTWNQISQNAESATENAAAGIWTFQHTYINPCL SSIASNFEQCTGHCCGDREERARRLRDRGRTRGRAELSFDFYDDWDEDESHGNRGVLG GWGNDELDRLLAGSGSHSGPSDQAPRRKRGMSYGTRPRRKSLDPDPTVIPSTSALGFL GRLPFKLGGTLRYKPSAADLQDHPGARGTEIREEEGEPLMSDHSDDERPGTKGHTRNR SSTASSGETSDSFRSRGDLFPSDGEDDAVPLDDEFAMVLERRMTNTVPDDRSSGKTKS SKGKRPSAPRTLSRTLSRTTQSSQTRPGLGQRASSSSLPHTPDITSPSVVEVPSLTDL QQEEERVRLEEEQEVERKRQAAVKLAMERGLHAGEGSETTITESKVDPPTVASIEAEA PGPDESTDIVVDTPQSVDVPVTHSSAPVTTNSDKESRPPMETEFVPARLPHFS LY89DRAFT_619523 MAAQCPITTPLTKLLNIKHPILLAGMARTSGGPLAAAVSNAGGL GCIGGLGYTPTQLREIIHELKANLSSPSLSFGVDLALPKVGEGARKTNHDYTHGQLDD LITVTIEEGAKLFISAVGIPPPHVVKRLHDAGILVMNMVGAPKHAKKALDAGVDIVCA QGGEGGGHTGDVPNSILIPAVVDVAKQYKPKLLGGQTALVVAAGGIYNGRSLASSLMQ GATGVWVGTRFVASTEAGCSQAHKESVVTANFEDTLRTLVVSGRPLRVRRNEWVDKWE AEPEKIKELTEKGVVPIEFDMEAGNDVEIPFLMGVVAGVIKDIQPAKQIVDEMVSEAV EMLKQGQTFLGGRSKL LY89DRAFT_783667 MKLKTLFLAGLSCSPGASILPPCEYWQVEPPLNYSDVLYAGWNQ IPVEKEVLIYNGSLIGRTYAHHPQLLAVGETVYLVHSSAVIDEDSMGMELWGAMSHDG GYTWTPSHSLLPPALLPNQTNVANFSYWCNEAIWQRAIGGLAVLEVDSEIWGVGETTD FFCWGDIGSGTRGAGRIARQLSSVDGMPIGDPCWLNQNNWTYIELYNETVYGTKYGMK FCDKAADMNAQLVEPTKVPAWSAWLYNDEFYAANNWSSLQEVTHAVWIEQSDGPGYWQ RFWRDISPTNNSMRVWWEITFDKEGKSWYPVIEEEYGNKVGQFFNVPDETNI LY89DRAFT_116253 MSRGSDLSYKHVGVLRTNASDKIVPDTRDYKNQGFSYPVAVQVG NTLVTAYSENKENIWRYLSYHLTIALHSHFNIQAEGIRRLRYVHFLS LY89DRAFT_648885 MDTSRLFQPMKLGKVEVAHRIGLAPMSRFRADDDHIPTPLMKEY FSQRTAVPGTLLFTDVNLVSPAAGAVPNAPGIWNKEQIAAWKEIIDEVHRKGCFIFGQ IGAFGRLGNPESLEKAGHKLTAPSPIAREGALVPQSMTIEEIKQMVQDFVKAAQNAIE AGFDGVEFDGDNGLLIDQFTQDISNQRNDEYGGSIENRSRFTYEIAKAVADAIGPERV GHRISPWSRFNSMRMKDPIPQFTDVINKLSSIGIAYIHLIEPRIWGDVLVDTSIESLD FAFEAWKGPILIAGGYTPELARKVVEDHGDRDVLVTFGRPFIANPDLIYRVKKGLEFN HYDRPTFYTAQEPRGYIDYPFSSEYSASIESS LY89DRAFT_648891 MDSANLSQAPNTDNSFRFITTQNPESARDPAVRRQVRSHAVKHA LQDKRKLERELSANFRPASISAARISVVEKGTQTSQSLGKLYSPLASAYTSPRLEVLI SHHAAKEAAEPVFSVANDVAFQTFPLVFRTGRDDPALLNAILLTFALAVTGGIMNQEC LGYQNMAMSTIRERISSPDRPVSVATLGAILLLAGVEARLGMPLQVQLHMTAISQLLD LCRASDVYLTDGIKRAIFWQDLNTSVITGSKRVVDHTTFPELQWRRDPFSLNYFILPP GFQDQTHLFTEEFVEVLKDIHALQCIRDSSDFIAEDTLMMVNLDNHHASIESRLAGLP NSSLFSECVHFAAYLCACMLCCKVYRHSVIPSQISAKLLQKLEQVHGDSIWDHWDGQT SCLLWLIFIGGSFAPVGTKSGYIELLRFKYATRFANRYQSWPAVHEVLKQFIWSEKAF SSQVKTFWEDASFVPNANRNFD LY89DRAFT_648894 MSALNQSAQVLKSLHQRPHKPLVLANVYDILTARAVAELPSSET LATASYSVAQAAGTTDNDLTLDENLTAVKGIAAVAKEFNKPLTVDFQDAYGSKLEEGI NKLLDLGVAGINLEDYDNTTQQLMDAQTAVDRIRRTLDVAKERNVPDFVVNARCDVLV LGGKMEEVVKRGKSYLDAGATTVFVWGGKRDVSKAEVEHMVKEFGGRLNVSLKRSADG LTIKELADLGVARISMGPALQILAMKAFQDEAAKLFSQL LY89DRAFT_588051 MGYSYQPLDEATQEIRLLNVLPGPDHEEIRCSLVHAPCLHQQLP KYVSLSYCWGSTDNLKSILVGNHNVSVTDNLWAALHEFRRQGYDLIWVDALCINQEDN CEKGRQILRMGDIYKQSQKTIAWLGSD LY89DRAFT_783674 MLCLRCSHGFNAALKPSTFSQPAVRSFFKAFSRRTFTSFRGPSR PTVFPSSFTFPASLSASPLPISAETLDLLPKISTHPALGATQIRCGPRNTFSPSHFVR KRRHGFLSRIRTRKGRMTLARRRAKKRSTLSH LY89DRAFT_686272 MSAFPPHRLGASVPINQEIALKFLSKYLEAAKTSPHLLPNAKLD PSGPTAGSSSSSVTIHNLQRVEAGLRGEWLAPTLDLEESVPVAEGMDDGVNQGQGAQE EEEGWMDLDDYQREQSIDGGDIEDGPHVVVRNGEDSDLEVDRAVVNEVEGEDEEDAPV VKTPKKKKNKKTNGVAKPEKPLDKAARNKAKKQRLKEEQKLRAQSKQKAAT LY89DRAFT_686273 MDILQRLAQFLDRPLFPWKKLIVGFSVAQYLFEGFLSLRQYQVL KQTRPPKVLQSEVSQEVFDKSQAYGRAKAKYSLFSGLYGQIQNTAFIYYDILPKLWAL TGSWLLRYAPERFSGEISHSIVFILTFIVIQQLMSLPTSIYHTFVLEEKFGFNKQTPK LFVMDMLKSQMLAFILAPPILAGFLAIVQKTGNKFFYYLWLFGAGLQVFMITIYPITI LPLFNKLSPLQPGDLKDGVESLAKRLKFPLHELYVIDGSKRSAHSNAYFFGMPWKKHI VIYDTLIEKSETQEVVAVLAHELGHWSLDHTTRLFGISQAHFFYIFALFSVFINNRSL YESFGFHKEFPIIIGFILFSDALAPMDTVIKLLMNILSRRYEFQADEFALNLGYKTEL ARSLIKLQIQNLSTMEADWMYASYHFSHPILSERLGALGWYGDSKPVSDGKDEKVAQA SGREL LY89DRAFT_619546 MNLRYMVPITRTPLRLSPERQWRSDWVCKSCRSKAPRTQFSTSQ RLREKPYYITTPIFYVNAAPHVGHFYTMVLTDIVKRWQVLKGKKAFLCTGTDEHGMKI QQAAAKASTPPKEFCDLTAETFKSLAKRADLSNDYFVRTTDKDHKEAVEFFWQMLKER EYIYESKHQGWYCVSDETFYPESAIEKRLDPYTGRTFMASSETGKEVEWTSEKNYHFR LSAFKDELLEFYKKNPDFVVPAARMSDVIKWVSEGLEDLSISRPVERLSWGIRVPDDD SQTIYVWLDALINYITKAGYPWAPGKEQDLGWPADVQVIGKDIVRFHCIYWPAFLLAL GIQPPKQVLTHAHWTLNHQKMAKSTGNVVNPFFAMDRFGVDVMRYYLAHDGGILNDAD YGNHFIVQRYNKGLQGGLGNLVSRITRPKIWSVSKAVSAAHEGTLGEPDEVIQAQIKM LEELPAKVDSKMAELNAGAALQTIMEAVYETNRFLSVIAPWLLKKQANAEADQLVKEE LERRINTTVYHCAEAIRMIGILLQPYMPTKSAQLLDMLGVKSNKRTFNDARLGADPDY GLAQVPLGKCAQDGLFPPLAVET LY89DRAFT_588247 AAQDFIDAYYPALNNPKARSSIADFYVKPIPGSPLKADIVLNGT AYSDPKEVQKLFETDVAAAHYEVQAFDFQTVNSNFNVGASEAALAPDMDGKKISVVVM VSGIIRYGEAGDVRGFTDNVVLVPNWDSHSPKAPKGLKRWLVQAQTFRLVF LY89DRAFT_116451 MSQKTKRSSRSTKPDCKVWIQVGNELLPEYLSKAIDGVEGSESY VRVALDDILTIHCQAKPGIVDEFVLIVDGIVRACDTRRGTTLVLEKAMHRPKRKGSAV GASFRSDMVVQMRNEKETRFAEGTQEVSKVGSIIVEGWRKLSDTEAAEMKDDEVLKFP AYNDHNEWYDLNRFVNPASGHLPPFEVGFMHAKTGSVANSGPRLKVDAAITRKTSEWK LVYKFVYYLRSATELHKLGQTDVPIRNPSSDLFAQALAEDPTEEPVEDPAVESAEEAT DDEDQEADPESEDDSKNPEEEESPKGKTVEKAKTAEKEKTAEKETASENVKDKKVEKP KMTIAEKPKEKPKAKAIEKPEDKLDEESDAQTSIKKKSRVSKPAIVPQQTVSGLLTHL AAASQASVDTEFVTSPHATLESSTRLVPIIHSKEVAENSTEPVTNFQAEESKELLEKN GEAATTNVEGERETFQLANITPRKISLPGLQSAPASKTARDRSLVESDDALSTDRDAD QPLPTTEAPDEPLMSQQHEEQPAKSEEVSASLLMTPPPSTRKSQSIFGAPAPERLDRR VSLPNLSSGRGMHRLLSEPTNFNMAHVQLGRPARVSFDEGLRSRDVSMRSAAARRLSI VSERAQSEISNDPTEIADPDEVEAGVKCSQKLDMSTSTKTSVEPDAKHNRFSRFSSMS PEPRNHTIASPILGSVSFFAAQSEDEEADIPAMQLQRSQDRELSPRESPPTQIRQEIA DSFKETQAESATPVRRVSDKRSLEVARAELLAGPKAIGNPFIAYPNPDGHPSLPPQPE SLIGKRKADETTGSGIVAELGRPRKSSISPANRSIGDALRRQEEESERLEKELKDLRV YNATLENIRELREHSRGVKESIDAEKARQAALEAELGQ LY89DRAFT_648908 MPTPLDRALNSKNTFLAFTGIVTAVAAWSIWGQDMFPKEADPSG DPESWTHEELRRWLAARDLHPNPKDTKEELLERVKANLRAPRT LY89DRAFT_588537 MPREISDIKNFIEICRRKDASSARIKRNKKSSQIKFKVRCQRHL YTLVLKDSEKADKLKQSLPPSLVIADTPKKNAKGKRIAQ LY89DRAFT_588084 MPVTEFAILPLTHPLTKENPTLPSSVIEKLKTAKEVLETASKNS FHYFQQIEDPSIIYIIGKWDSPAAHGTFLPSPENQRLLGLLKDDIASGVDPDKKMSMW HLDVDAFEVGKLEKWVFEAPVISCNRHFVPKQRREGFEKQFNQVKYLLEEYTKPYKVI GGWRVEKESEEKEEWVLFSGFESVEHHMGFAKTEGFAEYREIVGFVEGFEVRHLKAIE GL LY89DRAFT_588030 MAHTEINGNGKRKTRKGLGLDRKQSSPMMPTFMVSAPGKVIVFG EHAVVHGKAAIAASISLRSYLLVTALSKSRRTISLRFPDINLSHTWNIDDLPWGAFST PGKKKYYYDLVTSLDPELVAAMEPHLEDVSPDLPDDIRKIHQNSARCFLYLLLSLGSP SFPGSLYTLRSTIPIGGGLGSSASIAVCLAGALLLQIRTLSGPHPDQPAEESRLQIER INRWAFVGEMCIHGNPSGVDNTVASQGKGVIFQRTDYAKPPKVQPLWNFPELPLLLVN TKQSRSTAAEVAKVGALKKKHPMITESILNAIDKVSEGATQLMGSDRFDPEDPECIKD LGELMTINHGLLVSLGVSHPRLERIRELVDHEGIGWTKLTGAGGGGCAITLLKPDVPQ DQMKRLERRLDEEGYGKFETTLGCDGIGVLWPAVLKNGIEDDLGGEEIDQDKFLNAEG IEGVEELVGVHGDNGDREAWKFWRVED LY89DRAFT_588064 MGKYEGDPVWDDVVPIPQDDGEGALAAIAYTDEYAEAMGYLRAV MAAKEYSPRVLDLTEHIISMNAAHYTVWLYRASTLLALNSSIPVELEWVNNVALDNQK NYQIWHHRQILIDHLYDSMSSDPAALKSLAESEVAFMTEMFNEDSKNYHVWSYRQWVV RKLDLFDKGEIECIETLLRQDVRNNSAWSHRFFVVFSNPKYCTPGSKATEYDPKIPGE ILDREIEFAKAATFEAPQNQSPWNYLRGVLKKGGRKLSSLECFAGEFVKIPEVGEEDI KSSHALDFLADVWAQKGENEKADKALTLLGDKYDRIRKNYWDWRRTSLK LY89DRAFT_116345 MVLSFTAFSDHICLPIHIVVLTQVLIVLYHRLGESATHIDAHHL LVSPRTLQIVLSYYLNIPNMLKELPPSPLALLSPSHPEHRAARKEIEKHNPHFNTKDL AMKAGILALLAGIACYPRIKAEAELLGEKGKDKLKEEGRKGRKKWDEFKDDVEDELHG HRRSESVETRPSLEPRMRSRSEGWQRRGDKSREWDWDDRRHRYKDRRDRHTRY LY89DRAFT_562181 SSADYWKPVAGVTWQIQISGTVDTSVAADVFDVDLFDTPASTIS TLHTTGKKVICYFSAGSYEDWRPDQSSFQSSDKGSAMQGWQGEWWLNINSANVRSIMT KRIELAASKGCDGIDPDNVDGYANDNGIGITESNAVDFLNFLANESHSHEMAIGLKNA LGLVDAVLPVMQWAVNEQCEEYNECGLSKPFIDAGKPVLHIEYPDSAPNVSPEVKKAS CDVSAASGFSTVLKTTDLSAWVDPC LY89DRAFT_698282 MYANKVKHLKKDDGEPLWRRDIQYDFLKAVFDDDKKVFTNSYEA DKPYQSFADLYIDTMARSSKTSKILRDKLLTEHEPAKNMAMVCLLVNLGRMNTTLNFF PEMRAQLRTYHAIPSLQAHQDPNSYKQLQDAPRLKSILKGASEDRPEPSTLEKIKGIE VPRTNPVNLIFVLAQYAPKATELHFPRGNDFYDLIMGENLSSASRARAFLWLMWFYLE SDFTEEGADENPFGAGIDYNTDVRNQGVPRFDILSEEEQAAENVDTQEEQDYGYAKMR ERKRIIEADQIAFQAEHGPPKRGPKPKLHLPPDDGGPTHAALLGRIRPKYDEGGIPAT TLNRIRPKYESDLESNRSTPPPRALGGMRIHAVLNTGPKGRGVLKQVVEGSSPVGPVG EHIIRRSRPLTAHQLAVERNRNQRVDYILSRGLRKKHHQAKKQRKQDGAFWRAYQRTK EVSNPFLDSEDEDSMHRDPGLFREPGFGGLVQLETEDDDFGEEISAYAAAFRRMSRRL DRWDLQKDLKLGVMGTNRVAQTKSDMANGHEVSKDADETEDEQAPPREQNRSTNGAAQ REDEEDLDDMEKEILGLGSDEEGDADEDLDDVDKALLGLQGDETEDDNSDGMDVD LY89DRAFT_554258 MSSSHRSSHHSSSKGKHSSSSSSSRSKPKKDDWSDITDPEERRR VQNRIAQRKFRDKAKEAKERQERDANDRAHAGHSYHTPDPSEMEPDEELSGLPWGGMS MKHVVSRGKAREEQSRRSSRTHEGSYDAQTPVYDDRDACYEGDATYYEDTDPAYYDYG SGSHGG LY89DRAFT_648933 MIVKSTHIRPIPSFLLPFTQSTRTQARCLHQNVKAKPIPRPTPF VPDTQTFLSLIGRNLSQHASKIPSWKALFSLTSTQLKELGVDPPRSRRYLLRWREKFR NKQFGVGGDFKFVKDGVAELRVAEIPVSGHQAATATSSSGKRKIVVNVAAGGSATQLV KSVPVKGVHIQGAHTIVGPHVQPVKGGKGARIVIKEGLWEDRRGHKIDGGERRQAEVR AKRRGEEKRAGR LY89DRAFT_686279 MGRKVIDRRTGAVGRRRNAAASMASSNISTPDHRDAISDSETGT PSTPSPSRDVSDALIDFICQNRPSQPPRKRQKTTAKSSVSRDKEPELEHIIVKQPSWE LKLSGIRLTELKTPITRTNIPVYVHWTGSRGVAEYIEIEDETKAIVFHAPLPPKEDLE DVHLALLVHRDSKKWAKTQGRIWTEFDVTLDHEKEQYFLRIGFTIRWNTTSSPYNIQQ ASARIPSLSSVLEKYFPDPAVTKGEQWSPQDFYQSVHMPEKNDDASITMKVEGLETEL YPFQKRAVQWLLRREGVEWSRDGRVRQYVPTDHEELPVSFIKTQDGLGQPCYASHLYS CVTSNLKPFIDFERQLKGGLLAEEMGLGKTVEVIALISLHKRPKEQSEVYDVYSGQNV RPAKATLIIAPPSILQQWISEINRHAPHLKVFHYKGIKTYSKQEKLLEDLLLADVVIT TYSILAAEIYHTPLNPEKTLRKESRYPRPKSPLMTLSWWRCCIDEAQMIESGVSNAAV VARMIPRVNGWAVSGTPVRKDVNDLRGVCVFLRYEPFASIKHVWSKLISDHKSDFGKV FGNLALRHSKQSVREELKLPAQRRYVITLPFSPIEEQHYQELFSQMCEEVGLDAQGNP VTEDWEPEAASHFMRRWLVRLRQTALHPEIGGRNRRALGQKDGPLRTVEEVLNVMIET TDVAIRTDQRALLMSKLKRGQLFENSPRVKEALVIWEEAVKEASQLVEECRENLRLES ANVTEEGKQVSKKGKEVDDGMDDDHKNDPSSRLTVSRIRLRSALEVLHVAVFFRANAI FQIKEMTVDTESEEFKALEKQEKEEYEAAKEIRREILQEIFQQANTLMGNVAEKAATQ SFVQIPELPSSPPRGGLESRRIMEQFHEWAIAMDAQANTLDEWREKTIEYLLRPLVDE DDGIDTTGDEYEDSTKLQDEVEVYLQVLRAAVADRHDALTGLTNMLVDYDMKQALRIA TGTFVTADSEEDVRGPAPEKMIELLSIRRQIKPTSKMGSLRGVVAELRALATSLRPDA ENGSTRAQNELTIVEEQFKNAQKHLNEQMKVTTALEKEVEMFTEVMNTRLQYYRSLQA VSDSVAPYEGPNNDSVYTRMLSDEEKLQKKLAVAKSKQRYLEHLKAEASNPSEQRICV ICRESFELGALTVCGHQYCKECIRLWWNAHHNCPICKKKLSLSDLHEISYKPQELSVE TEDVHEHSSPTSKSRKSAIYSEFSKAKLAEIKNIELDGTGSFGTKIDTLARHIIWLRE TDPGAKSIIFSQFSDYLEVVACAFQKYRIGFSSIDRPKGIERFQKDPSIECFLLHARA HSSGLNLVNASHVFIAEPILNTALELQAIARVDRIGQHQETNVWLYLVDGTVEENVHQ RSIQRRLQHIGQRLSKAKGKAKEVVPAELLDANLEEANSLELQEASLTGLLTKGEGGK GGEMVAKEDLWDCLFGGVAQRTANRALDGSSLRLDVEVGRHLRAEAAEERMAVQGLEA LY89DRAFT_783691 MEKKSGEKRKALLLEADPTMYPHQCDIRFTEEFVNTPEQRRSLQ SGVWDQNDPDLTQGRARRPYRNICLLPYLNIESLKNDPARLLNIIYNRVKYSPKQWWG FDNYLLDKQWKIGSLSTPYNRSGLVTYGSRYGDLVPWQERSAHAHDIIGFPRGILVLE AQMKLSETLKAIVEKLVEGVEAANDTGTFSQALETGLKKVSDQSSCVEFA LY89DRAFT_750954 MVNCVESFALCKKFDIPSYPKILFFQGENCKIYAGALEEKGIVA YLKRQTFPIVSTISNQSELKDFQEVSDVAIVGHFLPGSEAFQSAFEDLATSFRDDYIF GKTEGDGFEHESGSATFITVYKKFDDPELVCNLTLNLEANKAILKSACRQLVAEFRPE LKDDFCLLGLPLGYLFVDKKDDNIHLIEEVRTLAKKHRNKLQFGTADSSIFDEFADTL HLAKRWPSFAIWDPRTNGKFPLNEKNVPLHVALEPFVDNFVSVKLKPTVISAPIPDTQ TSPVLEVVAYNYDDLVLNNEKDVFVEFYTPWCGPCKALLPAYEKLARMYADDEGVRNL ITIAKIDTEANDVPEKDIRHFPTFKLFLAGEKDKPVTYSGNYTVEQWSKFIRENSMRI AD LY89DRAFT_558015 CYCGNSDQEAIAMGCKYDHIAVDWLPNNCIDAALTAEFVTAGPG PGGAWDYFSDYAGLQRMNESEVEIYARNGKDYFVTRQWHIAHCSFVWRKQWRSKFTST LIEPWNDKEEHIEHCNEYFM LY89DRAFT_783692 MRISITIVFALLLSLTVALPQLSEIKTGARIAQRSTSSASDNPS TSTTTSLLYHTRPQVKQPFDDTTPVVTVRFTRTVTDTIPSEYTVHVTKTFTTTIWETA DSVSTTTIQIQYGSSPSWGGVIFGNSSSTRIQYEGSSSSLASLLSEGSNTTQQDVISS PETVTSTSSCPSITSSDSTLRSTTNEVIMSTQSPAVVMSSSTSSSISTSSIPETAKTS PSIASHVQNNMGQTLSVNVGYPPVVTPFLPPA LY89DRAFT_588069 MIDSKPVLPAEIISTILDYLPIPDLMSFARTSKRMLEMVYEDSR WVQRLQVMGVWNEGEARKRFEDAMKRKREIMRMRAEEDAKRTGIGVNGNAGLRKTSTT LFDAGVEEERQRKSVEMAKAVKPPVDGFETMTLSSTATPISRAPMLDPEALLNVFSVV KSIRGSARQEYGKIYAALAPFYFDLARSRSHMDPVIFRVYRDPEQQAQMLAHLQLFSK GDWAQGWRQREEKLVTMVGIFENAVLREFEQGYEAWDIDGRMRRYAHVLAVLNGGHAG IELFVQKHPIFSDRETLGNSMDCINQASAEGIALEPSREFLDGLSKKINEQADVIDRV FPAGEDVYQIFLDKIGEDILMEYVTPLFDEAHERSIASYLKAVSGIFDQTMRFGLTLK STKNSPPNFLERIKTVVARVFEPHVDLYLQEELDFFKKQADAEVGIWESKLSAQDATA ESFYMANFNRQADKKDFLTSFKKVVMMPVNVLPTLPISSPFGLSPFGSSKPAPTPALQ APNRASLQAPSPSLSPGPSRVATPGLGMAGDRSSTPIPLPAEAPADELAAKAALMASR LEGIKSLFSIEVALDLTHSAKASIERAASFVRLGGQTGEEAREQCEAIFVVLLQILGN RHVKVGFDKAVDHLSQYNPREVSEHHQGVAPLVTFLELVNVGDLISQMIDVFYEQQLA ATKLADRNDFLDPAVKAKKKFEQMLDERVAAGLNKGIDVLMDEVEYLCATTQLPTDYN PTPPPPGKPQDFDVGPTKSAEQVVNLVESHTKMLTGSTDKNMLDVFNQEVGLRLFTAL CKHLKRQRISVDGSMKLISDMNLYFIYIRTLRNSDLLEYFKALRELSQIYLIDPKHAK DLAEVIADTQRFGGIFRAEEVYEFAERRADWYLIKRDVERQMYGIGCGIM LY89DRAFT_783694 MAEPRDNLRQRQTGEAKEKLFDNILNFRDVGKTINDFLGEKRVA EGKIFRSARPDDATMADRQRLWEEYGIKTIMDLRTVTEHTNAAKKRTGDLTIPALVQS NSALAEPIKIPGMNYLEININGKGFERSLLWQLKWWSFLKLITLMLFGYRMQAISILG REVMQLRGLIGLGYDSLDHCGPEIAEALRAFSRSGNLPMLAHCTQGKDRTGLIIALIL FLLRVPSDAITNDYVLSESELLPERAERMKEISSIGLTEDFAGCPGDWVEKMEGHLKE KYGGVEGYCKRIGMEEEEWETLRGVLGY LY89DRAFT_686282 MAQTTAAVPTGPIEDAGRHTTSSHLLKDQAASAALYVTKPESQI KNGYEFLDSDNKLSSAGAAASLKYARADQLPSYPSAGLKKDDSAAGAAASLGWANQKS FEHWKPDPSKAASSAAFLAKDYKMAPLWQPEQSAHGAKAALLAHRDGGKVEIWQPEAS KWGNSAATQAMTKERAGGLAVNLDYGHTELGRQGSLLAATGAMSSSRKRAESTPVIKA ATYPDEANAASNALNAATSAHRSQSRRSKVDPEIGATPFTNMSRDMYTSHPPVAPEVE EQNRQDTLRASAIAMAKQMYNVQQKQIDAATSAQIRGAQAAHQRRPSSVSSADEVPPM RFNNLQEAAQKLAQERLSKLHDEHMTNREYRDYYGSTPKPQSRLSIRGRTRYRSSSLG NSDADLEQSNKIRAQMSIFSSNISQVDAKKRQQDRESLIAAAQRNVTKSLHNMDERVF QDTGKIAPSLLSEWEVKAHAAAQAKSDTRMENHGKIHIGGGQFINQSAVDLVAARNVQ PVLDEINEKAEAERERQAALKLEQETQKRKAAEKKMREKEEKDINKKLAQQDKEEKKL AKQEEKAAAKEKRNSTKAEEAGVEAPAAAVVAEEPATTEEPITEPSVEPTVVEPEPET TTEEPTTTEPTIAERREASTPVPIRTSMEDQASVRMRESANEANKDDGAVTPMSPTTK EGGKVKSWLKTKFSRRMSKGSRPVKEEKNVKEKEPASSFVGGAALTGASANNSTASLT AKSSSIKDVANASASAPVVDEGEEYRGRTERRDSEVSALSEEPTGGREDEEFQEARDN FDEDLAPPPTFPAEKSSSPVRSTKFTEDI LY89DRAFT_686283 MWGVSYWVFPVISGLCWLGMLMGLLIHWCATGKPHYPWMDQDQT IAYISDVGAGNLKPLFIAGSAVTTIFLDLSFLSERWLRHRGRLAKNTTRTEKVLVVLS LVFAVIGTCGLILLSIFDTAQYPRVHDVMLLLFIAGYVISAIFICWEYQRLGIHFRQH RILRASFWIKLFFILVEVCLAIAFAATNFRHAYNAAAVLEWAISFIFTFYVFSFFIDL LPAVHTKHSQRKFGSGMGETEMQVEQNDDLSAERGAAYGGGRNTVDSQRTLAENNVRS VNGVKYEGQAPVSSNF LY89DRAFT_588514 MANSPLVVPGSAEKPTQDKSKLSATANSSKGATPEVQTSNGTSS GRDLAEQMNEEEKSKYVKGKKLGEGTYANVYLGHLRDDPSKFVAIKKIKIQKEYTEGM APDAIRELKHLQELSHPNIISLLSVFSSKDQNLNLVLEFLPLGDLEMLIKDVSGIRYG VADIKAWMGMLSRAIWFCHANFVLHRDIKPNNLLISSTGEVKLADFGLARSFSDPYRQ MTSNVITRWYRPPELLFGTKHYSGAVDIWSVGLVFAELILRTPYIAGDSEVHQINLIC QAIGTPTEENWPGVSQLPEYTVSDPPVPVRQKDHYLATFGTAGHEGVDLLMKMLILDP RKRITAEEALRHGWWAAEPKPTKTKDLPRKAGGGDKMGEDLKRRPGVLDGEEGREKKV ARKLDFSGMK LY89DRAFT_720093 MTDPNQDNDIEPRAADPTAAVQNPNHAEPLDEDGEKKDYIEITT HRPRSSIDDSDPEYTPDEKHPHIDRTKSYATNTSAPESHVEDTPKKKPWYKNINPLRW GGIPPVPEKRIVSREYTAPFLSLVYFQWIAPLMAVGYKRPLEQNDIYLVNPNRSADVL TKKLQASFKKRVARGDKYPLLWAIHETFKVEFWIGGTCQFFSNILQVVSPFTLRYLIQ FATNAYIAQQKGEPAPHIGTGLGLVFGITFMQMCQSLGTNHFIYRGMLVGGQTRGVLI SVIFEKAMKISGRAKAGGREIKDAPENEEQSVDQTENGKKSKKTKKAPGVKKGPDAGK GISGDGTGWANGRVVNLMSVDTYRIDQASGLFHMIWTAPIACIITLILLLVNLSYSAL AGFSLLVVGLPVLTKAVKILFRRRRKINKVTDQRVSLTQEILQSVRFVKFFGWESAFL ERLQDIRNREVRAIQFLLAIRNAINAVSMSLPIFASMLAFITYRLTSHNLAPAHVFSS LALFNSLRMPLNLLPLVIGQVTDAWSSVYRIQEYLMSEEQEDEAKFDADAEYAVEIHN GDFTWERTATQDPDEHIAGGKKVPGLDRKAQKDAVKAEKKAAKATDNHSGDATPDDTS TLVEDREPFKLQNMNFTIGRNELVAVIGGVGSGKSSLLAALAGDMRRTRGEMTMGASR AFCPQYAWIQNASVKENILFGKEMDKPWYKQVIDACALQPDLEMLPQGDLTEIGERGI TVSGGQKQRLNIARAIYFDADLILMDDPLSAVDAHVGRHIFDNAILGLLKNKSRILAT HQLWVLNRCDRIIWMEDGKIQAIDTFDNLMRDHAGFQLLMETTAVEEKHEEEAHVNED EVEDEKKTQKKKRKSGQLMQAEERAVKSVPWSVYTDYVRASGSLFNAPLVLLFLLASQ GANIATSLWLSFWTANRFGYSDGTYIGVYAALGAAQAFLLFFFAFSLTIMGTNASKTM LHRAIYRALRAPMSFFDTTPLGRITNRFSRDVDVMDNNLSDAMRMYLLTLAMILSVFG LIIAYFHYFAIALGPLFLLFLFSASYYRASAREMKRFESVLRSNVFAKFSEGLSGTAC IRAYGLKDRFIVNIREAIDEMNSAYYLTFANQRWLSTRLDIIGNLLVFTTGLLVVTSR FNVSPSIGGLVLSYILSIVQMIQFTVRQLAEVENGMNATERLHYYGTQLEEEAPLHTV DIRKSWPESGEIIFNDVQMRYREGLPLVLSGLSMHVQGGERIGIVGRTGAGKSSIMSA LFRLVELSGGQITIDGMDISTIGLHDLRSRLAIIPQDPTLFKGTIRSNLDPFSEHTDL ELWSALRQSDLITAEASLDDKSPGRIHLDGIVEDEGLNFSLGQRQLMALARALVRGSQ IIVCDEATSSVDMETDEKIQRTIATGFKGKTLLCIAHRLKTIINYDRICVMDQGRIAE LDTPKALYEKGGIFRGMCDRGGIRREDFSGYEKEIEI LY89DRAFT_735672 MANATPTIEFQFVNSTITSPTVPQDAEVRALIRKQAMKKASLAR KRDGNYGKHNLRQYPVFIYDQSNTGTEVAEVWDNGKELGIRARRKDGGKNSKSNKDTR ANPFKADKKYAERQQWLRKVIKGETIPQCLSPDGYELRSMQTDFDILDLSTLATLHIG RAVRGALSQNPYMLINQLRTHKRWSYLSFLPTRYEHIKCLRDATDCVIARARQIVTPN QNWEAAVIAFYLRALDSLQKALDSPTERYQPEVLCATEILALYEMLDPNGEMAWVRHS AGAAKLIHLRGPKNYDTEFEKALFMAQTVPIMTECLLKGERCFLEQKPWQEVMRSVIE EDAIISDRSEAVVTLLMNKCQIPGCGVDVTGLICTDTPPEPEEVERITLKIRQLRKNF LNWYKKYETILAKCPDMYPGSANHDSHCKVFANYLSCLMITSRFLVAISPSDRLEVEE YTQWLANEMVELENQVKLSPTSLFMAQTMAVAQSVMATKEDWLGDGEKVMSDEKGLIE RWKLERWCGMFGRRMP LY89DRAFT_686287 MVTQLTINELLERNKAVAAKHEPIPTIDEIAAMGVEPPHIIVLT CADPRCVPHYFLNLKPTDGVLTLRNINGHVAPLLNDILALDTYLGIHEIMIVHHSDCG ALIFTDEMVKANLKKQHPNDTKIDNEVFGAVTDIEQSIKDDLAVLKASPYIRKELAEH SFGFLYDIKTGELKRVEG LY89DRAFT_117023 MSTALGRHETIIVSAHDCRLLFPSGNDQSDLDVVPSEVACSAGD LGTSRKVEK LY89DRAFT_588413 MKFRGFGQRDVLLEEAAPQTEPAGESATEKNLNDDIGEARSSDE NILEKIPTPDVQTGVKKVEAVTLTWTKNELILAYAFIFLVFFVVSMQQQTQFNLIVYV TSSFSLLPLTSTTSIVSSIVGGVMRLPTAKFVDLIGRAEGFGIMTGFAVIGLIMMAAC RNVETYAAAQVFYWVGFDGMAYTLDVFMADTSSLKNRALVFAFSTTPYIVTTFIGPRA AQSFLETSGWPWGYGTYAIVTPVIAMPIMSLLWLNQCKATKRGLLVKEKSGRTLGQSI NYYFWEFDIIGLILICAGFVLILLPFSLASYQAKGYKAPMIIAMFVVGGIALIAFICY EKFLAPKSFIPFSLLMDRSVIGACMLSNFLFISFYLWDSYFNAYLQVVHSLSVTNAGY VYNIYSIGSCFWAVILAGLIRLIGRFKYLALAHLCLQILGVGLMIHFRKPDIGIGYLI MCQIFIAFGGGGLVICEDMAVMAAAPHAGVASMLALIALFSSVGGGIGSAIAGAIYTH KFPEALNAALPGNATLNAELYASITTQLLYPIGSPERTAVIYAYGQSMWYLTIAATVF LVPCFGFILIWKDFKVKELRQVKGRVA LY89DRAFT_117102 MLRNQSSSSTVPIMAANTASVRVPSDNNTSISSPPASLKPSVER LSAIDRDAVRKELGAPLDYNETPPENSKDAKKLPPHGLYKLALGMENRASMRYYFIMV LYNFCVILQLILGATLTALAAVGSATTDKTGVSITVIAAANTVNAGVIALLHYLRRNC HVGCTKNKCLRHLDQQNSGFPQRLRSDMNEYSKVVQYIEKLFETGNVPVGMTKDEAVN SAWDKYDEARATVEKNQPTYYVGQTPATAAPAAKASPGDLV LY89DRAFT_735676 MISFTSRTILTKRSGWRVVVQPRFNSTQAGQKPVSPHVGFYKTF TRPVAKVLLMATFTYQLAYWGWVKLEKDEIKREKSAELEGLEKQLGDLTKAKQLKP LY89DRAFT_117044 MVILPSFPTPITRADHLPQAQTPEQRKRNAKFAKEQSLKRGKPA SEIKKKQDFKSPISLGWLSTYIFRTSICRLLLTTNCSPIRLCGVWRFDLRVAESILLP VIWTTR LY89DRAFT_686293 MDDSNANYIPLGIGNSRGFGTPQPRVTSLSGRPPKVIGETKEER KRIAREIRLAHKKAHKKEKADAKRARKLNGILHRRMTKNPEKYTKNKDRNRQRAIDLE RQRIQYGAVHQCERLAAKHDPTGKMFNVGEVIVTPEGKVKSKEAIKREAEREAQQKAE KEAEALQPRNKRISKNQLKRQEMLKPKPVPPKPVIPEGITLPEGEENLVELFDITDQE IETRLKRQKSAKKQAAKDLRKIQKEKKKLNRAMKLRKKQCAYAGVTWDPERAKREIIG EMTKDAENESGSDSDSDSSDDKSDAEGETNGKATKEPKPEASSSDNSEPVQVPGEKKK KKLPEIQRPKLNLELIAKAEELERQRQQKKLLARQRRREERKRLAEAEERKKAEEARA AEEAQKAIVDESTEKKSKKKRKRSKDEDEDDEPEESSKKEKSHKKKKSKTGEVEANSE DVEVADDEPVKKKKKKSKTVDTEPADDNEDGPEKKKKKKRDRTETEVELTEAELEKQE RKRKRKLEKLQESNDDKLDRQIAEREAKMKAEVEQNGDDTPNGAEHWNPDALSGDSAR KDKFLRLLGAGKNGAEKSTDQSSSKKVKKSKDKNSVEEITKVQSELERQYEAGMKQKH DGGSKRRGLGA LY89DRAFT_619608 MFEKSLYDLIRGLRNHKGNEKEYIQNSLKECRAEIRGQDMDLKA TALLKLVYLEMFGHDMSWASFHVLEVMSSAKYLQKRVGYLGAVQSFRPDTEVLMLATN LLKKDLTSTSVTTMTLPIITLPHVITPSLAMSVLSDLLPRLTHSHPAIRKKTIVTLYR LALVYPETLRPAWPKIKERLMDESEDSSVTAAIVNVVCELGWRRPSDFLPLAPRLFEL LVDSGNNWMAIKLIKLFATLTPLEPRLVRKLLPPLTSIIRTTPAMSLLYECINGIIQG GILDSGDDSVGGEEIATLCVTKLRGMIMVEGDANLKYVALLAFNKIVLTHPYLVAQQE DVIMECIDSPDISIRLRALDLVVGMVNSDNLMSIVGRLMRQLKNSRSATAEELNHRPA PIEPSADSDDESPEAAIKNAKGASDAPLLPDDYKVDVITRILEMCSGSNYGNLVDFDW YIDILTQLVRTAPIPDATSSTSNPEPGQNDLKVDVSEKIGDELRNVAVKVKAVRLQAA RAAETILVSTYNDSSSNLTSGSGILRPIAWIAGEYASYLASPEGTLTALLQLTKGATP PESLIFYLQALPKIFSMMAGDEQLAWTPERKTMISLLMARVIHALEPLAIHPDLEVQE RAVEFSELLKLAAEAATGQEPSQDDAQDAPLLLTQAIPSLFIGLELNSVAVGAQKNVP IPSNLDLNQPINANLSNLLKAVEFSGFDAVDEDEFEIYYHKPEAQSQSVAEPATNRLG AAEEPVHSYQQGGEESYLDPDIVARRRAERLERNKDDPFYIPPSDRSGGSTPLHNILQ SNNGTDMDIDAIPIMQLDLGKTAANKAGQPSTKRPSASKARQRIQVAADETLATSGTS TPRNDDSENSLEGHQRPKAKGKHSLLQVDSSHIGAFSLEGDGSSEPIDYERQQREEAE MAKAMKEVERLRLEMQRASERIEAAKGVEGTVVKKKKKKPKVVEHEGEEDGSVAVVKK KKKSKKSVIDDGSLDPEAAADVVKPKKKKKKKAKIDEGIVDPEGVVDS LY89DRAFT_588507 MTSSLLHPPAHLPPNVALQLSQQAPTLLQSTPSAISPYSLSSLW SAAESAELWMTYENLMLSCLRTGDEQSAHQCLERLTARFGADNERLMALRGLFQEAVA TDDAALKQVLEEYDTILKKDSSNIPITKRRIALLKSLKKTTEAITALNQFLDSSPSDA EAWAELADLYASQGMYPQSIFALEEVLLITPYAWNIHARLGEILYMAAISGEAGSEKY LAESIRRFCRSIELCDDYLRGYYGLKLTTARLLTGRSQPSRQAKSDSALATPDSKTLE RLNETATAKLSEIVRRSVAGEAGWEGFTKSEVIAAKALLETDAVKISR LY89DRAFT_557269 IKFKDAVGRKFSFPFHLCAQWEGMEELIKQAFLHVDVIGPHVQE GHYDLIGPNGEIILPQVWETMIEP LY89DRAFT_750974 MYSSVLVILISLAIGTIAYPSTWDHVVHERRQVMPEGWNRHSRL HPERVLPMRIALKQSNIDDLDSHLMRISSPDSEDYGKHWSYEEIVDMFAPSPDTVQAV HDWLLSAGIIGDRVSKSRSLGWLHLNVSVGEAEALLRTEYHLYEHEVTRQGHVACEAY HVPRHIQSHIDFITPTIHFDTKVLPSRTNEKRSGAGFGHSGRPPWLPKVSPIAKPILN NLQDCHNNVTPDCLRALYGIPSLPPGMRTNVKYTPQSYLGSDLDVFFSNYSKSQVQRR PTLLSVDGGDVNDIPNLGQNTESNLDLQYAMALVNPLNVTLFQVGDMIETDYTSFNNF LDSIDASYCSSNDATDNVTSPTVDAIYPDPLPGGYTGPRNCGGHAASKVISTSYSYNE HDLSPAYETRQCNEYAKLGLAGTTFLYCSSDYGVAGSQGRCLDPTTKSYNDGSSGSSM FVPSFPSTCPYVLSVGATQIKPNASVTEPEIACSTKIASGGGFSNVFQMPSYQSQAVK SWFRNYPPPYGAERFNNSQLTRGYPDVSANGARYVVALLGGYNYHLYGTSASAPTFGS ILALINEARMNIGKGPIGFINPTLYAHPYLLNDIVNGTNPGCGTAGFEASPGWDPVTG LGTPNYPRMLQFFLSH LY89DRAFT_619616 MSKTMLQALRQVPRIHSLQPISRRAFINLPGSEAQSLSATRILP YESSSVYTLIADVDSYSSFLPYCLDSKVTKWSSPDKNGTKWPSEADLKVGWGGYEETF RSRLFCIPGSVVEALGGEAVTSLKKSDLEHHSSTMDAPATANNIFKTISTRWTVKPFH YKPPTGVPQSDKTQHPARDQTEVHLTLDFQLANPIYAALSKAVAPKVAGIMIEAFEVR ARKILDGPGAAVHGQNTRDGAFASSNKMGL LY89DRAFT_708347 MASEMKAQPVLIESLSTLTVCDSPAATDPPQEAPPHLSHDPENN LKRSDPFQFGSRYLSEEDNVFEFNAWDHVETDDTYKEYAELQYAKQREAPVSDFDKNR FNSDPAKWWNNFYKNNTANFFKDRKWLQQEFPILSRITKPDAGPITLLEVGAGAGNTA FPILAHNHNPSLKIHACDFSKKAVDVIRANEAYDTKNIQADVWDAAGEVLPPGLKEGS VDLVLMIFIFSALSPSQWNQAVQNIFRVLKPGGEVLFRDYGRGDLAQVRFKKGRYLEE NFYIRGDGTRVYFFDKEELVKIWSGHNSHEAPSSKETGAGFDVVDLGVDRRLLVNRAK QLKMYRCWMQGRFRKQIPR LY89DRAFT_698304 MTAPRKQMQLNFFKTACTGSHVAIGHWKDPKDSSRGKDRLGYYT WLAKTAEKGKISSLFFADVYSPHEVYGGNGDAAYRGGSQIARLDPTILVSAMAQVTKS LCFGITGSTSYIPAYMLTRMWSTMDHITDGRIGWNIVTSYSTPAAKAFGHDAVVPHDE RYTAAEEYMELVRDRLWEKSWEDGAQLWQAEPEMAYDPSKVHRIEFNGKYQKFSGYGQ LHPSPQRTPVLFQAGSSKAGVGFSAKNAEAVFTSHPTISRLRAYVDNLRAEATKNGRD GQSIKVFTAILPVVERTEEEAQAKLKKALDQTSWQGGLARFGGFTGVDLSKYPLDEEF DFEGKKYEIGIHSLVETFKHLSDNEKWTPRKVGTAMASGGLSPVPVGTPQQVADVFEE WFVKGDCDGFNMSYLSNPGSWEDVVELLIPELQRRGIYWTDYAVPGGTFRENMRGKAG ENLLPDDHPGAKLRWNAKSTVDH LY89DRAFT_619624 MFLQTALLVALLPLSLAQVTNDFESGWDQTAWPIYAPSCNQGGK VTLDTTTAHSGKNSIRVDGAGGFCGHIFFGTTKVPSGDVYVRTYLKASKALTDSHVSF ITMPDSAQGSDKHLRIGGQSKILMYNRESDDATLPSLSPQGIASSTVLPTGSWHCFEY HLGTDGTIETWLDGTAIAGLTSKAGVANPNADQWQKSTIKPKITGVYFGWESYGGDVN TFWYDDVAVSSTRVGCT LY89DRAFT_686300 MKNSIDAAAGAQHGDHSDKTIQVDVEDSVVQKLAVSSSKFVLLS SEAKEASDAEHAMTVRQAVKLYPEAIFWSVLLSTAVAQEGYDSVLISAFYAFPVFTKK YGERKPGGTSYQIPAPWQAGVSNGARVGEILGLFVNGIVSDRFGFKKTMIGTLALLTA LIFIPFCAQNIETLEVGEILMGIPWGVFQTLTTAYAAEVCPVALRGYLTTYVNMMWGF GQLLASGVVRSQLTRTDESAYRIPFALQWMWPIPLLVGIAFAPESPWWLVRKGRYDDA RKALGRLSSTTSESKLDNTVSMMRHTNELEKEISAGTTYRDCFKGDNLRRTEITCITW VIQAASGASLMGYAAYFFEQAGLPTSIAFDFSISVYAVAMIGVVISWFVMTYLGRRTI YLGGLSCMVLVLLTIGFVSIKPSKGTSFATGGLLLLFTLFYDITIGTVAYSIVAEMPS SRLRTKTIVLARNLYNVQGIINGIITPYMLNPDAWNWKGKAGFFWAGMGSLCLLWTYL RLPEPKRRTFAELDILFEQKVSAREFKSAVVDPFKIHHSSVDGIHAEENQKKE LY89DRAFT_619630 MLYAFVAALFASAIGSLRGFETPIQELLGLKNPEQGHGRRPNIV FVLTDDQDVHLSSLDYMPLVKKHLLDQGTYFSKHYCTTAVCCPSRVTLWTGKAAHNTN ITDVNPPYGGYPKFVVQGFNGKYLPVWLQDSGYNTYYTGKLFNVHTVENYNSPAPAGF TDSDFLLDPFTYNYLNSTFQRKGEAPRSYEGHYSTDVVTEKAYGFLDDAVKSEKPFFL TVAPIAPHVNIYMNSSDVHDPNIVFKFDAPVSAQRHQHLFEDEKVPRTKNFNPDQPSG ANWLLKLPQQNTTNMDYNDHLYRQRLRSLQAVDELVDRIFRRLETYGILDKTYIFYSS DNGYHIGQHRLQPGKSCGYEEDINVPLIVRGPGVAKNYTTSIVTSHTDLAPTFFDLLG IPQREDFDGSTIPVTNAAIEAAKAKRREHVNVEYWGFAGGEGKFDGTLHEHNTYKAIR IIGPGYNLYYSVWCNNEHELYNMDLDPDQLKNLLSRPQVAEVSNVAGLPIRKVVARLD ALLFVLKSCKGRTCREAWQILHPDGDVLTLEDALEPRFDHFYEVDQKRVKYNFCSNGY LVDAEGPMWEKEGVIFRDGLMWHEWV LY89DRAFT_671041 MLVLAIYTLCVAASGRAVAQQIPLLPGSASGLRDVPGDSIVKLC NESNEEIDVFIWGNSTRNLSRNSTVDYQINATANTGDFGTLRGTFNICDYLEKMHQPP NSSVPEKACPPREGPIYIDYAFWFADFLVAPGQWSIKFDAKTPEGDRIYCLETEFDLQ CPSEHDGPECLRDVSDTPSPGAIILV LY89DRAFT_751010 MKLLVALFLFASPLLTSPTVVSDEESYLQKRAPSFEWTSWGDSY ASGVGSGNYINGRRCLRYDAAYPMWIQDDPSDLLPGTGGKLNNVVCSGAKAQEIEEYQ FYTEDQASGQPNWTYYPRPGSGKPTMGTLTVGGDDIDFPRILNNCIIEGFPWPLSLGF VSRTCDEQRALSWSLICQNGNHDTPNDVLVSKIDSLIKKIVQYGRSVSGNNFRLYVTG YGQFFNDADPGCNTVTFARTANPVPDGKPHILMSTVLRQDFNLMSITLNAAIQSAVSQ NSESNVKYIDIDASLGTGHRFCEPGVNEPDQDNPSLWFWHYPYGQKDESTNPTIHLTW SQNSTLWTDYLNDFWSKVDEDQLLKTVNSTMDNVTVDDTGVNSVPPSTTATILAPTSS VSPTPAPAYATGTCCFHLDEWEDCDPDTDDLYANITLVDNNKRVIYQTPPQYFTNNGL GDPINNGNGTTIQGPLPSSIAITGEHQNDYIQLVYGVLSWTSRTTSGTASCKVGGWNP RDGPFCGNDLGLVAQPAENQMDCCFPC LY89DRAFT_720109 MAIDHGQQRKRRCGPKVKTGCGTCKVRRIKCDEAKPSCQRCSGT GRKCDGYSSDITISTETPKNSTDLIQRISVHIPGNAEEKRGFDFFLQSTAAELSGYYD SSFWENLILAASAQKASLRHAVIALGALHEDFSRKTLKSSSTLSVDDQKSQFALNQYA KAIGALRRSLSSRKEEPITALMSCILFVCFDSLRGWFESAMVHLQSGLTILRDMRWSS TENHIIEDSIAPLFVRLSIQSIIYVDAKSTHDRTDFARKLMDAYGKEMAIPEEFESLE EARNAFNQAADGFFRANYMWDGDLPVVLQPTESHAIFDKCISQMSLWNIAFEKFMASK SKILTIREVQGAALLKIHHTTAKVMAGVHPDTSDMRPVFETVKVGMFWQYLDDFQIII NLSRPLIAATEQNAKNGKPPLTFNSDLGLIGPLYYVCINCPSVPIRTTAMELLLRFPR REGMWNSVLIAQMIQEYWELEASYKEEQEISGNVDEFGFPVPFEDRGSVHFRFFGRPT EMDAIGVCNFPTPEVAPPESVSSPPSLGWIEPRESVNSFLPLKRDDRWATQMDLERLI ANEVGNIRDGPSSEGQAIDNMDSRVTFYRDLVRIDDGDLTATR LY89DRAFT_686305 MAACDDCSRRFRTSDALEDHKRDTRHCFCHRCDRLFRTVQGLED HRDSLHNYCCPDCNKRFDFNPALEQHQRSTGHAYCDICEKCFEHKDSVNNHRRALHSP DCRNRTTPSRSRIVAKGWGDRHNFQASYGLQMTPEDLEEGDAILKAMQEADRHW LY89DRAFT_589405 PVLSLIKGGLSEAQAGCTIWKDVSKETFELFVKFAYTGNYSIPE TKKRDLVVKPERVKRNTFTDQASPSSSSGIQAWHKAEEVNKAPAVEEPKEYIEKPVAY EEEVAAEASSDYNHGRFRKDKKSSPPPVVRTTREKYPEPPSPRLSATDFPSLFYPLLT PRNNHDSTCEPIEQFEPDQSYSNVLLAHASLYILGDYRLIDSLKALALYKLHKTLCIF QLNDENVKDVIDFARYTYSEGQCLEEGRLRGLVCRYMATNAVVLSHAEEFIDLLGEEG EFVKDFFKFELQMIH LY89DRAFT_117356 MLLLSVDDARNQTESIVDLVRFSYSNVNTRDTEVGQDIDSLRRM VIHFVACVFEKIGMNESFLVLMEEGGPLARDLASMLAKRITL LY89DRAFT_735694 MTPSDAINVSQQYQKTRFPQDYVLSNGGAAKYRVHHEQCSSAVT SHSDQRLSKACTTKNVVSVSQRYRETRFPRDYTVLNSEASQYVVHEVNEEEQSTEDRV NTRTSSTTPTLLTIYVTSSQPTQNLAATTFIRMEGRAALAHGAQLGGFALFYQGKCST SSTLTLWCHVLINLLSSALLSASNYCMQILSSPTRSEINKAHSQKRWLDIGLQSMKNL RNISPKRRKMWWLLVLSSAPLHLMYNSTIFSMSAAHEYFVALVVPGFLSPQLALDATA NLSLSSDILTLHDRLQNTSLTFEQLDTLDCFKDYSQRYVTDRSHLIVVLQENWQTMDT FL LY89DRAFT_720111 MTNASTNSFQEGAQAIKYCLSEVNQQGCRVLLSIPTLAIIICCN LLKVIIMIMMFRTRNSNAKPLVTRGDAIQSFIELPDPTTDDMCLYDKNMIEKGFWERA KLPQEYYPRKRLWFGACSTKRWVITNVMAVATLVVLGAVNFSFPKSISDAWLLGLDTA ASEQTVVNIGMTGKGLSGVVSNLLLADLPQALFSLLFLSYNSLFTFMYAADEWHRFAH EKKTLRVSSPIGDQRSTYWLQMPYKAAFPLVAIAFVFHWLISESLYFSRIAIYDLDGK YVPENDISTIGYSFKPLVLVLCLGILVVCGFGIISGFVRFKAGLPLAGGCSAVISAAC HGAAQGDCGDDVLRPLKWCVVCGADSLQEAGNKTQHTHWREEFWNSRDLPGHCAFSSA ELAMPTPGHFYK LY89DRAFT_708353 MASITTLSSYNWIEAPKATPTIAVPGSPALWSAPGVSRRLKKDS GLVYIAQNAARHPESPLEPPFRALYVTNPSFDIPFTMTIEVTQNTAIFCRDEIKTLEY IGPQDFRGFGHEFDSTGHHRIISYRFSDLNLIVRHETDGYVDTGTRASSSKAKSQSQD DLSSTLGALSLSPANSSPGLVVPLELTLEIKTRVSHKSLDIQELVRAYHQNGTFQRPV VEDVAAVIKIWEERKQNELRILAALIRKIINLVKECGGNAILKYNTEGDKLVVCKVER KEMLPKDLYSKWDGAPNLGAEIDTRNDGLLGPKIAQTRRESISTMRTTGDDLKATIRI GDMDYSVHMVSKMPYLASFVRLQKVTQPEATVFIHEPINLFDIALKGVESGYRQCYRS LPTNLSQYRTLFATYKFLNVDILSGLSIDEVIANLKGLARDTAFQLLYLILYAQFGDE TKDSMKLSNAVMFIVSHPGTFKSRTKRVIRLAYEERFSPTTKQRARLDEWEKGENADA KTDATTEEEPYYYFDSDDSFF LY89DRAFT_117408 MKFIVLSFIFLLAVVSAELETADANGTCRTISARGQQEYCLWTN TYNPPASVNLYGDICGAAPWYNVSDTGMAPICNPNESFTLDPNGALTWQLELTDVKCA DPVGQYWQYFNYTWSCCLCPPGWVTVNGPPLYCDAFKSCVRCSGADEELEFIPSPSGG NERVATCITTTMPSTISSSTTSSTTPPATSAACGSSNKRDFLTGRAAACTACLDLTTL TDPALAGHQTIINTEFLPKVKDMISCATRNGWDQLLDASSSRCYLSRGSYAGTHPSAH MIGQAMDVNLSGGIHKACDRQCFLEAYCVHNLSFGLCKNVLDTFTRRNPTDKDTAVYN FINCVGQIPDMVIGAKIPVPIKKKPGKTQGDWDHFQGIPAKPYAIGVYDTYHEQLVQF CQGGCLNAPVNLEKGEGPSDEACPQPPKATCAVHGIDFQG LY89DRAFT_686307 MKEVIVHPTPDIWTEIHETPVPTPGPNEIVIRVIVAGSNVKDWL HLTALNVSLNSGDDVAGIVHSLGSDVSKTSEFRTGDRVAAFHPMMTPHGAFAEYAVAP QHTVFKIPAGTSFEEAATIPLVITTAGITLFRRQNLPPPWSPRTPSSSPIPLIIYGAS GALGTFAVKLARASNIHPIIAIAGANSTHVSPLLDEANGDTMIDYRVGPEELKKTVKE KLSRAGLECHHAFDAISSKSGTWIPLSQMLTAATPGNRSYLSVVSGANKYDEAEIQHG IEIVYTYVGTAHSGSYRTGMVKQPQDQELVRSDPEWTYVFFRYIAKMLAEGTLTGHPF VVVDGGLQGVEEGLRRLKAGEARGRKFVYRVSSED LY89DRAFT_783720 MKMFGKKKKLEKQLAELSLQKQQQEQAQRWNELQMQEQLRAKEE EMRRKEQIWETERLERQRREYELREAERQKQKAMEWEEQQRKDREVVKHERVKKTTPE ALRGLRDLIRQRYQLDMEIWSLKGARKPDHPIVFEKMEKADAVLQEICAMVETWEENE AFWTAQEWVLASKIKEQVMKSGKRVWRNNPPWNG LY89DRAFT_735700 MFPSSDTGSPPQSRMTSRHSSVVSTTSSRSSVRKPSLKAKPSAL QGNLLNHSDDFLNENLLEDDEEISMSESPRISHRTPTYDAGNNRLVIAIDYGTTFTGV AYALPRSDYASLDEVLVVDNWGPQMNITSKIPSIYSYSPATSPLDQQWGASISDDSVT MVNTKMELDVQEKRIDELELILQVLEGTSNLDFEHVKKSQGYPEYTWKDPEEIVTDYL TKVFQYLDDSFEFIGEHLKSKIAVDIVVTVPVRWSYRARNSTIRAIKEAGFNELTYPN LENIIMVTEPVAAAIYTARHLKEDKKVEFLKEGECFVLCDAGGGTVDCVSYKVTQLEP TLELEEVTTPTSDKCGSSYIDAKFKRWLRTKIGERNYAKLDPRSAGSQIGAHTMEEKP MRQLIKRFDERKRAFSNKPYEVHLDLPLPLHTLNIPGRVTQGDLRITHDEMKTIFETC VDGVIELIKGQIQQVERKKYRVKNVFLVGGFGESQYLQEELRESLKLRKIVMRRPEKN KSWTAVVQGAVLYGIEKAHHKDVTTVATCTKSYGVNLNEMDSISKYSREDVYVDPVTN NSMARTQLTWLIRKGDLILSDEKREAEKEFMHTFSDPDDRKFKLPVYEYSDDDLPDRY ETGQNELGRSAVLSCDLSTFSLNEFVLFRNPTTKRPYYVAYLVCRFIMCETDLEVEIR WKERVVCSEKIKMESLRFGL LY89DRAFT_783722 MATRRGGASERLDDMTSLMRWTKLDMGDLIAVSNRMGLHVNELN AVCHNTEMTPNELMAKCNQMGMTVRVMMTRSKQMGKSPLEFMALQTRKETGTGLKTTS TGMGGGPKTSEVTSTGNKNGSSEGTVEKSKWLSRITPSGIGTKVQKSQQPDDKKSNEQ PQKRGKHPSRELLAALRKMILADAEPFRQERPDFCAELDRREQAGVDEKALVKLLLQQ IGFEYNHSKNLQINVADLRTTVADLEKAKTELQVINYELLGDNSDLLERVDWRSSTQT RDEYEVKMENQKRAVKNAMHELRETKKELLKEVLDLKKAGKTVPAGSDVGERFGMDFS TFQDERRGGITEEDVEARINEMQVECQGRLDEMQRQHNDQLVNLRLEMKAKVQEEHEF EMSDLRSQYALNMDGLKGDLKRVEKIHRDTVAKAKREYEDQVNRLNTQIFTMKGGIDK AESDHNWSLQQMEQGHKAELIRVNHEHDVELQRRQDNYQSRVDKLEEGFAIALQQAEE TLKLERGQWSQTKGDMEKRHRNELRDLKDEHREEVSRRGKEALAECARLNALMDEMER DQVAKLADKTAALDAEKAQLETRFAEKEASLKKKYQEMSTALEEKHAEEKAQLRRDRD AYSAALLERDRARDKVEYLQDVEIKDKFQGLKEDVENLARSEWMNDPGKEKTLKLLTP TPERLMRQVLQHSIWVVLHEHIFCSPFRVFGDEGMKYETDWIKECGGEDPAFNNGVYT WPTPEVDTERWRFVTVKECRSFLRKPASPLDPRAQLKRSFADKRDTIRNALREMLSEV VEVDKSHVQKLEKLAQKATNVWLEFSMQPFRLIIEVQGAKVESIESRIVQARMSSFDL VLVPRLKSFGNSKGQDLKAEATIGSYDGEIVRIGTSTSSKPPLSSQRGPLSRRPSVSR LY89DRAFT_720118 MSVLNLLVSWLPLSIPVLLLVYYVLPFLTTFLSLSRVPGPFSAK FSNIWLGFSARNGGKFAAVDWAHRKYGKVVRVGYNHVSIADERALQVVYAHGNGFLKD TFYEAFVVGTTGMFNTRDRVEHTRKRKLMAHAFSPKSVGEFEPYMKSNLERWVAQLDR KSAYPTRNGFAEFDAMPWFSYLAFDIIGDLAFGSPFGMVEKGRDEVEMQLTHGGPVTI TPAVEVLNRRGEISSTLGLLPALRPYAKYLPDPFFTKGVEAVANLNGIAVRAVASRLD GLEKGEKIGSGRNDILERLINGKDAYGNRMDRKEITAEALTQLIAGSDTISNTSCAVF YWILHGERARPGKIIPRLQAELDAAMPHTEGVASYAEVKNLPFLKRCIDEGMRLHSTS AIGLPRIVVGQAVEFDDYIFPPGTVLSVPSYTIHHLEEIWGPDVEEFKPDRWLSLNAR QKMAFNPFSYGPRACVGQNVAVMELQIIIGTVFRRYEFQLYQNMLESHEGFSKKPREC LVGLKRRVD LY89DRAFT_539687 HPRRLPAHLSVSLRHESPPARSDEGHPGVRVTEKSGASPNRQDS DESRKSGESNVQKWFNNSNSRPETGYTHVADEAITADDPPYFVPHTSSSTSNNDVPIS GVPRRYLLHGGRAMTQASSADDFRSVIDDLTIENKKLKERLRRYERSQPPQLEKDKMF ELKIHGLPPRRRRELEDVLRQFASSIDESADEDAKPARNRRYPPFASLKPQTSSSTTN PKHTPSSSTSNSRPNDSAYASMSISGPTSTSTLNLVPEKKGSQPRVAREQNIQSFLHN IPEGLLPKHSPVMTERQKKKIVVQRLEQLFTGKRGAKAGQNSQPLQQQEVSNSAARAD QAARIGPLEEEGSREAHILGMEIDFEPGKPSKLLADSSSGSRILTDSSDEQSPERSSP KQRPTRPLDLDPDRAQIPSENVEYIRHLGLSTPRLITENSADVAVDAEGWIYLNLLVN MAQLHIINVTPEFVRSAVSDVSEKFQISPDGKKVRWRGGSDGTRMSSDSGESSVRNRS PQESIDGHSCRKRRKVEVGKFASAPIEVDEPCMPLESSDPSSSFHYKPLFRHQNSSSG GMISTDESDSSFGSGPEMDGALKRGRGAHAWSGVSHSIGSKRRRRDDGPMVFYNGAKF CTDLSGDRGNILTPLHVFGIGKDGYSNQAQAALGSTVQKSTPGFTRTTSGSMLPYRPF KDYSKGNDPFQTEENRPKTPELFDDESEDEQFVLKNPPGSSSNALQEFEASGLGGVQP SDHFAVHVQTRRGKLDEASHPKLSRFSRLSSPGKAPRKFLHGISKKSIESFQEASPEE AITDRLAMWPGFSSPPRKTDVAADVDVVQTEILSAKYVQLPPSQLPPPVGWVATMDSQ DEDDDDTDSSNASSSSAGISHLRRDMTFLHEPHGSYGQGINDMNDPDLADDSDGAEGT SETDSDIDMLAQVRQVDPYVVAEQERAFAMQVDD LY89DRAFT_117800 MQYGNTANSRRCTTLVLTLCSTTRSIPHSLLLRAAVARTRRHQR LAATRSLLWSDTGMRACRLCQEKVSGRLWSSTASILRQPCDQKFLSTKGQHTRDTLTI RLSSPKGAREREQTKPKPQPATDRPFFGLGILPTLFKTTHPHSSSSFMHIRSHPDAFV PSLCCMSQFSRSRFDSPYERRIVNSIRATLVLFSSIEHLIGLQSSSLH LY89DRAFT_117730 MQPNSTVLCGGFGLCGVPDTLIDEVINKKDITGLTAVSNNAGTD TSGLGKLLKTKQIKKMIASYIGENKTFEKMYLTGEVELELTPQGTLAERCASGGKGIP AFYTPAAFGTVVQTGELPLQNNPDGTPKVYSYPKDVKVFDGKQYLLEESIKGDYAFVK AYKADKLGNCQFRLTANNFNGAMGRNAKMTIVEAEHIVEPGEIPPEAVHLPGIYVKRV IQSTTPKNIEKYTFAKDPNDPEAKAALGSGDTAAKRERIVRRAAKEFKNGMYANLGIG MPMLAPAFVGPDVEVQLQSENGILGLGPYPVKGKEDADLINAGKETVTLKPGAAVFGS EESFGMIRSGRVNLTILGAMQVSGNGDLANWMLPGKIKGFGGAMDLVSNPEKTKVVVT MEHTDKKGNAKIVKQCAFPLTGKACVSMIITELGVFEVDIATGLTLTEIADGVTVDQI KSKTEAPFKVADDLKPML LY89DRAFT_117893 MDICPTTSINHHTPMSNTSPEFTLFRFLPTELRLKIYDLILALP PSTTEPRRILKISYSPSLSRYISATPPPTLLHLSHEARTYTQNHYTPLLLGSNAKSPT FHASIPISFLQDTLYLSSLSPLLFTHLHDILFHLSTSPSRHQIQSLAIDLRCWSELIE NGFGGLLARMRGLKEVLLVVEFGRVGCFRGVLGFLEVPSWRGDLYWLAETAEMRLKEE RLRVRKGGKNPGDDVEA LY89DRAFT_619648 MGINGLLPLLKSIQKACNLKKFEGKTLAVDAYGWLHRGTVSCAM ELAMGKPTKKFVEFAMHRVRMLQHFGVTPFLIFDGDYLPSKAKTEDERAKRREESKKA GMELLSAGKPSQAYLEFQKAVDVTPEMARQLIDELRRAGVQYIVAPYEADAQMVYLER KGIVDGILSEDSDLLVFGAKCLLTKLDQYGNCIEVNKADFCACKEISLTGWSDKEFRQ MAILSGCDYLASINNMGLKTAYRMVRKHRTIEKVVRMLQFDGKFHVPKGYLEAFYQAE FTFLHQRVFCPQSLSLVLHTQPEQPIDENKMSYIGAYVEPEIARGVARGDLNPMTKVP IAGVRNASGPITPWSTRSRTQHQRSTSSEVKKNKPLETFFKPKRTPLAELDPNCFTPS PSQQDALRRNQGPWVAIPLPRPYLSRANTELQLPQSAPPPTRTQSVRQSRTPTISEPR PPKRARLCEDLELVVSPSRKVELGPSRFFSSNAPEPSPAAARNFRSKRSKKQEINIFS DDSVEEAMLSLPDVSTSGSQVSRKKLAIFREERTSAGQDDTCSDSQGTIISLDSQEST PSLTTSMRTSSSSQQPPTPIEASPEPDALEDLRSRFAFNATPSCTPKQEPSFQGLPTP LSQIQKQSKIPLAVKSNIPAPKTNKTNTKSVLTPLQRLGAAANNRSKLPMTPPLTPSL PIKPRFARRSLLSKDFVPFPEVAIENAKEIDPTMIPLPNPDETENIELNLPVGSEDLI IHDSEGDDEALSPVRRPGEGTTQLDLGKFMFSAQA LY89DRAFT_561636 LEKTRKKIAKKKGNITALHENSRDSQKLRRAQNRDDKLQKVASA RKKHDRPLMERAAFFQEAIRANDGKPLDLDTIQSLIKTFIHQHDEEFSQLKKDRRPGR PASTREDLLRIKIAADMKEYEDGFYLPELSDETNAVLLNRWEGSWSYLATIKWVRMTS KGEAREAKFPPKGES LY89DRAFT_698317 MFSIRSFARAAPRTVSRLSTSALRTQSARPASLLQSAWRPARTQ YAAAFSTSSIRRSEGEGDSELVAKLESEIAMENEMKEDGGIPTSVKDYLENGPFEIID TPGQEDVVLTRQFGDEKIKITFSIADLNSPDPEADYQDRALADEQDDNINQGDNKNFK VAPEDQLEGEEGAEGEEEATSFPARLNIVVEKSGKGALAVEAVVQDGMVVVDNVYYYA NAAHAYAATPETAHERQDLYVGPPFGNLDEDLQVLLERYLDERGINTALAIFVPDYID MKEQKEYVRWLENVKGFVEA LY89DRAFT_589358 MDSDEDFGMSSEEDVLEDSENDNESADGTDFGIDEPEPDLGYTQ KEQVKKEKKTFDTTFKVYHPDDIQAQQDDLIDEVNMILDIRKEDAAILLRHFRWNKER LIEDYMDRPRKVLEDAGLGPSTTGPPKLEVIRGFMCDICCEDEPGLQSFAMKCGHRYC ADCYRQYLNQKIKEEGEAARIQCPQDGCKRILDAKSLDLLVTSELQNRYHELLTRTYV EDKEQLKWCPAPDCQNAIECGIKKKDLDRVVPTVACDCKHRFCFGCGLADHQPAPCDL VKKWLKKCADDSETANWISANTKECPKCNSTIEKNGGCNHMTCRKCKHEFCWMCMGLW SEHGTSWYNCNRFEEKSGSEARDAQAKSRVSLERYLHYYNRYANHEQSAKLDKDIFTK TEKKMISLQTASGMSWIEVQYLNSASHALQTCRQTLKWTYAFAFYLKRVNLTEMFEDN QKDLEMAVEALSEMFEKPVDELANPKLKVEIMDKTSYCNKRRVILLADTADNLANGAW EWSNGH LY89DRAFT_118029 MRLQALSLLPLLLPSVLAAPWLAPFSTFTNVTVFVPPSNYTDPQ VLYARTVELEGGVLLATWENYSPEPPPVYFPIFKSVNGGETWKEISKVQDQVNGWGLR YQPFLYELPTRVGKYPAGTVLLAGNSIPTDLNFTKIDVYASCDKGYTWEFVSHVASGG AAIPDNGIPAIWEPFLMWYEGQMVIYYSDQRDPLHGQKLVHQTSKDLLTWDAPVDDVA YPTYTDRPGMTTVTKLPNGKFMMTYEYGGGPTVVADGYEFPVYYRINENPLDFNNSVG LPLVSNDGTQPISSPYITWSPIGGVNGTIIVSCGSLMPVFTNQDLGAEGSWKTVPTPE GVSYTRHLRVLQNPDHLLIMGAGHLPPSTTNKVTVSVIDLAKSLEAA LY89DRAFT_649022 MASTFALRRSLFAASRPTRAFHSSARAFIKVGDKLPNLDVLVEN SPGNKVNLSELATGKALLIGVPAAFSPSCSNSHIPGYINYKDLKSAGDVFVIAVNDPF VTKAWADQLDPTGSSGIRFLGDPTAKYTEALDLAFDGSAIFGGPRSKRYALEIEDGKV KALHVEPDNTGLDVSAAEKVLG LY89DRAFT_698321 MVQKGLVSEMITGVWRIKWYKYRWPLLAALILNVLLLNQFVFEV PLNPFGALLNINDFDFNGPYVGWPLARACNETAYQPGLIFVCDNNSGGIGNIRNFILT CIRYAIDAGASGIILPKIQRRSEEDLGNIFTAGMQPFDYFFDEDHFRYAMETYCPQMT IYDEVDHIPNKEKKREKREFYPKDLNVDLDGCDGRGVNRHLDMFRTKFDGWLKQQNCI PSVEEPVTVRFKWATFFEWPIYRDGPEFAATFGDLLRIRKDVQELAAKTIVEMSKFAG VEPDPTYETLNTPYLGIHLRTESDALGFWPNYETQTKGYMEAAEKNNLKHAYLACGSP ADSHKFAEEAHAKLGMNMTTKLDLLKGDDLKRLTDLSWDQQALVDFLVLQKSTHFTGC SFSSFTMNIAIKRHLMTGGVGTRAWKSPADDYSTLIGRFESWYGDWMFMYECMWP LY89DRAFT_686322 MPRSTYPSGSKWKEVLGFDDEYTSSRHSRKQSTSTSSSQPSNSL WSPQLIYQPTPPSYPRLPRDITFFRSRSDILLSPSSPAPSPNDLKPLPAPPHLFYITV QREYYPNSSNSSTSSAGTSIGRPDLILHSGPCKANTVLSYAKFHPLTEDTELTLCPAV PKAVSANFSTLDVTFPLPNQHSRSNSTSTSDSTSNSTTSSTTSSTRERERRTKFHTET LTPSNGSLFSAEKHTFHHTLPCSSPPIRERFEWRYSGGPFLRPGDRGRESGGLKLVRC TTGDVVAVYAGLGEGGDRDKRKNPRGVVGMMRFLSSGGDGVGLRGLEGEFEVLAVMSI LTVVEKNKRAARKHRKALGAD LY89DRAFT_686323 MGIAGSAASVVGHGAEYAATYVALDAGGSVLEYQEGKHHHNKAN EKLNAQYENFRLQYDHEQAAAQSGQIPLAGYQPGLQIPGSIQSPQSAAPVSPSAANVP GPPSLSFAQNYGNVPLPASCNTTQQQSVVQQQQATLFAGGALQFNTHQITSNQQTNPN TQQATPFQHQYVASATQQQEYVSGYTQQSATSTTQQQAFVSNYPPEKPPISSYPSPYS TPLPVYSPPVKTSVETTPSPFQHRPSLPTPTITPHAPMHRPSLPPGPPPGSVPLPPVP LVPANHELPTPVSPIHEMSTSTGRTTPSGNDSMLSMEEQLALRMKVLQMELAKRGEHV EVEDEEDDEEEEKPKPPEIPETFPTAAFAPEPPRKTQDAKVESAKLEPDLGHPVQTPI DSSPKLNVFVDLQQPTPLNLAKPSQHQPPTPQTTLPYPTFSTPQPEKQQQRPPPPVSA PPAPAPASVPVKTGLSITGGISISYNRDTTPSQTPPSSQDYHQAAAIPVAQYQQSPQQ QPATYQTYNLHQRASSIPMNPQQQAPHQHQEAEISYTPNPPQANTGYQTYNPAQQTSS APAVQQQQAAPLTSKPPHPLQHQDSGYGSRHSSTFSVSTVASMNIDHSQHVVSPPTPS YASLNQQSNVPQQTQYGNTRQSSYGQMPMTYTPSPMSPPPPQQYFPPPPPPPPSFGHN NQSRVPTPNPQFQNYQPGPPAPNYGNAQNGQNFRPPPPPAAQGGNYAQGWQWENPNAG ASGEPNYGPPPPIPSQWRGS LY89DRAFT_735714 MWKNVGLRAFEVWLISRLLSSPSFHRVVRKVHRRVHEVRRGEKL YDPEDFSGLHIDKPNDFKIKKFLNHYREELKDQFRSLVKK LY89DRAFT_698322 MPVPQMDGSCFGKPDKAEPSTEVAPTGPLTMPSFLQLSEIELHD KYAELTRLENERLAASKDPSADGQWARLEPDPVLDRYNNIHPWANRRVVLRVPEGIND YINASPVNLTSTSAKQSSLKAGIQDKYICMQGPKRQTVDHVWHMIWHELAEPYNSSPA VIIMLSPTHAPMPDDPSRIFEKCFPYYPLDENSEPLYINERSELGDDFKATVKFVSRE PGIEGTAIEVRKLVMTVEGEDEEKPVFHYLYPNWPDFGALEEENVASILALMEITREQ NGKGENPRIVHCSAGVGRTGTFVALEFLVGELQGGAWERWDESDHAGEDPIFQTVNQL REQRKTMVQAFEQYAFLYEVCRKIWEEKYHTPCVGGEHSHTIPPENRTKTDVCGTGPD LY89DRAFT_649030 MSSKPEFVIIPGAWHFPDSFKPTTDLLEKAGYTSHGVTFPSTNA SPQVQSFDPDVQAIRTVVDKVLSSGKDVVLVTHSYGGVVGCESLAEYVKTLESGHESG HGKVKRMVFVCAFVLPEGGSLMAALQNKPLPWFILDEKDIVNPANPQEIFYNDISPSV AEAYISKLGTHSYPTFSSQLTVAPWKVIPSTYVLCEKDNAIPIGAQEGMVAAAKAAAP RAFDVVERCDASHSPFISQPEWLAEKLIKAAQ LY89DRAFT_649034 MSESPVRPSRRVASRRKVVVDSDEEEEQTQTKAEEDSGEDFTPA PKKSPRKAPAARRKTTNSVAATPRTGGRVRKSTAKENIEPSEMFDPEQTMQPEPESPT KRASPRKRKSAPVRASRASGTPDLPPPLPTPQASISPEPSELHGTPLADITLTINERP GTSSSQGSQGSVVKPINAMDTILEKPMDIVLKSRSMAAPVVEDTGPKARTVITYLILT NFKSYAGRQEVGPFHASFSSVVGPNGSGKSNVIDSLLFVFGFRASKMRQGKISALIHN SATHPDLDFCEVAVHFQEVMDQPNGPPAVLPNSDLVISRRAFKNNSSKYYLNGKESNF TVVTTLLRDRGVDLDHKRFLILQGEVESIAQMKPKAAGEQDDGLLEYLEDIIGTSKYK TPIEESATEVETLNEVCVEKSGRVQHVEKEKNGLEDKKNKALAYIKDENELTVKQSAL YQLYIDDCGDNITVTEEAIGQMQAQLDAELEKHQGNEDGIKQLDKQYKKGQKEYEALD KDTQSILKEMAKFEQEHVKFEEKRKFLTSKQKKLEKTISSSETAATEALSTIEECTVE IQKSAEEIAAMEKRMNAEEKELVSIRENLKGKTQAFSDQIAAKQKSLEPWNEKINQKQ SAIAVAESELAILHEKANAGAVALEETEAKLVSIQEGREAKLQELEKCKAERVKLEKE AAKVEAELNRLSKKEPELRSRLSGARQKADEARASLANTQTQGNVLTGLMRLKESGRI DGFHGRLGNLGTIDQKYDVAVSTACGALDNFVTDTVEGGQQCIEYLRKTNLGRGNFMC LDKLGSKDLSPIETPENVPRLFDLIKAKDEKFRPAFYHSLQNTLVATDLAQANRIAYG ARRWRVVTLDGQLIDKSGTMTGGGNTVKKGLMSSKLVADTSKEQVAKLEVDRDSLEQD FQKFQERQRELEFSLRDLKDQIPRLDTKMQKIGLEVESSARNLADAQRRIKELSKEHQ PSKTDDSRVASLEKEISKLNKEIDKLHGETASVEQEIKALQDKIMEVGGERLRAQKSK VDALKEEIGNVNEAISTAEVTRAKAEKQKTKQEKDHAKATKELQAAISDLESLEEDIK NQSSNAEGYQARIDEAQEALKAKKTDLNALKGELDDKTAALNEIRAIEIEMRNKLEEN QKVLVENQKRLKYWHEKLGKLALQNISDLGEETEAQDLPTYTKDELADMSKDTLKSEI AALEEKTQNVNVELGVLAEYRRRVEEHAARNADLQSAVSQRDAAKKRCDDLRRLRLEG FMEGFSTISLRLKEMYQMITMGGNAELELVDSLDPFSEGILFSVMPPKKSWKNISNLS GGEKTLSSLALVFALHHYKPTPLYVMDEIDAALDFRNVSIVASYIKERTKNAQFIVIS LRNNMFELASRLVGVYKVNHMTKSVTIENRDYIHGTA LY89DRAFT_783738 MIFNYHNFDEVFEFDPECTYDDETVAAIEQNRKDLEGLFIERVM KALGIKRPAKYYPPKSNSDLRNLHKTIVESGSADTQKISVLYYILLEFDYPTGQRNFS AALEQNAFLPAKYQIYMKGLWHLDRKEFELALQYLTHPSLVPTFADEVLEVLVRSSSK DLSLALAYYHIVQPALASRQAIECLFSALGRTSVTEAFYFCRGQPEYAQRHMFEMLVA LVLNNSSSDTIAQRSIELVNLPFTKEEEDWFEDYILRGDGRALKKGKDTLMMRKIGTG DFAESLSLKGTHSRSIGGLDWTTLSNAVEDGLGPRLNT LY89DRAFT_783739 MAPHVDVSAPIVTQIALEDKVKWYKKPNLRLMYFFLFCCCMGVE TTSGFDSQLINVLQFSTKWNKYFSTGYRNAAGDLALTPAMLGFVSSCYQLGSIIGVPI APYINQRFGRRWPIMGGSILMVIGSIIQGFSQDLGMYIFARMVLGFGIVFCIISGSSL IGELGHPKDRDTLTSLFNSSYFIGAILAAAISLRTTDLKSDWSWRVPSLLQMCPSILQ IATVFLLPESPRWLISRDRNEEAEAVLTKYHAEGDPESILVKAEMAQIRSTIKIEMDN SKQSWMNMISTPGMRRRTFISCFLGLFTQMSGNTLLTYYQNLLFIMMGYTSSYAKTRI NLANQCWSLINSTILALLVARFKRRTMFMLSTGAMLGTFIAMTVSFERLREAKNHKTK NGAASIAALFFFFAYSPAYNLGNNALTYTYLIELFPYSVRTRGIGIEQVFGKVGAFFS NNVNPIALSAIDWKFLAIYCGWIFFECLFVWFLYPETQGRTLEELAFLFEDQALADEA VVAVEKEIHHDVDVDAFGKHAVVHADHSSHELSEKV LY89DRAFT_619683 MLDLSILLSAALGAQAVFGTPIRARTAYSLKEVHPVPKKWAPVD RAPGNHMLQLQIGLKQDNFEELERHLYEVSDPDHERYGQHLSDADVNELVKPADETLD LVHEWLVDNGVTRANYSPAKDWIHVYIDVESAERLLDTEYSVFEHEDGAQIVRTSRWS LPEHLHDLIDTIQPTTSFMRAAPQTTDYKQFAAPWTPPGYTPPSNATIAKVCQFFPVT IECFRTLYSTIDYTPQVPGLSQAGFNNYLNETPIRPDINLFLERYRPEAAETAFTFKS IEIADGPAAIYTNITEFLLTNDLGKEANLDAQTLLGMIYPIPLTSFSTGGSPPYIPDI NTPTDTNEPYLTWVNYVTGQKDLPQVISSSYGDDEQTVPKSYAERVCKSFAQLGARGI TLLVSSGDAGLGGEASTDCISSATNTTAFLPAFPAGCPYVTTVGALEQFEPQVVAWRP DGIGPDGNEHGFYTSGSGFSNYFARPSYQDGVVDTYVKNLNGLYSGLYNPDGRGYPDI SAQGLYFGFVWNQTFSSISGTSASCPLASSVLALVNDALLASGKPTLGFLNPFLYSRG YKGFTDITSGNTSSCGTAGFPVTEGWDPTTGFGSPIFPEIVKIAKECF LY89DRAFT_686331 MVLLGGLELVAAGYIIHKHQQNKRDKQRLEDEAAALEEEQYRIF PPDDRHSRPEQRRRRRSHSRRRHSEDRYDRRTRPHSHDGKYRRESLSPRPKPILKPTN YSNPQLAAQRPPPYNAAAAMVPPMAAQRPAPQVQPQAQAPPPAQSYPQDIKYGWTDNQ QQQRPPHDPNYPPTGWPSEWQQSQGASSSSRAPQRQTAESSRGRSDRRPEESPRVRFA APRHSPSVRSLSQSPPPSYKE LY89DRAFT_686332 MADAAAEMEEGGMVRTLKDLFAGAAGGIAQVLLGQPFDIVKVRL QTTTQYPNALVAAQQIYAKEGPLAFYKGTLTPLIGIGACVSVQFGAFHEARRRLEAYN LSKDPSSPGLSYGQYYCAGAFAGIANSVISGPIEHVRIRLQTQPHGAARLYSGPLDCV RKLSAHEGVLKGLYRGEAVTILREAQAYGVWFLAFEYMMNADALRNKIDRKEIPSYKI AFYGGLAGEALWLASYPFDVVKSKMQSDGFGENQKFKGMNDCFRKTFKAEGLRGFWKG LAPTLLRAMPVSAGTFAVVEMTMRAIS LY89DRAFT_686334 MAGRSLSRERSPVAILLDPARDIPLGERDIRVEDFLNDKIQTTF DLGDLESLIASVETQKQQLEEQLQHAQSELRQAKAASANRTSLMLEQTQEFERQQSNV QKRLMIVTSSDTPEEATRKLKVPMEKLRKVELAEAYVEMLKDVEDLRMEARKHLPADP KEALKPYTQLKELAMSLQELQEPAEGAAVHLVNFVESTTNSLWMEMKKIMTDEFESVL LKMTWPDQSIEPSREWSDSFEKLLDLQAPEIVAAREPLILLPMNVLAKNFIQQFRYHF FSDKPTNHPHYLLNHISEWFLGTVAKWEDYLRLNVGPVLAAHFRGSYLAGNSLYVDPV AAFITALLPILKEKIDTLVEAVSNEPQYLSQLIAQLMRFDDAVRVKFNYDAGNIEYGW KGMTWDVLDTWFERWLEVEKDFALERYHEIMKSPDSGVIDYDSSAPGKTKATYGASRV TELIMTVTMQYNKVRRFSHKIRFLISIQAEILDLYWGRLKDSLDVYQTITSTVGRAVH GVTKEQQQALEGVKRLETLCKVFGSAEHLISMMKDWRNEEFFVDLWEHLQDRAKGTRI EDNLAGDMSYTEVKDATSDAVGSEEEGSVFDKTIETYQNLRSKAEELIVSSLRKSFPI NFKQYITKPQWTTIGDLPQSSSSFIVVTAELDQPLQALKQDMTFLRRTLADAAFRRLW RAPLDTLEDMLFRDVLLSQDFTTLGAARFMQDVAAIQGVIDSCYGPKARLGVPKLSEA AALLNLPLEAEDGQMDLRDARQEIFGDSQQAADALVTLGVNHLTVGEARLVLAKRVEI NSE LY89DRAFT_619694 MGTPAKKRKLNSDSKASPATSRSLDYFFGKQKQDPPKAVSNAEQ AIDHNCDISDLTDEQLARKLQAEWDQEDDATKEMQENTKADATEIHAELADLPERSKH TPASEGADGEAQEPYEQPNDTKATPFVFGAKGKNTLSLQSAGTAEDTICSNITFDESP LTFDPSKYIKDLQGHWAAEGGDASYALLTRCFVLVNSTQSRIKIVDTLVNLLRVIIEG DPSSLLPTVWLSTNAISPPYISLELGLGGSAISKALKNVCGLDNKSLKALYDKVGDAG DVAFEAKKKQSFTLRKPKPLSIKGVYQSLVKIANTQGTGSGEIKQRVVDRLLQDARGA EESRYIVRTLCQHLRIGAVKTTMLIALSRAFLLSRPPGADFSLRNPQELAKLKKENLV EVWSKAEEIVKACFAKRPNYNDLIPIMLEIGVCDELLIRCGLALHIPLRPMLGSITRD LSEMLTKLQGRDFSCEYKYDGQRAQVHCDDQGKVTIFSRHLELMTDKYPDLVALIPKI RGEGVSSFIMEGEVVAVDQNTGDLKTFQTLANRARKDVVIGSVKIDVCLFSFDLMYLN GESLLDRPFRERRELLRGLFTEVPNQFTWVKSIDATSQDSETVLEFFKSATDIKCEGI MVKILDNLPNPNLQSEVIEENDSAAPEAAPPPPTKTTTKGKKKSAKDDPSPAEKKTRR KPLLATYEPDKRLDSWLKVKKDYSTTFDTLDLIPVAGWHGQGRKAAWWSPILLACRNP ESGSLEAVCKCISGFTDSFYKANKEFYSQDGPNTLGSKPRYVEYTGGHPDVWFEPQEV WEMAFADITISPTYTAAIGLVSEDRGLSLRFPRFLKKRDDKGIEEASTNDFLAGLWRK QEEKAPGPGKEVQDEGDEDE LY89DRAFT_686337 MKGALFAAASLLSSVSAGIHKMPLKKVSLSEQLAGANMDQHVRH LGQKYMGIRPQSHVDEMFKETSIHVEGDDHKVPVSNFLNAQYFSEITIGTPPQTFKVV LDTGSSNLWVPSSECGSIACYLHTKYDSSSSSTYQKNGSSFEIRYGSGSLSGFVSEDV MTIGDLKIKNQLFAEATEEPGLAFAFGRFDGILGLGFDTISVNKIPPPHYNMIEQGLL DEPVFAFYLGSTENDAEGSEATFGGVNKDHYTGKITEIPLRRKAYWEVDLDSISFGDS TAELENTGVILDTGTSLIALPTTLAELLNKEMGATKGYNGQYTVDCAKRDELPDMSFK LSGYDFAITPYDYILEVQGSCISTFMGMDFPEPVGPLAILGDAFLRKWYSVYDLGKGT VGLAKAKA LY89DRAFT_686338 MNTISVPSQLSFITKPYTQTRLATINSTHDIKIALLEGPYIFHS HPDNDEAFYLVSGSLTIEILDHEEKVEEVKMQAGDLFVVPKGVRHRPIGKEARVMVIE KKGVLDGSGGLAQPIEDGK LY89DRAFT_619700 MVTPNEALAKYLDIREDSARSVYWKEIRFRLGWDELPTILLHYL HTSQLRKVANKRAFASLRARGLSFDDVKDWARIVLEDNADERVHLFFSTLSYYPSFLF LEICRRDILRVHTLKTILVHAWDQILYENELSSKSLTSPDSLTPLRNFEDNSFSVLLH RLLHQCRQIWPPGMVTVAHMVGLYIDSLSIDRIHGSRTPNPDRYRQMCRLQNRLLQAL ALPARAEPYQAMVYNWSAQKIILGLASTFSPPLMLDQPAYQAVINVLTASKKSSLESR SAKLRARTWPPWRIEQDGMDAQRKLEEDFSRTIVALMRKRESGYSDASYDHIMSILGG QEPDGTPTIQTRAIVKNRSHLGQNTPERLQSRTWAARVEATRDVQEAWAAFTEYTKQR GRPGARMYYAMFRKLSYENRRLGAQPHEHAGAPGDGREVLPVLDDNITDFYRSRLQPP TQQELYRDMLAHGVLPSSQLLSFLVRRASSIEQGLMYLYDSGLSKLGLEYLHGGDFYT LPSSEVRAKVREDLVKVVPSRTITDFIILLCRFAPRAVQVPRDGGTDGQPSNNTGSEM VWVVREVWSGNSRHAIFSQPLQHAAYLLKASGMLQRYAWYALWQALSQRNIVLFPQLC SDPKNFELAWRLTAAALQEFQHLGLELDPHGFRYICDTFTKYAKAYSKMTGRHLEKVL EAADIVKTEFKKLIICNEPSHHMLPHFAHSIHGVHLHAFVRSMASVENYGEIILMLEW MVQNHAELATVAEQTANGARHLRRTLIASKVFCEGTSHEARARALIEEVKIWDGWPTD DEVAKY LY89DRAFT_783748 MASANGVLSVPIPAEPPTSPSTQSAKRKREDAAESLANGVADSN SESTGDSFAASKSMIRDLIDVLKGYDPIPSILERPIAERPSSSGPQAKRQKAEDKATQ RSILTRLSEDSYKNVDEVLEDIDNAVSGIMESLRLPEGSARLSGHQSEISLKVKAFKQ QAHDLVKREKTLQEDKQHSGVNGTSSTKYNVDSALSSNSSKQVAADDNKMVLTLFGSA PGARQLFSSLQIPPKRAGEQRDIIQTLREVGLPNGITATHIVPAENTGLSGDKKRVPT LSDLFPTPRDVPVPFPPKPSKLATTRSATVGWYQPSASEPLPRSASYSRQTISCGHWL DYSNVSASSQGTKRKRDRALSLVGAKAPTDVEPVESEAAKLDALFRSAYSGFAPTKDD AAAVAPAGVLSRMWWQQVGEKSFEKLVETMAIDKTMGGSELSSNKISESSDELAEFEN MVEELENDGIDPSLVPLESVPEKSAEEKDVEEILQGISELLETLNSYQRIRHMSLNSA SRPAGLLSVPDTTSLGTPTKPSEPEQATYEILKSQLTLMIATLPPYAVAKLDPDRLAD LSISTKIEVQLNDYKGVMDEDEAAAKIRAASQFTASSSTSRAIPPASIHRTSSTSLYG NQYATSRPVPTPASQYYGATQTPIRPPSANMQRPPATAPVGYQPQRTAVPAAAAYRPA QSYGTPTYQHQAARPVSQSYGSATQQHSSGQPYMQPPSQSYQHVPQTLSSGQINGRYP AQPGYPHQTPTPQNGMQYRYPNGANFPRQSSPQKPAYSPQPTTAQVQTRPAYSTPTPP MPQDRPYLQTPMGQPSMGQPTAMNGTPGAPTQHQTSNNLTQYRTFMTQEQQSNMMERQ RSLLAAQQQETQQNARNAAMGNASNGQVNGTSAVAAGL LY89DRAFT_589301 MATPTLIGMPEPPSNPETPTEAPGTPNSTTTSLSALSTTAIKDG HRGSSFPHGGPGHRHTPSSNEAERERADRISFLAGLERVSTVRAPNQNAGAAGAGPSA NVGQIPGYFDQNGNPVYTTKMSTVGSASATGSIGGRTTTWASGSQKGQTEAGDEDQMS MDTNYRDMDDRDRFSASAMDEDMDGDGMSDDTSLVGFGEGAGSTVSGPIYNRRDLNAS PAVGRSGLASQVSGPGTPLSASTTATAEQQRRDARMIDGLADDAPGYVDTAARGGVGS RGSGADAVERIVRDRLDEGEGRKPAMGSPDEAGLGKFYFEERK LY89DRAFT_686341 MVSTLLNSLPREIRDQILLYVLASPTGYISLIEADTDDWPWRHL KEPLNIVPTSSEGTIFYDATIKLSVLRVCKQIYHESKHVLWKHNVLRLQRPEDLATFT IWDSLSHQLSCQLRSIELQFDLFVPRKFFSTKKALQTMVEWSRTGALKNFTLVFTKRV NRRTGHDESFQEVLGRWRGLPVWGATPPPSISDCEKYMAMLRTLGSIDKGFAPGVRKK LILDTGLSTRSPLEKLMWLRRWTPLHPNWFAEELNHAVGGDLYMDGILCYKDGVKFED VFDMSRLPQFLQAIATEKSFQT LY89DRAFT_649065 MSIDYLLHESAVGYAIFHVEHQADTIGNRLKEVQDAGQDLAKFG KMVKLVNFAPYRGAAEALENVNLVSEGVLSEYLRSNLELNLPKPSKKKKIVLGVQDKN LAGSIKASFPGVECETGETSEVCADLLRGLRLHAGKLLKGLQEGDVERAQLGLGHAYS RAKVKFSVQKNDNHIIQAIATLDHLDKAVNTFSMRVREWYGWHFPELIRIVSDNHTYA KLALAIGDKKSLTDSSLHDIAAIVNDDADIAQAIIDAARVSMGQDISATDMENVSAFA NRVVKLAEYRRSLFQYLVDKMAIVAPNLATLIGEVVAARLISHAGSLTNLSKYPASTV QILGAEKALFRALKTKGNTPKYGLIYHSSFIGKAGAKNKGRISRFLANKCSLASRIDN FSEEPSTKFGAALRKQVEERLDFYATGAAPTKNEDAMKSAMDAVLGDINIADPSADAD MADIAPRAVQKQEKKSKDKKEKKEKSKDKEDKKDKKRRHSEVNGDAELSEKKKKKKSK KESMTSE LY89DRAFT_588754 MSNTDFLGRAIESVKKAIEADTAAEYEKAYQLYYSSLELFMLAL KWEKNAKSKEMIRAKAGEYMERAEKLKAHLADDAKRKKPGMVGANGTSTGGGGKGKEE EGTDPESQKLRNALAGAILQDKPNIRWEDVAGLEGAKEALKEAVILPIKFPHLFTGKR QPWKGILLYGPPGTGKSYLAKAVATEANSTFFSVSSSDLVSKWMGESERLVKQLFAMA RENKPSIIFIDEVDALCGPRGEGESEASRRIKTEMLVQMDGVGRDSKGVLVLGATNIP WQLDAAIRRRFQRRVHISLPDLPARTKMFEISVGSTPCELTGADFRKLGELSEGYSGS DISIAVQDALMQPVRKIQTATHYKKADNGEEKLTPCSPGDAGAMEMTWTEVDSDKLLE PPLQLKDFIKAVKGARPTVSQEDIKRSEDWTNEFGSEGA LY89DRAFT_649071 MADTQQRLQGLSEQFQKLQTDLQNNIQSRQKLESQQQENKGVQK EFASLDDNSTIYKLVGPVLLKQDKAEAILGVDGRLELIGNQIKEVEKQIKEAQDKSEE LKAQIIQAQTEAQEHGAQAQVAA LY89DRAFT_720146 MTTPTTFPPFPKLPTEIRLQIWRIAMPRRVLFEREGPRKSSFRR INYSSINACRESREEALRYIKTSGKGRDEVVFDADGDILCLCYSDVRMISNWPRSNIS GSGKQHGPYEELFRVDLTQVLKRIQILGDVQVPCGEGFKYNDFSQVLVPILRRFPAIR EINVGRLRSDRLSVKTGTRGLVGGIERFAIMRKPTVRKVRARVEEAMAKEELLRPKWK APFISFAQLSDEHGSHEVFANMTNSEILTVKICSTLNFTKSDPYKQRRGAWFIFCRPN FVTMERVPIESLRSPASSDEPKRISPYTTPLLLLPKLKKERREFLELKSSVNLKTETN EGNEILSMNQNPDPERLSRLHHGIYTGVRKYPVEPSKLEDRVVQVKLKTRFKAVRNKE AIAAAHILTQMSSDTRTTEELEVAETLLQLQSKPRVAKLHPPLQVNGDQTLDSDATIS DDATISERGTPEPAPKRPRPNFRPAELEQPTKKKRGGRPKGSKTKPKTTITANNNDNK TLIAETEDVEVQCTIPKQFGASANRVLWSDRHVFEHTAASPEEPKVNLQPPLSSRARI TTDSTSESARTEADRRRWEDAFCR LY89DRAFT_783756 MEASKPSLEALRMREVEKIAATIAAEAHQFGLEPRGVSEDSLRA VLESTQSLLNKLKTWTSSSSTSIELGDFHYFQNLPDELKVLIWKFSLPSARILPVQHH IDHDRYAKDRSDQELEPGEPAALELFLEYQKDPSKYMTTVLRHEQSSILWVCRQSREE ALKVFSLRLDTTKTTCGFRIDPGFDTLYFQYSNRRNHDMHFKFETGQLWQSIALSAIQ HLAFDTKRLTNILDVELGNPFRRLGALKDITVVCHSTGCRLHDRELAYRSIHLEVLVE NSPPFPVIGSYEQIQRLRDRFSVCRATKPDWHVPSIILKTARMEGSSKICCEMTWKEL QAVIGM LY89DRAFT_588647 MEEEHQRQLFKELYLRSESKITGLFGGQRASERDSADDDRAQND DFVADEPNITEPVKEPAPKKVTRTIDEDNYDDDEDEDEDDVPKSPSKNKSANALLSPS KSGSSPVSSDLSPTKPAEQNKDDAAPEEPKSSEDARKQLEEAKKATEEAAKRSFHTLF YTLENDRVAMLEQQKLEESEKQIDAEMHHNGTANTTNGANGEHHGSLSSANLGASSLT LKHLIARIDLKRDQVRASDAELRSLMNEVRKNRSKWASEENVHQEELYEAAEKVLSEL KAMTEYSAPFLTRVNKRDAPDYYNIIDKPMDLGSMTKKLKTLTYKSKKEFVDDLDLIW SNCLKYNADVAHPLRRNANSMRKEAEKLVPLIPDLVIRPRAEVEAEERRKQNGGEDDG ADDSDDEPIMSSRGRKAGAKGSKARKSANGREEGTPSLDQKPTLQLNGLLGNLGDGSD TGFDGSQSGFATPPIGSITPSMNGIPAHGSQADGMDIDGPSINGISLGQALGAAAEDL REDEEYKIWKQVTKKDRALIAKERNRLFRGDRLNPDEPALLRSKAGMRRWLRQQKEGQ IDASRSDTRPKDALQGVETLAEGMQGEEERVIPDYYDSLSAIPDIPRKLQWTEDADGQ LIDQNDELIRMVPSGHFTAPKSALTAKVEANMRQMQETRKLCSKIGVIKQMQLQAQMY NNQFPKYEPEPFIEADIEPHVVSDDGPIMATWVCKAALQRSVAKLCYHAGFEELQPSA LDVITDIAGDFFTKLVQTFNVYRETPKVPANVPMAGSKWQERFTNEEVVLHTLSENGL DVEALESHVKDDVERLGGKLGVMHERMKAHLSDLLRPALAADAGPDGSGAFNDGSEQF VGGDFAEELGEDFFGFKALGLDSEFGMGSLSVPLHLLHTRLYQNQPTAVAQGPTTDIF EPLPPLEPVTRENLQDQIGLVRNFFLAKLHANDDEPLLEDEELPAKQRPTRPRLGPTG KITSPRKRPLKEQSGNAKKKKKLDNGTAMDPATGIKSGVNGSPEKPNPLTASAKKLKL NLPNGGPVSMERVESSQGNASQTEKDDGSAVGMMSPESIER LY89DRAFT_619720 MRIRLPFAGAFVFLAATAGYAGLSSLQLDAIVNDKILHCVTFFV LTTCFYWILDTSRRRNLNLTLLICTGILGVGSEFLQGFLPNGRQFDFYDIVANVVGSL AALGLSSWYHLRMLERKRLAKNYQAVPGEEDADLELGEGVGIGAQESGTTSAGAPASH NQSLDQELDNWDENIEDGWEEDEHLDSAEGEGLKTPSASSAGEDLGESKKRAD LY89DRAFT_720150 MPWPYHLLDLTETEKHQRRLLLDRYAVYAQLSALIPIAAYQLYR LGAWVYAENQKAKVRYSAIPSSPAVRRDRASKTGTVVRKWRALVWWLEGEIAPTWGLR IHWVAGVSWMAWLLFLCIHQTGHDYLHITKRFGAIAASQFPLHYMLSMKSLYSPLNLA FGSSHEQLNPWHRLSGRIIYGLLFLHATWYLNFFVLAGVLAVRLVAPVVIIGYVAFFL INILFSTSLEKIRHWSYRVFFVIHLVVGMVLPPLLFFHARPLRIYMTEALALFIFDII CRKLDTITGYATITPVPHTKLIKLRLPVPTSKIQRFRDAPGQHVYLQVPPESTPPHTS SPSIHDLLFNPFTVASVSNTEVTLVLRTLKGPTTTTLEYLSHLSKAHPPINIEGPIGS SRHFPNLAADYDRILLVAGGVGSTFILPIYLHVRDQLIIEGKSSDRVTMIWSMRSSSE ALWAIDSSSASETEEGGSIQDDENVQIFVTGHDSHHGHNAPEPSDGSVEMSEMARKGD ESGVRITGRDRPDLRRIVGNVFRKGEGERVAVLVCGPAAMARELRRDVGRWVGRGREV WFHNESFG LY89DRAFT_118732 MNTITLRGLRAAAHFSHRPIKSSKYTLKPSLALLQLRHASSAST LALKPIPPSPHKPSDINGPLTTLPPLLDLPTRAHGQGLPSYLFALGKSYVKFYKTGVW SIWTNYQLSRAIKTRLSSSNTSLKEAVQNWSITRSEFQLLYRNKHDIKRVPAFALVLM ICGEFTPLIVIAFSAVVPWTCRIPKQIDSDRRKLEERRSESFRELTSLPPPSRLGVGA AAVEKLDKWELRHINSSLGLSSKLWEWVFGPPEVLLKRKARQRMGYLDMDDTLIKRAG GVGGLSEEEVRMACVERGINVMGPSKESLKRTLDAWMKCREKVGMETLLLTR LY89DRAFT_735741 MTSTHLDPEPPNPDVAIPKHHVPTEPTESAENSPSADSSFSDAY KEQPEVEEHKENEGTKQGSEVSDDYAMTFDSEGEGHADSQDISQAIIEPKTNSPLLTV SEIAEPSSSSSSDQDTTNGTQNGPATDLPKPSSSPSHPPNNDTPSTNAAEINIAETPD TSAESKPLIHDDASSEGIDIQQLLDNITANAERKDSANAVQSFSPTAATIPKSGPGLP THSSLPPRPNVPQKRQYDDIQKYYGTATGLPQPPSSFRSPSISTSLVAAGAPGTSTDP RGGLPPPPTASFPGASTDPRGVLPYPPSANFRPPFPPPSPISPASYTQISRSSVQGNQ GEADDLDAKWGPEIQRIYDDFLENERQFVTEGLWDRFPNGSRLFIGNLPSEKVTKRDI FHVFHPHGKIAQISIKQAYGFVQFYDSTACSSALQREQGSEIREQKIHLEISKPQKNT RNAHAASGRRSPDHSRGATQDRNGRSGQGRVDRYEPRAAPQTRVDEYGRPLRVRDDYR PARSPTPPRGSYRGREDYGGRGRDSYNGRDRRRSRSRSPPYGQREMGRYRERSKSPRS RELDEDASLQIPRRDPRDVPDVQIILVDQLDRNFISWVESEMRGRGIKVEVMFLSPRF PLQAVIRRQILEGVHAVSRLDMRSQSTSKIPLQVFDRQGGANNVRFDEYQDLDPKIAA ELVLRAKTTQSHAPAQNVPYAPPPQYGTGQPYEQSVATSAVPNPPNFLSQIDNATLQQ LLTTLNMPQQNAPAAAANSAIDLAGILGGLSGQPKPVQQSYQQASTDPYANIAANPAL ASFLANGGAAPPPQSQSQPPEQQSAQQVQNIMAQLARFRK LY89DRAFT_698343 MLPRYAFNACSALRVHGDLSLLRGFRTSSHSKSDDEEADFEAAR EWYKGFNKTTIPSKIAETSFSRASGPGGQKTNKTSSKATTTWPLHALLRHVPKVLHQD LRACRYYVPSSDSITIQCDTDRNQSNNREETYQRLTDEIAKMYKKRVPGVTSLEQKKR VENLMKADNAARLRMKKSHGDKKRARSGGGGRGGDF LY89DRAFT_783763 MLTSTLLTYILIEASAVVAFPDIGRALAASHLKERIAPVAPFPE YPGSPSHVVYDSFDPVSQLVSTSGDNAWAAPGPGDIRGPCAGLNAAANHGYLPRNGIA TADAINTGLWEAFGLDKTATLFLQTATMFFDGDPVSGRWSIGYHSDKTQSLGLVGDLL GNETGICAYGHLKTEGDASITRGDWLAPDMNSNCASYPEFAQELLDLATERTGGNITP QVLAEHSYNRKLHSIATNPNYFSPVYAGVAFTFGAHMFAYQLLANHSAEYPRGFLTPE VFESFFSYTRDANNNLVFTYGHERIPDNWYRRADDDAWTLTDILISTAQQCLSYPTNC QVGGNTGEVNSFSGVDLGDMTGGFINSVDDLSDPTRLGCFISQAIQADVPSFLDNVFS GAALTEVLGLVDTTLMPALAGLGDCPNLPAGKSVMEYGKGYPGAQFMTSGSRSPY LY89DRAFT_118842 MSSPGGQGQQGGAGSSNGGSSGGQGNQGNPTQATSTTAASSHGF ATSTSSPSSTTQTSNPTSTSSSSSSNSNKTAVIGGVVGGIGGLLVLSLILIWFLNRRK KRQIAVKNGTVQPVTYAGLNSSFAGVHFAHGSNRSPHDSLMPAPLLLSSSTNSSHPDI EMRGGQSSPERHSATESDIFLPTYAESQAGMSMYGRSSLGRLNTSHTAPSTNVSPLSS VGTRDLHNFSPSDLPPIPPLPVNGRERDTMGFPIEPGMVTPPLESPGPASHGFETIHL EPEAPLPIISPRPRHPLVQQDSLERQVRQGMMPIDSPEPSQPINPNRLRVLSQEMPRE SPILGLNSATIQPQAGPSNLNPNADINRMASQRTVSSMNSMPPVVSDAELESLGVGMR PAARR LY89DRAFT_118844 MSYNTPQDSQQGKPQVQACRYKTGKTLGAGSYSVVKECVHIDTG RYYAAKVINKRLMAGREHMVRNEIAVLKRVSMGHQNILTLVDYFETLNNLYLVTDLAL GGELFDRICRKGSYYESDAADLIRATLSAVAYLHDHGIVHRDLKPENLLFRTPEDNAD LLIADFGLSRIMDEEQFHVLTTTCGTPGYMAPEIFKKTGHGKPVDIWAIGVITYFLLC GYTPFDRDSNLEEMQAILIADYSFTPIEYWRGVSLQARDFIKRCLTIDPTKRMTAHEA LSHPFVSGIPAGQDGKDGKGSDLLPVVKKNFNARRTLHAAIDTVRAINKLREGQGGLM NGVVSSNPAGGAKPLQQTNNDSGVGGMGNSGGDPMDHDSGYATYGGPEPENTHMRGIE RSSGQGQTEAQIEAQTRKIAETTKGLWSAGTAKITGR LY89DRAFT_118874 MPESKYFASSSPTFTKAKIPFSWPSIPNPIPRKFRRRLRSKFRS AQSPASSIARIETSFNPADSIRALRTHRWSYYDGQYLILIILTIFSMSISQAPGPLVK TGAASLLMLALLMPITRQFFLPVLPIFTWLVFFFNARFIPAEYRPHIWVKVLPALENI FYGANLSNILSAHQHVVLDVLAWVPYGLLHFGGPFFWAALMFFFGPPGTLPVFARTFG YLNITGVAIQLLFPCSPPWYENMYGLAPANYSMEGSPAGLARIDMLLGFDMYTTNFTA SPLVFGAFPSLHSGNAVLEALFMSHCFPKLRPLVIAYAMWMWWATMYLSHHYAVDLVA GGFLAAIAFYIAKTNFLPRIQPGKFWRWDYDYVEKGDSPIGEYEYGLTVLGHDDFRND SSDEWTVGSSSSISSGSRSPVDESQSWEGETLASQTSDSELQEIIVR LY89DRAFT_118910 MRPAHDIQDAKRVANHLMPWHGRGVELCCVGSTMEQSVDARLRW LGLGRYLGGNHHTIMILFLLPFHAIPTHYSAVSVDSILKASIPSVNLMSNSGTERIPD SQRPKIK LY89DRAFT_119050 MATTTSLSALPTTLLSTTLSLAASNTTSSLYPTATTTLFYNSTC NTTLSYNTTTSLNTTSLICLVPSSGGGNSFPTWIFELWPLDVALLIVGAGVLYGLVFF FAGIVILALKFLKLLGRGAKGVGNGVGRGVGSVKKGVNRKVGQTREWNEGRVKRVQER REKRLVEMRGTIALEAIPLP LY89DRAFT_118863 MSHLHSLAFPLAWQTRKPEVFSSKPHDPSQKCPRAISAQERTPQ RIASAPAIPAALLRCSNSNCSLSTFSVLFFSLQSLQSVLFLLIPDLVDLYNRSTPSIM AFRGKILLSGYNIDLSI LY89DRAFT_735748 MASLSLGQNSSFPTTLITSFTSSIPLTTTSLPSLTPSSSTSSAC TAASSSSATAACGVTDSELVDALGGWDWTYVILIVPFGLLALGWIFAGLLFGFAKVFE MFQKLVSSSKVRWKKWVSDRKVKKANRKKEKFERRVKRHEERVRKKAEKREKVLAKAG KTGVEGL LY89DRAFT_649100 MSGASDKARFYLEQAVPQLQEFKELKIFDEDEIRTLVKKRSDFE HKVLARGSQAVDFAKYAAWEIGLEALRAKRCKRLRIKGSTTHTGQARIFSIFDRGTKK HPGDIALWMSYLEYARTAKANKKFKTILTAAIRLHPTKSELWLYAARWTLEAEADMNG ARSYMQRGTRFCTTSKDLWIEYAKLEMIYLAKIAMRRKILGLDVDDTQEDAMEIDETT DGFTNSEDVIAIPDFKSNSMRPNLVERVEVDGEATKDPLTSPALNGAIPLAIFDAARK QPFFCASAAETFFDMFAVFSQVRCLTKILQHVLDAMVELHPIDPSTCSCYTRQPIVGI SPLSAEFPMALGTSLSRLRESMGKTRNKAELAKKTIAWTEPILALEDLDPGIKTVLEH MRKLQ LY89DRAFT_686354 MAPKFKDGDVVLAFSGKWVSWAHTAIAYAAFLSALIVGVSLHYH KIVENEYYGYPEEWFPSVSATIGDRYPERSFFMIFIAITSGPRFALVTLWYLLTARPN TTLPKFVFFMGIFRTLTCGGWTYVTSTDDHDWHDIFMISYLVATLPWTLGCLALSPNN AKAVKFRKYLASAFFGTLVPLIYFFIQHKVHKVPGAYTTYAFFEWALILFDVAFDAVT ALDFETFELVVKDVKGSSKGQKKMVSDAVLEKEKEKQAGQVFGQKFSWPEAIDAAADV YNGFVFWSILTSLGVLVWYFPLWHMGISGYEVMVMSTVSPFLLGIPPLRSLVIKNLRV CHFLSLAGLLAYQVTGPANRLFTVGFAVWMSCLSWAATWYSEAGQPGRLESKISAWTT GLIASSVVKFAWQTSNPIWPISHAANGGWNGVGLILAILAVMRSTRKAPVAGSELAIQ GRKEGSSILAGFGVAGLFFGLHSLLSDSSTMILWVWEGYPVRGPISAPHGAYTIAAMG AGLTLGLFNEDIARSWTFYGAGCVGAAMLTLYSNWTGYYGALILTIYLMAASVPLISS AARKSPAQSFSVGFLIYNFLVLFHVWVVAYAFVPGGPLVREHTDWVMTTMMLLIGCGV FTSVSSTPAAQKKRVNAYLNSRKQRSYYLYVLGFLQLMSVSIAYLRFPTYDYVPYHKD DKILTAGIWTIHFSLDNDMWSSEYRMRDVIKELEIDVIGLLESDLQRIIMGNRDTTQF LAEDLGMYVDYGPGPNKHTWGCALLSKFPIVNSTHHLLPSPVGELAPAIEATLDVYGE MVDVFVFHSGQEEDPEDRRLQTEYLSKLMGASPRPSILLSYLVTKPLEGNYNTYVSDI SGMHDIDPSDWDRWCEYILYKGLKRTAYARVSRSTITDTEIQVGKFLIGEKENSDADV RNARINEDQVPEGMRFPQMFRGDGVRGHRYHVFDEPRYYA LY89DRAFT_588723 MAFFWKPGTQEIRLALLQPGIWDDEISYHLTNSSLESPIAYESL SYVWAQETGHNSIKLNGKEHRITNNLFKALKRLRKAREARCLWIDAICIDQDSILEKN HQVALMGAIYRPRVV LY89DRAFT_686355 MIFSQSPDLKAISLSLYILLSTYTSFLSARPPNPTPYNSKHKDS ISRHVTPRALFIRTSVKVSLGLGHTFLALTYPAPPKSICPNQSNLSWYLFTWTPHTVI AVSSILFGSCLRVYAFSVLGKNFTFRLDAPKNLVTSGLYNYVQHPAYTGSTMIFLGNI ALLQRPDGVLGCWLPAWIVDATLFWRILAIVFIFGIFRGTGKRVLDEEMMLKNTFGEE WEAWHKRTKRFIPGLF LY89DRAFT_686356 MPIPSVAHIDAEWPRKEASPTSKEYRPWNVKPGIGWRQLNWWYW QVRKQLFRERGSTSTDKFLRTFPREDRESFGLFGPKVPKEPRFYGSILHEIDYSEFPC YRDRIQTLRLYMDNAKPRGLKGLLKDKRDTVGYYTFWGTLGVGVTAIFLSVASFAVSV YQAWAASKSIPQSNA LY89DRAFT_119202 MEVHDPENIEIDQYDINASLIPAEGAVVLNSSCLNVEVEEIQGG DEPGDEASGNLLSSTEQDSSPDNLDASDQTSVQEPTDLERRLSLSAQVDIATSRIQEA MNGAIDAMIEKLRSIRTDSSKDDIEAESSASLSIHTLPGVSVEPINYDVPQIFLTWPQ DLRNPEVIQGEARLWQEALAKADLDQIPEMWTSTIWKPETKKSLIAQMALALKPTISQ NEDSDALFDANSAIIVPCIGQMIQSDIDELRERSMYRDYAKFDQYPEILAKISIEALK LIRPTMTLGTLLQRCEEKKEDFKPVLFSTPLLNHTDLLALLISIHALMLGHGALITGY LYLSA LY89DRAFT_119489 MLSEDTDGTELNDIGTPAVPAEVDLMSNPVALNAEEEFAEHPQS GHSPGNLLVEGRSGTEPEMAQQVIQPPISIPGFTPVQIQKIMKEVIDVMIDKLRDLQS DIVQTDLEANNQRRTVSSAQTVSRDCLTVNHPGIVQRQIQEDDYLNGIPSTWQYGVWR NIDEDVIKAIIKQFALELEPTRGYFEPSSKLRSVQFVTIVEAIGSILQTSWQHESELF DIKHLRSYDIYMRITSLIMQHPDKVAKVALEVIKVLSRDMPLRTLTHMCSRKSEELRP IMSGEPSANLEDFFMLFLSFHIVTLGIYKLHKWLSALDHFIDLSRQRGAASDDPFAFL LSTTYADIEEHILKKQRRLEQPWGADLSDDEKIFCSSDLDIHTLTCLKGFEIESTFDV RKHLTMSSNFLKRPQERSWKQILYIYWFPTIWIDKAHYFENFA LY89DRAFT_119214 MESNDPENFGTELHDLGSSPIRVPADGEAVQDSANVRVEVEETT PSHEESESNGGRFRDNDEHSTRSAVVAPEAYSDPGPCSETCFMCAEEKKLKISNIVGS ARATTNLRYATGVLDAKSNASTRRVVSSNNPSDGHLQGALEDILQNLSRVVCEGLRVL GAEAANVDVEQGSREDSGSIAQNTDQTHGVDGSCLSMRREEPCLVMDSWPIKTVALHE DVDPYRTTHTHIKKAQMNSVPDIWRDYFPPSYTDSAGYPVLDPTVRRPLTNEVAQALA HISCYQGDPRRPLRRIVSTIRLIGWRLIQTLTYQPVFDDQDIIAVSFDPPHFGLIQIG KASLCANVELIIRLISSCSRLSVNRILPLEISSRNYAKAHPLSSHLWQVLVHASMTFT SFCLR LY89DRAFT_119213 MQQERLLVGVTFLFCTTSLVLDVPTSRDSCQIPRKKMPKRKAKD LGDVAEPRRSSRRISTAAKQETVPETTSTKGPSKAKPKKGATATNKKGAVINGNEDGE EEQVPNEPADATKAEEAKPSTKTAPAAATDSTASTGRQYWLMKAEPETRLENGHDVRF SIDDLASKTEPEPWDGIRAYPARNNLRSMKKGDLAFFYHSNCKTPAIVGTMEIVQEHS PDLSAHDLSAPYYDPKSKPSDPKWSVVHVTFKQKLKTPVTLKEMKAWQSTKGHPMENF QMLKLARISVSKVSAGEWEFLCGEMEKNGDVVEQ LY89DRAFT_119264 MSSWMNDAAVQNQHAAGFNHLDPNAGGAMLDPNAFMNNPSSFDP SQFQNQQLQQRMQNGGMRGNGSPAFNAPVYQTNPVVPSKRPRPREDSLGTSPRQAPGM LPNSRSQTPQQNPYPGFQQNNVPPQHAPQQTPYSHLQNGSTNASPSPIMGNQLRPGGV PQRVSTASPHPFSPAAQQFPQASPSQSEHGSRVDTPQNSNPYSQNPGFPQGYNQNFTP PSGRTSAPPQSGMSTPQMPQPHMQQQQQSQMFPQGQQPTQGAQQRQPTNLEQQKLLYQ MQLQQQLQQRNMINAQRGNMPQGANPMAKGPMQGPNGQMAGMRPQQPSAQGRPNANAD SFMKNLVTFMQQRNLPLDMNPLVGDRQISLIQLYMAVVKFGGYKRVTAQNGWGQVAQS LQFNPMQQQAAPHQLRAHYERNLHMFEEAWANQQRQKAAMMQQNPNIAGPQMSPTKQM NPQAMQPSQAFMQQQQLLGQQQQAQQSTPVKQMHHPQQPAVNGFSTPQPQGQPQGQPH QIGSQSHARNSLSRSMDATPPQNGASFPIPSPISASKAGGLVTPSPHVDAATRTGVSQ VTTREFYLPDELIPKTRMLDTYGGFELESLAALGEKLMGIRPVDPELIDIGIIDIHAL TMSLQSGLHSEVRLALDVLTKISLESRLQVDLRACEDLVETLIDCAEMQVEMLAENTA EVSDVMLITSYEDVVRACRSDQDLLQDIPSYNTLDYDLDRAVDKLVCITTILRNFSFY ETNHPALADELVIKFLCVVIRYLGTRNMLLRTNQNTLDFMKDVIIFLSNLAQTIELPG REQALCLLHFLLAFAPCPPPNNVGSEAVTFSSYDPALHRYLPPAVDGLAKLLARDEPN RTHYKTIFASDVASTPPFDLLTRTFALAISGIPDEKQDSKRGNLITIVESRKPFLMQG MLAAEILSNLAPGYETGVAKSWLTSEDGFSQNLCRLILLLCMETSPPAPPRGQVPKGV EDEAILHITMGGIAVLRRLAEKSRDPEDPNSNIPLSGLLAKERLLEALRIGQPRVRPV LKQLCAYAGLGT LY89DRAFT_589212 MALPQASTITHFFGVARLSTTTASTSSARKTAPPPAATQSGPII ERKVYPYRIARTPSNNYPIYTLAKRGGNMKLTKLRKIEGDVNVLRKDLQLALGVDEKE VVVNQLTRHIIVKGHKTKEIEQFLKDRGL LY89DRAFT_649116 MADKAPTSASDLLNGAQSQAKTPEEVAKENDLLPKLVSHLDRHL IFPLLQFVADQEEEPTPEITRAKYELLKKTNMTDYVATLYCELEGVEEAPKEFATKRQ EVLDRLEKFGEESEKITDLLGREDVVTSLRSDKVANLEFLKKEHDVTMEMVNVLYDFG NFQYSCGNYAAAAELLYQFRVLSTDNDKVSAATWGKLASEILTTNWESAMEEVQKVKE SIDTKLFNNPLAQLTHRNWLIHWSLFPFFNYEPARETLCELFFSAPFINTIQTACPWI LRYLTAAVITNRSRTRNTGQYQKQLKDIIRIVKQENYEYNDPVTDFIKALYIDFDFEE AQKKLSEAEEVLRSDFFLVAASDSFVEAARHLISESYCKIHQRIDIKDLSTRLGLNQD EGEKWIVNLIRDTRVDAKIDYKEGTVVMNHPPSSVYQQVIERTKGGFFRTQVLSAAVA K LY89DRAFT_671110 MCILYSFQYLACHSVDTPQGHFSEVARVKCVQAHATEMYACPNL GIPPVVVIPWPSSHCDRCWTPADNSLPKNLAAVDLDRSELRALAKRYLAAFLDHRKKH GKDSNFQLNRETFASLQVQFVEEAQSLYRALENKIRWDSQQNRFDHSLAEKHLIIDLR WAVQTVIVEQIFARLPPSTSSTPYDIFCGLTHAQQQAFFAHAIKNKATHDGYEDNGRE LVTPLCACPKMPRDQLNRLTLEHDARATRFVATCQWCSSTILFMAINRNADGRPATAT NHNQKPWWLLMLQGVSAIAPVGSNGEPLPGGVVRRTTARVQKGGRAASSRVAQAGQSL LKSLTNKKK LY89DRAFT_119526 MPQRKVYITSPHSQYPRNFHLPHHPTYKQKMATNTKPTPSTTSN LSATATIFDPLSSLKAELAAAEAHLTNLKASFSHAIYAALGEESCCDPIEEYLAIKTH DRLVATQLFHLEEEREQIRQWKEWNEIEKILEIGRESFRAEMKMEDVQSITIGFETQF EEIEREQKLLIECGEQVQVSDEEMKEYHRVQERKFLGNKMKVKLADSIARRARLAHGL TS LY89DRAFT_686362 MAATRLTELANAISENTKIITDYLASKNLSAPSFDADGLTELPI SPADKDAWTARSKLVAATKELQALTVGPKESLRHLAWDCVNNLSLRAIYHFDIAEAVP IEGDISYVELSQKTNVDPINVRRLIRHAMTNFIFREPRPGYVAHTSSSRLLAEDAQLQ AWVGFFSEDLLGPVTKTVDAMDRWPDSQEPRNTGFQIANNTEDNFFEWFAKNPDRLGR YGTAMAANAASEGYHVKHVVENYPWDSLGEATVVDLGGSQGHVSVAIAQKYPSLKFVV QELPSMRPPHVTGTLVTPELESRVALTTHDFFTPQTVTADLYYFRWIFHNWSDAYAIK ILKNLVPAMKPGSRVLINDGILPEPGTVGGMEEKSIRTMDLLQFVTVNGREREEQDWK DLFKQADERFQYSKAWKPEKSHMWLIEAIWTP LY89DRAFT_783782 MRNPSAAAHFKDPVLLISAVALKYTLTSKTLRSPTVPRRDVEQK MVGSPSENKSPKSPRPPEDGLEPDAEDAEAAAHQAENTIEAGEEPDDGYESDSASRAS TSLSSSVRDYAFENGRRYHKFREGHYQFPNDEPEQAREDMKHAMVVNLCGGTLHYSPI KNPQRILDMGTGTGIWAIDMGDEYPGAEILGIDLSPIQPSWVPPNVKFMVDDFESPWL HGDNYFDYVHGRHLSVAVKNMPKVLTEAYRSMKPGGWIELQDLLHRAHCDDGTMSDDY LVQKWLGFVAAGLAALGPDLLAAEKNAQYLRDAGFVNVEERIFKIPIGLWPKNKKLKM VGLYGRMMIYDGLEGNSLGPFTRGLGWTPEQVQVFLVDVRKALLDNSVHAYLPFHCIY GQKPPSID LY89DRAFT_589033 MKRKAESPELSSKKAPKLDDYCNTQPNRDDHGNIVWPAQARQIV AAQEFLRECASSQKPTLIVPDKDADGLSAGVIVHRTLMKMGLDSKYLDVHLVQKGSNI HEDNERKAMQEKKPSYVIVLDQGSRGGPPVIDNPDVRSIIIDHHLSDEFPKDAQVVSA CHCPPVATTSLLIYEICKELDPDIASECGYLCAIGTHGDLGNTLKWLPPFPDMAETFK RHTKKLINDCVSFINAPRRTGTYDVITAWTALLEAKDPKQIMNNRRLAEAREEINIEV EKQTHTPPKFSKDGKIAVLKINSKAQVHPVIATRWASHLKSKALEIVMVANYGYLPGK VNFSCRIARCARGRDPPINIIESLKAVADLDTTDLVQRLGESFARGHKEASGGIVNTA EFEELCGLMKVGEKPDKVEGEGVGAKKKVETSPQKNTLNNYFKKS LY89DRAFT_783783 MAANFSPPVASCEKQQVPEWIPPQTTKEKLEWADLRTIELSLLD SPDPEVVKKLVETTKSAIKEDGFLFLTNYGVSLEQLHRQFSLAQYLHQNISQEDKERL LWDPSTGVFAGFKPRFGWKREKGNYDGIEHFNFYSPEFEDIERVPNCIHPFMDEITAF CDYLMKSVNRRLLKLLSMVLELPDDYLWDNVQSHDGVVGDGYFRHALYYPLEGQHKAS RKGVRMYGHTDYGTTTLLFSVPVTALQIWKEDRWKFVPYKPGALVINLGQTLEVISGG HFKATLHKVSEPPADQQHEQRLSLVFFNGSKGDMRLKPVTESPLIQREGFVLKQGIFM QFQRLMDAGIPVPTNKEWREAQISTRVQAAPEEKLAGVKDINGTKYGEDIILGVPILV PV LY89DRAFT_708398 MSSNIDMEKRDLESPVDGDLASKHEVNLKVDIENGRLAIDHVAE KKLMRKIDLNLITLFGALYLMSFLDRSNIGNANLTGFSTDLRLVNNQYGAAVSIVYAT YVVFEPIWTVLLKILTPKFLMTASTLGWAALTIGTAFTKNFDQLAAVRVLLGAVEAAI IPCILMYITMTYNRDEYAIRNTYVFSASAVSGAFGGLLAYGLTQIESGGLHGWQWMYI VEGIISFCLCPITFFWLPNSVAEAIWLNKEEKELITVRLERNKGAYDSEEKFSWSEIL RCFKDWKFYVQSISHFGIDTTLYAVTTFMPKIIAGLGFTTTVNAQLLTVPVYFVAAVS YLILGYLSDKYKNRSTFLLIALSSCLIGYIILIASPSTGVRYFGVFMVAIGLYATTSL NIVWAATNHAGYFKRAFATGTVQLVGNSAGAAIGFIFKTQSAPRYFEGLYFALGVTIM SITLTSIMSILLRRENRKKLRLIAEGAADQPELGDRNPHFLYYP LY89DRAFT_751185 MSFFKETLQDPLEDCLEDCLKAHLEEYFKIEILATIIAGILTRA ISRSISQILVFSQTNTCPEKAAPKTNQRLITEYFKIQGPTLQPELLMPSNRLITDYFS VTAASRPLHKDENEDSVESQVESNTQKSGSLAKAEMIVHSLGGHSDITKQVIKTQAKK QACNTTCNAGLQPKSLDFISNFESEVAQFVKRSRMLPGITFRGQLCLSLLPRSKDSFL KRINTPASSHQVMVM LY89DRAFT_783785 MGKFLVRFGTFQGGSNIVMITGQRLFGFNLPPLLAAWLNQPSAV NAVENLDLGPDGHYALTYRRKDGSLIQLRSPGLEDWLRVPRLPLTTIINFAFGHPTGV LTTYADPRTGTTVWSTRDIPETLSTFMAQHGLQFNANIHEWDLGCDGDWMLITGTTSG YHVSDFVEGLIKQKAGNALHSGFCLNKMTRDQCYLELPNPYLYVSTAWISTLSAAIND TPVSSLVRGYYDDSRHVVVLPGEVLAAPPSQTGAFLTPDNFSTGLDIISNTMVVVGNV TGSAASSSGLCCLIM LY89DRAFT_698358 MQEKKWVTLEVDQLSDVVWNKRAFDSLVVDEETKTLITAMVTNQ IDAEKNTDLISDKGNGLIVLLHGGPGTGKTLTAESVAELSEKPLYRVTCGDIGTNPEG VEKVLFLRVLEYYDGILVLTSNRVGTFDEAFKSRIQLSLRYENLTRPQRHQIWENFID RLETFDNNEVNFEELRRHVKDLAAYNMNGRQIRNAITTARQLALYKKQLMSFADLVHV INVASKFDKYLLDMHSGVSEDALAREYAIR LY89DRAFT_119640 MPEDTSDKSRKLNTPTIGDLIQWTSILPAHVQLVNLTVNQDPLG SRINYTISQDRTREALTLEAEGLADLTKQPQFKDDNASDKGPDDPHTLRPFSIEEPKP QAASTAKTTPSKQPTKTTEESDDGWEEGWEKKPNYGFDRLPAVPDPPQWADDPDPIGR LSGFTPQPPPPPRSSTSRPRAPPPPAPPRRPTRPAPQPPQPPRSSSSRPGAPPSPPPR PPLGEFNERTSKTDPIYYSPASEIRPRPTTLAALGYSPRLPVSLPPHPPSRVFEAPKD TRNPATRSVSVTYKRITQGGYYPRTGMDYISEGSEGSSRVTYTRPNREREKSPQRVNP FTRRVVEIESGSERGKKTARSLSRSSTESNPSVAASKTSDDPWATWGSTSKKKSDKSS QKSKIPVSSGNAPQRSSSPESWTRKLTRKPRSPSPPRRSGIIKVPGGSSDTINWRAQI CELLKTGPDTADDALLESLLKTLKSSAAVEEPASVTKKPKKPKIKTPSPVSQILFRVK CHRTDRPLVYSDFPAFDENNHGDKKLHLKGKLTVSKSDLDNLDKERHGNLSFVVYKDF ECCKKISNAKPGPLSKKEKSQQFQASEPLDLYTTESIRLISEEFCEAVVAITKTTGRI PACYPEFETSAILNAPYPWYYIDRRAWKENVSTLNKAQQKQVDLFVDYADYNIGEEYE KIDALLDKGLITTSYLDCLFVSSTSLPLQ LY89DRAFT_671120 MEEVQQAVLSAKRLYDTRSKHADAKRWLRKFSKGIMYYAVILDT LSQHHPEYVSLAWGAMKFIFIGVINHEQLVVELSKALCSMADALPSAQLKNVLYPTEQ MKQAVAVLYSHILEILQRAAEWYRGGKIKHLLGSIARPWSLHFSDLVEDLSIAAKKVD ELAIGASMAEQRDMHLEQQELRLEQRKTHALLEELKRITEEYQKLSLVAHLNTNQRVC DMQFSQIMTFMATSTECLPSPEVSRKQYQARRNSRQFKKGYGSLKNPVCTRTLQSWAD TKHSSTINVCGSFVTRHEAQDFAVDAIDFIAQSQAPLVWILNIHEGRANSDIMLSDVL KALILQILKMNHTMLTERSLSLSAARFQSATTDAEWIALLGTVLEGLPYVYMIIDTEV VGNQYAKWSETFNMLFDELRSRNIGNIIKVALVWYRQKPADLAGVDKTIKIRASAPSG RRPMAVSNWRSRKGPQARLRGLKLSH LY89DRAFT_671121 MAFPNNDIPASRQKSCNSCVQSKRRCDRQTPVCNRCVEKKRLCV YGKEPSLYSRVSGDIDTDANPDTALEMEGLVFASSNSAASPFPADLSLHVDNFSVERS SMDDVSEPIISTMFDTASNFQTDIDRLMELVGGDDAEAGGQWLFQPDQQITIVRPSTP ADEEINRSYEQMGGLCERYEPWQLYDPKTPVHYLVNRIKGFTTDIATHNAAPFMHRYL YREHMPPCILSCFSTSVLYANRTPANTATVLRALQQSVRELLAAESQRAMNTPTEKLA RTQALFLYQVIRLFDGDIALRVQAEKDIALLESWLGELCKVRENLGYSLDIEGSRREE PPKEWERWIFAESVRRTVIIAYSVITLYGMMKDTYTTDDIGPWTYVHRWTLSRHLWEA ESSFTFFRMWQEKPHFVINNYSFENFVKHGRGDDVDEFAEIMLNAQVAWNPFTWALMR RKSFLAANDFKL LY89DRAFT_589440 MRPLGKNGPLVPRIGFGTMGMGFPCSRTTAPIPDPERLALLDRA YELGCTFWDMSDFYGDAEDIVGKWIALNPEKRKDIFLATKFGAIRLPEGFGFRGDAAY VPIACEQSLKRLGVETIDLLYPHRLDGSTPVELLIAELVKLKDQGKIRHIGLSEVSSA TLRRAHAVHPIACVQMEYSIFCTEIESPEHNLLATCRELGVATVAYSPFSRGLLSGGV QGPDDFEDGDIRKFYPRFSRENFPKNMELVAAISKIASKKGVTVGQVALAWLLNQGDD IFPIPGTINPKYIEENFAATHVVLTPKESQHIRELVEKASVFGDRWPEGHGLGLFAGT PPLDGWKEEKKEVTVLGQVIADKK LY89DRAFT_720181 MSSTSIKKPHVLLIPWDPTSPKHVERLVQQRIACGWDHEAVESW RATQESGEFNLQWIVLDSSDVETNARLLKHAETFPQEKEPLLDSALSFGGKPRSVPSP QRSFVPVGHVSLGPVSQQNLKAGYVKNEEGMYWIGVFYVSRALQGSRLGSAAMDTVEN IAISPPLNAKVLGLNAINKVEPGREEKYKALGLTIPPFSNQEWYERRGYQVFNNVEKL FAKVDSTDKTWTWDAVFLKKYI LY89DRAFT_783791 MSSSKRRKLEVPSKSPQLSAFAARKALQSTESSPRGQNGQSEDS QANDSNDNTPSIRNDTLVQAQSRDLDESVLLKSKQSEATTSNEEIEIETGQSSSSIES RAESREVPVERPVTTLSSFRPSKSSFKELEGGTLRIKLAPGERLVVLGQYELSVRKGQ VTLMGCILQASKASYPVFALSSHSLPVIRCSATEIDDAEIVLCQYKSGLETLGALSPL FANLGNQNLTSLGAPFKDLPQNSTISSFQILFSSPDSSQRTYVQPIISAPEWNAVLAK SSIRPVDTKPPVIMICGPKSSGKSTFAKLLTNKLLLSRADAFETAIQEKQTGVALLDI DPGQPEYSPPGQLALLHIHEPNFGPPFVHPVPGTNSRIIHSHSIAALSPSMDTKHYMA CAWNLISHYQNLQLTVPGCPLIINTPGWVLGTGLEILVELVTRAKPSSIIYMSLDGPP EVVSSLKDVAKLTPITIVPSQLSEYTTRTAAHLRTMQYLSYFHLNSPSSGILSWFGQP LTSIPPWEISYSSENAGILGVMCYGEQPPPELLVDTINGSLVAVVVIDDMAAIPGWSE TRQDGTEDQHAEPNKQWSPLAEDSGMGELEEDSFRPRQIHRPLIVSTPKEAIPYFNPA NPITINPQYSHSIGLALVRGIDVTRRRLQVLTPISPNVIQDINEAGKPIILVSGKLDT PGWAYTEELLLKTNRERADDDNDGMILDDDDEDEDEDEMKIEGTDTQKNTGYQSRPGY NFENVPWVEQLDGSGGRGIGSRVWRVRRDLGKQGDRAE LY89DRAFT_686368 MPSAKASLKAMAKDAKSKKTPATPKKTTKQTSVPAPTNFKSTEY IQESDEEEDDEETKSETESDSDNDSLPANPADVTKNTNGKLPAPSGSSSSSDNESSSD ESSGAESSGEDEHSDSSRRATVEPEPTKQRSAESSARRVAFDKPPTYKPPTGFETVSI SDQSTASQILKKSNLREKQLWYFTAPASIPVSSIEQMSVADVDGGKTILSHDGTDYGF VQDPTGEKAYTTMFIPNSSEDAYLIASKSIDRVLHLQQTINIPGMNDADASLPLSSRA TVPATKPVRQQPTGLKMRFRPIGFGAGKMGTIGSTSGDSSADGASDEEMEDAPAAFRR PVSEESDGEMEEVPPTSSKSKRKEKDKTAKSKSSPLKRKHGGRGH LY89DRAFT_649148 MARPYFGLRGHSLIRFMIITVVLPAYCLLGYNNAVFGGLLSLES FVEQFPYIDTVHTTGSVESENARVQGTVVALYTVGCMFGALSCFSLGDKLGRLRTIAL GCILEIIGSILLCSSFSLAQVIVGRLVLGLGFGAITATVPVWQSESSPAEHRGALVVL EGVFASAGLASSQWIDLGLFFAKSSVSWRFPLAFPIVFASVILAFLPFLPDSPRWLVK HGRIQEARTVMAILEDTSEDSPVVENDIAKMKHSLEEMGKGSFRGLLSNKEDRLLNRT LVAMFSTFSQQINGIGVIGFYTATIFEEFVGVSQIVARVLSGSIYIFQLLCCFVAFGT IECIGRRKLMMFGVTGMGICSAIVAGTVSRADTNKACSIVAAICVFLFALFFGIGALG INYLYGTEVAPLAYRVPIYALTTTTLWSFNFLVVEVTPVAFSNIGYKYFIVFACTNLC LLLPIIYFFLPETQRHSLEDMDTIFRTSKNPFDVVKVSRAIAEGRLRADAVHAATTAH GVRGSDDEKVQVQSLENV LY89DRAFT_588946 MAPSVIDTPEEREDSILKTQPKQAIHSVPVQNVSSERVNSDYRI NEKPIRYRRPLRVVCLGAGYSGLMMGIVHNERMKQKNIDFTIYERNKDLGGTWLENRY PGCQCDIPAHNYAYSFEPNPEWPNYYATSKQIHEYMCNVTKKYHVDRVMKFGHEIKNA TWDDVAGKWRLTVKHSGKTFQDECDVFINAGGVLNNWKYPNIEGIHNFKGKLLHSASW DESYDFKGKRVAVIGIGSSGIQIVPQLAPLASHLASFVRSQCWVSPAPGINEPTPNDP AMDENYNYAPEVLERFKNDPAYLQEHRQQLADRRIQNFMRSWTGTDLQQQAQALFAKT MKQRLGDSPKGKQLADVLIPSFPVGCRRQTPGPGFLEALMKDNVDLRWDDIAKITEKG ILTKRGEELEFDTIVCATGFDTSFRPSFPVVGRNGVDLAKKWDDGAPEAYFGIAIPEF PNYFTFIGPNSPISNGSLVQGIQITGIYIYNCIDKLQTEGIMSMEVDAQATDEYNEHT QEYLKRTVWVSHCRSWYKRGTTDGQVVAIYAGTSFHFIEALKKPRWEDYRMEYLPSGK NGRSRNRFAYLGDGFTVKERRNGTVGDTQTLNFDEYWDLLVLPDIYE LY89DRAFT_671128 MSEMIAQNETSSLGAAPSPRGSARNRHRNRKAALVCRTCVRCRV KKQSLSSSINSENFQIKCDGNHNGCSHCATSRNVCRFPVDGRRESARTTKADVQALQD ELKCVRALLHNSQSPKQPNNSEILRSGQIHRGTPVEAAHALSPSEARLAGTITETGEL QVHGITSTLHRPETDIDPSSEIYGNSTLDDEVAKAQLISYAAIQRQKELAMKPTRALS MNIDFDGLPPDLAFYLLSIHWNSQHFSFLLSYRPAIMDSLCNNGPYSNKLLLNAIYYS SCLHSNQESLRSDPNDPQSMGLQFYQRFKHLLPEFIDEASIPTAVALLLCGASLVSHG KQSAGWIYCGIAYRHILDLGCHLCIQEGNRKPSKETVLEQEMKRRLYWGAFMTDKFQS LYLGRPPVLHSSHARVPKAYLDTFEELEEWTPHSPCPNYMPRPAYATSTALSLISLAE IIETIIDTFYSISSIKTAPEKLLRIKAETQTRLLAWKTNLPQHLQYNPETDQTPPPHQ ITPHAIYQTTQILLHRPFLPTGHLSFIDPTTTHDSDSTTCSSASIQIWHLLRAYKETF TLRHAPYLISYAAYSAALIILQTQTLQQHPECVPFFWTALLELQNGCNSGLKKPLTIL KSLVKQMEPSTSREAVNAQVTTRGARGDQVYSTDYIPNDNLDLLGEIEMWDQSQWLDL DTIVSEEGLLSDNETSSVI LY89DRAFT_542240 TKDSSCYCGANLPPSSTSVDNSTCTITCPGYPSDLSSSSSSSSG PSKAGIAAGVVIGIVVLGAIAGGAYVFIRNKRRREVEDEYRRNAAVSSFIGGGKPPTS SGGASSFTDMRLDPAVMAQRRMSDGSIADNQDYSRRILKV LY89DRAFT_751226 MPSSQHALHLDSNSVSNLSSYQVLQHQPSSPPTTNHHHRHPTTM SSHHSSKAKSGSRRSSNLGLGGSDFPRFLLPPSNETLWEHMRRRHPNIPSGSVYNGTC PDPKRHGPTCPCYVFIVNKNQRPQFFWHRLDMQEKRLAVLKSFAASDKNTKPEMIEKE IARVEELCMDLRAVVMEELKKKGGW LY89DRAFT_560090 SSRAHDEDAEENPCVICLERISEPATAQPCQHSSFDFLCLISWL QERSSCPLCKSEVTTVHYDFAAENTHKIYEVTSTTKKQELNSSSTSRPQSHEYQNGRG HPSGRGYGASRRVREWGQPRTLPTQDEALLRRREVYGNQLYSLHVGSNRVSRFKDLTP SIFTRDVELVSRARKWIRRELQVFSFLSPDSAASGSSGDRRANNAEFLLEYIIAILKT VDIQGSSGQAEEMLKDFLGRENTRLFLHELRAWLRSPFTSLEDWDRNVQY LY89DRAFT_649159 MEKGRQAELTERSNTLRVELKAWEKDFAAANSGKKASRDDIKQN PDIAAKYKEYNKVRDILSGKVIEAVVAPKRQTPRKRKHEEDVGHGASKRLQLVKTPSK IQVQPWEVDPYDSPSVVRNLFTPSRKTVLGPTPQKDGQVLGLFDLLPNDSVVESPSNL EWKRPTVPVQGTPRKVSAIGTPHRNSRTPGGRKKLLDAFATPLKSRDLNGQGGKTPSS VSKLHFSTPSFLRRDNHRVRLPVLNENEGGIALSPEMVRMPRKPLVRGLSSMLAGLRK MEDDAADDDLDALREMEMEETGETMPKPKAKPKPVLEAPPQDILVEDSQPGFPLGGFD DEAQLDSDADEAKEGLDCDGKPLKVYKKKGQKRTTRRVKMKPSRAKPAAQQAAPTEED SDDELAETEEAVPETQMDDSGFTEARNFDSDTQSEYTASEGGTRYKRPNQTKNRKANK DGKIKTAARKVGALAHQNFKRLKLRNSGAKGGAAHNSRFRRKK LY89DRAFT_708406 MADRRFQVGWMRLIPFLGYHHVLMILIAVAIILLSLLLAGCSSS SPLIPDIFLISIYYQKYTPQPSTAQVDYNAYNALAVVAGDAVLACRVGYFGICINPDG GSWLCSNNATALANEISLTQDPLNLIWLASQFKDMIVFPYLIIIAIIFAFICLLLLAT FPGWHEEEDAHGSEREVKPFPSRPVSQIALAIIFIASIFVLVSVLWQHTASVAASIIA QDFGNGSVKSGVGTTAMVLGWFGFALLIIVTIGLLVMILSIRVLSETFA LY89DRAFT_783800 MSSEVSSQASFLFFRQLPPEVRCMIWTLLSMTEPRVVPILYQSD TTSYTARIRPPAVLQTNRECRHEALKIYHELRLGLRSNIGCYINPLTDSVYLRSNLNR ASNRIDDLTVIEPWQRGRLITTSPAGDTSLGSTQSTQTEQGNTVGASSSSDVEGNTNP GMLRSRRHSKIMLDDLIHSPDAEIIFKSFHINFVTWDLMRRYYRHRRHKIPVHIKELC VVFEKGSLPLKIDFTLKEIQHIDAFPEEAPLQLETVEEKRTAWNLIRSLKKSNIFVNL RDRRSGRPIALNFPVYAKALDLGESSEWQELLSETGRVACNEPF LY89DRAFT_119895 MASNNNIVIAFDLYGTLLSTESIAKELAAHFGDEKAGSIAALWR RFQLEYTWRMNSMGLYKPFSDITKTSLQHALAEHSSTLSDSDISQLMRAYDSLSTFPD VEPALKAISTDPSIDAYVFSNGTDAMVSSSVKQSPSLSPHASIFKGLVTVQEVELFKP HPKVYYHLAGKVGKGRGDMGSIWLVSGNPFDVVGARAVGMQAAWVDRAGGHHGKGGWN DRLGDLASGGPTLVVSGVEDAVEKIKDWTKKNEGSGAGHNKEAAAMGPG LY89DRAFT_619807 MDETESGNYQLFRECLSTPLIEKSSTKPESKTKKSRGSGRRKTA IKPVVAQEPDDAEELADFIDYIATEIFTNLPPDLRTLTYSTWLNTPTLQSTYTDPPSP ALLHKIINPLPASITDTLTTYSLLNPTLDQDTTSFLTPILSTYISSLLTPPLAPHLAR PLATECEICDRSWIPLTYHHLIPRGVHAKVLKRGWHTEDQLENVAWLCRACHSFVHRV ASLEELAREWFTVERLLERDDVRAFAKWVGKVRWK LY89DRAFT_649169 MAVNGDHKTYALSESHKDLLEKSLLETDPEIAEIMKLEIQRQRE SIILIASENVTSRAVFDALGSPMSNKYSEGYPGARYYGGNQHIDSIELTCQARALKAF NLDSDKWGVNVQCLSGSPANLQVYQAIMRPHERLMGLDLPHGGHLSHGYQTPQRKISA VSTYFETFPYRVNLETGIIDYDKLEENALMYRPKVLVAGTSAYCRLIDYARMRQIADL VGAYLVVDMAHISGLIAAGVIPSPFEHADIVTTTTHKSLRGPRGAMIFFRKGVRKTDA KTGKETLYDLEGPINFSVFPGHQGGPHNHTITALAVALKQATTPEFKQYQEQVVKNAK ALEIELKKLGYKLVADGTDSHMVLLDLRPQALDGARIEAVLEQVNIACNKNAIPGDKS ALSPGGLRIGTPAMTSRGFGEEDFNRVASYIDQCIKICKEVQGALPKPDNKLKDFKAK VAGGEIEKINAMRKEISSWASTFPLPVEGWRMD LY89DRAFT_720193 MIYSSQKSYDVPAVDLLTFLFANRLENPSCAAKEDTIIHLEAAN PSNSITKSVGRELTKSIAYSLRNSFSIGENGPGKDVVVVISSGQPLLAMLFYGVIASG GVYSAASASFTASELERQIKQGNSNLVFCSEDAKDVAVEAAKRCGVPLSRVVVVRSSP SWSMASLEDEVSVLPSKGKLGWERITDQEELDNSLICLLYSSGTTGIPKGVMISHTNI VAESYIPATMFREKIAARIENGEPPFEYRTLAHLPASHIAGVQGYFINPFYMGGPVYW MPKFDFGKFLEYNKKFRITFFFTVPPIYLLIAKTAIVTDQFDYLEVAISGAAPLGKEL QHAASAKLGRGKTFIAQTWGLSETTGSVTAMPWGEKDDTGSVSKLLPNMSVRLMDDDG KDVPEGKPGEVLVKGPVVTKGYYGNPQATRDSFIDGWFCTGDVAIWKNGLPYIVDRKK ELIKYKGFQVAPAELEALLVTHPKILDAAVIGVDIPGTEAPRAYVVTNGDITEQEIKE FVKKNIADYKQLRGGVVFMEAIPKSPSGKILRKDLREMARKETRAKL LY89DRAFT_783805 MLTRALHFLHPAAMAKTINPLEITVLELQNGLSNGDYDSVQVVQ VHLDQINKHNERLRGVIQTAPTEILFAAARALDQELLVKDNINTHPSLGMGTTGGSFA LLHAKPNANAAVVENLLEAGAIIIGKANLSELACFKGDKLWCGWSAIGGQGQSPYVEG GLDPKDNFGGHSNPGGSSSGSAISVAAGFAPISVGTETSGSLSMPANRAALFTMKSTL GLIPSEGIMPACSYLDSAGPMAKTALDFAYLLQAMIGKGSKDYAAAATARWDGIRIGA VDSVDWPMSTSQAAYEEAFVTQQRGEIGAVYEKLKELGVVIKHPVSLIGIKEFDADGP NPMGGLMLNNIQQNIDDYLADLEISPVRTMKEIVEFNRRYSLVELPPDYPSQAYLESA LEAKGWTKEESEAAIQKMHGIARERGVDHTLRENDINVIIGPCDSRLDAIVTGSGYPC VTLPLSYYKRNGRPFGLIAIATAGEEALLVQLMSAWEATFPKRQSPKL LY89DRAFT_783806 MRLASPAPVIFQICTLAYKELSEAPTYPDLLHISVADIASGLDT KRFTSVDLTKAFIARIYEVDSALNTVVEINPDALYIAEQLDLEREKHGRRGPRPLHGV PILLKDNLATKDSMKTTAGSLCLMNSIPSHESTVVERLRKAGAVILGKTNVSEWLNFR SKKSSGGWSSRAGQTHGPYYHKQDPCGSSSGSAVAVAVGLSPLAIGTETDGSIVCPSN KNNIVGIKPTVGLVSRDFVFPGTFRQDTVGPMARTVKDAAILLGVIAGKSSLDNYTDR IPFRTTPDYTALCNPSALKGARIGIPRNAFQGPASVLDAYQSAISYFKDAGAVVVHDT DFESFHEFLKLDRNKVTYRDLRRSYESYFAQLVKDPRNPKNLEDVIKFIKETPAEEYP QRDIGVFEDALNAPKEDITEYQTILKKGLELAGKSGILGMLDQWNLDAVILPASSVVA TSMAAIGGYPIVNVPMGYHPPDTPVEYNGRGDLVNNAPGIPIGLQIMGRPFDEERLIS YAYAFEQISMIREKMLPKVLPKTQLSDVIKARVMNVTKSEL LY89DRAFT_120136 MSALPHTPLSIEPEFLAIPSKPQSPICFTFFPAINSQTSTSSVQ HLIVFINGLGLPAASWLPSISLLRSQPSCPTIITYDRFGQGLTTSRDPLDGTSGKELG HDFLDVATDLHEIILTISTKKLGLNASDVAHGGKLHLLLVGASIGAPIARLYVQHHPG TVAGMILLDSNIANVNYSDFLPDPSSPDFDPKKMLGEDCTLEQYREARAKLVAMFDLN VKNPEMLDRTTSPKLLPHADKPALKGVGGVGLRLMIVGHDPETFAEMSFQMMGTPRTL SRITNAYWAEYNQGLTKITDEKLSGPVIIANGCGHFIQKDDPIFVADTIMNMIKALEW LY89DRAFT_120135 MASTKPKNIVFDIVGTLISYDKIFQALETRLGPKLLAENIKPSL LGYTWLEATEREYTYLSLSGRYVPFKKVFEMLFWRMLWMAGIQEPREFATKEDLEFVM DEYMKLEMRPGARECVKKLRGAGFTVWAFTAGDLNRVGGYFKHAGIEMPEEHLLSCDT TGVGKPCPEAYMPLVKKLKEKGGEPWFAAAHMWDVSAARTTGFKGAYCTVWEKEPLQE LFGDMEVIANTLPEMAEKVIAQAAL LY89DRAFT_619826 MASRSPEPSAADSEMKQEVKQEDAAATENNATTGSSPPATTSAG EGLSKKDFELMTNILARISNYRDKDGHDIAKDFQRIVSRRALPDYFDVIKEPIALSTL RQKIGKKQYSSYKDWIRDLFLIPFNAQTYNRRSAPVYGDSIILADLFRAELKKLVDDQ VISAEEAQEPDLGPIPEQEDSPPPNPEDEELEEDDEEEDEDEEDDDTDDDRPRRRKKG RRSSTTAKREGKTDDGGKDDPESQKKRGRPPKVHTPMEARINTLLKGLRKFKHPKGDL KILPFEKLPDKSVMPEYYQEIKNPIAMDLIKRKAKRKKYHSVDQALKDLELMFENAKV YNLETSQVYKDAVELQKEARILAEQEKKKPDSDFVDEDGRLPLPEILYKGEIWKVGDW IHLTNHNDMTKPIVAQIYRTWQDPEGQKWINACWYYRPEQTVHRYEKHFFENEVVKTG QYRDHKIEEVVDRCFVMFFTRYNKGRPRGFPADKEVYVCEARYNEEKFKLNKIKTWAS CVPDEVREKDYEMDLFDAPRKMRKVPSPIKHLLREDAKEDDPLPRPTWGVANAPPIVG AVHKRPREANESPPPEPTPSPPPPSPEPVRRPIMSEQRRYDSQNDVSMSGTTGHVTPS PSPMPSTVGQSAYGQQFAAPRPSPSPAPLNHQTSYGSHSSGSHAATPQTALYQSRDPY SQYTSATTPVAQTHSAALQSFAHAQYQSHTAPRPVAVTTGSHSSHTNAYNPPRPAEVW TLPENANNAIAPEIRAQFHTDEYGKIIFYTAPPLDVNPVPVETQTLGHSLRYLADKAR NKEADAKKRKARELEMESEAIETSKRVKVEMESERQSMIKKNLNAVKTWSQTMDAGTD ELYKQMNGEDWKEVRDLDQARLAVLQQQATTTEKENRKYQNERIAEKEMKISGKNL LY89DRAFT_708415 MRARLRAPGGASTITLPNDATVGDLITQIIEKTSITKFDIKYGY PPQPLRLEQQEKSLPLSQLDIKLDGEQLTISPRDDGVEKETNSKQESVTPANKVASKA GGPSTSTSSRFSFTGASSESIEKSEQKKERKQVSLQRKAMEGDVPELPLPERGATLVL RVMPDDNSCLFRAFGAAVLPGDDLTMTELRSLVAAAIQADPETYSQVVLEQKPDDYCR WIQTPDAWGGFIELGILAKHFDIEICSIDVQTLRVDKFNSDRPTRCILVYSGIHYDTI VQSPSEPPHLTSTNPPEFDVRVFDNADDYILQKALELCKKLQAKHYFTDTGGMAIKCN ICGVVVYGEGQAAGHAGQTGHYDMAEVRMLGGK LY89DRAFT_120345 MKTLTLVTAAIGMLQLASAQPHRRRNVHQHQHAKRDGDVVVTVV DTVYAEATEIPEVIVYVDQAGNPVSTTTQMVDVPLASIWSTAYGAAPSPSAAASSSTS SSSSSSSSSSSSPPQAQLPLHHGFGISYSPYNSDGSCKTQSQVNTDFESLSSYSLVRT YGTDCNQVATVLSAAKAKGMKLFAGVFDLGTLSSEIATIVSAANGDWSSFDTISIGNE LVNSGTASASAVVAAIGTARSLLTAAGYTGKVVTVDTLVAARANPSLCDASDYCAVNC HPFFDGTYTASESGTFLTTMIPTLQDVLSNKNQEIVITETGWPWLGETNGVAVPSLAN QASAISSIKSAFASSPDAVILFTAFNDMWKTNTAAQFQAEQYWGLGGTNPPSG LY89DRAFT_588789 MSGHPQQGHYDEGYGQHQQGNTDSYYQDEQGQGYYDQHGAGYAE PGHHQGADGYYDESGYYNADANNPYQHEGGYYEGQEHGGQYQDEYYNDQYYEQGAPAA GQQPQGQNYPKQRRGDSEEDSETFSDFTMRSDMARAADMDYYGRGDERYNSYNESQMG GRGYRPPSSQISYGGNRSSGASTPNYGMDYNNVLPAGQRSREPYPAWTSDAQIPLSKE EVEDIFLDLTAKFGFQRDSMRNMYDHMMTLLDSRASRMTPNQALLSLHADYIGGDNAN YRKWYFAAHLDLDDAVGFANMKLGKGNRRTRKARRAAKKKAQMDPQNEQQTLEQLEGD NSLEAAEYRWKTRMNRMSQHDRVRQIALYLLCWGEANQVRFMPECLCFIFKCADDYLN SPACQNLVEPVDEFTYLNNVITPLYQYCRDQGYEIQDGKYVRRERDHNKIIGYDDCNQ LFWYPEGIELIVMDDKTRLVDFPPPERFLKLKDVNWNKVFFKTYKETRSWFHMLVNFN RIWVIHITAFWFYTAKNSPTLLVKNYEQQVNNQPPASAQWSAVALGGAVACLIMIGAT IAEWTYVPRRWAGAQHLTRRLFFLIGMLVLNAGPSVYIFFVKDTQETKLALILGIVQF FIALFSFFFFAVMPLGGLFGSYLTKNSRQYVASQTFTASYPRLTGNDMWMSYGLWVCV FAAKLVESYFFLTLSFRDPIRYLASMKIEHCIGDKIIGTTLCFQQPKILLGLMFVTDL CLFFLDTFLWYIIMNTIYSVARSFYLGVSIWTPWRNIFSRLPKRIYSKVLATTDMEIK YKPKVLISQIWNAIVISMYREHLLAIDHVQKLLYHQVPSEQEGKRTLRAPTFFVSQED HSFKTEFFPSQSEAERRISFFAQSLSTPIPEPLPVDNMPTFTVLIPHYSEKILLSLRE IIREDEPYSRVTLLEYLKQLHPHEWDCFVKDTKILADETSQFNGDYEKSEKDTAKSKI DDLPFYCIGFKSAAPEYTLRTRIWASLRSQTLYRTISGFMNYSRAIKLLYRVENPEVV QMFGGNSDKLERELERMARRKFKLVVSMQRYAKFKKEEMENTEFLLRAYPDLQIAYLD EEAPLVEGEEPRLYSALIDGHSEIMENGMRRPKFRIQLSGNPILGDGKSDNQNHAIIF YRGEYIQLIDANQDNYLEECLKIRSVLAEFDEMTTDNVSPYTPGVDNPKTDPVAILGA REYIFSENIGILGDVAAGKEQTFGTLFARTLASIGGKLHYGHPDFLNGIFMTTRGGVS KAQKGLHLNEDIYAGMTALLRGGRIKHCEYYQCGKGRDLGFGSILNFTTKIGTGMGEQ MLSREYYYLGTQLPLDRFLSFYYAHPGFHLNNMFIMVSVQMFMICLINLGALRNQTIL CDYNVNVPITDPLFPTGCANITPVLSWVYRCIISIFIVFFISFVPLVIQELTERGFWR AATRLGKQFCSLSPFFEVFVCQIYANAVQQDLSFGGARYIGTGRGFATARIPFGVLYS RFAGPSIYLGARSLMMLLFATLTVWQPALIYFWVTLLAMCASPFIYNPHQFAWNDFFI DYRDFLRWLSRGNSRSHSSSWIAFCRLSRTRITGYKRKALGDPSSKMSGDVPRAAFTN LFFGEIIGPLLVVAVTLIPYLFINSQVGVVQDNNGDKELYATNALIRVAIVAFAPIGI NAGVLAAMFGMACCMGPLLSMCCKKFGSVLAAIAHGIAVVMLLVLFEVMFFLEGFVFA KALLGMIAATAIQRFIYKLIIALALTREFKSDTSNIAFWTGKWYSMGWHTMSQPAREF LCKITELGMFAGDFVLGHVLLFIMLPVIAIPHVDKAHSVMLFWLRPSRQIRPPIYSMK QSKLRKRRVFRYAALYFLMFVVALALLVGPIVAGSQILSGASSLTDKPSGTFYLFQPT GLNNNDTLGRNQTGTGALSTGAASTASATAKIRLKLKHTNPHHPDELQTPSFPRTPIM SFFNTSIENMEFQEAQKFVVRSVNEITSTFQKVPGSAMLIRYIRSSYQDDPVRSAIEL VLVIFFIRYLLSPSYSTKNGNFVKLTEEEIDDLVDEWQPEPLVAPETAFEEAQHEKLP VIVGPTGPRVKLSNGRTVTNLASYNFYNFVGNDQVKEKAIQTLRTYGVGPCGPPQFYG TQDVHMKTEADIASCLGTEGCIVYAQAFSTISSVIPAFCKRGDIIVADRAVNYAIRKG LQICRSTIRYYEHNNMEDLERVLQKVVKDQAKKPLTRRFIVTEGLFETVGDCVDLPKL VELKLRYKFRLMLDETWSFGVLGRTGRGLTEAQNVDASQVDMLVGSLAGPLCAGGGFC AGSTDVVEHQRISAASYTFSAALPAMLATTASETLNMLQTTPEILVQCRENIKAMRAQ LDPRSDWVHCTSSLENPIMLLVLKKDVVNSRRLSLAEQEVVLQECVDETLANGVLITR LKSMPVASGSNGKDDNEWKLQPALKVCITTGLSKKEIEKAGVIIRHAITKVLTRKTSQ KLALPVVS LY89DRAFT_120218 MQAEEGKETGSVPWQDFVARKIPPDSLFPWPPNSNSHRYCPGVA VARPRCRTQLLLIVLSGTRPGPPVSSVPCSAPPGFAFTTWFFCCAAHQSFSRST LY89DRAFT_783814 MKTFGVASIATAVLSGVSLFASSVTAADLPSIVIKGSHFFYENG TEFFIRGIAYQQDVNSNTTAGSTFTDPLADEAGCTRDVPILQSLGTNVIRVYAINASL DHSACMSMLQDAGIYVIQDLSNPSSSINRNEPEWNTELFADYAAVVDAMANYTNVLGF FAGNEVSNDVNNTEASAFVKAAVRDMKSYIKTKGYRTIGVGYATNDDANIRINLAHYF NCGSSDESIDFWGYNIYSWCGDSSYTESGYDQRTAEFANYSVPAFFAEYGCNTVEPRP FTEVQAIYGENMTGVWSGGIVYMYFQEANNYGLVSVDGTSVTKLAGYTSLSAQLAKAT ASSTASSAYTVTNTVAQACPATGASWAAASALPPIANADLCECMMSSLSCQANTGLSG NETATLFSTVCGLDNSACAGITANGTTGVYGAYSMCTSYQQLSFAFDQYYKTQNKAST ACDFNGNAKVKSSSTSSTCSSLLSEAGTAGTGTVTNAATATATGGSSGASGSSSTTSK SASGAVIVPRFDMGLLHLGAYLLAAGLAGVGMIVL LY89DRAFT_649201 MARIVEDSDDELPELGDLVKSLKGGAREVLTKKDVVTGRDGDGE RRISEEKEKKMEVIKKKRVLKKVADNPLLRPLDAQPKSKPKAKDVSGGAKSRLGSVAD LDGPEICSGEGEKAVKAGLKGEGGKRTASGDAKGKSRSCTRLDELLPDEEKKSTRPKS KVQTSEGDVGKRTVSGSSGLGMKARNTAPVSSKAKTTKTTKVVEESDPEEHYDSEGLS DFVVDDSTFLEEEDSGIEMPPPPPRSTRKLVQGRRVKKDESFNDDDLDLELKKLTIKD DLLQEKELKVFVAEFSGEEDVPRKSVPRKLFEDVRIEPPRRLKDASPQKKYDPPSSDI EDPFTLRYSPSENKPKKISKETRFRTPPRSPEPKPRGLQSPKKTFQRIPSTPHRQSMD TFWQQDVINDWNDEYSPRKTPKPQPKPKSEDDTSSTILTSPKKSPTKQDRAAKEAKKA FSRSKHEIASSFLTELDSKITNNQISTLSTSTGGVKIIWSKKLNTTAGRANWKRETIK SLSSAPTYRHHASIELAEKVIDCEDRLLNVIAHEFCHLANFMVSGIKNNPHGKEFKEW AAKVSTQFGDRGIEVTTKHSYVIDYNYVWECESCGVEFKRHSKSVDPARHTCGSCKGR LRQTKPPPKKTGEGEKKVGEYQMFVKENMKRVREENPGSPQKEIMGLVGKRYQEYKAS KLGGGTVSVDEVEVEVEEEVGDVVRKLDFLDLTSP LY89DRAFT_783816 MASYTSTPLFGGALIVDLPSTFADVSTIRQVPDHQEVYLDKDGF TSIIFDITERVGLPGSGPAVDGAALTTHLEDIVDSDTDTVKVWNTSNTQFSKLPEGIP AYTLIATQTPAAAPNSKNPDFTAIVLTLIRLEKESTDILVTINVPHIKGEYTEEEIDM QMGKQGKLIEMAVEFAAKIWETFKIKDWGLFNEV LY89DRAFT_686394 MSAACIFCKIVKGDIPSFKLFESDKVLAFLDINPLSKGHALVIP KFHGEKLTDIPDDSLAEILPVVKKLVKATGAENYNVLQNNGRIAHQEVDHVHFHMIPK PNSEEGMGISWPQQKTDMDGLKALLADIKSKM LY89DRAFT_671152 MTGEALIGRPGTLTPDQEEKLREFWIATLQVFGVLDAKDLNGNG HTEVSNGRIRSDTANSSKKPKKKRLSLFRSKNKEDDTDSVTSTESHPASDGDDKYGQT KEFHEALANMSPATLRATFWSMVKHDHPDALLLRFLRARKWDVEKALVMMVSTMRWRS NEVNVDDDIMANGELSALNDANSSDPAKKKLGHDFLAQMRLGKSFLHGLDKSGRPMCF VRVRLHRQGEQSEESLERYTVFIIESARMILSPPVDTACVVFDMTGFSMANMDYAPVK FMIKCFEANYPESLGVVLVHKAPWVFQGIWKIIKGWLDPVVASKVHFTNNNDEMEEFV PRSQIIKDLGGDEDWTYKYIEPVPGENDTMKDTATRDKLLAEREGFVDEYEKSTLDWI HGNGDVSAVKLRRNELANTLKEDYWRLDPYIRAKSFYDRTGMINPGGKIQFYPSKETV TPTTNGVAKPVETHADDVD LY89DRAFT_708423 MSSSTTPQAGSSTKRSTSGTRRPISRPTTPLRPSSRSSLRESGK ASLGGASDAPIEAFEPAFAELSDSMADLEANFMHLQLMHESLARFSENFASFLYGLNM NAFCVDFPEAPIPDSFRRAREQEEQHGRPRIVNSDFTC LY89DRAFT_686396 MGIPQKSTRTKTRRRLRDLDQISEDLRSPKHLEQFKTLKAPEGL PGLGQYYCIECAKFMESEHALITHRKGSTHKRRVKALKDEPYTQKEAEAAIGLRTDNG PKQAAKENTKDMEVEMENSGFLEDSIVT LY89DRAFT_686397 MLATRQFLGVAQRRAAFAQNGLRRMATVSDAPLDRKVRQNNQES NNFINYKKMSENLAIVRSRLNRPLTYAEKILYSHLDDPHGQEIERGVSYLKLRPDRVA CQDATAQMAILQFMSAGMPSVANPTTVHCDHLIEAQVGGPKDLARAQDINKEVYDFLS TSCAKYNIGFWRPGSGIIHQILLENYAFPGGLLIGTDSHTPNAGGLGMAAIGVGGADA VDVMAGLPWELKAPKYIGVKLTGELSGWTSPKDIILKVAGILTVKGGTGAIVEYFGPG CDSVSATGMGTICNMGAEIGATTSLFPFNDRMYDYLAATKRSEIGDFARAYAKELTTD PNAEYDQVIEINLSELEPHINGPFTPDLATPISKFSEAVKANNWPEELKVGLIGSCTN SSYEDMSRGASIARDALDHGLKAKSLFTVTPGSEQIRATIERDGQLETFEEFGGMVLA NACGPCIGQWDRKDVKKGEANSILSSYNRNFTGRNDANPATHSFVTSPDLVVAMTIAG SLHFNPLTDKLKDKDGNEFLLAPPTGAGLPSRGYDPGQDTYQAPPATRDAVSVAVSPT SDRLQLLEPFSAWDGKDALDIPILIKAQGKTTTDHISMAGPWLKYRGHLDNISNNMLI GAINSMNGEANKVKNFTTGEFDAVPATARDYKAKGIPWVVIGDWNYGEGSSREHAALE PRHLGGLAIITRSFARIHETNLKKQGMLPLTFDDPADYDKIQPEDKVDLMCTELAVGK PMTLKVHPKNGDAPFDIKLNHTFNEPQIEWFKNGSALNTMAKAHA LY89DRAFT_708425 MAPSLPQFSAARMRSYIFRLPLFTRGIIFAIVALWLASLLPVLD VQQWGSLIPKEIGISTMYRTNTFPLVHAGFFHAFMNLLALTPLLERFEAEYGTLTTLA LFLGPLSTIPALLYTFIERGILGMNTAVLGASIWVFTLIAVEAVKTYKSNPYFMLGSA QIPTWITPFVLVLFVSFLIPNTSFLGHVCGLAFGYGWGLGYLKFLAPPDWALRWIEGK LNLLGRLPHYVSVDQKTYGRYGVLPTTNPTETSGVLLRRNSLILKLPPYLSFLCIAIG VAWLLVLPLDDYSRKTYISENALLPGQVHTYFAGSDQNVFRGYKHEVDALEEKSNIEV NDKLEEFFKASGLKIARQSYEYKSAGETYKGENIYAILHAPRGDATEAIVLVGAWRNI EGKLNRSGVALVLTLARYFKRWSLWSKDIIFLITADSKAGPQAWVDAYHDTHQSPAIA SLPLKSGALQGAVVIDYPFDHRFESIHIVYDGINGQLPNLDLLNTVVSIASGQMGIGV SLQQMWHHTDNYKDRLKTMLRGMMNQGLGHASGPHSSFIPYHVDAITLQPFGEGWQDE MAMGRVIESTFRSLNNLLEHLHQSFFFYLLMQANRFVSIGTYLPSAMLVAINFTIMAI FLWVKSGAVEEPKTKTASTIESGEEKSQLVVVQKGDAKALVPEEILTVRERELFVPLA VVAGSQFLGVLPLYIFNHTPQNMLRSMFIIFAIINNIFPIVLSKTIIQYFSPTIQQYQ LIKAFSLLLLGMFLSSLATLNFSLAFLVGLFSAPLTYIQPLPNKPIISGILAIPLSLL APTTIMVAGTWYWGLDIGEVLKEAAFGWDVWGMNTQVVVWCVWWPAWVVGMILLFGSP REETRGKKVEK LY89DRAFT_686400 MNIDTIPEFLAEQTEKAHSDLQPLFLDFEDLWEKKLWHQLTDAL IEFFNHKESASQRLDIYKKFILSFADKINQLKLVTLALSAATQCKTSEERLSFLTALA NKVNGPNSQDAYVYATVAVATIKLELDDKDGSRKDLDKSEKILDGFDSVETIVHAAFY RVNAEYFHSKGEYASYYRNALLFLACIDLNDLTPNERKQRAYDLAIAALVSDTIYNFG ELLLHPILDSLKSSEEWLYKLLFAFNAGDLIAYDSLANHITSNEILRQHQDGLRQKIY LATLTETVFRRPPHDRAMSFSTIAEETKVRPDEIEHLIMKALSLGLLRGSIDQVDEIA RINWVQPKVLDMKQIDNMRARLQEWDSNVNQLGHWIEAKGQDVWAA LY89DRAFT_619868 MQKSCSMNGLRRTTKSIVNNAPLRQHISDISITRTGKPIIRTQG GRSSLGGHTVTVFGATGFLGRYIVNRLARQGCTVIVPFREEMAKRHLKVAGDLGRVIF MEYDLRNTPSLEESIRHSDVVYNLVGRMYPTKNFDLEDVHVEGAERIAEAVAKYDVDR FIHVSSYNADVNSPSEFFSTKGRGEQVVRSIFPETTIVRPAPLFGFEDRLLHKLAGVT NVLTSNHMQERYWPVHAIDVGEALEKMCHDDSTAEQTYELYGPKNYSTAEIAALVDKE IVKHRRHINLPKSILKPAAGLLNKALWWPVITADEVEREFIDQKIDPTAKTFKDLGIE PAELSSLTFHYLQGYRSAAFYDLPPATEREKREEKKYLHVIDDQ LY89DRAFT_686401 MNNAIEEENDVPVLSSSALDALKEFYADRDARAKAFEDLKAGAE DEAQSRAKWSMESFQEDWNESQFWYSDETATALAEELLDGAEKDTSIAVVSAPSVFVQ LKNILAEKPEDERPKIWLLEYDKRFEVFGDEFLFYDFKDPLKLPPSIKSTIDRIIVDP PFLSEDCQTKAALTVRTLLKSQDPTGSRLIACTGERMSTLINKLYRAQGIRTTTFEPV HEKGLSNEFFCYANFECKRWTFRVSKEGAET LY89DRAFT_735813 MPSDASEQESYVFRQRDETESSRLNFQHTIALKVSQGHLIHPSI DISSLKAVADIGTGTGIWLEDLQQQVHSQTRLDGFDISAAQFPSASKCTYQVHNILEP FAPEYHGLYDLVHIRNMVESGGYLQWEDFDFERIVTTGAASPTHAELISLTCSFFTGL GMSLNCSIRVEETMKQAGLADAIREQRNSYWDKSLDSDTKNWCWQSFGGFIPKAVLWS GKAKDEETAERMINEKLQVLGKEYQSGAVPNFAQRVIVGRKA LY89DRAFT_735814 MAPQNNDKPKRRKKRKSRTEVSSDSDSETSPATEQPSKKFKSPP EPTTLSDAEVDKAFTKFYMQRLTTEFEDDLDKLRKADDFKDDALEILIGALQQGTSMF GMGEKRRIVEAGMGKKGE LY89DRAFT_735815 MRSPTFSALAAAVLLGLLSVVVAHGHDEDMNMDMGVARPTIVST TAPPTSVPVPVTYWNYGEHQGLLTAHIALMTVAWIFVLPISVMISIARSRYTLAVQFL FLAINAIGVFLITIYNASTPDFYPNNAHHKLGWILTWVASGQFLLGVISAYAGRSDDR GSFMPVSTAAMEEHTRRHELRRAESYRFSNDSGQGTEPNTESLRSQSISSTHSDLPDA REHEEDESEEKGLMHGSKVDKFLKSKIPGMLSSRVLKGLRFLYNAVDRVILILGFVGF TTGIITYGGFFMGNKVFSGLAHWIKGGVFFWYGILTLGRWAGCFANIGWAWNVKPLTS RAVSAEFVESFLIFFYGSTNVFLEHLAAWGSEWSAQDLEHISITVMFFGGGLCGMLIE SKRIRDLLNAVRYTGGTTYDPDTTAEPKSYRTPMNPLPALIVLLLGLMMSSHHQESMV STMIHTQWGTLLVGCAFARAATYIIFYLSPPTSIFPGRPPTELITAFCLMAGGMIFMA SARDTVEAMETHNLDAMFIFTVSMGVITFLMAWIIVVIAIKGMAVRRENRGTLAFRG LY89DRAFT_698389 MATQIIHLPEVDRLSARIIRILGGNPGKVRTNTYIVGTGQKRLL IDTGEGKPSWIANLKDVLTKENIIISKAIITHWHHDHQGGIKHLLECYPGTDIFKNQP DAGQQDIQDGQIFQVDGASLRAVFSPGHTQDHMSFVLEEEDAMFTGDNVLGAGTAVFE DLATYLNSLEIMRGKFQGRAYPGHGPVIEEGPAKILEYILHRKQREEQVVQILKSKSS NTAESQNSEPDEWASMDIVKIIYKDVPENLHVPAHGGVMQVLRKLETEEKVVQHPKSE KWRIKDRAAL LY89DRAFT_735817 MASSVPPSPTTEKPAKSDIPAYKPGVLSYGCDHIRRSIVGPNSA FVKNYKHALSTICNSSPIRSQHYKDEKGRVVSRIGPLYLCLQCESTLPEEQIDKHSLE TKHRLCMSTCKSMFVESRSGNLFCNMCKDFVFDPVLESLRTRKVETGSYSLKRKHDEY AELFQENTIKVASKDASKQSCSVATVRGIWNMGSTCYMTVILESLVHNPLMRNFYLSE GHKSSTCTKSLNNEPCLSCFMDDMFQQFNNTETTSAWTAQNILGSFVFSAKPAYESIK PDEQQDAHEFLNFLLEELHEINSVDPRSLIANSSPNKRLKYDGEDCKCVIHQTFYGKT LSIIRCKGVNNGKKCGSVRRTGLQQFSDISLGLDFLSTQASTKKHSLEYCLKKEYFTE EQCDYSCDACGSKKATKQVSIKMLPNVLCFQLKRFSQKGGNAIKIKNKVSFPFKLEML PYTDKIDEAKGHGPAQYALKARSTYHLQSVVTHIGDAIEQGHYISYSKHDNQWFKFDD HKVYTASKSEVLGVEAYLLFYVIQSMATTEEKKKV LY89DRAFT_686406 MSYQKNPDKEFGEGPKTHKIRITLTSRKVQSLEKVCQELIERAK TKDLRVKGPVRLPIKNLKVTTRKTPCGEGSKTWDTFEMRIHKRLIDLNAPTEVVKQII INIEAGVEVEVTIAA LY89DRAFT_708433 MAAQTSFPPMVPFPEAAWRNHIVPEEWEACLDAWITLAGAHLSL SSPDFVRISCKDESIPIFLTSYAEESALSHDVPHSSPSKSKQLRRQCYLLSRRLLESD DSLESLLRWQFLADFSKLYGKEHGGQVVAIVWKRHLASLETSIAALKTVLIKDLDAGL KGDLKTAEASLKRLNHLLHASPQTAAFFMAGSDFLDSLISCYKLMNPPLRKAIISTTY LCLIGLTEGEKPNFSSLVDQLYSLKAAAEAHKAGPTNVNDSLVAELVTSTPVLKQIQQ KIESSGSGSSRAKSVITALEGFKKAGGSGRPRHLVKQKINKGKGIALDEYGHGSHGQI HIHRMSLISQVQDLFPDLGSAFVVKLLDEYGDDVEQVIAHLLEDSLPAHLEKVDRSEA LDDGQIHDHRLDMVPHSTPPQIPSRRNVFDDDEFDQLAVDTSKLHFGRRNPNKTADDV LQDRSTAPNKAAILSALAAFDSDDDERDDTYDVADVGGTVDSATPGNNPEEANADTRD ADDEALFRAYKMSPDIFGREAATRRGKPRASLREETGMTDEAIEGWGLMLSRDPRQMQ RLEANFSNFTGAQKELAPTAWRASPAGSGTEDSDIDGNGRGRGGPRGRGGRGGAGRGR GRGNVVGPTGERDTEVARQRKEANKGSRANHNRRDQRARKMARGGFPG LY89DRAFT_121448 MGLTEIRNVCEVSLNIPAEKQEKLHNRWHPDIPFAGTIKNGETV KIECVDWTGGQIGNNNSADDMKNVDLTRIHYLSGPFEIETAEPGDVLLVEIMDVQPHD KHPWGFTGIFDKNNGGGFLDEIYPTAAKAIWDFEGIYCSSRHIPHVKFPGLIHPGILG CAPSAEVLATWNKREGELIAANKLDRIVAQPPEPENVHAGSASEDIKAKVGREGARTI PGRPEHGGNCDIKNLSRGSKVYLPVHVKGAKFSVGDLHFSQGDGEISFCGAIEMSGIV TINFKVMKNGIADLGMKSPIYLPGPVEPHYGPGRYLTFEGFSVDEHGKQHYMDVTVAY RQTILRCIEYLRRFGYSDYQVYLLLSCAPVQGHVAGIVDIPNACTTLGLPMDIFDFDI SPTGPAKKLDMGSCAFETGVKEGKVTSGGANSEHSFGGGLTFKEKTNVVENLKEKVMG S LY89DRAFT_751316 MSQVRPRSQRPMLTQEQLKKKLEVTNFDPNAILRGAQLTVVGAL RALQNPALFTSEHYKQAALAVAAGIAIRLAISIPIIGIKVLLWFLSFIFNFEHSTWDD KIVNGLDFISEYVLQVPLFLMTMMRHVTPTLDNIPPLHSSKFRSHPFRLAWVDETYYK KHEGEDPSTLRETYYPNLRKYATRDGSTHSKSTAEALTMFLMRFGKKAGLSLAVFTLS YIPYIGRLVLPAASFYTFQNVVGLGPAGIIFGTGIFLPRRYLIIFLQSYFASRSLMRE LLEPYFSRIRFNKEQKKHWFHDREGLLFGFGVGFYIFLRIPLLGVLIYGIAEASTAYL ITKITDPPPPPNQSEGFAASQQEWRNKHEFLSVKLWDLDTNHNHGLSQATPNPANTQG TSSSIPSEPPPPYTEMRSR LY89DRAFT_619896 MMASMEPTTPSVKRSFSLPPQTSKTASSPDSQIEILYNLPSVRI VQFNAGKGTASVTSSSRPSSSSGSPVIEEQPGTLSWVSRFERTIAVGALRIYRAPGSV AFLNCQSALRPILPKSQAWCVDGDSKFVLQIRPPQYWRIEVPNTSAAEKLGVEELKRV LDQVLRFEKTPCPFQRDFTVELPEEPQTPIKKRPWRPVERPKSEEPPESGRSRANSAA QTPKPTSPVLEPTLSSGDSDHPPTPLKRPDVPRLISEIEVLSQAQDTKSATLDESIAD EETQVLPEVEADCRDDDRVTLDTTSNFAEGSLKPSLLESPDAEYRFESYVDSEEENDS FSDATDDTGLTPKESNQPFFQPLTAEPDREKPQALQNCSRSVTAPPVLSLVTSPPSKH RTKSTSPLRYSTNVESNSDFSSSVDSFHSVQSWHSPLAPPSPPASQPSSPATTYPYPH ENIVLPKRPLHTRDASEQTVSPETPRIWDVAADNPSDSASRGVSPPPKTPPLVNDGSE KSDEEPAEVVTPPTVRSTIRHRATTSSNSRRRALSPLPPAVNLFSPPRRRPRKLQTAR HLPTAIIQKTCEILLSPPSHLFHLMISIATKIAAGEWRGMLSSHGEAVHWDFEDEYGG DPWFEDDYNMGPPRLQNKAKSTSSNTPGGSWEVD LY89DRAFT_619898 MDFINKFTGDNNQEQNQSQNQQTNQQQSSSQQGGGMFSGLGDKL NSAAGGGRESEKNEDMLDKGIDFVQEKFMGQGQQDNESAVEQAKDEQISDFIRKQYKG ATGSDVPIKDKETRFG LY89DRAFT_121570 MQIFVKTLTGKTITLEVESSDTIDNVKSKIQDKEGIPPDQQRLI FAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGAKKRKKKVYTTPKKIKHKRKKTKLAV LKYYKVDGDGKIERLRRECPTPDCGAGVFMAAMHDRQYCGRCHLTYIFDEAGK LY89DRAFT_783839 MVKKRASNGRNKKGRGHVKPIRCSNCSRCTPKDKAIKRFTIRNM VESAAIRDISDASVFPEYTVPKMYLKLQYCVSCAIHGKIVRVRSREGRRNRAPPPRVR YNKDGKKVNPNQAAAKTTQA LY89DRAFT_686411 MFSSGAAARQLSRACWRSRGQVLSTRRCLSQSQARIAGVRKKSG RNSGIITALLVSGGCLYALGYPRSLEAEAIPAPAEIKFEEPRKKATSREDNRDLISSQ HLQVKKSWENPGVYAWGSNAGRVAAPDSDEQFIKTPRRIPYFDGKIIRDIKLDKTFGA AITENGDLLQWGTGFSPTSQGPTPTVRGKDLIKISISKDRIIALSSNGTVYSIPAIQE DQISGPKPLENTWFPFWKNRSAISYRKLQPSNMSWSEKVVDVRSGLEHCLILTSSGRV FSAASGTEDFPSKGQLGVPGLTWTTRPEGAYDVPHEISTLKGFEIIKIAAGDFHSLAV DKVGRVFTFGDNSVGQLGFEATPESPYIDAPSLLPIDKLYKGTNMVPQVIDVAAGGVN SYFSIDATRVAGQSEEDPRGLGRVTADTWACGQGIWGGLGNGRWTHVQGVPTKIKALS GLFEYDETTNKVVPIRLAHLSVGSTHMSAIMSNVTYVGAHDKSSENDTNWGADTLFWG GNEFYQLGTGKRNNVSNPTYIAPLDIEADKEKGRREEHRFQITPRKTIKVGGRKVSVE QRVECGRGVTAVYSGT LY89DRAFT_708439 MYEDSWYSYVPNSQSKSDAAASQSAKHHRRESLLKQTNGNDSSI NAGPMMEIFDESTSVKGPPKATLARRAKSYSDFYDVAMSFLAKEAKTEAPRDALDSNL DNSAPVSMTARYEGVEDELLDGNQEEYQLYRDQLALSERHLNTLLNDTTDALDLLASL SESFKAVESETTAFQSQCEDLLVEQQRLRTLADEIGTDLQYYAYLEPLTRRLNAPGAA RLVRSDDFLDMLMNLNTCIEFMDLHPNYRDSTVYKTRYISLLERSLNLVQTAFSSAMK EVTDEVTKELRSKDHNETAEYILLHGRYETVHANLGLQVEKLLTTRDFAFGQAGSNDP PGPHVFRFHELYYQVVDSYLRSREPVVIVVAKTLKKYVANEKPDTEFESFARLCIQHI LDVCHNESKLVTKFFHGGPLLADYVPLEGWNKSTEYAGRLEANILSHLEPLNTTLIPF LSTGGVEKICKIVNWLENIYMSSSDGDSEDYSIKDRKPIAQAFLVRHLYKLLDTLFLK TAGEINHFKPTQEDLRLAINAAPLAGGKNRPTTSNGQSNDEKPPTPSTQGPPGSYTYP TVQTAIKLLVMYHEGTYDRPRDSNVLYEIVHQVTESLQKAATTIKHTSGMMDAQLFLI KNLMLIENLFMTKEIPDSIRQSTEFDFTPIWNTMKELQDQKQLYNPVAYISPLVKGKL LPAVVDRMLDARKDLEKVLVQQITAFTKTWQGRLADAKNREDMEKELDALLNKVFDDE TTRAALWKMIRSDE LY89DRAFT_686413 MPPPVCKFWQQGSCRYGDQCRFDHPVNRGGYTSGNRYGALADSN SNSNSNSRNNNYQSGSSSSNRGLPYTLDKNAILTDLTTERPQWILSAYGPGRHAPAQL FGGLPREQSFEEMRLLHYMGLASGNPQQVVQEADSLVQQSEQQMQTAVNIIDSAIQYL INAEKEHPNRIDICKGGQEGPGSQPNPLGGNTPFGAPSNTGAFGAPSQPSTTPAFGAP SVSTGAFGQPAQLGQKPNPFGASGLAFGGPSQLGTTGAFGQPAQLGQKSNPFGAPPAN SGFSAFAGASNTFGQPPTSTGFGASSQPAISSPFGAPSQPAASNPFGASPQPASSSPF GAPSQPAAANPFAQRAPSNSSPFGAPSQPAQQNPFGSSSAAPFGNTPTAAVNTFGAPA KPLPFGQPPAASNPSPFGNPQPNPPSSFPSFGQPESSGSTNGAPFGASRPTNGFTNGA SASQSQDVNTYSTRDAGGRLLTWKNRRVVYKDQTPGFTNQNGQWEKIWFPNGPPAPDP QAFLPAEMYDQATKDQYEYVRQHGKFKDGIMPLLPPMLDMVKWDF LY89DRAFT_735829 MRSRSIKGTRSLATTALAAILTRIDELSVDLLMDMPAVLLQGIW QEIKNRQLVSFHVWRVFSVLLKKDDATLRILRHRDQITKPKSPLRFYTTPLTSESFEF LTYLSITTTFAIPELVKLSAVKNLVALEIVSKDKNSEHCVSDRVIRAWSFAANSEGAF QVLRILKLWKHLNVTNVSLAYLNSFPSLAVFDVTGCGFDLTASVKARDFGWKPTIDPN LLGQFEAACVERAVVMRAALGKEPLPSRKASAQQLGLDALVSRLPRADVPGFLSRDET TIRPQSPKDPTALDTHERKDPSMSGKTQAVDVSQKDKPKLNRSIPVWDFPVYTKINRI GELRNDGDLQRAGIEIGDQPVVENDLICPIPIVSFRIGPTPACLVYTMKDSAQRAPNK STYSIVEPLQESVHTWKECPPKGGARQAQRLAFTRIKYDVTEVLPSSINQEVPSARDT GTTQAHLKRPSIAGSRPTTSGGSAPAKRRPIGRFGLERKRKSLGDVLGSFLS LY89DRAFT_686414 MFKKSGMLLSSNNLHSFQTSTSPSKTSSFPASGTLQCRSYAMVS DGHSRHNHGKHRWPEVASANAVPTPYQIFGQRKGSPYSKKRFYELVKQYHPDRHDLES SDDGLSYTTKLERYRLVVAANDILSDPVKRGAYDCYGAGWNGSPDVLAPRDSSESAGG WGTYGGRGWGGGPAGPSQNATWEDWERWYQRDAKGPQEPRYVSNSAFVGLIMIFAAIG GIGQATRAGSYGATFLEQRDALHDNISKDLMRRRKETTTIYGSREERILSFLKQRDPE GYGLLDPKEEGYRKPLPPPEICSGKEVKSLQIGIYEDKSNPSKGA LY89DRAFT_619916 MGGGDGYRSVAYFVNWAIYGRKHRPQDLPADKLTHVLYSFANVK PDSGEVYLTDLWADKDIHWEGDSWNDVGENLYGCMKQLNLLKQQNRSLKVLLSIGGWT YSSNFPVPASSAAGRQKFAASAVDLIKDFGFDGIDIDWEYPKSRTEAEHFVLLLKECR EAMDRYSATLPHGHHFELTVACPAGAQNYQNMDLRGMDQYLDFWNMMAYDYAGSWDSH AGHQANLCASSDSKKTPFNTEQAVEYYKSQGVRSEKIVLGMPLYGRAFENTDGIGKPY GGVGQGTWENGVFDYKALPLAGAEERYDGEARASYSYDAAKRHLVSYDTCQMAREKAE WIKRNRLGGGMWWESSADKQGGESLIGNVVSVFGELERKKNCIEYPHSKFENLRKGFQ A LY89DRAFT_686416 MYAGWNLVLTLIISLLCRQIDAFWPFSSVVSAESGDADTVYADP TAKRIAIIGAGAAGSSTAYYLQQYANKSGIPINITILERNSYIGGRSTTINAYGNPLE PVELGASIFVEVNTILKNSSEAFGLRPREQTEGDEKELLGIWNGKEFVFQQQDSGWYY WDIAKLLWKYGLAPIRTQRLMQSTVGKFRKLYEFPFFPFRSLSDRVFDLGLTEVTALT GEQLLKDNNIGPPFTTDIIQASTRVNYGQNLNVIHGLETMVCMAIDGAMQIEGGNWQI FAGMINSSQALTLLNTTVTSVAKKSGKYNIKTTTPSLTSSEIHTNSESFDTVVLAGPL QYSNISIGKDLLKRVPDSIPYVTLHVTLFTSPHTISGSFFNLTPGTPVPSTILTTLPV DEVPPNASHGVGSAGFWSISTLRQVINPNTLEKENLYKIFSPAPVTPDFLSSLLNTSI SSDFALSDDLTWFYPHVWQSYPYEYPRVTFEDPELARGFYYTSGMESFISTMETNALM GMNVARLVVDDYLQLAEDKGAVGEEKQKIVGGDKGHLEEL LY89DRAFT_686417 MSAAQLLNPKAESRRRGEALRVNISAGEGLQDVLKSNLGPLGTI KMLVDGAGGIKLTKDGNVLLREMQIQNPTAVMIARAATAQDDICGDGTTSVVLLVGEL LKQADRYISEGLHPRIITDGYEIAKTESLKFLDEFKLSREVDRELLLCVARTSLSTKL NHTLAEKLTPDIVDAVLAIYQAPAKPDLHMIEIMKMQHRTASETQLIRGLALDHGARH PDMPKKVENAFILSLNVSLEYEKSEINSGFYYSSAEQRDKLVESERRFVDEKLRKIIE LKKEVCGNDPKKGFVIINQKGIDPLSLDVLVKNGIFALRRAKRRNMERLQLICGGTAQ NSVDDLSPSILGWAGNVYEHQLGEEKYTFIEDVKEPKSVTILIKGPNQHTITQISDAV RDGLRSVYNMIVDKSVVPGAGAFQVACAAHLNSEAFRKTVKGKAKWGVQAFADALLII PKTLAANAGHDIQDALASLQDEQAEGNIVGLDLKSGEPMDPVLEGVYDSFRVLRNAIA SSSGIASNLLLCDEMLKARQMGRQGGPGPGMDE LY89DRAFT_698401 MPKIQFSFNDETPDAKINREKRQTKVKEEFQKAWSGYRKKAWLH DELSPETGGFKDPFCGWAATLVDSLDTLWIMGLQEEFENAARAVDLLDFTTSPRGDIP VFETTIRYLGGLIAAYDVSGYKYKNLLDKAVELAEILLGTFDTPNRMPQAYYYWKPTY ASQPKRANIRTNLAELGSLAMEFTRLAQLTGNQRYYDAVARITNALSEWQDRGTALGS VFPENVDASGCNRTAHAQQPMSAEGEPAIVPGKIVDDPIGYQPDTPGNVPEPKPRKRP TKDGPHTLDFQVAEGQPGTPGKGHIAGWEDSGKSSEKKLGKRDRDTSGRPTNATQATP TTDAITGLPLDIPAARAQVGDSLGQWDCHPQGLEPAAQFGAEKFSMGGGQDSTYEYFP KQYLLLGGLEPVYKKMYLKTIEDIKKYMLFRPMTPDNQDILFSGSVTTRGKPEQDAKL SADVDHLTCFIGGMVGMSAKIFGLDEDIEIAKRLTDGCVWAYGSTATGIMPEGATVYP CESVQDCTWNQTAYYLFLDPMGSTRDLQVEDYLATQAEREAEEAAFLIASGTKEDDSG AKSATGLDIPAGSSTGHDYDLTDPSHGTESLKKEKPISLAKRQDNPKNDLPKPITHNF KDDLPSKPSMQKNQNKNQPSDIKSEAQAAAKESLKQKSDLTEAELEDIARSGRQAEMP LVEHKPTHEDLPPDPFRPLNHTEYVEARIKQGNLPPGFVTLKSRKYILRPEAIESVWY MYRITGDPTWQDKGWKMFEAIINATSVTYGHAAVYDVTEPTPYHMDSMESFWLAETLK YFYLLFSTPDEISLDEWVLNTEAHPFKRPS LY89DRAFT_686419 MLRFFRKICHFDIKPANVFIGDRDQSEHRRLEVFKLADYGLSLF APGPFISEMERVDWIEETEWRASPKYMSPEQLYQKHQNRDIGPAAGIWSVGSIMWAIC AGKNPEIRYVFNHKLAKGFSQISGDPQVLFNTNLFPYSNHFINTLCGCLTYDPADRLT SDRLLKECLRMVNIYDKQSIDEPIVPDSLTSFNEPEYNELPMPSGPPRTRFQLQNFNT PVRMKLSKVLPRSAGDQDMIDVEETNFPELLFSAHVPAAEPTQANYPFVDLSPTPPGP PES LY89DRAFT_720234 MHLMDLIHQKIELFRLEQRYTRRRNRRSTFVSEAQYVDGEYIYS PTSSYSAKCSAGNSDSDEAESPTTSTASKDSMSKASKRLSRMGLEKMDWRKGKEDNNK RQSRVVVSEREVKWDDSVRR LY89DRAFT_121888 MILRGLHRASCSTARASVLSKRWNKAGLSIRVFTSSSRHWKDSK PTIIQSQARPQPKSADDLPGVVPETAKKPGAVPPKPRIDPLDLDDKTNKEQRKADWAI LKEMSKYLWPKDNFGTRFRVGIALTLLVGSKVLNVQVPFYFKSIIDAMNIDFAAVGGT ATTVAGSMILAYGITRIGATVFQEIRNAVFASVAQKAVRTAARSIFGHLLALDLQFHL SKQTGGLTRAIERGTKGITFLLQSMVFHILPTILEISMVCAILTYQYGAKFAAVTATT MVAYSVFTIWTTSWRTKFRRAANKADQKGQSVAVDSLINYEAVKYFNNEKFEIGRYDL SLKEYEKQQINIQTSLSILNSGQNVIFSSALTAMMYLAADGVAKGTLTVGDLVMVNQL VFQLSVPLNFLGSVYREIRQSLMDMETLFNLQKVNVAIKDAPDAKHLKFAKGGEITFE NVTFGYHADRQILKNLSFTIPAGKKIAIVGPSGCGKSTILKLLFRFYDPQEGRILIDG QDIKGVTLESLRKAIGVVPQDTPLFNDTIEHNIKYGDMSASPERVLEAAKKAHIHDTI SKFSEGYNTMVGERGMMISGGEKQRLAVSRLILKDPPLLFFDEATSALDTHTEQALMQ NINSILKEKARTSVFVAHRLRTIYDSDKIIVLKEGKTAESGTHRDLVDTGGVYSELWS NQETMFSDDEREVEIDDVNIEEVKDQPSVPK LY89DRAFT_649289 MRGRAPLGKSVRGFRQLQCPGVSLHARRCIQISATPTTESPNLT GDVLSSSIDAPSDPADARFEVLGAPYSLLSVSLSASQKLYTRRGTLVGVSGKSENAQS TLSILEPFRRAPLGIPFLYQRISSTSPITALISTKSPLTSFTVLHLNGTVDWMVAQRK ALLAWTGHTLSVTPTMNTKMSLAHWGNSEVSGRGLVALAGPGQIYQINLRQGEEYVAH PGNVVAYTITQHPPLPYRLKSSSLRFQVPNLGVGYLLPDIKFFKVMKETQTWKGITSL LFNLRTAARRTIWGDSLFLKFHGPSTILMSSRAARISDVLTSRDVNEIADSPAGAVQS AVTLMSKPKDEDVSSKQISDVPTGFHVAEVSRDGKVKFEDAQDIKSFVR LY89DRAFT_720237 MKLIIFISIFLGLLASSFAVPAASGLPYGLSAVRSVTELPYPVS KMTFLGTLGGHDVQLNGSIEEIHSQMTVLHPEFDPDALLAAKKLAIRDTGSELIESEL EGRSKSNMICCNPGSWGADPGRIEQGIDYLDHFNGLCGVPARTCVRISCSWGSAIYLC NDNYYGITPTCPYMASYAQDILNTCPMYSWTVQTSYCGQEFDTDSYNIIVRGDNC LY89DRAFT_720238 MSIRSTNQSDLPHPLGAMTFDGDPCSGEDPLSDFDPDTLVADHN STEPVVALESRTMDGPPLCCLVTGQDWQVCRTDVIRTGIWYLKNLNGLCGVGARSCVR ISCSHNSAIYLCSDPSCTYLAGFAQDIVNVCVWWTPILDYTCGQEFDTDSFNVIMSFN PGRDGSPC LY89DRAFT_735839 MEDLIDPALRELATPAKGRQDSVAQQRKSLTTMTISMSPQSVDP RANELLATTESSNTTATSTPSKRANDSDDLDYDSSGSGEIDWNDDNGKRRLAVLDSKI RKFLAPWNKRSKHAHLVPQFNIQIIQSTDPEGTNHNTQSAYDGSGGTSQSSGQAIQAH HEHQAPRSSMTSTLSSLPSNLSDIFSSRERPTDSQSEFSQAQTTATNDPPGGLIACDT CAKQLSGRNFSGWRNHYWAKHNPQEGWYCLFCKVDIDDVDGLLYWRCKRCPKSFLSEN EAISHFTTKGLPCYQRGAHWVRLQPWKEHLQGHLQKDYIVDIAVDAEQYRKKCIICQG TFSNEILCKKHICPLTNWKYELTTPLPKCEDHPSLTFVTHEEVNQHVHKEHFGKSKPS SGSCRHNKSQAGSTQGDRSSWCDNQSQNGNNAHALGQQDYGATGAGWDSTHKSTAQES GQPGTIRDPMTDWRGISPGPEVQNPRYPQGLMLGNEGGSSGRSSRRRKLAPRRELGPE SDVPPVTTVLTDIVTDDGDPRFLVRKNAPR LY89DRAFT_686423 MSDNGATDFEDIPLSDYPVTGTTGDMVADDIDITVQAIQDAFGG DGENNGNVAAGAEDIDSADDNAPAGNPEVDENATNQGGQPNSTADANLPNNNAEPGGA ENNLPAADGPQGEQAGAQEGDNQGDQLNAPAGAPLNNTNDLEVGHGARNVAHAWPQPG RGMKYFLHANILCMVFSIVVVYLSDPQYNADLAKNKSNGLFLGLPERALRALNWSMIA FWAACFVVHAFRGEWSNLEHFKKQCLRLICLGLGVAALFLKWIEPEHVNLSYAPGVVT IAVEITYLGIDVITDAVCFFFETAVPWLATIGKPVVSFTAALVMVIVVWALYRGQK LY89DRAFT_720241 MDFGFATISLVVQTVLAVTEHRKLLENRNHFLDYSLPTRQTAIS HPVSTDIGILCSTCISSGLLTSIYQRGRSGKLQTPLFLATIVGSFASWGMIGVPVDIL ALTVFEWMVTLVLLVSRYCEHILLSDLEAGDAAFGLERVQKVFGMHDREKKSFSGRDL DEY LY89DRAFT_735841 MATDGFSSLASTVVSSQGGVVTCMKATAKNVFLGLDNGTVSILD ADGRSEKNLKAGQKGVWCLDVWEDETVEEWIVVGGVDLLGVWSLRSLWVPFFSILAGH SNCAPVMWERGLDASAMGVASPDYEEKKADLVGHTSTVRCVKTLSSTTLISSSRDSTL RVWDIETATCTAVLEGHSLCVRSLAVCGDIVASVSYDHDGRVWNLQSNKCTHVLKGHE SQVYAVDFDGKVIVTGGLDHTARVWYPDSGSCLAVLRVDAGLVSHLQLLPNVILGGDN TGTMHMWSLEDYSKVHTVKAHDNSVTSMRCTGSNIVTGGSDGKVKLWNLSTGTLLQEL ATSEAVWKVTITNQHTIAVFSRSNEVVLEIWAAVASTTE LY89DRAFT_122074 MANWEPQHPPRTSSRFPAPGIFVNVEFGVSFRDRPHTASMNYTS RRMQERLNRQRREQDELLLQERNHRHPFEQPLWSGPHPSTLTPGTGDEGYRRNRQQQR QQQQRSLGVRTREQHERGSSAPPSLSERPQMPTSPVSPIMSIQHTSSMPLDGYERPLP PLPSQYRLGEDSLPWSTEPWYRPASPDYVPPPATVGIERENWRGEDPQRVRELEDLHL AMLSVDSLPHDGWEAWTWESVGEMPRGPRSLGWAVSSNDTPEGNVRSPISPPPPYVVS QWESSWGWNRPRSSG LY89DRAFT_122019 MSGAAEGIAGLALSAVSVAALFTTCIECFDIVVAGKNFSEDYEQ LCTLFSLQRVRFGLWGESVGLIPSPHDGRKVRYNKNLDRSDIRPGLDRTLHNIKSLLD QASQVDDRYGNKSPPPGWDLSTSRGLNVFKTSFERFKTNIKRHQKKTSAWKVTRWAIH DADKFEALINRLKDFVDGLESITKSLGLLEEQHTRLQQEIESISDTESLRLLRDASSR YGSSHHDVSDTASRRLITVAESIIERRTLQSGTGGGGTAESFITARSGLSTVIDSSSS LGPVPGAWPQLTSAISSYPKLSSSRQLSKYNEGGGESCKHGIWGEPFCPRCLPTGKSY PSDTTQLPSSGPPTNIVTGTIPAAGTSVVASSTTTESVALVYISSQLPQNQRLLGQLL ARAKPRQPQSFAAGDSDHGKKLATLKAEDEDRWVKQSVKYLTQASSGSSAAKRMFFEL RHIKEGKVPFVSAVPVGDSLTRVLASIEGPPETPYEGGIFWIIISFPDNDPHRPPIMR FHTKIYHPNISPQGHICADYSAKWNAVLSGDNMNNSVKDPQAMWYQPKKNHV LY89DRAFT_735844 MPDVDDPLVPEIAQKYIEDYEGYVKNAKSYTERFATAIRPPDDD LFFPEKEEFDQSPAPPRTITSSPNTSAASYIGSMDTASETAFTSPGASLTSDQTISAI PTINPLIEHISEPSVSRKWSLFKPKSDVDHVRSNDDLVALVRKTRLRMGSQLPLANEP TELDIEFALLEKALQFLAASNTHSGSDQSLRACYSSLRRHCIDHFHTCLKVRPVNIQK HNYKCARLFELSVDSALFESWNFTRAVEDVRDVLSTIASRVDTDFQLNEIALWCSEKT DGFPACLVYHHLVEYALNRGLHLHGARLLEVPSVLKFLRPRSTLYTERRPEIASAEDE ESMLWCMWCGSLDFQRFIPEVVQPGTNATYVAGRNDLSRRCDDAEVQFNYNSGWHTRG FGLSVAHPDIDSVTSDVKSIEAVTLQRDIWIQKYRLLLRYTSPNLQPLEIVFIFSDIE TAEMWLSTMELFQGILRAREKCLLRAPIDAHYSPTLSVVDPEEVKTVTTTYKLFS LY89DRAFT_122084 MGGENGQYVDGSLWYYAPSKAGPVVWAFFFLVSGILHTWQCVHY KCWRVSGLFPWAALIFVVGYILREVGAFNYSNIDIYISSQVFIYCAPPVYELQNYFIL SRILYYVPYHSPIHPGRILTTFGGLSAVVEALNGNGAAYVANTSLPQSKQNIGKSLLK AALCLQLGVLACFVLLAAYFHLKCKRAGQLPLNLNAVLITLYCSSALIGARTIYRTYE YFSIASLNFKGNIDPGSLSPVIRYEWFFIVFEATLMIINSFLMNFHHPMRFLPRDNKI YLAEGGVTEIKGPGYQDNRNFIVTMFDPFDLVGMLRGRNMNQKFWETHDEGRRDDVAT EVEKGHGVGNGVVGSTSTSR LY89DRAFT_649295 MSVRVVARIRPLLDKELDKDTIVRAENGPDGKLNIVRIPNPKKE EEEFSFTFNGVYDMETTQEELFTNEVAPHIKSLFAGLDVMIFAYGVTGTGKTHTMRGG MKLFDRGIIPRMLSNIYRRGKKVSKDSGGETTVNVTMSYYEIYNDKVYDLFEPPEQRS LAGLPLRENAGKTVVVGLSERACNDLKDFEALYIEANNNRSTAGTKLNAQSSRSHAIL MVKVTQTNGDEQLISTASAIDLAGSEDNRRTDNNKERLVESASINKSLFVLSSCIDAI GRGDKRIPYRESKMTRILSLGQNNGITIMILNLAPLRSYHLDTLSSLNVSSRAKRIEV REIENEVVFTQPPRKTAPLTGQSIQRQPLRPLANAHNTHVNAGAQKGDKPAKAFSVYT DKSKPSVSRSSTQPVRRANSTSKRTSDSAGNTRPAKMARHNLTGVRSSTEMSSSKIEE LIERKVQEALANRALEQPAPSASNEISEAVQRRLEALEKRIESGEAEDDTRAEGLRFL LMAKQHKERGEDVSALKMYELAVPYFPGQERLQKKIDVLKAKIAAKREGTLKVSIPSE TVVKRTLATAPIAQLPSPVDSLENIIEELEQRPLAKVRKVRTSAQSDADFNGSAIIQA DEYDEDDSFSYKTSKSKKPKSKSAKSALRIFADEAEGDAPRPMTPKTRQLLDIVNSRN VAMITGLKGVGKKKANDLVEFLELRNEDEGGNIKTLQQLEAVPGLGKATVEKAYKGIS ALLV LY89DRAFT_686428 MSSSSSSSSSGVGSGCTATLQCDVLAGTYVVPSHESEPATACHP CAAGKGSTRLFLTAVCWSRGTVPVFTPTLSYPASYLPPIDRVRSLSLSLSLEASAGKM NTL LY89DRAFT_122111 MFRNRTSSQKPGDEFIANFRQTFPEVAVATSSAGSNSGNTTLEG AIAGGPHPDRHHSAGHEDLKDLDPTPRAQHEPWRFTPSLLDPNSFAFSAFANQPPGYY TPTPGGTNTLYHNQAGDLHTPGMGLGMGLGTPLSLPNSAEGIHTHTMMDMSAYSHHMP PHFQNYNPFSGQQHHPQHEHQQHQQQTSFAPSSFVHQDTGYETMEQDGSPMDDDRHMG PMDSNIQHHSPMMSFQPQHYNMAAAMPPSAEKFRFHVTLNAPTAMIKHSEEIPVTYLN KGQAYSVSIIDTATTMPLVPGTRYRTFVRISFEDEQQRQRPATCWQLWKEGRGTNEAH QRGGKLQAVEYVEANQPAESDDKRTRVELDTASFDGFSVIWTPGVNGAPECNIAVRFN FLSTDFSHSKGVKGIPVRLCAKTETLSTGSPRSSPEFSEVAYCKVKLFRDHGAERKLS NDVAHVKKTIDKLKQQIAQAETGMKDFGKRKRTGSTTVKPQPSQRPGKVQKHKRTWSM SSQSSAGGRPPIEEDLHFKLQTMQDMFTSTRPVSILYLRGSEQDDPDLHPVALVGEPL DLTKVDSRGSAMWQQRTSDRSSTAGTSSLVSPSPSSISLQSQALAGSAPNQPGQWGEY QPLAPADVQSSNPQQLASPPDQITKVPKTDEAGNLSGWIEALGVDSSYKPPVLDRPIK PVASFYILHRNPNQPDKPEYYRAVYIMQRTLRDFTNAIASKWSLEPTKILRTLHVLDR GLQVEVDDDVIREMAEGQDIIMEIADVRSSQVKREWEMSIDVAVDSDNASGTQNVVQT EGYELRLMF LY89DRAFT_122110 MPSHAIPYHTHFPSTSTSASTCIVWALDLPLFLASAAAAAVAAA PPSVSSPSTLLVYTLVNSRLNPPLPCQVLLLELDCGTFRHSTSPFIQHFSYNISLLAA VAPVPTWMKRLLT LY89DRAFT_122139 MLASFLRHQTLSFDKRLRLLSSTSSSTSSFPAGSTATSSPLRHR LVRTKPSDQPLKQLNPSALGRLGLCFCFPEKSPT LY89DRAFT_686434 MASTSSGSYEPSPASTAPSSTTSDISTKDAHAASEKPPDDTSKL RMFLGILRKFIGVADIASVRFSLPAQLLEPTPNLEYWNYLDQPNTFVAIGDSDDAFDR MLEVLRFWFTKDLKYVKGKPCKPYNSTLGEFFRCNWEVEDAAPSITSIRSQSQTSSAA SSIKGKKTPLASRNASSATIPRLDGAAFSSEKPSKKVKVSYLTEQTSHHPPVSAFFVD CPDKGLTARGFDQLSAKFTGTSIKVTPGEHNLGIFITLAKRDNEQYQLTHPAAHLGGL LRGSLSVTVGDQCYITCTKTKIKTILHYLEEGWLGKTQNKVEGVIFKYDPEKDDKTRI RDVPDKDVLARVSGNWKEKLYFSLGPKPDAPQILLIDLTPLTVAPKLLPPTTNQLPNE SLRFWSGVTEAISNRQFSRATALKQELEERQRSKAKEREDAGREWQPRFFVGAVTPLG KPELTDDGREVLRGLQEERWELRENEELGA LY89DRAFT_122246 MDIVSSASAVVGIIFSLAKVIKTCSDVQGRYQHADCTVNALRHE LEILQAALQELANLMMHDASALSSRWDTSATLPLTFKDAVLGFQRTIASLEKDFELLK PTGPTLKKSEKINLLWNDDGLNKYKDQIRGQSNALNLLLQVLQT LY89DRAFT_589032 MDEEKKPDAIEKTPYQDTTATNSTATKPSLERYGSQNKETEANI FPEPEVEAEADLEKGGVIPKSAPAPGGINPADFPDGGLEANLVVLGGWLCLFCSFGWV NCIGVFQEYYEEHVLIGYSSSTVSWIPSTETFMMFFGGPFAGIVFDNYGPRYLLLFGS FFHVFGLMMTSLSTEYYQIFLAQAVCSALGASAVFYSAMSSIGTWFFRNRATAFGVMA SGSSLGGVILPIMVSKLIPKIGFAWTMRTVAFMFLGMLIIANLTVKSRLTPRPKKVEI KNFAAPLKEPAFALLCISSFFFFFGTFLPFNYIILQAQKHGMSSNLSIYLIPILNAAS IFGRILPGLIADRFGRFNVMIITTAFSAIIVLALWLPSASNAPILVFCVLYGFSSGAF VSMGPSLIAQISPIREIGVRSGTFFLCVAIGGLTGNPIGGALITSDQGGFTWLQLFCG LTMIVGSLGYVAARYVQVGFRLKVI LY89DRAFT_122261 MTETTSGNWETSDLQLRSYFPVQYVVSGDLRFKFWTNFINGVDW MQQTLHIIGDKTLSQISIPGSHDSGMSVNSGGTFAATDGVVQTQSLNIMRQLVEGIRY FDIRPVLGNGKWYCGHYGDTGNSTLGWQGRKDRLWIALWSRSTSSFPNIMNSLLSICH MGTTQTMATLLSQRSIGMTSSTSL LY89DRAFT_649309 MLQPRSFPFSAAVFFGLVILLLLYTPRSNILRDYSAHILHSYSK MEMTHHVEDVSNSTLGFQKIFAVGLPERSDKRDALALISSLTGFKVDWVDGVKGESIP DKALPYGVDRVQLWENNLGSWRGHLNAVRNIVEQGITTALIVEDDVDWDVRLMSQLQI IAHGTQALQGLHTPLDDQDIILDSPYGNNWDLLWLGHCGEVFPENLPENFSKQPGDPI SRKFVVHADPTVPPPQHTHGFQNFTAEPHTRWVHVTGGPICTFAYALSLSGARKVLYD LSVDHLVGPFDNALAGLCRWGRDEERLDMKCLSVTPPVFVHHKAKGRVQADSDIQGYG GDGEVRSEGYTENVVWSARDNIRELMLGKDMKSQFRDTEVV LY89DRAFT_720253 MDESDDNRESPWDFTAARQFMHAFNSYPPEDAFQDDSIPEFKLY NPKLQAPKALGDFDRLFTFFGQPPLTIAPRRRSNDSSSAKNEDTSTPPSSAPDDDSED FEHFVHKAKEVRWTDQEGTAELAEFRRRSTRGSTTEDLDASVIAQLLEATDPSGLESD DEDESTLKSRHSSQARAQKTRFGVNVLSVSKERDKGKHQEQVQTFSPSASSFLPASIT PPKPFGALPPPPRITPNNFVDPLIIKPYHTLTVNEQKVKLVKRLARRFLQEAKLLKTP EAIINNGGNIHPKGVHVFVDLSNIVIGFYERIKINRVHAGRLHPNAYTKQPPFSFHSL TLILERGRAVGRRVMVGSTSNLIYDPNGHKLPDHILEAERLGYEPNILERVAKPLRAA PPRRRGGSGSGYATSGHSSASESQFAGRFKMKEQAVDELLQMKMLESLLDFEPSTIVL ASGDAAEAEYSGGFLKSVERALERGWKVEVMAWSKGLSYEYRSRDLLKKWEGKLSIIE LDEFCEEMLAIYAQKWVIV LY89DRAFT_783868 MAATLTATPSAHVFEKQDVATHINFWKKLDTPPKVIDLTQPNAE QDAANAKEHDDPHPVTVHDVRGEEQNYTLAKNGFQYVSHEVPDLKDLSGEEEVKRVLV PLTEELVKKTTGAFKTIVLQTRIRNVAEAGQKDRLAENFPAYGPHCDMTPVGAENTLQ NVIQDPEALAALKAGRVMVINVWRPLKIITRDPLSLCDWQSVEEQNDIVPNRMIFRPG IWHEFGKIAYSEKQKWCYLSQQRPDEPVLFMQYDNKSNYGGLSLPHTAFVDSRFVEEE PRQSIEIKMYAFLKE LY89DRAFT_735855 MGLYVLIGIPLLLMVVYGFLYTLESKATFPKNVPIVGYAQHGRP FVVYDPSAQQELLLPAQYVKWFAEQSDSKLNSLGVRQERHAVRYLHLGADVELASTTQ FIRLISNDCLNQHLEALMGPLQDEMRRCIDAAFMNASSQNEWSTINVYSCIQDIVFPA MCRVFFGQSDMDPRLLKALQRYITVLGLSTIFVGELPHMLKGLLARLVRMPLAYYRNQ TLRILIPLVESQLSRRDGSDESSFIRQCARLSEKNAVGGRGNAVAPQGFAGSSSTVIQ ATNLLLDIANCPPEMQVLQELRHEAEITLPDEELWHKVATFRKQVLADSVIRESLRMH PILIKGLTQEVVSPAGLQLPDGTNMPVGSWVGIPVLGIHKDEKFYPQADLYQPFRYVK KREAIPSRINSDDHSQSAPARAEVWDDESESTKPTTTYLGFGYGRHACPGRWFAVVML KMITSHVSMNYDIESTGPVPQTMVLGDAALPPIWATIRVRRRIT LY89DRAFT_649312 MLAGQGLEILAERYQDEVVGQVRSSSQVPDGDGWTELPDLISFV ECHVLEAATRALYGPHLVGLNPTIAADFWNFNRRVKSMFMGVPKWMNPLAVRARNKMT DNIKRWQRYNAANCNIDDIPEEVEWEPFYGSKYTRVRQQLLTKRGIMNESARAAENLA FVWATNANSVPAACWFLLETLHDPSLYKRVQESLQGARITDTDKSLAFDMTKLTNDTL LQSIFAEILRLRVAALVVREPTVDHFSLPGGWYIKQHETISMSTRTELMDPNVWNAGN AASPHPLDKFWAERFIVYPDDPRSGPLKEPKRRLKPAPSKDGKSTNEGSFSLDGCTWH WVPFGGGRQLCPGRHFAKREILLTSAIFLSTFEIELMVDKLPGPDKGIYGFGTMPPKG KVPCRIRRRRE LY89DRAFT_122308 MVHVNVILLSILSLGDSDAPETCCHALSGLCRIRTESRQHRMIN EQDLCRIRGMTKMVLKRYNQDLTQGSNIDNGFAAMLSSNSVQYLGNLVKLPACPSHRG ALPPWTLESKELSQAAA LY89DRAFT_588691 MAQDELGRIKEEDDGDELRAKARQALHQAVDFSFSCQQPDGHWV APVSADATFTAQYVMFKYAMPGLSLDEDGKEIQRWLLGDQRESDGSWSLAPELPGNVS TTVEAYLALRILGMSASDPALQRARDFVISQGGVAKVRFFTRFFLATFGLVPWKAIPQ MPAELILMPAWSPLNIYVLSSWARSTLIPILVVRHHEPVYALPNGCSPDNDFLDELWV DPASKEVPFARPLWELFCGRDRDIIEFAFTVGDTILAKLGGLKKGPQRRLAIRRCIEW LLEHQEEAGDWAGFFPPMHGSVWALLMEGFPLHHRAVRLGLEALERLAMNDETGKWLQ STVSPCWDTALSGKALAEAGYTRERADPRVKAATEWVRSLQLLGPVGDWRIYSPNLAA GGWSFQYYNAWYPDVDDTAVVVMMLVLHDSVEIESKCVEKGVEWILGMQNRDGGWGAF DLNNDARWLHKIPFSDMDSLVDPSTADVTGRILECFAVLLTHRKGGHRLRPSLAHRLQ TAAQKALVFLLTEQHPCGAWWGRWGSNYNYGTANVLRGLWAFCGKDQECEVYRAAMRA ILWFEKCQNDDGGWGETLHSYNEYSLAGCGHSSTAAHTAWALESLLRFRPPSDRVILR GIEWLVTHQNPKSAERHHWSSWPSDTYVGTGFPNVLYLGYPMYHHHFPISALSRWLDS S LY89DRAFT_589436 MLDGSESPISSAIPFQAAPQTAPTIFKHLVPFTELSPTPLSNIE VSIPARHQSTRDPHTSNSSTISSAVTGSTTPISSSSRETRSIRLNNKPRTRTRPPDSR TKSKKGCVAGTPGFQTANSETGSKDQPSIYPKSRRQEFIPPFPPCSERSAVRDLLAEI KSRDTDQSLEQPSFIEFDLSDFSIYLPDNKNYPYELRGLQHLVTRAGCSSFLFDGILT VGNTHRYVSAVPFQICSIGNYGENIHEVDGDIWLQSNINADADIYYRLKTPSPEYREY HDGFLWLANLAKHFVDYCQAAPEQTVFLINFRTDFSQWLREHHGSSPAFQNWYQQYDK DDFRRHITMHIKFLFKETVGVNPELKTEPIFNEVLEMDYIPQQLIVERKTIVTPYVYE CFKDLRFGHHLKAMEVSGTPRTQQISQGGCLDLTVNDYVRPSHIEVRVEIPSSIPEPA PRIIQTRSPSSPNAVSPRQRMILNIKSGDVLSVVKDGKGSVWKDEVSRWKTADDCWYV YVQAIHKSTDGTREFDALWLYKASDTSCAKMKYPYQNELFLSDNCTCLQKRITEEEVL SSVKVVWNGRPSERDKRVFIRQTYLENEKFVTLKKAHKRCKHLQAKAGGDPTSHEYQI GQTVLVPPPRKSKFALEPYEVVKFITEGVKEEVAVLRRLQRRQTVDGKGRPNELVYTE TLDRIPVRKIEGSCLVRFYKCSDVLNHQIPAPYSRDGTGNAFYITTRLVDVNGIGMVQ PIEHNLPASLLQGFDPTEAYARLRGMDLYCGGGNFGRGLEEGGAVHNEWAVDVNREAI YTYFANLPNPNGTKIFFGSVDDLLSQALQGNPKNSNLIPAPGDVDCIFAGSPCQGFSA INSSRNNEQGLKNQSLVASVGAYIDFYRPKYGILENVMTMAQKGRGRDEDVLSQLICA IVGLGYQLQMFVVDAWSCGMPQSRTRLFVSFAAPGLEPLDHPKISHSHPPVKERDRTL GKMANGEAFGRRFRGPTPFEYISAEEGIGELPSIGYGSTYHCTEYPYHISAFPMTERR RQQVESIPKLPRGMNFAKAWNEGRGVLTPEQTSYFPVYRSNGTLRENCSKTSTAWGRM DPKGLITVILSQMAMGDARAGQIIHWDQPRTLTVMEAQRAQGFLDDEILLGGTAASFK ILGNSVARGVALALGLALHEAWFKNTPD LY89DRAFT_720258 MVSLSISISVSRRPSTEQPPPPPSLPQNPQPSIHRNAASISSRR PSYPNSITQPYSSSFDFSHPGPAPAYSAQRSESEDGSLERLLSAPRPKKRLSIVQFEN GRLKRRIISMDRTQGVEIERKKSYGIGGAGNIRRPSDVIYPVRLNADGTRRRSSVWSS ISAAPGTSPDGKRNGFLGFFRRGSAAQDAAVVSTVVDDDVGPTSRP LY89DRAFT_122347 MSTTMLPFLYQTRTLSGFLLTPHSFRSISCHRTVSRHLSSSAQR FRGFDFDNIPTTTAANPSDSEDTTSARKAGYSLKSQSAGNRRSIPSNVRDGGRYGENP KSRAARPEIIDDLNEGFSMPEISLEMGANGDAYQPKESTITTREKMAFQKIFSDIFER SQKSNPTTPEKDQKALLNIGKTGDRAKAKNALNNILTTAIRKQSKSRLEIEDAISSYP PTLREGAAKAMLSVPILENDEVGHDEEKANQLSMKIKAAELENMRAPERLRVETLMRN AKTDFELWEVMEKEVFSMISRLGLEENPKLPEGPKTDKKQKGWNKKEKKQSKEQEQVA DKKTSKETVDGIPALQLLGPLYPSYLLLGLRLLDRSFTKPSPLALSILPKIKSLGFIS HVLGANTQLYNDLLRIYRYRYDDFEGMLDLMREMEQSALDMDEETLTIAQDVMKMQAS VTSLERGEAVKALWTMPEFAPRKFGSWREKIASGIEERKQNASTRIY LY89DRAFT_588902 MAPVKKEKTEMSAFERKRLENIATNSAMLKDLSATAEKILPKPV GRPKSTAKSAPRKKKPAPVKREEARPTRTSSRLAGHDADSETAKRKAEVEFEFATEQA KAKRLRVSGDLNYNDIIVEGKKFSKDDNFLSGIMRGAQPNVRTFTEDDIKETTDESLK ALREKMSGLELYEGYQPNQIKITPERIYALGFHPSPEKPLIFAGDKMGNMGIFDASQT GPEVKAEDNGDDDEDPETPEPAITALKVHSRTITSFVFPANGEHVYSSSYDSSVRKFD LQKGVAVEVFAPSSIDEDLPISCIAIPASDPNMLYFTTLEGQLGKHDMRTSSDTELWQ IRDKKIGGFSLHPLQPHLAATASNDKMLQIWDLRNVKGKGDARIPALMGEHESRLSVS HASWSAAGHIATSSYDDTVKIYSFLDAGSFKVGHDLDDKAMKPSSVIKHNNQVGRWVT ILKPQWQERPEDGIQKFVIGNMNRFVDVFSSDGEQLAQLSGEGITAVPAVAQFHPTKD WVGAGTASGKLCLWM LY89DRAFT_589162 MAPEEGDLKKRVARKLTKKRRDGHQATMEIPERFREGDDEDEDC TALHGANAYLNQSVFGMIAAAGSRTDFNARFDGQSSDEEDDSGEASNQSSELQVDKTR KGKSSPKPEKHHRRKFSENRLLRSISGIGSKSKSKASPTRTGPPTPESTFATPTPEIQ VDRTTSRDAPVMSRMLEARAELSMRPSFDLSRTTEPAEMDENGHQTSSSLAKQLMEIF QFDTPEDVIEEYPCWLLKSVLLQGYMYITTKHICFYAYLPKKSNEVVKSGYLAKSGRR NPKYNRYWFRLKGDVLSYYSDPSDLYFPSGNIDLRYGISANVVDKEKGKDATHFTVVT HQRKFNFKADSMPSAKEWVKALQKIIFRSHNDGDSVKISLPIENIMDIEESQIVDFAD TCKIRVIDNDETYAIDEYFFSFFSFGKEALNVLRILVEDTTAQQIPEAMLSPTAAQVE GKDSPKGRISLPKRNSSNSVHSPRESRPMPPPPIRTTTPVLEESVRATLSPIGAPSPR ASTEISRASSDAYRRSMDLKAFGRRSVDLNRLNMDGAGGRRSLSASRSLSRNRVDGER RPSEKQGSSDSYVHSLEEPGSSGALPSASDGTQASASQILRGSDVFLSPTIQRAASAT RSRDPESSPNDDSRSDSPSRNTVNLGGHPPIRHPATTGSLDGSNAGQGEASASAPTLQ TLVKAGAYPLQRAAGFAGYLNRHSKRMSNLLATESMGYVEKVSGMWKGGKKHYDEPAA LGSDDDMYGDIEDEQSGSGDRFREHFALPASEKLQAAYFGFLHRVLPLYGKIYISDRS FCFRSLLPGTRTKLILPLKDIENVDKEKGFRFGYSGLVVVIRGHEELFFEFPQSETRD DCAVTLLQNLETMRYLKESGLLTMEEKESAENASAEHKALQQARYEGHAEHDVKMPKS AEEARADGPPILFDDPRASILNFKPTESLKITCLTIGSRGDVQPYIALCKGLIAEGHR PRIATHREFQGWVESHGIEFKPVEGDPAELMRICVENGMFTYSFLREASSKFRGWINE LLTSGWEACQGSDLLIESPSAMGGIHIAEALQIPYFRAFSMPWTRTRAYPHAFAVPDH KMGGAYNYITYVMFDNVFWKGIAGQVNRWRRKELGLQATSLEKMQPNKVPFLYNFSPA VVVPPLDYSDWIRVTGYWFLDEGGDWSPPQELTDFIKKARNDGKKIVYVGFGSIVVND SAAMTETIVKSVLKADVRCILSKGWSDRLDKKDAAAVEVPLPPEIHQIKSAPHDWLFK QIDAAAHHGGAGTTGASLRAGIPTIIKPFFGDQYFSGSRVEDLGVGIFLRKLNISSFA RALWESCNSQRMIIKAKVLGETIRKEHGVDTAIQCIYRDLEYAKSLIKRRDGKTADDT LEDSEESWTFIGDEHDPELVKRIHDWETMAQSGNLATSSIQPRRSQDTGRALGLNVRA RNNAA LY89DRAFT_122363 MQPCMDVITWNGTDMQFFMTMISNFKFTFWLAACVHSLKGRVAN NYRHLSQYLTGLRTSIINHLQMAKTIARCYFCLSQLSHTLI LY89DRAFT_686441 MGKLLSLGTKLKYPITICKLLKQPGDEVSKQQPILEYKFEWTTT ITNEFGDDEELPQTTIAGWDSPAEGTLTRWKVYQGQVIEKDLSFVEIEETCSHSVQFA GLCAMCGKDMTERSWASASDDTNRATVNMIHDQTTLKVSEERASEAEEELQRRLLKHR KLSLVVDLDQTIIHACIEPTVGEWQNDPTSPNYEAVKDVKAFQLDDGPRGLASGCWYY IKMRPGLSEFLAKIAEMYELHVYTMGTRAYAMNIAKIVDPDKKLFGDRIISRDENGNI TTKSLARLFPVDTKMVVIIDDRADVWPKNRPNLIKVVPYDFFLGIGDINSSFLPKREE LPKMTPALKKRHSTKSEESNENGETKSDTTTTEQPKSSEENGASKPVDGNRVSALEEL VKMGGGDDQALRLEQAAEQEKFLEKQLTERPLLHMQEQLDKEDGEEDTTTPDETNGEP SQEHSHHRHNLLKDDDVELMYLEKHLSQLHKAFYDEYDSALVNAHGGRVAQLKPGHKK KVSIKDDSADLKIVPDIGNVMPRLKSKTLAGCVIVMSGLVPLQADILRSEIGIQAASF GAEIQTKVNRKVTHLVASTSRTRTTKVRQAAKYPNIKIVNQQWLLNSMSKWEKEDETP YLVQIHDQDRIREEGFDSSAPSSIHDSDESEDADSEDEAGGSLPASQDELDAEDVEGL IPAEMEEGHSPIDDLKKFDWEGVDDELNDFLGDDDDDSANDSDASQVSNASRSSKLSV RSKRGVKRQHGETTDDSETDEEGALSKRIRTANSRTTGLKTVKTPNSMGSESSLPTPG VTGDEDDVDQIPTNDEEEDLDEDDDELEKEIEAAFGSDFEAEMEVEGVGG LY89DRAFT_649331 MPQDLPPVGGYEPVQYKRNLPARGFRPSVMLLGVAGIMTFGFYK IGKGIREQNELAREKMWSRIHLIPLLTAEEDRDLVRRHLADQKREEELLGSRTSPYHS DRFVRPTYAVTPSQKST LY89DRAFT_539249 MDQRIYVLGLGNLGRLYAHALAIPPKTPPITLLLHRRNLLEDWD KAERTITVSTKRVSNFLSDIPSTSTGYDVELIEKEDTSGQRIQNLILATKVSNVVEAL KPIAHRLDHNSIILFTQNGIPDLSTVSHRFFDQGKQFGVDCPTYLLAITSHGVYSTGP FSSILAGHANVSIGLAPYGANKAISPQAQYLVDKITSSPLLNAEAVSQKELQIRQMQK LAINSTINPLTVIFRRKNGDLFKHKPILELMRVLLSEIHEFTRRAALERPTSDVHLKF DAAEVEEHFSTAVLEQIVLSIAEKTAQNTSSMLQDVLAGRETEIDYINGWFL LY89DRAFT_720265 MPRSDNLYSADDSENSDRESFSEELSPSDGYFNRGNVPNTIVQD PSISKDDKAEDKTLIPPPSVQSRTGGSSRTSLHSVLPRSLPSHNYASHRSDNAPSNSP SSYTPTSPVSPRRRDAMFSERSALIHGPPPAYSSSPPEVPLSPRETEGQRYRTFPEHH LERGFLPGREPESMGGPVEHEEEHEQESNETTPLTNEPKPARLSVCRGIAKKILLIAL FVVVVVSLISALAGGSSNKTKDPSEDDPSKDPSKSPVDDMPPPPIDADGPYCSIAKYK NDAVTYEFPVGKDLTVLQTTHGDQGSKDRSVSTAGEIRLRRLPKDSMHGSRAYFTLDV HVSDPELVVIKSWDEDSRMLKISTPRYAPLGPGQHCVSVGITAWFPEDAEFNELLFES VTLTMRVMDDIKVKVTGWSRFSSLAGQVIFPTISVPLSHEVFDDDASIVPTLKYEHPF SSRRILIETESGSITGTYPLMDFLGLDSQAGQISVDVIPHKVLESAPAPADLEVKTSS GSIKVNCPIRYVPPPRNYITHVHSSAGSVDGSFYLGSLSSFGTSAGGIRIDGLPVLQA CSTNNRDKNERPNVFETRTVSGGTKVQVLDPIFISALTPAEQPKPQPVQKERPGPWAP IGDGDPHIITPPNVVLDQNLLVIDPRTQYSKKKLDSLKSVHSSNAASVEVSYPENWVG TLHAKSVSGSITVTGDDIRIIRERKGFASKEILARKGVEESEEGSYIEMQSVAGSLGF VVGR LY89DRAFT_720266 MTTDYFITRKQSGFSDGLETPTSPTSAQPRSNPLATKVTSVLSA SYADSDIRDALNLLDIRGTQNHSETRRQIRLDVQKEVIESNGDIIREFGHVAEQLKRI GATIASLNQGCEEMKRHISAAHQETTPVLQEASELLKQKDQVEAKQQLLKAFDAHFIM SEDDIAILTSTAEPVNDRFFSLLARAKRIQNDCEILLGTENQRLGLEIMEQTSKNLNG AFQKLYRWIQREFKSLNLENPQISSSIRRALRVLAERPSLFQNCLDFFAEAREHILSD AFYTALTGTSVTGAEDTSIKPIELVAHDPLRYVGDMLAWTHSATVSEREALEVLFISD GDEIAKGIQAGRDSEPWNRISEDEDDTNSFDGLKALNELVDRDVAGVARVLRQRIGQV VQSHEETIMAYKIANLLNFYRVTFSKLLGDDSALLDSLSTLEESALRQFRVLMRDHIA GLQADAQAIPPDLSPPDFLQDGLKQLTAIMKTYDTSLTSLDSREADFQPILAEAFDPF LKACESISKTLPDPRRAIFAINCLLAAKSTLSSFDFTQQRTSDMQASIEEHATSLITD QYTFFRANSGLQPLIQALEPLSDSKEDLLSIHNLPVFQAAALTQASQTLDDFLPSALM DAMENLKFLQSSKLAREITEEAAEKFCDDFERVEERLIAADDLMEEEGGESTELLRTM FPRTSGEIRVLLS LY89DRAFT_649338 MMASNILARLLPANPSGRSIYDDLRAHDEGSESDLEEHAGMAVD EENLNFRDEELGNADVFNGEESRITTESTAFLQTEQSRPRGEKVGGKSRTGRSKWVAQ SPRLLEEEGDDDVPASLLIEGNDMPGPSTTHPPRPRVGKHPRRQPPVPGPASRENRAH WEAAQAQQRLHQEDNIGGPQPVRRNAGPLVSSAREKALWRWVNVTNLDNFIKEVYDYY SGAGIWTIVLSRALNLAQIIFVAVCVTFLTQCIDWPAIPRSKRLSEVVVPQCTQKISG MPNVAIWLFTLHVFWRIYQLLVEIPHLLRIRDFYLHLLEIPESDMQTISWQDVVASIM ALRDANPVTVERISPANRRYLGSQSKQRLDAHDIANRLMRRENYMIALFNKDILDLKL NLPFLHGRQFFSKALLWNMDWCIMDLIFNEHGQVRQLILKDSHRRQLSDALRDRFLFA GFMNVLLAPVIIVYLLVSYFFKYFSEYQKNPAAIGSRRYTPLAEWKFREFNELHHLFE KRLNMSYPFASRYLDQFPKQKTALVAKFVAFVSGAIVAVIAVATLWDSEFFLGFEIIQ DRNALFFATIATFVWATANGMIPEENLVFEPEYALRQVIEYTHYMPTLWQNRLHSDEV KQEFATLYQMKLVIFLEEVLSIIVTPFILWFSLPKCSDQIIDFFREFTIHVDGVGYVC SFAVFDFKKGDGRKVLHGGGNADARDEYYSTKHGKMAASYYGFLDNYLLNPKTAVQGH VPPGGMRHPYQPPPSFPGMMSPTLGAEMQSSRMGRSERRPGSRAPGNMPPRTPRYPPT GTHGSPLQSMLLDPHHQPSSSGFGARSVNRTSRSKYQVRQNIMEEPIEDEDESVVGTA ARRSGKSDERREPYESMIGALGMEESRWETSAGAKDTEEDETDKEAAGGGGVLGLLYQ FQKAQTDGRPGVNI LY89DRAFT_122517 MAQPTAEPAEEYDYEGLPPNFSLLQNMTAGAFAGIAEHTVMYPI DAIKTRMQILNPTPSAVYNGMIQGGYRIATGEGILSLWRGMSSVVVGAGPAHAVYFAT YEAVKHLMGGNQAGVHHPLAAATSGACATIASDALMNPFDVIKQRMQIHNSSKMYKSM MDCAKYVYRTEGISAFYVSYPTTLSMTVPFTALQFLAYESISTTMNPSKTYDPVTHCL AGGVAGGFAAALTTPMDVVKTMLQTRGTANDAELRNVNGFMDSARLLHRREGFRGFFK GVRPRVVTTMPSTAICWSAYEACKAYFIRQNDTVV LY89DRAFT_122572 MSYKNTVLVTGGTVGLGYYAALEIARKHPEYLVVVASRTDAHSA ATTINSTLRQKNCLFMPLDLGSFAKIRSFVNVWESKKYPPIIALLLNAGLQFPGEMHW TEDGIEATFGVNHVGHALLFHLLVPFMTKNARIVVTASGTHDPAQKSGMPDATYITAE DVAHPEPGSDKGNGRLHYSTSKLTNILWTYALNRRFAALGQHMTVIAFDPGLMPGTGL AREYPPILRFIWNHVGPRLLWLLRRLVTPNTHTPQESGASLAWAAVDNMETGVYFEGR KKIPSSVVSYEEPKQEDLWEWTVKTVSTSEEERKKFDIGK LY89DRAFT_122628 MGRPRVEVDAGPDPLPSELLEYNPAYRILLCTSCKYAIQPSGID RHLKEIHLIKRSRRRPFTEYVSKLSLASPEEVFQSPIQQFPIPGLPVLDGLRCEQTGC GHLCVSEKRMRSHWVGEHGRQGDGKDGDWTEARLQTFFRGNLLRYFSGPEEDKFDLGE EPWLPNCPNGKCRGEKVASKGLTGVHSEMDASWIEAISEDILVQSIADIHVSLNDNDS LLLQHYLNHTAATIATDAATLRLWQDAVPKLAQSNPFLHHGILAISALHLAYVTPNSS PLYNDYLLSATSHQDAAIPVYRTKIADVTPENSHAVFMFSHLLVLYCLASESQDERLF IVGPTNDGSPIWLHFLRAGCGLLCHVWDDLEAGPVKSLASAWDIPVLEVDAKTPLVDN LLSFIPSDEEENGWSEDEKKIYTETARLLGLAFLNAAVGVTFSTWDALRIWPMCVTSE YLQLLKAQHPGALVLLAHYCVLLKRLEGNWYFDGRATSLFENVLGCLDPKWVEAVKWP IEEIGISMDCVQVAVVRGPPGPRAV LY89DRAFT_122575 MMRNKTSSSLQKTYDECYLICSTAVYFENKNNEAEALRKWRSAL DQIYYHNAYLVPAGYIPRSETEKALMNSLREMELQCKERVDLLQALKQSRQEKAAGDA PEGSNGKITKTASKTPRHSSDAERSDTGYIGEGTIPAVNFPDLSRPTPPPLPQRPALL MKNSSERAVVGSRAESSTYSPIYPASSFTPTFSATLAPPMKKSSRSPSPEKGRTMLHT LRSGDKHGRKPSPRPSRASGARRENPVTNKAASLAWQSAGRNSRDRLGRSLDADLDDS STTLTDSGRQTSVSDPLPVIPGSSQNIVYDKPTRTLVHSHPKVPTPPDLLSGPYSGSL GRSNGYPFPPTDPGQPGAVAGSMLTPSAVQDLIDLDPPSPSTAESILPKQRKTPPTPP PHSRSQTKLEPVSYPTYFKEYPETSAKKGKSNADLPLRRKTATREGAEAEGSTAKISR KPITANSSTPRHRDRHTEKDIERRTQRREVKKPKNEEESSLSIDDDTNSSGANAPKPK KKVKQKQKEKELLADPTTPTSGDSEKEDDPVRTAWEAKVQHLMKNLPKGVDEGAAKQI FNEIVVQGDEVHWDDVAGLDIAKKALKEAVVYPFLRPDLFMGLREPARGMLLFGPPGT GKTMLARAVATESRSTFFSISASSLTSKYLGESEKLVRALFQLAKALAPSIIFVDEID SLLSSRSGSGEHESTRRIKTEFLIQWSDLQRAAAGREQSEKEKERGDASRVLVLAATN LPWAIDEAARRRFVRRQYIPLPEDETRATQLRTLLGHQKHSLDEEDIQSLVELTDGFS GSDITALAKDAAMGPLRSLGEALLQMSMDEIRPIQFCDFEASLVNIRPSVSKQGLKEF EDWAKEFGERGG LY89DRAFT_649351 MSTQKLAASASRQINAVVVSAGLMSKTVKVRIGQQKWNAHIRKH FNRSSHLLVHDPASSLRIGDIISISPGWRASKNVHHVVNSILAPFGEPIEARPKVPSE EERLAMREEKRARKDERRMVARMGEPVEKEGEA LY89DRAFT_708463 MALPTHFQLKAAGGKIVDIPAVGFGTWADSEASWCKDAVLAALK AGYRHLDCAWAYGVNKEIGQAIRESGIPREEIFVTSKFWPHFAAPENVELCLDLVLKE MELDYVDLWLAHWPYAAKPISREALEKAVGGPTKTDEEKGETDDNGKPAIDWEHSSAR IAKAAGKQGSFAPTWAAMEALVTKCKARTIGVSNFSITDIKDLLPHVKDVPISCNQIE VHPWLPQNELVSFAKENGILTSCYSPFAGQKEDGATLLKDSTVIKLAKKNEMDVGQLL QSWAVQRGTSPLGKSSTPARIQSNIKIRKLADEDMKALDALALPDGQGRTVDFTEDWG VQLWEN LY89DRAFT_783888 MSKLRILCLHGFTSNGNVHKHQVRNITSQFSSEFEFIFPDGPYK VDLADGSPSELAKIRLWIDFVAANSTSGHRAWWFAKDPNPATNDLGGFQGLEKSLEYI GRLIEKSGPVHAIWGFSQGACFAGFLTSLLHEKQRGHHLRQHLPQQQGLPRVGVYFSG FKARFAQYDSVYEHGIEVPTLHIMGEQDHVVRIERSETLKSVCRDPRTLKHGGGHNIP ESEEDMAIVANFLREALESKSRESL LY89DRAFT_122637 MQNAKTYVGRYSCSDEEAYCVWEGFKAFVTSHISQDFSTTIFKM AMKRCLDFGHDRQTKKLLKLLAGGKRTYSRGTMRVTEESECDIENGSLIAVQHIAYHG KCAGFFEEHPLVYWMCPHLECYVKELPTLFIKAMRGLPHDVKWSTPLHLDGSTGTKKK WWGSCSKIQKCQYCRTVYKAAYEHDADCNIEFTLDICKDLGPGPEGKEWEAHATQWRF PLTDPYSITSTKERIYVPKWTLQHSDFDI LY89DRAFT_720276 MFVLRNVGNFIFGGDASKQTLIELPQGQLYLVRPLSPKGYSELI FKDCAARIRRTNQDFQYQLVIQRAYEEGEEDLLDDEEGEDADIAALAGDRDEKTFLLD ESLHFRTEFREATGEKVLAWRDLSGDTGDLYEFVCDDSIDVGQVQTFEITAVHCQYER KHRKNHQTATEQDLAEFAFEREDPIPRASPTHSPTALSPVATMPATRSTANSALKRQD TPTKSSKKTPVREEGPTEAPPVADHPESRSVLTEERAELHLFDFQSGAFILQDPSVKA TVYEVGNWQYYLQISSDKRDWLGQEVIADINPVFNFEYLSFIFNAYAPTGDAYSWLLR FKDQETETRFQEGLMQALWEQLNEQKWLKNKADERDYVLEAFQDLTMEDAPGAEEEEE EYEVEEPEDDGQKSEHYDSDEEEDDVVTRDDDGNVNSQLAVGYKHDRSFVVRGSKIGV FKHTPNNNLEFSTNISKVETPSGKLFSPKKVMLHAEDTNMILQNEANPNSLYRMDLEY GKIVDEWKVHDDIPVNTFAPESKFAQMTGEQTFLGLSRNALYRIDPRLAGNKLVDSEL KQYISKNDFSAAATTDKGYIAVASNKGDIRMFDRLGINAKTHIPALGDPIIGLDVSAD GRWVLATCRTYLLLIDAMQKEGKNEGKLGFEKSFGKDSKPQPRRLGLTPSHVAQFQHE TGIPLSFTPARFNTGEGLTETSIITATGPFIVTWNLKKVLRGNKDPYTIKRYAEEVKA DNFKYGSDKNVIVALPNEVNMVAKQSFKKPTRESIATPARIGGGSFGGRKSEAGRIGN RDSARYKLGKDDVVNSPY LY89DRAFT_588770 MNFFKRRQRDEPTQRTTHRREKRGPMVMNMNTRPSFGQWLKVTW LDIVTMVIMGVIGLGVYEAHPAPSRSFPVFFQDCEIVYPQFAYPMRNEIVPIWAAALL GSLVPIAVILICQIRIRSFWDVNNGVIGLLYSLITAAVFQVFLKWLIGGLRPHFLTVC KPDIPAITAQETGNGLRQIMYDRTICTGDPDEIDDSLESFPSGHSTAAFAGFVFLYLY LNAKLKVWSNYHPAMWKLIATYAPILGAILIAGALTIDEYHNWYDCLAGAIIGTVMAF SSYRMVYASIWDWRTNHIPLNRAVPFPGAGAELENAVFTRQVGWGMGNGHMNEKNVLG HGNYNGGVAPSGSGYSNSPSRKPLPSTHHHGHHGAENMV LY89DRAFT_698428 MMLRFSLVTSIVSAAPTTVISSTTSSRVLTTKTCTTSIAPPNSA GTVEMAGVNLSGFDWGCGTDYKGSCDITITQSPMKPNFDAGGQMIHFVNDDGYRVFRL PVGWQWLINSAGTASGVLNAANTALYDQFVQACLATGASCIIDIHNYGRFDGEIIGQG GPSNAIFAQLWGNIAAKYASQPKDVHAAFDCDIANPTTVNITLWAQTVQTAVTRTAGA SNMILLPGTDWLAVWAFVGDSAAALSTEKTQVTNPDGSYTNLVFDVHQYLDDGTGSHP DCTTDAISWGFEPLAQWLRCNGRQAFLTETGGGNTASCVEYMCNVVPFLNANSDVYVG YVGWAAGGMAQDYVLSETPNLLPNGTWVDQLLVSSCMARKG LY89DRAFT_620011 MSGPKAALKGIGAAIKAQKYDDAVQEAQKLLVSDPKSYQASIFL GFALAKQNKYPEAEKAYEGATKIKDRDPQAWQGLIQLHEKQGSDKVELYQVAALKLAE IYQSADDKYKCQDVVDKFLGFAKNQGTRLQYKKSQEIILPTSPIYNYLEGRVPHPAHT YQIMAQITEFEEKERINKTIGERRTRIGAQIGQVTIDVKREVFRDSDLEDLYGKIIDW SNDDEVRRQYEEKLLQRCMEALTVLVPSTDKNEKRAKVMKLANDMVIIKHPYKVAWDI AIEWQDPVNIQDLDVNILRDYAAFFPTSGLGQVLRGFLSSEISPFTAPPPPVQPAQAS TSDESDDEDDDAGGVSLDAPTNSEDRLLLMTEGMSDSAKSILAHRLMGEYYQFLEEYE SVVELMRNARHLIVAESEKTGLTFDRSSDAIISLLGTALVYYQSPRNHPEAKTLFDGL LRRDPTSTPAMIGIGLIYEEEEDYPAAIGFLERALKRDPSNIRVKTEAAWVKALDGDY ISGKAELENCLSNLDGKNARVRDLLAQTQYRLGICLWNMDTSKAARKDRNGAYAYFLA ALKSNLNYAPAYTSLGIFYADYLKDRKRSRKCFQKAFELSPSEIEAAERLAKIFAEQG EWELVEVVAQRVVDSGKVRPALGSKKKGVSWPFAALGVAELNKQDYAKSIVSFQSALR ITPNDYHSWVGLGESYHNSGRYIAATKAFQHAEKFEHEVEQQKAGETWFAKHMLANVK RELGDYDEAIDGYKYVLKERPTEFGVSIALIQALVESAWDGIDKGLFGHAAQRATEAI TESASLAESRADAFNLWRAVGDACSVFSWVQSRLSDFPHSLVKQLLQVGDKKDAYDMF TDIDGVGDVAFADGLYSADEENGVNLTRCLHAAIMAHKRAIYASAHDIHAQAVAYYNL GWAEHRAHVCISAEVKKKSTRYLQAAVRSFKRAIELEAGNSEFWNALGVVTSDLNPRV AQHSFVRSLFLNERSAQTWTNLGTLYLVQNDFMLANEAFTRAQSSDPDFAHAWVGQGL LALLMTGDTKEANLLFTHAMEISEASSLLTKREYAQSVFDQILVSKSRSKVTDLVQPL FALKQLQSMDPNGMSYEHLSTLFLERIDNVPLALETLSRICSIVEADYEITESPSSLS RFALAKADLARSQLSAKLYGEAVENGDTALQLSAEDAGNELSAEARQKCRLSAHLTVG LAQYYSNDLEAALQYFLPALEESNGNPDAVCLFAQVLWAKGDGDSRSKAREQLFDSVE NHPEHVQSVLLLGIIALLDNDTESLEAVTTTLQDLRATHTITNLEQGQVGEVLRAIAA LSEHDAELETLAEIQTDVFLHPHQPHGWGRLADIDGDDYAANMAVKTALKAIPPLGQL DATDLSEAFAGTGKIGDAQKAVLIAPWRNEGWETLGGALSGSQ LY89DRAFT_649370 MGNLRLNIENGHFIDDHGRTVTLRGINVAGDAKYPSNPDQPSHI PQDFFDGDAVNFHSRPFPKEDAHLHFSRLKRWGYNTIRYVFTWEAIEPSGPGLYDEEW IQHTLEILRLARDYGFYIFMDPHQDVWSRFSGGSGAPMWTLYACGLNPQSFAATEAAL VHNTYPEPEKFPKMIWSTNYTRLACQTIFTFFFAGRDFAPKCIIDGKNIQDFLQDHFI NALAHLAKRIHEAGDLEDEIVIGWESMNEPNRGLVGWPDITVIPSEQKLQKGTSPTAW QAILTGSGRACEIDTWDFGGMGPYKSGRVLVDPQGESAWLPVGYDESRYGYKRDPGWK LGECIWAQHGVWDPSTDMVLKKDYFKKNPRTNEVIDYETFTNTYWMDYYRRHRDAIRS HHKEAILFAQPPVLEIPPSIKGTKDDDPKMVYAPHYYDGVTLMTKKWNRYWNVDVFGI LRGRYLSPAFAVKIGETAIRNCFRDQLTAIRQEGLDYMGNHPCVLTEFGIPYDMDDKY AYKTGDYSSQSGAMDANHFAVEGSEMAGYTLWLYMCENKHHLGDQWNGEDLSIFSLDD QPLPLSPIPPTPLNQSTTSLVPKPGPNNKKEFSDESTVNPGNIKDKLKTPSISSKATD APPELTNAPGFRAAEAYILHYGFDLRNCIFTFKLHAHKAGTDEKPTEIFLPEFHFPKD KCDIEVTAGKWTISTDDADGGMIQRLRWWHVEGEHSIKVTGVQRRQNMALGKEEEEGY LDQCQQSKCSVM LY89DRAFT_649372 MNGTPNGNSTIGQTNATGPSEINGSSTNLLPRIHEALDIVHGPY SSNESRQQASIFLEEVKSSNEAPYHGFTLASDKSQQPVVRHYALSLLEHAIKHKWAEF SEAQAAALREWILQLSQNVAMEDPLYLRNKTAQLWVEIAKRSWASEWTDMDELLVRLW DIPGPVVHKEFVLFVLETLSDEVFNGEDTIAILREGALSKACVEIFTPAIVLAEAFPN RQIGTAVRYGEEGWLVRIGELLNQCLDNDLSGNSQYQSCAVRILAVYKSVMPWAVPKA IASASCVDYMCKSLAASSVAVQLASVEALHALYSRLHISDEEFVSLVCPMYRRPIVDL LHKLFAWSVVDPHDIDDEKYLFAKRFSEMVSNLGGFIEQKISAIQEDCDLPNLLNLFL AIAQSQSFVISIPILVTWTRLLRSNTIGASPTMTPLIGPLLELCSSRLIRYESMPEDS EDPSLIFLLDDIDTIPERHAFLGNYRRYCVHIIESIVRQNKSEAIYHILSQVDQSMQH LYDGQPSFSVETYSKTSIPILRVDAHFTVVEAALKGYMKWRAALGSKPQQDEQERITM ETNIEAWCERLLDMNFEDPIIRKRVLQLAVAFSTTALDKQVAFMLKVLEHILMSRPPE RPDHNAYNDAVKELHAEGMYELQRLASKMPDQLLDVYDQLEAKVNEIISTASLDTKRK VSYQTFLFMIIHRTSKIDPEIRLQRLHTFINPVQQLWQDPEMDNAISSFGGFCNLLGL NKVTDFLVNRRVHEIEEWQHYQLDGEGQAMQKELEDRLKALPLRTTKSFLACSTEKVE KDSAPYKVSCLLWRDALPVILPNLLKFLSHAHAFHNPANWTGLPPDMRGLVSRILTDR FWQAGISEGSKDDFYARVTGTKSTMEGFASSIRGSVRTVREACYSILWCMSRLDVDFY GFSELPGPLAHALFADAHCLSSHQLIALLNVVRLMVDDCPVELRSHFIPPILATCFTQ MDLKCSSEWEKLALKQVATADGDDLTDEMKEESILRQLTHTAVMMIGGFLDPARQNPG VTAATAKDASTYVPEPNPASQYPSMRKFCLTSSTILEPLLLFLTHAIRMRDGRCCGVV LRVFRSLIPEFASTTTNQDPQLTSSIREFISSEVLKSCISSLNEPYFVDLQRDLAQLI ATILIYYSPLSTTPRTVLLSLPGVDERSVDKCIDYIMRQGMQQRQQRALVLDLLRDIK GVSISEQGRITKSASVVRKERSKMQQEFMKQPTPENNNKGGRASPSLEGIAGMFEEAS LY89DRAFT_122665 MLSFFSPSLHIYYYIPTYLSCNIISCLPFLSINKGKDKSKNANR KQGCFLGEGCAAEWVGTQTPLPRGQRTNKREEGERDEWRKGW LY89DRAFT_122668 MVYCGKPSRGCQMCRTRRIKCDETKPTCNQCAKSRRQCPGYKDD FDLVFRNETQATERRARRAVNSKKVSAQITFANQQSSFSTIQDNSDDDSQALILPNTD ITQFSPPETISIPYEQQAPCFFVTNYVLTPRQASRGYFDFIEPMMEKESPDSHLSLAF GAVSMASLANRPNSRGRSLYPQAVSAYTKALKAVNLALQNPALQKTDQTLAAILLLGF FETIASERTSAMAWYSHIDGAVQLVKMRGKKQLRTKVGNSLFMCVRAQMVVSCMSGSK APSQGVEWWLGDQKDDAGSFVPKLNLRIAELRSDINAALSTFPRTPEYFQQIQNLMKQ AQAMEQEYLEWEAKVPDEWRPHTVAWVDQVPGGDITKAEVCPGKVDMYPDLWTAQLWN SARVARLFISGVIVRCAAWICSPVDYRTTPEYAQAVRLCGDIVTDIIAAVPFFLGWSV AQVGNLRSSDFSGFDSWGQSGPPKAIGGFFVIWPLFSITNTDFISDSQRSWAKSRMMY ISETLGLNHAKVLSKFQVRLPSMIIRRDSLVHTPPTTQMMAATMARGYTPPVAANTST HITTTTINQIAAAQQPQYQTPSPYHQSQPQYQTTPSPSLSPGSSISPSMMNAPVVPIY TMNPLQQREAMQRETWEKERKTLLKKASNQQGDSVERLLANYLQV LY89DRAFT_649376 MAWGRTAEAKAPRSALSKLLPLVILSVVLAGFAFVGYHLYLTFQ KISSTTSEKMQKKNVVFTKDGMKVGVKELKTENYVDTTQSFLVKAWNLSEWPAYKSRL GWNKTAAPEPAATSRKPFSRHSSSTK LY89DRAFT_686456 MATPQDGYPPQGYPTEQYEPNSEQPGFGAPTSPPPPVAPEGHET GKKKKRGYAAQAYDFGAGGNAALGGQMQGGGQFQPAAAAPAYGGYATPQEPQAGYGQP QYGAQVGGPAPGAPAPGYGGSPAPYGGVGYQAPDPGYPGPGAPSVGGVPGITQGISHM GMGGQPPQVPQSGQGQPAAAARPAVLNQLYPTDLLNAPFNVAELDLPPPPIILPANSS VTPSPDANCPPKYVRSTLNAIPTTHSLLKKSKLPFALVIQPYTSLHDVDDPVPIVQDQ VISRCRRCRSYINPYVTFLDHGHRWRCNMCNLTNDVPQAFDWDAATQKSVDRWQRPEL NHAVVEFVAPQEYMVRPPQPLVYLFLFDVSYAAVSCGLLATAARTILDSLNRIPNADR RTRVGFMAVDSSLHYFAVPKDTEESGETQMLVVSDLDEPFLPIPQELLVPLSESRLSV ERFLTALPTMFQNNQSNGSCMGSALRAGHKLISPLGGKITVISASLPNVGYGKLEMRE DKKLLGTSKESSLLQTGNSFYKSFAVECSKNQVSIDMFLFSSQYQDVASLSNLPRYTG GQTYFYPGWNAGRSEDAIKFATEFSDYLSAEIGLEAVLRVRATSGLRMSTFYGNFFNR SSDLCAFPAFPRDQSYVVEVAIDESLTKNIVCMQAAVLHTTSNGERRIRVMTLALPTT TNLADLYASADQCAITTFFSHKAVERALSSGLEPAREALQSKLIELLQTFKKELAGGN MGGGGLQFPANLRGLPVLFLGLIKNVGLRKSAQIPSDLRSAALCQLSTLPLPLLMQYI YPRLYSLHDMPDNAGIPDPETSQIVLPPPLNLSSERLVPYGLYLIDDGQTQFLWVGRD AVPQLLADVFDVTDRSKLQVGKSTLPELDNDFSERVRAVFQKSRDYRSRGVGSIVVPH LYVIREDGDPSLKLWAQTLLVEDRADQGMSFQQWMGTLREKVVQ LY89DRAFT_122855 MSRAPNPSTGSRKISFNVSEQYDIQDVVGEGAYGVVCSALHKPS GQKVAIKKITPFDHSMFCLRTLREMKLLRYFNHENIISILDIQKPRSYETFTEVYLIQ ELMETDMHRVIRTQDLSDDHCQYFIYQTLRALKAMHSANVLHRDLKPSNLLLNANCDL KVCDFGLARSAASQEDNSGFMTEYVATRWYRAPEIMLTFKEYTKAIDVWSVGCILAEM LSGKPLFPGKDYHHQLTLILDVLGTPTMEDYYGIKSRRAREYIRSLPFKKKVPFKQMF PKTSDLALDLLEKLLAFNPVKRITVDEALQHPYLEPYHDPEDEPTASPIPEEFFDFDK NKDNLSKEQLKELIYNEIMR LY89DRAFT_563204 PHPTFLLTIFTPQSLTKPLAVLTATAKHRLLSADITTPLLLAPS NASLPATLSSLHQPSAAIPLDTFVQILEILDVGSSKWEQISTLESERKGETTKGREII RVLPPAAGEHDRGSTAATQHIAPPPPPTGPSNPTLGPHKVLLQDMKGTKIWGFEFTRI IGLGGASIGCKMWLRKGCRVARGMVLLEPGSCGVVGGKIEGLDRGWREGKEGRLRA LY89DRAFT_686460 MSTIASPRDSSVTGRRIPLISTPTSSSRPSLELPRSVDNSPNPS GTPSTLPPKRNRAALREYYNLKKNAAPSSSIIPEVDAASETSSIHSYAFSDVAESELD KEGFDAEAYVKKVLREKDLKELLVTYGGVLREIGALEAEKKALVYDNYSKLISATEMI GRLRGGMDPLDPMARTLDPAVEGIFRRAEEIREGMRRGLPEGMGKEVSREDREVEGRR KKTRDVVVRVLETPERVRILVADGKVDEARRVWEPALKLLQRWKERGVGGVDVVDCIE DGEAALRGEPADEKSWVNVRNENRDLNS LY89DRAFT_589350 MAHRYPEKLADSSFDNTGLLLEAPYRPHLNNSVLLTVDLTKAVA DEAIARKDSIIIAYHPIIFRPLKSFTLANTQQNSLLRLAQEGISVYSPHTAVDAAVGG LNDWLADIVTCKDYENPSEGQEPQTTRSVITPVKDPLQGFEQAGYGRIVKYQTPVELG TIVQRIMRGLKIQDGMSVATPQAVPAGQKSQIKISSIGICAGSGGSLLNGLDVDLLFT GELSHHEALAAIEQGKCVVTVFHSNSERGFLNQRMAVDLMIAINHSQSDGEFADIPKN WSQTGGIAVSQADRDPYSVFSKTVLDGGQW LY89DRAFT_588879 TFGVLITAMAESGVTEESLKTKIREQLQATHVEIEDMSGGCGQA YSAIIVSPLFEKKTTLAKARMVNTALKAEVAAIHAWTPKCYTPEQWEKARGNQVNGEV VNGTSA LY89DRAFT_589148 MSLIEASKANLPNLRQLFVNARTELEESTYSRTAFYNIVLFMSS VAVFSLVAQRVNKAGS LY89DRAFT_686463 MAVNRIAKAFQTPRKGETFELRAGLVSQYAYERKEAIQKTIMSM TLGKDVSALFPDVLKNIATSDLDQKKLVYLYLMNYAKSHPDLCILAVNTFVQDSEDPN PLVRALAIRTMGCIRVDKMVDYMEEPLRKTLRDESPYVRKTAAICVAKLFDLNPGMCL ENGFLETLQEMIGDPNPMVVANSVTALVEINEAAPETKALRVTPATLKKMLMALNECT EWGRVTILTTLADYKATDVKESEHICERVSPQFQHVNPSVVLAAVKVVFLHMRYISSE LSAQYLKKMAPPLVTLVASAPEVQYVALRNIDLLLQSKPDILSKELRVFFCKYNDPPY VKLQKLEIMVRIANDKNVDQLLAELKEYALEVDMDFVRRAVKAIGQAAIKIESASEKC VNTLLDLIATKVNYVVQEAIVVIKDIFRKYPGYEGIIPTLCKYIDELDEPNARGALIW IVGEYAEKISNADEILAGFVEGFMEEFTQTQLQILTAVVKLFLKKPDNNQGLVQKVLQ QATAENDNPDIRDRAYVYWRLLSGDLAVAKNIILSDKPPITTTMSSLPPALLEQLLTE LSTLASVYHKPPETFVGHGRYGADAIQHAAIQEQRQNAVDNPIAAAVAATQNGGQNNE NLLDIDFDGAAPASADAPPTGGASGLEGLAGTPQRVASPSVGAPPGANSMDDMMGLFD MNGSSSTAGPSSMQNDMMNGFAGLDLGGASQPPPPQQQLQGGKKTNEDLLGMF LY89DRAFT_122906 MAPSEKMIVAELRSAILSTFNGPDRTLLTVKKIRAKVEEDLNLP NDFFSQGAWKEKSKTLIRTYAQELMDGEEAAGGATSSPVKAKPESTPQPTPKKITPLR KGTKRASQEKQERPKKRQKKEETPISDEEIDEPTEEESEEAEESEFGDSEDSDDKGRK KSKAKGPKCASKSRAKVDSDSGEDDESEDSLPDSLVDSDDSDAPKKKTKPKSKPAAKP TPKRQVKTKPKAKHVSEDEEDEPEEPPKTATKDIVDSEEEPEETDTALAPKKEEHKSQ FMKAPSAESSELTPVPKDADLSDSEMSVVLDEEPKPKRKRRSKVEMADPSSRAPKPAK APKEPKAAKPAKTKAKAPPAGKDLTEDEQQIKTYQSQLKKCGINKIWQFELKQYGDDN KAKIRHLQGVLKDIGMTGRFSEARAREIKEMRELQADLEAVKEGEKSWGLDSGRRASR GGSKKKSLKEDSDEDDEGGDAGDDDDEDEKKASNSRSSSEQPAARKPRARAALAFLED EDSDE LY89DRAFT_123228 MAPTTRRTSRITPPPGPSSGHEANTIKKTRFFTAYDKEISFKSL RQIAKDESTTESTARRWLKQRENIGILAYRHTRGRSGKLGKPSKVTKAMCKKLVDPAR NPIRNQPYEAQIAYHKIPCKKR LY89DRAFT_588615 HVAAWVTWFDKAKKLEFYNDEEEHEEQPPMPTKPRRRPTTETPE EYKARLTDWEAQKPHKVDVKPQGNSMT LY89DRAFT_649396 MGDSTFQGETPLEEAYMLDGSIILSESTNRHHSRPFKNGYIRYH FPRIQARPTVLGGMGFDGLSRGDSVIACSVATVVLASIIVMLRLFTRSKILGFVGPED WCILVALLFSIANTVGMCVQVENALGRHMASLTSDQIMNFLKAYYVTVVFYNISQTLA KVSILLLYLRIFSVTNIRTPCYFMLGFVTLYGIELFFSAVLMCVPAAHWWDSSHVPGT CLAEKPLWFTNVSINILTDIGIVILPMPVISTLVLPRKQKLGLYFIFALGLFVCGMSI LRLHWLIIAVRSTDPTWDNIGIANWSCIELNTAIICPCLTTLKPLLSRCFPRVFSSNS SRSRHRYIEGIESGSNNAGSQTNPEALKGKRGNGKNPESDVETDERSLVGFSLGGLKP AIVHPRKHFEDA LY89DRAFT_783906 MSSNSSVYTGLWTNHSKGAILGKTLTVSPRSGLILVAVLALFVS LAGSQSWGIIRFLAHQFRVKPWPQHAIFLQQQAVLRNTSAASAGIWQFGLIGWAWRSQ GVKSFRKSFSLLLLGVSHLVLFTTAGILSSSLAKVNNEILVRSSYCGTWIPNNDDTSP EVLAERTDWFAHRRENADLSKGYVRDCLNGYESSPQCNMFKRRIPFQIQVNASCPFSP EMCLAPTNGSLLLDTGFLHSRDDFGINSKSSDTILYRKIMSCSPVVTEGYTTSGSSTF DGRSYNYTATFYGPNRPLTSYPAFPSWGGIAAIPELVSSNGSVVLIFAASTGHFLKAS DDLWLSAHRPGMQELISDAGILRNESFYGIDKPISVLGCTEQHQFCNLNRPENTTTRC TPQADLMTIDSLNISEFLDTQHQYEVTNMISEAVGRAELGFVVGDLSPPLLAMEYLMK QSSGPIATNQWVLEATNWFSTGINVMQRSLAEVATGPSGRYAKYTANTAANDTELQRY CDNLILRDNGYTSFSVLAIGLVLGLGGFIIVSSLFFEAIIGWAQMRWKRGLRHKVYWN LDSALQLQRMAFEEAGLGTWDKCTEDVPVVTNGEKSRVASEWDEWHPTIVGKEPLVEL HIHPEAVKPEEAVALESPTSEGLQAELSRDEGISSSFESESQIGL LY89DRAFT_735895 MSDLASIMLSRGFLKALYTGNMLWHTSAFIHFSFRPQHTLLRVG RRINSSNPAISSTPGGDAWHHDILDYLGKINLGFVALAALRLTVLLKTRSSSPEVVGN GLGEDLDVLALTVLGIANASQAWNNLVVLRKTDRWILGKGFDRITVLDTVFAVLDFGV VVAKILKR LY89DRAFT_735896 MAQLSLSEFAVVAIHAFFIQLCDIDEHLHVLLSAVGKYIQLSKV LFQHRHLPLNWVTLCRLVALCPLLSYIIANSGGEILGSIRGSAVFCAEILEAFNESWV LARKAASVFRLFDGLPENSSPLSTRNGGIFSLSGDRTQLNTILEETRKMIEETMGRSS IYYGILDATLYTLSESRNETMLANSSNIPTPGFWMDDPTQWEDVGNLS LY89DRAFT_123279 MATWLSVCLNDNTASDTTSPTAYSTTISPTTPPIKNIGTPRVSA PPAGRQLVDAYFRHIHRSYPFLDRTVILKEVDSALSLSEGLENMSRKLYLIMAIGCTT LRRIGHVLDELCAKFKVPGQVILRDCLLKNDIDSVEELLLLSLYTFFDPADLSPWITT GMLTRQIMAMGLTRKLPGTHDLTLSQIETRHRLFWSIYSLDRIVSVSTGLPFGLSDHN TNIPLPGISLEEYASLDREYFTTTLQVNRHIINLRQIESAILERINFVNPLHTTHSDK RAVVADLRTKIED LY89DRAFT_698441 MVSEAEARDIYKSAIHFDGLNICNFSRTIFEAWSSGGITGVSCT ISIWENFRNSIAQVVQWKKWFEEHSDLIVQAHSVADIRQAKKDGRTAVILSWQNTAGI EDQLDYLRVFRDLGVRKMQLTYNTQNYSGAGYTELKDSGLTGFGREAVAEMAKLGIVC DLSHVGPQTSRDVIEFAPEGKPPCFSHVLPAGMLEHPRNKSDELVKLIGEKGGFVGLS QFGPHMAKGNDSTIDDYVTALDYVIGLIGEDLVGIGSDSSEEHGRPSEFMAWCNSDKG YARKLTPWGSQKVVKPLGPLADRAKLAEAMARAGWSEVKMRKVLGENWLAYLEKIFEH LY89DRAFT_735899 MGIPEDGKQLTAIGVATSDSSVSRRDLEFRIPPAKRTLGKRILA VIWDSLDKSPQERALIAKFDWFILSYVCIAYFVKYLDQTNVSNAYVSGMKEDLDLKGN DLNLLTTYWTVGYILGQFPSQMMMTKIRPSIWLPAMEIVWSILTICVAGAKNVNTVYA LRFFIGLFEASSYAGIMTLLGNWYQPAELGKRACIFQASSSAAQMFSGYLQAALYSGM NGKVGLPAWKWLFVFDGIIGIPIALYGFWAIPDAPSTSRSR LY89DRAFT_123378 MFSNPIIIGLLCLTGVNAQTATETAESGQLLPTQSSLLVSALSA YETSALAASQFSAIASELATATAGPADLLVLAADAIAQDNAGGTSFSAEVASVLSEYP PDVSSWFVSLTMGEQNIVTSVLGTTLVPTGAADDLGGTSSGTGPVVVATATGSGTTVT GTGTAGASKTTSSASKNAGPTLGAMSGAACGVVAFGAAAACAIGMM LY89DRAFT_123379 MDLARRLFRFIGWQHAVARPDAFQHSHLGKLPNEIILHIASYLP LESAASFSICCCRFYNCMKTEYLPSLKLADPSIQENFLALLEHDLPMHILCPDCKELH SIANAFTHVPSRRHFSSTSTQRPRLQCWKSDTQHDIRRSIHTGFCSTIFRMAMKTHRL GRNSRQLLQFLSYEPRTTHHRGFIEQNQAAARIVDDSLLVREQTVFMVPSSQRIPIPW HGFINICNHIGFPGFLDLHRRGAVHIPQANELGTFVQSRRGLICCKHCYTEFRVDFKS YGESGNAMFVTRWMDIGEGRDPKEVKFTSRHVNLYQETWAKVNFRGGSICAAFEEMPA AEFVVDSLLSAREERVLCKGSNLPWPEDVSVSCDRVERSFGVMSGRFVPF LY89DRAFT_686470 MAEDTKPRTFNPEGVPLPPPTYNHACITPLIPGSVDLITLAGLT GVSSWTDSNPKTIAEQAPIAFQKIKTCLLAAGATPRDIVQVKHYIVKETGDPEVDKLD VVERGWGESWMEFMDREAEGHRPPDTVIGVAALATKATLYECEVWAVVRK LY89DRAFT_735902 MAIPYPEGECFFNLEGWKASAEYDPNKAEDFYSRMLVEYIYNVE ADHASEACHSPSGYSHPRSIYNIVRGSNWKTDYGCWCLLPIQYGMSYDKPTRFLRHEL CSEGNAFRDHEKGGSAESCFMPLPMPTAEERFAYIDMLTQIRAIYLPVSQTTSEEYPG MSYWVEMNFALNRTVNMWRPDYDHAISLDVTGALELLCLPRQLRDKDLYASKVIGIIE TKAATAPLTGNYISHSADYDWTAMVKLNYGLDVQWVPTTQGTWITHFLFDILYLAIGF IPGVGPILALTFALGWTALFNQNDFFNQLCILCPGIDLTNEIRKSITDSSEETKTLMV PGWEDYMNSVDQTGQTASLSLSAPAPSAHAATRAAPKKSKIPFEKLYRSASFQYAESV LRASGRPRVGPPPSNDGAEVITSNPPTNPNAIYQPGA LY89DRAFT_123816 MVASNQTFETYIMEDFIGLHILGHLQYPSTTPHYFYTRLPSYLQ SVLLTSRQLTIDLICLNMTPPPPSTIMNPDDHFPKHQRRSVSHAPSISLFTDLPASPL VLPKLVLQAAANTD LY89DRAFT_123450 MLSQGGPRMPSEYRHMSSTSLNIPPPTALNGNGAPPPVGGAAGM GRFEGPRSPPGRQNTSHVPCKFFRQGACQAGAACPFSHDLASTTDNVCKYFAKGNCKF GPKCANVHVLPDGRRVNYKHGGPMGGGHLNIGGRLSTADLYHPPTSALTNSINRANVI PPSPYGQYSPFQNQEDSFPPLVGRQQSIDITVPTIDTSYASHPTSNYGSPRDEDINRF GLGLSPVAAKGLSVLDAPLPASFDSNGVSWIARNGPIASSVPAKFGLESPPGSLGAAK DGRTSEALKSLHSSAFGDDTRDRFNGIAASPPAPPADEYFGKRVMHSQRFAKSKVMSA SLPKAVDQDWEAQFTFEEDYLPETLKDLMTPQEKARRGSRNADEEGRPIHSGTGTPNN ESSKFGSPSNASPSRWSPFFQRHQKEEEDRARASAFGHVGSPLRNSTLHPGASPSSRP IGRSSASGDSSPYLASPPRQSSIGLLSQNFKRASLSSGEVGSYPRASSNPIGPTSRLA GERQVSSSSIGNGSGRFTTPIDEEQGDFVFSMEGMEDVDEKKGEKRNSGGWSYPTTTR SPHLGVNGSTRNGTSNGNGVDGMFGPR LY89DRAFT_123828 MHRGASQSLSHLTNDVLLPTMTTRIPILLLKTRSSPTDGYEDQF STPQHGLTFSPTFVPVLEHQLLDDGMNTFRNLLERKEIGEGQGKKYGGLIFTSQRAVE AFAQLVAEGRLNDPSYPHLAPSTPIYTVGPATSRALSALSTPSSPLTILGQETGNGEA LAQYILSHYPSDSKSKFKSRLPLLFLVGEQRRDIIPKTLSSPSLEASNRIAVDEITIY GTGIMASFERDFKAVLKRTENVGRRWVVVFSPTGCEAMLRALGCLGDARGKAGGERDG KTFVASIGPTTRDFLRAEFGFEVDVCAERPSPEGVLEGIWGFMEGLGGMG LY89DRAFT_783913 MADDTRDNEQSSGGPVATGTVADAPKSKLPRGVVLGKDGKPCRS CTSFADFKSIKSSLVSHPHTTTPTVPPPDCPPDVEALGRSSWTLLHSITASYPASPSP TDQSNVKQFLGLFGKLYPCWVCAEDFQDWMRENRVRAESREEFGRWMCEAHNGVNRKL GKREFDCSKWEERWRTGWGDGRCD LY89DRAFT_123704 MSPIATSTIDSYDLSPDQYKVIYEDAIPLEGQPPSALGKDDLVR IHNRNLELKKESGKSRNSFVLPAAYAPSSASLDTLQKISLSDLKLGTHNRGYFVTART ITSSYHSTDLITILEDDSGAVARLVQGFQNFSSSDSSIPLNSTVAIKEPYCKFNGEND WIIRVDHPSDIAVLKGDDAAVSLILQFVTEKKEISPTQWREAGDLAYLDKKFSSAIEC YTQAIDNSTSDTAFKLSTLRKRAFANLTARSFSAAKEDAIASCLDPPEPGDEKAYFCA GRAAYELGLYTESEQYFTTALNLKPRDPKTLKELRKTQTRISEQETGVYNFASMIDRL KKGEVHLDHADFVSNTEVKMAEGKGRGLFARREIKKGEVVMVEKAFCLPDLYTTDQSE DIRDGQRLEMWNFNTNSRTQRPAQASLFLQLLKKIYGDAEASKKFFELDGGSYLRSGK EAEIVDGVPVIDSFLTEAIRLRNCFSCPSTSLSLLTSNPTIYNSHTTPLSAGLWTHAA YINHSCIPNSIRSFIGDIMIIRATCTIPAGAEILHQYKASDAAYLVRKQVFEQNWGFV CECPLCGKESGSKEVKHGERSELVERIKREVGRLGKEVSVARIRGVEKLVRRLEGLHE SEVYAGLPRLMLIHPCIWLLERWREKREWGMVVKYGLDVLRNFGFGEDVVREGTLELG YEKGIMNVESMRALKVMGEAYKELGKLEVADECEKEAKGMFVVLTGSEVGIEDFFST LY89DRAFT_686477 MQVLRFLSLFLGISSVAARISKRNATTPNLNVQKLWDLQTNLLD NFLYPNSTVQAKEINSTLLASDIQGRVDITRTFDGAELNTEYLFGLFSNLAANANSFS LLGLPLSYEIIHFTANEYITAANTRFMFNFTSLGIMLPVEIDGWFTWNEAGQVTQYDV TFKYWEWLLDTVVTAAAQLLNTTTAAATQTALTEGLAQSICATSTQYCNGTNLQYANS TQCYEYLTEEVRFGEAYELGRNTLLCRMVHQNMVPFRPEVHCPHIGPTGGGYCTDDTT YVGTVENNYFNLPFMPSDLIS LY89DRAFT_123502 MPSLESNPKPQGRLLLVSNRLPITIKPSGDGAYSFSMSSGGLVT GLSGLAKTTTFQWYGWPGLEVPETERGPLVKQLSDEHGAVPVFVDDELADRHYNGFSN SILWPLFHYHPGEITFDESAWAAYKEVNRLFAKTMAKDVQDGDLVWVHDYHLMLLPEM LREEIGTSKKNVKIGFFLHTPFPSSEIYRILPVREALLLGVLHCDLIGFHTYDYARHF LSSCSRILETPTTPNGVEFRGKFVTVAAFPIGIDPEKFVDGLKKKSVQDRIAVLERKF EGVKLIVGVDRLDYIKGVPQKLHALEVFLTEHPEWIGKVVLVQVAVPSRQDVEEYQNL RAVVNELVGRINGRFGTIEFMPIHFLHQSVDFEELTALYAVSDACLVSSTRDGMNLVS YEYIATQRKRHGVMILSEFTGAAQSLNGALIVNPWNTEELAGAIHDAVTMSPEQREIN FKKLERYVFKYTSAWWGESFVGELVKISDHADKKAKRLSVAPTAGTVSELAEDVADLK VNGEDED LY89DRAFT_588963 MTPILQTPTHTFYLRPAAPPDTPALNTMISLSVRTLHTPFYSAA VIDSAMKYVYGVDSLLISDGTYFLISATPLQSPNQNEEEIVAAGSYSFRSTLYGGDQF SARDPTELDPGKDAARLRAMFVHPSFTGLGLAGVMLRRCEGEVRGRGFRRLEFGATYA GVGFYERAGYGRLEEEGVGGKGRCDKVMEDGRVLELVKMGRTF LY89DRAFT_123864 MSALYSIVDSFMARADWRDMATLSAVKTGSGYNELTSLLHATTI TNVQDLSRPLGHMPSIASEYLSKVLAAEISLTSKIKNMGSRTTMRTKTKRQDTDPDYP YTEESSYSSMVAQIHDEANDNVDYLSSIIGDQVSIELSSMIATMLPEDQEYLSSIIGD QISIELSSMIATMLPEDQDYLSSIIGDQASIESIISANFANSSASKANKQVVNTKASA IASPTSKKNSAPSKGVLGTGALAICLIGVMVLL LY89DRAFT_686479 MKVSKLVTLLAVAGIPVLCKKRKSSTTSSKIVGTTTILDATTTA APAIVTTASSEIPTNTSAAVDYFTVTSLTPEQVSTQPILGV LY89DRAFT_535887 MRLLNVGTKQLKDFMGDDNIPEYAILSHTWGEDEVTFDGLLDLF VKSKLGYTKIDYCCQQALEDGLEWVWIDTCCIDKSSSAELSEAINSMFRWYQNAVCCY VYLSDYFQSTGSDEVGNASGFANCRWFTRGWTLQELLAPKTVLFYSRTWIYLGSKDTL CKTLSEITGIERETLEGEALNRTSIARRMYWAAGRVTTRTEDLAYCLLGIFDVNMPLL YGEGEKAFFRLQEEIIKSSSDQSLFAW LY89DRAFT_686480 MKTPPIYLALPPLIWARSSRATSNATITKDLITIPTSCIYGHNT TWLHNMALSHQGECFAPRSYYCMSLQQNIFGTLKTIGAGDYHRAPIVADGNDKVISKS TIERSEDCAPPWRVVYNDSTGHSEKRNCSCAGRLKGEQNDKNAAPPREHFVSLREHEF PIPTPASFEVDSENSDGYYDILVYQIK LY89DRAFT_686481 MDSLIDVLPAGFVRDARDLAQGERDAVNYDSFSVGLNLLSQGVR ANHPVIMVPGVISTGLESWGTTNSSRQYFRKRLWGSWSMMRALVLDKEGWKRHIMLDK YTGLDPPGGIKLRAAQGFDAADFFITGYWIWNKILENLATIGYDPTNSYTAAYDWRLS YANLEVRDQYFTRLKMYIEMGVKTSNKKVVMVSHSMGSQVLFYFFHWVAAESGGQGGD SWVDDHIDSWINISGCMLGALKGLPAVLSGEMKDTAQLNAFAVYGLEKFLSREERAEL FRAMPGISSMLPIGGNAVWGNSTWAPDDLPGQNVTYGNFLNFKQMNGTKEYRNLTVED SLSYLMDTTEQWYQDQVHGSYSHGVAHTTAEVEANEKDPRKWVNPLETRLPLAPNLKI YCFYGIGKPTERSYYYRNPENPLTSLNITIDTGLTKDNIDHGVILGEGDGTVNLLSVG YMCNKGWNMHRYNPAGVKIKVYEMPHEPDRFSPRGGPNTGDHVDILGRQSLNDLILRV VGGKGDGIGENVVSEIRTYADRVKIYEEDEYAL LY89DRAFT_686482 MAEDAVADSKASDSKATGLPAELRYIQYSHELEKQYLPAIRALI SKDLSEPYSIYVYRYFLYQWGDLCFLAIHPTTSLLIGIVISKLETHQSHSPPTLRGYI AMLATSSAYRNQGIATTLVRKAIDAMIERGADEVVLETEESNTPAVKLYERLGFIRSK KLHRYYLNGSSAYRLVLHLKRTMDMSGEVGI LY89DRAFT_649419 MAVKIGAMRSVEENGYLSDESDFYGDEVAKHELEEKASTFDVAE WWKCKIPTLMEIAEKNMKTDIVKESVKLYNPYEGRGEARQLSETVDDFLARLPPATTP FSSTIPWIFIANPYRKAPQRKDHDDYSRTGEGPPDERSEWGAFTVTGNRLLQELTQIR HTLEKKKPGKTKAAITREVNADKDRIVKEILDTAKNLHCTTGKWMLFCDEAEVNAVWS VVARATADNDLGIAAKVAPDDGQNRKPRLMCIYTKDFTDMKDVSRVLHKMKDLGLVNN REKPIYYKCDAYTYLELTSANPYNIKASLYSSKDILQAKTEKQLDSFFYKKKKKDEGD WRPLEWE LY89DRAFT_123913 MQVTSPEGGTCQMTSSGEEDTSRDYGVYDLKFLPYHPIFAAATT LGKILICQLEEIGEPEQKDLQIKEVSSHQVIPENITICSVAWFPLQHASDGTRILAFT VEDGRVFLVRFRDDFKSFDFLNGQLPVHQHFDKTPVSNNAERAWCCAFSRPRPDSVMS GGDDSVLRRSTLNFPQDLTTLQEDSSLWSVVTDLALYREKRFPQGVTAILPFPFCTPE CSSTIFLVGCYDSSVGLWSVYGKDVPEAEVNLGGGVYRLKFLQYEPGPPEQVACTFQV LASCMDAGTKILEVKRSISGHWFIDVVAEVKDHQDLCYAADVQPLPAPGDGEAPITCL EERICVSSSFKDCKLSVWKFNPLHVVRGGIILPLHSPMAE LY89DRAFT_783923 MSRNYHHSTSSGGTNTPPQSLSNSWSMPAAVTGLLRRFSTEDPV KGKKHTPVNTPPYDDWSNGFDGVYTPPGRTASPFQPPPLYPVILKGHSSSTPASAKLL NRGLAEEIRLLVPPRLQLCEEWNLVYSLENDGVSLGTLYKKCDELRGLRNGFVLIVKD GEGSLFGAYLTDAPHPSPHYFGTGECFLWRASILSSSFPTNLPPPPSADTTNMARITT ISTSATTTTAQSSRLLSPTTSSLSNHHSISPNTSTASLAPRSPNSPSFQSGVSTPDRI RFKAFPYSGVNDYLMLCETGFLSLGGGDGHYGLWLDDSFERGISSHCLTFGNEPLSEE GEKFDILGVELWSIGNS LY89DRAFT_562908 ELQLSCSVKTLKRRCKEAGYYSCICCQKPYLTKTQANARWLWGI AHMFWTIWEWSQILYSDEVTFQVGGKKCKQRCIRNKKERCHPDCIQFQMHRGGTIPVH FFGAVGYGYKSPLINIHGTGKSGAFTQTDYLAQVLKPYIQDFLAAFAAVLGPGKTPQF MEDGNSAHGHKTTSNICATWRTSMGITLFPHPAVSPDMNPIEKCWRRIKQALHRRLRQ PTTEVQMVVAVLEEWDKIPQEWINGLI LY89DRAFT_783924 METISFLEGVQSKVYHNLRDRETRLVKVLRGKWTDRIQCQLYQA FLSRNPVYKAPSYAWSSPKATRPIVVDGHQFQVTVNLESALRRLRRNDDDLCLWVDAL FIVYLGEVPHLSPTALMKSLVSSTTHFKCDASDEDKMVDFRARCTAHAPLAGKSRLDN AFEVFCFLRLLSATRGLSRFPAFSTDSQRSTDDDYQRKLFEGLRQMMLCRWWNRIWVI QEIVVPQRVTMVYGSASAPWEMFVTAAQWNSYNRSSVYPLPLPHEYSSVLTYFSQIVL DIDCMRTVWRHEKECDLLSLMRRSSGRKASDDRDKVFALLGLARGQTSIVPNYSLNVP EVFKETVLDLIRTTRSLDVLAGDLGRKDRQDLSSWVPDWSATYDDLDRRRADNTFKYN ATNGCVVYDEKTGILGYLDVLASLSGRTSLKEVTGTGSTDQIVERFNNILGTSDWTDW LPSVTDRDSPKEELCLKAVQKFEHARGKAVSLKNWKHVLELSGVAIDRVAVIGETAFS DGLLVSVVRSWARLLVDHFGPHNKAKEYIRTSYGLGDAFRRTICADTVTTDSGTRRFT IEPLINDDHESVTTWLLQGGPNHYLAGELAWKILFGDLGDQNNAEAGKPVSSSIDNTI RLATARRTFFITERGYIGLGPARMRAGDRLCALLGGQTPFILRKHISAPRFEVIGDCY THGLMDGEAMNMWKDLINTTKGINATLQRVANGWQVVYPEWLTRTLELVQFQIEGSGQ LVLEELADYIRAYSWYDTREKSILRLLMDESSNEETRRAAGYTVDLTKWKSRSFIMTE AAGDPQWVSRLIKAAELKVEEIEKEVEATKGVLLHPLDSIRVMELGFKQNGRVSLV LY89DRAFT_720313 MASAASLVIFIWLMPFVASKEFSNPNITRTITLSPPSSPAPGRQ IVDAAYQSFSIEFSYMADYGGNNSNPNLFSKQVVQNLHDISGAYPIFRIGGSTQNSAV YYPNQSVAIIDPFSSVASDQPSKSMLGPAWFESFQQFPEGTQYIYGLNFFNPVNETLF NVGNGLDQCVLEAYAAHTALGDSLYAFEIGNEVDGWPGGSRRPSNWTIQSYVTQWNQY ATAISYNLTGEGAMRLFQGCAFEAPRHIGNRTFWNVQNAELDGMRSNMAKTVSDHEAS PTIETTLFNRTNMLSRVWYHDYLGNITAQSGIEYVIGETNSISCQGAFNISDVMASAV WAVDYTMYLSSLRVSRVHFHMGTRYRYSPWQPIYYNDTEPHVKPIYYGNLFNAAVFAG GNKQIEVLLNETNFGAYAVYNSRILESIVAVNLNMWNWTFDLIRRPYTALVLPKHWEK ATISRLTSPGVDIAENIAFAGQYVNENAQIVGKKTYDHVVSGKVLVGAGEAVLIRL LY89DRAFT_620076 MDTIQFDINDALKHYMSDPATIATPEADSNLVDCENDPDSLTNS LINTVLNPIVDAVAENPDAITRSSSFDSLQFLLKSSSVLPTHALSKIFDLVVSGLSTE ADVIHNDLESDEQELVSHHKQLLEVFGFLLQWTIAAVETKAAEKSTTAPAARGRGKAA KSKTASKDKDGNWDSSAQLQTALDVMCKVLKLKLSKIFLTTSERDTFIGLLTRPVYMV LESEQRVKNNEIKMRAFKVLCIAVKHHGHGYAAQISIVQNLTYFEHLAEPMAEFLHIL SEQYDYAQLAGEIMTELSNKEFNSNDTKGPKSVSSFIVKLSELAPRLVIKQMTMLAKQ LDSESYALRCALIEVCGNLVADLSKQEERGDNHKSQLNAFFDVLEERFLDLNPYCRCR TIQVYVKLCGLEQKFPKRRQKAAELAARSLEDKSSNVRRNAIKLLGTLIKTHPFNVMH GGMLSQKEWNARLDAVDAELNALKPPAGTPGGLDGNDKTVDTQLLDDATVIESDSPQK PMTDEQKIAAIKKAQEEAATSEAINKLTLTRRYYVEALKFIEVLHGATTTICQLLGSK NKSEVIEAMDYFHTGDAYDIEQNKLGIRRMLRLIWTKGNSDEGKGVQNHLIDVYKSLF FEAPPTFTANDASNYIARNMISLTFGATPAELTSLELLLSTMMKAGHISDLVIEKLWQ VYGVQKREISKSQRRGSIIVLGMLATAKPEIVVGEMETMLRVGLGSLGRSDLQLAKYT CIALRRINPTGRQAKETSVPTSKLPNDHAVLVKLAAITEIESDNKEWYGVAEQAISAI YTLSKHPDTLCSEILRRKTKRVFQQRIAKTPEPEEPETSQMVSPPPEPVEPESKVKGS IGLSQLLFIVGHVAIKQIVHLELCELDFKRRKVEAEKNKPAETVADKAAKEAADDLDM IGGTTEDDFTEAMAHIRERELLYGENSLLTNFGPLVSEICSNNTTYRDRNLQAAATLC LAKLMCVSSEYCETNLPLLITILERSKDPITRSNVVIALGDMAVCFNHLIDENTDFLY RRLNDKDASVKRTCLMTLTFLILAGQVKVKGQLGEMAKCLEDEDKRIADLSRMFFTEL STKDNAVYNHFVDMFSLLSAEKDLEEDSLRRIIKFLAGFIEKDKHAKQLAEKLAARLA RCETERQWNDVAYALSLLQHKNEEITKLVSGGFRVVQANA LY89DRAFT_124090 MAAIRLRNAKVPFEIHHDEMDPDESFEEQEDLGESRPEGDEEDE QDSDFSDDSDGVVDPSVLEDMEKLEETFKGIKDRFRLINRIGEGTFSTVYKAEDLLYE HYDNDWDIEEKENKWTSPPLKKQRRIGMDALADMDEYAQQRRRPKYVAIKKIYVTSSP SRILNELDLLNDLRGFDSVCPLITAFRHTDQVVAVLPYFRHTDFREYFRKMTVDDMQI YFRSLFTALAAVHSKGIIHRDIKPTNFLYEPDKRRGVLVDFGLAEREDGPDMKPCLCG EGVTERRRRVTNSVAAQTGPIGGYPKNDSRPSRRANRAGTRGFRAPEVLFKCTDQSSK IDIWSAGVILLTILSRRFPFFNSADDVEAMIEIATIFGVKRMKQCALLHGTVFETNIP TIGDRGFLLEKIILWSTCRNDGGKGGSEIPLDAKEKLAVRFLERCFELDPNKRISAEE ALEHEFLKKKEEVYGDDDEMHML LY89DRAFT_686488 MPTHLSKTRKHRGHVSAGHGRVGKHRKHPGGRGLAGGQHHHRTN MDKYHPGYFGKVGMRYFHKQGNHFWKPVINLDKLWSLVPAEKRDEYLSGSKKDTVPVL DLLPLGYSKVLGKGRIPEVPLVVRARWFSKEAERKITEAGGVVELVA LY89DRAFT_620085 MWLLWIRGTGREFTARLAAHRRLGPVIRIGPQEISINCIKDGVR TVYGGNWDKSSMYDGFTQFGFSPLFAIRQAGLHSERKRVFAHVYSKSTIVNSVQFAEL LTTIGVDRLLPRLQEVIKNRDAVEVFSLTREYSIDVVTAYIYGVGNGTNFVEHPGEGS HYLSAFLHAVEPWAFFASTEIKRLVYILDCFGLDLVPPSVSSAFDTIHSFVLDLTTRK ISSLETDFPSEKGSMGAFEHMWQRLDYIPEVQKASLIASDMVDQIHASHKATRTILTY LMWELSKNSEIQDMLRSDLRSSSDPPSSELLDAVLMETIRLYPAGFGPFPRVAPANAT VSGFLIPKGTIASASPYMLGRNSDLFPSPDSWLPRRWIQASPDEKKEMRQWVWMFMSG PRICIGEHLAVISMKAFVVDVYKAYKTTIIGNPDMRQLESFFSTPTGASLLLQLQVI LY89DRAFT_720318 MAPRKPKPADDDTASTTSTEHPVLKPNTTKSKIEKPKTEKPKAV KAKAVKKIAAAAAEGEAEEKKAVKSKVVKKDAAAVEDAAAAKTGGGGGTKEKEKGEKV KPVTGEEAVQLILSYLTAQNRPYSATDVSANLHGKVTKTTTDKLLKEMEQAGQIMGKA TKKDGGGQWVFWAIQDPADTASPDQLKEMDSQISALREAIPGLKSRAKEVTSKLTTLQ NAPTMEELGEMVARLQRELGEKRERVEGYKGEGRKVVTREESEKVEREWKYWGKKRGD RKKGFLNLEAVMLEGELKREDLWERAGLEEDVM LY89DRAFT_698458 MADQNQSYKYLNPQLGATFVPSGHDDFYMPEVVSPTPQRIMPEV PSNMQEGLAHLELEARNPQGHRMSNSSTVSSMQSPYLDQRSSTQGAPRPGGQAHGGGY QHTNASAYQDMGREQKYGGAPIESPKFSPFPKLRDPGPNVPPSDEEKEEVLDRARPLV LKSTDPEMQLAWAQDSLSWVEIASQAAVRMQPEGQPGRSITPKVEHQLREDALNIVRF LADQHHPKAEFMKGQWLEFGKFGYRVDKKEAFLGYKRAAEKGYARAEYRIGMQYENSN NSAKAVEHYRKGVAIRDSASNYRLGMMTLLGQHGMPQDYQRGVDLIRFAAETADENAP QGAYVYGMLLARELPNISVPEQYLAFDLNDAKMFIEKAAYLGFAKAQLKMGQAYELCQ LGCEFEPALSLHYNALAARQGEAEAEMAISKWFLCGYESVFEKNEELAFTYAKRAAAA RLPTAEFAMGYFYEIGMYVPVDLAESEAWYRKAAEHGNKDALGRIDSIKKNNTLSKKD HEQVAISRIKPDRFKQKPAPMGAMAEETVDMPDPRNSYPAVAPLRPNKNAPLPQRPVS TAPYPEDDRVPGAYNYGNQGLKPGSGPNSRPGSSSGPQADRPSSAFGIRPMAHANTDQ FNQGGLRQQEMRPSTSMGNMQVPPAGRGHNPAGRDRIVSAGWEPQQPSNYRQSPSGAP SLPPVDFGRPTNFDPSAPSKLQKPPPNMMKQQPPQPQGGPGYPTEPYGRTSSSIADPA VQRRDPASNQFVRPERGSSMQPPMMTPPVMSPPPQMDARRPPSIPAHQQGRQDSMPQS QSRQSNRPPQHTMSSQGPMPSQGPDSRPSSVAPSVTSTGSGPPKKAGPATFEEMGIPA GKNESDCVSHLHTYSHSSSNILIRL LY89DRAFT_783932 MARSEKDHEERDAGTSHVMRDFHHPYTPYEIQETFMGTVYDVLE AGKLGILESPTGTGKSLSLICGSLTWLRDFKGRQFEEGLNWSQDDSDEPAWVIEQAKA RKRREMLRRREEMEARLARIRAKEKAQRDKYLKGDQGIKRRRVNAEKNDDGDEEQFVL DDYDSDQEHNGSKSAKSGGAFSAATLELMAKIGMGPVASKEEEDETEDEIKIFYCSRT HSQLTQFINELRRVNFPPSIKDADTKDTDIEDLKHLTLGSRKNLCINPSVNKLNSLTA INERCSELQQSSTAKEHKCVFLPNKENQALVNTFRDHSLATIRDIEDMGSLGKEIGIC PYYASRSAIKPSEIVTLPYPLLLQKSAREALGISLKGHVVIIDEAHNLMDAISGIHGV EVNLMQLKRARGQLGVYLQKFKNRLKGKNRVYIAQVVRVIDSLVGYLEGRSTQLKADG IVSEKELLSGKGVDQINLFKLIRYLTESKLARKVEGYALHTSTQAPNTPSTQSEQTST TPVLHHITSLLSALTHPSKEGRLFFTKSPSDPSLTTLKYLLLDPSTSFREIVSQARSV LLVGGTMSPMTDYTSHLFPYLPSSSLTTLSCGHVIHKENLVAWNLSKGPSGIDFEFTY NKRNDPSMIAELGLALLNICTIVPDGIVVFFPSYTYLSTVLTSWSMSPSLSSPSILSR LEKKKAVFQESKETSSDTTLQAYASAIDAGKAALLLSVVGGKMSEGINFSDKLGRCVL IIGLPFPNAASAEWRAKLEYIESSAIASLSSSDQPNLGKQDIQAKAKEASRAFYENAC MRAVNQSIGRAIRHQNDYAAIVMVDRRFAGERIRGKLPGWIRGGLVEGAGELGFGRLM GRLGVFFGGRR LY89DRAFT_698460 MSERDLQDILRKLKSSRDYADCSSLLSKAKITLLKLKALTPQPN TPSSTLQLAREVFETGALLSIRAKDPAAFTRYVHQLTPFYELPPERLSPAHSEKNKIT GLYLLLLLTQSDYAGFHTELEGLELRQGREGMLEKDKYLGYPIKLERWLMEGSYDLVW KAMASREVPSEEYGVFSEILINQIRHEIATCSQTAYPSLPISSTKNLLFLDSEGAVIE FAHTRGWLIKDGRIYFPQQSMKATVDEEGNTKELSQMAIENTLGYARELETIV LY89DRAFT_751486 MASSSSPNMLTKFESKSSRAKGIAFHPKRPWILVSLHSSTIQLW DYRMGTLIDRFEEHDGPVRGIDFHKTQPLFVSGGDDYKIKVWSYQTRRCLFTLNGHLD YVRTVFFHHELPWIISSSDDQTIRIWNWQNRSLICTMTGHNHYTMCAQFHPKDDLVVS ASLDQSVRVWDISGLRKKHSAPTSMTFEDQMSRGNQNQADMFGNTDAVVKFVLEGHDR GVNWVAFHPTLPLIVSAGDDRLVKLWRMSETKAWEVDTCRGHFQNASGCLFHPHQDLI LSVGEDKTIRVWDLNKRTSVQSFKRENDRFWVIAAHPEINLFAAGHDNGVMVFKLERE RPASAFHQNNLFFITKEKHVRSYDFQKNIESPTLLTLKKLGSPWVPPRSLSYNPAERA ILVTSPADGGSYELINLPRDGSGSMDPTDTKRGQGNSAVFVQRNRFAVFNASNQQIDI KDLANSTTKTIKPPTGTTDIYFGGPGNLLLITPTAVHLYDIQQKKSVAELAVSGVKYV VWKNDNSYVALLSKHNVTVATKTLEQVSTLHETIRIKSATWDDAGVLLYSTLNHIKYT LLNGDNGIVRTLDQTVYLVHVKARTVYCLDRSAKPKILNIDPTEYRFKLALVKRNYAE MLSIIKNSSLVGQSIISYLQKKGYPEIALQFVQDPQTRFELAIECGNLEVAVEMAKQL DRPKLWSRLTTEALAHGNHQIVEMAYQKLRQFDKLSFLYLATGDEAKLNRMAKIAEHR GDFTARFQNALYLGDVTDRIAMFKEIDLYPLAYMTAKSHGLEEECESILEATGLTEEQ ISLPAIGSPLSPPKPAVSTFKANWPTKATSQSFFEKALLGQVEGLSLEDEPAAASNGF GFDEAGEDDAAKREGNLIDEEEDDDAAGWDMGEDIPEVESDFVNVDSAEAGAGSSEAE LWARNSPIAADHVAAGSFETAMQLLNRQLGAVNFAPLKPRFLEVYQATKTYLPASTGL PPLINYVRRTVDQTDPRKVLPIIPRDIESLASEDLQKGYTAMRTNKLEDGATIFKGIL HALLVNAVSAPAEVEEAKKLITTACEYTIAMAIELSRRKLGSDAEVAKSPAQLKRSLE LAAYFTIPKLEVPHRQIALTSAMKLAYQNKNLNSALSFANRMIANGGATKMLDNARKI KAQCERNPNDAHEIEFDQFAEFDICAASQTPIYSGTSFETCAFDGSKYHSKYKGTVCT VCGVCEVGKTGSGLRLTA LY89DRAFT_783935 MGPFNLPWGLTGALLATTYLHSICVSAAPSINVGLKTSFSSAPY LVELLETAVDENATSYFPLLDRIADGHFSKASTEKELYDQFVQLLRDDGHMQAESLSS YKFALSMRSLAPRIEAHYQYYHTAAEPSLKAEQDTFCPVWVLFNGKQYCSPSLKDAHG DVNSETQTQELQFDRIVGNSSAPASILYADITSPIFGKFHKTLIKTAREGKTSYRVRH RKPLNSEPKQLIIPGYGVELALKRTDYIVIDDREESDSKPSAVPEKEVKFEDEELADL KPLSTSEVFSLAVKASSFIMQSETPFETLVKLSQDFPKYSSAIASHNATKEFLAEHEY NRGQLVPAGYNILWMNGLQLIERQIEALDLLDLVRKERRLINGVKDLGLTGPEAIQLI AHNEIASVKGESDAQRFDWRDEIEGGNVIIWMNDIEKDKRYADWPTNLAAFLQRTYPG QLPTVRRDSFNLILPVDFTDAQDVLMIVDTLLSFVKRKLTLRIGLVPITTTPHQVEQA RVLYYLLDTYGLQAVIAYLQSSYSAKKLSVPSKSSFNSAVEDRKLRAEKIARPMEDLL KTEDYQEQVKASQKWIRRLSADSKIPPMFVDGVALPRDENWLQSMSQRVNTDLQTIQQ AIFMETFTEESWLPLHFLSQASVRRNSLVVPEDEKTLKLFDINKLLGEDQESVNQLPS MKADLSLPKSDWAHMIVIGDLDSEAGVNLLATAAASQEANPSIEVVFLHNPAPGASKS GLSTDIFAYMRDNSFKPLPDVAQLMTILTKDEQSSSDAFHDAKDYWHAYDNIVKSLAL RPGENAIVLNGRLVGPIPAVPDFDTDDFDQLLSYERSKRITPTFTAIEALGLSEKVVD PLSAAKISSIVSISTMSDTPDGIFEQASTIRMGQFNMWNSSHTVIETGDASIATIQIT ALLDPASEQGQQWIPLLKVLSELDGVYTKIFLNPKERLQELPVKRFYRYVLDSKPTFD ESGALQPLGASFSGVPQEALLTVGLDIPPAWLVAPKVSVHDLDNIKLSSIKTNVDALY ELENILIEGHSREIPGGQAPRGAQLVLGTERDPHTADTIIMANLGYFQFKANPGFYKI DLQEGRSSEIFNIDSIGSLGWSPVPGDETTEVVLMSFKGTTLYPRLSRKTGMETEDVL EAKLEGKMDFMSRGLDFAQGFFGGKQKALAEKEVQADINIFSVASGHLYERMLNIMMV SVMKHTKHTVKFWFIEQFLSPSFKDFIPYLAAEYGFKYEMVTYKWPHWLRAQTEKQRE IWGYKILFLDVLFPLSLDKVIFVDADQIVRTDMIELVNHDLKGSPYGFTPMCDSRTEM EGFRFWKQGYWEKFLRGLPYHISALYVVDLVRFRQLAAGDRLRQQYHQLSADPNSLSN LDQDLPNHMQSVLPIHSLPQEWLWCETWCSDEALKDAKTIDLCNNPLTKEPKLDRARR QVPEWTVYDDEIAAVDKKRKGLNGAEKNTKSRTLEEPMVTESTASKKDEL LY89DRAFT_751495 MQSSKRTSFEPVNTDPTPHDTKRKRSDSFTPPDENTIVLGKVLE CPSVEKHKEGYFCITCRGIAGEWGSILPVMKSERDGKVITIGPTGAIVEGVQLHNEEA IAVLSTVVFLLVKGYLYSHIPGFISIMDRHPKSVAAGELIAEIYQVIQKSARNEAEDA VPNFGRTVELALIDPELKPHDLISKVLSGFVAYKDPEEDKDKIPEFLSESGLDSQSEA MQKPKAKKYGPEGEWDTRRFRTLNESHKPPNGNWKLADGTDMTPAVLGQPDPGKFFIL RQDQDDVRRFDIREYPHIWKFDWNDKIHIDSLNKNRQQIFKRTDPSVIDSKPTWTKKE KETLEELIRADLLLGKNKTTLDWDDIRDRLSKKFENVLQEKGVPLAQTNKNIKGEWIL PKKTDPKLAHDRLGPATRSASAIRSQASNFRDILELINSYPGKIIADEESQAGAADGC ITVTGWKDYDIDFYEAQAKSSPKKKAIRKRRKTSDDKTNKMSKSSSVLDEESRDFDET STVTSSVDNANAKEFDSNGEKNPEDGATLRER LY89DRAFT_686492 MSIVLFLNFSLRNYKYTELYGFAQIMERFPESVAAEQRRADVDR SLYDEKNKIPEFYIVIYDTLCHPYIRDEQYKFSILGRFRKKATALEEKNELTSIRNST TTEAKSQSCTVPNDFEARPEMMKKERLGTTARSVTAIRDYEHNCHDIQGLPIVQS LY89DRAFT_735933 MAERVLRTRKPLPSSPIRGLQQGRQVPQPTAAADTSARSSRSKT QQGPPVNDLDQPSTMRSSVGPEGHIFVAPDNKHVFFNWHPDCLMKASHAFGLFCSTCR DQPMNWIPGDMPPPTNAQGELIMIGPEEGMVKGVHLQEEEAVAVESIVASLNFLSWRY TEIDGFTEIMGRYPESVEAGERIADL LY89DRAFT_735934 MPQFFKVDANDWEFMEGTDLSAPILGFAEPHKFFLIRFKRSDPI VVDVRECLLKKDPQAGKTRATIDWEGTAKKLAKAFEGITQKKDTPLGRTSVLVDGVYV IQKHAKLPVARTGGVIRSAIALQSQAFRYADIRTLFDEPSEPSTASQTLKRGRETTGE DEDETEEEETVSEPEQVVTLQKKRLRIRKSKPQAGHDDEENDELYTA LY89DRAFT_735935 MSSGRESQSLNEGNIGSGGNDGAKLLVMSGIYYVPRSEKAPKLT PAEEASAIQVVPKQPDQAPVQFAGKTPLPSTRKGKTRKPITHWTLSELEFLMEIIEEK LCKHRRLLQKEDWVEITDEMNKHFQGQRVRAGDKLSTYGKFAENNRAYPVRNANAVHS YATKKNTKGPLYNELCHKYLTGGGKRFTGTNIAGGKGTWAGKTPLVDDTGSGEDGEWQ DDEDN LY89DRAFT_751497 MSSQSSATPVSNDGDIAPQSPLPRPLNVSTWTKGRVFLWPSAVI ESDESVATSSGGQLHGSSKTILYNGDHSIAAVFIPDSRKRSRLDYNEDTDEEDSEYED IEEMKRKSNSGRNRTGPSSTRKQPRARASANPTSSAISTAPVAPATSTAPVTKKPKHI SMFRWTQNEIDYLFDQIETYMKQLRRKLSNSEWIAIADAMNLHFRQQGGVIAGDKLAT GESAPEDRAYPQRSAHTVRTYATNPDHKIGFAIYDRLCKKYVAGYTTPKSKAV LY89DRAFT_735937 MSTQRHLHELQDSISVRAHSIFDSNVYTALSSEDHGCPSLRIHI QTSLVRHIIQSLRPHTAHLPSSKFSNLSVILRVSQTLNLQVPQSLGHSPVYETLLSSN TMAQEQCLSSGWTYDETIKLWELVEERLKITRARLNLADFQVIKDEMDSHFALTKVQV GDLMWPRAVGGVTPSFAYSSVRRAFPERTANALHSYITKKDSPRAADYEAYCKTYVV LY89DRAFT_735938 MNNQQQGPYGGPWYPRGDRRRSRLPVVPTPSAEKNATTHRDYNP TNYPSSAQTPSPTASSTAPPAGPPAKKRRISGKAVVPDDNTVAPTAEGSGRTSVQKDY DVNDPKKHSGVCPAGTKFSWLDNIGIDYTFKYKLGDNVDPEEQKLSLFDVNGNTWVFS YIRRKTLDWSNKDQVTKLNLWRRQILDRKLYYNTDGLPEFPTIRQHWTHKEKIYVLTL VKNAIRRLKRGLEATDWQNIARQYDQRFVGKRVFVRARKASAVAEPEDSEDDAAGSNK DKKASNGKESWTGKRITRSRLLTGRSPGAIMNQIASWVDGRAMIEDEFEAAENDDEDL EASDLDEEDDEEIGDDPDGESQEEDSEDEEDGQRPVGEPIGATLVSAAS LY89DRAFT_556777 ISKWQAAVIIGTVSLESLIGSMLGGILIVSLPTMARDIGLSGNL LLWPASVNALACGCTLLLSGSISDVVGGRKMYLIGEFFVVVTTIACGVCRTGIELILF RAAQGVAVSFCLPSSVSLITNNIPSGTYRNLAFACLGAGQPLGFSLGLVMGGLFVGSI GWRFGYYIGAILTFIIWVVSIFGIPKDQETENQSFATQLHRIKTEIDWIGCALLSTSL GMFSYVFSVLAGGSAHFLEPASLALFSIAVVLLPVFGFHILRQERLGGSVIIPPSLLR NRVFTSLCIVVFVMWGVFEAMQFFLTLFYQSVQSLSPLQTSLRFLPMVITGALTNFLT GWLVKRVRADILVISSAAITAIAPLLMAIIDPSWSYWTCALFATACVPICADCLFTVA QLAITSVFPPRTHGLAGGVFNTISNIGNSVGLALTAVVASAVTMSEDGRKVSTPQTLM DGYRATFWLCFALNVLILGVIWFGLRKIGKVGIKVE LY89DRAFT_735940 MTVATTLNLLNPTVPMTARLHLAAPALLTQAWQSARTAEDSSPQ SLLRGGAIEQVGTTSHRYNSEKLTAMKLPNMFQPAKDTKAKSLTGLEMQATSTVEHDS TNCPARQNYQGVQGD LY89DRAFT_124254 MSSGVVNIGRDVRAERVVERPRTVSELGNLARTMLTPTEVPYSV GFEQFENCQVVTLDISDSSATKCLKRNILNSSQKEFKSLLLGTRSAQRKKRQSRRCMP MVNVSRGPGVESNVLKYLVGMQRKPITRPVPSLRLAHLQSSLRSCSGPQEKSITLGQF TVEILRR LY89DRAFT_686495 MAIKLWFLRCRPILDEVASAFQPSILMVIVVAFPVLVKAIGGYV DYSIATIGAHFFSNWLAQAQSSESRRLLAS LY89DRAFT_783940 MQPFDDASILNGLSYRDATSLRRVMRAVMSRFGGQSSEIAARDH QLNGVEREVVDQIMDETVDNELFYRAAIRFGSANAANSATILRSGQELSSIFSPSVLG PRHLRVEDDVEIARVAYQDLLDNGTPFVYGLEEDFAEGSDGDEEYESGAESDPVRAKK NGKRPAGPHPNKPGKKKHKPIRGKDERAIDDDTIDRKVRAKLNEPDPSLLVITLPAEK KDPKNKDNWLPKSPIIYDYNKIDPSFDWTSKKMVSRLNAWRNQHFNRNFGPERESRYQ YTAAERDLIFKLVREFLATVEWRYSKIDWQAIADEFNKQMAGRWFGKSDDTADSHYTY DSKRKITVSRKVEVPHEFEPRKPTGLQNQLEHFEHAMAEHILKKARDADLQYVLSLLP KIDVDGASKQPSANEGSDDEENGEKGKGKAKDTSAEEPSPSIPAVEPVVQQQPDADLG LMAAIEDSIADAREDERKRKTGGESSKRGGGPSNKK LY89DRAFT_671286 MTPATEGLLAGFDPKNTKSMRRFALSLYHWKKGARFTNSAHLHG LTNQQITTLEAARLRVLIDPEWDILIERFLGTPRNIAPYRPLMQAAKALADHAAGVQA PNILPVSELLTTPQSRPSIGVGPTVSEQTTITNRGREPIDKPLEHSNTTRSVSPISVD VGNFGEALQKIAPFPSSSRGISPGADMSWMSDLEDEALRQAELDDMMKSLNHTPASEV LRNTPGPALSPKNKLAVGPSGQSIVDAASQNADRFENRTSQVKEDHLLAEPFQDEENK EYAEGYRSIIDDWQKRLSRKQSHQSIVPMAQHHATEDSEYDSQSQDFDSESVASEDYE ISVTALLASELEEEERINNEEREAREIEAAIQESLKVNNIVPSTSGSFSPSSRPVLGK RSSETSDAIFPSPKRANVESPELVIKHHDNGLFSEEESFPRGYEVVYPGKFGNTKNTL LNSEPSIRSGAPDTIEGFSGLSGGEKVQVPTDVRASGTQSNANESDGKEVHAPKNNCC LLL LY89DRAFT_735944 MQPVTSIADIVAQDEQMSRARKLKEVRVERKEGEAGGYTERRKS SSSNTSNSSKNAPIRRSGEKAIDDGSFDKTNAVLGIVDHSKLTITLYMQTQDPDRPEE YIRGEKRTYTYLHQNPDFHDKKDIMTINKWRQQVFSRCLGRIGKSRVPWLKSEKQLVL DLVRQQFQRRSMVKWNKLANTYNEIQDGVMQGVGDDAAAIHLSARRWTEFVELETAAA KAKTSSDADDDDSSDEEEKPDPNDGPVSSRPTTQSQRDRSSNSAISRKRNSRVFDSND MDDAFDESVWDVPKSPADKALVPTRLSKKRKTASNSHTSPSSSVSIPKLSQPSSIVPR RSAPKLVLPASSNMRAARPGSFPVSHKADDVEANVYYDSDGVKLEGETVVVEVIDEAE LARRSIARRASHLSRR LY89DRAFT_735946 MREITGLFIGFDLSDAPSLRYLAAGLEYYRDGGRDENNPRLANL SPAQRASVQRIVDNMNNNNPLLTEIIDAFLDTPRGRAPILLGAGRDLRDYAHYAVTTP TTHDYQLAYIAWNTRVAMPYPGGRTAEEVEAIHLASMPVRRRLSQNPRTERSRKRTEP VDEDEADEDYEPETKSKKIKKAQRKTPGNSMASTSRGANTARRSGSSVANPPTSFRDP YLDRVEALHDEVAKYEQGEEDKKAKQVRFSQAESEDADEESDDMTAEPKPTLDRYSRH TPLKKPSYKAIDDGTIDKTPATLGVRDDKKLIIAFDTQKEDPEHPGEYLPEKQRVEFD YRKLHPEFDFANKKHIFQLNHWRRQLIKRNFGYIRKARGPWLEKEKGVMLDLMREQLQ RNSYIKWRRLANAYNDRQYESLQEKGEKLVAKGVKKSVTSEDRWTPWRTSGAIKAISN KWPEYHELVSDMKAKRKSDGVEEAEYSDDDKEVPDPDPEVFGHKVQKEGSTSAKKSPG VGQQAPSHQGPSVGHEGDESSELSELSDSHIVEEDDNSGHPDTEDGDAEDGDGDAEME DLYSAE LY89DRAFT_649461 MSLLSRHLEQISLSSESIATLPFPPPKIFTNALLSTPDITSLIR DTEPHERALFSVPPAPPPSTSTTPYPDPKASSRRQTVFNVAGGEVTAGTSGPGNRAPR RNTAVAAVLGPELHSEVRKTEGKGNGELDIEVLLRGAETLNGVYAVAGVGERIARVRE RYAVIVGSLGFYEGKVERMTRELEGMNRSRGGEWGGDYGDQDGEEGEEQVEITEEDLR REEEEIRELERKKRELEERVKEMEKDLGGLLR LY89DRAFT_698465 MHISASTLPDQNGTIKPTGNGSSFFYTPSNRPPTADQLSPRSSS PDSSAHASDSSESPPPRSLSSSPAAPMLSSSPPLRRRTRIVAEGNFTVEEFADSDYED WDSDDEDEVIRPHQYEDAESDRAPSVSVKNRNNDLDTMRIVSDFQNLHCENEEERELW LEKLRAEKRRRRRSSASVQKRTLSMSIGSDTDDEDLKPVTFEGANEAGSSARRLRRKV GERTSLIFDDPPPRIEEEDEGPESVEEVVEIREEDEDEDVDRELRELPYWYIQEMDVD SDDNE LY89DRAFT_124374 MVCIARLDGCHCTDWVGFWVYSISSASSWFWSLSGINTGIRTIY LDVQAVLSDISYVPV LY89DRAFT_735949 MNNGQQGGQQPAQPGQGAQQQQNRPKPHLFRPDQMRQLPASFPD EDKLKWEQGLRSLWNQIEKNDPTTQAHNDAKRKLFDFSRTLTAKLQSHRAAQQAQQAQ QAAQQGQPSQQTRPMSQGQQPESQTQGGGENGAQNPPGAGQQQPRPQPKISPKLLEHA TNFPYVLPPNLTSGTPEATKWIQDAKSKYLKALVAMEGASNRVQTIDNIKKQRDAEGK ILSPEEEKDFKEKRESAQETYNNAKNFVDAFRNSQNQQRNAANAALQSHGISANAAQV TGNAGQAPTRPQMSVQQAQNPAAVQNTQTVNAAIEAAKNQQMGGIRPPMPQNASMSQP PQTPGQNAPSQSSLPQQQQAQTQIKTEQAVPPQINTAITQMQANQNRSMQNSPQSAVP RSAGIPQSATSQQPQALSHSDALHQAARSYSNPVPTTTVMGQGHTHPPQAVPREQNVM TNKMPIPKHLPDRATAQPTPVQMQMARPTYSGGPSNTGNGVISQPVLTKTPQFTMEGE GDRVLSKKKLDELVRQVTGGGQGLENGECLAPDVEESIMNMADSFIDQVLQSACKNAK ERGSKSLDVRDIQLTLERGYNIRIPGYSSDEVRTVRKIQPTPNWINKMSAVTAAKVTG GKNAD LY89DRAFT_588696 MASPKVNVLVTGANGYIGNAVAHAFVRAGYRTYGLVRDPNICSC LASSEIVPLLGSSSDTSFLPSLKAQGIVFGIIVSTTSPDAASDYVPHYNDTISLFRTL ATASNAARIRPLVLFTSGCKDYGAGPLANTPGLEPQTELSPLNPQPSLVDRANCSIKT FENNDLFDTVVTRPTHAAKKVGEWVVEEDGMTILHALHVDDCADAYVALAECERGVVA GQCYNISSRRFETLDEILNALVKEYGIEGGVRYVKREGPPGPKAKLLGFSQWVGSEKL RRDTGWTDKRLLFSEGVKQYRVAYEAAVERGDERLAKLMKKVAGRHPGQK LY89DRAFT_686501 MQPFDAERTVELFFKLYAVLVNLAYIPFETISFPPHELHPNDLA RWGSNMDPVALDLVKKLPYLKTAPFFQAGFTIAPGTISKDFSKDLSKDLSYAQHPLDW YEGDHEYVERWSLCLTNGFDEWASGWLILDTRKGSLVM LY89DRAFT_686502 MVIKRSESNVMVSDGPLDKKFENLVEETLELWHVPGISLAVVDG EKTFAKGYGIAALPAVPMSPSTLFFAGSTTKAFTAAVMATLVEDNEKYPQVQWDTPIH QLIGDDFGLENEYATTHITIEDALSHRSGLPRHDQAYGATSSGKRATVKEMIHSMRHL PLTAEPRTKYQYCNLMFLVAAHIIETLTGSKIGDLMKDRIWTPLDMTSTFSDLQDAMN GKDDLAKGYFYSDSDASYHEVEWMELDQCAGAGNIISNVLDYTKWARATISKTTPFSS ASLEQLLRARSIMGSEEPYTGSNMYALGWRTGVYHGQQFFEHTGGMNAFGAELILFPD IEFAIVAFANTAGTSNFVEQVLAFHLVDEKLGIPMTERFGWNKKNQAIITRGQHNSSH AKSILYPELPSPTLPPTLPLPSYTGTYYHPGYQNLTIYFDDSTKTLHADRSKSTWPEY FTFEHVSGEFFIIVTKAVGDYHALFPQVYPAEFRIRADGRVRQVGIRWEETMGEEKIW LDRVEDK LY89DRAFT_783948 MPSKTPHTNGDKPVENGVNGTKDVEMKDDAPAPLKGGKGKKVKE GDEEMTVVVPPSKGSKLSAPPPKDTDGDVVMDGPEEINGDDAGAVQIDPVAKAVADIK SNFPLLERAVTLFDARFSLRALRSISSIRKRLTPDILAQVIAETYPSTSPAAKSLLLA IDRDYVSFTKPAATEMEIDGESKGKLAKKEQKEIIPEVDIFLGILIQIYFLDNKINKM GAYFSAQLTEEIHSLNRRTLDSLSARVYFYWSLFCEQIAPLPPSPSSPVVAIRPDLLA ALRTAVLRKDIDTQSTVIVLLLRNYLSTSHIAQADLLVSHTKFPENASNNQVARYLYY LGRIRAIQLRYTEAHEHLTAATRKAPSSPSAAGFTQTATKLLLVVELLMGDIPERATF RLASLERALQPYLLLVQAVRVGMLGEFENAIKQHGETFRRDGTYTLILRLRQNVIKTG IRMMSLSYSRISLRDICIRLKLESEESAEYIVAKAIRDGVIEASLDRERGFMKSKEVG DVYATREPGEAFHDRIRACLSLHDESVKAMRFPMNQHRLELKNAQEAREREREMAKEI QDGDLDEDDLGGEFEGM LY89DRAFT_588862 MASVQSQRKKKGRLNSTYKSLGGPSNPSPTPEVEKKAEKEDRTL SRNIDEVVFADHSFKTWYPSWYPKEILGEKALALAEEGKGTGIVVKTLYICRKCFKYS MDGVEWKTHQDLCEKNNDPPGQEIYVKNRGKGEEAGEGRWTVWEVDGAVDKLFCQNLS LFAKLFLDNKSVFFDVEAFKYFLYVHTNLESGDKQIIGFFSKEKMSWDNNNLACILIF PPWQKKGLGSNLIGISYSIARRERIMGGPEKPISDLGRKSYKRYWGAVIGRWLLNNFE EENKNYSKKKGMPTINVSIISKETWIHADDVQYMLREMNFVKLIGKGPACEMDINGVE EWLAKNKVSIAQVVDEDGFLPGYAEKKTSEEREPN LY89DRAFT_589231 TQQPKPEAKKAKTGKSAMEAKDEELRLKLEGISGDGGVAGLELE NGKAVGLKRGVKENMFRVI LY89DRAFT_735956 MARTRSSRDSAKSTPQSATKAGSKRSAGPSASPPRKRGRPSKKE QKTIEETMDIADDQIEDDKDVAEQINDDATTERKSESKEIMNGHHQEHNGNDDATKNE SKTANGLNDGEKNAFDEIKGDANEVKEAAKEEQDKKTEDIAQHNESVITDEKREAAIP SSILEKGVVYFFFRGRVGVEDPQGIEDVARSYLVLRPLPLGAKIGEGPLEDSGNARLL ALPKKMLPKNKQDRFLMFVEKTNQFIKDLREQFAGNEYATKTSGTSNTPAATPFAEGI YAITSTGRESHLAYHITVPEKIGEVQKELGINLKGSYVVSAKNPNAPGPANATLSNPA QYPESIQKKFRNLRWMPLEPELLNYENTQFLVIGEGMGDTGRATEEMSKDKKDDEKET PSEELEKLEEEDHDRVEGLKEDDPVFADLGLSSKEYHKLQTTW LY89DRAFT_735957 MSASLLYSISFLLFSPVLSANFTGSILQAFAAPGSQYRPKFRYW LPDASIPSDLLRRDIASVSSVGAGGFEFLPFYLYGDVVGAATPPNDWSTYGFGTPAFR DLFQVALEAAKDNGILFDFAVGASQGQGVPSTPATLGLAMELRYGHDTIVGGEAFNGE IPKAADFWYLSGFMGDLEPFGEDKLVAVLAGEIRSRDLVNITIAAGAYSEFPILETVL QESTVIDLTSEVVNGFLRWTPPENGSSYALIAFYERYTNQRSCIGGVNPTDFVGNGSW TVDHFSAAGSRRITDFLDQHLIYDEVKDLLGDVGEYSWEDSMEQQAALFWTSGFLQKF EAARGYSAIPYLPLFFNVSNQWGQELPAYNETWVYGQYDQDGKSVHLGDYQTTLNEGY QEYLQHFNEWASSIGIKHSCQPGYNLPLNMLADVPITDVPELESLGFNDDIDLYRQFV GPAHLEQRNIISSDVGAVKSGSYFQTVPALLNLFKNSFAAGVNMMVIHGFSYKGEYPG TTWPGYTAFYYIYTEQWNEKQPAWRHLKDSLDYTARCQMILQTGIPRVDLALYLFQDP WLAKDAYLSDNLNALGYTYEYLTSDNLLSPVAYVESGILAPDGPAYKALIFSNQTQIS SHAAEKLHSFASKGLPILFIGSLPTNGIGTDGNSSFIFKSLTSLLSTYFQVQILNDSS LLPAYLQTLMLNPRISSRKAVPGVYTQWRLVTSADLNMVFILNRGPDQLVTFDFEGFG GSTPYVLDAWTGNITSLITYNVTNTCISATLDLKANQTAIIAFHNSSDQPTHVIATTG DISRFSVNEGTGIIALASGPGIVSLSNGQTVAIDVQPIPSINIGNWSLVLLSYHPSVN TSSTANDVSTIEVGTLDILKPWTEIPGLQHISGVGIYTSSFNFSYSPSEVAAVISFGS VSNTIRAWVNDQLLPPIDLADSRADMTQYLVHGLNTIRVEVSSTLFNAVKARMNTSTT AYVPLTQTNAAFYEDIDYVPFGLLEPVKVSMMTRVQLPS LY89DRAFT_708507 MIEGPDDFDYLVWDKNDEEWEIVQPKLRQRSTLHAVEDLGTAKF GSTAKWVSPMSIGGYNIIYRLKVEGFDSEVLVRRPIPCYAQFPVEKTSIEAVTMMNLK KHTKIPVARTFFHGEDPKLGSFIIMEYIEHSRCMSTAYNATNEDVSKPFTLNPDIPEE VLQNHYQHVAACLLELSQHTFPRIGSLVEVNPGTFSIAARPVTKNMNDMLQLANIPGM HIAQLVFRQNDLVRTEQECRNKYVARQLFRRLARDGKLSKFGFKEDNWSAQSKTKSMK LSSMPSNSDSFRLYCDDLRPSNILLTASDAITAIIDWEFTYAAPSQFSLDPPWWLLLD TPDQWDAGLDNWITLYEPRLETWISAMQKAEEDTKLNGGIAGVPLSTFWLTYVARKSW AFETIFWKYLDERFFGPREQGLPRNHYWKARIDLLSEDERNAMEPFVEQKMETRKERR LY89DRAFT_698473 MIAYRAPSLLQPHRFREAIKDAHDGKIRPLVAYFCGLSCPPIAK VIAQMGYDMVAVDWEHSSCNVETMTQMVHDIQFMSEGRSHALVRVPGHDHAAIGYALD AGASIMVPQVNTVEDAKHICSAAKFGAIRNGTRSAPPARFLPGISDIGSDPSLGWHEN ANNNAAVMIQIETLEAIHNLDDILTECGDQIDAVWLGTLDARVSMGLPANGGMGGTEQ EWLDAVAVYESTMAKHNKPATGFSMGTPEQMERTARGKCLVVVGAEFYAVMFNCMEQL GKYRAFLPQQNYKGVYKQL LY89DRAFT_735960 MLPNILESTYKRYKYDTSTFIKWLFKSGQACGYASKTPAAGLET QDENVPAKVPRLKGKARMQAKKTPSAAPSTKSKDTHPEQKLVDLKELLPLVKAITKQA NKGHNYFISLMEEVIMALQPCFAVRTAAAAVEQDVVPSRSIEDLENRFASLEIEEPLE NTGAEESNDSNVSVGPVYDIEPPKTEKQIEEENLFALFCLFDDLQRLRSFIQKLWASM PARSISSLPLSQPMQLSSWQFARRTRFLWHILNIPCSQIQGILAAVMNAYAVNSHQDL ELEIDDSVIHWMFAPAHNILDSFCAILQPKEVPLIKRGNSGVYDPRANIQRQREDMVL LLEILPEFAFI LY89DRAFT_124635 MIETKQIPIWLTFATTVFLDINQTLRGRVSIAFDELQVVANHVK NTLDGYFEFSKSIPAPRTWPKSYEEMLREFREGVAETILKDVVFPLKSKYYKKVDGIA PGETARFYLLKGQPILCGMFAFRATLELHHGGVNLCNAYRTVTYPAQFYNALRQKENP VQPWPMMEEAIAIHTEARVFVGSAPKTVQESLRQICLVVGYSASAFAQNRRPNRPLPI SKNGARGLKDDTVLGSFFRDDLEGRGGRVFSLQNVEKLLNEEAKTTELASDPKNKALR REWATTKHLTPLQLLEALTQFMPVELPKIDFNYFRMHQQSVELLRRLRVELDADLKKH FGPMYFKNESQLPSVGLYVIIAAFLSSKAAEELKLDGTGSKILEKAGNILEDFVKEQG N LY89DRAFT_686508 MQLQTIFSLLPLISSAYAGVFIQGFSDGNTATAGCQTGLGSVTI PQNGECVGFPAEWQGIQVAGGGSNECFLRIFQDDACQVDSETQIGPINDPNLGGCIGP FPFDEGGNTVFTGIGSGSLVNCPT LY89DRAFT_686509 MRHRQVSPHFSLMPPIAFADVFITLGLYLQSGREIILVQMRRNS PFTDVFLTFRSCFRGFSLMSTCIAEHNFLTTAYIYS LY89DRAFT_589140 MLNVRPEKESQLRDFVNTTILEQLSYPHMTNRYEEIQEAHSKTF DWIFCGAEQSDLPWNNFVEWLRQDANIYWINGKAGSGKSTLMKHIYDSSHTAKYLREW SQKRLSSQCCIATFFFWNSGTDIQKSQQGLLRSLLFQVLGHNPNLIPLVLPAQWGEHY DKLPTPEHQIKTKIWSLRELHEAFERLIEQQQHQMSLCFFVDGLDEFSGDAEQLCMSF KRLEKRSGMAKFCLSSRPWVAFQENFRDGPKLRLQDLTFKGIEIYVRDKLQGSQAFMK LADRDPQLLEFLKVEILEKAQGVFLWVKVVIEQLLRGANNRDSIAQLWTRLRSFPGEL YPLYNTILTQIEPVYLEWASKTFQIMRR LY89DRAFT_649490 MLESAATAFASIVVLGTGFGLGGYMYHKFYKWLVLHKMEGAFEP GDPVLELAAIGKQMPNAIPTEQGDDHWILRDEQAKIDAIVNGDERGRYHLLIGEKGTG KSSMLLDAMQKINGEGCSMFEAHADSEIFRVRLGKALDFEFHEDYIGSYFSERGPRDS TALLDIERAFNKLEKVALKRRKLVGKPLIIIINAVHLLRDDEDGRDLLELLQQRAEQW AAANLVTMVFNSDEYWVYERLKKLATRMEVTAISDLPKSQAMSALSKYRQKYFQEAPD SEVLSEVYSRVGGRLTHLNRVAKSKDMLSTCDKIIDTERKWFLNQCWILGMEMDDDVM DQQKYASAAMVLAQALVRLENSLPSTTSLDDGSHIIPQIPLHIARQIMTRADFIKDYD HVNLFTITSEAYVRADSVPMQRAFRQICGEEGFDEHLEKTLQRINDIESLGRTREVVA KDLVLGGRYKFLMREGERRGERVAEVVLEEKEEEEEGGGG LY89DRAFT_735965 MSTSSASIVGSDISASTTPTSPTTTISSEDQHPHEAPPWILHHV LHPECAAELPLTTMFSFNSGQKPSSLRDAQAWWQLDPEGRLLTQVMSSFTDSGPWTEE KQQSAQTELEPVPLNVTQPIGHALDHHMNPEHEPRLRKVRFVDPPPPPVEDRYLKKPH AEPAGLPYEYIKDFCLKAFPAEYEQADFSNPLKALDYLRDLEFTRRAQLRAAGRRWGI TEYTWQDGLKQNPITLAWYLRMQHVEMELQKWFAQMYVGLRIWIMINELKQPWLSRQE ILAMLNTLYPPVILSVPSDQISIKQLHWHRKVIFGWILAVEKDGPEAILGIEDSLLKG KHSWRKITLSLENYLKHAKNQIDFALTLGHECDTLPGEPNFHRILPANLPHIPRLDVL DENGKNPEDSIMKARWEKVYEKSKKSDQQPVQSVFDEGWRSRKIVKFFFRYNGSRDIL TSTMPESSSPTNPEGSSPVSQSNVKPASPERPKSQPTSKLNDDAESDTNVDYSTIIPP WERPFFSNVQPSLKPLGFKPPILPENPEEDISALIPRPLFFPNAKPLASDNMAFLKSD PFAPPPPPPPPLVVRRHSSLSNLTLRDSKFLPKTEGEEESEGPKLRKKRSFIQKMTGA GKDKEKIADPVEPSQDLKRKPGSPLANEFKPEPEDVAELKPKRSLRDLVGWKATKREE KEKVASPLAAEFKVQEWDKETGVAVLKHKRSLRALVGRNGRGREVEVEVEDEEEEEEM ILGGDRDEEKSYFLEDEPSPRGRRGFVGGLLLRRGSEVGDAGAGKNKLRKKKSL LY89DRAFT_720345 MSSILASTLLSEQSSSQTAPPPSTSAPAAAYKPRIRRKFPRTKL GCLTCRSRRKKCDERRPICQNCEKRKVACSWPALTEQKDEEANDNVSEKETLSNNQDR RTDERPTNHNLQSFTPTTTSIVPVSSRSSPSSTGSSSRPWYMQWSLPYNPHILQSSGS RLLFDRYLSQTCNAFSITPSSKNPFASYVLPLALSDELFMSCVLAASGADICYDETTD SHTKSVTWSHYSLVVRGLRNRLFNNTTEDVEDVLHILLLTMMLLMIEIMAATPVNLIF HHLLASRHLIHTILTSPTLLTAPSHLSLFGHLFELYSFRCLGSSIHPVTQLQTPISHS ILDLHFFIGNFSSTPQYTFYSSIFAEQGHLLSAFLPEISTITSQPVTTKETHEHLSHR LRAWLPTPLSPEKTTSSILYQNALLLYLITLTSSHPLPTPEIQIRISICLPLLSSLYP SRLEGIVLWPSMIIGSCLTTEGEREVLRKAWGNARYKMRTVVWAQEMLEALWRRGKEE GGEDFWGPRGLAKVVEEEGR LY89DRAFT_671308 MDYSPNPNRPRGIDLLGTSKLSELPKQKNIITYELCANQQRPLA GALRNAFFNTARRTWAQVFYVGPPLVAAYLIMDWAEKRNHYLNSKEGRLAIRGANS LY89DRAFT_720347 MMRIIGKMFSSSQAQPVKDETESDQLDQDKDESASQLDSTYSRR EELNGEPHNQDDQDILPEVKGSTINSIREKKDKVEAKGKVKRGKAGGKSKAKNAPAQK SQVQEELLQELGEEPEDLGVEGLGKLDGPATVNGIRKEERKSKGKSTEHATGIRRSTR KQTPAPEDSESDFAPAKRRSSRNTTPAAETALSEVGRESNSGAPLSRQTRSGSALNTS ESAAEEQISKVIPPKTKQPRKINGTKPTNRKKKGAPLPEIVEDLVEDNDVSMEDLIKQ SRLSKSATATAAKKQSKPRGKKVIKSPLTVEDTQEDVISGELENLPEKIENAKPEPKT STATRRFLDELAGDDDDPAAALHQPLTSALARGNISHAGKENLDIDAQIQSDAWSSVP HAAMASLAPDEEEENANTPKRPSKKALGKRKASDAVPNPRKRQRKGKNENAGTPPLTN FGFTNKLDVVEAEESNEAAPHGTDEDEEGSGAVAGRLVQEPLKDDTTGEPESQASQTT PSGRRPTPAFTPINRTKTLPPSKTPVMTPSRVQVVIPARKSPKVTPASHPNHDSASDS GSEFEPDVPEVHISSEKRKRRLPTDEPTSSKLPPRTPKSGRAPRQVPKRSAMSKTPKS ESTGRPAAFATANRRFNDEEMAELAQHVGSWREENEMTQHTLNDKIQAGIKDDAKHLV DYICDQMPSIPRVKIITTLRRNYHNWESRGKWTKEQDEELKRLYEVHKSDPAKWRKIG AMMNKYNEDVRDRWRDYVVCGDKMKEGAWGKDEEERLKTLVQEVLEDTRERKQASLNP RKRANANQPSIDWLVISEKMGYTRSRLQCQQKWSALKDRQSTVIADPVATAPISETEW RVGEASKDARAMSADKKLRLLYAIRDSGAGQEGKIPWVLIQRDDFYTKGERMALKVCF RRLRQQVPNQENMQLQAVVEFLIEAFETAAPNEPNGFDIKNGAEPKSVKKGEKRDRDE SGEPSITKKRKSTPRKFNDRNGIFLEDEPEDVADNGEGTSTGRLSIAKAKKPGLMQDS LPRKKTKLHERMRQTGQSESQESGPSRLHQRESSDDILSTMQSMKTGKPKAKRVAKKP LTPARTTQAKVITNPLSTERVTESDDAASVDHTTQPEENLEQKSRPEPESDAENLEQN QGDDSDHAKPALVAMDIDVDAEEDNDQSPELQPEDNYPDLGEALDENGELDIVASSVE DDAPLVEDGADESESDEEEDGPPVGYDDTEDQYTLPALPRPSTQSSPSESSDSGDSED SEDERDLTTLTHDKASVDLDNTQDPIDEDEPENLDGSLQPENEPRVNGIHSDHHDRET SDPQPPPDVPEQRGFEPGTQGLRSSWDGYGDALQYNRDLSRDSQVASVPGRNVAAATY SISSPASTIPRFVISSAARNASNEQSEQETDSAQSDSEVEEEDEEPIVEHYAEDDIDE DYQEVQQHRRKSRSSARQESLEL LY89DRAFT_783963 MGNPIKATKAKWAERKEKRRQEKEKLEAEREHNAVVKKIKQQPS ESCPCEESPCARCRLLYFLRSNLKDRFQPPEPDVKHPARAVRQQITHWVKDPKSAIPK MKILCLHDYNEDSLNLERHISGLISCLDDGHEFKFVDGPIELNDDRGTHYSWFETSSP ADILNAHEVLERIIAEDGLFDGVLGFGQGAALATSFILQRQIERKPPLFDFAIMCSSI IAPSCDDNFAPEFYPAMWLKYGVDIQKQVKEHRRIMAYLAEPSPDLPAVADVKQTQDS EALHLGGASTQNAQQDPTAQIVKELTAALIAGDSEISQQIYDMQPDDFVMNAETMPRM FHPSLTRERIHTPTVQTWGSNDTFRTHAKLVKQLFDSSGVTLVVNHDAEHNFMMQDHY FYDLIEDVQKVMKLALLQKFCIHKPLKYFEGTEHQHIPGERLIWQRDTQGTRV LY89DRAFT_751536 MAPKKRIQLCFLETACTGSYMASGQWRSPTDNGKTKDRLKYYMD LAKLAERGKITCVFLADWYVGFDVYNDSLDAMLKAGHQVGHLDPVPIISAMAAVTETV SFAATASTSYVNPYILARQFSTLDHLTEGRVGWNIVTSYTKGAAKAMGHEQVVPHDER YLVADEYMEVVYKLWESSWAPDSVVWSDEVAFDPTKIKKIEHKGKYFSVSGRSQLHPS PQRTPVLFQAGTSKSGVQFASRHAEAMFLNPCTVKQAKKVIAEARAAAAAEGRDPQSL KFFPCIVPIIGRTEEEAWDKYEKAKSHADIIGGLAQFSGYTGIDMTQFPMDEPFSSAT LKGGPGIQSVLNAFEASTESAEPWTPRRLGLRMALGGLHPCPVGSVAQVADVFEEWVN DANCDGFNVAYVSNPGSFEDVVDLLRPELVRRGMMWEDYDFPGGTFRENLLGQKLLRE DHWGSRYKYGRADVASPAVQKGDIGEIPTIQEQKFPTHNPQPIAT LY89DRAFT_649498 MQESGDMRPAKRSRVALACQRCKKRKQKCDGSHPTCSKCKRLGL TCEYVLPPKPMPFGRNHYVATLEKRVAELEEFLAKKDLIDQVSSFNPYDLRDVRNIPE SGSVSNFGSDPSATSPSRRKNSAFSFSEMESRSSDSEDGDSMVRILRDLSLETNGGYI GATSQITMGRLVGSIVKGKKYSIREDLSPSQITYTPEKDGSTEFPLSDVPPYIADRLL DGYMKHIATRYPVLHSAWIRDLHSRRNFITNAYERSTLHLIYATAGRFLETTGETGRS YFPERHQAEVLKDLDEMLRYHDTRSVVTLLLLAVFSLRANSGPGAWAYVGLAMRIAID LGLHRQIAAMNKLGLDVEMRKRLFWSCYTMDRQVSIPLGRPFAIADQDIDVQLPLDVE EGCQDIEALEEASKIDPNEVRTQSTSLTAFLHVLRLRRIESSIQQTIYRVDQATNVTD SEIEFYLDQLEKWKSLIPLDAKRQVDREVVPFDGYDCYMIFYYKCHRLLFYPLISRPH VNPQFLKRFAEVCGGVAQTYKRLHHTLAVGYSLMALQTVFMAGLTLIYCTWISPEQIF SSVTSNDINAYSIVLFVITERWPAARKYRDTFEAVKHNVIGPLAEGKNHGPRQVVASL KSIIPSSLPSVAMGEDGQEFSRIVTDMSGQNIVNEMFQQPGGAFAIGMEQVQYQSGDL LGSLPAEPNFEQYQGLDTDMDNDMEVIDLLNQWPPNGQDFSVGFEPFDLDTYPLNMAG GNGSSMR LY89DRAFT_751546 MPAASEQKTPRKKIHLNFFETACTGNHECAGQWSAPGDNSRTKD RLKYYIDLAKLAEKHKITCIFFADTYAGHDVYGGSMDAVLRAGTQVAQLDPLVIISAM AAVTDTLSFGVTGSTSYIPPFPPARTFSTLDHLTNGRIAWNVVTSWSKAAANAFGREL VPHDKRYEIAEEYMDVVYKLWNGSWADDSVRWDEVNRVAYEPSRIKKIEHNGEHLKLS ARHQLHPSPQRTPVLFQAGTSKSGMVFAARHAEAIYIGGMIPSQATAQIKNTRALAAA DGRDPSTIKFFAAISPFIGRTMEEAQAKFDLAAKHADIIGGLAQFSGYTSIDMSKYPL DEELKLDTSKPAESIVQGFLGNFVDSIETEKEPWTPRRLGMNIALGGLHPSPVGTPEM IADIIEQWIDEADIDGFNIAYVSNPGSFEDVVSLLRPVLIERGLIFEDYAVLGGTFRE NLLRQLGQHALRTDHYGSKFKFEEDEAVLGKDTNGLKTKSNGAV LY89DRAFT_649504 MKQEPILRDPSFKLGSISDEDREVFKANVDGVDFRTVTWQRAII IFIKTQIATGVLGIPSALHTLGAVGGGLCIVGFQALNCYTTIVAGNFRNKHPECHTIV DMAGVLWGPIGREFVGVMFMIAFIFCTASSLLAISIASNALSNHGACSVAFTFVGTVL TVIFSCIRTWGKMTWPLTIGFISVMAGVLVVVIGVTFKSRPAAAPQTGPYELGFYTIA YPSFAAGITAACSIFVSSCGCPGYLPVIAEMRRPQDFKKSAIIVAALVGSIYLSFSMV MYRWCGQWIASPSLGSAGPLLKKVAYGVALPSLVVSAGIFNHTSSKYLFVRLLRNTKH LQADSLIHWSTWIGCNAGVGILAFILAEAIPVFNYILSLEASLFFVPMSLLFPACFWM YEYKHYRIGTFKQKLAYAAHVLLAAIAVFLLVGGTYGTIVSIIDSYKTGGLGSVFSCA DNSNTVGV LY89DRAFT_620153 MLKPYLHLLLLTPASLAITLSYTWDVAYVTASPDGVSRQVIGIN GQWPLPTIEGTVGDTVTINLTNSLGTQSTGLHFHGINQKGSPIMDGPSGVSQCPIPPG SSFTYTFELNEPGTYWYHSHNNGQYPDGLRVGPLIVHDPNDPYAGQYDEEIVLTTSDW YHDEIPTLITQLLNTSNTGFNPPIPDALLLNDTTQAKFHFTPGKTYMVRIISMAAFAS TLIEFGSHQMQVIAVDGSYVTKTPADQIRVAPAQRYTVLITALNTPSTNYAFLASLDI NRDFTNTSAAVYPHNITGYIVYEESQAYPQSLIVDAWTPANDVTFQALSGQSLLANPT KLITLDFTFGIDSAGVPRSYFNNITYVTQKVPSLFTALTTGNESSNPVVYGTVNPFVV AKGDIVQIVVNNFDQAIHPFHLHGHQFQVLERPGSQTGAFSGNDVTFLGNPMQRDTIT VNANSYVVLRFEADNPGVWLFHCHIEWHVVMGLIATIVEAPEDLVGGSIPDDHQAACK AQNIPVAGNAAGNTQNPLDLTGANTQPP LY89DRAFT_708514 MKLNSCYWLRIFSFLKNSCSKIPQFKMASDKKLEVQEQGLSSER ESDLAISHGEVFDREDIKSTGLLGRLVYYEEWLDRKLGYGLSLTQAILIIIFGTFLGC AVAGWCATLGPGTGLRQVAISRYSFGWWPSKIIAILNVVEQIGWAAVGCITGGQALSA VSNYTVSVVLGIVIINIISIVLSFGGYTAIVKIENYFWIVFFVIFLIIYGEVGGKADL QSPAQVTGMTLSGNVLSCIAIFYGDGASYASIISDYYVNYPANISKMKVWLLTTFGIF IPVTFGILLGSLVGSTTGVDTNWGQAYDNHGVGGLILAMLYPAGFAKFLLVLLSLGGV GLNVIAIYSSSLAIQQAAKLLQRVPRFVWSLVTFACILVLSVGGRDKIIDFLENFLSL LGYYNTAFVAILAIEHYYFRNGNLANYDLDGWNTPSRLPIGFAGLTSFLLGIVGAILG MVETYYVGIIAKMIGEDGGDIGNELALVFTVISYPTLRKLELKYVGR LY89DRAFT_735975 MSTTVTETVREEPQYEYYYCDHSWNNKREILRGEKAVETFDEIP LIDVERIFSEKYEERLQLATEVADVCKTVGFMYIKNHGISQELIDDVFKLSRTYHDQP LEKKKEEYVYKSETLRGYDIHYTKTPSGPAVKKESFMYSYNPDNDPQLPNLTPEQREQ CIGLHNMWPDHIPGFEPRLVEYFAELLKLSRRLMRTFALGLGAEETYFDSIVTAPYVS IILQHYPPRALGAADLDGLGAHSDFETFTILNQDMVGGLEILNKNGFYIPAKPIRGTF VVNIGDFLQRISNDIFVSTVHRVRNTSGLERYSIPFFLSFNVDAEVSVLPTCVSESNP ARYGPRNLHEYTARRRQLQKEAHEKGELEDSLV LY89DRAFT_124856 MLAKIPLAFVEGMRFRTIADKRSRYVWAISFWDVDAATKSLTRL RGSIPFIASIIDIALFLASISLLVYLLLKVQKWEGQGIWLIAITPLVVEYGIRVLIHV FLRAQWFISTLEFRQHPRWLSPFLKFFSSSVMAHAFISLYLVPVSVLMVVTTPGLVVW LVWTGILAELWRDGDLFVLLFSSFLLLVCLLLSVRPWQLLFFYAFVVIKDIAGRGKRA YVGSRLRNPDREIQIV LY89DRAFT_124885 MDSTGNVKIEIIKMREQIDYTAISHVCAGGLGNFQDNCLPHCQL QAIHEDGCNTMALAYDNYYKNQRLIHPPKRYYDILMRPREPHDKGWFSFQSITTTCYY WLDTLCIPIHHIQEKHRAINSMGRVYGGAANTLVLDPALSRIRYNELGNEWESTF LY89DRAFT_124868 MDHLTWYPQSSIPPVQVPYVCGNEPTCKKDQFLDFATLQGWELK QSDSLAQLATRSQAWLFFGLLAVIGIPAQNCRASRASATFENVVNASILPSVLRSIVE GDDENNVALIVDVMTALARAEELMRAEVIPLIKEFEEGETLNLWSSQPYAILFSIEIL IDTIVFVLGDEADSRRVSLFAQGMEGIEQSLLHVGKCRSLAHRLDNLRSSEFYVLLSF PSGDIRSDHSKCNHTSCCCFDVDQTSYRTRHVEDCVMAKRFRLMKRSL LY89DRAFT_124910 MSKAVRIWSLDITKTFSTVTRPCESKHLQLVTGRTYPFGSAVFV PTFALRFLFPSSNIMLLGEALDKRVDIAYTGSWCNFVLLVCYGSFVALVVIVWIVAHG LHTHLVESGSSELFVHCLENGNGIADAEYGAEMMADVIHPLISQKRSI LY89DRAFT_124934 MPSALGWKGDAALVAYTNLANAVQTPAFKAAAATTASFVSFASV VLPICAVTSTITGIYAQAKLLELGQEITDNLKKLTESAQVANSLQYQAHFGQHVHDFV ASRIRACKKSDADGKDSSTTDYFFVYHPRTDWHASFERHIEEKPLPNLLGWTHNLELI AHFVSQIRATIGPEATVHILMPSAHMYIVPEEFTLDQSLFPLVFEGELADSSEPYVYL NMPELDASSFHQIGRLPQCKKTESKAPTNETVRTLLSTSAAVPAGIVGGLGGTALGLV GLSVFCPFAGVPLIVGGLLAPGAVLGTASAMGAGVGVEKVYDHFHEKKTKN LY89DRAFT_686518 MTTPTSSNPVSWASLFWGLLPFAINSMTQPSGLVCGADADVGFL LRSSPIICFLDTMLILIRFTSYYFSSGSFCEARNRLVRFRFNGEHWTEFKEAKRKGGR TAQKNEQILRFLLFVLAISQFIKIFAYEGVIWSKVIAALYLGSFLVTELLVVWHAVWM TDIADLKEEYPTIIPYGSIAVAVAFMLWFASVAARDIFGHPHHTLPQWAAGVIGTLGA GLAIPALGYSFRHCKTWRQVRGSAALLLFVLGSPVGFYFTGQIIPATIPIIWIQVVAA ALAAVWVSIALLYASQATRTVRARGKERQRKKVEQVTAWYFFLLHFSTALLFYLFSYD PQGTSTPRWTQCLG LY89DRAFT_686519 MPEQQIDPQNTPENGSLEEDIPAERSESEEEEEMQPLEYTQLDI TTQEMRFLTLEPAAPDTLLKCSMSIEPLEKCKPYTYIINTRGNPISWLGISIDGKSKH VTRNICEFLAHIRSRDVPQRLWFRDVCINHQDSEEKSRYWNQEWMDTMIKHAEKVVDL SEVMAELWDKGELPRPFPRTAKDWSHTRQPKETKHHPCPLQMQKGWVDPPPPHQYLPL DYVADEFRLVVLWKAENYDDPLRAALAYSVMHDDVAYHCLSYTWGSSEEEPTCPILLN GQTFMIRKNLDRCLRELRDKVNKSNIWIDAICIDQNNIPERNRQIPRMLEIYEAADVV LSWIGEGDEASDTAIDFLDELKSPKLQPDDNGNWGPYTVKEDDEWKITPIPDLPQRLA ALYRLFLRPYFRRIWVIQELAVANLPSVYCGKKKAAWSQLDMAAYHLLDILHRDRTMP ARMMAADPLLKSVSDQDIWFVRKLFYFRHLRSRNADHWLGQTNFSKLKENSPGILDAL ILGRDFESTAPHDKVFALLNLAQDIEGLDFKLDYLTSLSQTYQEFATAVAEKTRSLDI ICAAENSNSGLDMPTWSADWSTPSKASSLVRREHIPNVYMWAVQDISGSIYHTCGPTN LTPRFSFNNSILEVAGLILDSVKSVHLQEDSDTGKSIGKWMSIAANALLTEEENQAPG LNMPFMEKFWSMLAGDVTGVWSVEIKPTSGSLREEENENVSFRPVCVKEDECKHRMRN TSADVFRIVTRGRALIITENGLMGLAPENAKPGQRLGILSKCSVPVLLGENEDGSYTF KGSAFVQGWMEGEALEDVGMNTEEAWEVLDESGRLKIV LY89DRAFT_686520 MSFRMRRCSLSLRCSPQSSAPIRIVRDRHPQKGHMAHDGRISLG ILKSFYPSESNIALISERLEKFAAQQAKFDIHFNYPFIERIAHSRQTSSKYVMKFDLL SPFLKTLRADLGDLLGDTLTYQSFGGRKKPFPHPIVPFNQNAWIGHWGKPLNPRPRLS LGGVVTREEAEAELRYPQQLDPKDLGPLEARVLGEGENDYWSFPTFPFLGTSQDDEP LY89DRAFT_686522 MALTSHRTRRLLLFISKPLLSALFVTALLRLIFYPSSSSKKPIN PHPHLSKALILATTASSNLTWLTPSLIESTHWDTYIYNTSLTPSLLPQNSRSSPSQLT VPLNKGNEAMPYLTFLTTHYHTLPSLMFFHHDHSQAWHQPFSSSFELRHLNPLTVLRD GYVSPRCLPGCENVIELSGDVVPLEEMGTATREVQISTLLHNFWLDKNGNRQKIPEKI AAPCCAQFAVSREAVRRRSLAEWEALRDWLVQTELGSRQSGRLLEWTWHLWFGKEAVF CPEEEKCLCDVYGVGECGGEL LY89DRAFT_671324 MHSILSLPFIFSILITLAPADPTPCLCTTYSQIAPAVASCTSII LRNITAPSASSINLSKLKENTTITFSGITTFEFTNSSSFTPISIGGKNITITAEPDAV IDGAGQLYWDGLGSNGGVPKPNHFLTLKLHNSTLASLTIHNWPAHLISITSSTNLTLS NLTLDNSAGDASNNRSNGLPAAHNSDGIDISSSSDLLIKDIRVWNQDDCVAITSGDRI VVENVHCVGSHGLSIGSVGGKADNNVTDILFKDSTLINGTNGARIKTNFNATGWVANV TYENLWLEGIRTYGIDVQQDYLNGGPTGRPSSGVVVEGVVFRNVSGSMSGSGGMGVYV LCGDGSCNNVTFEDVRITGAAKESSCNYPVGGCPT LY89DRAFT_686524 MENQSSYEMSPHFSKCGDHKSLSFYLFFFSDFITHCLLCIIIQE ATKIRTNVFGWGSSAQGKNEPRTLYLLCNVTREFSRNTLFGKDEMKIKSTGIFIF LY89DRAFT_124993 MATTQSSQPVQGDNLQTPKTPSTPYRDDFNLTPNTNTTTSFADS GFFSSPTGDPCHGTGYKDWVLFPSDEGEEDGSFGDGSGFLDTRGFFRDADECVMIDYE SDVHHEEEYSQNPTTTASSSLTLDSHAPYSPHTELVKALENHHISSSPPPKSPKLPRS KDSEDEESETSMLQIWQQRSERHELLSYKFEQPPYTSREHLLPVFRQSSRVRKNGAVR PSQRKSVLRN LY89DRAFT_686529 MKLKHQYKNKPVRRLKPLHIVDTQQRCFLPSHHRQGWERTLTAV SHNYASLS LY89DRAFT_671327 MTGQFTEKDPQRCAHYARFMSHLTLAEANSIFWLLFITVLILMC ISSLQHHKSNAIAERDKALPKKVTSSTSHQLKNRRLRLHYLSIASLCLVLAATATVFE CFALFNIEFCDGEDLMQIYWGFWSVLQVGSNIAILGVMVQFWIVLGDIETPSWAVALG TPVLVFAAIGFGGRHVFKETVGRIRRKRQGVGDEEMGRRSDNEEDQLRDDDVVGPRDE IVPEKYNGDRSLSTASTTIAKQQRVSLSAFDWRYQCWSAQHVLAA LY89DRAFT_125544 MGIFRTLISTTVLGGAGTVGAFAFWTRNAQFVPLSPSDKIYSSA AYLFQNPNKNPATQDLCIRRVPLSKIKPQLLEKEKEGKLVEAFCAGVWSGWGYEVQRR ILERKWRGDVGRTGHQLWDRKELRESKYDVGTEITDHFEVVEKDVEDGRIVVRCGDSP LNKEVRATDGLFEMSVKVDKEKGEAVFGLKSVLFQGLGKADKAPMPPHIEFLHRVYTK LWMETAVSNCVL LY89DRAFT_735988 MVDYNENVYGRRGLDGRSHIPALPFWVALIRIAQFILALITLIL AGYASGVFGAGYFPGYGMTFFTFAWTVLFLLYIFVTPLWFPQVYLYWAHLGFEIATVI FWLVTFALLAQEAAVWNAFSTADAELNTALSEAGSNAIVFPHWDQAIKATKAAAGIGA LTWLLFIVTLVVFSFLLHKHRVANGSTGFGISRPAAAPTDVEAKPATTTEKIPTTTAE PPLELNDVNGAQHQVSSPAFA LY89DRAFT_671330 MKSSTILAILSFALTAYAAATKASSNDANFVNPDASDGSAGEAA AALAQSAVDAFNAGDIITAVGYSSLSSVLQSLTTPREQAPAATAAANAKANKAGRRAE NKANADATFVNPDASDGSAGEAAAALAQSAVDSFNAGDIITGTAEPAATAAAKKNGKA ARYVRA LY89DRAFT_698482 MEDVVDRDKDIAIAVVGEHGHAVDPAVERRVVRKIDMFLIPAMI VGYGLVYYDKAILGSAVLFGMTTDLQLSQVDNSTHPPTTNTSRLSWATSMFYFGMLAG LYPMTFALQRFNMGRILGVVVCLWSLICMLTAAVTSWRGLYAQRFFLGFVESIIPTGF MCIVSSFYTQREQALRQSWWFSSTGLFTIIGGALNYGFGQIKGGSLKRWQYIYLLAGS ITFFFGLACFFVPNSPVSAWFLKKDERVVATERLRYGQTGVRCTKFKWCQVKESFLDV KVWLVALMMASAYTINGAISGFGPLIVSTFGWSTLDSILFQFPLGGICFIMILLTGYL SSRISNIRIILLVLCCLPVIAGCTLIWKSTWSHRAAAPVVGYSITGFFGAVVSLIISI GMSNVAGQTKKCFMAATIFVAYCVGNIVGPQLIKSQTKSRHYPELWLGLIICYCITIV SSLALYFVLARENKLRAAFEQNDVDRDKMAFLDLTDKENPYFMYML LY89DRAFT_125527 MFCCFLQFLRFSLKVRLWLTMAAFIIAVANTNTAARYFSMMLRV PSFYSSHVVVLTWISNTLPRPASKRAASLPAVALFFEYGGYLYGVHVFIKCGAKVCGR YECEHDYSLRGNHRRNAPQVYVGSLEQEAR LY89DRAFT_686537 MGKLVSRKQNIMAQSTYQSVVLAKRPEGLIIPGETLAIKENPMI SEKDVKDDQVLVEAIYLSLDPAMRGWLNDTRSYVPPVQIGEVMRGFAICKVLASKSSK FSAGDYVTCTPGWREVAIVDAKEVTPLEVTENGRLTDALGVLGLTGLTAYFGMLNIGE VKTGDFVVVSGAAGATGSVACQVAKLKGAKVLGLAGSDDKVQWLKELGCDEALNYKSA DFAAQFKEKTKDLIDVFFDNVGGEILELALSRAKPHARFVMCGAISQYNSKTPVGPKN ITMVIAMRIKMQGFIVFDFAAQYPSARKDIEHWLAEGKLQRKETIIKGGLKAAEQALV DLYKGINTGKLLVEVKEDDSQNGVGSRL LY89DRAFT_671333 MASSTIPEKASEKVVHALTFLDFNEAPYRNYHEYRGCSAESDMP YRKSSLFKGLEKLPECLRHQIYQLVVIDRDTVSRDHPTYWLNAYSDSLLNIRNIEGWT EPGVPTPSLEYKAGFFEKKFYSQKYESLRSPFCTIRHFAEALRLLDQEKENRAGATLE DFLHWVGDHIVVELETPDLNIGRAISTRLVLDTLVPFGNYITHLSLEHIPHGPRPDNH SRLYGEPDYKTKDFITLCDQVGAAMPHLQSVVFWVALSENELDIALSSPSKQPWVRAC QRLGVKKVELKLLIVVVPSSNQTHPIWLPYIRARRLAWWKPKAGYQKVDAEKETKLRD ILAQELIDDEP LY89DRAFT_649528 MYIPDNGAICLLLGTIVVQVAARCYDPSPAFPLPKHNAYQGSEL LKRDFQLVENELEKLISDSKFDLSSYSIEITSSEGTLWENHHTSKVKDGKRPGAVEVN GNSVYRMASVTKCFTTLGLLQQHIAGNLSLDDTVDMYLSDLSGSIPWKDITLKTIASQ LSGIPRDSWGNEISYGIDNPTDLGLPPLQESKPPCFEFVGGDRPCEQEDLFAYLAKQV PLYPPKQKSTYSNTNFDLLGLVLENVTGIGYADYIESSILQPLGMAMSSFTKSNDSFA VLPKLPTGDNYWDTEEGVDRPTGGLYSSSSDMSKFLRYVLKHYNGLTPTLNWFHPASY STTISSFYGTPWEIFRTNSILPSTSRPVTFVTKSGSVPGYLSYIILLPEYDLGVTILT TATSALLKESIREIVTVPLVRAAEHIAQADLATRYTGTYQAQHLNSTLVISHSATKSL YVESFISNSTDIFAAWNPFFEDSLEGTRIQLVPTLLFKDEKQQQGEIWRGLFVPEKRN ESLVWDDFCVTDDDPIQFGGKPLFEVVMWHADDGSGRVEDVELSGFRVKLKPDHNASQ QGDGVQESRETIQEGGANESGTQHIFNGA LY89DRAFT_751592 MNDNIAPFDKTQIALSTMANANLREPDTTANRVQLVKPTESAAI DSLPKNAYCQHICFYGGLATTRCGNHDVGTYLEGTPVSIESLLRSLKKLPEVIRDQIY DLVAFPDFEESVPTIWLFQDSRIVAPERRRYGTSKQDIATLLNKTGVWGNYPEARPCH LEGFAQALHDIQKSQTVASASAASCLQDFLEFLGDRMVVQIDNLLMTPDDICWSTIRK LQALQYLKSIKPYIGHIKHVSLEFAIQEEGFHKGQGSKAQSRKIGPAAHSKSLKFANS SANASRT LY89DRAFT_735997 MEGANRPAAMVSKCAVDCYHYSSGCGPRSPFYFNGGGIKPDDLL RRLMELPQHIRDQIYELVVRHPYNAPTWWLGADGNLRAPCPDAYTLPKPDHLSIPTLE NKDGPWLKWSSSSFCIVQTFVEQLHMLSAEGSQSASDCVEDFGEFFGERTIIEMNRFR DWICKSRLRRTVRKDPCLQLRNLEPLFKHIKHLCLEVKVPNQSVNKPDSVSYKIVTGA EDFTLRCEKIHNNIPTLKSLVVFCQLTTQNLKKALILPEQQSWIQGCKLIAAEKVNVR LYILDRSTEDDEPMSRILSPGYTDRSGEIENLWKDEERSLENILSPTRNMPRTGDLWM I LY89DRAFT_720369 MSMCAIIGILLKFRGRALSDRPYRIKLNTLVSIFAQISSTALVV SLSECISQLKWLWFAQRNQPLSDFDTFDEASRGPLSSVVLIWKTRASIPPFTVASVYA GMNGGVELSQVIPTCPTGNCTWSPYNSLALCANPVANLTHSLNVTNAYDGSPMNGSCA LMECKYSLPNGLSLAPDSSGAMTVVQVASLSLNGTNVSQRSSPSNLTSIAFSDIPVVL DFFMIYSDQSYANVAALEASLSFCGQTYNRSVAEGKVNTTELDRWGSLDTRVAAASSE PIVNWPIVGNSTTLWVEEAYVDSLQYTLSSIFSGTYTIQVGGTAETQLSSVAVQALNN TIGGSLDDVAAFQSFMDDLAVSISNNFRTGVGSTTVNGANNSLQTYISVDWYWLIFPC FMLLFAMIFLLVTIAKNHARRGEAWKSSSIAVLSGLSSDAKAKLGDLTFMTSMQERAK EIRVRLVEENGHWQLVAA LY89DRAFT_686541 MPTLEAKSGDWPYRGTNDEMPYYELSFCQSRGFTQQLHNMGRNS STAAGRCLADFLGFLGERIVLELNAYLFYYWRHEITRLASFELLKDLKPYHNYINHIS LEYCVGLDRIGNLVKLCEYTQSSLKSLKSIIFWFQITKRQLNAVLQSPNEQEWFRACK LLAVEKIEVKLRIVGRVPRHWTGDPREDGLPPSHILARSNVWNQRYPREGGYEDKEMA AMLKKILTPGRDWGLTDDLQEDFGMGRLFGDDGTSMNEA LY89DRAFT_125925 MGASTDEGSAAVSYLGLLTCHKNDIRCPDECSKSDCGREMRLYF NGNNSTPETLLAGLMRLPVHIRHDIYDLVVHSDAPIKHPTMWLNSSQALVAPDIPAYR ADPRLPLVPTLENKGGDWDPDKICCWAFCRSQGFAEALHKLGKTGNQSATRCLEDFLI LVGERTVVELDNYNFIWKQSSSGWINSFEWFRSLKPYHIFLRHLSVEYENQIEFDYSK GRWVNSTIGYIAQCRYIQSALPNLKSVIFWFQLTREQLNGAIYHARQEPWFEASKLID AEKVDVKLVIDPDFGQGERLTRSSEWDRGFLRRGLSSVVDEEGASMLQKILSAKRIRT LTDDLDDFDLESLWNDESLA LY89DRAFT_736001 METRSRARQEPDAISDTPMALDVPLERIAPTLTQNTPQSAIPQP NMGWHMDPNDLPLEHPIEFMRQLESIGWNGGEHFFPGKHDEFCFVCGGSMELFGCQTC ETCYHAACMSPTLEVDDVPTFWFCPHCVDRELHIPPDLSTSYLTPMSPPQPGGVYPSP SQSSLGQASTPNSQPNAPAPLSKRKPEGQMIDIKGSKQKIHEIARSLNNATLTETRKE PKADLTKAPSGRSRRTNSPPRKRSKYSAYSSEVDKALAVIHKELEAAAQNGKSEGSLR ERIHTLEQELRLKDGQILLSSRELEVARQQGDTARLQAEVKELRAQNEGLKTLVEKKD AELRDWRSKLKTLLGNDAE LY89DRAFT_649533 MAYNGSQLLPGQSAPLEVLTATDQSGVILIAAALGLIFAIISLL IRVYLQLEVRHHVARDDAAVLLAMLVFVGQSSAVFVEVSKGFGKTLSDIPLSNLTSLQ KASYSSDILYLVAVWLTKCSVAFLTIRLSPDKRHNFASNAVLYTSTLFVVISIFMFAL GCNLSEPWLFIDTPYGMNMFLRWQIVAAFDIVTELALLALSFYLVGGLQLSRYKKFVV IFAFALRLPIIVAIAFRLHFLRNELSSSDPTLKGSLASVSTQIQISYAIIAATTPCLR PFMSALSTNYGAPAQIKTPSSTKKSDNSYALSSFSKNRGQEKGKQINITKTVPDTRWD LADHHASVVAGDNISFDSHSSIQMIIQKNTEWGVEFEGRSQRSELSPEPPQEPSPQR LY89DRAFT_751596 MSILMDKPGNGSTRVIDSLNTDNGVFELRNYSQLGGTENDERDM QMLGRTQQLNRNFRFISTLGFACTLMSTWEIALMTSLFALINGGTAGMIWGYLIVWIG YMLVFATVAEMASMAPTSGGQYHWVSEFAPPSSQKFVSYIVGWTSVLGWQTGLASLAF LAGTMIQGLLVLDNPDYVFKNWHGTLLVIAITAFCIIFNTFLAKKLPMVEGMVLIIHL LGFFAVLVPLWVLAPRSSPKDVFTDFLNLGGWSSSGLAFMVGLLSPVYTLIGADSAVH MSEEIKDASIVLPRAIIWAASVNGTLGFIMVITFCFTLGNVTDIIGSPTGYPFIQVFF NATQSNVGTSIMTSILIVNITSACISTVATVSRQTWSFARDKGLPFSDFISNVKPGWN IPLNAVLITFVITCLLSLINIGSTVAFNAIGSLAVSALLATYIISFTCLILRRLKGDL PSRRWTLGRFGLPINIGAVAFLLVVWVFIFFPLSTPVTPATMNWNVVMFCGTMLFAVV YYFFAGRHTYTPPVNLVKRME LY89DRAFT_720374 MEEGKIYLLVISILAFVAYRVVQGVYNVFLHPLSRFPGPRAAAA TSWWKTYVEVVRQESMVHVLVGLHKVYGDIVRVGPNELHFANPEAYHDIYRSELRWDK EAKLYESFGEDRSSFGLLRYGDAKQRKEVLQPLFSRRAILGMQELVGEKVDHLVQVLV KNQAVGKSSDMLFALRCFTVDMILDFCFAKSVDAMDEPDFAAPIVVAMDNSLPTFHMF HHFPWFRKTILSLPPWLALKASPETAGLTQLQVILGKQVKDVTTSPSILEASPHPTIY HRLLDPKVRNSNLLFEDGALFDEALTMLFAGGVTVGDTSMTGFFHILDQPTLYSALRS EVLKAWPNINNPPTLEALESLPLLTATIKESLRVAPGACSPLLRIVPPTGATINGTFI PASTIVGMSSFFVHQSAAIFSDPGTFNPYRWISPDSSGLEKWLVAFSKGPRSCLGMNL AWCELYLLYATLLRRVEMRLDGTKREDLEWRDCFTPFYPGRHLRVFCEGVEK LY89DRAFT_783994 MAETPSSVDTISTFLPDIEKTPSLVDNVIDSQKYRNVPLPAPSQ IQDNLTSSRKIAIATFLILCNSVLFLSFGSCMGGGFSIGASFGVHDPTTTAWIAASYP LTQGAFVLISGRIGAVYGHKNILFLGGVWWILWSMINGFCTTSIIPFSIARAMSGIGA AFVMPNIVAILGITFPPGRGRNLVLGFFGFGAPVGGTIGVLLIAVFIEWVQWRWFFFT IAILGGVLFGALWFVLPPENAVDKEGSVDWLGAFIGLTSLILFNFVWNQAPAVGWHTS YEIALLPVSIIGFICFAIWEHRFAKSPIMPLDIWTAPSFLALVLVVLFSIMSYGIALW YMVAWQQLVRQWSVFHFAIGLIPHAIFGGLAAPFAAWLIPRVAAQWIMAFGASFILLS SVLLVTMPAQQSYWAQVFPATILMALCPDFMFTAAQIVATNSVRRHEQGIAGSLISLL QLYGASIGLGFAGTVEANTNRGGMETLVGYRGALYFAIGLAVAALVIDVLFVRVPKDE REGWQHEEDMVMKSG LY89DRAFT_686545 MPLFNRHQNVETAPVVEEKPKRSSTLFGRRKETEVSPVRSTTTH HTTTTSSTSPHRRSGLLHRRDEDPTIIAARERVMNAEAAERDADRALVAARVAVKEAR EHIKRLEKEAAEEARLARIKQDQAKSMSKRGHALGRHENH LY89DRAFT_736008 MGFLNTGIPNDTAPDPLNNANVPELEKSLLRWKQEWLATQYPVE LQKVVRRIIDDRDAQPISNAVCLGISGQQGTQDRDLLQFVVFSQIVAQLANANPILLS NIVVQDPQMTPDMRALFLNHGCQIMESPAAFAEVEPNTFLFSPFVEPMYLFLGLKDQS VDRLALFVGNGADLVRQVENGEPDDDDIVEDMLWDVYCEFRDLPCDRPNGKDYNDAGP MQGLQGLDMWWRKARTNDEHGQDERFRFAVYHGFTSTRADFNSAYNFDFGLFSEAFQN RAIYSFIAALYNLTKILFGLYVGTYGPGSITDQQKRYETAVNDHILDVKEGLFSGKFI DYFKSGRRR LY89DRAFT_783996 MGIFQSQQLSATTPETSADMELPESESVEFESNHVCRTQERISM SRQYHYQRSDNIEDIEDYRPGGYHPVELGDVIENQYEVMLKLGHGGFSTVWLVHDTSS ERFLAMKIVMAEASEEAFETEKRLDEFEKSVNADQGFVGFSEARWWFQGPNGNHLAIL SNWMGPSVAKFIEVTRLGTISPEYSLNGKVAQRLALQITQAVSSIHNRGIAHGDLTCS NVLLAINNLKLNNRSPREILNLVRGSSSMEQITRIDGKPNKPTAPDYVYEGEDMAIPL LPFWTGDVKVIDFGSSYPLDSPPADLQIPYSSCSPEYLLLQKVGKESDIWALGVLIYK LRSGKDLFAEVMGGDAEVIFQMEEVLGPIPYNKGPTVTTPERISGDADEAVTGFKPLL RELIDAIDDNHLLAHEDDGNRTRNGGGDSREEKAGEEGVLRTSQELPPQAQDHPGEEE NVRPDPMLSTKKSGKEVQSTKISPWEAELLYDLLSGSLNYDVEKRLNADQMLRHPWFS MNFE LY89DRAFT_736010 MDGYVAAGLESLQRQQDQAKSKSFTDQQKPDAGSTSPPPIKPLH ELEISKNPFLNSGDAEGWITIAGHLVYLSWSKYISIPAGKTYKESMEKLVAEAALMQK QTQVEIRSDVHKRSNVGTKEFPQWIQHDRHITVYFPKSQRKAHIYVGPHGERNVKNIK IWRREEKPKIVLC LY89DRAFT_126000 MSYLRNKQHMRPRPRCTHRNVFKAPRIYTQEDHHITYIIDSYTI QITWFQSSHIPSQPSQKAAFERLVAEAALSLNQTEVHIRSKLQNDATEGGQVVHYDRH ILVWFPKMKREAHVYVGPTEVVKIEELRVWRREGRVAVVLC LY89DRAFT_686547 MGIKQLFQIIREECPDAFKEGEIKNQFGRKVAIDASMSIYSFLI AVRSGGEMLTNEDGETTSHLMGMFYRTLRIVDSGIKPVYVFDGAPPKLKSGELAKRFQ RKATATEGLEEAKETGTAEDVEKFSRRTVRVTREHNQECQRLLKCMGIPYIIAPTEAE AQCAVLARAGKVYAAASEDMDTLCFDSPVLLRHLTFSEQRKEPIQEIFLDKVLAGLNM ERKQFVDLCILLGCDYLDPIPKVGYHTALKLIREYGDLESFVAAVEKGKAKFTIPEDW PYADARELFFNPDVRPADHADCDFKWDAPDIDGLVKFLVEEKGFSEDRVRAGAARLQK NLKTSQQARLEGFFKPIPKTEAEIKNLKRKNEEKNEEKKKKLKEEKKEKAKAKAKPRG TA LY89DRAFT_620191 MYKPVLRTSARQLSSAARPVAVRSYAAHATPQPFNWQDPLDAQN LLTEEEIAISETAESYCQERMLLRVLQAYRDENYDKKILEEMGELGLLGATIKGYDCA GVSNVASGLITKAVERVDSGYRSGMSVQSSLVMGGIDEFGTQEQKEKFLPKMAKGKLL GAFGLTEPNHGSDPGSMETTAKPHPTKKGYLSISGAKTWITNSPIADVLLVWAKLAET GKIRGFLIERSECPPGTLETPPIKDKNGLRASLTGMIQLDECPVPEANMFPDVEGLKG PFTCLNSARFGIAWGTMGALEDCIDRARTYALERKQFKGNPIAKYQLVQKKLADATTD AAYGTLAAIQVGRLKDEGKAAPEMISMIKRQNCDRALVNARILQEVFGGNAVSDEYGI GRHVANLFVTQTYEGQSDIHSLILGRAITGIQAFV LY89DRAFT_589169 MALKRINKELTDLGRDPPSSCSAGPIGEDLFHWQATIMGPGDSP YSGGVFFLAIHFPTDYPFKPPKVNFTTRIYHPNINSNGSICLDILRDQWSPALTISKV LLSICSMLTDPNPDDPLVPEIAHVYKTDRSRYEATAREWTRKYAI LY89DRAFT_649551 MSEKGYDRSSTGSLKVSERSRWPPLTRMLMSGEMSGERPRELNA RERFDRWMVNEGYRRFFVFVFAAIHLMVFSFGFMNYQIKDNLTIARSTFGLTYPIARS AALVLHFDVAMILFPVCRTLISLARQTPLNGIVQFDKNITFHMTTAWSIVFFSWVHTI AHWNNFAQISAKNNLGIGGWLLANFVTGPGWTGYIMLVALMCMVVTSVEKMRRANFER FWYTHHMFVIFFFFWSIHGAFCMIQPDFAPYCVSLGSTAIGVFWQYWMYGGFIYLAER IAREVRGKHKTYISKVVQHPSNVCEIQIKKENTKTRAGQYIFFCCPEVSVWQYHPFTL TSAPEEDYISIHIRMVGDFTKAVGKALGCELDKPRGDKKDASQVVSVNKNSSGSDGVD PAIRRVLPRVYIDGPFGSASEDVFKYEIAMLCGAGIGVTPFASILKSIWYRMNYPQKK TRLRKVYFFWICRDFGSFEWFRSLLLAIEAQDMDNHIEIHTYLTAKIKVDDATNIMIN DANADRDAITGLRAPTNFGRPNWDMIFKSVRKIHTPAEAGVFFCGPKVLGSVLHIKCN MYTEPGFQFTWGKENF LY89DRAFT_736016 MTVYSKRKENVPLANVDQVGAITNEGRLSITESDLGIPQYPTEE RPTIYTSDMISHMPRAPPRALLARRTVEDSPNTLDMRQALQETELPLPKAVRTVSPVQ DDRIWFNRWFDIPERERKEPSFINRPARVLDTRGRAARTFDIPTDIQQDPFFPPVFTE WVGRKVAYVVGIFRGLIRGNRVVEADVEAGEGTYRAEIVAPEEAENTSEQDNVAVADI EDAVNDVATDADS LY89DRAFT_784001 MAKNRSANISRKGKKAAQAARKNAKATVVETPVKTVDASSEAEL TQSEASFCEAVMNEAESATTTELDNIESGNASKSSTEQVTLNKEFNFDFAENKADTYP IIDPTFATEVFEVLSPASPVAEPASALPTAEFTFSVPRVIADLKARVKKAAGMDNIEK DCAPIIEAPKELEIREKLPEADLELAKKDIDQAKRDVQLSEMQTQIDELQNNMNEWHT GHVQTRNEIEVMIASMSACLKKVTDLRQRLRSVEGDTLGLVNIVKDLQTQITVVKAVL AGIPHLHQELHELKEEVATMKEGSAAMKEDAAAALKKAHAEIAALQKTYVEAAAMKQA KAQVEQMVNTLTAASVPLPKSEKKTIRIVLDKALNKLEKLPTIGPVGSRKGEADTPRV PGIHKAGRAGSGGGFCSVM LY89DRAFT_588732 MGEVSDGYIDGEVGGKSQTNGDLLHDGGLNSIPNGRSSASSWDH RSSRNGSTLSFNGTSNGISNGVTENGRRSPGPQNGFSRTSYGDRNSVASTNGNVPNAV DTKPSTNGDSEPQKKVSIPPPISTNPQSTGPLTYTTSLLPATPTPTESPQTATSPGPH RFSSPPTYPSYPSPLAPSPSASSLPHPGATQLKHRHTLQVPKAAPARSSRDGEDAVYS TGRFSPTSVVAGVRRGSLSLNRRNTQSIHSNMPHEELTLDEDALQWAEAVRQKRASKR RRKEDEDDDRVVVGTKVDQNHVNWVTAYNMLTGIRFTVSRTNAKLDRPLTEADFDARH KFSFDITGNELTPSAKYDFKFKDYAPWVFRHLRAKFKLDPADYLMSLTSKYILSELGS PGKSGSFFYFSRDYKYIIKTIHHAEHKFLRKILKEYYAHVEANPNTLLSQFYGLHRVK MPYGRKIHFVVMNNLFPPHRDIHQTFDLKGSTVGRDFKEEDLEKNPRATLKDLNWLRR DLHLEFGPEKKRVFFEQMEKDVHLLQKLKIMDYSMLVGIHDLRRGNQDNLRDKTLQVF QPGGEDELDRAPDNRGMLMRTPSKLENARKARELRQIIKQEKPIPMGQSSSRMPDHLE ENTVKRDFIFYSDDGGFRATHEDNCPGDEIFFLSIIDCLTHYGTVKKLEHFFKGMKHD KKLISPIRPEPYGDRFIEFIEGITKSPEEAEREKENGPQPTVPHTNSNTFSNRNTTST ESPRRRSGSQNMRSSTSNNTVQRNENDAMKSEIRGEHESDRPDPRTIMTVRSPSAERT NGLQGQILPVVEEMGEASSTGGRSTRSRERDDNGDRRPLTPAKDNVDERPRTPAKDYS PNGFGSLRRPISRSSLDKDLPPLPRVASPAEMSGSESVLR LY89DRAFT_126300 MAPPHLDISNLTIIKASAGASIAEIERKRLTLEEKRRTNDDLLA DLEKEVSKLKDDNTAIDTKVLALNKKKRKLASMESYTSKAEAIASQLLDDDSSDENEQ IAIEEDDEDDEDEIIHPSTKKRKLESRKGRVASTASTTILVDSPPIEQDPEQPTEEEE EVEVVQGRRRSRRVQRQSPIHIQIEDSSDEVPEEEPRRSRRSQRKSALNAQVPHNEPQ PTQDTYSLRQQQQEPTQSPAQFTLAMSKSLANLIRQHSVTTNGSHFAQPVSILWPSLA SKYAEEITNEIDLETMQLKLQRGDYNSIDQVKEDVDLLYRNALEFNGEESRITEAARR LRDNLLVGIEFLVSRGV LY89DRAFT_126299 MHPRLNSYLLKLTIYQPSSHHEMKLTSMTTIPAGTTTESSLLLP SPNTPQCPSNLSSRNNIQLKTKHIDPLFHLKAPLSASFGINLTSIRTIPAPSFLPPLS PINSPLPRIFKSSKSPLIQIATQWNHGNYPPRSLHQIPQMSHRPTHHILGTPKHRNPR LSDAPLLEKKGKLCPSYPEMES LY89DRAFT_686554 MPPKATASKAKAASSDHASYQDMITDAIVNLKDRNGSSRIALKK YVKANNKSVAEGTMFDSLFNRALKSGVEKGVFAQPKGASGGTKLAKKEPKPAAAKVKS DKPKAEKKTEKKVTEKKPAAKKATTTKKAAVPKTKAAPKAKAVKAPKEKKAAAPKAKA AAKPKAAPKAKKAAAPKAAPAVVDKPAVLSKTKSGRVTKTTAKTPAPKKAKATPKKKA TPKKAAAAPAS LY89DRAFT_686557 MKLSFTTLDVFTTTRYTGNPVAIIEVPGSLSTTLTQEQKQSIAS EFNLSEIVFLHLPEEGTSPSSRKIDIFTSKAEVPFAGHPTIGTTHYLLSLPSSPQNVT TVHTKAGPMPISLSSGLASASIPHSYHLHAKTYHSALNGVANPVASIVNGMSFIYVSL PSLSALSQATTNLNEVEFGSTYNSEALDEGWKNGLVGTMYYVFVGEDEEGRKKYRTRM WGSREDPGTGSASSGLACFLALKGEGKKFLFEQGVEMGRRNEIGVEVELKGNGEGIEK VVLSGAAVKVMEGVLEV LY89DRAFT_126514 MVTVEHEIPSGMEYQYADHTISERPGPILKAGMGSTSQAEHPPA PSQGPNSGQYAERRRQFYIELDTIKQKKLSQASQRGGPNHALNDYQLQLEILELENKK RHLQRRIDQDAGQAIASPLVSKFQQ LY89DRAFT_588749 MQLQSVLFSLFLATAVVAKGDGNKTLSTAGECKEMKSLNKLVEL ASNTTKLDKVTKNNATKIAEIQAKASDASTQLDTLQSNSTLMSACAVIDAEAAEEDQC QETFCKFMDGLQKFVDVANNQTKLDKLTDGNTTKEADIKAKAATAQTKLTAMQSNSTL VSACDALKTSKDGTSTSASSAASTTTAAAKSAAMILKGASAGGAVLSTLIAVSIGMFM L LY89DRAFT_540000 MSFGYSIGDFIILTQIAYNTVQNALKACGVHDKLTREVNSLRLV LNRLQVEVSKPDSILNQKEDNRRKELATLAGDCRRVLNILTQILEKYNALSDEKRSVT KLWQRFRFGSGESQDLGKIRGEVSSYTQAISLFLNMLAIGSQGKVEAYMDAHGEELRD IKQSLHWVTASLQARGTHEEKSILTTYPDDDKLVWKAFRKELIMEGFSSRTLGRHKKV IKKYVLEMGDR LY89DRAFT_126569 MEQKVEPKKGDSKKGAIEGQVVPQHPGSTKVVEEMSSSHDQLSF RPSSLENHSLDLHNDHSAASEHRICRNDEIDHFKGSKEELNDEEENNGLLGGVDDIEN STTRIEDSGPRTSDVPEPYSWESWLGSTQAMDEGTAIFEYSDSGPFKVLNVRPPEDYS NDPNIVGTLCVMCRWMFDHLRLIIDYFTYPLYRLMFTLSIGTLACGLSLQQTAVVECV R LY89DRAFT_569883 LRQCVADHSSCGTRNHGVKLPSRLLELHSNAIRLREACEVSRNM KYATLSHCWGPRGVEAKLTKELLEDFRANIRPESLPRTFQDAISITRRLGLAYLWIDS LCIIQDDPVDWEKESISMSEVYGNSWVNIAASWAKDCSEGCLYRSNPKIDRFQVEVKA WQDRQVRLQTLEVIPANMVLNSISMSVLSDRGWVLQERLLSPRTLHFTKAQIFWECNT LLGCESFPNGVPACTTVSDIHIVKNNLSEQWHRIITKYTRCNLTQRRDVLVAMSGIIK RLQEQRQDICVAGIWRQDPEMQLLWMRSPSDVQKARYLYTAPTWSWASSQGPIQQQE LY89DRAFT_126575 MQSPNYNTTPLSPPLSAAPKRSLKFIAIFRGPICIVVLYLFSSY VVALALAVVYLFLRLLESLIPDAHLFFSGWSFSGFLFKIWFPLFMLGISVEVLTYAAW FLMGVLRKREDGGDQKYRILAESVWRAVHMAIRWAMDR LY89DRAFT_620215 MVLLTMTASIVEALEKIQSLRVASSLEEKQYNAEEDETKEHEIH GQEQPAENTTDPQKISAENTREATSTEPTLKNAKIGNPISHGQVVDLSNQMKEQGIEP CRLELLLKGSRIYIPPPPPKPEPTSEYKALMARLRREEEARSYERMTNPPPPAETFAQ RFPMASAAHAFPSSYQSIETSDPDDDGTTYADVDRQMTLIFNVLISIVACAAGIWITA RWWSTPMRLALSMGGSMLVGVAEVVVYAGYIRRVGEAKTQERKVKEVKQVVNTWVVGA DEQESVQADHVLLTPNEDNKDAKARRRK LY89DRAFT_649566 MRIRSTRASMLLKRTPTSTSQLFSTCSRCQLAARRPQLPIATTL CRHPARRRQSAWAQAVSVASNVFSNATSRAASDGNISVDPLRMVAKELKFLTGNIRQL LGSGHPSLDTVAKYYTQAEGKHVRPLIVLLMSRATSLSPKAARYGGQQSATDIDNAIS PLSILSDVNPSTPSTNPIAHTQTSYPVGDSEILPSQRRLAEITELIHTASLLHDDVID HSVSRRGAPSANLEFGNKMAVLAGDFLLSRASVALARLRDAEVTELLATVIANLVEGE FMQLKNTARDEKNPVWTEDAITYYLQKTYLKSASLISKSCRAAALLGSADVPTVDAAY LYGKNLGLAFQLVDDMLDYTISEKELGKPAGADLELGLATAPLLFAWKHNQELGSLVG RKFSQEGDVARARELVLQSDGLEQTRALAEEYANRAINSISSFPDCEAKEGLIDMAIK TLKRRK LY89DRAFT_784012 MASVPGSRKTSNMKTYSRRVNGIAEGPPTKKRRLDESSAAETVP PAPQFKKSSIQNYFKPLLLSSSPPLQSPLPSSDAIEPASTPPSSPPPAIPSSPPDSKP LKKRRLKTRPVLQPIENGSVKVEKNQETNSKLSQMRLDLGQQVYEECSVCHYYYDRSD PTDRKAHDKYHDFHTNNVQPKTEDPKVVLWEENIDDKHHSIRAICRTSSSTLKEGFVE AFSATYQDMGGEGIDELNLWSEIKNPHDTTDERKVPRYKGYMYLVDRHPVSILLVERI KSAIFFPFKDTLEVDEAKGKPQDVCKMSVDRIWTRSSHRRKGYATRLVDIARSNFISG LALGKKDVAFTSTTQDGGRFAKSYCKGLFEGAEYLMTLS LY89DRAFT_126828 MSADFPSSNPFRRKASATATPTPQSNPSTTQHAAYQAADPQPGS LSLESIDVPKKITKKVRVQSPPPLSPSTPSLPDSNSTIGEENYSFSARPPTPPIPQDD DPFDTTTSDISEDEELSKPNKAPANPFSRTLETMEHPQRDIPTIPPTGVSSPGRASMD VEAFKRLLMTGSAEVGTSTPPTASQVHVVHALGDGGSSTDASSVSRQSIFEAIQEPHP ESPRTSHEISEPEDDRRQLRGEISYSSGRKKPPPPNSRHGKLIRVELRDDTTLSALQS PPTPGSITSQHYFSSSPRSQTDLNKPLPPAPNRASHDSDRESIFDKEAAGKTPESPSP ESLQQKKTAPAPPLSRRHSQKVPESKLNRPATARLSPKPEEELSSASLTPPPNENTRP RSNSGRAPPPPAPPSRRIGSVRMNSSSQNLPLSSPSSVSLPSPPPARGTARSISGGKP PSVHSMDLSTPSNKRASVMPPPPPPPRHGRTSFDGQSPGTSRRTSSDYPRRSMDSARR GSAASSVLQMPEEVQGPAGHDILADLSKLQAEIDALRNQAEKERVL LY89DRAFT_126829 MSAIQLPKDQYAHVGAPSEWWWHIGTISTADGSRTFGFEINATG ITGIASFSQISITDVQNQIHYQSSAVFPWDANWAESDSTKAWFVNVGKAPSNGSVSMT GNDFGQKNEMSIVGEFLDAETQTPCSINLSLHQTGPPLLVFGTGVHTDVNPQGKTPLT KNNYYYSFTRLSAVGSISMGSEKVSVVGTTWMDHEYGAFPNNFTWALQDATLDNGTQL SSFAPPDADIKLGLAFSSFVSIIWPDGNSTFEQSVTTPLGPVWASSFPAKGKGNSYFT QYKVEVPKYNTVLLFTASMPDQEFRQQGTENPPVWEGVAIVEGTFRGEMVCGTAWIEQ NFGGGATGALRGGRAGFVDLKL LY89DRAFT_649578 MTGVVEAGTVISLISGVISIIEATKTVYDAAKNAKGQPEAFRQV AARLPLVNEILHSAKERTETLDESARDALKPILESCKAKAENLENLFQRVVRKDDDKW YDRYKKAVGTLVKGGRVEHLMEEILKDVQVIACERLTGTATDTQMKELEEAIKEMNEM PPSLPDEAGSFIQTHSGSGDNIGHTGPGTMNLHKGSGDLYHNVISGGATFGSNPSQQV INNYIVPHNSSQQTDSQTRKYLKDLSTTDPLEDKTRIEQTKGGLLEDSYRWILEHSDF QQWRDDKQSRLLWIKGDPGKGKTMLLCGIVNEVSPLTKLKDEEAITLLSYFFCQATDK RINNATAVLRGLIYLLVNQQPSLVSHVQKKYDHGVERPFEGVNGWVALSTVFENILQD PSLKTTYLVIDALDECETDLPQLLKLVAESTSTFPRVKWIVSSRNKPDIEARLRLNNA QMILSLELNSEHVSRAVELFIDHKVSKLPLIMDDSALQKTVRGQLYTKAGGTFLWAAL ALKELEPVESWDVLDVLQEMPPELEPLYDRMLRQVQQLQRKDPEFCRLVLSAMTLAYR PLHLLEVGALSGLPGQISTNLDNIMRVVNKCGSFLTIRENRTYFVHQSAKDFLLGKAF DKVFPSGKSEVNYTMFSRSRDIMSSTLRRDIYGLHAPGFPIGSVKPPNPDPLSAARYS CVYWVDHLCDMKTGHDRIDLGNNGVVYIFLKEHFLHWMEALSLLRSTSVGILAMNKLK SFLTSQLFDLVQDAYRFILFNRWIIENYPLQIYASALVFSPTYCLIRELFKDDEPGWI ITKPITESNWSACLQILEGHISPPSAVTSVVFSTDGTRLASASDKTVRLWSATTGTKL QTLWGHTTTVTSIAFSADGTRLASASNDNTVWLWNTITGKWLQTLKGHPDGSTLVAFS TDDTRFASAFNDDTIRLWDITTGEWLQILEGHIAEITSIAFSTDNIRLASASNDKTVR LWNATTGEWLQILKGHTAEVISIAFSTDSTQLVSASKDKTVRLWDALTGEQLQTLEGH TSGVISVAFSPDGTRLASASWDKTVRLWDAITKEYLQTIEGDTILSLTFESPCLNTEA VYSYRLQENNSWIAWNNDNILWLPPDYRSSHAMIKGHIFTTISSNRVIIINCKEEFNS CKR LY89DRAFT_698502 MDSRRSMDGSDISCSSNLTMATSATSSSGEPWSSSGFVEEGDED TAADFNWDEEDNEGDEVVPKLEPLDDVDMADFSPSSDVKESVIPETPSSVSTPAQVKR PRGRPRKHPKPTPQSMSKVAKGRSKTGCITCRRRKKKCDETKPGCINCEKNSVKCEGY PEKTTWKSGKEKAEEGRTTPLCQQGVDELITSTARLRRASSITMSSIQLPFLVHGLET EGDRIFLHHYISRLSIIFTVEGEQDSAFRNILLPMAQQHAGLMHSILALSSRHIDYKS DYGLKILREHPKVDIETLEKRSQFHQEEAFKELVSTQTDSKATPATYAQMICLVLQTL SDPTPNGQHRFHLQHYQKLIQESPPDDGESMRFIHEFFQYHIHADELIHLPQPGDAHY ISITEDWNLPTTVLQPSAVRLLGVFDGLFLYMSKITNIRNTIRANIERRQDPIVDYKA FYAAAEIDAGIREWTPAWPAGDTRDAAGMLYRQMMWIYLWRTIYPPQATNWKLDPHIT VAVRDGVKLLSGFGPRDPAQTLLLAPAFVIGCAAFEKEVREPIRKAIAAVKNYMEYRN TDTALEVLEEVWRLMDERDERSWDWQSIAHRMGMDFLAT LY89DRAFT_127129 MPQPIKTSCKREAQIVRQLHLLSRVNMCNRCRQSSDNPFLFDVF FFPWRSVLQDLASFHGPAQNSLNHGMFDWEMVPGAEGQHSKLHSKHVTNMVGPLVRCD SRVHVWTCCSTTITEGTAVLSPLHCITSAASCITLKALNMPRRMQRSSQYCLFHHMYS SGCHRNTIHRLRDAATTTTRASPPRSVNFRFIFELIEWRGRRYWGADAENRDRQLVQI PGKA LY89DRAFT_671374 MGDDIEKASARLEDNEGSTAQTLQIDKAPTSKDDEPNLDLTHLS TSESNHLSLSDVQPVDVQVRNLAVTVDTSPGAFSIEKYLPKKHNQDGAPRSYTKQILH SVSASMPAGTLTSIIGGSGSGKTTMLNSMAERMTSGRLTFGGTTTFNGMHGVNSVRSA YVMQQDVLLPTLTVRETLRYSADLRLPPPTTEEERRKVVEEVILELGLKECADTRIGN HQHKGCSGGEKRRTSIGVQLLSNPSVLFLDEPTTGLDATSAFQLIRTLKTLAKKGRTI VTTIHQPRSEIWGMFDGLVILTRGSPVYSGKAKDCISWFKSVGMELPPFVNPAEFLID IAAVDNRTPELEAVSTERVEGLKGAWIPESEKLYGAANEKATTTSRPTSTSLASVHSP FIRQTRVLTARTFKTTYRDPMGMAGSLSEAVLMGILTGWVFFALKRDESGIRSREGAL YNAAALQGYLILMFETYRLTIDIELFDREHNENVVDVVPFLLSRRIARFFTEDLPVPL AYSTIFYWMAGFRAEAGTFLTFFAIVFINQYIAVTYAAACVAASRTFAAASLIANLGY TIQSMACGYFVQANSIPVYVRWLKWTAYVFYAFGALCANEFAGQFYDCPYPGGESNPA CAQYTGTFIISSLGLPQENWIVRPIVIMVAFVVMFYVSAWIGLRFIPVEMSIAQARNS DTDLSAGKEKMIVRSAAEVRTVDISLDRFALDLDKRSMLGRKLPTKTIIHPVTTTFQA GLLNIIMGPSGSGKTSLLNAMALRLHNTLGTQYRQAGKMTFNGSVPSDSVIRSVCSYV CQDDDALLPSLTVRETLRFSAGLRLPSFMTTDQKNQRAEEVLMKLGLKDCADNLIGSE TVKGISGGEKRRVSIAVQILTDPRVLLLDEPTSGLDAFTASSIMEVLQGLAQEGRTLI LTIHQSRSDIFQKFGNVLLLARGGHPVYGGNGAGLLPHFESLGYPCPTTTNPADFALD LITIDLQHSSREASTREKVRSLITSWSSNDFSAALNPTTISTPAELGSLVRKRTGFWK AYPILVKRAFINFRRQPPLLVARIMQVTGLAIILTLFFAPLKNDYESVQSRLGFIQEF CAFNFVGMLQNVAIYPSERDVFYREHADSVYSVTSFFLCYLTLEIPFELITSVLFAIL VDLGGGLPRTARMFWVCFFNCFCIVSGGESLGIMFNTLFQHTGFAINLTSVFLSIAQF MSGVMSINMPSFLQGVNYLSPLRYAIRNLAPYSLRGITFTCTDAQKLPNGQCPIGTGE QVLNLYDLNTNPGLNILALGICTLIYRLVAYLLLRAVKTHWGDLKEKKEVKPRNVLDS A LY89DRAFT_620236 MLQTQTMKAVVYQEPFKVTVEERPLPKLEHPDDCLVEVTTAAVC GSDLHMYQGRTAAQGGLCFGHENMGVIIETGSGVSLLKKGDRVVMPFNVADGRCRNCE EGRTAFCTGVNPGFAGGAYGYVAMGPYQGGQAQYLRVPYADFNCLPLPAGKEHESDFI LLADIFPTGWHGVVLSGFKPGESIAVFGAGPVGLMAAYSAVLRGASKVFVVDAVPERL AAAKKIGCEPVDFSKVDAVEEIIKLNGGMVDRSVDAVGYQASAQDGKKEAPSIVLENC IKVTRPTGGIGVPGLYVPSDPGAPDSNSSQGMLLISFGKLFEKGLTIGTGQCNVKSYN RYLRDMIISGRAKPSFVVSHEIGIDEAPGAYTKFDRREDGYTKVLIHPNGGF LY89DRAFT_589396 MSSEVHVVAIITPAPGKETRVRELLSGLADNVKKHETDVSKYQL FEQYDGQGGNVFVVEEIYKDKSTYDAHFKTEYFQKLGEIFPKEGVLAAPLDIKTIKPV GGFATR LY89DRAFT_736041 MAIMNRILPILALSSLVSASAVQQPLQKIPEAQALINTKPLITS DAIQDLITSKNLMKRAEELYKIAELSLDEYNHPTRVIGSPGHLGTVDYIYSTIAELGD YYEISNQTFPAVMGNIFEYRLVLGNHVPNSASAMSLTPPTKNKEPVFGPLVLVANDGC DESDYPSNITGSIAFIRRGTCAFGDKSANAGKAGAIAAVVYNNMKGSLSGTLGEPSKY HIATFGISDQDAQPYIRELQAGKIINSSAYIDSIVTKTGTTNIIAQTKGGDQENCVML GGHSDSVAEGPGINDDGTGTMTLLEVATQLVNFKVNNCVRFAWWAGEEEGLLGSDYYV SQLSEKENMKIRLFMDYDMLASPNFAYQVYDARDNVNPVGSEELRDLYTAYYEKHELN YTYIAFDGRSDYDAFIKNGIPGGGIATGAEGIKTKEEVEMFGGTAGQWYDPCYHQLCD DISNLNFEAWVVNTKLVAHSVATYAESFKGFPKRTSIMASAQQERSLYHGPQLVM LY89DRAFT_127244 MLAHISTSQVAAHIGILLLLGSSFKLRYKVGRRPEKDRALPARI DILVSSRSRGPAAAQ LY89DRAFT_671378 MKSYHIFFFLVAARSVVAADSTTCYYPDGVTTEPNHVPCNTTLS TSACCDPLDSCTTSGLCLGRTGFNYRGSCTDKTWTSDNCASGCHSDPFTKDAYDTFTP LWSCDVAGAFQADYCCNSPNRNCCTIATFAYGTTGEAFRPGMDQMLLTLASINASAPV TVTVTATAGLASQTGSSNGSSSSSSNIGTAVGAGVGVPLGILAVGILGFLFYRERRHS QQAGSRSNIMEMDPSKTYYQQPPPPPPPPPPQPPYVPFVEAQGQSPISQSVTLAPQSR RMSTQKSPAFESRPSDVHELI LY89DRAFT_736043 MKTFSFSIMLAILAAVTTSSAAHSTTSSTEPTIVSTSTLVETLT PYVYQTSTLPTTSSVSTNTASNTPTSTATGTSGGYRVQSDGFELVWMAVALTGILAA LY89DRAFT_698506 MEDFLRPGRRISRKPVASNNESYPLSSIPSTFANVSLASSVEDQ DATKAQPDWNVEAACCFLGVISLAAIAATLTPFQGRPLPQLPYDVSLNSLISLYVLLL KAAMFVILCSGIGQLKWNWFENTRPLQDLERFDEASRGPIGAFKLIWGLRGRNILVTL GSVITVLSIAIDPFAQQIVRYSACQQISSQSEASIPRTNLYSNSGIHIGANLDSVDLG MQSAVNVGVFSPDTVTTPFTCDTGNCTFPQYRSFAYCGTCNDISSEVVITTSNETLIF SDNSTYTLQDGLNFTLPSGLSATRYEAHQFAMGPSTGTLGDTIQAMLGDSNGPYQNGN VTETSFACDPPTLEWGCRGYGAAECSLEMCIKTYSAYIENGKLYETELYSDPADFSAS DPKNNMSSTEYLSTIDMSCVDEADKQTLTQEGYTWNDTTKWLGYYTPYPAGMSAAQLT AAGANLSAAPVSTINSACVYQTESASIESLSSYFETLFAGYAGEVSEDVAQSSDLMAA MFNSGNVSDVTLADTFKNISISMTNYIRQNGDPVNSKYSVGQVLLSETCVHVRWQWLT FPAALFVLTLVFFVGMVIKTTSRYALSSGNHDFKSYALPLVFTELETHLSQRPQELRF GMNEMAHEARGMRVRLSKTENGWKFVKDN LY89DRAFT_751637 MIRNEPQSNLTSFQEEEIEEQFTTWWRGPDDPDAVAYASRKGIS FYEVDNSDGDNDTILELGGATPIDIGPLNSRQFSPDKFRAANLASDAEERARHGADHQ LTTGQDIVQRRNWETAPPGEKLRTRRAWFKMYSDYLAAVKKQIGKLKARLTLNPG LY89DRAFT_127301 MDKSDIIQAAPVSEKTAEVSPTRPASGSEQSQDAEKGVPHAPEL KRNLKARHLQMIAIGGTIGTGLFIGSGGAIAKSGPAGALIVYIFVGTLVYSVMTSLGE MATYIPISGAFTAYAARFVDPSLGFAMGWIYWFSWAMTYSLELTATGLIIQYWNQSLS IGIFIGVFWVIITVINFLPVRFYGEFEFWLSTIKALTVLGFLIFAICIDAGAGQHGYL GFHTWGSPGAFAPYLVGDGALAKFVGFWAVLIQAGFSYQGTELVGIAAGETENPRKNV PAAIRKTFYRILFFFVFSVFFIGLLVPYTNPDLLQDGSNANSSPFVIAAKLAGVKVLP GLINAVLLFVVLSAANSNVYSGSRILFGLANDGSAPRFLKRTSESGVPYFAVMFTSAF GLLAFLNLSANGGTVFNWFLNITGVAGFITWSCIKGCHIAFMRALAARNVSRDTLPYK AFWQPFFAWYGLFFNVLIILTQGFTAFIPWNTSNFFVAYVSLILFAVLYLGHKLVMKT KFVPSLKADISTGCLESDDTTWVIDPPTTLWGKFWAWMS LY89DRAFT_708542 MFSTSLAYWLLLAISSASITDGRHHHSSCHGKVKVRKEWGSLTK TEKLSYISAVKCMQTLPPETNTTVVPGARSRHDDFIALHINMTRSVHLDGIFLSWHRN YVHLWETALEEECGYPYGQPYWNWALWCDDLAHSPLFDGSETSLSGDGAHNPDQGNYT VGNGGSLPHANGGGCVTSGPFVNQTISFGPFDFALAFTGLPSNWTTYTPHCLVRDLNN YVATRYGNQTAIDVVMNTTNVEDFQNTMSGADINLGPHGGGHFSIGYSLLDFFASPAD PAFFLHHGMIDRLWMMWQVVDEKRVWELSGTSTIFNGNDTPEVTLETMQTWGVLGKDR KTKELLRVGHEGFCYEYM LY89DRAFT_588684 MADQGRHHDTLTEIRDIVSTSWLYPFKGVYYFLTHREFWPLFQR RLIPLTILSVVVLGFLFTFAYLPQVAFLAIFHGPAAFFNAAILVLGEGQIIIALLFEA FLIDETCVNVFDATLISRGYKDLVSPVRILFHDAPNPVKMLGKPTASAIYSPFSFRQI FECIVFLPINFIPIVGVFFYLLLTGARGGPLHHYRYFKLRGCTRKEKKEEIRKRGWSY TWFGTVALCLQLVPVLSMFFLLSTPIGSALWAVNLEEQKKRVRDADVSVQREAHNQDI GQTVVDEEAPPPYTDDSL LY89DRAFT_649599 MRSMVFAAISGALGLAALAVAAPAASYGGPDSCDLSASTVFVTV SEATTVTVHDSPTSYITVGLSEIPTITSTRLETLYSSTTTYLTPQTETVYLSLASSST PLPSESTVFETTLVTVTDVTLTETQKAATSSGGSVTSSDTSTSNGLLSFIVENGTTYW LNGQTPPPTQSYVVETSVVIVMPVNTPAKLPDTESSTVTVHSTVIYTVPFTITETLSA SNATSNMTNTLSASSFGTASSWAGWNATSSAAGVASTGAYDQLTSISGAKFNPYSSVS FITEESTYDIYHATSTIYTLPTYAAKSGFNALTSGPLDSSSQPASTSDTETSSYGLAS VSSGSSTVTSEISSTSSAIGQWTTAVINGQTVSWGGPANAATSAYPSSSSSIVLPYAN STAYTMLGSAISSILGIDTTCTEEQSKTLSPSASNVTSSVTLFLTASQTSSALAIQTS SQQPSQTPSPSVGNATSSLLSSTSSTLGLDTTFSYKPTQTGSPIVSNSTSSFAVSTES AIGTDTTSSSLLTSTSSVSSVDTTCTDEPQRTSSASESSLSSPASSSGSALGAVTSSS PVLSSSIPASASQASTSTDVGNITTSPSIQPIATLPTSYTPTSSSSVITTLQSTLLSF STVVVSSPLSSSSSVPSFSITSPAYTPSTSVSQTATVYSSAVPSLCGEQGDFTLNFDD IPPLAVSNQSANSVDPEPVFSPYHQFDFSDGFVVVPPPTDPYKPSSPPLMTEFIPNFN LTTSTTQSGPNSAEYGWSGDIGNADHGALGCFSFNVYGASFGCDSTGPDCVFAFTGFK YDNQTGLPDTVISTTCAIPACPALANCDLVPITLESGFQNLDVLRINVTVAGQPKIWW MDDLRMGWADNSCSQGLCRQNSHIRAVKHL LY89DRAFT_686578 MSTHYQALILGSGQAGTPLASAFAKAGHKTALIERAHIAGCCVN EGCTPTKTLIASGHVAYLAKRGPDYGVLVNRDEVKVDMKKIRQRKRDIVDSFRGGSER RLKEAGVDVLMGEGAFVDERTVKVRFEGGEEKVVTADRIFVNTGERPAPAKLEGVEKL DPKIVLDSTSIQELDEVPEHLVVIGGGYVGVEFAQLMRRLGARVSVLQRGKQLLPRED PEIAKCLFDILKEDGLDILLESQAVGIEPHGKGFKLKYQEGKGGPEKELIGSHILFAA GRIPNTEALDLSAAGIKTNSKGYIATNPFLETSTPNIYALGDVKGPPAFTHISYDDFR ILSHNLLPSSSSSPTPKSSTTNRLVPYVVYTDPQLAHIGLHEHEIPDRKTIQVAKMPM SYVARALETDESRGMMKAVVNRETGLILGFTCLGMEGGELMAVVQMAMMGGVRWEVLQ RAVWAHPSLAESLNNLWGFLE LY89DRAFT_589139 LLATQPAIVSTLSNATNITILAPNNDALSAFLNSTAGMVAASDP GAVAALLTYHVLQGNYASSAFMNTSMFIPTALSNTTYANVTGGQRIEARLNGSSVDIF SGLLQESMVVTPNISFTGGTIHIISSVLALPTSPSNTATSLNLTSLAGALTQADLVST VDSLTDVTIFAPSNEAFSSIGSLLGNLTTEELTGILTYHVVNGTVGYSTMLTNTTLKT VGGGNVTIRVQDDGVFVNEAKVTVPDVLVANGVVHVIDGVLNPNNTSIAPPTSGASTT SAAFTGASSVSSVPFTSLVTPSTTVTSIPTRAPTSSSSSAGAAMMTGAVGMGALFGAA VGVMGVGGL LY89DRAFT_736055 MPEAEYDEEIEKRFAEAESRLSAHDEFYQHEAFKRRQPSQLDLG PAPRPLPLAATTSEDIRYVREKWDERHKYLPRYKKKDVMEDFKEIERQIDRLEEEHDI SALKMSQIAYDEEIEHKFTNAKRRLQVINKFFPLSREENLRGVPELSSFWRDPYSASE YFHCLREEWDERHRFFSRLRRNAMMEKLKDLEDMILVLERAASRETEREWNRAMERNR RREERAGYRSPPPYSER LY89DRAFT_127360 MSGLELITALGVAASVAQLIEYSLKVIKTISEINSRVKNASSCI AQCNKQIRQLIQVGQAIQGNENFHSDLIACQLQNTLAEVKHLHELLGQVWLDYTTGSS GKRIWKAVVGDKERHMLKSSERLEKEKTSLIICIGVFQSQTLQTIEAGVEILVDRDMP KVQDVLDKLKMSSKKSKAKKHRENVSAIMMPQNNQREQDQTCRSLVVRESVNPDPETG PNEHSGLYRPQLLQADGHSYSGANSNRAVQMNGETGTGLDTNNAYAKSLCENKGFQVN GNYGSSGFHTHKDPLAAKGAFQINGNLGPGVTREELNKWNASEGEGTQ LY89DRAFT_784033 MSDARTRHENARAEGESTSQANCENVGVLNHHPLTHITNLYGNY VANNPPAASTSRLISSSRERSQSRDNGSTIPSNNERAIPLEEFNTSHSPIRAADKSLE DRWRVRDLPWSWRRHPKFCIATGLFVLVIVGAVLIVLHFTVWDKHSSLSTVSETASHT LPTSTSSFISTQPKSQANTSLNSSATVSKSSMSLQELDNTATDSASTLSSSLTKTNSL ITTEGSSTTKSAALSSTCTPNCLSPSTTSSTIPSTTTHIPITFVPSTTSTKTSNSQVR CTVDSDCPSPSACEYSASTCFICTYATSGAPCNTASGCCDPFSCIGGTCISISSS LY89DRAFT_686580 MSRPKVCSPGALPPVWSILRLVHIAFGPRCLSSHISRIPRWSTR YRNDEITGPVRANAKGEEGEAFTEAM LY89DRAFT_784035 MPSKTTTWPSGWPSFTPKDNDPTDTFQKDLKATIIAEYGADNLR TAWIKTCNALDGVTSRLASQGNNAVPIFEADDILSDSKALEAMKEAGCCIIRGVIPKN EAIQYFEDLKSFIADNNDTVTGWPAKSVAIYHLYSSPIQLKIKTNPRHLQVQRLLNCL WTDSSCSDDELKAQSEPVLYPDGLRIRHPGQEFLGLGPHIDGGSLSRWADEEYRKTYD AILRGGPERYDPYDMAYRKNANPAMFPGGAQCSVMRAFQGWTALTPCKPGEGGLMLVP DIKIVTAYMILRPFFKAPEGDWRKPESWELDHESSWFPGTFRWDSQLLSPASHPHLYL EDTLMSIPDMEPGDTIWWHTDMCHAVETTHNGTGPASVCYIPAAPSTPANLAYMRQHW KDLVAGVPSEDYKYLDGTGSELVLSQQNERAMKGAMSLDDIRVEGRRALGEVCYAVR LY89DRAFT_686584 MAQKFEEDGAEFAESPRPLLPDRQDSIHPGLRPSLTNIPVTPGM HLGEELPRKDTDPSYFTHDLSRLGDGMAQSPVEAAHGAASNHEILRRMSLSGPGLQRK ESLADIDPRAANPSLGLSGGVISATFCIPHSLRYRKGQDWGLSTRRGTSALFDSFTYL SSDKTPWNHTLVGWTGEIDPVEELTPPVTPPATTTAAKVVPLNKSSAPIPLDPFSKVN EPPTSEGLWINKEDQGRLEWQLGHDRNCRTIPVWLNDETEGVTDGSICLKDQSRWRRF AEHELYTLFHYKQHEPTNGRAERQSWADYYKMNQKFADRILSIYKPGDIVMIHDYHLL LLASMLRQRIPHMYIAFFLHIPFPSSEFLRCLPRRKDVLEGVLGANLIGFQSHSYSRH FVSCCSRILGFPSDITGVDAYGAKVTVGVFPIGIDAASVEKLAFNSATVDSQVKDLKQ LYHGQKIIVGRDRLDSVRGVAQKLMAFERFLEMYPEWIGKVVLIQVTSPTSVEEEKED AGNKIANKVSELVAKINGMYGSLSFSPVQHYPQYLSQDEYLALLRAADIGLITSVRDG MNTTSLEYVVCQRDTHGPLILSEFSGTAGSLKDAIHINPWDLSGVADQINYALTMSED KKTVMHGSLYHHVTTRNVQAWSNGFVKRLLTVLSSNNAIISTPLLDKAALLSQYRGAK KRLFMFDYDGTLTPIVKNPGDAIPSERVIRTLKALASDHRNAVWIISGRDQDFLQQYL GFISELGFSAEHGSFMRHPGHTEWENLAETFDMGWQVEVMECFQKYTELTPGSFIERK RCALTWHYRPSDPELAAHNARECQKELEQTVGKAWDVEVMQGKANLEVRPTFINKGEI AKRLVDSYGKEVGEPPEFTLCLGDDFTDEDMFRALNGSALPKDHVFTVTVGASSKMTL AHWHLLEPADVISSIALLNGGDSNVADLGPLSVIDGKIPDSMPGIKIPDEMPGGKL LY89DRAFT_751649 MLPPPSLPPSLPATINRITKTLSKLRTSLSQHQKTTRSHDRSGL PDHHVLDLSQKLTKLEIEWSVEFRSTDPRRIERFGKKVDALQEEIEKLSKGKTGKERG SGWDEKRSRMAQYESERDAEMRGRHGARGSGGWKTWLSGYCLYS LY89DRAFT_736061 MSYLPIHLPALGKNVHRFKATPKILFNYHGQTIIEDTLADLLLE LEDFKLRWEETTASNVPRVWYDYYDTVNEAQSVWGHERNGAGSESRKMRKFWRWSSAV RELRDSIIHWMKEQDLPPGYSQGKGRSSLVFVPRQEEVLPPYSPPGEKRLSKSPCVDR NQAVVEELGITYTIW LY89DRAFT_127521 MWWNIFAQRAVTSVTSAPGATAVAGKSTAVVVSTSAAPSATSVE ASTTASSVAPVATATESSIQSSSASEATSSSSSASQVLTSTTAPTSTGSPSIENIYPV CARACISPAISAIGCTADSATCQCSPSDATAFDNAVTPCVEAACNASDQFLAIEANDE LCATWSLSSYTAALSTYSISFSTAGLGGFLTTAKTTSKAGAQQTGIGTGSTSNSGQLS TSTSGLSAGAKAGIGVGAALGGLIIIGLIVFAFVYGRRSAKPKPEPEAPSDVIPQVET DGKVELGDESKGGINTGVVELKGDIQPIYIRPLNEEEKAELESRRRAKELESKVRTEI VVVSPIATERYEIEGVERHELEALRREAGVRHELG LY89DRAFT_751654 MALQVVQALFLKWCETPQQSRLQALITRDNILTSESFEQSSKEL ISFATISIFSGQPVVANRLLEKVFQVPGFTTSPEEQLIFELFWHSFTGRPANTPWNAW DKLPMNGPNRPRSATFPLHVYFILANYLGHREKAREILRSESQQHQFDFPAFLNVPEV YKFMATSIEDPLAKILDESVIRETETILHAALDARMKSGWKRPLHDISMTELLRRFSE AAFFVHQDEYMENDIQSSKDILCSPLTLNEIEDIEIKLGSLPTDIKEIALVADGFHGG WDFAGGWPGIQRLHKDCAAEYETFLGYDPPPVQETQTIYRLDGSTYEVTVNVIRSSTR EECLKRGWGDVLVGERGRECEEFVHVLCPPSVWEKYQESKGIRVKQGEYAYVSYDHLT EGGEEVYGSVRAWIAAETLDLERYVAVGIRAERLE LY89DRAFT_671401 MADRHSQQIKTTPSMISFHEQQLTKELEHLHSRLEDHKVQTTIE QHPSFRQQKLDKDLVRQLLSRVEYVMMQVKSRHSWVGRTKRLFGAEEFDRKVGALETD TNKLFLKLGIE LY89DRAFT_736065 MSFPVGEIGIVITIAKLLYKLQQDYASAPDEATNLSNELEHLQH CIAPLQKSPPQSAIDRKRLETVLKPVLNLLEQINEVCDRYSDLKSGRRIWDRATFPKT KVHALQKQIHSQFTGLTVFNMGLQNKTLAKISDILEEIGGVLSQRFANNENGWELVVA ELERRGLRLSKEAAATCKEPIKQHLEALSEVEQAESQLMVYENTTIGPDDAVDEDIAV GPPTPAHLSSLVCPGAAALQSGKQDVIKQSKNHLLQHFGASKYEIICRHCSFHGFQDE PLKSKDKPYNTPLFFAPRQEALKPSSVEYYFEVHRRNRSEHDGIWYHTIFFWKCHIKA REPLLHISARYQCVFCPLANAYSNTYSRDSLLEHIRKHHVQTPPPRELREKFNVWIDD KNALFLKDEGRMQEQPFDIMIPKAYGRTDYLKAERAKHQADIVEAANAQSRARTKQTS DANIREQVKELHWVAVRK LY89DRAFT_784042 MPSNWPTVSEKDQSTTSEIYEASEVNYEDRRETLPPQYSEYSMP MNSDLQKPVVIPQQTNIFMIKTFSPFARAYSPAFSTLPNPIPKDEFISFIDGLNKAFI SSPIFQAAHVVGGGLLGSQILPAQAVGGVFQVVSVLGSAGVSVIRVRRYMKKANANIF APRGLIVKIMSTKKMMTEVGCPTVDPKGKLALPPLEELSDLTPQTGALIRHPTGGTIH SRTGSSVEDPRMRRIRALGNYISPLEFETTLAQPKGMMDKYGGAPLRWMNKRADTKLV KAADKSASARLEKAPEAEVILNSAERKLESISSRMAEIHDTSLRERNRYPEDREKIEA VAERELASLEEKRNGVVEERDRKIKAIYEKGDKKLEKLAKKEEKIANRILWVVVQRLD GHVGDELLEVESRGSSPTS LY89DRAFT_127563 MGFYTKRVPRCIQQRVDMSTPQTGVRIPCLCIRLSLHCPKISMY LVAAMVARIASPFPVRLFVSDIQINNYHDAAIYGRATGFVLAFALLGFRQHNIYYRKK SNHSQPPSS LY89DRAFT_127565 MPDQGFPQTGKRFEPKNMLFRYLGDTGLKVSVLGLGGWLTYGSD NGVKEVEQTAECLQEAWDHGINFFDTAEVYAEGQSEIIMGKALKKCGFERDDYVITTK LHFGDGNGYPNNHGLSRKHIIEGMKRSLKRLELDSVDVVYAHRADFWCTPMEETVRAF NTLIEHGHAHYWGTSEWSAFEIEHAQHVATRLGLIGPVVEQPQYNMFVRERFEAEYSP LYKLYNYGTTIWSPLYQGILTGKYNDGVPADSRYATISKDQVSKFEQPETKAQIEKVK KLTTIAEKLGGSVGNLALAWVIKNPHVSVAILGATKASQITENVKCLELFPKMTDEVM KEIDEVLDNTPKMPGPIPPTRGKMTLNKALM LY89DRAFT_620264 MPTKHPTTTHPLPPTSLSNLISPLLTSLKQNFTDATISLVPCPN LTQPPFHLAAPGLSGHEAIVDIGGPAYLHPVPDFSKKYDLGRIVASLSSGEEKGKGRL AIGAGAGPFHIVGQNSELIPNFYISASGNVTKNLTHYAKIDPSTQTCIAGPVPGASTA GALMANLFLSDGDPGPVLRITARNRIGDKSFTEAMRMGIEEREISMGGVFVVEGAKVW AHVMPDFCGERLEDAEVGKWLRFFEWGGEGLVFLSCFHGVDFGVGVRVEHTH LY89DRAFT_127668 MATFRPVNTTLVPESHGRNGEETNPLTPRPNTAPTAQVQQMMRD DATTPTRANFGTLASQRPLPPASPFPAAIPATSNAETPNKPHKPLNRGDSQHSAKSRE SDDVEMGDSDGEDGSDDDGSENADGSRTNKKKGKSQRFYCSDYPPCNLSFTRSEHLAR HIRKHTGERPFQCHCSRRFSRLDNLRQHAQTVHQNEEIPQDSLAATGTRFQRQVRTDR VRPPGSRSRASTAGSQSGPIRGHQRNSLSTSSIGSVSSVYSQRDDMRRRPTPLVMAGE RQRFSQEIYGRPDSPSGQYQQFRSHSPGFSTPTSATFSTGQNSPRWGSGMQSPISSHS RTNSTNVYGHRTPGRRLSVPSAGNPFQSPHGNTYGPPVLGQMNASNMGSFSPSGSMIS SPTTSTSGWGISSRRESLTSSDEAWRRRTWHPDSQSFTSRLQQVTTPNYYSNGPPPQP TSVLPSNVPPLQSMRLPGIESFDPLPRPTTPVRRQPSPMVIDTPSRAPPPEQYQERPA SQQWEQGMNRNMNRLDLSQGTPPTDGASHWANDTNRAMEARAEQTGAQPGVRFEHSVY SNRPQTSGGAYHQHHISAPPAPITPREAKRMGWYHGPVAPNTQPSQPVQRTSPADSSS SEGGIPGTPSSSTAVEYNPGIVHASGWVENRNGNIPTHHDPRAMPTNGYSSYPPPNGA EASYTYAPGHSHAQVQQHQQQIPKSSGDMNRLEALVAVATSSDNVAAAY LY89DRAFT_686591 MPSKPTLAIIGAISVIALFSLFKFPFSSLNHTEPLFPPSSKDGI VHIVMFEFKEEATAEQVTDVCDRMLALKQKCLHPTTKKPYVKMGMGGKQNSPEGLTGG MTHIFVEEFENEQDREYYLNKDPVHLAFVKSIGDVVKTAQVVDFTPGVF LY89DRAFT_620271 MLYAQLLFALQALVVSAKTVTYDWSVDWVSVSPDGFTRPAIGIN GAWPCPTITIDIGDRVIVNLKNNLGNETTSIHWHGIFQTGSAQMDGPAMVNQCPIPPG SSFKYDFEINQPGTYWYHSHVGSQYIDGLRGPIIVHDPAAPYAGKYDDEIVMTISDWY HTQAPYLIEYFESPLNENLHGGSEPVPNATLINHAQNVQFKVKPNKTYLVRVINVGVF SAQWVNFDDHDMDVVEVDGVYTQSHRVSQLFLTAAQRYTVLLKTKSTTKTNYAITVSM DLSMFDPGVTPSTLMNNVTGYLVYDDQKPLPESDDFDYDDALDDTKFIPYDSQPALGP VTHPINLVMAFNPNDANQNRATFNNVTYVAPKVPTLYTAMSAPADLVNNPLIYGVNSN PFVLPMNAIVEITLINTDTGPHPFHLHSHQFQVIARGPPGPDENGNPLLPTHVRVPAA PMRRDTILVYAGSYIVLRFKADNPGVTLFHCHIEWHVEAGLVVTFIEAPTELQALKLN IPEDHKHACQARNISMVGNAAGNSVDWLDLTGAPSQPALDNWGALTYTPPDSDILGQA ANKMLKSRVARLLGKKSIFSLKFW LY89DRAFT_649623 MASPIGNLIALALLGFTAAVPTLEKRACPSMKAAYTPVMGSGYT ATVIANGMKAPREIIFDPLGNLLVLDQGGLGVRWVQITDNGGLDVCGATAKTIVSDST LNHGMELTADGKTLFTSSIASVFAYDYNAATGAATNKRAVITGMTINGPYHLTRTLFI PKQNPDLLLVQRGSNGNIDSATVDATSGRSQVRIFKIADLLAGKAVDYSTNGDVLGFG LRNSVGWGQHPTTGDIWSVENSADDIKKNSVDIHTNNPAEELNYHGSINSTSNSLHGA NYGYPNCFSVWDPTTLSGTIGMQTSIDLSVKKLSDADCAKIQAPKLVFPAHTAPIDIK FKKDGSAAYISFHGSWDRNPPDGYRVSKVLFKNGFPVEPSTSTSAAVNIMYNANNGAC PNSCFRPAGLAWDSKDRLFMSSDSTGEIFIIGGT LY89DRAFT_720428 MAQPLDNGVGRGDRDLAYYDRMVRQANIAFDGVMAEHEEFRRAV PANTTFGEMENMYERQAYAIAAARENVDVARDARQDFLAQRRRANDSEQAPPPNPEGD EDCQEQLRRSQERQRQLEGQIVALNQELDDEREQARLRRNQALAHERDDLEDNPEAQD NQDCEELLRTAQAERDQALSALADREAAQDNENQDPPPQNEDCEDRLARSLNERDRAR SETDVARAERDVARAERNFAETLASAAREMRRAAPRVDYPTLLRQAQAETETLISRLG DRVRERDDARDEREVAQANVRDLELHLGRMVRETRDVVARDNPAQEDPVDQEDPSRQG DCEEQLEILRQELLRGEERLIVARTDLEARTEERDQAINERDAALDRAAEMAEEMNNL RLDFQTRLDVATGALDAALGERNQARIDLERLTQELADAAGVVAALEECIEERAVAQA EIDRLTLENEAFLRVGAEFGVQINEAQAEIDTLTQSLADAARVATDLNECVEERAIAQ AEIDRLTRENEALVEGLVSEVQDNDTQAEIERLTQGLADANARVQELADEVVQIQQER EDCDDTLEDAKRKIKDLKKTGREATKDFEKCADRLERERQKVKDLKEADKKAKDDLED CDEALELEKQKVKDLKKKKVVKAPKKGGRSTAPSPSESSNEALDRGGRRGGEKAAEVI PSISSDSESEPESTSGSDESYRPPGARKRKLVKQSGRGKAKRTRKA LY89DRAFT_720429 MSFGFSIGDFLALTQLASNVVSGARRACGAHNELTREVNSLHIV LRQLSREVSKPKSILNSENAERKAELATLVEHCHKVLKVLDDILKKYNGLPDEKRRVT KLWKRVQFGNGEMQDLSKIRLELSTHTNIITMFLNLSAAGSLGKVEEHMNSHGEELKA KEFRRELVKEGYSSTVLSKYKTLIRGYVKELGDRGALDELSLEDIEEDTSDNAPDIFH ENLVSEERKASPQEESTADSTDSTLAVHNEVLVDDAVQHSWVEIRKSYTPSTDEEVTE PYDRAPSQQTHDPYVEGERSDDDKPFSSWSSSWVTKTGNKEGESDATTNKSIDLGGIG ALRTATTAPGSPVFITDNSKGPGTGVHIWDSALPFPPTSLAPSHELNLISLVLDTSII PLCQQFARSQDTDSRRQHRRRLSNLRALIRKLVLRRLDAVDSLVSVTGEYVYQSRNSE AVLKKRNLLQRTEAVLENLHNLYHKGVYMDFSLYLSHNYLASSRPEDRDYGTTYPSRE RENTPSRDPPKDSTHWSPVLAPNTSQNNLRQHEVSF LY89DRAFT_784052 MAPPDCSECIKGTVHEGQPIGKEEMVHGLNTYVVGNRTNPRGII VVYSDIFGLLLPNNKLIVDQYAKSGEYLVYLPDFFKGDAVPLKIADLLIPVNAKKQST LGKYTGILASAPSFVMWMTRHKEGPTNEVCMDFLQKLRRATPSSQKIGMVGFCWGGKY AIRAGLESNKIEVNGSKVPLVDAVVALHPSHLAIPADVETLVVPTSYGWGQEDPQVSI ESKGKIEDIHANAKKAGRKVPEMEHKVYKPGRHGFAVRGNPDDPQERACLEDSVKQVL NWFGRWL LY89DRAFT_720431 MLPLLPVLLGFLFFGFLWWAFSPRGNKKNREPLPPSPPADPIIG HVRVVPAERPELTYVKWGKEHNTDILYLNFFGKSTIVLNSVQAAMELLDRRGAKYSDR PDFPFMKEQGWQRNLAFFSSGPSFRRHRKMFQNAFTTANCVPYREAQEMEARKVVGRI LKTPQDWQSHLIMFGTTVILRIAYGITVGENDDPYVELAKKASFIISNAGQTGATMVD NFPFLRYLPKWLSILPSLKLAREYYPYVRALHDLPFAAVKTAMSHGVAETSFVKKMLE EMAENDENEKKDTEAQINTLTDADVNGAAGTIYVAGQDTSLATLSMFVLNMTEHPEVQ RKGWEEIESVIGKERLPTFADRDKLPYVDCIMQEVFRRYPVVPLGVPHKSAEDGTYKG YFIPKGRSTMVANAYAMLRDENVYKDPGTFNPSRYLPIEKGGAGEPLPIGQFGFGRRI CPGRHLATASVWMVMATMLATLEISRPKDINGNDIIPAPTFSTGIVSHPAPFLSVLKP RFENVAELLS LY89DRAFT_128046 MNSDITLTALMHHSQTRRKACEQCVRGKRRCDLLVPRCSRCAKR RVDCEYAGVKDVLEPLQSAPFCGPARSTRSTNLTIEPLSKTFELQELLFPLNSIDSPV RVAHTPLQFNYLTTYFRISIRSLALQSRTFFIHPSFLRNLIPTPLESAISVCALSTLN SPSTFDVLDSYLKTLITSSPATFYSPSTILFSVQALIIFQTIRLLSSDERRLLHAEAN FPLLNTWTIALQTAYFSLPSDSTSYKDWVLKESVRRTILTSVLLRSMYGTLRDGYTDL VPLLASLPVSSNGKFWELERNESRWEGVRKEEVSTYWEFVGAWISESASNGKSEKGEF ERVLLCLEEDGKVGEAGRELISNVKFGAL LY89DRAFT_784054 MPITELAIPPLKQDQASRELFTDSLWPTLATLVKGKKGLKFNTI GLMVISNDLDVSSVFQPVLGLEWEAVSDFQAVVSGEEFAAFRARLIPIVSAIVFPQLY ETNVGPATVFGSPLTEVFKVKIGDNKEKEDVVKEAWQKFLNGIGEVESLNGVSQNVEE RTFMGAIGWESEQEREATLKKGGIEELKTSFGSLETFVVKFGGL LY89DRAFT_720432 MSLYVETRERNMNRGPTPVWRKTSRLKVKTGCTTCKLRRVKCDE NRPFCKKCSGFGRKCGGYDSSVHRSEPPDNSLQPHSTVPKCQAKPLPAIIPQPIDSLH FGSEREARYFRVFRDQAAHELAGAFQLYLWNRLVLQVSQDEPFVKHAIIALGALNLAH KSRPIKASFCLRTDPRDPDDHYRFALEQYDRAVRYMRTSISDLDRDLWRALLSCLFIY CFECFQGRRDLAISNAKSGQLLVQEQIMLQARRKMKGNHQKTHFIEGTIIQAFGRLDL LILTAADTRSTAEHRGFEPEGCIFLTRMPSKFGSIYEAKNHFELLMRKIGHFMGSALE TIDTRPSGASVHESEKAAQVPPGTSIYSYQGAVLRAEYLSAHAKLSADLHAWFVAFAT VVHKLAGIKEEDTSWQAMARLYIHSHAHKIMLASMVSTSQMYYDDFIPDFKTIVFHAS PIFHRSSKMIQPNFTFDISLKPPVAFVAFCCRDWTVRRQALALLKLPSTQQDVFWNKD MLAAQAQMIMEMEEEGLRFREMIPEKKRARTLNVDVDEVKRSANVGVVQGRGEEQVVC QKVIKW LY89DRAFT_649631 MAPSFRVLVIGAGIVGLSTAISLKRKGHNVTILEKVANPRPIGG VINVPPNPARVLVEYGLYDLIAPKVDSHMKGIHFRRYDTAETICHMDAILVEEDYGAP NWRLARSDLQEALMTAAKAAGIEIRLGCPIDSIDQALPAAMLKNGEVIGADLIVGADG VNSVVREAVLPGEKITRLDHISAYYIDVERSLLSADQEVSPMLDENSIWLAPGRQLIA TNFLTRDRFIMILSLDQLLGSEGDWTKQVPVSDVVERFKGFHPMAANVVKYVESDHCL VWRFPELPRIDTWVSKSGKVVIVGDAAHAMLPFAAQGAAMGIEDSACLAECLARATST DDIPKLLDIFQNLRLPRVDSVRDKARGMHKMVHLEDGPAQQGRDKMLKARPFMAVPIG WDKKHIDDSPGDTHPLYDAYLYGFNSFDHTNRKLDEALKK LY89DRAFT_736082 MSMVQFLWSPWSSKTQETKARLTHLADLGIYKHEKPYELWVNAE PEIPRTNCKFVDHDVPIEDVRSNSTLSNIDKTGVKFLSHKSAYSFEEGRPHQDNMAGY LAESTELLKAELGAEKVFIFDWRYRKNNFGGSKPSFDFLTAKTPRSHAHNPSHVVHSD ESFDSGKAKMRRYLTEKEQDEFDFEHYRVRIVNLWRPLIPVVEDAPLVFADRRTVKGS DFIPFDRVLNDRVVEELYMVHHPHHHWFWLSKQKCSEPVMFVTWDSLCKTSDQPVASV PHTSFISPNDAGNYTSRESIELRSIIITRRS LY89DRAFT_751698 MPSADDGSGGWWASIRSPLQALTYILALGSTLGSSVANAAAIPS SDLVQRDANPAAAPALEQPWKRFVDIKERGPEVDAREAETGPIRVIRGEDFSSGLGG LY89DRAFT_736084 MDWPLDTETIAKLPETTVTFYCIKRSEKYDTQKPYFYSGTLPAD QEENRTNLEYTAVPNVVLRDLRGYERDLDIEQHGFQFLDRRLISETECRREEDVQGFM LGISKFTEERFAAELVLCYDYNFRRSSWDSLAPEDKQVPSVTGSHSLPEKPAKTPHVD HTRTNGLKRAAVHLMKPEREKYLDGTWRIRIMNFWQPLHYPVEDAPLALCDYSSIAEE DLVAVDRVSPTYAGEVYYVRHHSGQRWYWLSNQTPDETTLFLSFDSDPELGIGPPFCP HGSFRHPMATEATPPRQSIEVRVIVITKKED LY89DRAFT_128074 MAAPVTKTAGGNAAFHNFHNDFAHITDPNERRRLALAEIDKAPF GWYHVRACVVAGIGFFTDSYDIFAINLVTAMLGVVFFNDKKSKGTIPPNSDTAIKVAT SGGTVLGQLGFGYLADVVGRKKMYGLELIIIIFATLAQALSSSSRAISITGLIIFWRV LMGIGIGGDYPLSSIITSEFATTKWRGAMMNAVFAMQGIGQFTAAIIALIVTAGFKES LVTGTKASTCTGVCQLAVDKMWRVIVGFGAVPGCIALYYRLTIPETPRYTFDVARDVE KAAEDANAYIKGQHEGSPDEIRRVTTMVQASPALEIPKASFRDFVHHYGQWKNGRILL GTAGSWFFLDVAYYGLGLNNSIILAAIGYSGGSNMYEIFRRTAVGNLILVCAGAIPGY WVSVATIDTLGRKPIQIMGFSMLTILFIVIGFAYNHLSGHALLALYVLAQFFFNFGPN STTFIVPGECFPTRYRSTSHGFSAASGKVGAIIAQCVFGPLRTRGAKPGATGRAATPW LNHIMEIFALFMLCGLFTSFLIPETKRRTLEELAGEVPGTANYDPVNGGGGNKTSYRQ GSEDNSTEIAPGTAVEKAV LY89DRAFT_708555 MSNLHPFDIAKCARPNILALKPYTTMRDDYSSNADVTLLDANEN AFGPSIASELSTSTCGSGVTIQSALDPKELQLHRYPDAQQTPLKQLFCDLRNTSQSPD AAAKPLKPENVCLGVGSDESIDGIIRAFCTPGKDKILIVCVNLDTENGFSLRSSVTNE TLSNDPLIKVVFLCSPQNPTGNLLLRPDIVQVILAAEVNAWPNLIVTSTLSKAFGFAA IRLGVTFSQPQISQLLNNLKGPYNMSAPTIALATLALQPEGIALMKENRVRMFEQRAR LLQELPKIPGFGKFLGGFNTNFILVQFLSKPADQGGIPDNVIAQALLHALVTKSRILV RYRGKEAGCVGSLRITKGTGEEVDTILLQIRTALEEAYAREFPMP LY89DRAFT_128097 MADTGKKEGTVPLQIQHLDAPCHTYYKIIGDLSSKSPRLVILHG GPGTGHEYLLPFGCLWEQFGIPVVFYDQIGCAASTHLPETTGDESFWQESLFIAELNN LLDALQLRDGPGYHILGHSWGGRIAAAFAATQPQGLKRLVLASGIASTRSWVEGMDTI RKQLPLDAQSTIDEEEKNGNFDSPRFRDAMNVFFCNYFCRAEPFPPRELLPAFKNMSE DKTVRNSIAGPSPLNCTGSFRDWTCIPRLHQINVPTLVYNGEFDTSHDITTTPFFENI PRVRWITFPDAGHMCHLERPEIREKVFRLVGGFLTQDN LY89DRAFT_698526 MAPSDGIGEAFANELCSRGFNVILHGRNPKKLSTVQSKLTAAFP KAEIRTFVADAAASSTTNSAAIQDLVDSIKDLKLTVLINNVGGAGNLKAAFTTFADHT TKEIDDMISINMLFTLHLTQALLPLMIKQDSALIMNTGSSSYIGLPYITVYSPTKAYL NAWGNALYTELQAEGITNVEVLTVVSGNTQTGQDTRPANFLRPTAKAYVKGALAKVGC GRTIVVGYFSHALQSAVTELLPRWAQRKVLGMALKPYKGKNLDE LY89DRAFT_686596 MPYTILIFLTREAGMSHQEFKEYYETIQMPLLQRLGGEHFPRSH KRYYLQRQIAETGQSMDPAVDVDAVAEIIFGDETAFQAFMAVLKVEEAAKELRIVEDK FVDREKLKMVVVGDIQETKRQE LY89DRAFT_736090 MPNFTVMSVSSSARDRRGKPRLGARGIRKVKTGCKTCKIRRKKC DEIRPACSQCSDTGRRCDFSGGVLNHLPQTSDIPLARKPEMSFSLRPSLPCHMRDLTY AEASHLDYFRLVCAKSFALSLDATLWENLLLQNVHLEPSIYHAALTAAALSRHQYCPM QAWYDRGKTNSPIEFAIVHYNLAIRIVNERLGHSAGSSELAVLASIIFAHVEAFQNFR PGKRDSNLISAHLNGGLAIVHSLKSTSQNIDHLEAALTHMQTQMEQFEQYSARYQHSS GDL LY89DRAFT_686597 MSNLPHKSNWIDGSHQQPTHQQIHIINPATEEKIATIDSTPLEA VNSIIASSLQNFSSGVWSKANASTRFGVLSKAASLLRSRLPEFIDLETQQTGRPIREM RAQLARIPEWLEYFASLARTHEGRVTPFKGPVVNTLTRLALGVVVQITPWNHPLLIAT KKIAAALAAGNSVIVKPSESAPLSVLEMGKLFKEAGLPDGTLQIVSGYGRETGKFLCE SPFVSKIDLTGGLATYEAIAPTASKNMVPITAELGGKAPVCFFPSMPIEKGVKAALFA SFIASGQTCVTGSRLLIHSDIYDEFVKLLVERTKALRIGNPVDDKTQIGAVISRTSVE RCSAFVSRAISEGGNVLCGGEPTSVNGKGFFFAPTLIETKCGSDLACNEVFGPVIALI KCQSEEEIIKVANATSFALGVSVWTNDFSQAHRVAERIDAGIVWINGHHLNDPSSPWG GFKESGLGKENGIEAFESYTKVKSTVINYGQEPTWFDDEVENARYG LY89DRAFT_698528 MTVLVLCVAFVWFLKQVQVAFFSDLSPIPNAHFTVPLSRLWILH KRWKNRQNRARLAAHQKLGPVVRIGPRELSVNCIDDGVRTIYGSAFDKDAWYAKAFRG HEKPYMFTMISSKAHAERKRMFANVYSKSYIQKSSRWSEMAYTIISGRLRDEMISWAD SDIVVDVLERSKACLMDLTSAWIFGLKHGTNFIQNVKEAEEFFTPFRRTFGGFFWRTL EQTLSMELLDHMVAGHDATGITVTYLLYEISQRSSLQRRLKIEIQAHWKIGQKNCAEQ LEKLPLLDAVLMETLRLYSANLGPWPRVAPSSIRLGKFSNIPKGTIISASSYALHKND KVFPCPEKWLPERWLDASETQRKEMMRWFWAFGSGSRMCIGSHLAIYSKSPVSCASSG VLSANAVQT LY89DRAFT_686599 MHSLYNFNPAYLHGLCVKAESGPKDTFDRITTAALVILGAAKMF IHIWRLFNRSKRPGESPHGESPVRSSDLS LY89DRAFT_736093 MTKEVPQPLQAFFNSLNIEDVLGIWTFTVYSIYPAPTPASSATE ELSDQLVCERLRAYIEASLRFNTEELYGENIPLQIEFIRLPSASTQDARKHCRAKYGW PEEHDKRILRELREHYGMRFDWIVMIDEESSSMIRVLSQDFRLARAIEMRQQEKTISR LY89DRAFT_589105 METLKHKPVWKRIVRAELIQANLVKGTATCGLCGKVWGQGARNL YECQYRKECDFDSCDTCYYPGQLCPSGHDLTVRDNELVPGVNQLDLDAPGSSYNPFLN EDDDNGGNGEPSKPDENNTPGKPSKEVSEDDKGLRSRLASSIVKENPNVKWEDVAGLE TAKEELQEAVILPAKFPKLFSGKRKPHRGILLYGPPGTGKSYLAKAVATEANSTLFSI GSSDVMSKWLGESERLIKTLFDLARENKPSVVFIDEIDALCGSRDKAGHNPHMLGLKT EIMIQMDGVGHNNDGVLLLAATNLPWTLDPAIRRRLQRKIYIALPDEPARTEMFKIHV GKTPCGLTSEHYAMLGRRTEGLSGSDIGNAVQDALMQPVKKVSSSKHWKKVMVNGVEK LTPCKPNEAGAMPMNWRQVPASKLQESIVVAEDFFEVLQNVKPTVSQHEIKKYLEWTE LFGMEGR LY89DRAFT_649652 MSATQTLTTKAEDPGIVSHYKDAASMKYGDWRDDFYKNGYAVIK NAITPEKAKSYQDRALDWVQSFDLGLDLKDSTTWTEAHLPKSFKNMYHYYCAGHEKFL WDARTEPGILKIYEDLWGTKELVVSFDGFNIGLPKRTDIQKMPWPHCDQAPTRKGLAC AQGFLNLAPSGPKDGGLMLMEGSAPLFEEFFSEPREMFRPPGGRGPPKDFVDLFLFKQ EHLDWFRERGCELMQVDCGPGDFVIWDSRTMHYASFPEGEEIRTVFYICYTPRAFGTQ EDMDLKARLFETYRGTTHWPHCNIRASERSMVDGKVDPMERDEPLEKPERTDLVLKLA AVKAW LY89DRAFT_620308 MSLVSIRDLVAIPTGDNSSDTIIDGIHWNLTALQNWNYTYYSNR TFSNGSSCLLVFEPYTPILLPNGTFLNSTSCYSPVNPLKARSKIGLFFACFFAISIMF TSINLRKHGRLFLPTEKRFLAIGRRWQWYWMFIVAAFAIISSITGVDVDRYYLPELPI VLSSFFWFLMLPTTMCIVWESVRHWGSWQERQMIDPNPFQLSQDDRRTRIELLVPLVF YFFAWVNFFMVVPRSWSAIERQRDPEQTRLKAEPVATDARFKAAALLLFCSWCTIIFS LWHSIKHYKERNRGYLNRAIGFVQYVPKRFLLTLALSLIMIGYEAACSFEFSISPLKL DTKLGYMYGLGWAPIALIFIVYEVAGYLEPNEDKELIRQRRIRGAEIDHEIGIVKKPH WWSRLNRDNRSMSIQDQITRNVNHVGGGPPTARNLERNIELGIMPVSKGVDANKPAAN TEAIRAAANLLFPARSDVEETQERFTDYAEPSRGRSIRPRHETDRSESHESQVTTGAK PQEVRSMLDI LY89DRAFT_128208 MTTEEEETAYIDYETFLSPTFSPSSFANTLVLGTNNPTDTPLDL STPLSRVLFDSQEINTHIDTLTSKSALPLLNHTQQQTASSARIVQEIDSQVASLNDGY KRLEKEVLVRYETAEEVRAVAGRLWETVRLGRSVGRCLQLGRQLEIQLAEVAGTGNPV KTIGQKEDHRALVRCSNTLLSLRDLLSKKGEGEEGQGLDRVEVVRTLQTTVVNPAERT AITKSQQIVREFSMSTLSTGANTTTYAQTADTKSRTTSALISLYLLSPIAEISKSKKT EKWEPELLINSLQDYLRTALTSSLASLTRSLATLPTLDRTLLEVAARCQNILALESLL SATTPPPHPTLPSTVSIPPNFLQPLLTSLETGSLASYFWRTLAGGLSTKVAEITNKGG VSARTLRTNKNSVRDAIKECVVRGSTPPAGVAAGKVKAENVEKTWEREVGVMIGALGK LY89DRAFT_128209 MDQDASDIGRAWEDALDKYCKDTGTNIKYLPQMKWNVDAIMGEQ QRQVDTFNKYRHNKGMTDKFRSLISKNSAVIQSVANNVANAASSAFPPSAAILTAFTY VMKASKNVSDDYDQVAAFFEIMGSLMERLSLLETKLPSAKNYRTILMRVFSSLMGLCG TATKYVTEGRFKHWLKALYKGTDDDIKGDYAKLETNIQRLESATMFATLATVMETKSD VKDLTAISMQSLKVGTQTLAVGNQTLSVSMETQSMVGGMSVKQGETLTAIKRLERRML EKEESKKDDKDGAKGKSKDSGAKKNAVLNLVKTTFASPAEPAIQLKEIQTSFVQGTFT WVAQEESFKTFMDGESPLLWVYGAPGIGKSCMAYSIIGRLLESTANDARSSVAYYFFK EEHEELRSARNMLSAAVIQTAMGDGKYRDEVAADLKTRGTDLGEALADIWARFFAERY PKDADQKLFIVLDGLDEAEKEDRDQVLELLKQIKKDGLSIQVVFTSRPMKEIEDVTVE LQPSKVEITKAKISTRGGDLWKIIMKRCKSLSKLKRLQKPALRRIGMKLRIKADSVLY VEHMLRRLNVLGRESLIFKELDKLPEDLPKLYEILLAECQKDRSKDQLTALKKLFAWL AYSKRPLTFGEATSLIKVLPGTNSFSLEEEIDGRSARILDLATDVASDSSSGEETDSE LNEKQSDEETPNLDNSITSPLKFQERSMRQYFRAMEVDENGLRSSPSSGHYTIFELTA RILNTPEEKITDGGDDTYWQLRDYSVTFWMQHFLDIELESTSVDSVHTVINSLYTIMD PEGEGLRNIEINMRGMEKGNFFGAAPFPLREQFFTRFNAWLERGRDLMKEPAHGFNDA VASWMLTCCSEEETLIHLAKGHVMNWFKSERSWKARRSFEFARSTLRMTRLGDGLSDD DAINSDHAEADMLQVAKAFPEIKKNGQAYKAIGTTFFAFKIYLPALEQLRMSLKLTTV DTERWHVLYWIGETELGLSDTIDDNDKKMEHVRKAYDDISASITLYQENEEVREFVKA KDMVFIQQYGLLNRGQCEIKLGEVDKAIATMDEGYVLLGDDLGNSLGEEFVTDITTTL DLEGDYEKLLAVVGHFKKWDMLSWIGFESATGHDRFQHAAWECKKQDFLIKTYENMIM EVDKWNIGAHVRSQLANVYQYIFPNYEEAKKLLFEIMDGKKGVPFGDADEEFVFATRL QLTDILTEQFRATTDPNQKTILHGEMKNLALQHSLAMSNDFNPFESQTMIALALMTGK MGPTNDFQSLMDKTFRGCIDALTDTQAWNDSQGFRLLAKVLAFVPEMKRDAQIAFSLQ FSAVDEKLFIPADKNDDGKPADDGQADGEASSSVPAAENNVPAPETNVAEHQSQEASG TIQEVSPTDSEQLNPNSEIYCNGCSDHFANWKQGPVYGCIICTNCDLCENCWKKIDAH NKGEPWTEWKSYCGENHRYIKGPIEGWKGVNGGVLKIEKLGDVDEAGTKLTNGDVGMG QVEEIKFDDWLDGVQERWAKAWKVFWQKEDLVVDIL LY89DRAFT_720446 MIDRFSKLIVDGQTDAKEWTYVRETKNYQDDHGVTDVSSVDLRC FQYKGAASTVTVAAGDSLGFVADQGVDHPGPVQFYMAKVPDGTTTDAWDGPGKVWFKI GYLGHNVTAKGIEWPTYTPTIQKNVFVKIPKNVPMGSYLVRVESIALHQAQQAGGAQF YLGCAQVTVTGGGSGTPSPLVSFPGVYKISDAGLILPVYPVPTSYTPPGPAIWEG LY89DRAFT_649667 MSERSCPMSGSVGSGCPVNRETRTGPRGCNFMAFSQPGDLRAVF DVSRYSNVVPLSDNSRERKAINELLYSNVLAMAKIQEIQTSQGVKALDSLNATEQDLL AVALGAPARQVLIRAEEVGPRTGWRDGYLSTEYGFTPPDADEAAAALAKSPGRVWSDL CERMPGCVARGRVRECVAGLPVVEGTVDIIPDRALWAALVALGMLCSIYRYEDKHNGS EGVHVSRHASSFLVEMSDELGDEVKGIPKSIGLPYYQICKRMGRSIPHLTFFDQSSYN LKVRDPTTTYPYVARFSNTDLRWPMFGDRSEIAFLKGCADTSASFQHGVDAIASCQEH VMSKNNEGLLRELIRLKEILERMPNAFHSISLNPSSGENYISPAEWFPPYLVMDAFLG RTKYESFLGAEGVHLRAWLPSNWRAFIAAIEYHYRIPDYVAQSHDQRLIGALDGIVEA YTGERGFMGTHRYKVFGLLEVAGKTGRAETNGMSGAPDAGRPWEATHKAFSDAMKERL EPHRGSLQVEPHQMRGTFAECRYKARVLGRSFVDADPERSIARVTLDIQETGITFQPG DRLALMPLNGWFECGKILAALGLDEMIESPVAMNTQWTRFAQHLGSVSKIPAPTMTVK DILRRGHLAPLTKDLVVKLHAMLRASSTTVLQVLATDEWPVRATLGDILQAAVVDTPT HIWDQAFDITTDLLWLSELTPIEVPRTYSISNCPDELLPSTVDLTVSRSEYPLHPAIS DPSVPTRHGVSSSFLNPPVSSQDEFIADDEELLIGVSRPLAFQLPIDDAAPCAYFAGG SGIAPFRSFFQARAGRSVGKNILFLGVQSREKFCYEDELREYINAGFMEVYTAFSRDS RGLVYDRSLRDLVEKEISPRYIDSAIIEEGATVCDLIMSKKQGGLGGYLYVCGSLSVF DSVMSGIRRAIYNHRTTSMETTDVILDTAFAERRFMIDVFMSPKPLPCNTPTIPLSQL AMHTGHQKGSRIFIAVHGSVYDVTDFCPMHPGGTNIIKSNAGVDCSKSFDLLAHTNNP EVSSLLNKYFIGHLSPKPDFHHADEISMLYDLWSDYLRTAVETLVSQAFEVHEFMESS NLWFQGSLLNMGGVRRFYHHQSRLLQGGFSSLFGSKLQELYLKLSFTLANISTSSSPS RLPDILGIIARAKGSPDAMRTSSEVSQIGEFTSNSEAARFHEQGILDYARKSVQLDME LLEGIREEACRGMDAFDSIMDLDAPSESQRITALSTFLLQVCERMAVRLEGFYSKLAQ HSVYHPEMEPNPARTRWNLLRRKVCDGSFFVLTQDMAMNTSISYTPHRSQDAVEFDHV VSQIQQNINRSPYLQQRPLDLTEQHVARGEVSTGISAYESYENGNALKQMSSFMNSNM RAIRRLSKMPSTGVSLEQLMSAYAPKSQQLPTPPSSRSSSRSSKGSTDLGRDNKRQLH GLNTRGRSVDMPSLGLQRRGTNSSNGSMYHGNRPPSPVRTPPPTQALPRSTSLPIAPM SAAEALPAMLSKMKMNRRQTAGTVPPSPAYGVGRGTMVNGMQSMRSSMASSENAGAGM EHSRATSMTGSLRTLKLQEVRVQPTF LY89DRAFT_686605 MFLSLLTSLLIFAVTPLTSANCTTFTDTRSLADTGSSFSNEFEQ VVDILTCPSKSNETCMFPRKSYDITIPRYLNISASPSDADNIFSLAQQYYGIGSRNYT APPFITRNTTISTTNTRQASDLLLEVEPGKNKSLVWVPYMLYSFGNLGGCSNTSLDGV GVRAAAPYLTNDTNNNTVIAGGWGAFTSNITNDGNAARSLKSSGTTAAFVGTAAALFA LVL LY89DRAFT_686607 MDVAASASTPTASFHPFSRLPAELRVRVWSFTIWPRNVDFIMCG WQNQMNDNSFASSTTLKQRNAVPAILHVCRESRYEGMNFYTLLRHSITGLGIGYFNFD LDTLFISPRSSKDVKYHASQCSPAPWTNAMFFRLTSIAGNYVPTPLAELSCIGKQINI EQLHLIKTVVVPWDFVFLGFDLSREYMFCNMRGIRKITFMFEAQFARSSRLVSDMQSQ LRAEADDTRARFPDWVEPQWEFEFLD LY89DRAFT_128325 MAYLNELSSLGSLLAISYVLTILAVSYVLGLAIYNLYFHPLASY PGPKLNAISRIPYLWALVNGNQVRQVHDLHQQYGIVVRVCPDELSYISAEAFKDIYAH RQGKLEMQKNKIFYTQQPGGTTLLTTNREDHALQRRSLSHAFSDSYLRQQEVLIQKYI DLLIQRLEQHADDGSKPLNLVSWYNFTTFDIIGDLTFGESFDCLEKSDYHPWVSMIFS NMKASSYLKALKYVPGGTGISSLIVPKRLLETLKSHANIITEKLNQRLALKTERPDFV GNMQRNEKTFSFDNMKANSSLLIIAGSETTATLLSGVTYHLLRNPNKLNKVIDEVRTT FAKPEDITMASAGTLDYMLACLNEALRMYPPAPVGLPRIVPEGGDMIAGKWVSGGTIV SVCHYASYHSATNFRNPECFVPERFCGDEMYADDNRSVFNPFSTGPRNCIGKNLAYAE MRLILSKLLFNFDLELADKERDWLDQKTYSLWEKQELNVKLKKVVR LY89DRAFT_720451 MSALGPIDPSSVQNEEQKEAPWIVRKLAGSMTGRVVISSYESLR ATGTSVVCLSPWGDSTPLVLPCIRFRDLAIHAVVAATGGTAAIAAPVMGPISDVVVGS LGDTILVELGLHAGFEAAVWAGDEILIDKPMKKIIPVHSANLTTSGVKILTITLKYKH SVEDAALGFFRSSTHADPSLFASVSGYLAVEKGWFSPYLFASARRPIIPRTMKPDVVF CHGPFLSGDYSVGETLVAQSAKVLHLCQAPPTTESTASSAPQQTPSMSFKDKLNVSKM NEKLNDKFTTLIHRTKSHPSSDIPASDPQSLFPDSPDSPPPTLQATVPPPRQLAVILL GLEPHRLGMWTSSARPSESVLQYILLNGAPTIILPALAGAPLIAWNTLTLRQIQAKRD KYDGIVRILFEYISLCVDWARVTVGEGVEDREKAVRDALELVVASAAQSFDSKRVKKD VDLDRAGIVIFRIL LY89DRAFT_649677 MQSTGSSKNTKQMHLNFFEMACNASHMCTGQWKDPADNTQGKSQ IDHYLWLAKLAEKGKITAIFFADVYGIFETYQGKPDAIFAGGTTCAYLDPVPLIPAMA AVTKSVCFGVTGSTSYIAPFILARTWSTLDHVTKGRVAWNVVTSYHTAAAQAMSLNNV ESSAERYEAAHEYMDLVYQLWEQSWEDGAVKFQVDPEVAYDASKIHKIHFDGTYHRCH AYAQTHPSPQRTPVIFQAGASKSGIDFAGKHAEAIYTDFSTIASLSEYVRDVRQAAIR HGRDPRSVKIFMAIAPILGKTQEEAQAKHDKAKSLASIQAGMAKFSGYTNVDLSKYPL KEPFDTELKHADNLVAGVIKNYSLKQKEATEPFTPEYIGKMAGFGSTPKPTGTAETVA DILEDWFHKTDIDGFNLVYILNPMSYEDIVEYLVPELQKRKLMWDDYPAKTFRENLHN VPGYPGLADDHPGSRFKWNAPNGTLQMDP LY89DRAFT_566485 KDVYTYYGTTRWDNHDLYPIPKKERTFGVMAFFAYWITTGVSVG TFTLGSTYIALGLNAGETLGAILGGCILSDIVGCLCGKPGQDYSIGYTLMNRATFGLW GTCLPITVVGLGGIVYSGIQSYYGGEAFTLVLGAIFPSFHRMKNTLPANAAITTQNLI GFICFIAVYIPILWFVPLHQIRKGLYPSFIMICACFIGLLAWALHANGGTGSLISSPI VLTKTQKAFRIVQCLSTVSGSWGGAGERYSDWSRFERRKNTAFLAMAVALPITITITG LIGVLVTTATTEMNGGVIQWNPLLLLISIQQETYTPLSRCATFFAGIAILSSQIFVNL TQNTIPYGMDLAGMFPRYLSRKRACMILRTWGQKSVRSGFYIATSTGILIADYWIVRK RLWNVPDLYVEDGIYWYTGGWNLRAVVSLFVGMIPGLPGFFMTVIGSNPDSAAVKIFQ VYYCVGFPLGVLTYLAAYHLFPPAGLGVKVLME LY89DRAFT_671443 MHLFSYLVVSAGLTVCAASAIASSPSQILLPKPTGLYQVGRSTA ELVDHSRIQPFAPDVEPVRLEVTIFYPAVHQHHSIPGAYFPLETALIEDNYLSSLGLA SPNGTFEKLALHLASNEPTQNLTGQPSCEFSLVIFSPGEGTTRLFYSQIAATIASQGF IVVTIDAPYDVDVVQYPNGDLAFINSTLWDTTNDTALEATAYLAIQTRMADVSFVLDS LSNATLAATLIPNLPPSRLNTSHAAMFGHSLGGATAYSILGTDDRIVGGLDMDGLLFG PGLPNGTSKPFMLMGHGGHTRANVTDDPFGTWTAAWSNSTNSTGWKRDIVISGTLHYD FSDYPIVFDTLGISPCNETVRDDNLLIGSLKGKRSLEIVTTYVGAFLDFVLFGKCSAL LDGPVDEFPEVVFDY LY89DRAFT_736110 MTLAKKADLGRNSQNIEVQRILILMTGFWQSILAFINFGVNDNW THKTVRNIIIIDMSASSSEPTRIRKWAPKRSGGCRTCKSRHVRCDNLIPRCTPCKKSS RQCEYSPDLDPNPLKVVLYEPSSARQISPHPGHTSDELRAFNFFWTKVAVGLGGFFES GFWTRDVLRVAEQEDSVWHAIVALSSLSETLLEAEESSPKPFAIQQYTQAVSGLKKTM KDASAEVALVTCAVLVCIEMLQNNYESALSQMSSAVFLFFNSQSSGNDIQLGPTFDRM MIQTILFVDTKPEEWKFINPAFTPVLPSIPSIFGSIEEARDCLDSCMCSLYHRTITSQ FQGLAEPQILEDSMDSTFRFGSNPLNEWLHSFNSFKATAEGQFSPGDEKALVLLDIWH TTASILAAFIPLSSEAFFDAYESSFIHIMTSASYLFTFESEPSPLPSFDIGILPSLYF VVSRCRHPILRRQALDLLRRGPKQEGVWHRDMLASIAERIVALEERGVENVRGLGDIP MAARITVINAKICKGERRVLLHCCRQQTELEKGIEVLHEVADY LY89DRAFT_649679 MTTLRLREQDLEGKVAVITGATRGIGRAIAMNLASRGASILGTC SHASSLDLIANMRDEIDALYKEANQERTCRTTGLAANITSPTCAEDIVKKILDLRYGV DIFVNNAADSLPGGIGDLTVNEIQKSMISNIQTPVLIVDEFVKRKMFRPESRIIYISS IRSRQPWSEQLMYAAGKSAGESLCRTWSMAFGGNEEKFSFMAGTTANSVSVGLTQTDS VMQCPPDALEKFKQEFIPLQSIPRFGQPDDVADVVGMLCSRDTRWITGCVLSASGGGI KIG LY89DRAFT_620335 MTSLIRSLLPLTMAGQKSVERVFPLRIEPLVTPPQDSEPTSSES ACHVRKKTKFDGPKIWGDSEAKSILVPQEDAKFAAHIQDFGALVALRMTADTFSTIAC SQNATSITSLSWEQLFEPACFSRFIVLESRDDFRLRLKDVADEALKGVTTASVEPEIC SLSIVQHSGQTSHFWCTIHATSRDPTILLCEFEGYIAFLEQPFSTSRGERRQPSRRLN HVPTQEEYLQATTTKSLPLRYSRSQHATPHDRIIDPISLMNQIQRQYSNTSSIPQLYD AIVGTVAELTKFDRVMVYRFDECKCGAVVGELLNPEASKDVFLGLHFPSSDVPIPMRE SYKLDRIQVLRQKARPESAIVDHNKIPVYLDLSRCYLRAFSPEQVAYFSRMNVSSAMS VSLVVDQELWGLIMCHSYDSEVTVISPRLKELCRIIGQSASTQIERLLSKEKFEMRSS LMAGLSLKSPAAFLTQDTANLLQVFGAEFGLLTLNGETRAIGPLEVYQEALAILQYFR SRKPTKVISSQKIATDFPDLNYEPGFKVLAGVLVIPLSDSGADFLTLFRKGQLLEIFW AGNEQEQFQYIGGEIPEPTAGLLRWAEHMTDTSREWTDDQMDIATVIGLLYGTFIKTW RQKEAMEERGRVKRLLISNASHEVRTPLNSIVNYLEIILDGQIDDNTRDQVEKSLTAS KSLIYAINDLLDLTRVVDHSPVMLDEKFNLKHELDGVVDAFREEAIRKGLNLSTDIDS DTLPSHVKGDPMRLRQAVSDILSNAVEYTGEGQIVMKVAVLESNGDQGVIEITVQDEG IGMSETQLDSLFQHLEQVIDDPESETSSQPNQGAIGLGLAVVARFVRNCQGQLRVQSE LLKGTTVQLNIPIISATTSAVGQRPLDTPPSDQEMADLLKNIEERTQMINRITQISQT DSAPLLTPATELTSTDSLPMSSPALSLGSQASAIGSSSQFSPTLDARGSREFPFPPML DASFGKLRILVAEDNPLNSRILNIRLSKKGYHVTITPDGRAYADIFVTRPEEFDVILM DLQMPLLDGHGSTKLIRSFEAVQSSKLSEHASHRGRIPIFAVLASLLEDSREDYMSTG FDGWVMKPIDFKRLKLLMHAISDKPTREKCLYRKGDFESGGWFKDT LY89DRAFT_784079 MQLRTTRDQALPCKIIPYPENPRSRGRVDTLQLIESHLSNAKSG QSSLALHGMGGVGKTQLALKYIYNNWDNYPVILWVQAAKLSESYITAAKQLNLVPEDS DKDANAIATILKNWLGETSEKWLIVYDNADDIEVLKPYWPPGNKGGILITSRNAGAAR VAGSGAHTEDSDPEDHDPELVSECVKLLGYLPLAIAQIYESLYQGGKAIYNLSTSTVN LFYEHTLMTVWRISITRLSQEASRLLEILVYFDPDTIPDQLFLEGAKNNPGLEFLSGR LSYLDAVSELLRQGLVMTTRSKKGSTPGKFARGLSVHRLVQESVFYQLDAQGRSERFK DALTVLVGAWPTNAKNPFRMNALWSTCALYLPHVVTLEARYRESSTIEPPPHMIRLIF LASWYMYERRMSEFARPLLDTALNICARGGNVDPFYPKVLTTYGCIKFEMGHVDSGIS DCTKVVDLYQQREKPRDFLKATALSDLGWMYVDKGDLPKAIELYQKGLEIANEIDNEA ERLDWSVHIGHSLSRAYIELEQPEKALELQFSHGDEFACGLISECSQRGALFLYGIGN AHLSLGRKKGIEGAEERRKGLEYHMRALKARNKVCGDHYITAISLHKVGVVMHEIGKL EAAESTLERAARILRNEFAADGERSRTLYHLSLVKSNLGKDNEAAQLLTDAWQHREKL KGEARNHINEKDTAGFDKMVIYFHN LY89DRAFT_751748 MSQRVAANIVAQEPLISRIREISAAPCLSVGVLHNGETVYTGHF GAIDTTSSIKPNDETIHFIASMSKAMVSALVGIFVEEGLLKFTTKAYSVLPELEKAFG NTGSKLTIADLLSHRVGISRSDALWLQCGGNELMPKSAALGTFVVQPAVRDFRTDFMY NNYCYGIVDLVLEKLTGKSLEENMQEKIFKPLGMTRTTFEPWIADDNFSRAHFPLQNG TSFEIPLPSISSKTINGASAGLPTILKAHNQLDYVSLREQSYALGWARAQLPCILGRI NYNKSLVAKMPMVGEGAPSKLVLYHGGSLQGIGSAVYMLPESNSVIFAMQNSTGFCDA CDWVPQLLIETIFGAANPVDFQALAIEAAAMGKTLADKVETQLQEGRQTGTTARDLYT YTGVYGNPSDTFHINIQVEDGKLSMTFQDIPSETYELRHYHHNEFVWNESFNGTARRG RFQTRPTVSYKIQFGSQSSEGDDRPIEFLKWHYDEYLAEPVLFRKIATVAR LY89DRAFT_686613 MTSAKQATIAYIKYDAVSATENPYTSHIPFTVDGQQTWTNIVFD EHQMPLNDVRGKEKDDTLDLNGFSYLRYDAVNKPSDEIKSPDHPYVVEMAAWLRDVLH ARHIIVYDCNVSGIRDAVLPLVLSLE LY89DRAFT_784082 MNHLPLPRNPALDPVEVPYRCTEPYDGGPMLTYPHRHGWQVLYH PGGISYLVEGEKPDDRKLEAFLQNWLYFGLLSEVFGSFIDIQEFITSTKDGEHVVTTQ NQEACCVSWQAQLTTSIQRARPGEPQGWEHAAKLGSHVWKVALNSKSRLKNDEVDPRI WLSICVLSESIQQVICDVVYDYDRSLSPAKDIWRTPQNPPIGEFLLEEMRVKGWCPFD IWIIDLTTKSGSLLYYYANLPPPRPHADHSKCSTEGCTAMTIDSSYRTSHSRTGCHCE EEFGDVEAVKTALSGTEIPLIQRVTEIESDSSAQFKIIEQNPSSEFVSISHVWAEGLG SYNGNSLPLCSMKRISNLVDQSFSSETNSMAFWLDTICVPIKPEEMRIRALNRLRKPY QDAKHVLVLDSYLYSQKVADMPALEAWARILICTWSRRLWTFQEARLAKDLWYQFEDV AVRMEDLFVAIDMPLSARTLQDEITIAYRGHNVLRNLGGIPEELRAEFRPEPDVWDMR ESLKARSVSVKSDEALCLFCNMNLDMEMVTGLAPESRMPKFWSEVSKIPVGLIFSASE DKFTQLGLRWAPKSFMGELDSRWWYLEQCIQPRIDGHPTPDSLHAQLPSILFSSKLLI EDGSFDTLFSDNWLDLQDAHGRWYFCKMLGYWNQSRKEDPREGHLLAMLLVKPVSEFD KETTENEFDFHPGIQGVIGTVWNDGGEVPRFEGCRHAMLHRFSDAYSSLLSAVAACVE QFVEAECGWDGENDDIEEDEEDEGEQEDQSSSENQIEAALSKIEITDIDLEVEEVNDP NGDGKLGQINDEIETPATFILTQDRRERCQAFGEIYAKENIEAREICLKIGRNAERSE EASYDHFGKLARFRLQMRLRNQILVGDQTQAFIID LY89DRAFT_686614 MADNKPTFHHMDNSQSQRILWLLEELSIPYNLVSHLRNPQTHPK GPFLSPDTLKATGPYGKAPVLFTGAADGNRYITETQAIATYLLRTYDTEDKFGLKNGD WVRDEMLCSYIQTTLQRTSTFMLMLDFGLVRNGAPEMGPAGKRFDGPAMRDALKDLER ELKEGPEGGFLMGRFPGRADIILEFPMSMIKQRNWVDLKNEFPALDAWLGRVYERPAW KRGIEKGNGYDLTVFPQRPHL LY89DRAFT_686616 MAEPQKKTVSRTRIALVMLATVVFIGVVVLFALLADEVFTIPYL TPVAKFLAMVLSPFIPLIFPIYLGIFLKVCAYPKTNWPGKTRWVAITAMWGFSHTQRA VQNIWKVWGRAFKGNGIESICGLDKCKAAWAEEALTVLVIIAHMRGWSWDNDLFTRWV EGLVKQEKIALENAKRKDSAQQMEKGEVAVEDQIVDSEKSELMAKDGIVLEKEKPLVE IEEEQFDENLRLEI LY89DRAFT_686618 MGFNLPSQHRALVLESIETGFQLKSIPTPQLSDGSAIVRVEVSG ALSYFREIYNGKLGYSFPTPIVGGISAIGRVVALGSDATVLQPGQLVFVDCVIRARDD PSALFLTAIHDGGSDASKKLMRDVWRDGTFAEFVKVPLENCIPLDEARLCRDLGYTVQ DLMYISYLLVPYGGLRDIKLEPGETIVVSPATGGYGCAAVMVAIAMGARVIALGRNET KLAKLKEHVRKGAPGANIETVKMTGDEAADTAAVSAFGQIDAALELSPHGAAKSNHLK SVVNALRQNGRCSLMGMAELNIPAWKIVGSNISFRGKLMYERDDMLQFVKMLERGMFP TGKDFVTTKAFGIENWKEGFEVAAEYIGVGQTVVFTP LY89DRAFT_589052 MAHPLLSNPFSKDTSNNIVNGSCLCGAITFTLTGAPSTTVLCHC LSCKKSSGSAFQANGFYENSQLTLSPDSTAAMKTYTDKSCDSSGTVDRVFCSTCGSRL FNRNPKYKDALIVNSGVLDLGDEGWREWKP LY89DRAFT_620346 MANTQIFHDISKLCPVCLNLDEDRSLHNREWEPCFTYQAASLFA LRFKMSDMQETAKSGCKTCSLIIQGFEVTGRENRNMITLQHYEGRIITLKSFPPEVEV GIQLGRAMRTTITKSPIRLQFYQVPGTKPHERFGFANDVAPELSVEVCASKALRWIRT CSESHELCAKRYLGTSRLPTRLLDLEQGSDLSVVLIDSDKHCDRIKRYSTLSHCWGAV QLIRTTRQVLTQHYKGIQWESLPKTFQDAIAVSRAMSIGFIWIDSLCIIQDDELDWKR ESVRMASIYSNGYINIAATNASDSRGGCFSPRHVLWQFEPTSTQSHSIMLDGLHGSYE IHARPSLNSAHLRYTARSSFDVNPEELGATPLLTRAWVFQERYLAPRTLHFHPSEMVM ECKSGLFCECGGLDNIPRGLSDGLTHLEDMDHDEILNHWFEAVETFTKLRLTYQSDRL PALMGIATLFQQYLKCRYLAGLWSTDLARGLLWDLTRYRRTDSHRNYRRNKPPLAPTW SWASMILDSEGAGVAFPFGSDHSFEVDWRFGYDDIDTGIPKIDTTTELEAISGAICIT GATTYALLSKQSCDQLKDATLLMDYELEDFICIVTVGINLDAGLDSSEPFLQDGLEVC CLIIGTILLPLGFPNKIEEYQRSVGNRLICGVALTHSLVSAGAWERIGLLQADESIMS LDAALITSLKLV LY89DRAFT_128555 MIWVWVGVRGVDNDDVFDSCVRLGYFYILTYIRILYICLLDVAI NCFVLFAGFCTDVCGVQVLMSFRTLVIER LY89DRAFT_128569 MADIPPLIQVSHLPSASSFYASLVQPLGLQFLIAAPVAPATLHF GFVSPSPSGLRQQTIFTVSESPVPRLSHITLSAPSRAAILEFHTKSTVLNPHQGRGEN TLVNNGEDERAVTRDFDGNMIEAVYVPRPSRGSSTRERAVTLETASTEKEAKRVLEWQ ENVARSISEEPPLDEERPRNRQYSSSAPRPGGFHRADTFPRAATRQRRDTITHDSYRR NTHHHQQQHEEREREREPIGISSNAILGTLVAAAAGSALLYSLVNSRSQDSPPRRRRA SETHYTHSPIRMEDRETVVECVPARSYGQDRERERVKPRYIQYSVAVPEPGLPKREVK SFDNKSFVSERSHRQQRERERSRSEVGGMRRLMINPAPAPERETQSHFSSSRRSHRSE KERDRERDRSGSGGSSGRERERDRDRDRERDRRSHKSESYTSARTHHSVSTIKAPPPP LPEAPIPPPAASFVSTGTVRKVPRSHISERDRDRERDRERDRERDRERAERERREWER ARMVPLPESVVSARQIPLPRSMVGGRGYNDDDGGYEASVAPSDSVSSVGVKRERERLK ERMRERGLVGRWDA LY89DRAFT_589340 MSSPESRSVKRPRTQSLPPPSLPQLVAEQHVPIPSTDKDSKRLI VVLSNASLETYKASYGGNRPGGQREEKYSLLNSDEHIGVMRKMNRDISDARPDITHQC LLTLLDSPINKAGKLQIYIHTAKGVLIEVSPTVRIPRTFKRFAGLMVQLLHRLSIRSV NSNEKLLKVIQNPISDHLPPNCRKVTLSFDAEIVKVRDYVEELREDESICVFVGAMAK GSDNFADQYVDEKISISNYSLSASVACSKFCHAAEDVWGII LY89DRAFT_686622 MDVNTMMEDNLTARVPTIGPDDVLRYIQTRSASLKYLFVFFLLL TNACAGLRLYVRCRLVRIFGADDIFLLATMTCFTMYTFSGLFSIRYGIGSNPHEVDNN KTLSAALEWWFLAELFSVLAAALLRISASLHMRKLAETDAQQWLILFIGTGTTVYSTC FFLLQLFQCTPIHFFWTGWLGAEGRCMDQQSLAKMEYVFTVFGVLTDLALAVVPIWLL WTTDLSRKSRSRIRALVLVGLCAGAAALIRLPATQLLPTTDDFFFDCVPVAVSSILEI GMGMIALSAAAYRPILPGFFDDSNKILTAKLPPPIPEEFRTPTKGYGDLASMTGVQMS PRSASFRKVSEARTRYFV LY89DRAFT_128786 MRFSQITSAAALIAVASAANAASNTGASADGATGTTLLANAIQS GSFVDGSQEIGADEADQAKSLTSTNNFINNCAGKTLTNGLQVVGGSCNGIPMGDIPAK TAMVSSVITFPTTGGTQVQSDTTFNISVQMSNLVAGSFTNADATYFAAPQFLSGGQVV GHTHVTVQDLGNSLNPTQALDATQFAFFKGINDAGNGKGLLQAVVTGGLPAGNYRVCT MASASNHQPVIMPVAQRGTADDCTKFTVGGNGQVANAASNSGSGGVAAAAAAASAVAA GPGAVDPNLSSAAAAASATASAAAKGGNANAASAGKASASGSANANAGNAAKGGNANA ANAKAGKGGRKQRARREFIA LY89DRAFT_686624 MIDPSLRLRRAIHSADTLLVQRILHSHPSLLLNPDPSVPLGLSN TSLHLSSSLGHLPIVRLLLKLGHDSTPSSLSLNEEHQTALHLASAAGHTDIVHLLCSS CPWSIPRRDIRGRDALMLASLSGHDTVVQILLTFSPSGPEALLAGSDNDGNTALHFAS SNGHLLVLRTLLAAGADSERRNVWSWTAVAYSATVQAEVYFKGLVGEVERRRVARERA VEEKKGGAVRVVGVDEEM LY89DRAFT_720466 MEKVETLAVIDIENRAAFKGDDSEGKVVWNFKTMAAATFLCTLY TGAQIILYFVGGSLSFISQDLGATSTAVWLPVAYTLVFAATAPGPGRNRIRGSDPDLE LELPARCLESGMHFPSQPLASRTGYQHRGKPRASSSGIDCSFVGRDLQGFSRFRGGIC NWRELVYRCGSSRACVYICFSTRTRETIDNWLSRSYSIQHYNSEFDSTVWEREDGKDG GGFGRCQSLRERILKTKALELEEMKRVGEIADRNRFATLSQRSSEARNWQESDECDTD NVIEVMAETSDAPRRPDRTRLRPGVPTRAAAGGNTRTRKGESDALSIIFSMIEES LY89DRAFT_649704 MPDTKALEVWGDELDKATARGSKVMPGVVAAAVDKTGKIIYSKT SGFTSVLPRAQPIALDSTFVVASCTKIISSVATLQCVERGLISLDEPVEKYLPELAEL PLLTTDKPFAPAGPGGRGETLSVDDISFKLRSVKNKITLRQLLTHTFGVSYDVLDLRL QAWRQSRGEVPIALNGNIVEGFAVPLVHEPGSNWCYGGGCDWAGLLVGRLNKTTFGQF LEDNMFRPLGMKSSTFHLEKAEHVQEKLVTMSVRGGLEAGELVAGDNGLLDPVKEEMG GGGLYSTVPDFLKVLGDLISDKPVLLKPETIDLLFTPKLEVGGDAFETMNAQGWKLWG HWIPDSGVKLNHGMGSALTTEEVKASGVPKGTITWAGYAGPIWEANRERGVAWFYATQ VLPFGDVESGRRAEAFGKAVFAD LY89DRAFT_686626 MAYNEHFKGEDVSVTKFYGDRTVLTSCAYLLQYIKPDMTILDVG CGPGTITAGLSKIVPDGKVTGVDVTSPIIERARSTFPLPEFPNLTFAVGDAMKLSDFK DNSFDVVHAHQVLIHLTEPITALQEFYRICKPGRIVACREGNGRRILSLKPDLPAIRQ YWDNTVALIDRNGGHIDAGGHLEEWAQKVGFQDNGGKIVLNMGELRFPSHLEGMKGES AEQAIRAGIATRDQMDIWREAWEEFDRTKNNEFVFETGEILCWKGLP LY89DRAFT_589295 MLDDRNRTMSSQQYVGEGAWNRGSDIMAWECLLGEQRGGGRVSS YAAPGRASDLTALPKVNIDVGAADFREEDFAYASVLWKCGVQAELDVWPGAFHASDLL APTAVISVATRGD LY89DRAFT_686627 MAPPTSNNAAILRVRHGQHQIVTRATPSILSDEVLIQITATAIN PVDWKLRDDFGDMLTYPAVLGSDAAGNIAAVGDEVTTLSVGDRVFFQGIIGNSDASTF QQYCKMPAVLVGKTPSRISDEQAGATSLSSMAAATALYSDTGFAIQPPWTEGGEQSGQ GKAIIILGGSSSVGQYAIQLARISGFQRIITNSSKSHFAALQRLGATEVLDRSSATPA DYAAATHGLEATHVLDAISIETTTLLAIDILQQLKGGDVVTLLPKMQQPIKPPHPDPQ RPVHTKRVVGLGSLPENRHISEPLMNALGGDDGWLAKGIFVPINVEIVTGGLAALEMA LAKNKKGVSGVKIVIRPHEG LY89DRAFT_649714 MQAQSGSAFHAGIEFQLATLRNLPTPILVLSPLRVIIFANRGGQ RVLGSTDPTRSPELGIAGKTPTELGIKLLYNRQWNVVLDKFLSEQKSARSEGKGINED AIHEVDAVVTNSHLNFEEKYYRILISAIDGKDGCQFVLSLEKSPHVEKKLIPRSEEPL LRREEGIPAIPRDPVHDSPTVGSRDIARIKKAVFDSSDVPGFILSVDEKFYLTNKKAR EVIGDVMGDDKGCTDGLALRELLEIWDETFTKRLDPTEFPGLKLVRNKQPFTDYRCGF THAVTGERVFMSVNGECLYDDDTGEFMGGICWCKDLQEYSDFLCEQQRQRLESHETTC NLLPHLVWTTTRDGECDWFSERWYQFTGMTKEESLGSGFQEAIHPDDFPLLMEKWEHH REAGNECEVEIRYRRHDGLYKWMLARACPLRDEQGRILKWYGTNTDIHDLVMARNKAA RNKLQMLTVLAHAEVNLFSINKDRIVTMAEGSMRWDSEAEEYDARHKINFLGKDAIEV AQHTQPGGVPGYERIILDVLSGKVGVAQSEDTINGRTFRTRVAAELEHNAADGGQKPE VIGVLGLTIDVTDMKARAALEVDNTRLMMEEQAAKDSNKMKSQFLANMSHEMRTPTAG VIGMVELLGEDPTLTPEQREYVNSIQLSAKALLTIVNDILDFSKIESGRLDIEEVPFN LSSVVGELCKLLGMFAQQKGLDFIYEDNMDGDLEVLGDPGRTRQVLSNLLTNALKFTK EGSVKLAVTSTTTETNGDEIVEARFLIEDTGIGIEKDVLDKLFQPFSQGDSSTARLYG GTGLGLTISKNLASLMNGSIELESTPKQGSKATFIVPFKVSSWTRTSVRETFSTSPNL GFHTRSTWKQPLAHRSINQDLLNQQISASVTTSFPSLSQSSSRHPSLDNTFNLQIGSL TPEQRSKTHVLVVEDNPINQTIAIKNIHRLGFPVTAVWNGREALSYLLNPSPTQPRPA VILMDVQMPVMDGYEATRILRTNKDYAVAIDTGVGASSAKNVLSLKDRIEEKEPEAKK MEMLRDIPVIAMTASAIQGDREKCHEAGMDDYLAKPVERGSLEQMLLKWAGRKRDG LY89DRAFT_698552 MATIQDDDERLLAQIGYTQDLTRHFTKWSTLSYAISVLGVLGSV PATFGSPLSLGGPAASVWAWFVGSVMASCISASVAELVSAYPTAGGMYFVTKNVVPPE HAAIWSWIIGWCNLLGQTAGVASVAYTVSQMILATASMNSAFDGTSYSYSPTALQIVL LSIALLIVFGIICSLTTKALHEIVIWFAPINVLASIAICVSLLILTPNKQSFSWVFTT FTDGSGWGTVFSFFLSFLSVAWTMTDYDGTTHMSEETHNAAIRGPMAIRHAVTISGII GWLLTVTFCFCTTDLDAIISSPTGLPAAQIFLNAGGQVGGTLMWFFVILVQFFTGCSA MLADTRMAYAFSRDSALPFSKFFSKVNATTRTPVNAVWLIVAFSCALNLIGIGSTLTI VAIFNITAPALDLSYATVILARNIYASRIEFRPGPYTLGRWQTPLNAVTCTWVFFISV ILLFPTIRPVTATNMNYAVVVAGVIALFSLGWWWAGARKTYTGPRTQDLLVVVPTEEG SGDDCIEGDSDDLIV LY89DRAFT_128906 MQAIPVSIENERKNCWCCRARRKKCDGQTPECDTCGRLGIPCAG FGITRPDWMDGGTKQDEYCRHLKEAVKSIRRQGKKSATDSSFPLPSTECSKIEDISTA FSSMQTAIMSPPLSPLTEATETQYWLTPESILDLEWPGVSGWETNIAMDPSNLNIDNE SFGSFELTWVRDSPYTEASLTGVKSSATPSFGPNQSSTDCADWFLIMRYLESTIELLF PIYCCPDLEEKAYLLSLCHRSSLVRHTIISLASFHAETGTGALRLKIPMPMKLQEQYR IASQMLERNLEVLLCGQNMVFDLVFRMGIEALISIVHMILLSVSSLTFSRKPKKQV LY89DRAFT_128911 MKSFTIILAALAATAIAAPVTPRNLVSVRRSVSDEDVAASVNYI LVLEKETSSKMDAYQDEVDAVQDPASVLGRRSVSDEDVAASVNYILVLEKETSSKMDA YQDEVDAVQNPADVLGRRSVSDEDVAASVNYILVLEKETSSKMDAYQDEVDAVQNPAD VLGK LY89DRAFT_589180 MCARIAPALEAVEYITQDFSDAFNSTSIYRGPPTRELEDAWEKL TFKHAIEVPEDKIHGLNRTEADHLRRVPSDVGTGYVALIEVFHQLHCLNLIRRYTWFQ AGKYDYIPIGLSDNPLKNRMHVDHCFEALRISLQCFGDVTPLFIREDPDAPAGARADF DTHHKCRNFEKLEEWIDTKWVVY LY89DRAFT_708583 MAKPPIWGHIHTQHEYSKLEGGELTLSNTKSEPNAPSRWSETTW RCICVFTFLSGIFMLLAAHRSVPSDKECTAQLSIWSPILEAVEYEQKDWTSGLSASEF SGPPTPELEGKWSEIANSGIVLIPPDRIPSLNRSIEQGFVEVTVRNSSGFIGEIEVFH HLHCLNFIRQYVWVDFYPEDQAPSLLKGNGESQREVNRLHVAHCIEVLRQALTCNADL TPYLMYKTPNGKSPVTEDFNASHMCKNFDRIMDWMKDNSAAEEWAEVRKEYFGHQH LY89DRAFT_686632 MGHRTQDKEFKRRYRTGCWKCREKKTRCDERKPSCTACQKSVKP CIYGIKLLWQDEADSRGIALGRSGTNRNLKRKRGFGKDVSGGGQLQLSQSASAKTNSQ LLSARPVKEWMFLHTETRDFEDDDGRTRVKDMSETISFVYSSGSPRASSPFLDSAKPF SNDGVRAYTPNTSIEQKYFQSSISISFEHLSMAYPGNVTGLPSPPSSRLPKPLSPGSF VFSATEAYLLDYFRTQLAQWCRGFGARNPYRNIILRLTFGTGSTMLLHAVLAASANQL RILNDSRYNEDVWVYRGKALKLLQMRIQDCRIQNDWSGWEDILATVLMLCFFDVSDRG TSTWRSHLDVARSLRSLPQVTSARLSIDQESLLDFFSCYFDSHEALASTAAQCGSRVT SWLTPGVNVQDMNSDAGVSHELLSLISQISDLAHEKVNIKSSSIQRSMLDKIQYRRDA IERQLHNLRQNISAKSRGSDHGHPPSQEEITSIANAKRLAALLYLHFRLDGSSPYQPH MARLTSQILELLPKIGVWSNTILWPLYIVGVMGVQSERDEDKLVVLERFEDLQQTRQL GNVKRARRIVESVWRLRDIRGSDGVGWEVVQDIVLRDGGELSLM LY89DRAFT_128943 MTVESDVDGSEQNSKGLLGGFDLQKIDKAAEFLANNGPYPPMTP EQEKRLLKKIDGWMISFLMFFAILSAVDKVELSTAALYGFETDNGLKGQQYSWCGSIL SLGMITGTFPLTYLVHRLPTAKFMAICSIIWSCCTLLMAVEARFSGIMAIRFFMGFFE SIIQPALMLIIGNFWKIKEQPWRIAFILSAFSSVVNGFWSWVVGQIPEDAPLKRWQYL FLITGSINILYSLFFFFFLPDSPMNAFFLSKEEKWHAVQRLAENKTGMENHKWKWEQA IESVLDPKFWLQFFFSIAINISNAALITFGPLIIKGLGYNSQTSALLSMPTGVMSTLS ALFFSFIAGKWRNRRSLCVMMACAPPLIGTILAYVLPRTNLGGQMVGLYLMYMYWGPY STAQTLVLANTAGHTKRAVTYSMLHVGYAVGNMIGPQTFRASQAPRYTGGMISALVTF VLCAVFMGAYWVVAVMQNRRKDREHGKVVVVDTAEVEVLVAEYLDKSDGEQPYFRYVT LY89DRAFT_671471 MSPHFIESDELSRSIKIAIDRGGTFTDVWASVPGQPDIVLKLLS VDPSNYADAPSEGIRRVLEQVTGTSIPRNAPLPKNHIHSIRMGTTVATNALLERKGTR HALVVTKGFRDLIDIGNQARPRLFDLNIRKPETLYDKVVEIDERVTVEEFSEDPSPTV SQKDLIPGVLVKGSTGELVRIIQPFNEEEAKTKLLALKTDGIDALAICFCHSYIFPDH ELRMAELASELGFRHVSLSSTVGAKMIRMLARGSSASADAYLTPEIKAYVDTFARGFE GGNLDGVKCEFMQSDGGLVNHKVFSGLRGILSGPAGGVVGYARTSYDGKRPLVGFDMG GTSTDVSRYGGSFTHVFETTTAGIVIQSPQLDINTVAAGGGSILSWKNGLFQVGPESA GAHPGPASYRKGGPLTVTDANLFLGRLIPSYFPSIFGPTEDLPLDTEIVAEKFRELTD TINADSGKTKTVEEVAMGFIDVANESMSRPIRAITEARGYETGAHNLSVFGGAGGQHA CELAKKLGINRIIIHKFSSILSAYGMALAEVVQEAQEPSNEIYSTESLPRLQVALDEL QIRVRDGLLGQEINAKDIKYQKYLHLRYEGTETQLMIPEPADGDYRALFEKEHLRELS FIFPATRKVLVDDVRVRGTGGNGEVMQDSEVLVEEMKAIEQATSVKDSDAVHQVGVYF DSSGYQMAPLFLLDGLKPGSYVSGPAIIIDKTQTIVLVPGSKASILTSHVIVDLGETK IAAAGDSLPVAADPVQLSVFGHRFMGIAEQMGRTLQRTSLSLNIKERLDYSCAIFGPD GELVANAPHVPVHLGSMSYAVKYQQEIHGANLRPGDVLVSNHPEAGGTHLPDITVITP VFDSTGTKICFFTASRDHHADIGGLGGTSMNPASLFLWHEGAAIKSFFLVRDNKFDEE GILEILKKPGDYPGCAPARRPTENLSDLQAQIAANNKGRLLIEALMEEYGQSVVQFYM RKIQENAEIAVRGYLKGAREKFGSGTDLISQDYMDNGSMMCVRISIDETGFGTFDFTG TTCEMLSNMNAPPAITYSALIYTLRLLIGSDIPMNQGCLAPTKIIIPKKTFLNPSTER AVCAGNTQTSQRLVDLLLKAFRAAAASQGCMNCFGFFGRAAKDKSVLAYQYGETMAGG SGAGPGWHGASGVQVHMTNTRTTDAEVLEKRYPVIVREFSIREGSGGKGKWNGGSGIT RDIECRVPLMFSLVTERRVIRPYGMEGGEAGHLGANYWVQKGENGEYTWLSIGPKGQI DMAAGDRCVVHTPGGGAWGSLDQEDANGLEVKSSKPAHTFTARAAGSYHNYVATQEDA LY89DRAFT_751786 MAPKNPDPGSEPKKQLTLFVTIQVDPSNIEKFKEAHRPVWKACS EEPECLLFDVFQDPESPGRFRFVEVWSKGREWFEKEQLTKPYYAALWEKSKPLWTAES ESALQLNVEWLTDAFL LY89DRAFT_649724 MSDYQLYHSLGQGGPNDDPNNPNRSGQPAPPHFQQPVAPSPYQQ PGAGYPGQHPYDPQMGGNPSPYGGTPDPGYFPNQGVQQNNDGGLAAQMGNLGLGEVAT HGRRKKKDRHAYHNVEPTGSSAAFNGIPQGGVPQSAFLNADPSAMPSPAPGAQFLNTE ITHQMSQFPAPVNAPFNPTNPATQTEFASRNGAPDPGFSANMQTSAQGRVDPDQIPSV PRSRDAIAQYYLSHVYPTFEHHTPPPASVSFVAFDQGNSSPKFTRLTMNNIPSTSEAL QSTGLPLGLLLQPLAPLQAGELDIPVLDFGDVGPPRCHRCRAYINPFMVFRSGGNKFV CNMCNYANDVPPEYFCAITPQGARVDREQRPELMRGTVEFLVPKEYWSKEPVGLRWLF LIDVGQESFNKGFLEAFCEGIMAALYGGDEEPAEDGKVQRKIPEGSKVGFVTFDRDIH FYNMNPALDQAQMMIMPDIEDPFVPLGEGLFVDPYEAKSNITSLLSQLPALFSQIKNP EPALLPTLNAALAALEKTGGKIICSLAALPTWGPGRLFLRDDGKQHGGDAEKKLLQTE HPGWRKAAEKMVTAGVGVDFFLAAPGGGYLDIATIGHVSAATGGETFYYPNFVSPRDT EKLSQEIMHTVTRETGYQALMKVRCSNGLQVAHYHGNFIQHTFGADIEFGVVDADKAM GVMFSYDGKLDAKLDAHFQSALLYTTASGERRVRCSNVIASVSDNAKDCMKFVDQDAV YTLMAKEAAVKMTSHSLKEIRGGLTEKNVDILAGYRKNFSGSHPPGQLVLPENLKEFS MYILGLIKSRAFKGGQEPTDRRVHDMRMIKGMGALELSLYLYPRMIPIHNLAPEDGFP NADGHLQMPPSIRCSFSRVEEGGVYLIDNGQNCYLWMHAQTSPNLLIDLFGEGKEELK TLDPYSSSLPILQTHLSAQVRNILEYLKTLRGSKALTIQLARQGLDGAEYEFARLLVE DRNNEAQSYVDWLVHIHRHVQLELTGQRKKDDSGDNSIASNFAGLRPPYW LY89DRAFT_708590 MFTFTSLQGAQSDSSASQSLLEFDGGVKILIDVGWDETFDVLKL KELEKHVPTLSFILLTHATVAHLAAFAHCCKHFPLFTRIPIYATLPVISLGRTLLQDL YTSTPLASTTIPSDAVSETSFSAPSRQESNILLQSPTSEEIASYFSLINPLKYSQPCS ATASPFSPPLNGLVITAYNAGHTLGGTIWHIQMGLESVVYAVDWNQARENVLAGAAWL GGAGAGGAEVIEALRKPTALICSSRGGERVALPGGRAKRDDLLLNMIKSAASKGGIVL IPTDSSARVLELAYLLENTWRTENADDDSPLRTTKLYLASKNIGATMRYTRSMIEWMD ESIVREFETNTGLQSKNPGDQEGPGAGPFYFKHLRLLERRGQIDRVLNETDNLGRSVG KVILSSDTSLEWGFSRDVLRKIADDSRNLVILTERVAKPSNETVKAGLAKTLWSWLEE QKNGTVSETTKNGELLEQVLTGGRSLQIQEAKRVALEGNDLSVYQQWLATQRQLQTTA QLGASTSLETSADIVDDASSDSSSDSDGSETEQQGKALNISATMGQANRKKIGLSDED LGINILIRKKGVYDFDVRGKKGREKMFPIAIRRKRNDDFGELIRPEDFLRAEERDEDG QDVRQQPNKYDTKDTLGKKRKWDDVAAPTDHRMSNGNSKRQQTGRDSRDSPDLADMQR GVEDDSSDDEVEEEITGPARLEYTTESLSLSVRLAFVDFAGLHDKRSLHMLIPLIQPR KLILVGGMKEETLSLANDCRTLLAAQSADNSAIDVFTPEIGVTVDASVDTHAWAVKLT DALVRRLIWQNVKGFSIATVTARLEAMQEEAQGVDGEGSNKKQKLLEDDPDEASKSDV VDVVQPPEVPILDVLPSSMVSATRSVAHPLQVGDLRLADLKKIMQASGHISEFKGEGT LLIDGTVSVRKTGTGRIEIESIESPSGFGTGMHKRSTFYAVRKKIYEGLAVVK LY89DRAFT_589322 MSPGGFNTAHGPDRGPSPPNHFGGVGYPIPSPHVYQPPFQFGYA PMPPHFMPPQQFGMPQPAVAPNTDGDAPGTHLRNQTGGVGVPHGYNLLHFQEHCVVHV LKSKEKPWQLTSYREGSGAHQRWLVPVTMTVKEMMQQLGCNNADDKKNIMYECSEKGN GAWAHGLRLVMDDKDRMKKSIKDFGWDKTRTGMLGQRSVVWVWLTKEGL LY89DRAFT_720481 MELLDLPVEILVLLPNHLHNIEDFKNASSSCRTLRNAFWETDPH QILQLAGAASRTFFRPDPYFLIAATVRQVRDWALESQDNSDVLRQAFMCGIEGLYDLC IAKASLTMDDIRRLHAMRFTTLNPVADLIDKAADQIALEHALASRRWREAWERVRYQV GEDFEEEWRQSLWHSTVECQGLEGLEMLTPAGLEKWRPKLVEMRTQIKNLKEKPEMYR FGRHFAFEYPHLAKEVLVSIGGYGSNR LY89DRAFT_568527 DLFDEPLLESAAVKNLCANTEWNPKLLFTCNRSGGGVGNLRNSI LNCVRYAISAGAGLALPRVILRDPNDISHIWERETISFDYIFDETHFVESLRLSCPQL QLFDDAEEFAKQSSEDAIRLLPESLMEDTPTGIAHPEGWRGKFYGWLSKERDTNSQVV VDLERSYLKYPIYSDLEGFVLDFGKILKFRKEARELATTVLKNLVSSYDLNGKFGDEI WKGSFFGAHLRTEMDSQRGFPVQVYEYSKYSVQSHYYLEQAAASGLPVIYLASGDVGQ LQHFTNDAHKFNLTVSTKHDLVSGEDTKRLQSLTFDQQALVDYLVLMKSSEFAGVGHS SFAWNIALWRHQFAKQRDHLNGPQLMSDELSQIYGTVGQYLEYAACLWP LY89DRAFT_129216 MATRTKLVYQDQQELREYTSKVLSINPVTTLPEADQALIKDPTE NDHVLITDETIFYVQGGGQPTDTGTITDSKCKHTFEVQSVRHPATGHQILHFGRFNPP TPIPFSVGDEIKQNIDAEKRELYSRLHTAGHVLGFAINQLSREGVLPSLTESKASHYP DSAAVEFGGLIDGKHKAAIQAKTDEFVKSAAKVNIHYWPLEELKKKCTGAEDFVLPDG EELGRVVEMEGMGSYPCGGTHVRDCSLVGKIEVKKISRSKGVSRVSYRVV LY89DRAFT_588758 MTAALNLTQLSPSLFLSRPSVKDDIPDSTAPKLIFLATWMNARD VHIAKYIIRYQTLYPTAHILVATSSFRYYFSPRSARREVAPAVKVIRDVLGDDTSKGS EPRMLIHVFSNGGSCVLYHLYDRYAKASRERSSTSEHERLLPSHVTIFDSVPGRWSYK GSTQAVLAAVPAGWARKLAIPPVHILGSCWVVKYILLRVPEETHVWGGAHNDPARVRE TCRAYVYSETDQFVQYRAVEKHADDAETKGFVVVRRDKFPNSAHVAHARSNPDRYWSL VKETWEAGQRQDEKLPANL LY89DRAFT_620394 MGDSVDIIEPVENPTDATEPVSVEQPSEIVYMSGIRLWLAGLGL ALSVVLPALEVSIVSTSLITIGNALNDYDQISWLVTAYLVTYTGFLVIWSKLCDLFGL KPTMFVSMVLFMGFSAGCGAAQSMTQLIIFRAFQGVGGSGLYSITTIGFIQMVPPTRY TQITAVASSLMSLGMILGPLLGGAINDGHHWRWVFYLNVPAGFVALVIVMLTFPKGFP HTHNRDKEKTTPWILQIVKKPDILGAFLLLGASILFVAALEEGGVRFPWNSAIIIVFF TVSGLLWIAFFLWEWYASREGSLVEPMFPWRFFSNRVWMGTLLGCFLSGAPLTIAVIE LPQRYQIVNASSPLDAGVKLLAYAAACPLGVVMASMATGRLRFPFVAVMLLGCTLQSV GFGLLSTLPTYTENWNGQYGYSVIAGLGTGSTIGALYMLGPIAVQKKDQALAIGSGLQ FRMLGGALGIAVMNTVLNSYINSHLPLLLDGAQLIGVLDSASRIALLPQELQDEVRAV YGQGYNLQMRVTLAFSAAVLFSIALVWKKKPLRLGKDGRLE LY89DRAFT_620399 MHFLCLHGRGTNAKLFEMQTAAIRYELGDRHTFDFVEGNMPYDI DPNVKSMLAGDEKTWAYFQEDEPKTALKAINDLDAFVEAQGPYDGVISFSQACGLVAT WIIHRMRQGKLSVRCAIFLSAGSPAVDFDSLKEGNVVVLPQQKMGGIIDIPTAHVWGL ADPYAEVAHGLSMLCRSDVKSEFIHEGGHEVPGAGSKEDVTSIVNIVRRTTLLAQA LY89DRAFT_720487 MGSIAQHEDEPMRLNAAALPPLAIIGLSFKFPQEAVTARAFWKM LIEKRCASTDIPPDRWGLDVHYHPDNTKNETLSARGGHYMTGDLAAFDAPFFSLGAAE AEAMDPSQRISLETAFRAIENAGIPIEKIAGTKTCVFSGSFSRDYATILDHDPDVQVK YRATGTATNMLANRLSWFFDLTGPSVNVDTACSSSLVALDLVCQSIWCGDSTMGLACG SNAMFTPDLGMALDNLGMLGADSRCYSFDHRANGYARGEGFGVLVVKPLDTALRDGDT IRAVIRSSGSNQDGKTPGVTQPSREAQAQLIVDTYAKASLDLGLTRYFEAHGTGTPIG DPIEAGAIGAAFRAYRSPQEPLYVGSVKSNIGHLEGASGVAGVIKAILALEAGVIPPN SNFEKLNPKIDADFWNIKIAQDAIPWPTNGLRRASIQSFGFGGSNSHVILDDAYNFLR MYGLQGHHNTLPAPPEPSRDLGEVIPSSGLSIAHSLTNGDSIGNVMNESTFPRLLVWS TMDESGVARLKPVWKDYCFNLRLQDYQIFDYVRGLAHTLATRRSQFPWRTFVVASDPD NLSSLADQMIPATRAQTAPKLAFVFSGQGAQWHGMGRELLSRYPIYKNSLVDAGIYLM GLGCPWDPVEELSKDKDHSKINDPFYSQALCTILQIALVDVMDSFGVHPSAVVGHSSG EIAAAYCTGAISRQAAWKIAYFRGKLAAKLVQTSQTQGAMMAVGLSSSEAEKYLKKVS DELGETRLVVACVNSPRNVTVSGDSAHIKRLQSALETSEIFARALKVTVAYHSFQMQE MAEEYLHELGSLDKPYSVLMKNAPVMVSSVTGNWVSPEELSNPKYWVSNLVSPVLFSQ ALTTLCSFTSASTSSSGNTTIMKLDGSHRHQVSISDLVEVGPHSALQGPIREILSNIN RSQGINYMSMLIRNKSAVHSTLEVLGRLYCLGYSLDLERVNGEIVWTGKKRTAAKLAK SLTTLPEYPFNHAKSYWAEGRLSKNYRFRRCVRHDLVGAQSTDWNPLEAKWRNIIKLS DIPWVEDHKINNTILYPAAGMLVMAIEASKQLADPSKELAGFLFKNVSFHSALNIPST SAGVEVNLCMHPRKDQDEKDEGWYNFRVYTYNNELNDWHENCNGSIQIVYEVAENDVD KGREQQAWASSCRARYMDGVQSCTSEVGTELLYNRLAESGYGYGPTFRLIKGLHHNGA DTTVADVEVYRWSGYYDGNDPEQPHVIHPTTLDCVLQGILTTHTKGGTEKIPTAIPTH VTKLWISRKGLSHTDASLVKVFATGRRVGMRETESNLIALDATETEVLIDAECFKATD IGSDVTQDEDSAQTVTPNLCHTVDWKADLDLLSPQELEAFCDFSVSDTAEPLDFFTEV DFLLTAYIRRAVKALKGADVTEWKPHTQLYYGWMKYRTQLLEHQDSPFNSPEWRARLD DEDYISRLAKRVAATNKQGLFYTTVGAHHIKLLTGEEDALSLLFNGDLVKDHYYEIEE TSPAMARLGALLDAMVHKNPGAKFLEIGAGTGAMTHQLMKTLTHHGDGEACSAPRYAQ YDYTDLSPFFFGDAQAKYGSNSRMQFKTLNIESDPDEQGFESGTYDGIFAAAVLHATK DLSMTLENCRKLLKPGGKIMLFEPTMASDGLRTNFAFGLLEGWWLSTESYRKWSPCLS EEKWDVLFKQTGFSGNDCLFYDYKVKPCHEFSVLVATAVEQPSSVPPSLDSSTEDTEI VVIHGSDQGSKQLAQTLQNRLESSKTAYSQGTVRIRVSSVQDALSPDFRGESLFIFLL ELGQPVWYGINLDLFKSLQELLTSNPTTKSVWISSDSGYGDEGNALSAPPSYRLIDGL SRVLNSEVDGPTLTYLTLDCASGTLSDKQIHHIVQVTQRLLKSASSDEVVDTEYLERN NMLQIPRLIETTVLNEEIYKRVVPHQTVQRKWNETDGVPLRLIVGSPGLLNTLQFVED KERNVKPLLPDEVEIEVKSVGLNFRDLLIALGRLQQPTVGCECAGIITRLGSAVPAEA FRVGDRVASFPYDTYKSFVRMNWPAVAHVPDGIPFSVAAAVPVNYATAWMAFRDLARL QPGETVLIHSAAGGTGQAAIQVAQYLGATVLVTVGIETKKEFLMKTYNIPADHIFSSR DPTSFARGVKRATMGKGVDVVFNSLSGEGLVASWECIAPYGRFVEIGKKDILARNQLP MAQFEKNVTFSALDISTFPRDRPHVGRKALEDVYALIVEGTLSPAQPLQVYGVSEIEK AFRTMQSGKTFGKIVVEMRPDDIVQATIDTKPSFNLDADATYLIAGGLGGIGRSIAAW LVERGARNLILLSRSGLKNPHAEKLIEDLGVKGAKVAVPACDICDITALETVIASCLR DGLPPVKGCIQASMVLRDAAFAGMTHEAWQAATAPKVQGSWNLHKLLPVDMQFFITLS SITGVVGSRGQANYAAGNTYQDALVHHRVSLGQRAAAIDLGPLFAMGVMTEDMDMRKR WEAMVDAPVTEADLYALLDYYCNPAYDEGRDSPKTAPLRCQAVVGLARWLSDKTELYF KKPMSRGLTIGNNMSAVSRGLDGGADQRVDFKSVFESAASLNEAGQAVTKALARKLAS TLSLTIEELDTTTPMHSYGVDSLVAVELRNWFAKEVHADIAIFDILGGATIATAGALA AAKSKYHKAEWTT LY89DRAFT_720488 MAETLHSTRNRILSDSADLGHIPSKHYPSAGEPERSNLSSSIQY EGKHSNEQYARSSIANKKLPEDEQDMDVSFDLSKFLGPEPGAMLYRSPVTMRWAYLAL LISISLTLAVLQEFLFQRSWKNGGLIVFKFVQNVSVNQYFLWRYAPTLVLVVFGLMVE ATDYEMKRLQPYYCMTRQEGASADESMLVEPFSLWTYLTNPRLIGLRIFVSRTMTLLA TLAVPTLQSASLSLIQDEQFKQHVVVNYVWSRLLSAALCLIAVLVFTILFRNSRDRSG LLAPPRGIATTGKMINRGQMLMICKDLELAHPDTIRKTFRQNRYYLRHSSIHREKIKN SVAYVGPQHSGENPHPFMLRWEPLSVFVAYLVIVLVIFTIVIFTQANNALQVVPWLLT ALAVVIKLVWSMIDEQVRLVEPYYILSARHAKAQVLLLDYTGTTTIEMPFKALSDRRF LLLGISINSLLVEVLTVCMSSFKVKGTNFEHHNVDAISNPPTSEETFNSFWISFILAI VILNSLSVFCSIVWLNRRRRFLSQLPGTLATSLILIYQNKFLFDLVGTERLNMEEMEH RLVTSGKKFGLGWFRGRDGELHFGIDEEELSASAKSLSRPVVDSASSAG LY89DRAFT_736154 MSQETIRQAFPDKATPLVSYGIPFTESCAKHVQKLKASRVYLIA GKSLTKNTSFTSDLQKALGASLAKTRVGMTPHTLMSEVLEIVEECRTVNADCIVTLGG GSLSDAAKVITFALANNVKTQVELVKLPHLGGKSSNFSTDNNINAHAPTVPIICIPTT LSGGEYSTYAGVTDHLTGEKVQFCPPIASPDVIILSGELACSTPLDVWLQSGMRAVDH CIETLASLKSTPEVDEFVTKSLKCLIPGLLNAKANFGMEDGKAQEIKAREECQIGVMW AMIFLHRKVACGASHGIGHMLGPMFHVGHGETSCILLPAACRFNAIHGGKEILSRQQL MRDALWSVGEARKRYEREGLNEENARVDQLLDVVIRELGLKRRLSEVGVVERKDLERL AEASLRDPFLITNAVPITTKEQVLEILEMSA LY89DRAFT_129289 MQERIRRIRSVYVISCHPIPSHPYPTYPRILRAAASRNLKSASV SLLIFHIASSPSSSRKKRSAPWPPLSSHHRASALAAFADRLSIEHPKAGRGSQAWRIT RDRWTVRSRAPTPCRVGLPVRPNSLPPSAFELTVATSRKAWHTTPRKPLCMPVILTTR LY89DRAFT_129454 MGTSDPFIHHLDLCSKLKPKKQDDALSSHSLTYSPPFHPHHHSF AQKRAPDNSLRLHARNTFPTQTGLESHALLPHRTLVGVAVAFSVAALAHKSPALARLE QPRAMQPMQRAAATTTFGLVPTEPITTRSSRCFVRKARLLFRPREEEREEAFGGNTTV YPVIPV LY89DRAFT_129660 MHSPTVEKHECVGPSAELEVEVVVAKSLRQQIASHAAEPEQRSS HRHTEILTRSPLQLHHQHHPTLVLHNKSLRNKRVSKRWNCMPAKANPIKASHRFVFGR EYVALVSDQWGTTISITTTTTAHDHAPLSPAALLPLLRPPATAPLLCSPLPSPTQLSI LRVAPWNLSLSFCRLVLQTVSSSRRLPSCTIVGRVCS LY89DRAFT_589335 MDTYYGHVRTPADAIKLFEACRLGLLPRVQRRLSEKERQSIKSG SVFVWDEREAGMRRWTDGKSWSASRVSGSFLTYREMEGKRGGGNFVPPPARRIGGKTP DSGRGSDEDQDMDGDGPDGYRYKPDGLMKQSFSITTSTGQHLHLISYYSRPHPGAPDL PQPTTDPQLRHIVPVKGMYPESTVHEAQGPAVTRTPMQQSPYMQSPHQHMQQSPHRGP PPPYPYQTQGYAWPPSPVSTPPFPHYQQTMYTSALPPPPGSAHTSPYQHHHSPHQPNG LPPTSMAPHHQPTAFDRPPPPMSDSSLPPPPPALHSRGMSTATAAQQGLIPVYAPNPS PRVAQARLVAEQQAQIAQRALQSAPALDPRLTTTSSQPSTLPPVQLNGTARTSTPPQM GSQSRSNTPSPGRAPSPKDTPKSNGTSQAQTIPSISSLVHATDSVSVMSDNKSNSSRA GSKSPRENGGDNQRPRDIPHEKLNIVGEDQRAIRVLDKKFMI LY89DRAFT_129658 MTSLFNACTLHFSSACATERLTGNLPRDQKDSEFRTTFSFRQAT RTTVFRKSPPLLSPHTAPKSILSQGVSVITCIRGPNLCFQTAGRYSSTPQDGNAVSGA PHQLTTFLTRPPRHPRNTTSYNIRSSRSNRQRKDPPFRVWVAGAAVGCLVTQLRAWLG KASFPSSLTRSSPRYPAEPSCTTRPDRLGRIGHLQ LY89DRAFT_686650 MGRCGYFATQDNDRQLSGTVRGALSLFRSQGYIFTLPTERIGIG SGNAMWCVLYFILPLLGQDPAGLLSQMAQ LY89DRAFT_589407 MVQPRPRPHLLRCVGQAFKGCRATHSSSDSYRLSIARLLDDKPA YAKDVVVTGFVRSIRNQKQRSFASIGDGSSLESLQALLTPAQAQSLSTGTAVRFTGSW RPSPNPKAQSHELHVADVDIIGPAEASTFPLQKKYHTPEYLRTMPHLRTRVPLNSTLL RFRSDAIAAVTNMFYKDGFTQSHTPIITSSDCEGAGEVFHLDSSQKGPKTKDDDGTFF RAPKYLTVSSQLHLEALAQSVGNVWTLSPTFRAEKSDTARHLSEFYMLEAEISFTEDM NDVMRVVQNTIGAITKDLESGSRVGKELLSVSPDLDLLPRRWRGGSRDFPKVTYTEAI NILRSSSHQFEYEPRWGAGLQAEHERYIANELGTSWDASVPVFVTHYPKVIKPFYMLS TAANENSRETVDCFDLLVPEACEIVGGSMREHRLEPLIKSMQACKMIPPGPIPSDDEL GELKWYIDLRRWGSVPHGGFGLGFDRLLAYLAGVPNIREMVTFPRWVGRCEC LY89DRAFT_620417 MPPSKPKPSEIAAEAKKSYIPYIRENYSAQWPPTSFLCFSESMI APPRPSSEMLHCRFAFFESDPVDFALSWMDPSDKTAIPVIMPANDKRAGGDWEAGVMS PEECLCRRSNLHAALTTPAAGNSASSNYPIPSKAGIYSEKVVVFRNGPDKYEPWQEYK ALPIISVCPVKRPKLDGSGKKYSFKAEKELMRDKIRATLRIAVYYGHTRLCIGTFGLG PGFLNPTQEVALMWRDALLKDPEFVGHFQDVVFAFEAPEGPAGASSSSTKHSSSRSSG KGSSSSSSSSKSSYLADLEIFRQVFKPSVIHGAFKSQGTPASSSSPMYT LY89DRAFT_751796 MKGFEGNKIDKVISRTEEILEGNNAEHVDTPNQKKQSKKSQRIF DTIRKGKALFGFGGKSKASNTAEDEHQGLQQEVGIVEQPGNGGGLMGGTIARKPVADG TYQLDGPLNSHPVTSQDLEAAERGRLRVENGAGGAEAATIPRIENRDDTVGEHVTTVE EFTEREG LY89DRAFT_720493 MDHYEHTPEEIEASPVSLRRSDGTTDFLKYHAARQRASRPSENS LSVPISRRSKSNVSENSQILYMSASKSSKRRRGFDRSLSSISNGGRRFDGSADEKQNI EATEEMVSQNIIDREIFEWQYVCQSGRPYWWSPESKYARLKKLQHKVASEYEPQIGTP GVDTASKSQYAACRRTVSDSYLADSGNIHDLAHLIAVQLLGACFTLPPDSITAVTPQY IRDEDGIAMVRDPRLISSLRMHTHFRYSPSFGHQARNTSPIQVWPGRFDGPKSGSSPT RSNTGCSTPNIGTSSPCSRRPRMHKTLHNTEGSGNRDEDEDYIRTCCDNNELDPTVGA CAWYRRQGVDDPGHRSFTLPRMPSASNTRRPTHTPEGSKEEASPSRVLGVGTPKTNYH LQPVLRSESHHVFIQPVRELVVKRWRKFRRRLSGSLHSSLPTRTSEDAASASESSASE DSSQVMSSDAKARRLRAQERGDIHSSSMDSTPHYNTPKSGHLSPSNNDPARSHWTDSQ STTPNFRLADPLAAAASLVAAELMQSRSSGKSTPSRPASGAISNGISSRECQNSTASS ALATRGSSPTRPELLSVPSSSQPNTTSSAYSFSSHKSMRRSRRRSMLSEVCTPEDLQN PERAERSKAPFERSALSAMGSRLASPMEEMTLDWPLGGRKIQGSLTSPGPSVLPTLAE LKAFSAQERPRISRTSTSGTQIFTPTEEGVEIDGLPAGPPRDSWVGRRGRRERTYL LY89DRAFT_708603 MVRRRRPPRPGALTELPPLKILTQILLLQTIWYTVGIALILFTA LVAGKHFSLDLVFSWRALRGDTTVGWMLGLVWLLNSFIGVISILLLISRSKFVPDFAI TLHFLHLLIVSLYSHSIPQNALWWMLQFVSATMMTALGVWSCQYRELRPINFGGGVAQ SGPSSGHNEDNAPLHGEDGHDTEEGYGRGRGRGRGRDGAGEYEMVGMKPEGEPS LY89DRAFT_649772 MGFFSSSAPSPPAPRISADGAPIAPGRSERAKCWEARDAYFECL DKNSIVDSITDKDKAAKACSAEGRGFEANCASSWHQVTYFKKRRVMEYQRNKTLEKLK AEGAQEMPGQIGPPGPGQRP LY89DRAFT_736162 MAPPNIDVTKTLENNTHPQWWKDPGMRKLNFMILCVITAQMTCG YDEAVVGNFQAMKPWLKDMSYPDASHIGLITTIIFVGGFIGSFPASPVADVYGRKMGL YIGSFFTLIGTIIQTSAFGYAQFMVGRCLLGVGISFTCVAGPSMIAELAHPRQRGTVL GFFNTLWYVGAIIAAWGSFGSGHLSTSWSWRIPSLVQALPPIFLIAMLPFMPESPRWL LARGRSEDARAVLAEYHANGQMDDELVLYEIDEINTALAIESRYSEVGWNILWNTAAN RKKMAVTISVFVLCLWCGQGVISYYFSPLLTSLKVTGTNQQTGINGGMQIWNFLVSIA GALLADRIGRRSLWMVSLNGMICANIGITITSAVFDKNGNNAAAYMAIAFLFLYNAGF NIACNPLAYSYPTEILPYSMRTKGLAAMVAIGQALLIVSQYANPVAIAAIGWKYWLFF LGMLVLFLAMVYFTYPETKGLTLEELARLFEDDKTMNVVEKMEGIEPETEVVAVTVDK KE LY89DRAFT_129811 MALLFIMPHAITPDTTTTYSDWRDDLYRNGFAVIKAAVPAGRAA SYVESMTQWLEKFPLGFDRNDPNTWTEEHLPANMKGGMYHGYSVSHEKFVWDARLEPG VLDAFSKIWNTEELLVSFDGINMTLPLPDTSHPKPARWPHQDQDSKIRGFQCAQGIIN LVDNGPNDGGLVVMKGSHKFNDEFFKTHSMEKKEIWGKVPDDWHGFDDEEVKWFEDRG CEIIKVCCAGGDLIVWDSRTVHYNVLPEGQETRAVVYACYTPAALASAEDLEKKKEIF EKRERTTHWPHRNFWRATEILRLGKKDPYHRDRPFDEPVLSEKLLKLAGAMPY LY89DRAFT_671495 MSSYPPPGNNYPPHPHSHANAHPHPNIQVPSPGGHHADPLSAHS QQHGIPLGHSPYPYDHQAAQQLQSPASYNGHSNGHGGHGHADDGQLQPRSVPAPAPAS GGEGQKGNRLRKACDSCSIRKVKCDESGPPCRACSALDIPCTFERPSRRRGPPNRHAE AIKKRKLDSPGGPGSFSSPTSPNNVAETLASFSSHAVLNAESICPFSTLELLVDDFFT YIHPLCPFPHEPSFRLAFKAREDVSNPSFLALLASMIGLLVASFPRKPMLHLKNHRRE HLFPNSMSLVDRCHKVAIAARGPGYLEKELSVYTAATSYFIGLAGAYTFQWKLTRLYF GETLNIARVLGTHKLEDPGILPVGALPAFFGGEQSTVETQVQKVDFIRQELGRRIFWV MFVGIGSIQQLGSSFGELLIPPPTRNAPYPPLPMEVDDDFIFDNRVDPQPDGIVSKLT GFNLNVKVYLSTSKLSTMELAYGIDEVFDWARQKRVLEECLRQCKHSLDHAPHELLLQ PGSAPGEFASTAAQYFPPATEYPGLRVRMNGDQASEWQFTNPDARRQIQYEIQKANIY ASLLGTRSYIVEKYCNLQEIHERKTANSGGEAQLSSPGVIAHGLDGLLPNTSVTNNSE GSETNVMQEKDSIVKDLLSVLSSISQVNMEPNGASFINKIRQIASTLIDQPQNRKGQA AINNEEYLKSFLGVLMKLERVSPGLVDNGVNGAVDEEEELRNWADLREYQMRFAQAGG FLSEL LY89DRAFT_649782 MFDKDVEIQSIHSDSSHTDVDGTEEKRKHLSLTEKGDIEAYPDG INAQQNGAVTRTSTKSSWKALGPPPDGGLIGWTQVALGHLVIMNTWGFINSFGVFQTY YVTALNRPPSDISWVGSIQVFLLFFIGTFTGRLTDAGYFRPVFLVGSFLGILGLFMTS LSTSYWQLFLAQGVCCGLGNGCLFCPSLSLLSTYFSKKRSLALGIAASGSATGGMIFP AMVQQLLPRIGFAWTMRSLGFIQLDCLIICNLGIRPRIPPRRTRALVHWKSFKETPYV LFAIGMFFNFWGVYFAFYYIGSFARSIIGLPYAESINLLITLNGVGVLGRVVPNYLAD RYFGPMNSNIPVILLSSLLCFCWIAVESRGGLYAWAVVYGIVGAAIQSLFPATLSSLT TDLRMAGTRMGMVFSIVSFAVLTGPPIAGQLIQRKGGEYTYAQIFAAVDLLVGCCFLV GARYSKSPKIRVKI LY89DRAFT_686658 MRFPMPPINGTAIAAILHHATRNASAHVSDATSQRDDIAPHQDH AIVKEHHYQPPGFLGIPPVFGENILKALAIVIESHPDNHTNGTDHPLLVAMNATIHSI LNPTNLTVTLSNSTDDKLEPRGSPYAWEKSGGRRLPPCPGWPAKQRYNATHWREWTFF HGYEYGLLDPKCPVSNGGVGI LY89DRAFT_588672 MSTEQVPVSLPFVGGKVAAVQHPLGPLTAGEIKESANLIKALWP SNTNLQFKVITLQEPSKADLVPFLAAEHAGKSAPTIERKSFVVYYIRNTDKLHEAIVN LSFGKVEHNVRLGPNIHSNADGDEIINVERIALEDEQVKAEIAKLKLPEGTVVISDPW IYGSDGVNDGLFSDDKRMFQCFLYVRDPNNSSELDSNHYAMPLSISPVVSAETMRVTR IDILPTGADNTIKEPSPYKVQPANEYIPEAQTLRTDVKPLNVVQPEGASFEVSNFSEQ GHSVSWQKWDFKVGFNQREGMVLYDVHYAGRPLFYRLSLSDMAIPYADPRHPYHKKQA FDLGDAGAGIMANNLQLGCDCLGSIYYLSAVLSDDKGEPLQMPNCVCIHEQDAGIGWK HTNYRTGRAAVVRNRELVLQSIITVSNYEYILAFQFNQAGEVMYEVRATGILSTQPID EGLTVPWGTVVHPGVLAAHHQHIFSLRVDPMIDGPLNRVVYDEAHPMPRSDFNPHGVG YTVSETPVTTSGGYDLNYDANRIFKIQNSSMKNPINGKPVAYKIMAPPFQKIIADTES FHAKRAEFADHNIYITSYKDGELYAGGKYTNQSRGGTGVRSFADRKDNVLDDDIVVWV QFGINHVPRIEDFPVMPCEILKVSLKPVNFFEKNPALDVPPSQQSFNKSNLLSVTHHQ EMGEAVVGKEGEVCCVKESSKL LY89DRAFT_129900 MTTTINANSHKSLIRNKVWSRLRAVALPDSRFHHDYSSFIADFE GSSAATDLLQSLPAYQNAHLIFIAPDNCLQELRYRSLTDGKKVIVTTYGIRRGFWILD PEQIHESQWQMASVLDGMENIGRHMTLADISELPTDIALMVTGTGAINLKGLRFGKGH GYFDLEWAMLYSIGKANQRTQTIAVVHECQVLDEELRGEVWDTGCDFVVTNDKVINVK DASKPSCGILWDKLEDGMLEDIEPLKELEAIKKRSRAG LY89DRAFT_736168 MPPSTERRLPPPQVNCKWWMRGYCSRGKSCYFKHDESTFAALKT QAQDEPNVGAPATPSLTAEQAVFPPPSAISQTCAICYELPDQYGLLVNCDHAFCLECI RNWRATAPKNPTLENELKKTSKTCPMCRSHSDFIIPSSIWPTSTQKKEISDGYLRRLK TIPCRYFEQSAMDTAPDYKFKCQFRNHCHYSHIHPVTKEPYTFPPGELQPRRRHRRGR LLDEMAIMEMLFSDLAVYDEWVDVEEGDDVVEFMGLGEVDDFGYDYAWE LY89DRAFT_129941 MYWNTLTVVALLGQSVRAQNMLRFGCSQLSIERADTLENPGVAP SPHTHQIVGGNSFNITMTPVTYDPSVQSTCTSCTYSEDFGNYWTASLYFQSPDNGTFQ RVPQMANGGLTQNGGLTVYYMPYSAKNNKMTAFPPGFRMKAGEPTATTDNRVSICHRC LGNGEGFAPCDAKNIGPFPDKYCPQGIRASIIL LY89DRAFT_590017 MDEARSQAEHGHLPTPADDEHHHTPRTPRSPSAPAESALVAEYQ EWPFQGFLKRTKIGNETTYNLEFQLLHMPEHLHLPVLSEALGMRSNKEMSAEAATLHD VSAHSKMHSAAVRPRIKRVRWTPEEDATILKMREVDGCS LY89DRAFT_671502 MVNLAAVDYPFEYERGIVLKGFNTLLVVTAKRVTDSGSAFQWHF VHSKNNIPLSRYTDDERYHIWEEEEESRPQLENIREAIRTFLGWNDCYEIQLGEVDPS DVTVTTLCRMGRSLALKTVGFNAGIGKSPMTVGASATFEVQEDSVRHSRETRFIEMIA SSITTPILLYAPDERRGWLVAQLWVLVYMALIYAQQHELEPAPPKAYSNLERTRKLLI ENSELKLLPKGVDKEKAELVAYPLKDLLCDLAQGLEWAEEHARRQRKIPLRHDRIYGL EFFELATRQKNYFVKECKIQDTHGGWIKLLDDETIKGVVFCKGLGESFHKVSPTWPL LY89DRAFT_736174 MLPYAFKNYDTRLSQSRSLEAIKAAMPRFVSEEDITGPFKLLNR DFRLGNILVDKNWKITAVLDWVFSNVGPSQLAELFAWDDQEDMVKHKLEREFKNKFDA FILLVREEEDRRGMDDSFSRRMSQYLEDGTLWFNLPIEEYMIWEHLLERVC LY89DRAFT_649793 MHFVPFLIHTIVLKDHQPFFTHCDFQRKNILVRDVGDGYSLIII DWEASGWYPSYWEFANALFACGRWEDDWSFWVLQILDPFFNEYSWMQRLRTELWS LY89DRAFT_686663 MAQVQGYPPARLISTGDYGRPDMLILASNNPECQFDCTKHPSST FRTRKRAFPVYHVEFARQYEAEIHEKIVEIVQTHLLSACLWRLHVLRWGFQFSATANP IVVHLLAVGDVANELALAIVNQISATIATSWQVGEPVYVDLCRPPPQDLLFFKAPKLR ASEDPEGCPPETRAGQDLSGSWSQMYTSPPFPGMSVGKKDETAAGSFTGYIKHEENEI YGLTCAHVLDPSGKVLGVDRKGTYVYTPGETSHLVEMPAMNDDTATKKGCKESYDMWS SAKEEETKKLEKLKVLEALGVHEGSFAGTSRAAETALRAAEMSLEHWSEMVKQSESWT EIQPRKAIIAVSDPNTPSTAYAGRLDWAIFEIDVGLQTKNKHLPHSMFDSDSEFLELD SSHRNALEAHFDSGNIGPIGPQTNADERNPKDKAEAFRSAAWRYFRPGPEFKPLRRDD PKSDEVFFKVPSRTTGWKQGTFTGIKATLASSEFPNITREWCFVSPSPYDQVTRPGDS GAPLIDSNFRPIAMAWGGLGIGYHTIHDVTYATPIHVILSDIETCMGWAEGSVSFC LY89DRAFT_686664 MTAVLPSTTGLLGLWNGTNDGEHGYLDYATGETNVNRPKEYEIE AHDIRSFDPQVNFDR LY89DRAFT_671506 MASFNACTKKGKDKVTENINSEDGINDLSYDGVFVVDERAIDED GGYFDDEDVEVEENIEDVESSKYGMMAIVERSEDDLRPWEKPLRPLHEYYYGAKAARL PLLFQKYRDRQISARDLVKEIEKLGMNLFKDNTLQMKRCRNSRGPLVFMMCPLMDVKM DLDAELTSKELFTHSFVRFIESYFGRKVLALNVLCDWLS LY89DRAFT_720506 MPKSINSDLVDGGLCPTGTSSARNDGLSTQDDCDSREGYHPSSI SARNDSYTQNSNDCDSGEGYLPFSSINSNLDRKNSRSHHPSFINSNLDRDSKGHYPSS INSNFDCKDSRSYHRSSSINSNNDNNSILPQYPPPVYDMSPIPLLRLFRRTPAATPAA AAVAAADPPATTIADLSEVVVANPPANQPAAVAHQPVAHQAGVTIHASRGCCNNVVHM EPTTLAVIITCAIGVLGPVIYFFARK LY89DRAFT_686666 MMSSQEKQIKSSRARAEANAEAEAKVDNNPSFGSEPEFLEENNN NELEHFESNDQFEHHTGKI LY89DRAFT_698585 MRLGAKRRGWSRIPSLPIFLLGTILSVDWPIIAPSPFSHRQYWC CFEIVAPNDRFLIARVRLPKHAHPESNATDTDQEYLIQCEVATMEFRRANVGTVPLQS SRKHSSSNLLEVSTQEHVFAQWTSFQTELAAFTFPQMGSISQFSTDTGPIMGAIATSS IDGLPTAGPFHSGWDYFVAVAEGLVAHALQRKRSDTGSSPFATLGPLVFRNTVRDTEI FKSSQGPFHINHMDMGIQNILIDDDFNFVAVIDWELAQSAPWEVNHYPMPIPLISSDT ETAEILYDAGHKAHRNISRQVGARLLYRQKFKDAERALEKRGNSLHYSIAELLEGKAS RIYGLSSHTSWSRLGYGLTGPEAEQHLRMLEEETKGAIIAAIILDDK LY89DRAFT_751839 DRRFVYVQGDPIPTLSPLHIKISSYVFCFPEQVLEWILMEKIDG HTLDSVIEAGLSMGQKQRVYRNVARWVHQLSTLRFDKIGSLYCRWNPLRNPILSDFYL GPSSDFQFAYDYRQEYNIPRGPYDSVRDYLRGFVEIYQAETLDPRQHKRSQFWDLFND FESQGTMHSKRQDLQLWPSLYSKSDLERIPQYCQSLRYVIPLIVKETTLPPRSTFLHH FDMSYKNIIVDREGVPIALLDWEQITTRPFSFGFPLPRFFIDQDYITTTRSLETSTTI ENLRLKQDYLEYLQEFLSPNIHFVDKSNILDEEFEKLCEITYTIPESVQAEGYQFVSD VIAKRGMAWLDAPGLEDWKKQSSVDTNSPEFKQLPLDQQDMRTSRVTIVQIFWAWLCV LRAQKRFRAIRLQRLVQSERDSDQAQNSISRSSSLESLATSLITLDSRDSVLSKSPQE LY89DRAFT_736182 MAEAYRYGQDNRIFSFASYCFDVFITDIFGGLSAGAVVCIAPQI ATMDDLTRLLNVSRSTYVNFTSSAASTLDPHELPHLQSLVLTGEPATRMLFQTWTSRV QLTNSYEAAVITWVDVSPNTDPQCVGNVVPGMEVMVLDDSLRRVPIGVQGTIFAVVRA LY89DRAFT_698586 MATAKTDMASTHMQSTSHALAIPLLTAVRNDEEDISEEDLYRYT RHRWVYNESRRLSERYLKFDLQQLLKAAVAAISSQGARYCTKVLKCKEGLNNKAYLLT MDNGAEVFAKLPNPCAGPLFYTTASEVATREFLRDVLNIPTSRIIAWSADRNNPVRAE YILEEKAPGKPLGRLWQDWDKWQMKDRITMIDVNSGCIYFREDIPDCDALVTNPPLCS LILERFTLGPLVEKELWRGEKFSMGINRGPYEGPQGFVGAVAESEKRFVKAYARPRTN YARSLTEPESPDEMLDLLDLYLRLAPVMVPPQSFDDTHSPTLWHPDFYLDNVFVDPEL KQITRVIDWQSAAVLPLFYQCGVPTMFKHQGPAALQLQDDVRTQPTRIVQNVWKDCDV FFLRRALIRIVKGWTDLCLDSGPCPVSFNEQEMALFAHEEENRGYVSEILTLFRNNWR LSPDGSIGSARFDEIQNELTRMRNAFVGAADNEEDRLLAQKLWPYQDTTDI LY89DRAFT_130332 MTTLRLEFEEIVDAIAEQDHLELQERLYRSQRYLAEFNRTVEEL CTGPPILVQASAPESSDAIQDIHPSSPTFNESSDTPSAKPLQILNEDVNLSSKNKARS TNDGIGRLPSKVVPEAFQAEAQQEGRGRSDNMGQVRLKRQWPREDQLLNTHELGEGEI GQTKRRRIENLSSYPFLQGAQSWNNRRSMPSVPEAVRQIHPSSAMPSALNTSPTHRHE KLIIKVDSATMLAETPSSSRWSSGHMIQGIHGTHQHKGLLSRIDSSLGNNLQPKTIDT PFSEKIDQHQTSGGMSSTTVDPQIGEGGPSLLNQQAHSSTVANVSLVLHGKHHPFPQT STQFTRNNLDSSLQVTATLRAHETVPLASKQVKIRIRRPLTKEVQHIHTANDYFRTVL EACGPGNGTAQRVKNFSKQI LY89DRAFT_130376 MSRSRPTHMHKINRIVAGGRYSLSMASPADPMPVINTLTKFVDT CTAYLSTLPHRIEPVEELVAVISVIGSLFFTLTAQINRFPRLHLLSTYSLLAEDILHA ISLVENRVNQAKHLRLFEPTDDEEWSPYESWFSCNGSEVAADRLWRHMCVEKSRVRVL IDAVTWVGLRSSERNEEEEVEYQDIRKRLGVVAPRLVGIWRDYVARLKIKYPQVAKSP VVDGASRRNLELDPVLQSACLDLLYVSLANLTVFPHLSLRQDTPGLEAETMGIDEAEL KIDQMLKELVRF LY89DRAFT_736188 MTTERVPSNSVQSNVGDEDIDARYDGFHHGAIADLRSEVASIQT LDPDFCVFIEENGRTYHAYKPGCLLLAQFPGVGRILDVGTGTGIWAIEFARQYPAAQV IGVDLSPMQPQRQFLPTNVQFQVADLEDPWTFPNRFDVVHCRSVFPCLNDPAGLIKQA HDALLPGGIFEMQDMSFRFNYDEGGTYAFGTALADWAGMLRFTARRAGKDWTCAERYK QDMQNAGFQDVQETVYRWPINGWPTDAAERRRGRISQENLLSALESLSYAPMTRYLNM SKEEVDAILIDVEKEINDTKIPAYLPIYVVHGRKPCSDPGVGGV LY89DRAFT_130462 MKEEIHDDSTSSATSSKSPDAATPSEQDQRSASMPEQAIRGMGT LNINAIVDMWKDAGLGIQRLEWPSLLEESRERLFEDDGSVAGNTNADEMPDLSQKTVN LLVAIYETDINTMHPILIPSLSAHEQARDYDAEVTAYRPFLLNILDRSGRDSPRRPSP CQ LY89DRAFT_130409 MMENWSNASFPLFCRLPLEIRTMIWNHVLPPPHHQSLDISDSIY LQHGDHEYNAERLRCSRAALGTCVESRTLFMKQHAVFSRRKALVSGIAQSFTRIYGIP FTEPSTPQCAEYIGRPNVVQRCRDTYFVSHGLGWNTRMRIYIDGIEELVPGLLSSIRT VEAREVDWMDPNLFPSRDDDDDDSHGWQLWWLVRLSGLQNVCFTGCRINLKEWDGKEG FLTKVEKHLRMRMTGESRSLNVTIRDWQPLQLDVPFNFDAVSHSVRTFQVGKPETAVG LY89DRAFT_784140 MTDTSTPNPPTNLQASMPHPQKISGTPSAVHSSIFNPPADPQGV TILRRLADMPHHMEASNTSSAAISTTQNPPHAIEAGHWKLHIHGADIHLYNKHRRMTL ADLQVDKDPPKECVAHFERIQQALAVSFNMGQLSMEFNHLINVYDAPLTVIHRDESYI LSRISYCEEDRCSVKLGVYRSHWTYAIPATYSECIFEPVVLTAQFGGTYWFEDGGGKV ILVARSRGTAPPSDVFSSLVCGDQKPTKVLAPWMPGEEEVVAMASLRIHADTEIDPTY HDIEVSYGVSRNWVKLSHLKVYNPNVNLNDTQNSIAEFLGRTSSLILKFPAWAEDDVK TVFNHYVDKMLLESVGLGLLDVNRSETSSIVDQDG LY89DRAFT_589497 MKVIHTRIQSTTPQKSASTSQGKPYKCNVGNCTKSFKSRYDLRE HYRTHTGEKPYQCTKSGCDSKFSALGNLKTHERQHTNERPYKCEKCDWAFTRKFTLTV HQKTHELIRPSYYVCPLDYCFKQFRRLGNLKTHHNKTHAVTIGRLTDQFASIKDGDIE SAADKDKELWDYFTNLYKNSSKGMKLRGKDREVKGADGGHSMVGTGREN LY89DRAFT_686671 MRFLVSAPNCRRAQLTHFSTLEQGFDTIYSRARLNCPFKCENCG MRFPTRGKLH LY89DRAFT_698588 MAKINDATNSFFNFTSGRWHYNEHLRLSERRLYFNINELCHLVA KSVGLPRTDIALVTKIAEAGSYRIFEATFHNGLKVIARLPYPCTIPRKYGVASEVATM EFLRMHGIPIPKILDWSSSASNPLGTEYIIMERVSGRELADTWHTMTFKERMAVVEKI VDLERLLFGIRFPASGSLFFKDSLDPDVKSVDMPEDNSLKDVGKFCIGPSTEYLWWYQ KRNELAASRGPWKSSEEVLKAVGEREILWLQRFGEKRYPRGPFYREFYNRQKVDPQVQ IRHLLDHLKVAPHLVPKVEQLNVPTIRHPDLSPSNIFISDSGDITGIIDWQHATILPI FLPAKIPKHFQNYGDDDSENFRRPELAEDFANMTGSDKEVEMERYRRRQVHYFYLGYT SELNKAHFHAMGKYNLILRSQPYDTASRPWEGDNTSLQAQLIKTIAQWSEIASPEDNC VVRYPPAEIEECLDRDAKQNNVNEQMQQVRDFIGINIDGLVLNEDFENARARAKLIKN ELAESADTEEQRKEFDEIWPFQNHEEID LY89DRAFT_671520 MVATMSAILTKIEKAPGHTAVMQALTTIQNDLKTTATTVQTTAA TVQQTAISSQQVVKISQDTNAITKEVAMAGKLTSTLLKETNDIAKAIHSSPTPRSSYA SVLSSNVSQISKPITISTQTPSLIQAQREIIVKITDSTTIENLRAKNPRTLQGHVDRA IEQSKNKHIENIYIVSAN LY89DRAFT_736195 MGQPLRTMDSHGGGDHQPADTFHLFPKLPNELRLMIWECAWPGP RMIEGVTCEDEEAEEYTEATNFHIAGSLSTLLEQDFGTRILEGERSEECPPPVALQVC HESRKHTLSQYHIVESMAGPFYVNPYRDCRLESRI LY89DRAFT_130574 MKLLRSELEGGREERREIMKLLRSELEGAREERELHAVMELLRP ELEGGRKERLRFVFIIALLVLLLSLLPGRTEYLH LY89DRAFT_686673 MAAESSPFPLLVSEAKLLLTNPYPPTETGYAVNSEGMHHIAATT YMPNCTSEMIAWWFGWIEDTEKYKLWHPLDHVFSSREGPSNNDSMYIDSHHLVHEYIG GHLAKLKISFVSPEKYFGTEWEEDFKKEGYELAVCARVGNWDDETNEVIYNGHLIHLI KKEKLGVRMRSRFWLGDVEGVEDAQVRMELVPDFLPKGLCQHATEEMTILAGVLPGLY EKFSKKSGDKGELKL LY89DRAFT_720520 MAKRAHQADMGSDTIDSMFVAAPGTEILMDEEGPGATEQRLHKL QHAKIGDGHLLLVPQPSLTDPNDPLRWSTRKKGVVLMNGVAYAFNGAVTGPMMAGGMI QLSEFFGKSLTYLTYANGATLICQGIGNLLWMPLAVKYGRRPVYLTSNFLMGIACIWL AIAAETTYTPFIVGRAFLGLFEAPIEAIVPSTVTDIFYLHERGEKISIYGLGVLGGNE IGPLVSAFIIQTLSMRWAFFIIAISIFINQITLIFSMPETKFLGVRPQIMLPSQRIET AESIPEEVRTKSECSQVERISNDTSAPQVSEVPERSYWKNLAFCSQVDHSVSLRKTFL RPFVLMTYPTVLWSSLVYGMSLGWNVVLGASVAQLFAPPPYLFNSGDQGLIFISPFIG SLVGTYLCGPLADRIANWATKRNHGIREPEMRLPACAIAIALTFFGALIASLTYNAKT HWSGPIIGFGILSAGAQMGATLAMAYSLDCHKELSGELMVTISCLKSAIAWIWTWVIN DWITSDGLVVVFMTIASINVAVYMTTIIFYLKGKAFRIWIHKANLLRQTGLE LY89DRAFT_784146 MSASDQGSPAHGDNESRAVKKFRCATCQRAFAKAEHLLRHERSH SKHKPFSCPDCSKGFGRQDVLARHRKLHQRVSVTNSSPHTQHGPVAEDNNDGSITSFS PATSGMSSNLPRQPFIEHPAPLHQHENGSLPFWNDSEDMLEFLTSDLSWPVTLPVTHF DPSNFGSANSPTISPTGEDHVYYNGQGHQAMQQMSRLISVLSSNLTNEIQNTGITSSF LDTCMHVFFDKFIPSFPVLHEATFTVRESSHPLLLNIIALGTLFCGAKDAVPKGEALW RLAHIAVATNWRHLMSTKGPRDSCQGVQLVLTAVLGQTYALMSKNESLRMTSQTFHGL GFYWARQCGMFSSNDGPLIVPSLNAPEEEKMECWKMFAAREVQNSSVLGHYVLDGHIA QFSGYAACARHVTNPLFVPCSDAAFDATTPDDWIREMQKQDSTPRCFRELFLNLFSPH PLPPGSITSSVFTLRVILEGLQSLASDNLEARGNAVGTPTKADIAQALMKFRSQYLST SSTLSTDQMELLIRWHTIFLDLATPSTALSKNICAPYGIAEDLHGPSKVSVESFDLAV WSQSSDSLRAVLHSLAIQEIVEMMPLGRSSGIHLPAAIFAVATIYSAQCLAGFSLITT PKDFTWESVWNMSESGHLADPSMQAFLSCTYAKTAHPSKTKNLMYEINSLQITLNSIS SRWGVSHEMDALLTRWVTIANERTERSI LY89DRAFT_620457 MAPHSDHESDVSTVETSHDVDIPVLIVGGGPTGLLLAHMLSQLG VKSLIIERYPTRLAAPKAHALSPRTLEICRQFDLDVNEIRRLGTNRDDAYWVNFVTSL TGKYVGSLPYERMDAEVLEQTPTMIHNIPQPAFEQFVAARLKRDGKVEVRKNHSFTSC TQIGDKVFTRVEDRSLNRTYEIRSRHVIACDGAKSKVREILGIECEGESSYETMMTIH FNANIRSVVGEQVGMLHWVMDPEVSGFIIGYDLDDNQVLICNFDSEKHPVGSWNEEHA HRVVTAAIGKSIPFDVLSWRPWILSRKVAEHYHQGNVFLAGDAAHAFPPTGGLGLNTG LADVHNLAYKLASLHQNNANPSLLSTYQTDRRQVAMISAQQSVKNGKKIFGLLKTLGT TDPDIEIAKKNLYQRITDPTTRLEVLKGIEGQREHFDNLGLHIGYVYGDTEIPASASL YVPSYRAGARLPHAWLSGAPKGLHLPEVPPIDNSYVSEFKADVLAQKQYSTLDLCAFD AFTIFYSSASEAHWEEVLAQLRLSFPKSLKINAAVLGKDFELVPGARKHEWVMGLQLN HGAGVLVRPDQHILNCYGKETSVEEVIKGLRSHLGL LY89DRAFT_130604 MSATSAAPLSSIEHRDLRSERLGYKRDKEHNQKWSNGQRPSAGV RINWDNEFIGGSEQQRMKVRPMKQWTSTDHKSHANKVPKPHGHYDQTRTLHKADALVD ANDHTISALSKSLLELLPQHVNNTHSPIQTAIRATDAEILYSFDNKGPSPGVAGRNVD LGGLVELAEKKWVAEQTDKIVKGEYEVLDNEGETTVLASKKKKSPKQRATIVKSEPSN IKSLANDEDDGFELI LY89DRAFT_130628 MERQPLHNVEPLKCWHCDWPNSMYSEFSVACNGWTLPTRIQELE TEVRIEWEKFVIPANYPEEAKSRLMRDAMVAIANNRNVAILGVQFKRSRAHLGREKEK TAVFLYRAGGDKRLSSSSNKGHASSREWQSIKTDHDPVRLDFKEIVGKETQIVTYSYT LPEAFPKLKPLTTVGEGETDM LY89DRAFT_698593 MSEDAYSNCDNVDSGADVKLEVEKLRIRFPRNVIRDKREFDNTY HPGIESADLVHMVHDVRVSKRDRGAFAPMSGEACRARGSHKQLCHNCGWTTTHQLGVG GYTSRLKVFHLRGNSAIWDLGPNGPWMLRDEPNNPTSVWETDYVAQQFLREEKPNMPL VEMHKFGGPNDKFHFTIMSRAKGSTIEAIWDTLTEEQKNDVRQDLTNCIKEWRQITRP HMQKVDGSELRDPFLGYCNSHACIKTGRDEEQWLENLTPAMRKGFLSDLWFRNGGENA DQDTMTSWIKEADEKVAQLKANFPRGGPYVLTHGDLHSENVFISDDNEEKKYKVSAII DWELAGFYPWWAERRRSDLDYILGVKDLCHPGYSVEDYEKVFKPVSQVSKAWRRAGNH TSSVHKPEKSKNRWFGPPFCACQPWPQEYRDVDLGLEEDEHLDIFDVDSTDSEDDEED GRKKFPKYERDFLRWFNKINNHKSKHASLD LY89DRAFT_671529 MKLPFLSLLRLAARLAVAQEYCHVPEMERSNNRFSTNAGGSDVA SPIGTPQGQCVGCYFLGTMNATLTKVKQAFLDSTWTSTIDPLGSLRTDSKPSTVLNLN LDLAINVAGSYIVPLLNSSAIVWGAISQDVHSKVFASLQLFVTANSQSETTSVYNLKC PEGVYVAFNEFDVGSLTSSLHVKDRSPACANLTFYRHLCTLQALPGRSFWGPGTTTIT LQFRLYYIDSLTSQGKNATLSTTAITNVVVCRQVPKGASSCEAKVDQVHYDVSSYLLF DNGWNASTSYTSDNVCGEGILNNLSYVFRLLFTAISS LY89DRAFT_686676 MYLPKVTVLFTIGAGIAVVAAASGPSARQAMSVRNNHTETPDPD VCCGGNQPPLYCFDHACDDNEDCAVLGCGNCIISTLRCAN LY89DRAFT_686677 METGSSLVESCIFSQQVSAESSVDEVEAVPTVCQFTLEFVVIAM SDGKIHVFDIHGTSKETLSTSLGTIWALNLRGNTLASGGTNNSIEIWDVSTCERKQIL EGHTSTVRCIVLLSDKNRMISTSRDTTIRVWNIRESICEDVLAAHAGTVRAVAMSTAE NFFISGSHDGTACVWRITDNGLRRLHTLEGHKKPITCVLFVGDKDSRAVTAGMDCYLR LWDFENGTCLASFESQSTPINQILKKDEGLITSDASGCVSVWSTKDALELVHRFQTHS LGVVSLDFSGSKIVSGGKDGLVRFRDLESGHLQERLKDASAVWKVGFLGSDRVALVIS RDGRTMIEIWNTS LY89DRAFT_686678 MSLSNYLASKYLTAEPSSSTKKRKRKNASSSAGLIIADDDALGW SNQPTTNEDDDGRPITVTSGSAEFRKAKKTAWKTVGIPALQPKDAEQDAADRIISEAA AEKSAMDVDEEPVVEDGVVKMGDGTHAGLQSASDVARQFEKRKREEAAAWEREQGAKA GKGKGEETVYRDATGRRIDISMRRQEARREADEKARKEREELEMQKGDVQRAEKERRK EELDEARFMPLARKVDDEDMNKEMKEVERWNDPAAQFIVKKSKGKSVSGKPLYQGAAA PNRYGIRPGHKWDGVDRGNGWESERFKAMNRKTRNKDLDYSWQMDE LY89DRAFT_720527 MSASPSGLSNGQTPTPNGGPPQYIRKAKAADPLRPRKKPVKRLQ PPQKGSIIKPNGLKPAGRPPNQIPRNGIAPASNGQLPTLVNSSGLVAEPGGWTNPPTG EYMDFPLFTTKRALREGLRYHVARFSSKKDVDPSNQEEFTRPVVLHRRDPKQPAPGKG MKDEDMMPEGPIDSKERERQEILKAEKEKQRAADLAQIAPTGNNASAMAAKKNQAFRN EKTTQVYRLDKTAEQKKASDLRYEEALPWHLEDADNKNTWVGNYEAALSDTNVIFFID GDKFKMIPVEKWYKFTAKAQFKTLTLEEVEAQMNKKYVAPRWMPEKAAEIKSQGGGGA HKLFTVKSESNTFKNSTKRETEDMDDLDFAEDDLFQDDDEQVTMEPDNDEETKDAQER IKREQLGANVFDQANEADVDMEFLKEEKEAEEQKKLSKGVKKMLKKREQTHLYDSDSD HPYSSSSEDFTSDEEKLNEQDKKKEEEAKAKAKEDAKLASGTSSKGTNTPSGRPKHTD PLKKKNLKRPGSPNRSESSGNESSRKKIKKKHSSQPISATSAPGSRQISPAPSGSGSV RKSSIVKLSVNSSKLSDIQSAPPNPSPTTAMSDGEATGGEMSDGGTKKTKIKLRIGGT SPTGSRAGSPAPGRSAGGSRAGSPANQPQSGTAGSPLAQSPGSGPIQPHEIVAALPAA GIKIGELMKIFQRRVGDTKGQTDKKEFIRLVKENAAFGPDKLLRPK LY89DRAFT_686680 MPPHAPGEGANLEDRLRGLILSNANANPETNGGSLSTSQQSGID SDNMVPYSENVPPQDTRLQDASTVAQTSKSKRPNQAQRRQMNSQLSIPIDPRPVHGAQ AGRGPGPYGPAPSTPWGPGQFNNRGQMHQNQRSRHQQYSPRFQQGGPGSPYSPRSPFP QGSPQSPMPHYQPGPSPPFQSRQGQGQFQQPQQSNHYSRPPPPQNRQLYQPGPQNMGG RGRGTGHYSDDTVSQSAHLDRLVQSSVPEVGVDVEEEAEKEAFRALIENACRDAITEY EQQELENEKFNSQSVELQCFGSMRSGFATKASDMDLALLTPKSRPSPDSPESPIPRIL EKKLLSLGYGARLLTRTRVPIIKLCQKPPPKLLADLNEERLKWEKGFRGDEEEDEDEF DTETMTTSKDTSEKVKADHSAVNVPHETKVVMQADKVSTLKQKHNQSLGDYHNLAKRY LRKLGGRDVMGSSPPLSDEEGDILNSVCKEFIIGLSSKELSYRLQTYQSISPLFDSSM PLLLRSLQGVVTQAEGERLAMAWDSRTVLESTEARESEYSEWVQSWRAMQGVLGNVTD PVVYNKQLYFASEKLKRISSLQLAFLEQIQHEEPVFYHTRAQRIMDDLCGKDQALSSE FTPLLVAQYIKGIGNQQIRAALQNCDLTGLTLQRVAIQHRALHLAIDYEHALKSSLFD QYREDVEQYIALLRNLKLDNSEGPATRIDDALLAKIRSLPDPTEISPSKPRDRYKDHL EFPKNDAGIQCDINFSAQLAIHNTQLLRCYSVSDPRVKPMILFVKHWAKKRGINTPYR GTLSSYGYVLMVLHYLVNVAQPFVCPNLQLIQKEPPRTLSPAEIEARSMCQGRDVRFW RNEAEIKNLADRKMLNHNHDSIGLLLRGFFEYFAQNGQMSSVPGRGFDWGREVLSLRT PAGILTKQDKGWVGAKTVIETTIVAAPPTPLTAKPPSPTDTTVEFTEDGTEVKTPKTP RPQMKTLEETKEIRHRYLFAIEDPFELDHNVARTVTHNGIVSIRGEFRRSWRIIKSIG KPYEGQEGGLLDPLSKKDSALHELLDILHGPTPKTEVVKDA LY89DRAFT_720529 MAETEDSSHVSKAVKAEEAIIKAEGLGKEWFAITAFPLFAGTFG PIATALNVCALVQAWRCENPYATDQKDVHDPAWLTGINAISLAFGVIANLAIMFVGGK KGSHAARHSFYLVLVTIVGGSLASIILVVLVIVASMTLQLPSPPNHAFTEAYYYAIMA AALYFITSVFIVYTAYMLWETLKTRKGRRELSKQFAGQHRSLKILTILFLVYLLLGAR AFAKIEGWRYLDAVFWADVTILTVGFGDFKPTTHLGKSLLIPFALCGIFILFLVVYCI TQVVFEPGKSMWEIHIRDQARLKRIREREGRKPNKHSLFGSRFQDSSSSETNNGVAGS TSLHTGTVLDHKKQQLLAERAAREQDFLTMRSILMQAKRRRIFFSMYLWLGFAVFLWF FGAALFYFCERDQDWSYFTSIYFTFISLMTIGYGDDTLHSMPGKAVFVLWSLIVVPTL TMLISTGTEAIGVPYLLNMQEWFKRRVLKRERTAGGHMKKMTSHIFKSPLSKDALGRV THNNHDKNHLRMRAIKSITRDHLQHQTSNSQPDYSFEDWEYMFYLMDILEPLSDDEPE ATSVKEKNERPMYGQKGERSKERKTGMEEWQDGAQIPDWLHGMNPLNVPEPVTEWMLE ALMEKLESELAELRRREGNTNVSE LY89DRAFT_736210 MAGEKVKLGTQMKDFINLGKKIFQDKEVSDYDQLLDDKKELEEK LEAKNQEFDAKVEEVAALQAAADERVAKADAKTESLFEEFQKRYKEWDVATSKESNLE SQVAELKEELKQANTKAENAEASMIMLQDKLFARQNALAEMEKDLNLLKKELSSRNRE LKGTLGELQVSQAQMERHRIEIGLEYPHMEDLANNFRNLSDGCHRMAKRFFCTELPSE FLANDPWKQLEPFIKLAPRRIPMSNSMAARCLRMATAERIIADKLCADMFRQYYLPHS TPARELIDDITRRLHKKNPFKEAVFRLQLLAAYELDEQSFTASLIKFATDDVLKVLDP LLIALGTKDEFQAALAKLFEEAVKLWKLVQRSEAKAWVTNDPEYGRHNDDQDGWDQNE EYDTAVGLTNEQMSHIPDQVEPIMSLFPQVSIGKEVICPGCALWSDQNTVVAASIEFG QTNSRTALQGRWMTRRDSERRRLSSGGSPRKAEDFSKPPLSPSAAYHSFLERADSRPG SRRPSPPIRKATPPVSPPASPPALQPIEVGGD LY89DRAFT_751893 MSLSTEQTENAQRPNPFTTRVAHLEKTRKVEVWNERWPAEESIL RYERSLLIPIIKVTPPPHVHLYFWSVQHNQRNQRPDASVLSEILAPSLNVKVEFPVMV QKGINRMVWEEKKVGAGFLLFSGLPTEIRIQIWESEVRRPRVIEAQYSIGYGIPTFIG GSRAEKAERFVLQEKHESLVPRYRERWLNQAPQEVFSSGSAGRLEFVPERDTIFLRSI DKHGDFPQLPTSHFRGLDKIQHLALCLHRTSLTLHNSWKNVLVSQFVNLKTLSFMLGG QDQSWVDDGQIVLRDLEEWFLDGRPRQMRVDDWLLDISEVGQYLSGGMFDNGIKSRSR PKQMTWGMKPGWVRADHWEGLNVRVVAWSKE LY89DRAFT_686683 MALLDVLLEYPLTTLFVLSTGLLFSRCIYHLAFHPIAHIPGPFL AKITSLWLYYHAYIGDEATIIHKAHTEYGPYVRVSPNEVDISDADAMAPIYVSKGGFL KAPCYANFDIDGHKTIFSATDVEHRARRAKAVVPMFSTKSIRENEAALYGCADRMVDR MEEEARTGRPVNILNIARSLAVDAVSTHLFQENYNGTSEKGPRLSVSAFVDAFVAVGR FFYLPTKVFIWLEWTIEKCMPDEHTATSMEVVDKFVDNLVDQTPAGSSSYPGRLIPLV ERLEVKAQCKDLIFAGTDSTGMNFATLCRQMALNPDKYEVLRKEILDNANAGEKKQDV QALPYLSAVVRESLRISMANPTRLPHVVPDSGWTFKGTNFPAGTIVGCSAHELHFNEE VFPDPFSFYPERWLQENPEATKAFFAFGAGSRACIARNLATFELYMGAERLVERDVLR GARACQEKVEIYEWFNSSVKGEKIELVWEKPRV LY89DRAFT_686684 MLRHRTEDDMDINSSPIKRSSARMLLALEDIDKGGRDDIQGSGS ERSEESDEDERAGIDGGSSEESEDSEVSDESSADDDLSIGREEDTDKSYEESEATEDT HLNDSDTDTDTNKAPKKRQSTNSRNPKHDAANDNPMLPQKRLTTNSLLSSLKDCLATE LEVCSSSWRDIIRAIKATATAEATADDNQLGLPSLPKFWATEKPEVQIDSGRLLTALS ILHRSMSSLFAEHQLACGVTNSNRKTQSRSYFKARQVARIEVAAAYIVDTPKFNKTWD AYLKTKPSTTSHYTKERIQTAKSKASQDIIDGLDENTKAAAVRKVDELSQSIVSQRIS GERLSDPKVYGAGYEKSRARNISLIQVALSYVDQNHFQDQIAFESGIWEAKTTWFADH KDEVLAMSKTKIARAILSGLDPTTRAQADSSILRISAKVLDDWKNTKSRPLTDEQLRR KFLMLRRETRIIIAAEHITDWKKYKEAVDDRSRLLASRFKVRSHATKAAEYDIMTDIM SDLEANVKCEWLERAEALALAMMEGFVNGTEKLRVTGQLTAEMANFTPHSRDISNEPE ASSSKIANKGKKVASLRHEKEKAQMALSRNSSEEDSLSGTDDTSADESSSEEDDDTED ETEMPVAKRPKTTSATRPSGISKGRKIMKSL LY89DRAFT_784159 MPKATTPVSARQGRRHNPLEDDLTATGGTLKLKTGKRKSRPEDE EQFVDSKASRRILRMGQELADEDDEQKMSAAPNPAFEFESRFDNADQDTPQEEYEEWD EEGEVEEVELAPDDLATWNKFFPTQEDSLLRTGWGGEPEAADEEPGTNLADLILEKIA AHEAAQAANGGVPRNAPGPIDEDFELPPKVVEVYTKVGMLLSRYKSGKLPKPFKILPT VPRWEDILEITRPDKWTANACYEATKIFVSGTQATAQRFLEMVILERVREDIHETKKL NVHLFNALKKGLYKPAAWFKGLLFPLVQSGTCTLREAQIISAVLVRVSVPVLHSAAAI KGLCDIAAQESSAGTEGGGATNTFIKALLEKKYALPYQVIDSLVFHFLRFRAADPASV TPEDLGDSMAGLTGAAAREVRLPVIWHQCLLAFAQRYRNDITEDQREALLDLLLTKGH SKIGPEVRRELLEGRGRGVPLEPEAPSFGADDTMMIE LY89DRAFT_589743 MAVHRPSEAGPSSSSHPSENHHEDRTNTPPPYSGPTTPSMTAPS APQPQPNYPGLPRLDYSLYSPKGFTISSDATTLTSKEQRLSVNPVALVSLIKSLATVP PKPQIRITGRTSDSGSIDFDVKINLMNLIVPDEDKRGRMNYVKIIGPGDVGFRGEGKE TTFPHVNGLEAWAQKYCEDPASIKRFTLERTVINWDTSYLEGRLLSLISSTSYRGHVT ITFPMTHSRVVVHSPDEVNNFFSSVNMFFSSITKGHTGTKKYEVVKSIWPYADVARGE YGRRCAVQEEEVWFADWRDAIRHAILSKRRGWVTAEDRLEFLMVPKPGKLGPQPAGWG GNR LY89DRAFT_590036 MSYKPAICSMSLGRAWVHQITPKFTAAASAGLPGIEIFYEDLLY LASSYPGGATSANQLLAAHSIKSLCNSLKLEIIGIGPFNNCEGLLCPILKAQKRQELE LWFQVAHILNTDIIQIPCTFLPESDERITGDLEIVARDLREIADLGARQNPPFRFAFE NLCWGTYNDTWAKAWAVVEAVDRPNFGLCLDTFNIAGREFADPTREDGMVVNAKESFR ESIKRMARTIDVEKVFYVQVVDAERLERKMDERNEFWVEGQRPRMSWSRNCRLFLCEE ERGGYLPVMDVLNAICGSKEEGGMGYKGWVSMELFNRTLVDEREEIPMEHAVRAMESW KKIVERMGWEDIIEKVKQRKQIVSRRPVVENVPERVEISARL LY89DRAFT_620486 MSILALKEDRPTPKCVYNFRVYISAAVAAFCAVMIGYDSAFIGG TLALQSFKNEFDWAKYTTDEADLISENIVSVYQAGAFFGAFFAYAAGHYLGRKKGLQI FSGVFILGAGLMLGTNGKRGLGLLYAGRVLAGIGVGGASNLTPIYISELAPPAIRGRL VGLYEMGWQIGGVVGFWINYAVSETMPESHEQWIIPFAIQLIPAGLMFIGLFFIKESP RWLFTRGQRAKGLQNLCWIRKLSQDDMYMLEEVSAIDAASEHQHATVGLGFWQPFQAL WHDSKVSYRFFLGCSLFFWQNTSGINAINYYSPTVFKSIGVTGTNTSLLTTGVFGVVK AIVTIIWLFFLIDNLGRRNLLMYGAFGGAICLYYVGAYIAIADPANHPSASGQLSSGG ISAMAFFYLWTIFYTPSWNGTPWVYNSEMFPQNVRTLGQAFAAASNWLFNFLVARFTA QMFTAMGYGVYLFFASLMILSIVFVFFLLPETKGIPLESMDRLFSKELAPRNAHAVVM MELRSDEESFRRNVEGSGIGLDKDEFGEKSAHVEAV LY89DRAFT_698602 MSQSISQPQTTPDLTLSSLDSSPPIASTAHLSHVSYLFGYPIAH SLSPLLHNTIYKHLNLSYAYHLYETRSLASCLALTHSPKFFGAAVTMPHKVAIIPYLD VLTPEGEAIGAINTIFLRNSPDGRRLLCGTNTDCIGIREAILQNVSKEAAKEMEGKPG MVIGGGGTSRAAVYALKHFLGCGEIYLVNRDKREVDQVIAECESKGFGEGLRFVGSVE EAKKLQAPRLVISAIPDFEPKTEEEIMTRRVIETMLGKEEKGEILEMCYHPSPDSAIA RISQRSGWQVIGGVEAMIWQGLEQDKIWLRRRISTLPVEKVKEVIAAKLSKPKL LY89DRAFT_649839 MATSHTMSEEELKELYSFALDLGRRAGKILMDGVDMRCGDSAGR TETKQEEKMNAVDIVTQTDLDVEAFVKEEITKKYPSHDFIGEETYSAGSSKEYLITSK PTWCVDPLDGTVNYTHLFPMFCVSIAFILDSQPVVGVIYQPILDVTYSALSGQGAWQD DAHAWKKRRALPLINNPKAPIPEKAPKGCIFSCEWGKDRRDVEGGNMWKKVNSFVNMA AETGGREGKGGMVHGVRSLGSATLDLAYVASGAFDIWWEGGCWEWDVAAGFCILKEAG GLITTANPPPNPMSDSIQEVRLGSRLYLAIRPAGDTENETGRQAQERVIRETWKRVQG LDYTRPGA LY89DRAFT_708629 MDIDERIELMHEVQGKLWEDRLNETQRSGRLCAWVSSFHHDRLP CWLGDNDFRYGSYNAGFKLVFGDGTAWLLRFPRVGRVHDAYADEKVAMEVAVINLIRN ETTVPVPKIHAWGVAAQNSLGLGPFIIMEFIRDALLRENLNDDEIEIIYRQFANFLLQ LLKLDFEQIGSLDSPTPGLRFPIRPLTWKAHDILQTGGVDIFGDRNRGFSSTTEYFQY LAEQDWEQLFWQPNSSLIPKFVHKEYDRGKFKIVCDDLGLANLIVRSRQDLTVIGVVD FEWSYIGPAQLFGSAPWWLLMDRPTNKAWDCDMGEPIRITNRYFRYLNIFKHVLGEEE DKTPGHENKELSNLIHWSEESGAMWVHMLLSTGFNDPCSFPFTKLLQHIGVDEWDRRE KEVSQEEAAAFGAQKALQLEQYNCDLETEAHKALVDEGRKDDFIPML LY89DRAFT_784166 MAHSTRASSSSDGPDLEHCDQLEPQRRESSVENFDPRSESPTIT QFLVAHLHEKLFQVGHLPSKQKTQDELYYMAKTADSSHWPWERADILTPTPPEERTDM LTPTPPSSLETSSPKIFVQAEPSPPNRTTFASSPKAQFAQQTVEYEKSKWRDAEEADS PKEALDAHKIKKWRAMLMETKRLLRWWMKVHKYGIYLRVISRELKPANPALGSCAKGH KSTTIQNVLAGLRRYQGTLPKEVLNQ LY89DRAFT_620494 MAPQEAKMEGSKPSKTSTSGNNGEGADSKDDGAKGSKQGPRKRV SQACDKCRSRKDKCDGKKPACSTCITNGRTCSYDTNVKKRGLPEGYVRGLEKLWGLAI RDVDAVEDQMLVALAGNEESNETALSIWNDESTSEALVEIWRKSQMSRELERLLSSQE PTVETKRKRLGSDLQTSRRIDRMSFSQPSAGVKDTGPGLAGAQWPESGHDGKAVNEFP RPPNSSHSYQANSPFLTKDVESILSPSNAHTAISAGTPATSVDIPELPSETWHLIDVY FSYTHSWLPIIEKHDLLRTSYQYSQNRGTSSMSSSGSGEHAALWAAIAYAKFQHRAIN NIPRALGHVGEMVWTAERMYAQARSLIPNEEGSLELGHIQALLILTLANMGMGNYSRA WSLIGQAVRIAMDLRLDQPPDPVVHLLKAKTRSKHVILGCFALDTLIAARLGRKPHLR AHDIDQIGLVEEDGLEEWDPWTDCLNVRRNKSGGSRGPSSILSTFNRCIEVLKILNEA TCLPAGSNGQQLSTVLLEKLHIWSHSQSIPLYFDSTAVDSEVGNLLPHQYHLHNVYFT TLATSQLLSHISGESSVNLEPCTRSARHIVDLLKHHSHTFGLLIVPPTYDYFVNKAYD IVQAVNSSIESTHIVLNDWKRNLDHCLDGLEPAWPVFESLKSTVAYQSSSHSARRESQ VAFEMLNGMNNDSDTPMSGKTPQSIASYDTMGAYSPQIFRPQADGVQRARGSSQSGKG PVKVSHRPSFGQSSAQGLPQNPLNIYENAHVTFGGLDRRLDKAAAKTAPRQAHPVGRP TIDLVPPTNPQLHRSLTMSSADVEFDPMFNELMRLDATEWTGNWDQSLMNLGFTDTGD MNQDFYTFCQEPDPLHQNNVFQQLVANSNAENTDFFDGSSFNGMNTSAGMNSFGGLGV GDESEGIEAGQILQALSAAEDQRSVRENS LY89DRAFT_686693 MSVTTQLEPTLAFLDTRAPPSTRNFRPDASIVLIGCRGSGKRSI GFIGATHLGRRLITEDHYFFEATGISRGAFFQQYGSQEFQKKSFELLKRMLEGNRIGC VIECGMGSLSVPAQKVLLEFCNTNPVIYVTRESEQIRSLLRLGEEEAARLETADLAHR NCSNLEYFNLFDTSCDGTETPPENGIGNVSSRLKYAKEDFSSFLDFLTGQGVIRSGFE SPFSINALPPEMRSYTYALSLRLSTIPALDLMELEAGADAVQLKIDTWSPDMQRVIGK QVATIRRNLGVPIMFQVEDYAFDNTHLSIEEKEHAYFGLMEHGLRLAVEYILVDLKYS SDLISRLVRSSGRTRVIGHHLHREENSWGWDDESRMIQYRRAKSLGCDMVRFVRATSK PQDNDTVREFLNRIESMPDHLPVIAYNVGEHGRPSLIANRIFTPVTHPVMQTTVSKSQ IRQFLPTAAEARQARYEIHALDPLHFYHLGASVFYSLSPAMHTAAYQVCGMKNDFQSL KVESLEEIQQLCQDPNFGGAAITQPFKVQILSRISAKSYHAKAIGAANTLLPLRTLSN GKSWDQNTQTLLRHANQRGKAGPIFAYYGDNTDFIGIQTCLRRNLSPRNAIQHSKTTG LVIGAGGMARAAIYAMIQMGCRKVFIYNRTVENAENVARHFNSWAAGLSIDGEIVTVL KSRDVAWPSGYRQPTMIASCVPARSVGNQAPANFEMPMQWLGSPTGGVVVELAYLPLD TPLLKQIRRVREETKQAWVIVNGLEVLPEQAIAQFELMTGRKAPKRRMRFEVLQNHYR YEE LY89DRAFT_686695 MKFSRTINAFLVAVTSVQGFTFDRLNKSDAALLVVDHQIGLSQL VRDYGVVEFRNSILAHAAIGKLFDLPTILTTSADTGPNGALPKEIIEMHPTAPFIHRQ GEVDAWDNPDFKAAVQATGKKQIILAGIVTEVCTSFLALSLIEEGYDVWANTEASGTF DSKLAADANRRMEDAGVHLIGMFGIVMDLMRDWRNTPGALEVLPFLDEYLPQYSLVAR AHGYAVDNGTLIPGEAQIL LY89DRAFT_589601 MRNTLVFAGSSCPALTGQICSNLGMSPAPVELTQFANGETSVKI LTSIREKDVFVVQSGSSKINDSIMELLILISACKGGSANKITAVLPYFPYSRQSKKKS HRGAITARMLANLLNVAGVNHVITIDLHASQMQGFFRCPVDNLHAEPLIARWIRRNVL NWQEAVCVSKNAGGTKRVTSLADALKLNFGMVTTDKRRGGGNMTASMIMNRLDGFIPE QDLDADVSKLQISTEDHAIRRRTSKSDATRIQTGFQDKTEQPIQQNGTSPPRPSHTRQ DSSQSSPRKSQMPSPLRSAHGGPAPARSPLVRAQTPSRSSPIEEEQEFTDERARDITQ GRLVQGHIVPDDYPSPTQSAMSSSMILERPEEDPMEMSRNSSFFNPESHPLGGSLDAA ASSDEEEEGFNNPGLEQMITLVGDVRNRTVFIVDDMIDKAGSWIAAAECVVKRGGAKR VYCVATHGLFGGDALEELEKCDCINMIIVTNSFPIPPEKARETKKLVVLDLSNLLAEA IRRNHYGESISPLFTHTYD LY89DRAFT_784171 MGVRHLIAYLQPYATVVSLAGASIVVDGPAFAHHVYYLCLRATP HARNGFEAAPSYPVLVKTALSWLDRLRNVAVINKIYFDGFLPARKFNTRLQRLIDQTR RLTQFHYNTPAPCRAAYPQVENELYNLFDSSSPGLHITSLPPIPFLVPAILEVIQKSA EYGPITTVVPGEADLWCAEYVKQHGGLVLTGDSDLLVHDLGPDGSVSFLTDIRPLPES PNAGLCSPVYRLASIRDRLVLPKPNGIQSLAFEMVMDPDISFPNLLKQAQASDAISNY PKRFAEFIEEYSQSIPTLSQNIGDSTTQAVLQKLDPRISEGALQFPWIAKRHGDKPLS PIHTFLPFLLDCPIRTSAWECSTPVRQLAYGILNLIACKDEKIPSIFEHRKQMDKSGG RELELPDFSSISEACDSTLSLLSELRSSLPRLGARQIWIAFAIHLHTQWSIANDKSSL TTLFMQQFVQLKDHETWESFSWEAIQFFSELQASLYSCRILKQILGLVIAHGGTEALP KSLSSLYAKLQSLPDLTEFPTLGDASSALRKKGGQEIMVTIQCVVDALLPLPVVAAQT TPKAKKKRKRGLHLSEPSNDKKRPNNPFELLETE LY89DRAFT_751925 MSSLVLVPNPQTWILPRRPLNWRNQRSFPRFQSLPPELRLMVWE LNLPGPRLVDVNYIPKTGEFYTTTASPVNLLVCRESRREAWKNWTLHFGTCGHPPLIR ANLDIDTIQLDWFPIRLGLVDKLDVSRLRFLEIGGKDLQREHEYDPQSPALPESRTRI ARQLLTFPKLEAITMVSPPLSRHFLPFDIARDRLPWQHAAQTMEFRRRRAMTYSHHLK LASALRKEKDTLIAQGRKVPILSLVLTEEDGTRFGPYIYP LY89DRAFT_784172 MPKNQSKNLSGDNAYLLPRSKGQPRRAMTKAQQKKLKVKGMKAI NARNRSTFLQKSTLPQPPPVFTCFSNLPAELRLKVWEFAAENEIQLMHKHVHSSGDAF NSWLYPSLSWTCRDSRATVIACLSFKSWVWAGRLACRLRFSKWLFKRTLVWSTGWSYI NRV LY89DRAFT_589799 MPYNHSSPTTSGHNATASAAAAAARKAQMAAMMHQRLMSEHAAK YYAAGVVGMIAIFAIFHWARYLYSLYTPKDIKKSNVVRGQISIVRMIRHILTHRVPGF TSIGHAVLVLAFLAINVVLTVTNMTWSSLMPISKRLGWMTLINTAFIVFLALKNTPLA FLTAYSYERLNLLHQVGGYTTIIFAMLHMITVVLGVEKMHRPAMLLEITQINGITALS ALFVLLIFALLIRRVRYEVFYISHILMFMLFIINVALHQPKVKNKAVYITIVAGAMWS SDRILRGLRILWYAYDNGAIVTPLPHGGTRVVLKRSPSRSVPGTHCFLWVPRIRAVET HPFTIVSKTPYSLELVISAYDGFTNDLLNYAVQHPGSTLRASFDGPYGSLPDFSKVAD KIILIAGGSGASFTFGVALDTIRKLNRNSGTTIEFIWTVREQETISWFAKELAELQTS HRVNIILHATRPGSIHETPSPISHVDEEKALVFDHPSSPVLSQQSEDQDKDDLHHTKT SHRQIELAWSSTSSVNVLPGRPDVDGIIRRVVSETPDEQRVIIAACGPDGLMFSVRKT AASCIKVRGPSVELHCEQFGW LY89DRAFT_784174 MAGKGPPRNVILIEDSPEPEPYVARAVNSSKTDARQHGRVGDEV DRTNLTGQQNQLSDRISFAQFSASVFTGQPTAPQPLSTGPPPSLHPGMPFIHATQALT KANLAQYGSGMPFSHPRGLSPRQRLFSGAPGQPPLPTAFPPQLRPSSIPNLAQSTFKL PAQNVLAAQSPAVFQGPVQANLPLAFPGQVVPHGNVFTGPPSKYDRITGMFQENKQGS GPVLWRDNSSSAREYIPVTLQENNMNSHFIAGPSTASAVTRPNALPQFLNFSAPPGWT PIYPPTANRNANADSNRSAETTRPPINLYAPPRYETAASARIAEPSSIPGHLFAATGG PNASSSRTTEPTARQPLRNIANLLELEYRVIHLLVNTVQKMLEKNLFDFAKRWIPNLL VMADWQIPEQGELNNWEPFLARNVGDVNFAFRANDINISYMDFRSMLMRLHHLRHAAV HRNPIGTGWLRRWMVDAVDVTNYLTKCLKDPRCYNKFRTIEFGLWQPHKVDLEEIATK PLDAFVNNDEVPDRANFVNGDFMYEVRGSSTNLAPERQMDPRIRARLAPRPLQNQQPP FQPLEGMRSLEHQRGDRGRKRSRSRSPGPEPQNLRRSKRLRAASPSPPRQKKTKTNTK APKGNNSSAIIDLTEDEEKDGDRLAKTAPTEKTFIDLTEDW LY89DRAFT_708636 MAADTDSNPLISTQKSSDTSLQVALHPLVLLTISDYITRHTLRQ QKGPVVGALLGQQNGREITIEHAFDCLLIEAEGEILLNEAWFEERLQQMKDVHKVPAL DLVGWYTILPASGPQPNHLPLHRQILQTYNESAILLGFHPTAVLEGSVGGKLPLSIYE SNYEAEDSAASSGEDKEMKDIESHLSLKFRELSYTVETGEAEMISVDFVARGGGNATA VDGTVKAEPALKSEAVSQEPSAKGKTRASKQSGSTDSAASKVQDQHILSREDEELIAS LTAKANAIKMLHSRINLIALYLQNLPPSYISNTVPEGVEAADKKYTPVNHSILRSIQA LLSRLSLLIPADAAAFEQELMSEKNDVNLVSLLGTLTESIQDIRETGRKFSVIEAQRA MKSKTDPRLGQSWGGLSFGQGTGVMGVGDLLG LY89DRAFT_131593 MAPSAMDESPLTSFNSSPSKTNVLASVLHSALDLKLEYRSISEP ELGELQIQIKATGICGSDVSYYKKFANGDLCACAPLSLGHESSGVVVGIGPQVNGFAI GDRVALEVGIPCGQCSICRKGRYNLCKKMKFRSSAKSVPHFQGTLQERINHPAIWCHK IPDHVSFDAAALLEPLSVAIHSVNRAAPAPGSTALVIGAGTVGLLVAAMARQSGCTFV TIMDVDEGRVNYAISKGFATHGYVVPMPLHSSASSSSLNTSGTSTPASFDGIMTPLSS YSSISDRLDGAKSVAADVLAVARPDMGALEEDEYEGVDVTFECTGKEACMQTSLYATK PGGKVIMVGMGTPIQTTPLSAAHLREVDILGVFRYCNTYKTGIRLLAGKQLPSLDDMI THKFKGLAEAKNAFELAGRTIDDMGKLVLKVVIEA LY89DRAFT_131312 MDYSTSIHDADNPAGASPWGSSPVPSPQHARTSSFPTPGEIPPS PTPYSTSTSGHTGFSQDDTLGGGSYHRPESSAGTESVAESDSHRPDTADSVPSQPERQ PLLGQQQQAPGQQQYQNQPHRAEPARYHPGARQAQQSQQPSQAPQYKLQAKITGLERT GRKDPILRFDVHTNLPKFRTTQFRDVRRTHSEFLKLADHLISSNPEAIVPAVPPALTS AGAGTDEDEARVKAGLQRWLNYVCSNEVLMRDDEMVLFVESDFGYSPMLKRKQPATGV RRRVIKQFAPPPDDTPELQDARPIVKLFYLGTMDAGHKVDKLVKARKGLGLAESDFGV KLGAMSVQEPHSGLGNAYRKLGKIIQTTGDFHAAQGTAEATTIGDPLQYHSQDAFIVK ETLTNRHILLRELVQAQQLTRSKLNAADRLKASSSVRREKVDEAISALDEARSNELYL LGKTQRVTANLLQEQRRWFARTAADLRLSIREYVIREIEAERRTLATLESIRPDIRAI DSSGGLSRLGREAHPAARRASLAASQTVHGDAWSGVPRRPDGLNRSISGSFMAKVPEE NGEEEQGNGRARALSGASAGGLKGVQEDDEDKVDARNAAARLATSSF LY89DRAFT_590219 MLYYSGFTRRIGDVDDGSTVTDFLPAERARGITIQSAAVTFHWP PHHEGHTSSPDSPRSHKTHTINLIDTPGHADFTFEVLRSLRILDGAVCILDGVAGVEA QTEKVWAQADKYSIPRIVFVNKLDRDGAAFERTVKEVGSRLRGWPAVCQIPWWEGGKG RFCGVGDAINLCALKWEEGGDGKTIENLSMEQLAQYDPGFRSELLKARAALVESLCEY DEQLFETWLGCARLPPQAIKDSLRRCILDGTGELIPIFAGASFRNIGVQPLLDAVDDL LPDPAERPDPEIILGTQKAGLSDLLSGRLSLGTSASKQLTKKITSSTALVAQIEACAL AFKVVNDARRGVLVYIRVYSGSVKRNAALWNTNLHVTERAQRLFQMQASDAVDISHIS AGQIGVIAGLKHARTGDTLISYPGVNPKTGPPAPLNSLQLQPIDVPPPVFFAAIEPHS LSEEKNVAEILNLLLREDPSLHVNVDEESGQMLLSGMGELHLEIARDRLVSDFKAKAT MGNIEISYRECVLAPTGTHRLVFDREIAGKKGKAGCEASISQIDEFAVEQDYTVHQDG NTISVHISSSQHGDGSPSLPTDLPVAVVHEALINGTVAALARGPRRAFPLHASHVILK FDPAIDFFGSDTSPAALSSAARLSVQAALKEAFSKNCIGLMEPVMNVVITCDQSSLGD IVHDTYYARDGQVLSLGTEDNDEAETALLSIDMKRIYAPPDPYASSSGGDTSGPGQQR QVTARVPLKEMVGYLKHLRSLTGGRGTFIMSFDRFKGLSGRRERALEKYFCTSRIPSI LY89DRAFT_131191 MHTHHHHPPDPYISSHQHTRHSNQKTTATSNHVHRNNNNPPLPT HPHPLHNLLPLRLRLRLHSLSFPLGPETAPARHLRGVPPAVPTRADKPAPRRLARIAD AAAAEGAQRDGGVGGAEADRGGAWGEEEGGGADWGDAVGWSCEVGRKGGG LY89DRAFT_751929 MQRVTSILPSWDKTKSGSKKGFDKAWAWADKLGAPVNRLSNKIG SEAFWPTTLDKECDKAARILKSFCKDGFYAEDDRPASVDVPKGKQRVLKKIPEKVIQN AVGLAIFTTMRTGLWISGAGGSGILIARKEDGEWSPPSGILLHTAGLGFLVGVDIYDC VVVINNRKALDAFTKIRATLGGEISAVAGPVGVGGVLENDGKWKQANRPVFTYLKSRG FYAGVQVDGTVIIERSDENERFYGQKIGVADILAGKARHPPYEIKMLMETVKAAEGRN DVDKAMMSQLADELSPADVELQSPTTVPGPSFGIPEPDDPDPFGVLALEKEGLEIREA GTKIRPASTQFEYNPAPTSPIYSKFHRRSMDTLATRSNRESYMSTRSTLRTSIDRATQ TTEMGTQTDDTLLTPNTSPSHSDENKRIVEEDEKPIIVEPEEIDYTKIDLGPYSSMNR SQEFDGTTVNNDSPAEHDEHHAVSADTSFHSDDEEDDEEEPIIFEAASAQATMIPQAR GVGLVNIPKRPPPPPLPPRNMARAVMVDQASGRTPTTPSKSSFEEVELHGTDRTSKEI ESPKRKSLEEQVAALKEVHIEEATPAHDVEPALTQEVEGFASLKPKEEDETDDFHSVP TTPNERAIEVK LY89DRAFT_784181 MVNAYFIVSAIVAIIAVALGAAYTTGALDPIIEKIGVMLFKAEA KAEEKKMEAEGMKEGEDFLAGQLKGNVRADDVKQGIGAIGGLKKF LY89DRAFT_698616 MGTKILLFTASAIVLLSTFYQFALRDFIFITLGVGRHHQKISEF PYKCRRLHSPLLESCEDMVIDEEGRALYAACSSVASRREWSPGGDKYDISARDLRDHV SVLDLDHPGEDGLFGLRTLTITGDYRSSSGGKEIDVHGLDVEHLSPTRLRFWMINHRP PVDAEGNALDPKVVGANSTIEVFEHEKGSQNLEWIRTISDDAVSTPNNLVAAGDGGVL ITNDHTSKTGRLRRLEMILGGGSVAYCPPTSLSSPTQTCNLALTTAFKFANGIALLPN NEVLVAHSAKGHLTSHTFFPSNRTLSPGQTIPVNMPMDNLSVDIHGDIYVAAFPKVLA LIEAMDGEKRPDGEWVEIPSTVFRVRKEGATWVVEKVLEDVEGSVLPGSTVAARDGVT GMLWLGGVASPFVGVCVPV LY89DRAFT_698617 MLQFGPATFYSTFAPKPDLNVALGYSAWILFQAALYRFLPSKFS TGQLTPAGNLLKYRTNGLSAWIVTHSLFAIAALTGIVNPAILARHWAGLLVVANVSGF LLTGFVYIKAHLSPTHESDRKFSGSILYDMYMGIELNPRFGEYFDFKLFTNGRPGIVA WTLIDWSFIAYQYQLHGYITYSILVATALHTLYVVDFFINEDWYLRTIDICHDHFGFY LAWGSLVWLPGIYTLQTQYLARYPGTLSDFHALVILMTGVTGYVIFRSVNHQKDLVRR TKGNCKLWGAPAQVIRVKYRTKDGLEHNSILLCSGWWGLARHINYLGDLILSYSMCAT CGFKNLLPWTYAIFMTILLIHRCWRDEERCSKKYGKGWEQYCKRVKWVIIPGIY LY89DRAFT_671564 MSRHFSSAVRAAARIIWSFNGTTKSLERDVAAVEQAVGANPELA KKVKNGLINGDPHSTKYADGTEDPVHISGVLGEGNLKGSKKATSFHYYPDTGDIRFSN SRYPPVRIGTSNAVAESSSSAQSGAKIPAHQQASDWEWDGSAQKYKYWDGTQWVWQE LY89DRAFT_686706 MSISKVLVARTLQLLRQPATSRGFVMSANRSPTILPADTPIDEE RIPGYDPKRFLPVNPGDLLNNRYKIVVKVGWGTTSTVWLAQDTQRWWWNSNRYVTVKI TASDCVDDDAAKHERIITRHLNRNPSHEGFPFVRTMLDHFEAPGQDGPHLCLVYEPMR EPLWLFQRRWENGKLPPALLKVYLRFLLRALDYLHSECHIIHTDLKLDNILLGFEHPS VIEDFVQKQAENPMPRKIKDGRSIYLSHNDFGPPKSFRILPKIADFGLAQSGEGSEPL MHPIQPHLFHAPEVLLGTSWTYSADIWNLGVLIWNMMEGRDLFTHIRSSQGDYDVRAH LAEMIALLGAPPKILIDREIRWSEVKWSHAVPNLEGKLCQTAREYYGGPFFNSEGEFM HKDLIPIGVRLEDFVLSLEREDKHLFLDFIKKMLQWLPEDRKTAKELLEDPWLQEKST LY89DRAFT_698619 MEIIKSAEAFKKVEDAYKFSYLQLIVRKNGRLYCAKSPHRKPNL SEIYDIELLETEDRDPKVKPTWTVLDSPHEYYIKTPDLWAYSSPHLEQQILREVEACE LLKPHPHPNVAVYYGCRSTNGRVLGIFNPRYLSKSDFLTSRLSVDDTTKACLDGIIAG IRHLHSLGIIHNDITPSNIMFKEDGTPVLNDFGSCRMTALENNDFDAFTELQTWLIGS SADKFLFKRG LY89DRAFT_708645 MYDHDEWPKMPDGNDFDGKQLLTLVCSGNSPFHGLWDVNLLIQE IEENLDARVIDIPVISKGSNNYGLHLKLSNQLDIVARMSRDDVNMPNFDGAPIHKQVP EVKFEVAVYELLRSEPDILASRLLYHRIPIQHVGPRLDLPRDIAGRHLFLFERAEGEN NVWWELTLEQKACLLIQSARIRASLFNFNLPLDFAAVWLRERLFEQKPKSLPIPVAPT REFCVTLFISKIEATIRNIGDMIGWESDNVTVGPIAAAVKQSLLRLIPHIMPIDSNQT SLYRLVLDHGDFGIHNMSITMDANGQPLVTSLYDWETGCIVPAILSDPLMAVTVDLVI DENGAPSFIRVPNDATPDDRAQYTTWAKQYFMALFNQAPNYERAIRAGKDARHLWFAL REWRGDDPEGYFGDLGAWAERRMKELGVDSAISRIVYDN LY89DRAFT_686710 MLTLSATLTTRTIVQAPHVDDIINGPIRDVFLKHAANLAFCLCL QHRHHTVGADKAVVKVEGTAHLMD LY89DRAFT_708646 MLSSKRKRIHDATVSTEHGLLNSSNHAVTLSESEPNSPKTFRAL GILESLCEACDSLGYTTPTPIQAQSIPIALQGRDLIGLAETGSGKTAAFVLPILQALI NQPQPLHSLILAPTRELAQQTLKVIEVLGTLVSVRCALLIGGLDMVSQAIVLGKKPHI VVATPGRLLDHLENTKGFSLRQLKYLVLDEADRLLDLDFGPILDKVLKILPKRTTYLF SATMSSKVESLQRACLSDPVRVSTSTERETVSTLSQSYMFIPCKHKDTYLIHTLDERA GQMAIIFTRTVNECQRISILLRHLGFPAIPLHGQLSQSVRLGALNKFRLGSRSLLIAT DVAARGLDIPSVDLVINHDLPQDSKTYIHRVGRTARAGKCGIAISFVTQYDVELWLRI EAALGKKLNEQKLVKEEVMVFAERVGDAQRAAAREMKDLHDKRGNPGATLRHKRNGKR SRDDMDQDEG LY89DRAFT_708647 MQLQRDTGDELIKSGLRHEDARLVKELEDAQLDLDDAGRSRRDL QHQLNLAQQQSKSYIMVLIDSDGMIGIEGGNKAANVLRNSILEHCRELADEIELMAKA SLFDFIDVGHGKERSDSKIKGTPTVRELAATSLRILNFDNIFHAEILINRLVSSPMPS STWAGVTFIAPLPPPIASPVKVKNGTVKIPTPVPAPAKLIKRRTTTNKLSNIHYLRGR CAKGDECCFEHQYKATEEDLKAMAYLARLNPCLNGQDCELEFCIYGHHCPSVIMGSNG KEPVCNAFVCRFWKEDHLPGTVIKHPRKERREKEERY LY89DRAFT_720562 MATKEALARTLERINADPGAPRADSTEAYWQKPPHALENVQSEH LPGFTDIVIIGSGIAGCSVAKELLALDSNIKIMLLEARTITSGATGRNGGHIATHPAI DYSELVDHVGKDEAKKICQFRLAHYDMIYDDLKNIGDELLGPSEIRRVVAVCGLLDEA KVESMKGTKERLETDWPEIKGRIKIYEKKDSGFEEFKMSGVAGVAVELSGAVWPYRMI TSIFDHLLSNYPDRFTIETQTPATAVTPLTNSGDYKYEVMTARGPIKTKQVAYCTNGY TGHILPKLRGKLFPVRGHMSVQRPGQLFPRLGNERSWSVLWDTVGLDYITQNGKTGEL FYGGGLVRGKDDGLDSIGNPRDDEEDVHNKIHLAGALPVFFGKENWGKDSEDGPRMKS TWTGVMGFTADGLPLVGKLPGSITERNGDGEWIAAGFNGYGMPNSWGCGKALARLMLG KDVGNEFPESYFINEERFGQMNGEKGVKAMFGI LY89DRAFT_784191 MQEVTTSSPFRRRPPPRLRTKTGCFKCRERRKKCDESRPICSAC SRNGFACIWPSTKSPSSQPNHKIPDTRADPSPDPSSPTPSSLECIWDDWGVNTLQAPK ARTLFDYFRSALQPLMFRSHVHPIYLTSDWFVHGALQHSSLMHALLAVSAVHLSCLYP AYRLTGIEYYSQAVSATREQLEKKLLKGTEDWLIMVLVLAYLVEIWFFDDIPSIKKHL EAASHLLHSRQLSFKKQDLIPDPSFERLIAESILCNTSAISMLMHESCDVSSDVVSKH LELFPGEKSMESPLLGVSPELYFLVVEVSRLCFQESVEMEEAKGLESRFFEWREGSMG DIDSEENELDTNFMLGVRLYVLSIELLLARLLGNREQSEILDLVAEAAGVIGEMGSYI ECALNMDYYTWPLLIIGAAGKGQESCETVVRERMENIKKKSGCGGVKLVSSLLERVWD FDAIEPEEDGLGILLRIGREEIAQL LY89DRAFT_620541 MTDSVPNGTTDASVARYERTHQQPENPFAALIPDQTIAIIPSFT LESGVTLHNVPLAYSTRGKLSPNHDNAMVICHALTGSADVSDWWGPLLGGPGRAFDIS RFFVICMNSLGSPYGSASPVTCKDGNPKNERYGPEFPLTTIRDDVNFHKLLLDDLGVR QVAAVVGGSMGGMLVLEWAYFGKDYVRSIVPIATSSRHSAWGISWGEAQRQSIYADPK YDDGYYPFSDPPSTGLGAARMSALLTYRSRNSFEARFGRNIPDASKKQTISDNQNPSG VHLAIHNDGHRSKRSPLSRPGSTTSIPQKSQNSTVTTGTSTPASNPADFTDPQFHGAT SDASLTPPPSANGRKPTSTYFSAQSYLRYQGEKFVKRFDGNCYIAITRKLDTHDVSRY RVSNDSQDPIADALSQITQPTLVLGIESDGLFTFDEQKELAAGIPNATLKKIDSPEGH DAFLLQFEQVNRHILDFLTDVLPDIMGVPGSEDIAVEDGVGAVTKNSTFGEAEVDDIT AW LY89DRAFT_736250 MAPKRKRNDRASVDGGDNRPSPHRPQNAALAQHDRGGDMREGQR RSSRGGQGGAGGRGGRRNDGRDNPNKLNLSGAGRATPTPGPMSPPPRPSSAAATPTQT PTPTIDLPSPVAKREPAPYYYEFLTEERMAAWEATGRTEVIARGSQARQDEDPMDLSC VIQEIVRATWDGQLDALDAGSCLKEILGPQLFSEAEPSGSFDPHTIFLDQISIMYEAE DPSPTSQLLRSFCLATGVSATSMREKLDAKMLQDLGLTRDTFIRVGVRQATNLLYRQA NYNLLREESEGYSKLVTELFTTSGGEPPSKEYVEETFERVKALIGTFDLDVGRVLDIT LDVFAAVLVKHFRFFVKFLRISSWWPRNGELDSSMRHGGLPRWALPSSPGWMPTEEDE ALSKDKRLERDLLFWDRARKIGLDAFFELGSTPIIGDEIKQRLLKARGSGDADLDADR AWIEATGTLPPSGNRVAAQLLGFKLRFYASEARDKEDVLPANLIYLAALLIKIGFISL RDLHPHLWPLDQDMPALRESRMKKLAEEEKAARSGGDNALTRAGALTDDSVPTTRARE AAPAKAEPAAKLAPEVEDKDKLDEPSDQKVQLLTCLLTIGAIPEAMYILGRFPWLTEA YPDLVDLINRILHHSIDQVYRTVQPTATLNKAFTTKRVADVDQSGMPKGQVRLTEVPS KKQLRWPFPEKFDTNESTSYRFYWDEWADNVPVCQNVDDMFTLCDTFLNFVGPAVGKD AALLSKLARIGLKNLADDHSPQNLTRWEGLLKRLLVPALSVTKGNTQIANEIWDLLRF YPLSVRYSIYGEWFQGATSRLPAIMSVFTRTRAETNATMKRISKNNIPSMARTLAKAS YSSPGIVFEVALGQIEAYNNLTEVVVECAKYFSDLGFDVLVWSLLVALGGKNRKRTNA EFALLPSKWLLALSTFSGKVFKRYGLMNLSPVLKYVNDQLFRVNATDLVILKELITQM AGVLPSTDYGDLSIIAMTGGETLRKFTLMELQDKRYECLKTGKRLLRTLTDNKLAGEL LIQIAQHRQSAIYKISDEDAHIKLLATMVDDTQAILSQYLDFLRSNLSVEDFDENVPG IPELLKDFGLEPGLAFMIGRVSVAYNKSHSPKAAPNGVVKALTPPEEIADADGDVKMG GEEAALVNGDHGTPDANLINGITKEDTPMTDVKEDLPTRSTSGSEPPQSPVVPSGNTM SAIIGIVRSILPESTFETISPEFYATFWTSALNDLVVPQPSYDLAVESLIQKEKEVTI SSSSSRSSAHAREEEKAKRKAISDVREAVFLEMKKLVGGASMRKQRLLKDKASWFLSA ARGDDISDTLLEKCLIPRLVLSPIDAEYCFKMVRFLHDNGVPHFRTLSLYARFFRTNR LRTLIFTCTVREAENLGRFIRLALQDLARWHEDIALYQKEALGLATQKLSGFAKALDE EGKPKAFLEHDGEKGFRNILYMWHKNLNSAIRDCLEGTEWMHVRNALTILKTVVNVYP AVDFMGHAFIKQLEVIAKREKGVREDLALAANAVLVTLRLASSKWVMVQAFHSAIPPT QTNGAQTNPKSVPAAVPKSTLKPTAQEFKPQSRASSVGVATPKVTHTMEVEDGEVDDA KGSAPTATSSTNAINKSVDPKADVPRPTLEPKKSEILDRREQIKRENAAKLVSTQPQS HANIPPRPDSRNSTLDRASPSLPNRPDAPFPSRDLMDRHPPRHGERRDGRDSRLSDPR GMDRSSNRPGDRPREYSSNDRRGVEPAPRDLNRPSDRGLGPERERIRPDPPPRWTGEP SRDNHDRFTNGARPSNDGRLSRDMPPPRSSSDRGPAANTERVPPVNTDRQELINPERA ALISGEKEVSRSESPRRGREDTRDRGSRPQSPRRHPSEKDHFDSRRDDRGGRNLPVEP YSSSRGRGDDSQPPPAGPRSDRAADRDRAAPNDRSAFQPSQPLPRNMDLDHGRLNANP RQQADPNFGRLNPAPVSDIPSGPRDRNSRGNRPTNAPTSRQTGRAPAQADIPRPPSPD KQPPTGPSVNRHPRRTASGQYDPTSTTGTSAPNTPVTASPATSIHPDRLAALQPASPA ATPPIHPERLRALANPHETPIKPQPPRQAGNDHARPHVPPVVTAAGPPSGPKGSQSSP TIPQNGFAAPTGPASATERAARGGRRQLAGINTMLQQAQHGPDRVNTRGRGGRMGSGI GPDTPISGPSTPSIPPPPPPGPPPAREVGRDLINNSDRADLIPSSVAPVDERDRDRNG RRERSGRHSRRSSRSPVRERDAKRGPEDDRLRNEYRDRTDRPRGERGDVDKERHQPRS SPPRDSGSGRDGPGGRDNGRDRERERDRDRNEGRRDGREGRERDDIRDPHEAGWGGER GGSERGPSGRSRDLRGETRGDERRDTRGTREDGGRKRHSDEGMERGRDSKRPRR LY89DRAFT_132035 MNQHQADSEGEVRKFTCFPRFPEEIKRMIWKWSLAPRVVEVKFD CGGPPWRPYSESIVLYNSCETNPTHSGAPELKKEKCFYTITRTPVALEVCQDSRNAVL LFYPLSFGSVWYHPRIRFNFSLDTLYLDEDFYKVSPLFFSILNNEETTKLRYLALDYD SDGVRPGCGSYLKDKNFSKLIQRSVEGLKALRELIMVQQVQYWVAEAEFDVPGGHFDN RRDLEFDRTYLHETMLPFLKGRFPELRPWTEDWNVCKQTVLLGWRDSMIWYWKNDEGT EGGKCEKASDYQVPWK LY89DRAFT_131990 MHMSAIKPAELVKMLHWQYFHSLIVTVGISLIKLSVATFLLRLV PGKGYKIFLYCMIAFLVAFTLSSAGTLIFSCIPIRASWDAAGEPNAKCFSNATFTAIG MFNSCVNIVTDVLFASLPIPMVWNLQVNIRTRISLIAILSLGYFACAASIVKTVIQSN VLSNPDSTRNDSYFIWNSIELYVGILAASLPSLRPLFRSILETTRSLRTRGITSSANM GGTRHKYYIHEDGIGMNSLQSQNKLAGGGEAKAPYDVRITGVESGSNKSEEEIVKGDG ESSEDVWPLQGIKKTVDVTVS LY89DRAFT_686719 MRFQSFNVWAPVIAASAAAAYTPASTIQTDILAAQGLLNLAVNE VELALQGKAGTCSLSNVAVRREWSTLSNSQRQAYTNAVLCLMSKPAKTNQTLVPGAKT RYDDFVWTHINQTLIIHGTTNFLSWHRYFTWTYEQALRNECGYTGYQPYWNWGKTALD PVNSPVFDGSANSMSGNGVYEAHNCTEALPTLLNCIPPGQGGGCVETGPFKNMTVNLG PVAPTLAEPEVVSTGAGSAYNPRCLKRDVSVWVSSQWSTDQNSTDLITQNTDIASFQT TMQGDFSVGFYGVHTAGHFTIGGDPGGDIFTSPGDPAFFLHHAQIDRTWWIWQNQDLK NRQNAIAGTITLNNSPPSRNGTLTDTISLGVNAVDIQIKDAMSTLAGPFCYIYI LY89DRAFT_736254 MTTTCAATQPHNGKKSVWDFCPSKPAAYLFVVLFALTTLGHLVQ TIYHRKPYCFVLVISGLLQVATFVFRLISIEHPSSSGAYAAYFVLMIAPLFTNAVVYM VMGRMTWNFIPDAKLYNVTAWRFGTLFVILDIFALFVQLSGASSAAGDNKTDAQILKG LHIYMGGVALQQIFIFIILFFAIKFHRLVLQQTRDGAEGMQKALMLLQILYAILALIT VRIIFRLLEYANGLNSSIPQHEVWQYCFDSALMFLALVLFNIVHPGRIMSGKESNIPS RKERKLKGLCNKPGIGRSREDIVLQDT LY89DRAFT_589586 MIQRRKPHHKTRNGCSECKRKRIKCDEAKPACLNCFSRSSHCVY LPPKIRALNKSLSASGESTPTSPNFVVTSQGVLHNFLGRPSQDRSLATFNKRDLELFH FFITVTSQNLSPRSEARQMWQCTIPQIAFSHDFLLHGLLAFSALHLSVQRPEEKKIMR AAAACHYDKAINTYRQAMSNVTRQNCEACFAFSTFVAIFSWVSLDYSADLFFIESAVE GTHAHIAWVNLLRGIIPLLEVSRHWLIDGGLACMLITLEYELQEEAEDLVECSAKFDD LERLWDPKQSKTSLVAFTQSQIKDLKESLRMLKDAYLMILYGGKDVDAVGLVLRWPIM ASEVFIAMINLRQPEALIVLAHYCLLLGQVDDFWCMRGMSRRLLKSIHGVLGKEWENW ISWPLQDLVVNELNNS LY89DRAFT_736256 MASLQKGSPLDTLLEIGRTSSVLSPGTIGLFLTLLLWTLYKQAT QAQVPKIAKTPEAPDTLPFVGNLMSLGGRLNENDCTIYSRWSKKLGNDIFQMRLGSER ALVVNTFAKIKDLWVGHSNYLIDKPQQHGFAKLLEQTHLDIYPYLRQIVFDLALSLTY GTVSSGVDDEFTDALVASINQISYFRASTQRFRDYVPMLRLLVPSFASGNLVASAEKE RQKRLDVIYAALKERTAAGEQADCIVNGLVKDNLSEGEIHRTCKALLQAAPDSTASSV YLVIGWFSTPEGREFQDLLYKEILKVYGGDRDKEWKMAFREESVELLVSLYKETLRFW TTTPFAVPRTTVKDVNYAGTTIPKGTTMIMSAQQANHDEAWHGDDAKTFKPARFVGNP TSLPHLTFGAGARICPAAALSNRII LY89DRAFT_736258 MTSQNSGIETAFPAPFENATVNIDSPRSALSSITEDHIRLSTSS FLTKSYLHLIEAFSLFSALWTGDGRWAEGSIADYDLILSISAEAINRQFKILYDTKIR TSDDFKPPSPLAAPAEYLLYHRLEIHLDKKGKPNPNYGLSAFIDCPQVSFDGLASKVN DYRTAKISIKFIKEGNVDSKFKEPYITEVMQIEIQETIVTGWTMSWEVKLGRHDIQDI MAATAFKDLHELNRKEAEKAKADGVDSRNFLVSSIFCVFQAAQMANTFQLRNPEGKLL ELGEAPGDFTLTVSKYFTGMQVKEGQMTPDNPFVLGYGISQELLNIKEVNSEIKQPSR NTHGETNPSAGVLDQTLFNKTRTSSHDGVMAFCKEIIFDKYICENLGSKFLMDPKLIV KGLCQWNGSSLEEDNPVETKIVSQNGRPPVFKMERKFKHHMYDADETFMKNRREVEGS TWVELSMTSDLLDNPTSLIDKDAKRRTYIDVLYGANVNHRNQTQGRIGSLVQMEAGWS TQY LY89DRAFT_736259 MPGTLSKVLQLPTNVPFPRSLDENEDASELQDGGFVSLAVCSEF TEYSAPVQPFEGCQRLSLVSDPLGQPVVFAIGSEQRLHCLVHINGGAHGWKLFDITPE KVDKIKTFDLIEENEEDSDIKVIHIAVAAVSTNGTANIHHASFPLPAATLKSNKVSGF EPQSIKWTAIINNAGLKKISYLLVGPRPSTSASSAPFLITAGSEQQENIAATHYTIDP SSEIEHPWQTFAFSRDADKILEIRPAKLENEFGIYVLFEQQGATECVIDLFGKDGKYT NTRLVLAEKCGKITSMYSNANATRVTDLFLASDKGLGFVNTQKSASSVQTFAENVSFK QVVASEAIDQDSGDTQSKFTIFAVRDQNELHYIQGIRTFKGNKMVFENSGLPIRTSIQ QISCQFNAQTNASEVLYLDEQGAALK LY89DRAFT_132544 MAVEEVFSAVVDSLETLFPTFTSFLKNAVRAGKKKLMALLGYKF DIARVRKTREVLIATFKATQTKTNIFMKTNKAAIVEGMDLARDKIDDYIKDKRPHPKT PDGKKSMLAWLFDNPIMKFLMRFNPFSILIEAAQGAIAEEFGDEFRIPDFSPLVKIFS ETIPKALEAQLANAMRLIDSLMIRLQGFVANPKSILDQISSFLADSFWTIFDAISNLI TMFWEVATGVFQQAFELISGVWRIPYVTSIFEYTTGQEYSFLNVTSFVGAALLNMLVG DKNRLPFDVHSRPDEFINNIQDKDLDIRSVFEEAFKKISKPTNPHPATINMSAMSAFS MVAMNTSSNVSKVGAPSQGKPKQSTGISRNEMSRANPTLSRVQKQGRVAIRSSTRKFS MLTTAPNNGLLQVSEFFECSQLWLKSQSPSQKRCHAWLEVPSLPSGALEWEVFVVSLF HWDAYSIWSFGNVPWMPVKT LY89DRAFT_720573 MSIRDDDQARAQCLQKPLRRMRGEIRLKSSDDSFLSWLDENLLS DSEDEDSSNNPAPRPIKTPIRTPRLVIAIDYGTTSTSVAYAFPSSDCASLDDIKVVQD WGPHMGISSRIPSLISYSQPNNREYQQWGASISESSMVIKNIKEELDGSNNSLAELQG ILQPSEGTSSLDFNDANAYGGNSKQTRKRPEDIVTDYLTKVCSYMHLLDGLEGSHLRS RIEVDIIITVPASWSQRGRNLLLQAVNNAGFNAETFPNLRNYTIIPEPEAAAIYLIRH LEDDKNINFLKLNQCFVVCDASSRAVKTISYRVTQLKPTLELEEISIPTDGIHGSEIV DENFKRWLRGRLGERNYKKLDPHSTGQGIGASVTEQENMTLLMRRFEEKKRSFVRTMK EIYLTLPQPMNINNILAGVLHSDLKITGEDMREFFDPCIDGIINLILGQFQQVHLKKN RVKDVFLVGGFSESPYLRDEIKESLRLRRVALRYPDKHKIHNAVVQGAVIYGIENPAD GYSPLLSDLVGNDVSNKAPQTPIENTPGRVADVVLEKEPLPSTQDSSDGTVTTDYEGT ALRGTDGIPESTLPTTISEKVDNKQREVEDTISIFTDNQSLGLPETLRTTLIKDIAAK VWDSTHSSLELCDDDVIRISAALPNLLKEMSLELACSANTVIEQRAIAFIRRYRGYIT DFFQASRDTLDDEPASSRRNSDGFTVEEKIDLWNITESLTTDQPSEYAPSPEESSETR VSENVTSMIRMEISNGVFEQYPREDDHKYDETSGDENSLKSGNISDDEKFSRHTTIPD LPQAWSFIFGSEAFTRLLINIQIVSRLTPRQGETVEEIRNSIIEEISRSANFRERVSS GSTFSAFFQISWDPLFFLTEQYNTQNLPPIRDVITLSGNAIDAQATTCGQYVQQVWSD IGLEVLEAIQGAVHDSRSSCKSILADETILSDGTILNVEISGGFLHVNARGTMSRLAN VGEQLSWMGASCRSSLLTSKICYCTPFIVTARSFVPSFFIDFTYQDLEDDSQNGSCWR QLFKNPVVARGFPILARINDEKGLEIPLNMMAGLGGAERATVFNGQLVVKGFSTMFVP TRRISSSILWHFLFNRDNSRISYSAAKQLVAGQDPVTVDYQCLPTARMFLGWASSVQL HTGTSDIEYANLGFTGSNFATAGCALSGVTISGGRFITGGASFVPGNKDRMLSLSNSE PYYLKMESASTWNVVFYDTDDRRAWLVDGGNALLHLTRARLSQKRLARNLDLNFEDFP YADSSHGRLAALISLGVIAARDHVLITDSASFGQPSTATHKWGLKDIVLGYWHILEQI QDHQEVLSNPGKSIRMTDREKLEGFGFVDIISGRTSIRPRVAYLEPSGRGWVDFLHQI QAITLMARGFGELIRPTERSNPLCKAWRQVPKGRDYLVARVAQLFDICDFGGNLDCQP LELVQGLYWHKGGHLFEPCSATTCMANCDRVQVLLPKATLGSKKYPDGLFEGEMEGAV VFGHSRRLPKFWPRNPKSGPFDDLAECDAEDSRRSQSPPPQIDFHDSGLGTELMGTDE SSKVRTRTTESLPSRVSIASNAGPAVMSGAITDINLNSEPTARPSESDDQVVLPLPIA FSPPALRTRKGKEAMRPLSNLMNNLRRVSSYRKRKE LY89DRAFT_720574 MPSGPRYERVPLEEENLPTSTTANNYFTGLPNTAPPSFRTIPLD QQSFSPSLPHRHDESAATVMPASERPERTSTSSPADDPAISLWGATESVITMRENSSR EDILVRLLDAVERLEGRLDAKAEEVQNKEEIDLEASREEKRAQRTKEILGALGGIFVT IVVLVWLGAIIIIPAVAKYKYAGAIAAAAAASIPTSSQG LY89DRAFT_686727 MENTVPEPQVSTPIFLPLLNSIPPHLAPKFESTYVEYYNKYSAG RLATHQIPIETYRANPAAYTITYGRALYPSTGLKISTQTLSVSSPPGTITIQIFDPET THAAKKPVYVNFHGGGWVFGGLPTDFDFCKQVAKECGAVVFDVDYRLAPEERFPTQVE DCWEALKWIHSEKAPEFNLDLNKVAIGGCSAGGHLVAVLAHLCRDHSLPLKLQLLSVP VCDLSIFNPDGSLKSNQPYPSYSEFGPSPPLPLERMSYFFKHFLGDIQKTSKYAMGGE EEWKISPIKARNWKGLADALVVTAECDVLRDEGEAYARKLEEGGNRVRVVRVKGAPHI FMQLDGILEAGREYNRVVLEALSGAF LY89DRAFT_132648 MAMRGWKWSGGKLCLILPLLLDQRPFGITDYYYYFTERAGLARW ETRGSLVGQKRNIHGCCITSNSRLTGTRLSPQNPEKHMAAACRVQRLCTVIADTRAAA PATVLDSQNTVCTQ LY89DRAFT_671586 MDSEYLPEPAIPTSYSPRTYGGNAAFHNFHNDYSHIQDPNLRRR LALSEIDKVPFGWYHVRAVVVAGIGFFTDSYDIFAINLVTSTLGMVYWQGAAGGSSPG VMPTSVNTAIKAATSGGAVIGQLGFGWLADVVGRRKMYGVELAIIVAATLAQALAAPS KAMTMTGLLIFWRVMMGIGIGGDYPLSAVITSEFAPTRWRGAMMAAVFSMQGAGQLAA AIVALITTVGYKKSFYSTSASYSSCHEVCQIAGDKSWRIIIGFGAVPACFALYYRITI PETPRYTFDIAHDIEKAQADITAYMNNKKEGEVDPITQQKTKQRFGRHLAAPRASWPD VLAYFSQWQHFKVIFGTTSSWFFLDLAYYGLGLNNSIVLNAIGYSAGSTIYHSLLHTA IGNLILVCAGSIPGYWLCVATVDTIGRKPLQIGGFFFLTIVFIIIGFCYHELPEGGLL GLYILAQVFFNWGPNTTTFLVPGECFPTRYRSTGHGLSAAAGKIGAIVAQVIAQPLLT KDAPHPCSGSACSPWLPHLMQIFALFMLCGILVSFLVPETRGRTLEELAGEGSTAFDS RSGSVVLSSGFRGFLTRHNPFRGGKPAGFSYKSPNLGPKSPGLRGKRERMGIMTSPDL IPKKGKKSKKERGEKHVRGNSEESGSNGYSVSVSSHGRRGPEENDDLYLSGAVQGTLP GWGAGWSVQRNSDRRQPPGRVESIRLHDVGSLLK LY89DRAFT_686730 MTLLNADCRFGIYDAIIDDHTTIHMVKKYVPNGLLLSLSFTSLD LYHEIKAWSRRRPDLVRSPVFGLYNPYLTSIEFCFQTSTTRDFADLVGGYFVSDLLNP RPVPHIVRRVYGPAAAMRNRDRLEHWQSMMNAFDTSTVYWMLCIETRSDYVRAWPGLR FCELTKGDPRIKIGPHEEEGVSNQVVLGRDEHGDWEGARNGRVERLEKEGKVIWVDEW EGYIDKRPGVVKRSESKSSLEL LY89DRAFT_784208 MEHGFFADMGGFLLVPPDTVPFPATAKQIHWLIEHRYLPFPDVT SAELADKSKQDTVAKVVTCFQIGYLVLQCLGRAAQRLTVTTMELSALAIVVCSIMTSL CWLHKPSNVRIPIKLQLKISIERILREAGEIAATPYKQTPLDFIDDLCPSWSLNVQTF MNMPVAPFERPMSRLGNDRFPNLKGYQEAILCVATLVYASIHVMGWNFEFPTRAELIL WRVCSMFLFGNTVAFWIFETSAAWYHIGRWQRFFCSIFWKSKLEDVEKARLAREAARV PKILPLRAEFWSIFPLACTYAAARLYLIVEAFLGMRALNASAYSTVNWATYIPHV LY89DRAFT_589591 MESLSRQRKQPTPVQMEVVPLTSNFESTHPALLSYDEIPEWYQD NDFIRHGYRPESNSVRACFASWLYMHNETVNIHSHFIPGILFLAAEVGIHQYLQTKYP KATIGDRLIFAFFLLTAVTCLGMSAAYHTLMNHSNHVSHLWLRLDFVGIAILTLGDFV SGIYMVFYCEPVLQRIYWIMIITLSFATIFILLNPRFQGRRWRTFRVCTFVGTGLSGL APLAHGVKIFGFSQMGKQSGMAYYVGEGLLLMLGALFYTVSIEIMCSSPYVNTCCL LY89DRAFT_620563 MSGADPLYTVFIRLPFPRGDFVDPPSVEWDAAKDKALWKILSKA SKNSDIDWNELASKFEVTLAFLLQQAAWLYERQLSQVRAQMRKVGVSKTSAAPSPIPG SAADSAGGESMRRTGSGGGGPRVTSALSARKDSPIPGSIPNTPGRSMAPPFSRTSSAN TTVTSRNFAPQTSPRPAQATLNRRSLSPKPKSRPTSIAIDPPTRSPRPDPLSSPSVSS SDSSSDDSPPLQSRLLRRPPRFSNKLGQSEDADDDDDEPAFMPFSTPAEAGTTHHDPS ATLRGDPRSMGRKVPVYRKSMDAALQSATSDSSASSTPATKRPGPLHGLHRQRPTGPL SPKRTAELAGRSPGMKGKGRDGSEGSPSMGSSFSDLDDASVTQSALEEALASNMQAGG MASRMSTISQALRSKYL LY89DRAFT_686732 MALSPTAARALEQVKMGLYMLRGADYFTEEEWIKIDGLIHKGRY VFTNGPQQQHVLDQELISFDDINEQNDFSVQIPPRAFNTIKAEIMEVSERQVHSPMSD PADLTDLETPNTTSAEVSSDDEPSLPNTTDKTSTTTSQPAEPTPTPKREPVARPINGG LSFYTQAQLDEVNAFNSGLTKAGQKSKPGKHKKNGEESYPPSAWSQNEASEPAAYQTP TPSEHATRSQIQTWASDVQAQDASPSSTPEIAAPAPTKTWTATPPLAPKPIIEAFKKT HGHSPKSINDIAQPNNPEFRPLTARPVSRVSHKSAKSSAKSATPVPPSILNPHKPLHF KPGVVIIAPRDVPKMSPIHLEIKAGDQIKVCKHVSGITHGGENLRTKQRGQFTEDAFK KGVMSAETLIQQQRAALSAKSVAMTAMSVSTVSNGLERIEGINAQEWDDVKYVSEAKT SMPARPNTVQPQILKPTAIGLGASRFADEDESVKSGSENHEFQGMSKEEINQLFDERL AKILAAQQPSTLIQPPKAPWTLPRKPPITDPLKKVTPKTATCWWWATPNKECRFTADE CRDLHAYLPATAAIDPANLRMGKPTWGALADSLPAKPPTGSENSEEGPSGQANTGPFK SKTCWYWANDASGCNNSAEECKYLHERSPAGVANKPNAWKKLPWNRFASPGEKEGGCA WTVNGDGEMDGGGEVREQVVETARAVNEWGYLNKTGPSAWAAEVSATVSLSGWGADSD KYKPPHIKALEEKALVEAVGW LY89DRAFT_720584 MGILAYRHTRGRSGKLGKPSKVTKAMCKKLVDPARNPVRNQPYE AQIAYHKIPCKKRQLQRKLKEYTNSTHIDPSAQQAPRILRELGTQYDDENIIERGERK GVKFYVAAWVTWFDKAKKLEFYNNEEEYEEQPLMPTKPRRRPTTETPEEYQARLTDWE AQKPHKVDVKPQEDGDPSHGMRKRGLAQQLKENNWIVNLKHPAQSPDLNPIEAIWNII KQRLRHRIFQSEEEIKEALQEEWSKVTITEVRKRISQMPRRCARLINNGGKPIKTALW LY89DRAFT_686733 MPDTKIDSPKEVLIIGAGVVGLTLAQGCREAGIPFRIFEQHELS SERSQGWSLTLHWSIASLERTIGPQLSALLPQTNVDSSIKEGEGGFLFLNAATCEPKY HVYPTRRFLRAGRQKLRTVLTSGLDIQYGKKLESFSTKGTEVTARFADGTSVTGGLLI GADGNNSVVRAGLKMENTKLTPLPVNLIGAVRHFTPEQAAPVRALNPLLFFALQPYTK TFLFYSIQEVLADPDGRNSYDALVGVTWMVNDAEKDAIPNTSPERVVEMKKRAQCFAE PLLSMIMDIPDDSMSTTGLRLADFPCEPWDNQNGVVTLAGDSAHAMTMFRGEGANHGI LDAALLVDQLKKVYSGEIGQIAGLQAYEAEMQERTHAAVLKSRQAAFDGHDWDAIIDT SPLIGGRFPPTTA LY89DRAFT_686734 MTSPQQPKRKERPCDACRKRKSRCVLEEDSPICVLCKFHGQECT FIQNPQSRKRKTPQDVEEAGATKRRFSSSSVVSKKSPATQMRVIRTTNGTGVEEYDDL EGPSLLKKTLGLQNKHHSQYVGATRGLEPSLLGQLSAHGKSEVPLAEGSLRRVSSTEA FILLPDPGTQNYSDELDDLDAIEAIIKPHGKALVDIYFRIVFPSFPILHKEVYLEKYN RSYREFSPPLLAAVYLLALQYWSYDDRLSKSKKPDVVELEKLARKALGDTIHRPKLST VQAGLLLLQHTDTDSAELTSQLVSVAYGLGLHLDASEWNIPDWEKGLRKRLGWGLFMQ NTWASLGSGRPSLISSANWALLPVNNSDFPEKIEDDQEGSSEVEKGRILFSHMISLSE ILAELLDTVFTVRTTREITDAGINGLSIVLERVKPVQVKLKEWFSSLPDCLSMEATQV MKLNSVGYLRLAYIATEISIHRRILSSLSTSTDPQLHRFCRNVAHERFMFAIDFVQSL KPQHLSSFWYFASPQNFALIAAFGTLLLSIASTTEEADFYRTKLREYRWTLKINSENG AKYMKPAMALLDANLGLLNEARNPLRSQHTPIPLENGSVTSDATDQFAFSHSHLSSNG DFNSPSQYSFDTNYYPNQHAVAASPVGYQTSTNIDGNAHDFGYGIEGSTGLWPSY LY89DRAFT_132820 MPANMPTTTLLFIGAALLALSPATRATLFYPTVQASLLEHILVD THGAHASGFADAITPCTNYVSGSQNEGRETAAQWLRVAFHDFVTAHVDEGTGGIDASI GFETLREEDSGTAFNDSFSFFRPYVSSKVSMADLVALSVTMSVGSCGGPQIPVRGGRI DATEAGPFGVPAPDTDLPTTLQYFANSGFNQVDSIGLTACGHTMGSVHHGGFPTVVGP QAVANNNIAGGIHFDSTVAVFDPVVVTEYLNSTGQAGGPLVTSFNVSSRSDLRLYESD NNATMIELAQQGEGFLHTCSTLLQRMVETVPSNVVLSDVVSPIEIKPINASLDFDATG NLIFTGYIRVLSSVAANAPTSLSISTSESQSVPLTAESTLGTNVFGVTTFFPFNVSIT GPNSFESFKIQCPGREKTFSVKSSAFVVPSLSSSTSEATTVTNFTVAVSSSAPSYIKR SSKRDSTPGITVQAPVGQMGTLGPAILTFSDVTVTNIGSKAGYELWFGSVNVGTNVTG AVSIAALDSDGNELDILFV LY89DRAFT_132884 MALRQRFAKIKEGAKEKTKLSGWILPQEKGALGDEGTWTNIDMD VTPIDRRTWTAFTMAGFWFSDALNAQSWEAPATILAVGLTYREAIVCIIFGSLCCTVP LVLNGVLGARLHVPFPVAMRSSFGWYFAKFAVIVRMTTALFWHAIQTYTGSTAVTQMI RAIWPSYLNIPNHIPASVGITTQQMVSHLIFWSIQFPILLTPPHKLQWFFVFKFVVVS ITCIAVVIAMCLKAGGSGTIWKQEYQVSGAARSWLILSSLSSITGGWATMATNIPDFT RYLKRENGVYWQVLFLPLIQLCLGLFGIISTSAAKVVYGQYIWDPLTLAGQWQGPSGR AGAFFVGFAWVIAQIGTNLSANVISCANDMTSLFPKYINIRRGVIIATITAAWIMVPW KIIHSAASLLSFMAGLGIFLAPIAAIMGADYWVVKHTHIDVPDLYKSRGRYRYNEAGT NWRAVIAFLISVVPNIPGMAASVNPSLKHSIGGSVYIYDMFYIWGFTSAFASYCLLSH FFPEPNTLIEETISGDVVDSGVEEEGSVGDEKVVMKSADYAV LY89DRAFT_132922 MAPSIKMSLPNARPYTYNFPVERTALVIIDMQRDFVDPNGFGSI QCGNPEIFSVVRTIVPTIQKVLEVCRSTGIQVIHTREGHRPDLSDLPSSKKMRQVGNP NGHHTMGIGDQGPMGRLLVRGEWGHDIIDELRQLPGEPVIDKPGKGSYWGTGLHRTLL ARGITHLLFSGVTTECCVTTTVRECHDRGFECCILSDCTGGFDAQQVTTSLDTICGQD GLFGFVGHSSDFFAAVSKSREMTPPSTPPATEDALLPIPQLQQRYKSGLLNPEEVVKS VFNRIERYEKIDPAVWISKQSREEVLTAAKSLTERFSEKPMPPLYGVPFALKDNIDIE GVVTTATCETFAYSAKSTAPAVQLLLDAGALYIGKLNMDQLATGLSGCRSPYGTPHSV YSSEYISGGSSSGSAVAVAAGLVSFTLGTDTAGSGRVPAAFNGIVGYKPTKGTISARG VVPACKSLDTLSIMAPTLAEARKVWFVINHYDDLDPFAKKPLGLSLWKQEFRGYKEGG FTFGVPPQSVLETCSKEYQELYETSVQKLRSCGGRLVEIDYTPFEKAADLLYDASLVH ERIASIGHDFLMSHLDSLHPTTKALFEAALSSPLRPWNVYHDQALQAEYTRQAQRTFD TLEGGVDVLLVPSTPCHPTIKEMEEEPLKLNAKVGTFTHAGNVVDLCGVSVNAGFFEK GGVKLPFGVTFLSGSGYDGKILDIAAVFEEAVKGERKS LY89DRAFT_589972 MSPTTYLITGASRGLGLGLLKAYLSRPNTTVIAAVRNPTSASSL NTLPKGEGSTLIIVKIDSASHTDALAAVKELTTVHNINTLDVVIANAGISNTLAPVAI VPLSEIEEHFNVNAIGPFLLFQACFPLLKKGSKFIGMSSALATISGMEMRPFPMTAYG VSKAALNYFVRKIHFENEELISFALDPGFVQTDMGNTGAQLVGMKEATTPIDDCVAGM LKIIDESTREKTSGRFPIWEGGEFPW LY89DRAFT_720592 MEALRVPLLAQLSAHLLLHIALLIPPSTLSQKQLALSFLPAIWA INIYTWTAGFGFLAIAQSLWALELLGVRRVREEFSCLRYQRIAAKKVKIESRVEEKKT SEKQKLKEGITKEPYPSTLWPRIFWVANLICSLRYTSWQTTSSTSLPPSKPPKRIPFL THLFLHTTLYLLLIDAISLYTHFDPYFQISTPISEPFPRRLRTFLSLYHLHHIPPQLV RILIVGGQQYAVFSLLTTIPAIFFVGLGALGVVGDFWGGTMGWRAGVGNLSLGTAVVR RGLRGFWGEGWVQYLRVILTGPGKALTKQLGLPERGLRAYSLRVVIAFGISSFLHVST LPSSSNPAFLGLKPLRYAAFFWVQGALVVGEAVASHLLERVYPRSSRGGLAKAGLSVV RLVWVIGVMYWTAPLIVDEMTKASRAFGLRQPVLFKLPES LY89DRAFT_736279 MGLSINTTCNATLDDLRIGRSEVHIAGSMTFHTLGLIIAAACAL IAILLSFYLIWMHAIHYTKPYEQRHIIRILFMVPVYAAAAFLSFWYYWHAIYFQVISD CYEAFAIASFFALLCHYIAPDLHEQKMYFRSIQPKKWVLPVNWFASCCGGERGPWRTP RSGLTWFNIIWTGIYQYCFIRVTMTVTAVVTQYFNKYCESSNSPVFAHIWILVIEGVA VSIAMYCVIQFYFQLRVDLRPHSPLLKVIAIKLVIFLSFWQSFLISILTSTTFNVVKP TAKVAYPDLKVGIPSLLLCIEMAMFAVLHLFAFPWKPYQHPKNTEYPMSPIKNEIGPK QGGFLGIMALVDAMNPWDLVKGFARGMRWLFVGRKHRENDPSYKMNMNNENDMALEPT ISAEGYKRTESLPIAEEFRRSKFGLPKFQTNDNNNKIYDEGAGLIENAQPNPLNPGGY VPARQRYDANGQDISAGGQRYDDPPLDSNPDRLVGHNPTPGTVRKQEHPRLQGQDIGM AMTSPPEPYQSHASEDPEPYQSHVIQQPYAQPQTSADAYREQLRQQRAQAQGRRPSEQ EQRENSNQPMAMNEPEPAAGHPAIHNALWGQRPPPPQPQEQHHNPNQF LY89DRAFT_620587 MADKESWKKEEEEEEEDYDESTFITQKDAVLFAIDVSKTMLTDP PPSNDKKAEKDSPTLAAIKCAYQIMQQRIISSPKDMMGVLLFGTEQSKFQDEDASSRG GIQYPHCYLLSDLNIPDIEDVKTLKGIVENEEGAREILEPTREPVSMSNMLFCANQIF TTRAPNFGSRRLFIITDKDDPHAADKNMRNQAQVRAKDLYDLGVIIELFPISHPDHEF DRSKFYDDIIYRDAAEGSDLALPPTAFKSQGGGGISLLSTLISDINSKQVAKRSLFSH MPFEIGPSLKITVKGYNLLQKQAPARNCFIWAGGETLQIAQGETKKFSGGDVAREVQK VEIKKAYKFGGTNVMFNPEEQKELKNFGPPVLRIIGFKPRSMLKIQDSVKKSTFIYPS EEDYVGSTRVFAALWKKLVKSKIMGVAWFIARSNASPALVAILPSEERFDDSRVQVIP AGLWLYPLPFADDIREPGPVPKPLIASEELTTSTRKIVQQLQLPGGIYDPSKYPNPSL QWHYKIIQAMALEDEIPTVQEDKTMPKFRQIDKRAGEYINEWGKILDEEARASQKSRY GGIDGTDLKRGSDDDDGPPKKKIKVEKNEGTLADMSVDDLKNAVERGTLKKFTLADLR DWLKTKGLSSSGKKQDLIDRIEQWVEDH LY89DRAFT_736281 MAFRNPVSQFAKRASPSTISRLTSTPTSSLWHRSSADQLRNFAT PVPPVTQDATSSKGATAMVFMNMGGPSTTGEVKDFLSRLFADADLIPLGRFQNYLGPL ISSRRTPKIQKQYAEIGGGSPIRKWSEYQAAEMCKILDKISPETAPHKPYVAFRYANP LTEEMYNKLLEDGFGGGKGGRAVAFTQYPQYSCSTTGSSLNELWKWRQRLEGKGEGDL NGANDGSINWSVIDRWPVHPGLVDAIALNIEDKLAEYPESERDDVVLLFSAHSLPMDV VNRGDPYPAEVAATVNAVMHRLKHSHPYRLVWQSQVGPKAWLGAQTSDTVENYIKKGQ KNMILIPVAFTSDHIETLYELDKEVIGESGHADTIKRSESLNGHPVFIQALADIAKSH LDSGEVCSKQMGLRCPGCKSERCAESKKFFAGQGAGETFAV LY89DRAFT_133050 MSDTIESAARGEEEVVHREFWGAKDSLRRNAGLHKRASDEVTPL LADGQGNDGDNSRRGREWEGHADYEGLTWWHKPSMYWLLPPFFLFAIAGGGIMVPKLN LILSLVCRGYFIDRSSMDPNFVLAPIMLGGDNPQCRIPEVQALATKFTLYITMISGTL SAIMSPKLGALSDRYGRLRILVITSGGAFLGEIITIAAATYPDSMSYNWLLLGAFCDG LCGSFNAGMAITHAYAADCTPPPKRAVAFGYFHACLFSGIAIGPLIAAFLVKETGSLI STFYFALAVHTFFILFVLTMVPESLTKKRQHQAQERHNAELYALGLVERDWVVFFKRA NILAPLKILYPTGPGTTAKLRSNLILLSMVDTIIFGVAMGAMTVVVYYLGFQFGWDTA QISIFTSVVNVVRVTALIVVLPTLNYLVRTRRANKQRRESGFAVPEPNSGTDTLDLSI IRAAVCFEIVGYGTYAAVRTGPLFVVAGVMAAMGGIGSPTLQSALTKHVPHDRIGQLL GATGLLHALARIVCPLIFNLIYANTVGTFPQAVFVVLSCCFVVGFIASWGIRPNVYLE DPITFSGNTRTDADPDVLVDEEVTGL LY89DRAFT_736283 MYRRELQQRATSRLEDFYEIECKGNKYWLRCKKQTIAMIDKPKP TDMSTMVAHPSEQGWNLPVGHVRHFLKFPIDIRLSIFDRVLTLSKAETIAPRAVTSNW KRFIDQPRFVVHNKEGETYEQGTPVVIETHQTDEDGEYTQLNYVYFKAIDASCLRVCK QFYQEGSSLLYGNNSYAFGMVNKHYHTSPPSYFHGSGEHRPDPRKPLATHLTGAIARS MPKIHKRSHVKSLPGWIYYDSFLRFLWTITPRNTAMLRSLIFTGNVKIHHCDRSLCPA GGCEDSIIKSLRLYIPFFNTFCPNLKYLAIQAKEDVVQPGPPYPLRPGEPANRDEALQ RFLDELRNIVSLQKLVVIDESQQPLQIAKSTMEWFNHRTNERARAEAADRQKLIPSTK EKVKTLETTCKFCGGEHVWPDCWDLCNFCGVYGHYRSTCPELQK LY89DRAFT_649943 MSFVTALLLFPLRSLAAPLSTREIQSIGSIDPSTDEWSSTLSGI GPLILLVGERTTQQVLRNVHSRSSAFSLAASPLGLLSVVTSLIRFCGTQQLRAFIGYE LEARTVSGIEVTRVNCGGVTAHLTHGYVVRSIVANPASRLIAVSILEGNERGLEECAL ERIRASERFEKQKRKLDIPDGEANVDWCMHITLTDVSDESLNTLVQTLARAVGVDPRT KKVNNLERSLILGLRPSGNANHNDGTGRKYPSSTSSPLWNFSFMTTFDAVSEFTTRPP TRRFHGPIIGVLSFLGIITVHLLALWHNGWVMSIGWILVMIGYFGIVLGVLFASLLIS SSCISIHLDTSYRNSSRLWKDGMIISVKNVDSMDTTGSAFVSCPQPHQNLEAIYIKPS TRQDRSLASFITISLIFAFIAHYLGLRAIKWWASIGELGICIIAAFARSVSNDAQPRF KEVEGMRIDKRCSSTGIIVTQSARLIDEHTEPTYKVDGRSYALKLLNNTPIVGERVAY QMAKLCINDEAICTLLTKLTGLRLVVSSSSSSLSVPIRSVLVSFTGGILVSEGLAAPA PQLILSFQAKISDLAAPTSLLSRIIMRQLEWCLQTGVGKGIPLGNVYVFAMQSMLDWW TVSEDRNDMADLQKNLYWPMLLVNCAFFLECLKMEKEDDDLVKGLAEVHGEGEEGDAK VANDVVNFLRAWE LY89DRAFT_784225 MSDTPVPLRDTRTIKKRNLAMTVEQPFFRTMTKTCLKRMKTGAK NAFPNLGETEALYEFARKHQLEGFFTSTKRERDVCLALIDYFMSNDYRSRNFSQREGY RGMKFFVPSQTPTLESVDRPKAKELTAIIVHPSEQGAKLSTDHKAHLLKLPAEIRLQI LELVVSVSREKTVHPKVVTCNWKPEWSESRYEIEIPNCYPSVTEMSEYARNQREDDEG IYTEIQKVYYKSIDATCLRVCKQLYRERSKVLYGRNRFAFGMSNTSFRRSPPSYVGGQ VQTWNRPDKPVLDNNRQHNITLAIENVEGQAPHESATMAYCDSLLRFLYTICPRNASF LTSLTFEGNVKIHDCYSKRCSSQCDDDLVHSLRTYVPFLNKFCTSLRKLTILAGKGGG VSIRSARIEDGPNRWNKAFLSLLEHDIRSLSTLEEIEVCDRDKVPLIVAQTTVAWFVE RARAKAKEDARALEERTKLERGSA LY89DRAFT_620599 MAPHEGLTHLKQYDIKDSNVELIGTEIDHKVKYKSAETEPAWKG LGQHAGLYIWRIEDFEVVPWPKEKYGQFYDGDSYIVLHSYKIGDKNGQEQLGHEIFFW LGQNTSQDEAGTAAYKTVELDEFLRGVATQHREIQQQPSDEIMHLFPRLRILSGGVKS GFRHVEAAEPEEKSMLLRIFKHPGTGSTVVHEVQPIWQSLDDDDVFVLDKGDKIWVWQ GSKCSPMEKAKGAQVVSDLTLAKHIDVEVLSQTEARSRVIVDLLGGKNIEQREFRAPR PVSSTSQSTSSRPQRLFCLSDASGQLSFNLVKEGQPVQSTDFQTNDVFLFDTGKAIWV WKGLGASRSERAMWMKVAQSYLRQLQSGPGGDDAHLTPLATVSEGSESPAFLKVIDVR LY89DRAFT_720600 MLPRSLIMKMIVPKNVPPSAGWTSYDGWDYNGMKERLERFMSII NKSSLVEHTQLIIGQPVSISEAFSAGQFWCCFELLAVDGHLIIARVRLPRHPDSTDSA NEHSELYSIECEVAQMKFLYENVTGVPFPKLYAYEGPGSQRAANVGAAYMLIEGFYGN TMQDVQFNICELPISTQEHIITQWTSAQAELATFTFPQIGSISHFSNDTGAIIGKLST AVAEGLSDEGPFTEACDYFAAVAEAKFRQACKNDVADDGSNIFTRLGPFVFKDIVHNT ALFKTGGGPFHLDHMDMGTQNILVDQYFNFLAIIDWEFAQTAPWEVIHYPMPFPLISA DAKTDGILQNPTT LY89DRAFT_698644 MSLSKIKHIILVCGVGKSFVTTQLALSLSLASHSVGVLDIDLTG PSIPRMFAVEDTKAGTIGPLHVISLGFLLSHRGDAVVWRGPKKSAMVRQLLSDVIWDA LDYLLIDTPPGTSDEHISLVETLLHTTASSPAYPDQLAGAVVVTTPQAVATADVRKEL NFYVKTGLRVLGAVENMSEFVCPICSECTHLFSSKSDYVPIDPEFGMLVETGKCSTET IVHRRDISKSILASASKDVDENTSVEELTLLVEKYRSYSLAPFFKVIIDKVLVVIDSA NAGSRQQ LY89DRAFT_686745 MPTRRTKLSEVKVEKARDDWWEGNHRGGCSFQMRLSKAPVPAPK MELEDIDDGVDVSRRDSAFTYDNPF LY89DRAFT_589700 METPKQTVFQQKTILIALCTTSFVVSIDTFIMTNALPTIAKDFG ISNAGYAWIGSAYMLSFGAALPMWANFSNICGRRAVLITTATIFLIGTIVAGLSRSNA ALIAGRAVQGIGAGGLSVLANIVVGDVFTVRERSVYFGIVGCVAGVASSAGPTVGGIL SQVASWRWVFWINLPFIGIALFVLVLFLRLKTDHAPVISGLQEIDWAGILSIIGATLM FLIGLQMGGTIAPWNSIRVILLILFGIIAYTMFVVIEWKVAKRPLMPLKLFTRVSYLA IFGVNISQSFITTGCTYFLPLYFQIVLGASPIMSGVYFLPTTLVLALSFVFVGHIVKR SGEYKLLVQVGACALVVSTGLFIHLGSHLDWPAMIIGQILVACGLGLTYRAPLIALHA QLEEEDVANGTATFQLLKTLSQTISVILGQVIFQAKVQKQISSLGGLDLAPGFLLALS SGNTISVSSSIASMGIEQQGAVRGVLAAAFSEMWIFYLLIAFGGFVSSFYIAKAKF LY89DRAFT_686747 MYFTSYLQILALSFSAITIAIPIAPRVAPNIELEVADPILDIEA RAAPDITFEVADPIVDIEARAAPDITLEVADPVVDIEG LY89DRAFT_589728 HNQTLATEYWESLDTSPIVVALDHAYTDSHGLARSVPFPWDDGK GLYHIKAFHDLHCLKIMYREFQAPVKVDKNSRVGKHIYHCLNILRQDIMCKADDTLMP SEDRPHAIGDQQVMSCRSWDDLISWSRATERHSCYEMITDYRPISHRLEQYAFCPEDS PHYNTMKAYFERHGHKSLFDDDVVGEY LY89DRAFT_589796 MSALPVPEENDGTKIPSTNTVNRCVYPRDEAVLARFGKKQQLNR KFGLLSIVGLTCTLMITWEGTLTVFQLGLTNGGPSGLVYGFLFAWIGTALQALVMGEM ASMIPLAGGAYNWVAILSPPWCSKFLSYLTGWITVIGWQAALASSALLGGVMIQGLLV LNYPTYVFERWHGTLLLYALIVIGLVINTWLARLLPRIEGLVLGIHVIGFFCTLIPLV YLGPHGSPSDVFAAFNDGGGWGSQGLSFMIGLSTSMFAFIGFDAASHMAEEIERADIV IPRSIIASVALNGSLGFGMVIATLFCIGDVDDALASATGFPFIQIFRNATNSNAGASA MTSMIIAAMVFATIGFLATASRMAWAFAREKGLPGYNTLVKVQTKSALPMYCIGLSTM ISLLLALINIGSSTAFNALTSLVISAFYSSFVISASVLLHKRLTTPEHEMNYGPFRNR HFGIPIIIGSIVYSVIGIFFSFWPGSLNPTLVNMNWSAPVFSGVMVLSMGFWFIHGRR VYTGPIIEVDSREMSG LY89DRAFT_752108 MASSGIIEITSDARGSWINIATWILMVIMCLASFVKLFSKWVIA RTFGLDDLFMAIATVITIAYDIAVSMQVAAGLGQHQSTLSGHNILRYQKVLLPLFTRP LSVTAMQAEYASQLLMTASLCVAKMVLLHFYAVLGRHDIRLKVIKSVIVLNVVAYAML LLCLAFQCGIPNPWEMFSGKCFDQVVFWDAFTVIDIFLDVCTICLPIYLLHDIKLRRS QKFYTIAAFGSRTLLIPVSVIRIIYIHDNSKSVDHTYQDFAIILTTSFLINLSVIVTA IPFLKPIMDSLQTGILAGDLRSMGGSALLRSTSYPLGRHKRKSTPHKGGGTRISDQTG TVGPQGIGCESPEIGSAESFSAV LY89DRAFT_708670 MSRRGRMRIVCLCAEGVQWWPQMYSDAVFLEVDFGSKLFASATL HELEALLSSAHSSRYVIQILRSTMGLKLEVCGDADMTRTFAIMSEAFGHEHPYIDAAF PEHDTPAGRASGGNRMLAIKHADPNTTFLKVTDIDTGLMIAQAKWNIYKNTIPPELDL DGDFWENDEEKEYAQLLCREYLIPRRRAIKASGGNLLSLDLLTVDPQYQRRGAGRLLV KWGTALADELGFMAVVEATEQGRGLYESEGFKAIDHWQTRLPEKWAGRGKQGFLWMVR PAKKL LY89DRAFT_133275 MLPPSLLKPPTHHPHLRIVTSPPHSPSPSTAHHFPTQTYNLSIH RQTDTTTDINTKIFASHSTRLTRPFTSRDDTVPPLQHSNTLPFPSTPSLLSPFLPPSF LTSTHKNSQPSPHKPPGPPQKQIPEFHSPTRFTTHPPPPAALTQPNST LY89DRAFT_589814 MFSQRRCYLLVLDAFCFNLLLCSVSALNVEYCSSLNTATTAKNS SIYQSNGLCHDFCLSNYAFAVLQGDGCWCSDYVPGTTTTGCDSTCPGYPDDLCGGNGL YGYIALTIEPSGTEGASSAAASSTSASAATQVTVTATPQAVTVVETPTTSPSPSPAES STSFSSSVSSTESSTTPITTSSKALTSSSSSSSSTWTATPVTSLETVTGQVRTVTVTP TAPPTSGSSTGITQNKSSGGLSTGGIVGLVFGILALVASIAGIIFCCIHTRRRNKAEE YNNMSRRGSSAGLGSAGETVPSRTMSQNSRFVLNTDGRQVVETWEPGDMPGIRTSRLM PVDPRLDPFAAVYQRGENKSRESINTIRDDQDYSRRVAGPAPILRATNPDDLDD LY89DRAFT_708673 METCKYDGYLSQYSFDINSYHAPRWMTALPSDTSLTNLSIPGTH DSMTAIINGPDYQCQNHPLTTQLEAGIRYFDIRARLHNDELRIYHQDSYTEHTYANVL ITLFSFLDSNPGETILMRLKEESTPINSTIDFITSFNYYRLNSTITASGCSQHFYVPP TPGPTVVPTLGSLRGKILILQNFGEDPAEYGIKWESPLVDLEDYWEVPDLADLDEKWV AIQEHLWAADNGTEAGDGKLYLSHLSASVGVLPIEAATGNHNGSVVGMNDRTGKWLKE GNGARTGVVIVDFPGQKLVQEVLKRNGG LY89DRAFT_671620 MPACTILLLSLIPTTTIPNFLKALSTTPLKPIVISRVIRWIILP TKLSTSALLAQNISWTLLLILPTPSASLPPSLHSQISHTWTIQAGVPSRLLQDFPSKN ATLLHPPPSTIPPLTDSLSSPLSSSSAQDLELSTELQHWISSNPLGDSAVSMLNLLAF KEGMKGEYLKYGAEFARSIGRKRGGDAKIVGSVIHGDGKKDKEEEGEQWDEIALAHYP SLTHFADMLASEDFQSVNKRYRVGSLRDTAILCVGVGAGAEWTTSYPRFLLIL LY89DRAFT_698651 MRNHVSALCTLPLLFAAAISQSIPYQPTTILLPDSSASNHDIAY AFLPASGSTQFVSLNISSTLNLSNLTLETLSSDLPFVTGDTDAFIPSISSVGQISVYT GSCLTNTSSALWRFTPSNTSTIGNGTWATETTTAASDVTSADLPGADFLARGFSFSTL VEANASETNIYLFGGMCPSSTATTATWQSAASYSNHMLRLAPDGSPSTTASYTLDVTS SRGPPIAEAGFTITGLTPTYSNATGVQTQERSYVLVGGHTQTAFINMSQVAVWSLPEE GWSFMSVDSPSPSASPNTELAIKSTATSVDSRSGHSAVLTEDGSSIIVYGGWVGDLTQ AADPQLAVLNLGTGYGGTGDWKWSVPTNQPSENGIYGHGAVMLPGNVMMVLGGYNIST TGNSKRATTASQVTFFNATSMEWVSNYTNPSYTAAIASASSSAAAAAASKKSSSTKLG LGLGLGLGLAALIAAILCCFCCFRRNRRRREAREEGLGRLDAGSRDFYGTPNREMSQS GGFPCGPIHDSNSAVAGGAYTQAALEYNQTGLGPPAVFGRANSLGTAGVIHPIYEADE DGSQPANENIGVALGEPSVGPSSSRQNRYSDPFRDPPPSIVTNVRRDSSGQTSLEPES PAESRQREIQEWVADWAAADVLLNSQIRHSQAGRVSPTRRAQLIAASHATAHSVSGEE DSGRTESNLSERTERSLAISNMSISRSGSSSQGRSRANSLRGLITGINPFSSGVASTT GPSTTLSPVLDGAGPHGPRNYHPPRSAGTHTTLSFPALQAEGESLLPRPDELPSEQPS PTRSQADSVGSPSKSKPTGLGKGRASWLGSIRRVFVGDSPGSESPAHSHSSRENSPAR YDYATGSVGRAPRRTVSAGATLWRRKQGKGDWEDSTDPAERSNTFTGELSSPGMRGGH IDQDDYDEWDIERAIENRVVQVMFTVPKEKLRVVNHDVRDDLKDCFIPPPETLPAMDG VPLLETEEPEDDHEESVSTDEKGKEKEREVERDMSRTPSPSRRAKGKVAEIIELMEGR SSPEREH LY89DRAFT_686758 MKYGYNPETYAGLPTVSQNAAAFTQKDAEKALNDCGKVFFNHFL QKTYGLVLLYSHFQLTPEEFMVEYRGIATAWPINTKLPVGAGIHPTTWAITDGALEPY EFEFILGSEKFGDDLDDINLSFV LY89DRAFT_589561 LVLFFNCNESVARDRYLTRKLEGRLTDNNEMFQRRYNEFTLLNR AVLEYYSGLGVLVTIDTNVETTISYQRLVAALKLDNERRESH LY89DRAFT_590043 ILGCPGSGKGTLCKLLVQDYGYRHVSVGDLLRSLVDGSSEPNTD VVNHVQQGTLVPTAVLLNILERAIMPETRDSILVDGFPRRLDQGIASEEQASDRVPLY WIRLSHLLVWKT LY89DRAFT_736301 MSYIYIATLLAFFAVIAMLRKRGPKSPSLVPISVNYHLTRKCNY ECGFCFNTAKTSYILPLDDAKKGLALLQQAGMKKLNFAGGEPMLYPKFVGELARYCKT DIGLESVSIVTNGSLVKPQFLETYGGFIDIIAVSCDSFDKATNIKIGRGKGSHLENFK RLRVLCQQYGIKFKVNTVVNKYNVAEDMRTAIEAIAPFRWKCFQVLVVKGENDSDAML RNATRFVISDEEFQQFCNEHSTCSGFVAEPNNVMKDSYLILDEYMRFLDKGNDPSSSI LEVGVEKALQSVFWDEESFKYRGGIYDWTKKAPQGTSANLLDW LY89DRAFT_784240 MRSYEIEQKFAFNLALLARFRTNRGSPPFRSLCHQQTERLQDEY FDSANQLSKNGVWIRKRNESWEAKHRQGGDYLRSSFYETDKVDEIKRLVAKYAPAEDS PRLEANFGLNSICRYLTTRETFVADHRFTIMLDSTDFGHSVGEVELQTRDPTAAHADI DKFMQKYAWFFVNKTPPKGKMTAYFELYGHPSKSDL LY89DRAFT_133811 MYVEDLAEYLQANLTTTKKQFTHGRQRIQLTLFCQLTIFSENRP LTLFKLRYRDIIVILLRDPNSGPRRILIEFTCEFTKQFLGVKDAIKFVLPEIIFDPSL VFSPHVFLLGLVFADKAFAAPNLRSATQLSGLDIRPGYQQLELLFKPSMLDVPIFRKS VKTRYGYEISPYEPLTYPTLLSLMKVIGLILGLLEPTRPYCLRYNAANEFNKSGDVTD ALQNIMLQHASVDTFVKHYLPRRSGDIRAIVSGYESQKDLMRAASRMTRWIDPSRPHT LTVEQSQSVDNDRRLRRLLALRAKWNRRYKGAATKQPEYQRLGSAIVNLRQRLRAALF RQLRDKWDTEHPVNEFELQLAGLKFCDDSGTGVPIIDEMAPNAEALGGDGYDLAGYDR GRGVLPAQCRNRRRRCLLPLPRRRSCCHATWEAVYAESEFDDVEGD LY89DRAFT_133814 MRRRQPTPSSSLSDSDRSDVESCFDIEDEREETDVETEPADIDT DVDGVDEANLPDWKWIAREENAHPPEYYLDQEDNSDESEDKDEDYNDSSLLLLDMIED LY89DRAFT_736305 MASSSWTLKANVLHTALTAHIEYQDKKGYSWYHEEAHIGWRKFL EVKEGVTWRDILRHVNRPKKQALQKLVRHPSESGWALPQDHDRHFMKLPQEVRDIIFG YCVSVEDPRTVQPLPVTSNWKTCWMSPRCDISWWEILPLAFFKRRDSKGTYTELRPIY RAKIDATCLRVNKKFFKDGNHLLYSNNTLEFLMVNEHYQASPPSTYDGETLHRPNPSK PNVSELPDGYNNTIFATAISAIRNHIPEQELDGSVYYDHFLRFIYSIGPKNAASIKTL QFGGCPNIHECHDGVDCYKKCRQDLLNSLRFYIPFINEFCPGLRKLILRVEDDSRYGH GYGRMITTYGPSTSEEAMRPFVENELKAIRNISELVVMNRGVKLEWAEDTMKWFADRA EERKRLEWTEEKKRKEANAVRAANIICGFCGECHVWAECTNLCSICGKFGHFCKKCPH LEEFGGIVGR LY89DRAFT_736306 MFLGTSASIALILASNALAQILTKSVCSTILVTISELPTVPTTV VTVTVTAPTSTFAVYTSSDVPTPAGLTAIQDYLLGVSGITQAAKRAVAPKQTSSTTLV KKTSSSAVAPNPTAQAMSFVNGKVNLAGHPQYPQTVTCKENYIFYNTSTKYTVAKPTT IFIGYTESSTTVTSTPIATQTVPAADTYDGCAANNIVTDIDSVNYVFAPVLKPFLSNL GPLILDIPSATACCDTCFNTPNCEASVYFAAIETCLLSTAYVYAPSVAGIVFGVAAQP LIPSLFVTISNGPCGQLAFSSLIDGPGVTL LY89DRAFT_649974 MADTVVVVEQSPNSTQSPPYTNTESENGKSPFACNVCHRSYRRV DHLARHYRSHTREKPFVCNTCGKGFSRTDLLKRHSIGHNDRKDPRRYPASAPQLARVA QACTACANSKLKCGNQKPCHRCRQRGIACTFAPQNEKQRKTQQKGTLPIKRSDTQSLN LNESEDQEVLSLENNVGNLRFQDQQEMSIQHTGDDASPNGSMPAQSMLSLTDGSNTVP SSNDSIMDFDDSSLAEFLRDVMMPGSPNSLAETNAAGFLPQNYYCGRDVFNFGMDSSL DFNDMDFSWIDSQQYRQPIWTSVPAHIDDVRIAGQETPDFSSGTTAGVEAFQKSVWRW KPGRQDHASSEQVHLSVPYKDMQHLEVRLPLDLLSHRIEQTSRDKILAMVLSTCERPN VSQVVTSFPSADFLDSLMHSFFRNELAREDSWIHIPTFRPQTNRAEWNAIVVAAGAIL SSIPTVRKLGFAIQEAVRMVVPTICEKDNSKTRELQLCQTFALQLSIGIWSGNKRKME IAECHLQPLITMLRRAGHYRRRPPIPAPSPIDDEHTLEIKWRAWIEAESFKRLAFHTL LHDAQASISLLTRPMISYAEMSLELPYSLSLWRARSALEWRDAYLVLTPTISTRLPSL MQSIHDLPSLVSLSPCLDMRFSTSAILHAMWSLVSEYRQVQFVLKTSPTSTPDPSPPS SNGALISQSWQQELTHLLESISLTFSSTLTAESSIIQELFLMNLHVSFEELQLFAGKE GTEEAVRVYPSLKNWWKGRRARQAIWHAGQILRSARRAEGELRDFYAVAIYHAALCFW VWGMCELGDSRPSTIHESEEIVWLDGEENNLTRRFIAMAGCVPMIRGLVGNGNGCRLD NPKAVMELVIGVMGRACVLGNSLPPLVENLSQLMRDLGGAAGVVRSQSRKGNVYS LY89DRAFT_736308 MDPRYDHGRSLSSENFGEPLRMPATPNADHSPHLYPSGSHQTLR NSYDDFAQTRTSLFDDHQHDYDYDAEAQQGGYHEQPFQADPQDIPLLTRNSSQPQYFE QQHAEDSGIHRATTPFGADAPFQPRGDGHLVYDCPVPPKLLRNIPHAEPPARDEFTHM RYTAATCDPADFVGENFTLRPQLFTQRRRTELFIVVTMYNEDDVLFARTMTGVFKNIE YMCSLKGRHKGLWDENGWKKIVVCIVVDGRAKLDARTRAVMTALGVYQHGVAVQEVNK QPVTAHIYEYTTKVGIDVRKGIVETKPGLGPSVQMIFCLKEKNQKKINSHRWALQAFG QALQPSVVVLLDAGTRPGPQSIYQLWRAFELDKHCGGACGEIKAMLGKNKGWEKLLNP VVAAQNFEYKMSNILDKPMESAFGFISVLPGAFSAYRFTALQNDSKGKGPLEKYFDGE KHHLDAGIFTKNMYLAEDRILCFELVAKRKSSWVLTYVCSAQGETDVPEEMPELIKQR RRWLNGSFFAAIYALVHFYQIFRSSHSMTRKVMFLIEFLYQLISMIFAWFAVGNFFLV FRILVSALGDKALLGHAGEVLSVIIEWIYLGSLVTCFVLSLGNTPDGSRRFYMTMVYS WGLIMLYLLFASVFITIKSVQSELHEKTFTWTQLFSNELFFTLIVSLLSTYILWIFIS IVFFDPWHILTSSLQYLLLSPTYTNVINVYAFCNTHDVTWGTKGDDKPEKLKGATVTN GKPDEELNFDDGDLNALYDTALEKFATEAEPEVKKANAGEQELNYNRAFRTYVVLAWM GCNAVLVAIVLNAGGLQRLNVNTTDVGDDESNTVKIYLFVVLWSVAGLSAFKFVGAMW YKIHRIFKR LY89DRAFT_686764 MSEKAQEPLPKINRFITDHDSSGKAIFSEEIPSALDWQTLPDGA KFCLGYCTNTFPVSLNSNEDVSTYRHYQENLPGITIPGGTVLRIVDMLPGALSPMHRT VSLDYGVVLEGEVELVLDSGETKLMRRGDVAVQRGTNHAWRNASQEKLARMLYVLQEA APIEIGGKKLDEDYGGIPGVRPSK LY89DRAFT_736310 MDQSLKGKVAVISGSDSGIGAQIARELSAVGAIVVINYPTSDPA LVERASAVVASLSTPGLAVEADISTTTGPQHLIDEAVKVYGKIDILVNNAGLAVNLPF EEQTLDHWDKLVNLNCRGTFLLTQAALPHLAPQDARIVNICSISARDGPPMQTIYAGS KGMVDSFTRVWAKELPPKYNCTVNAVSPGPTKTEGFQAAGEEMMKVLSPLISKTPVGA RFAETSEIAYAVLMLCLPRASWLNGVHLVASGGLFIN LY89DRAFT_720618 MEAVTMNGADKTVNGNGAHANGSSKHGLKILIVGAGVGGLTAAL ALRQQGHEVLLFEQSQFASELGAAMHLAPNANGILRRLGIFAEEFGANPMEWLTEYKS TGEETRSMYVKDANSIWQHPWLLAHRVHLHDTLKHAATGAKGKGLPAKLHLGTKIVDV DVSKASVTFEDGSTVQGDLVLGADGVKSISRKKVAGREVTPFGSGKSAFRFLITRKAA EDDPVTSKFAQKAGELIIWYGADRRVVMYPTTNNELLNFVCIHPESETDAGNDPWNTE GNYDRMLKVYEGFDPALLALLGKSDRKSLKVWKLLDMETLPSWVNDRLALLGDAAHPF TPHQGQGAGVAIEDAASLAVLLPQDTPVEEIPERLRLYEKIRMDRAHRIQDFSRIAGS DLRNNVKFDMREHTNYNVGHDEWDNSTQALRKWTWARNPNIYWRMPVAFGPMPGPRQT FSGAERKSKHSTFTTASIKFKTSRTLLQNLFPPNSSSYRFKSPGTVAYASFSQTTLGK MDWLGGSGYKHLGLYIHGVEYVKKNGDVISGTYMPLLFESLTDPIVSGREELGMPKLY SSLDIHRREKSYRINSGWEGAIWGNFVWDGLREADPLAESGSISGEDDDGILVYRYIP TVGWKNKGKAEAEYPVFVPYKEDSLQPKTERVWKADKASFKLDALDVDALPTLHHVIE RLAELPVYEIVGAKIVEGTGVPDVRAAQRLE LY89DRAFT_720619 MGSVEADFSSSVIWKDSPKYEEARVGRVFNHRRPDRYPRAVVEA TSVKDIVNAVLLARELNVRVSVRSGGHSWAAWSVREDAVLVDLGKFRRLEYNEETSTV TVSPSTTGRILNGFLTTKGKLFAGGHCPDVGLGGFLLQGGMGWNCKNWGWACEKIKAI EVVTAEGKVLTCNKDENTCLYWAARGAGPGFPGIITAFHLEVRDAYSCMMSSTFQWPI SKYQEVMDWVVKIAPQCDESVEVVSVGLRPDPTKDPIILAGFLSFQNSEEAAKKSLSV VNETRPAGTIMEAMCLPTTLEKEYCAQDLANPHGHRYTSENAYIENDANVSEVLREAF TTLPEGTKTFALYFAMNPCSRREPSGMALSMQSDHYFALYTVWEDAKDDERCQTWVKD VMAGVEKHSVGAYLGDSDFQVRRTKFWGDSQARRLMHVRRDYDPEGIICGYLDQGDKS GVAGLDNVFEWQQRPEWDLTSTL LY89DRAFT_649990 MSAGIEQPVPVPSDSAMDVEKPSNVKRVVTLGTIRHRHEHTNEI ILVPTPSSDPNDPLNWSQSFKWYMAIVICLAMFMCNFLAAGPTIAIVQTAMEFFPTMA VVPAISKTAYFFTTTALLQGTGNLIWMPLVNKYGRRPIYLISYTLYLACAIWAACTYT YGSFLAARIIMGFAAGAAETMAPLSIADVFFLHERGTIMAMYSAALVSGVSGGIIIAG LITIDHNWRYIYYTAIALVGGTLVLAYFTFPETNYRREVETDSDSNQPLTDNLPSEKL GATHHEAATNIPVKKSYIQRLAIFSGTYTSESFWKLFFRPFGLILLPPVLWSSLVQSV TIGFIVAVTSNVASAYQSTYDFKAWQTGLCFIAAIIGALIGIFCGGNFGDATADFFTK RNGGIREPEMRLPALMISLITTPLALILYGVGIQKQLHWMCPTVGLALLNFSIVQATN VSLVYVIDAYRPIAGEVTLTVMAFKSAFGFLLSFYTNPWVAKSGYLNAYGAMAGISAA VLIFWIPFYIWGKRIRHATWHWTVVRYVHWEEDREVGE LY89DRAFT_649993 MHFTTAVAASAVLALATASSLAGQATFYGGNVAGGACSFSTYTL PSGIFGTALSDSNWDTAANCGACVSVTGPSGNSITAMIVDECPGCGTNHLDLFPDAFA ALANPTTGVIDVTWEYVDCPITSPLEVHNKEGVSAYWFSMQVVNANQAVSSLEVSTDG GSTWQSTTRQTYNFFEQSSGFGTTTVDIKVTSDSGDVVIVNNVDVSSGASTTASGNFG SSGSSSVATSSTVAAVVASSSSTPVAAFMESTSVFETTTSSSAQVTGSAGGVFQEVSS SSSDATTKHTTLSSTTTLYKTITVPKSTSTSTTSSPTITASFNSSLVAYSTPVANSST ALPSGYSISVLTISMCKPTVLYSVFPVPSSSSTSSPSPAATGVASYSANITLSAPTST PTAFTGSASSMQVSLVLVGFAGLITFLI LY89DRAFT_589540 MSLELLTEEKSGQTARLDLIDMIPDLQRALIGEQATLRNMTWSP VNLVTLQAINRFKVAQHVPIDGSISYKELSALCSVNESQLRRLIRHAMTNRIFCEPEK DQVMHTAASKLLVEDPRMDAWVFFLTDYFWPATARSVDAFQKWPGSQNPKEVGVSLQY GRDTTWFAEIARADRGIESFRQAMEIVNDGEGWQDSYLVDNYPWGEINNGVVVDIGGS NGHTSVAIAGACPKLQFVVQDLHTEGNHLPELLKTRIKFINHDMLTSQPIKNADVYLF RAVLHNHPDTIVVKALQSLIPALKPNSKIIIQDFGLTDPGQGRLADESYERMMDIMMM SLMNGKERGLEEWKALFEQADPRFAWNGGTRPDGSRLWIIESTWEP LY89DRAFT_133942 MLSDDLIRSTEQATPSIGKLSGHDAQLLELCGNCTVVAEKLISV LDRLTAQKTHSFWDSFGRALLTVWNSKEIELLEKELQSYRQQISLYYNVSVRKQVNHI QADQSSHGQLISTSLQVTQDLTQHILKQMDQTARWQAKLIEAINRSSNRNDKQILGLN ASPINTHNQFYEKHAERFRKRLIAHLHYINMETRSESVPDAYGETFQWIFSKPDLKWS DFVHFLESDQSLYWITGKPGSGKSTLMKHIKNDERTKRCLSAWSGGKKIYLTGFYFGV PEATKSR LY89DRAFT_133939 MKSCGVFLWVTLVVLSLSEGLTDGDRLSDLQKRLDLLPSDLEDL FWRILNSVDLERISQLIQIVRISPESISILELSYADEDDPNFIFKMLTRPVSIATISS RAELMRRRLNACCKGLLEPQGIDFKTDPNATVGYLHRTVKDFLQKIDVWERLLHATSP SFDPAMRLSVSHVAHLKILPTNSRYCIGAEYASQMRDLREFWIAVIHCIWLILRHGPH ATEVKLRLLEEVNDIVDEIITRAASNNNSTMAPALYSNCPSTLSGETNIRSFLHIAVR LQIDFYVNVHVSDLQKPDRIQELSFLLRIALTDYECNCDGFEITAPSFSIVEYLLQHG ATLDGTNAWHYILGNIPHRSDLLMLLLRYGTDPFDIRLRSGGKALETEVLKLAKTKRD EAMRKGSRLGGREKSAMPEASEPLEDKARSRWSRPISKAFGQKRA LY89DRAFT_708689 MAICRFVSFAACISYVLGACSSIEVRKEWRSFTTDEQTAWIDAV KCMAELPHNSSLVATVGEFAAIAKITSNSSYYDDYTYVHSDLNPTIHFTGLFFPFHRY FVWSYTQALKNDCGYTGVAPYWNWTIDAANVKDSTIWSDDASSGLGQPVGIASNDFVV GTGGFSSNFTLAYPTTHGLRRNFTLVPYSADAGSSFFPDPDIDANATFTPAKINALIA NYTGDFKGFQKYMEGFEGPHGSVHEILGGDLAGYCPEDANEACFDDEPSPTFSANEPM FWMHHAMVDRVWWLWQNHHPNNTYAFEGGSVQNFTDYDQFPNGGPPWLSMDSILPTDG ILMPAETTLEDIWITEGDLLCYTYDDA LY89DRAFT_736319 MMENAKRLAFSKITLTFESAITWDLGILVDETFDWLNGIKISDT SKVGILSSPKFTDLIKSAAPQLAQTNFQLMMYAISLAYSRPAKHVLSIADIHKIGCIA GHELLKALEQCMRPQSLNHCSANDLRALFLMVFGTVLAFMLEAASSRWHKQGMFDWRA SSPNDTHLVPAQTAISYRCPSVKAQSGADSERNIPMLEVQFSLKTTEVADPLVNSSHV LQLSETKTADSDAQTHSYNPFNGQSQAHDLGATNGENVPALWISQRATPGKAIQSVEE STSDISSTLPLALEQYASTPISLERDENLQVFDSDLEEKDEVEEDPCSSLHRSMWFRN VSATQFPGHECNQVSRYRTFSATLVVRTLAEYHHAMSV LY89DRAFT_569154 SSSQPDFLERFLAANRLHPNDANDNLTFVWCYTITNVVAGSDTI AIPLRSILYYVLSNHKIYSRLVQELIGSKLSILVSWKSAQKLPYLDAVVREALRIHPP VGLGLERVVPASGLCLPDGTFFRPGTLVSMNAWVLHRSKAIFGDDANTFQPERWLQRS NETEEEFRQRLHKMQQSEFTFGFGPRTCIGKNLSLLEIYKVVPALFLSFDMEIFKADW NTW LY89DRAFT_736321 MSSYVVKQMPDAVTKTVDGRQSPESWGSKAAPLAVQKVQPWREW AEPENIRTFKATCGPLHPDVRLQQQRDEHGGAGGVDLHIHDHKSAYRRVERVGCLLRR TKDDGKMPGVAFTS LY89DRAFT_784254 MIDLVRLSAADLQNLQATGRLTSTELAKLTLQQIDKYDKKGPQL RAMIAIVPENIILERAAFLDEERRAGRVLGPLHGIPILLKDIINTPPEWGILTTQGSW ALEKSVHKAQAPLVQKLLDAGVIIIGKTSVTEFGSAKYIVSHPILNSSSYNVIGDQKA LVACLLSTVRASLHMSKVLSSAVMNPLPIKRNPGGSSTGSAVDVAAGYSPVSIGGEAN GSIQTPASRSNLYALKITPQTLSTEGIFHIVPTVESLGGMAKTVTDLEQVTKVIFQTA KTPVNLKVDHSKTWKDYKLGFVDPQKWRLPSDLFTATDDYRHQIDTAYNATQEKIKGL GGTVVYPVDPPHPSTIDGGFLAIVNGEFRDTVDAYLQNLASSEMRSLADIIQFNKDHP ELQAGISQPWFIGPQEFQQTPEEYAKVKKQVHEDAAEKGLYRIMDELSLDIICSPTDG PICEISAMAGTLLPAPSSVSTQPFYMMVPTSAITGAPTATVPLGILEPSGRPFGLTFV GRPGSEAKLLELMYLYKAAAPQRKLPTLVE LY89DRAFT_784255 MPPIQFGVLLFPSQTVDTAMPLDTLSSCSKHAISLWVAHDPTYA PLLAQAIDIEYHHIAPTLSPVPLTGGFKVLPSTTIAACPPLDFLLIGGPELDFQFSPE MTAFIQTHVAAGKGLFTNCTGALPVAATGVLDGRTATVNHEALEVARAKWPRVNWVRE QWVVDGGVWTAGGACAGMDMMAWWVVERCGREVARLGFRGLDYVPRDVEGRVIVV LY89DRAFT_736324 MADSVAHTGSRDSDKKFEAGEKYQAEQIEEQRNYAGARPTTGQK VKRHCGRFWWLHLLIFCIVFLIIALCLVYVAMPKIAQHGVNESSIEFTDIQFLSPTSD SIVITQKAILHSPSMYTPTLDPFNASLYLVTNGTFAASPMIILPMPRIHALHPQSNAS IDNQNTTIVDLDQVTEYATTVLTNETVTTALTGRTKLHEGKLPVNWVNYNSSTTYLGL NGLKGFNVTGVTLNLSAPSGQPNLQGFAFIPNPSVLTVAMGNVTLSLSTEKAGVVGIS TVPDMTIRPGNNSLPMTAVLNQTAIVSSLDTSGKVNVTITGTSSVYNGEHLSYYEKAL ASNVLVLEMNVLQILSDSAAAAIS LY89DRAFT_736325 MPPSINPLDKFPGKDKDAYEAVHPLVRTNPVTGWKSLFTGVNSP RDGWINGVTERENEILKDYFKQLFVENHDLQVRNRWGKDDIAIWDDRSVDHVATNDYF GKRTAYRVSSVGEKP LY89DRAFT_564945 EYIFMEDGSKVHKGHARLPRLQHNIRGFNWPPSSPDLNPIEKVW RWMKEELKNLDYVPKNKVDLKRELQKLWDRVDPRDFRYYTEQLTCKIEDVIKYKGMAT LY89DRAFT_564823 RQGKSQREIVSETHIPRRTVRRILKQESSRRERKRKLSRHHLMS ICDIRCCIRTISKNWSSRRMTFEALKKQLPYLPSVRTIRRELARAGYRRCIVCPRPYI TLKQARKRYVFAKEHRWWGTSDYVAHRDDGKQGGDWRKVVWSDE LY89DRAFT_671646 MGAHDSNLYDGRLQFELSPSYLTTLDSNARNQNIVARANSLSDL DLMGELIDIFGDSAMNRLEAATIEGLMEKTISSFRDTDYCNALVDIIKTYSNVIRCRK IVAFGGGSMAQASTGSYRHNEAVLRIQTQHAALWIIRRTLESIHNHNIDIYLQDPDYT DNDLKAAARFNMKILNGRPGFQKGWLEIDESTLVVNLSTGFKYFWHLISEISRSIAML SLGGIRVESSTGSSVHRLVVDYEKISLSNSDKDEKAPLGGLVLYARK LY89DRAFT_134009 MHPSTLLLLILTSALPTSVLATPNPIPWLWPSKGCDDNSGWRVR RWDKTTCTPTYTVPVETTKPCTTTTPQPIQKTTTPCDTTSITVIPPQTETTSVVVTST APCEKCSETKTQTTVPVQTTIPVQTSISVVTSVPAKSTTLLTSTAPFPITSESATKTT KTSAVGTTSTIASTSSAVFTGAAVDVKGGPFGVGLGVVGFAIAFAL LY89DRAFT_134007 MEIKFQIMSDLHLETPKARPNYEHFKIQPASPYLALIGDIENTW DPRLFSFLEEQLQHFERVFYLLGNHELYESTISVAQQAVRSFADEMEKIRSQPGTNIG RFVFLNQTRLDLTDHVTVLGCTLFSSISNEQRQSVTLFCSDFSEIEDWTVDAHNAAHQ SDLNWLNEQVELLERHEPHRKIVVFTHHSPTLLEEANDSRHLEDVNGVNSAFVTDLSD QQCWKSDTVRIWAFGHTHFNCDFEEAGTRKRIVANQKGYGRTELETFDPGRVIEVDSA EFEVDAKT LY89DRAFT_708693 MQLFTILLASASVVHAHYNFNALIYAGSTQATWQQVRKRSDSDS HGPILDVSLLDIRCGKDASTAFAPGILTVAAGDSLGFAVDPDIQHPGPAMGYLAKVPA GKTAANWDGAGAVWFKVWEQGPTALNSNGGRIGLKALNFTIPKATPSGDYIARIEHIG LHAASQKNGAQFYLSCSQVTVTGGGSGTPSPLVSFPGAYSATDPGILIQIYYPVPTTY TIPGPKVWTG LY89DRAFT_784261 MKPMFTVLLLFSCYIKQVYTDVDDIIIDYRTGYVDGVPLPGDLA SLVFTTDVGRSIAQILQLNISAQGSSIDTVDPKDTMHPCQEWAQISIMLTGLFKSNSS GECNDYARATVRAGFHDAGAWSSTLAAAGQDYGGADGSIYLFGETSRPSNRGLEAVVN TLGQLAKKSQVRVADMIQFAAAHAVVTCPLGPRMRTFIGRKEATQAAPDGLLPSATSD AETLLALFFDKNIGPIDLIALVGAHSVSRQFSFNTTRAGESQDSAPGVWDTNFYEDTI RSDFNAGSGILTFPFDKALSLYGPLQAEWNGYAVRQEDWIEHFSRAYLRLSLAGVNQI QDMIECTDALPLPVTSFP LY89DRAFT_589868 MKLLASFLLLLVFAYATQDAPPYGPSQFSNIGTINDLTVTDPSD LFSGGTITVDGISMIIPNNSYVTLPSISVMWSELFVGGAPQLPQFGAPGVSWEATVYG NRIGDIYVVALVYITQSSVRIIQGFVNAIDLGTGEFWVAGTSAAPGTGIRARLNDPVG RYGLEYLDHPLWTVDAESPSVTAATGFPLCIPRYANGTDDPLCPLKNRVINGGVPTFI QFKTAATRSTTDPDPNVMAPLMVGDYITINGIEVGDGLLAVYSLVANLGLYTAPRETR KCNVPLL LY89DRAFT_736333 MPAMTYINLLLQLSVVGYVKAAALPQTVPTLSNGIKDWLSPPYT PFQAPLAIMPTSQPKVTYIEPVNGTVIDFFEIDIRQFTAQTYPLSSGVGPTTYVGYDG MAPGPTLRMSRGREAVVRFTNSYDRPSSIHLHGSYSRAPWDGWAEDVTNPGEFKDYYY PNGQPQRTLWYHDHAIGITAVNAYFGQAGFYILTDPEAPTTLPTGDYDIPLMLAGKVS LYGDVVTVNGVPWPYHQVEPRKYKFRLLDASVSRSFSLYLVDEANPSVHLPFAVIGAD AGFMDHPVTTDSLVIAMAERWEIVIDFALYQGKSLVLMNERNFQTNEDYPETNKVIKW IVGSVVTSDENNGPLPTQLADLTDPGSHTTIDHQFVFERANGQWLINGVDFEDIPNRI LAKPPQGGVERWRLVNKAGRWSHPIHIHLIDFKVVSRTGGRGVVEEYEAAALKDVVYL GENEAVEVIANYQPWGGVYMFHCHNLVHEDHDMMAAFNVTDVDLSAFGYPDNATFTDP MAAIWRSKQRLAATDLQDIQDNILPLFAGLGAYPSTEGVEHALQDYYIDHVPIDGITP NVKVRNEVERKIRKRKSCSSDGDDGTGDDDNSI LY89DRAFT_752153 MWRFLSTLPFYILSLPPNANAATYKPVLPPSYPLAVRNPYLSAW VPGNQVANLPTATPQFWAGQDLSWSVLTRIDGTTYKIFGAPAALTGSVDASLVSAEYT SSHSLFTLTAGPITVVLDFFSPVSPKNYLRQSLPFSYLTVSVSGTASHEIQVYSDIDE SWTGQSGNTVGTFNSSFKDGLSIFELSVNEAYLYSENSESQALWGENVFASKPTSSSN LSSEAGSATSVRERFATNGTLSGATTFSDGYVVSLAHDLGSTSNSSVTFVVGYVREAA INYLGSARTGYYRASYPDTGTAVSYFLDDYTSAYSESLASDATLEQKALAAAGSNYSD ILLLTTRQAYGGADITIPNDTLNTTDIMIFLKEISSDGNVNTLDVIFPAFPIWYVMSP DYIRYQLEPVLQYLETGLWTQLSSKSFCIHDIGTHYPNATGHNNQIEEDMPVEESGNV ILLAYAYVQATGDLAWAEKYQNLFTKYANFLVTGGLDEPVQLATNDCCGPLANQTNLA IKAAIALNAYGKLFNASSYSDTGLSFANELYTKGLGLDSERTHFKLQYGTNVTFGNDS DYAVVFNIYTDILFNFQTFPASTYTMLASYYPTIQGVAGVPLDSRVDWSSTFWTNWAG AASPGKDNETRDLFINDVWSYMTNGLNTPPFSDRWFAVPGSGGLVGGSGDLIGGYDAW RNRPVVGGHFAVLALEGADQF LY89DRAFT_671655 MPVADVEMVGPEEALILERHLGVQKPFSWERAAAKEATSRAAVE ESMGISSKGAVITYSTATEEAMVWEKSTTTEKSAFARDKPIPSKKSNISAQPTSLRDK QILWEKEHVVNKPAPYQSKPLAWEKSNSPNKPTPYKSKPVTGENPNSSNKPAPYHSKH LAWEKPKSSKKPSFAQERALTPKKAPTPNMSPIRRQVNTQMNRSPLKKGLDIGKQKVS NDEEMQGMDIDSKPEKDHQSSLKKSRWRRHRKYLRSLLRAFFHRLPFPIEYDHGTEVF TWILFGLSVL LY89DRAFT_686776 MWYLKLMIIYFAILQSRTVAESNATRYAILNNDWGSTSFIPILM GLDAGIEILGVASSTCDTWQKQAAYHALAVLEIGNLSCIPVVYGATYPLVNTISRLQT WEAFSGPLVFQGVFAPYNATAEALGADPTSGSDPNRIEKAAFVEGFPNTTALQGQVAA QFMVEQVRKYPGQVSIYAAGGLTNVALAVRLDDEFASLAKELVIMGGYVDVNILQATG SLAQSAIYSDINLKVDPEAAKIALTADFPEIVVVGNVASTVLTSQEFLDELYEVKNPY TELLHEYFGTTYAFWDETAMGLLVDPTLATSTSTVYVDVDLSYSSPTWGNLHVYQQVL APPNLRNVTYVNAIDVERFMSMMKHAVQYPQNCGTL LY89DRAFT_752159 MARCDICDAPGALECPTCNSIAYCSDKCRSINAYVHDMLCSQFA SIIESNPKPVKELVWLTTHRSGKLEIVESGEAENQPEYKQYLGAGQPTVGREVILHNI QPKFLLGRTLTIDYRDSVFSDGSKENECVAAISDGHHRHRWCGEIMLVSIISVDPPRS VYQNVTVADAHIAAAFFRGSGAGVGVDESLSITSFYKDAQGNIMLSTKKYSNANQPNF ERPDTEPPSTHRCHTKRSNVNVQAEVFDESPALTTGVFAGPTAKGVFIRSDHANMNQY TEVDIPIALKIFNQVPVCISKIMDIPLLLYRKPGIKGRTNTAAWALCLDFETNSPKWG QVKDKQWVRETIGGAWVVRQDKKDLTVQQIEALTAFCSGPLHQVLVGDDFVREMEFSI KALHKARKRALGEHACKGKFEEFFVRMKKEKSWTNAVFPYEI LY89DRAFT_650028 MHLDISAVDIVWGWVNGREWDYKIGSVLVVRKDGRDVTPQQVEA HAKFCYDVIEPAVNDQNNLDALEDYFSGRGDCTAEEVARGKNEMAKALMCRAKFEEFF EKFRGEKLADGDGTWEHAVSPYEM LY89DRAFT_752162 MAFQQGTTTDLAASLPKVNSHITSKVAPTQPALRCTVCDKDGAT LCFVCGSASYCSRSCEDEDARCHQTVCPDFRGFLSAESPREDAKLALFFSEASVGPKL VWVVERNRQLMEVRGSHTHTVVVCYRDNFFWDGKFANNLSIFNFTQGEHKHDWRGPIA VLSQRGTLNSSGYDDITLTDLRVFRDFLMHYGEGLEKSLQYGGLRCVELSDPGLWERM MLCFMPKDAKMVKGVKISCMGDIRILKRPQFAHIEVPPNHPIFFNEDEGKAADASAST QISAHMNLPLIIRRTALDLGWKGLYNTHEDLANNVGPINEPAALLTTIIDTESKLWGG NDVGPEYELESGTVLEVRQDKKDLTVEQVKALVGYIRDHIKPAIEKEKRRESSLDKKQ ILSGRESILETLVTMESVRKHFKAWGDHTSNE LY89DRAFT_736342 MSSDLPYLQDMPESDAETAPSLPYENSSPEKANAKTERPDTEAR SSTVQNQVREQLPQDETAVFPCFCLVIADPSEVITTETLIEAFRTHDGFLEACLATQE NNPDLTPGYSYIAFNTEENATHAMRCLKTRDPDTKTYLMHAWTSKEISDTLEIPLLKH YPGVDLDITLPIKTSFHARVEELPKADPKNRGEFARAHLQMWDSLFKDKGLEFQKKAP GYILTRIFKEIDLNILDIRELQPGFEEIKDLHPSKTDSQEKRRNRRLYFAAISDLSEN LVILDTLGTNLEEYPPLPKSENKRAAEKTARMPNERRKLDDNLDGDSKSDKAKVNYAT NSLEEGEIEEESLSSDNLEDFVETDVGDFLRNETDSDFLEDEENPTLDYG LY89DRAFT_134141 MAQPCASCLEQLSSPLCLNCKSTVGHCSPSCFGSNSVLHLLLCK PYWTPMRPPRPPKSTLGLYIPIFGPPSFRFVHYYCEKPGDITRPDLRHLLGTDNLVRS LLTWNTLQEKELPYTLQLIQIPGKQAINSFVSNLTHGTGYARHWGGPMVILAHEHQRY AVDTPVWRDVGCHDLRWMVDYWRLGDEPLPVRIAPVKQDESTVQGVQVADEDEEILKH NVVGVTIACEGERDRTGKKFMEAEIGVDHPIWREEPTQISVGMELPLLMYRFPVQAVD MKMNDLKLRNPEASAMSRVIDYEDPKWGQVANNTAENIGSVLVVRADRKDLDAKQVEM LATFCDKLVGDFMDVVSKNQRILKEKIKDECLDKSIFHLFFEKKRSALALEDKSWNNV ESPYQV LY89DRAFT_686777 MTSYVKNIALVGASGLVGGQVLKNLLAAGKFEVTAISRQESKAT FPSSIAVKKGDYSSSEFLESALQGQDVLILTLAIMTPPDVQTNFIKAAAKAGVPWVLP NEYGNDGASEEVMKNIPILVGKKKYRDLIEGLGVSNWIGIATNMWIDFGLKGGRFSID IPKRTATVYDEGTHPFVTTSIPQVGRGIAKLLSLPITSTSGLSLSDYKNKYAYIRSFL VSQNDMIAASQRATKTKPEDWEIKHMPLDDYIKAGSELMRAGNRMGMFNILYGNSYKK GCGDQYHGRENTNENIGLEDEDLDEVVQKVVDELEGK LY89DRAFT_720638 MPTPPVQMTTIAQGYYKYAPLAGPANTHSRPVRLLKILPGSETD VIECILLDAELDTHPEYEALSYVWGVSTPAITIICCGQLKTVTPNLGAALRRRRLPDK SRRMWIDAICIDQENLVERLQQVALMRDIYSQPTRVIVWLGDDENNIAHAAVFAIVFA KNSIIYCVHEIQSISDMHLDDDFGDDIDAMEDLLGSSDWYLQRIKRQVQWFYEREWFE RIWVLQEVAFSHCMMYIGKLEIGWKAVALAATVFSRLSLNYDTTYIQRAQNIWERRNS PGEPLQKVLMRLQHDEFRSTDPRDKIYALLGLCKQTEEHQSLKADYEITVGETYTRFT RHFIESQTTSPDGIRLQDGLYASWRDLEILDSVSHSSAEFDTDFPSWIPRWDQNLPSD AFSEHPWNVSGLHRASLRAWDGENRHLVLKGLLISTIEIVWPTKPEMEDDQLELDGTE YFSYSSRSSHHESFWIRKIWDSGLGGLTSWAGKDTKEEAFVRAITAGADCRSLGFYHI PIQFFGNALRNLREAALRYNPWYSFFVSEGGHFGLAPKIAQPGDMICTFYGGRHLYFL RKVGSCYRFLGRGYMNDYMEGQAIDEKGEGKLAEQ LY89DRAFT_671665 MAPPHNASSTSSFIPHAASLVGEEVNRSRRYAGVAISLQTSTYC TSSENMEPASVSLPVPDEAERGALSMQSGSEGISIHDNEEQEAFSSSDEDEYRVVMKP ASHNPPSLPTQPSTGPASTERLPSSQTESVSHAPTLSRAPVVSFPTELMRGLPGTLEG HMDIISPERSNTNANAASDNDAVEKD LY89DRAFT_784269 MPAFNASNSINNEDRLGELYLKAFLAYEGLPIAQSAWISGVQDQ QVAVLPIAPEDPSLQFATFQASTTAYSSTLNCTTLNATRLAYIDSSKEQQLAQDNSMP NKTRIDYCQLQYPISALFSGLGTHGGCQFTIEVPLGIGSDPTTAHVDEWYYGGMDFLS ASETPPNSSIDRSCNQWFYLNVNFATLVYYPSNSSNFDFEATFGEEPTFNAVYCQALY LEVPNLKVDFLNTTTSPSFTYDPESFKTLSKAMSPEAFNSTQFEEYLFLDQESLKDPF TFPNTLTDGRPSTIQRGYRILEKQTVNQFAWTIVPVLAVILIKFFWALVDEYFRLLQP YISLAGGSASARSSICVDYVSTIEGWIIIKSILRRHYILAAVATLSVANEVLVTAMGA LFSSRPSNVIKPLTGFTNSTLWTTADMMNFTDSSQVIHVLESIVPVYDIPNNTTNWFY PSALTLAMVAWDAQPAPWTTAEYAFLPVILYAAANGNLSYPESTNWTVSTTGVRGSAN REALETPKNFFQRLPVVNNDNVTVAVGWEIHDWQDGAETTENTVTFQGSAFRVPSSRT GVRARQDPFKGSLQGVMNYTGFWGPLWLANISTAGDIELANISYPNSSISAVGTNNQT RAIWNLPEMKPGYFGAGFIDFSRVQEPETGIWYSTSPVASLVVCQPRFEYVNAQLILD VTSQQILEYEVNGDPTTLKDSQFFQTWHATDDSTPAEMGIGSAFTTASVFETGTMFEP GNLKIATETAFTSLFSQFAASPRYIFVPVDSSTPVTAGLVTTQMRIMISTAAMAIVVS ILSVIIVVLATLSYWTKGFWGLLVIIDKEPDTIGSAIALVCSSRNLLQLLRTTEGNTN GERERILEQQKRRFRIEKFAGERELAHPRIEVNDGTR LY89DRAFT_686780 MKPALAETALQSRMAQDDAASALVTYIFSGVIGSPKDKGVSYSL HEATDSTFSWIAALEEEDSIGQPIDRYRVDIDRLSRKIQTPMLISLSEAELSEAVLAA TGHHLKVFSRFTDGALSISYKVSIEEDPDIQYIVQLRHHGNVTSMNLLMSWISSSINP SILPLPDVYPIPGEEQRQKRTGMGRQIARLMLGPMANSVYPRMSHEEKLIFVRNMALA FQACWRIPLPKERLIGELCAVQDGNCVVFTVGPDRHYSLGGPFDSVRDYLRAYIYSSL DALKRQQGIDEYKERFLQRITDFVEGGMHNIPMIVEDVPIVAMHSDMGPHNVILSPTT PTDIMAIIDWEFVASAPYASLHQVIEMLFREPASNGFGAEYAHADELRNTFWGAIPEW KKWNESEATRVFLEWFRFGLFMKAEWRPDGLDEEEKEAYWEENVRVVEGLLRKYGSAH RAS LY89DRAFT_784271 MQPLQPLLLTTLAFLPLILGAPIDKRQSSTAGGTTGAGTILPDP PNGVECTGDSDGVTLGDIVGSLLGCGGVGGLTGGK LY89DRAFT_698678 MVPNQAPPTTTTVTEPLPPLTRSTTLPAYTPRPITTDRSLLTPE DAIYQGSPPRRLTEARVSNGSSATARLPRERHTVRNRSGSRRRKGVWKKLLWVKQSST FLEHLQRNPRLQPYDFWPLVADSTVIVQHVCSVIIFIVCFVGIYQERISPGAVVRWGS VGTLVGWGLWDWWVGQEDPKPSPPILTQQASASSSVSNLNIPPNGLARHSHSTSASSI HSTASTQVPTPRTLPNHPAPNSNPLSARTSLRLSTAKSALLIYFTLLGLSPILKSLTW STSEDSIWAMSFLLFTINIFFFDYGTPALSSSSMKAGIKNIPASLSTNAALMASTVLA SRLPSTGQVFSLTLFSIEVFGLFPVFRRYARQRTWRGHVALTTVLVLGAGGGIGTILG RGGDGEGIWDWPWKSGLMGLVIGVVITGLAMGGCSWWLIGLQKYKNEIHGPWDPARPI IRRHWEEY LY89DRAFT_134213 MAFLAEEKAKLTDPHIARQPPARPRPLGTKPRCPRPSNQRSPSA RPFSSDACSRSALSPPSRHRVPSRTNPSAHSWEKCLCSRDAASPSISSGPRLVVRVVR RRASRGGFRRCFLVVSGRGLFGWKGRRRGSLGRGGSCLIVVVRRRGIVLRHLVMMGLS ILAFGGEDHRVGGLGNAVR LY89DRAFT_784274 MDSAASGAVDPKKACRELFNMYQESFNQMMENLKKCHGEAAPNE ETTIAAQAVTETAGTNAGSSIVQEAEADEEAEKTEFMKKLEMPSALLNLPKEIADERL KQLCFTRDGPKIPRDVPRAADSNDRYQIPLINLCELQRLSLYAMRQELTAKAIKIKED ESLSGEEAGSIKTLISEYCNALRDFDYMREKDSDADRDPFYLTYARWCDWSVMKPKIA SLYKGDPLYMLQVPRDTSDPEMVGDARGWAKKREDRRGFIMRLWFGLGGGLASIAPML IVIQHRDRNTALVTASVGMLLFAMFMAYYHERDASPFALVGATAAYAAVLVVLVSTAL LY89DRAFT_671672 MESSSPAPFKAFEKGTAADRIPSFLPNNMQHGGLPNETHSALQT HTVVSKDEVIPSGNSNDSNQKKDGHVRNRKYKKDSKHQATYSLGHSIMLPSMINTATG TGYTHHFQPFIATSQSTKIVEIVPVSTLTSDQDSGAFTLAHDHLEGTKPITKLEGIDR SLEVNTNNHIPESFYVISPSIGDDSMMEEILLGIGSQFEACSSVSSINADDLLAMKGT LSEE LY89DRAFT_134609 MMSLFRSIWKPCKLEFADTASNRTESSLPTNTKQDNPSNKTHST LDIHTVVLEDEGVPCAYSNNKHKQNGHVCSQKSKRGSWYRTTCASRCSAMFIGEDLVM EELLHGIGSQFEECPAVFSINTGDLFAMNDSLHKE LY89DRAFT_135317 MLAPEYSLNVAPTMCYNSRGLVEWSTSGIYSKSAIAAPRGTFLG AFAPSSAEDPPCRLPLHGVGKSALCERKDLITHQQGTSCIDWK LY89DRAFT_567096 LPSPPMEDHKSHISKKQLSKSAKTLNRTSKRSTPSHEHTSVVLD GRHKRVWKACERCRMKKTKCDGESPCKRCKDDGLVCTAGSRKKTEFKQLPRGYAEVLE NTQYALIATVQKLYTMVRNGESWELGDPELNDRGQPVIHDIASKLGCIRPSPDLPYAF PEGEQDFAELQAQLTAARSEMASEDAGSRKYSEDSSHSPGLQRTDRASSSESDHSNLS QDYNKRIWAQQQAAKIQPQ LY89DRAFT_620692 MISSCIVLLGVIPLLFVSYLAATTCQIIASTTNITIEYPLSLSY STDQFEYWSSDCGRLQPSCILVPTSSEDVATIINVLNTNNETFAIKAGGHNPNQYYSS VDSGPLINLKGLNEVVYDASTSTAKVGPGNRWTDVIKALELDGVTVVGGRIGHVGVGG YIVGGGLSYLSTQYGWAMNNVAEMEVVLANGSIVTASSSTNTDLYKALKGGGNNFGIV TTYTLITHPLGTVWGGNLIFGSDKTDDLLLAIRNFTENYPDDKAAIIATNEITLSSLA NIWILFLFYDGASPPPGTFDVFTSLSPTLSTTGPQNYSTLVSAEDDLVITGQIYTIMT EMSPLPTSTHGHEIMRAYYDQYYNTTLSNSLIPGLVATIAFQPLPRRLASHALAQGGD LLSLTPDIDRILFEVDFSYDFPADDEAINESVKGIFTGLRALERGFVGEGKLVDGYVP LFMNDANFQQDYWSRLGAGTAEWARGVREGVDPGGLWRDRTGGFKM LY89DRAFT_650045 MPSTLVERTRDGPLVKRQRLNAADAPRRSQRESKIFTPFRTIGL VSSTPVPFTSIPLGKTTFQITTSVGKCLQTYDLKRGLNLVFLTRPQTPEDVTATIAWK DRVFAAWGGVDTAQGIWVFKRGKKIAELDMPTDLQQPVKQILIFGTWIVACCSTRIEV WKSATYEHYTTLYPTAAPKGGNELAGGICSMPTYLNKIFAGRKDGTVEIWNVSSGKLI YSIMPPAAHCGSVTALQPTPALSLLAIAYSEGPLIVHDIRQDKTVIDLDGGTSPITSI SFRTDDMGAGEDGQKPGVMATAGPDTGDVTFWDLNAGGRVMGVLRGAHNPPVASGATV GGGVSKVEFLPGQPVVVTSGLDNSLKSWIFDETPFSPIPRILHSRSGHATPVTKLLFL PSDFDGADSGGKWLLSAGKDRSLWGWSLRKDGQSTELSQGNIRKKAKKLGILASSTLV NESSASHEDLKAPEITCVAMSLNRDGGMGANAGSAAIWQKGNTTKKPTDATASGTTGW ESVVTGHKDDRFARTWFWGRKKAGRWALETGDGSNVSSVTISPCGTFAVVGSETGGID MFNLQSGLHRQRYPAKLTPAQARKLKIQQLQAVEASHGIESSSSFQPGLGKHKRAVTG LVVDSLNRNVISCSLDGKIKFWDFRTGNLVHELDWHPMVSITGMRYHSPNDLIALSCD DLSIRVVDMETKKTIRELWGCKQAINDFCFSNDGRWIIAASKDCVIRVWDLPTGHLID TIRTETECTALSFSSTGEFLATSCEGQLGVNIWNNKSLFTHVSTRHISEDEIAQISGP TASGEGGQGVIDGAFEDEVEEDEDAAPTLSLDQLSEDMMTLSLVPKSQWKTLLHLDLI KERNKPKEAPKLPEKAPFFLPSLDKAQPLAATEEETRDTVAERSRIMKMNRLASEGAF TVALRAGGESGDYTRFIDHLKTLSPSAADLEIRSLNPGDESDELVNFVEALTSRLRQK RDYELVQAWMAVFLRLHMDAVTHDEHLVEALRGWRQCQEEEGSRIGDLVGYCGGVVGF LRNPR LY89DRAFT_671676 MDQPDNDEGGQTNHPSTTAVDSLPSPALENPEQKLPKTKLRTAS YDLSSASSIKSMSSRKSRYADPVPADIHSERASISMPPPQRRPSIKSRTPSVQSRQGS EALRPAPGILSSRRTSREDIAVEEDFDTPKLSPSVMTDESKPYQLPDAALRQKASIPD MESKRMSVSSMYSLNSVRAPSSAASANGSEPGSGIGTRASSGTLSPNKVLGISQPETS AISVTTSSSNQFGTNGNANGHQLTPRESPAHASEIAKRNAAHRGEGAPRSQPPGRSRS RAKRRFSGSTAASSHSPSSEKGSWAKEEKPTPIGVIGVCSLDVKARSKPSRNILNRLI SKNEFEVVVFGDKVILDEDIENWPLCDFLISFYSEGFPLDKAIAYVKARKPFCVNDVP MQKILWDRRICLMILDKIKVPTPRRLEVSRDGGPSILTPDMAQHLKDTTGVVLEGPED GTGGQTIPPRKVELLDDGDTLSVDGSLLAKPFVEKPISGEDHNIVIYFPKSQGGGARK LFRKIGNKSSEWVEDMNVPRAILEPDSSYIYEKFMKVDNAEDVKAYTVGANYCHAETR KSPVVDGLVRRNTHGKEIRYVTSLSKDEAAIASRIAVSFGQRVCGFDLLRAEGKSYVI DVNGWSFVKDNEEYYDQCARILREIFIREKQRVSAPSSSGSIVTSPTSDGIISPIARR ETGGLKDNHRSALQHILQKSPSLSKLTGHSRKSTITDSPEASAAPTPRTTPPGADKGV ALPPIPSTPLLPPPAMNYLTGSTPSTAPSTVPSTPAPGSIANVDPLQPAAPKHTWKLK GMVSVIRHADRTPKQKYKFTFHTKPFIDLLKGHQEEVLLTGEAALDSVMAAVDIALHE GVEDREKLRTLRNVLVKKGGWAGTKVQIKPMFRKRKPEEIFTMTALSEDEAKAADAKL QEEESKKQERPQLSAIETPVSPTDESDGAMNRSPHRQDSLSGITLSRITAAENSLALE KLQLIVKWGGEPTHSARYQAQELGENMRNDLMLMNREVLEEVHVFSSSERRVTTSAQI WASAFTDHQDLPADFITIRKDLLDDSNAAKDEMDKVKKKLKTLLRQGSEAPPQFAWPT NMPEPSIVQRYVVQLMKFHRRVMRHNYNKLYGGASSSLNAIANPGDKDKSETSSVSSA MSQATATSSIQARWCCGEDAELFKERWEKLFSEFCDGEKVDPSKISELYDTMKFDALH NRQFLEWVFTPSKSILAEEEEAIAGESKGLEKEKEQPKDPAAVVSKDSDDLETSRSLH RRMFRRRSVMTGAKVEEPPEQYFRLFTGSSQTKAKTDARLEKLRELYKLAKVLFDYIC PQEYGMTDAEKLEIGLLTSLPLLKEIVEDLEEMQASDDAKSFVYFTKESHIYTLLNCV LEGGIPTKIKRSAIPELDYLSHICFELYESENKTPPDVQDVAKYAYSIRITISPGCHT FDPLDVQLDSKHCISCAPRRSLTAHGDWKEVIETLRAKFHQYVLIHTPEKDLKLTSTI RVKLPKSFLAVNLSESHAFHKKEDTDPDAISTIAEMKAQISAEDTVPQAPHEQVPDVE AENPPSPTS LY89DRAFT_686799 MTLVLRPHATDRIRLCLCLWPLPLPLPLVLLGCLRTKSSRRGYV MKLRASWAQL LY89DRAFT_135730 MAVPSYCNHSFNMLKSESTLIQWVCSMCHSGPHWFIFECKYCKL KTCRPCTNKV LY89DRAFT_135796 MLVRFSRSMLSSVRFPSFPVSLTVLQYRQRPSILVLVMDPQSMK FKMTKNALSFGLSASTTRSHFLDVLSIPSCSCMRMHERWAAFHSPKAKRKVTEPLTST RFSLSSVQRPPKAKLLPLPTLAARISTLSDVPSLKKQKESLNPQKIIVGLVSAFLVRT SCKCYVSYRIVCEMQPTCRLACRLHHAWFLWPQEWPQDHVSRLGCCKCKGDPKFVPIF AFDATGIFRRFSLSLLFPFDTATHFDLHLLLFVLTRILVTTFTTFHGSTSLASSVHWI LAQIEQQQ LY89DRAFT_686803 MDSKFEHPEPDPSADGKVRATSKRPMPPLHLPRIQSEKYNNNAE EESRLLNLRGC LY89DRAFT_686804 MKITQLNIYPIKSLRPISLDTANLCPQGLANDRNYILLKLSQNG TYTNMFIGQQPEMALFHCSPCTPHTFTVTYHLPSSLEPPPSIEIPYTPSLASLKQISI DLHTNPSYPAHLMPPEYNLWFTTHFTYPVHLAYIDSSPGTPSTSPLAQSWLSTIKPHL PSPAQEDEENITFSDGAALLIVSSASLSALPLLHEPSKQEQQSTLLEKFRPNIVLSAP DLAAWDEDFWSCITVQPKGIKIVLTSNCARCTSINVDLRTGEMTGSLLKSMMGSRRVD PGKRWEPIFGRYGFAVRGGEVTVGDEVTVERVGERAVWSLLSLFLLEKVSCVDLVRDE Y LY89DRAFT_686806 MSKALAHAKIVPRRSSDRGHANHGWLNTYHTFSFADYYDPQHMN FGSLRVLNEDRVDGGTGFPTHPHRDAEIFSYILNGELTHRDSMIKKGAEGQQGDDFYR MKRGDVQFTTGGKGIAHSEQNESDKQVHFLQIWATPWARGLTPRYHTKTFSEDAKRAA FLPILSPLKAGRGASEAEEKAAEPTLPETIPIHADLVMAAGIIGVDKRFKWTVGGSAV KSATGRHVYIHVPMTKNGSMKVRLDGREDAVLNEGDGAFVSGVNAGDVLSVESIGEAE AEVVVLDSD LY89DRAFT_752265 MQPRIFFSTLSALQLLAAVGACPFHGHAQHTSLNNHRRSLPAES AKTSTDNIRVFDGCRFSLAKTICFDAGYIVDTNGCDEAEVKINGSGKFLIPGLIDSHL HLQDVASLEKFTSYGCTTAMHMNCEDYTQCDINAKQPGLASFIYAGRSAGGNGSAHEK TEPTRPKDTLIYPDTNVTQWTEWQFNNVSNFLKLTAEVNGPSTQQQIEMIRVAHYQYH KQAMTHAADLNAYSQAVESVTDGIQHVPDDGLLDNATIQQIKAQNQFVTPTLNVFKYA FSDPILASDYFGVQPGSNHTMSHVEANAKALYRAGVPLIAGTDSVGPLNLNGTIVTVP WGLSLHHELENLVQIVGMSPAEAINAATREAAKWHRVLDRGSIETGKRADLLMLNSDP LANISNTQDFEEIWVFGIEVAEVAKIV LY89DRAFT_784282 MRRIAAPSSPLLPVTKSLAWINAPTIHNIKTHLILARLEYAEAI AKLRKRLQSPASGAVNETLLVILLLSMTEPVLGDPVSVDLATLTHLIGASRFIESSKL CSSFSGFPAPIIAVLMNNMIFGCLSCLAERASLLCSTLQALHKISISTSSLPPGSAYI IRLGVSVVEIHNEVRLRQFSNWVDTSQNQLNILLEKCSATLKEISIWSHLWEPKAHNL SPDEWNQLLTVGSVWNRSEAPKDVSMWFRKDWSQLCLYQLILHVAACRCVQQLSRTID ASPGHAEEELRMLKVTNSKIKTALDNVAQEIKDFVPVFLGTNNRYMADGVLLRIAAYA MLMPLRVAITVPGLGAKFRAELEVAVELIANHAGISRNFITDRVQTTVFE LY89DRAFT_686808 MLPRTPLHRWLAVALFLLVIVWYHPNFSGSSLADSSSTTSFSHT GSSSDDLAWVKTLLKENSIGPEIEYAARTIRYVPDATERKSISEVNQNLFPQSFTNIS IDKKQNLPSSRLLDVHVKHSPRPDQVDASDLIFGVSTTYDRFSKEKTSPMKEWVRWLT DGNGHTNGAGLILSLFNTTEENLGKAAKTLEDVGINATVVPSNMDLDMPGRYVDLVHM LYNHPTRPSRKYLVLIDDDTFFPYMTEFRHTLNQFDPKKPYYIGTFTERVDWILQNGA PFAYGGGGIVLTAPTAQKVVEAPCLEKNAEGVYLLASDQGDRLLFNCLKAHTDITLTY LPALHQEDQFGDPSGFYESGIQPLSLHHFKSWHHVSPAKMHTVADACGESCFLQRFQF LDNYIISNGYSVAHYPQGINFDPMQTEGTFGSANEDDPNRNELVFSYTFGQLRKSLNN TGKKKSWEILGAKKEGDGRVKQVYLKRRGDGRWLAESEEAPMRDSVVVLTWIP LY89DRAFT_686809 MEVYPGIHQFMCKGCRNVQLHYQCVFWSPGDHAWNCQDCLPLRE MLNQTKLEFCPGCVRKQKAPEKATEPIANVEFSSTKQFFPADIVRMGCSSCRKRKISC SRLYTAASKCEGCLEEDLECDCKVMRRTCQYCKDRMIRCTGNEDTCTNCMRFQQECKP VKREKVTKPVRKDAQSAPARDHLDVIDGMKADLSFDNCLSCEKDSMLRCDRNLDGCVH CKIKKQPCEYPSPLASTLSDRSSKFCTSCYGRKSKRSEDPLCDRCKSIEWNKSKVIEP CHACRLMSTQCDDAKTCAQCQKLDFKCKRGPTGGNSSSQYPTSCDPTDSTIPPYTVGW SSSNQYTYDAASRTYTAASEWTPDNHAAERNQDATVKLFADLYSRTSHPPRNPSKRIE KGNHVDCTDRKCPCQSQDMIYKKANGEFYSRPLPDIIRLPGRRRTSYICKVGNIDCSA KSPCQECTVPAIDPTRETPGRWRAVREGPACDPCRNYKHRSDGKAPSFASCERLGRKC SYSSDKFEKGVLLKEYLEDSTRREETVVADRPVAQTVEKQDVASKDKEMKDVTTDTED LDVEDWCMVTSEDVDGVVEEEPSANTKGMGRSYRFW LY89DRAFT_135914 MASYLTLRRALTAAASISAVSATSLSDVCSSTYAQAALPASSFY PGITIDSSSTTAALVSNSSVSSEWYPTATIEYCNVTFAYSHNGLTNDVVHVSYLVPAP ENFQNRYVSTGGGGLAINSGSQYSPSGIIVGAVSGITDGGFGNFDTQWDAVFLAANDT INWQATYMFGYQAHHELAVLGKEFARNFYNVSSDTKVYSYYQGCSEGGREGWSQIQRF GDQFDGLAIGAPAFRYGQQQVNHLIGNVVEQTLNYFPSSCELEKIMNLTIAACDPMDG LTDGVVSRSDLCKLNFDINSTIGEAYSCAATTSSGSSGFGGLASGGSIKRRQDDGSTS AIPAQNGTVTAEGAAVASAYLDGFHNSKGERVYISYQPGAQMADAATTYDSTTNTWGL DISGLGGEWVARFLELQDASTLANLDNVTYDTIETWMKLGMNRYADSLQTTYPDLTDF QTSGGKVIHIHGEQDNSIPTGSSVHYYESVREVMYGNATYNESTAALDDFYRLFLVPG GAHCSSNTYQSSGGWPATTLQTVIDWVENSVAPDTLNNSGTVIDSLCRYPLRPLWSGN GTSFDCVYDQTSIDTWNYTFDAYKTPLY LY89DRAFT_589613 MARKGSPKVRSGCRTCKKRKVKCDEFRPACRRCSSTGRTCGGYD LELDREQDREHYNALQHSISLYRPKQLTARDEQEGRSFNFFAHVVSPVLSGPMDTYFW THLVMQFSHFTPAVRHASIAVSSLYEDFLGGSRIFRQKNNLLALKHYNAAIQEIGANP DQDEQLVLMVCVLFVCIELLQGNPEAASRHCKHGIAILQKFNVDSGRTPSDWVVRYLL PIFRRLSLNLFIPSGPPSPARFSKGDFPPPPSIMLLENGPDSGSVPDRFDTIVEASNS LDELMATCMSISHAKDDASTQDQMHKKGQLRESLQLWNTRISEFESSATSLSVADQLA LCNMRMRYEKQKIDLGVRAGPKSFAEELQYDQYTSTFKSIVALARQAASLSTSAYNGQ RQSFSFDMGFLPVLQFVVMKCRDFDTRIEALSWMPHLTAAKESLLDLGTLYRISRRVI ELEHNVSLDDDLRARDDETAKLQLTSEDSRIVAAPVDHEMEVITAQDGTVSYRRRVLI IMRHADNSSSFDREILQERKLRQYDLEIPGMRSAR LY89DRAFT_135935 MSPPFNVLTATAAELQQSLTIGTLTSVQIVETYLAQIEKHNHAG AHLNAVISVAPRELALKQAAKLDQERQEGKTRSPFHGLPIIVKDCFQIGPEMGMKTTA GAYCFSLEQAKENAEVITQLLDKGIIILGTANLSEFCGHKAEEMTPGWSAMGGRTRSA YDLDSDPNVLPKPWWFAPCGGSSSGSGVGVSAGFVPMSLGTETGGSLVYPASRAGLYA MRPTLGSVSSKGVFRISKTYDGVGAMARTPYDLSLLVELILKHGSKHASESGFGKYLT KSWEGLKIGIVEVTWGMGSQEGKDKWTSDLVKRNYDGVAQKIRDNGGHVLFPASPPEP STIKYQDLVMRDIAYQEFNEVIQIFCDGFENPKVRTAKDIVKFNEEHADKAMPEPHAN QKELIASSESSLTEEQCATARSEIQRLAGKDGMEKYMTDNDLDLVVSSSDCSLISFTS CAGYPSATVPLGNLENGQPYGLFILARENREDLMFRFMSAFEATFPKIKGPNLV LY89DRAFT_650064 MLWLLCLLALLACSHAQTSSICSQATATINSQADATSLADCSTI SGSVLVSSSASGVISIDGPQTITGDLTCENAGGLTSLGSITIGTIGGSFSLSNLTLLS TLSMNDLSSVKTIAWSALPALSSLTFPSTVSQVESITISNTFLSSLDGLNPSTIATLN VNNNERLKTFSTQVASASSAINFNSNGNGLDLSFPNLIWAANITIRNVSSLDVPSLST VNGSIGLYGNDFTEFTAPNLTTIGSTATGSGTLALVQNPSFTDLSLPLLKTVGGAVQV ANNQELQTISFPALATVGGAIEFSGNFSTPNLPALTDVKGGFNVQSTASIDCSAFAAD EQSKVIQGTFVCKGSSGSTTTTGGITSSGTPTPTSSSNSTSNTSTSSPTTSPTNSPPP INNNKSSGLSGGAIAGIVIGVVVALIIAALIFWARKKGYKFSFGITKREVDTGVPHPG MAELPRGGHHEKTELSAVTRPSELSAARLDGPMSESYELPAHAREGG LY89DRAFT_650068 MTEAHASYSRNGSDGKSTDRDVAIIGMSGRFPSADNVSELWQIL SGACDLHQTIPKDRFDMNELLEPEQLARFGCFINQPGFFDARLFNMSPREALQTDPIH RILLMVTYEALEMSGYSGARGQRVGTFFGQATDDWRESNVSQDIDVHYIPATQRAFGP GRLNYHFKWDGPSYSIDTACSSSATAIEMAYKAILNGEVDIAVAGGGNIITGHQMYAG LSKGGFLSNTGPCKTFDSEADGYCRGEAVGVVVLKRFEAAVTDNDNIEAIIKSVETNH SAHAISITHPHSGAQQDLYGRTLQKTRIDPNQVGYVEMHGTGTQAGDSVEGHSVVDFF SKNRTPSDPPLYVGSVKSNIGHGEAAAGISSVIKSLLMFQHNQIPAHCGIKTTLSDIF AAAVGRNIRVASQTEPFLTQRRILVNNFNATGGNTCILLEGPCPRPASVVEDPRSHHV IAISGHTPASFEGNRSRIIQYLEENPEVSLADLSYSTTARKLHNNIRIAYSISTKEDL LACLKKSTQVPKPIEKKPVAFLFPGQGSHYLGMGKGLFETCPPFRQSLRTLNDICQEQ SQVSFLDFITAEKGDLRSFQPVQIHLAIVSLQLALADLWKSWGFIPQAVVGHSLGEFA ALCVAGVLSARDCLYLVAMRAKLLQERCEPGAYGMLAVQADRKMMERVLEGNDFTTCA IACLNGPSSSIVSGKMLEIEDLRATFKGQSIPTKLLDISFAFHSVQMDPILDEFKTCA ENVEFRAPKIAVTSYTGCLVTAEGVFGAKYMRQQTRNIVNFTSALQSCLTLGQASDTI WLECGAGSSLLSMAKATIGSLPTHSITSIAPNVSEWKTVTEGLTKAYNSGIDIQWQEY HQPFDIGLRLLDTPTYSFDCQNYWVQNSGKKVGKSDSRNFGGKGGLSRSQGPASTRGL PSITHLTTEKTAPEHSLRELSNVSRVPSSPHSLSTLGRTDTTTYTLNTAEATLLAAIQ GHLVEGVGLCPSSVYLDVAFVAASKVLSKVYLGRNVGVEISDMNIFSPLVVITGTLEP DIKTSVPNALDTDRVVVHFSSLSGTETREHATCTVVNLNSKECQRELRMTAHLVQARM KSLTDPSHRPNRVHYLQKKMIYRIFSTIVTYSEPYQNITDIYLDTDLTEAVATIEFPT STINERFLYSPYQTDAIIHLAGFVLNGNLGVAEDIVYISSGWKSLRILKDLEANVKYQ AYVRMYPEGAGGLFLGDVYLLHKEEVIMTCTGMQFQRMARSTLRTILGLDSTRSLPIV RHTATGLPLGTTTSEEVAVNPRLADAEDFRQIIADETGHPIEALSDEVLIRDLGIDSL LSNSIVSRIGREAGLKLSTSAFASCSKIGDLRRHLLEQAQSSSNKETVKSLSSGSSNP TWSDTPISTPENELNQLRVREQLRSNSVFIQGDHTSGLPILFLIADGAGSAASYTKLS FKLNIPVYGLESPFLGSPLEYTISFEDMVCLFIDELRRLQPHGPYLLGGWSMGGMFAY EIARQLLNAGEDVLGLIMIDSPCPRPIDKFADISMGMLEKTGIFDDANHTGKDGEAKM SLSTKQHLLASVRALGAFEPVAMHPERRPQNIFLIWAKQGLFERLSEKVLAAIAKAKT SGQDTAQLDDMHDWFAAPRIDFGANGWDRLLGDEADYYALEGDHFSIMNPPQIHETAK LVEHCLRSFLKLPLECYKGEQPRRRQE LY89DRAFT_784290 MSKFLGAIQDVWGKMTCVGGDGSSGDDNSKIWNTRDGSSGGGNN SNCRNCKNCSNCRDCSNCTNCSNCTDCSDCKNCSNCKSCEGLVDCSNCSNCQNCIGCT NCRNCSGLKNRHNASNEHGDETSGEL LY89DRAFT_708707 MGMLANPPLLTCFVVSTDLVLFSLVSRKLRTVTPHQDRHFEVST FNLSHPILFVFGPGDAETRGKISVDTNGMSLYRRPAPLLVAGMDLIAFPILRSLSIVG GQLDFVMKDLHDKYGDVVRFNPDDLSFISAQAWKDIYGFGHAELPKYFPSFSGFNGQN IISAKGSDHFRFRRAMLPAFTDKSLAQQEPIIASYVDLLMTRLREVADSEKPTNIIRW YNYTTFDLIGDLAYGESFKGLEEGKSNGWLDNISNMLKLMPILALMTVSPTIAHLDNA ASLAMKRINRTDQRDRGDFMDAMMRAKGQKHELTDAELIHNADLLITAGSETTATLLS GVTYWLLRTPETLERVTKEVRAAFDKEEDITFKEASTRLPYMLACLHEGLRIFPPIPL VMLRQTNPGLPTPIAGYSTKVGVHQYATFHSSTNFAYPSEFRPERWLPEAETDSSSPF FNDNRECHKPFSFGPRDCIGRNLAYHEMRIILARILWNFDLEMGEGMLGWERQKIFGI WEKPPLMVKVRRRADKVKEEVKS LY89DRAFT_671689 MATKLTHTFKQVSGLELKADIYLRSLDAHRREYNRSQPVVLYFH GGGFVFSDREDVPPHIVQLCLMRGWVLVSADYRKLPQISGTEMWEDARDAFVFVRETL PGILAGRLSGARFENVVLVGRSAGAYLSYLCGHNMDPKPIAMLTYYGVTSMSDPFFHS SFQMGPAPIGWERVKPFYSEDVTLGTTLPSKKFDPDCLLPDLTRNPAWKRPHPEPGPH PRIVLVPWFFQENQYPEMMQEVDKSLDDKAWTEFPPTILVHGDKDVVNPYECSVRLVE AIGVPPAKLFTAVGQGHGFDELFFSGEPGLSVVEEAWITLDEAVKARLKTHDLS LY89DRAFT_752304 MPVLLSAGKHFPRRDPSGIGSGSGLGITVGISLISLVVFLFFAL MCVGYYRTSWTPGFATTRIVIPRRRSSRSRAPGLAKSVVENLPIVRFEESRTKDVELE ERVEDGPNTNSDMQPVVDGDITAVTEIRSSKTNIASGTSTPTNKIPQEDSSCSICLED FVESEEVRLLPCDHKFHPKCVDPWLKHVSGTCPVCRYNLNTQEVESDAVAA LY89DRAFT_736378 MQFTTTILLACASVASAQYTWGDWGAMNPCAQPCFASAYPGTSS SWSSHCASQTLLNNCIKSSCSTMTAVQTSASAAQSAQCSAFSSCSTVQDVCTYAYPWW GGWSAWGWDGPYHDGMYTVTTDAQTTGLTTRTVTSAVSGVTSTATQTATLVAAAVAST TNAAATATATHNAGSSRGVNAAGALIGAAIVAMIAM LY89DRAFT_686816 MSTGTASPAREATPPGSNSLSIPTKRALDDDHQPAVSSPLNPDF KSKDNAPLAHERASRTKKESLKKRESKAGSLAPDTSARATPDPKASTSKSKKTESDLA PIRYKLPPPKSTDFEAPRGPIFIPAHAKIAPDGTSVQFNETTDHVYNKKNFHYTHCIA DPAFPSSLYYRQSESEPFNPRLNFEDTSTHMFLDEGGRHITTDKGFRMAKGNVCVREG RFYWECKITSGIPKRKHSDAHAGPDNGHVRMGWARREATLDAPVGFDAYSYGLRDVRG QKVHMSRPKDFFPPGEDIREGDVIGLEINLPSESLHRKVVEGQYNPAVDLADEGNTSI ERADIIRDRVPIRFKAHLYFEQIEYHSCKELEELMNPSPVVSSSGGFGAGQEPGPTHL VPALRTLPYSSIKVYKNGQLMGTPFTDLLAFLPPASKPLPQVGAREGLDDGMLGYYPA VSVFRGGAAEVNFGPDFWYPPPGFEDQDDEVDMIGNDQPATKTLELNKLRAVGERYDE QIVEDIVYDIVDEVDFWMQDGGVSGKGVANGVRASGVSVHNDLAGGLLDSSEIKELVQ EDD LY89DRAFT_136013 MVALAPVSVASIVIGFISFSFTLAIWLHAFWESFVTIGQAPEQL QDALSTLRQALYEEREYLKRKRRRGNDKEEGRSSSKNRPTIYYEGGPTKVINDAVKDL IREFKAYERPFLETEHTGREKELEWSFDATQQYYKSDFVHRVLWLRSKGGVETIAEKL QKIQTRRIAVEVTEMHFQMSDMMGLVRDQEGRLRAIEERLQMSRVGWDELRRN LY89DRAFT_590033 MVSGFPPVRLLILLIFLSIIFVTASLQAYKRHREARQYYKLFGC RQPPLENAYDPLGISKIIRSTKIFLKKQSLLTAIKLFSEYGNTYTSRVFTSRVVFTCD PRNIRQILVTGFADWESSPLRGHLFKPLLAHSIFQLDGPRWKATRDTYRHQARFSNLR SIIDVSRQEKSVQNLLNCIPDSKPFDLSVLFRHLMMDLTTGFCLGDSANSLTTYQTEE KKVFAEAITDIQHRIATGGFVGPLSRFMSKKQMRIDTEIIHRFVGKYIDATLARLIPY DRVDQKSYEPEGYNMLDALAEFSRDKIELRDYVTTILIAGTESTSSLMSSVLYLLARN EHVFLKLRQSILEIIGREPPTLAQIKGLAYLRCVLNEALRFYPPVPINARIANTSTTL PVGGGSDGNSPVYIHKGQKIVFSSFAIHRSEEVYGSDALVFKPERWENVEALKERGGS FFPFLLGPRACPGQQYALQETSYVIIRLLQTFKEIKPRDSRPWKEHMGLNLRNDNGCW LEVVRDEEAIA LY89DRAFT_620725 MLEYFTYKKIKKHQTDKKASTPSTPSHAEKQHSAPPSPEPAPLL NEEDENFLQRVVSAEGTPPPLPERPLGWMPESGDSTNNNAQMVVHDGNGTTAEETKQH KGKGKENEKSNDKNAKKPSRFSFLTRSGTKKDKNDGLHPAPVVTPDEVTSEVDDINRV LEDLNLSAVNNRAFSLSKESQQLVQQFTVILKDLVNGVPTAYDDLNHLLDNSQGQLSK SYDHLPGFLKKLVTQLPDKLTKNLAPELLAVAAEAQALNGGASAGGATSFGAAAKSFL KPTSLKDLVTKPGAVVSMLKAIMNALKLRWPAFMGTNVLLSLGLFVLLFVFWYCHKRG REVRLEREGKATVIDSDGRIVELEDDPMLPEGPSTQAGPSGSQTEGPRSTSRRDQRDL DPYDGPSSRPSSRRSRDSRRSRDSRKRPASSENETRQKSMENARR LY89DRAFT_784297 MPPFKDENILIIAPGSQTTLAQLGLPESFTPASHRFPTRMFLAP DGKTYEPHKIRSRKKEVVSNGDVAMEGTSAANGVEEEEFYEDPEDNEGAIYPLKEGRI ENMPAFFAFLQHVHATFGPQLHSPIMLIAQPCWTAKNHEDVTQFIFEKFKTPALCIMD SALATSYAFGIANATIIDVGFEKVDVTAITDFQISGRGVVPKSGGEAMTRRLMQLLES KDFTRDMAEQLKKSMICEILPPGTPLPGTDEAAVAEDVITNPAAAASTGAASSGPSVK ITEPPRVAGLEPDPIDDDLGLDEKVVDDDGVLDVASIVTSGKTQEFLAKKEKEKAEKA AARKATRDAEKAEAAAAAARPARLPNSKRPRAMFHYEETVKEAPVAKRQKTPEVAPPP PAVVEEPVSAVEAKPMGSDGFPSMGGDPAEEAARREQQKAARKEERRKIREEENPDRI RRDIEIGVERFQAASEGMIDSIADTVHRTVLSVNDIGKRQDAWDALVICGSGCKVRGF KDALLATLNNRYLVSPSSATMFMSELPSNIATPSGTGSMTPNASFSSTPHAPPTASAV NPLLLAATTASNPVLNPNLSVASFNGNGAPSHSSHSQSPTSIKTATPPSYFPEWKQYD EAVFLGSQVAAKVIFVVDQGLSKGFLSRVEFNEEGPTAIHQVGLVF LY89DRAFT_708712 MALLKLCIALLTFFTLASSMDHQIVLSSSQFNTYKCSHFGSTLS LPNTTVKSAQWLPNGTVIQLEQGYGLASCGWVTQPIKRDICRIVMHVDTSPRSNLTFE AWLPNENEWNDRFLSTGNGGNSGCLRYPDMAYSSGLAFAAVGTNNGHNGSSGIAFLNN DDVIEDFAYRAVHTGVVIGKQITQKYYGRAHKKSYYAGCSTGGRQGFKMVQDFPTDFD GVLAGCPALNFPSLQSWSGHFQPIFGNPGDDTYVPQGPHWELIHHEILKQCDGLDGVV DGIIEDTRLCRFRPEALQCSPGLDGKNGACLTGSQVGAVRNAFTDFYGVDGNLIYPRM QPGSEIMASEQFYANGTFLYSTDWFRYVVYDDTEWDPETFTIDDAQNAIIQDPFKIST WNGDLSPFEEAGGKLIHYSGLMDATITSDKDFWYYDHVSRTMGRDSHSLDKFYRLFRI SGMSHCVGGDGAHNIGQRFSDFAGLDPEHNILEALVQWVEDRRAPDTITGTRYVNQSN HALGVDYERRHCRYPYRNFCHDPLNYKDPDSWKCII LY89DRAFT_784300 MYGTSTGPQTGVSTPRSSSSLRPLVLTHGSLEHSFLIPTNLHFY ASQIKDRFLGSLPEPTDELAQDDEPSSVAELVARYLGFVAQDVDEGEDDAQGSYEEVL KLVINEFERAFLRGNEVHALAATLPGINAKKLEVVRSYYAARAATGRTIKRHESALFR AASDESAKIYTVFGGQGNIEEYFDELRELYKTYPSFVEELIVSAAELLQTLSRDPRAE KLYSKGLDVMTWLHDPESTPDVDYMVSAPVSFPLIGLVQLAHYTVTCKVLGLTPGQLR ERISGTTGHSQGIVLAVATAAADSWESFDDIAISSLTILFWIGSRSQQTYPRTSLAPS ILQDSVDNGEGVPTPMLSIRDLSQAQVQQHIDATNQYLPEDRHIAISLINSARNMVVT GPPISLYGLNLQLRKVKAPTGLDQTRIPFTERKVRFVNRFLPITSPFHSKYLSNVPEH LSEDLKDIKIPSKSLGIPVYDTNTGKDLRTQVKGDIVPTLVRLITHDPVNWEKATVFP DATHVLDFGPGGISGLGVLTSRNKDGTGVRVILAGAVDGTVPEVGYKPELFDRDEEHA VKYAIDWVKEHGPRLIKTSTGETYVDTKMSRLLGVPPVMVAGMTPCTVPWDFVAATMN AGYQIELAGGGYYNAKTMTEALRKIENATPAGRGITVNLIYVNPRAMQWQIPLLGQLR SEGVPIEGLTIGAGVPSIEVAQEYIETLGLKHIALKPGSVEAIQAVINIAKANPTFPV ILQWTGGRGGGHHSFEDFHQPILQMYGRIRRSANIILVAGSGFGGAEDTYPYLTGTWS GKFGYPPMPFDGCLFGSRMMTAKEAHTSKNAKKAITEAEGLDDAQWEKTYKGPAGGVI TVRSEMGEPIHKLATRGVKFWAEMDAKIFSLPKEKRVPELKKNREYIIKKLNDDFQKV WFGRNKAGETVDLEDMTYGEVVRRMVDLMFVKHESRWIDKSYVKLTGDFIRRVEERFT AAGGKPSLLQSYSELDDPYPTVEKILAAYPECETQLINAQDVQHFLLLCQRRGQKPTT FVPALDENFEFYFKKDSLWQSEDLEAVINQDVGRTCILQGPTAVKYSKIVDEPIKEIL DGIHHAHIKGLTQDIYGGQESSIPVIEYFGGELIDPEEETDVEGLTISQDTHKTTYRL SASPTATLPSVETWISLLAGHKRSWRYALFTSEVFVQGQKFQTNPMKRIFSPVRGLFV EILHPNEPSKTVITVKEQPRPNHYVQVIDVRCTSENEISVNMIKDTTAVGKPVPLPLL FTYHPEAGYAPIREVMQGRNDRIKEFYWRAWFGDETLDLDAPVTGTFNGGKATITSEA INDFVHAVGNTGEAFVDRPGKEVFAPMDFAIVVGWKAITKPIFPRTIDGDLLKLVHLS NGFRMLPGAEPLKKDDEVETTARINAVINQDSGKMVEVCGTITRLGKPVMEVTSQFLY RGAYTDFENTFQRKTETPMQVHLATSKDVAVLRSKEWFSLDEPDHELLGQTLTFRLQS LVRFKNKTVFSHVETRGQVLLELPTKEIIQVASVEYEAGVSHGNPVIDYLERHGSSIE QPVNFENAIPLSGKTPLLLRAPASNETYARVSGDYNPIHVSRVFASYASLPGTITHGM YSSAAVRSLVETWAAENNVGRVRSFHASLTGMVLPNDDIEVKLQHIGMVAGRKIIKVE ASNKETEDKVLLGEAEVEQPVSAYVFTGQGSQEQGMGMELYASSPVAKEVWDRADKHF LDNYGFAITNIVKNNPKELTIYFGGPRGKAIRQNYMSMTFETVGSDGSIKSEKIFKEI DENTTSYTYRSPTGLLSATQFTQPALTLMEKASFEDMRSKGLVQRDSSFAGHSLGEYS ALAALAEVMPIESLVSVVFYRGLTMQVAVERDETGRSNYSMCAVNPSRISKTFNEQAL QYVVENIAEETGWLLEIVNYNIANMQYVCAGDLRALDTLTGVTNYLKAQKIDIQQLMQ TLSIEDVKAHLVEIIRECAKQTEAKPKPLDLQRGFATIPLKGIDVPFHSTFLRSGVKP FRSFLLKKINKTTIDPSKLIGKYIPNVTAKPFELTKEYFEDVYRLTNSPKIGAILANW EKYEEPQTNGVNGVVNGTHA LY89DRAFT_650084 MRPEVEQELAHTLLVELLAYQFASPVRWIETQDVILAEKTTERI VEIGPADTLGVMAKRTIASKYEAYDAAKSVQRQILCYNKDAKEIYYDVDPVEDEPVPA AGSSDSAPAPTASSSSSAPVAAAPPPPGAGPAAQVPDAPVGAVDIVRALIAQKLKKPL MEIPLSKAIKDLVGGKSTLQNEILGDLGKEFGSTPEKPEDTPLDELGASMQATFNGQL GKQSSSLVARLISSKMPGGFNITAARKYLETRWGLASGRQDGVLLLALTMEPAARLGS ENDAKAFLDDVSQKYAANAGISLSTPAAGGDGGGSGGGMMMDPAAIDALTKDQRALFK QQLELFARYLKMDLRAGEKAFIGSQQSSQALQAQLDLWNVEHGDFYAAGIEPVFSSLK ARVYDSSWNWARQDALTMYYDIIFGRLKAVDREIVSQCIRIMNRSNPTLLEFMQYHID NCPTERGETYQLAKELGQQLIENCREVLGVAPSYKDVAVPTGPHTTIDARGNLEYAEI PRTSARKLEHYVREMADGGKISEYGNRTKVQNDLSRIYKLIKQQHKLSKSSQLQIKAL YGDVIRSLAMSEGQIIPKENGNGKLNGHAKKSAVRNGNPKNGKVETIPFLHLKRKDEH GWEYSKKLTGLYIDGLEQAAKSGVSFQGKNVLMTGAGAGSIGADVLQGLISGGAKVVV TTSRFSREVTEYYQSMYARYGSRGSQLIVVPFNQGSKQDVEALIEYIYDTKKGLGWDL DFVVPFAAIPENGREIDSIDSKSELAHRIMLTNLLRLLGCIKTEKAERSFETRPAQVV LPLSPNHGTFGNDGLYSESKLALETLFNRWHSESWGNFLTICGAVIGWTRGTGLMSGN NIVAEGVEAYGVRTFSQQEMAFNLLGLMSPTIVDLCQSEPVFADLNGGLQFIPDLKDL MTKIRKDIMETSEVRQAVTKETAIENKIVNGEDSEALYKKVTVEPRANIKFDFPTLPD WNKEIAPLNDNLKGMVDLEKVVVVTGFAEVGPWGNSRTRWEMEAYGQFSLEGCVEMAW IMNLIKNHNGPIKGKQYAGWVDAKTGEPVDDKDVKSKYEKHILEHSGIRLIEPELFKG YDPNKKQLLQEVVIEEDLEPFETSKETAEEFKREHGDKAEIFEIPESGEYIVRMKKGA TLMIPKALKFDRLVAGQIPTGWDAKTYGIPDDIISQVDPVTLFVLVCTVESLLTSGIT DPYEFYKYVHLSEVGNCIGSGIGGTHALRGMYKDRYLDKPLQKDILQESFINTMSAWV NMLLLSSTGPIKTPVGACATAVESVDIGYETIVEGKARVCFVGGFDDFQEEGSYEFAN MKATSNAVDEFAHGRTPKEMSRPTTTTRNGFMESQGCGMQVIMTAKLALDMGVPIYGI LGLTTTATDKIGRSVPAPGQGVLTTARENPGKFPSPLLDIKYRRRQIELRKKNIKQWQ ESELEYLHEEIDAMKSQGIEFNESEYAQERAAHIEQEAARQERDVLNSLGNNFWKKDP SIAPLRGALATWGLTIDDLDVASFHGTSTVANDKNESSVICQQLKHLGRKKGNAVMGI FQKYLTGHPKGAAGAWMMNGCLQVLNTGLVPGNRNADNVDQVMEQFDYIVYPSRSIQT DGVKAFSVTSFGFGQKGAQAIGIHPKYLFATLDEATYARYSAKVDARQKKAYRYFHNG MITNTIFVAKNHSPYTDDQMSEVFLNPDARVTMDKKSASLTFATNFAKHTTNKAKTNS TKEMVESLAKGAATANNKVGVDVEDIAAINIENDTFLERNFTDKEQAYCHKAPHPQAS FAGKWSAKEAVFKSLGVASKGAGAPLKEIEITNNEKGAPIVTLHGDAAETAKKAGVKE ISISISHSDSQAIAIAVASF LY89DRAFT_686825 MESIGGHSKACCNIPPIISKGYSPKGTYETLDGLKTYVTGPESA KLAILVIYDIFGYFPQTIQGADILATSDEKHQYQVFMPDFFEGNPADIAWYPPVTEEK KNALYEWFPSHTPAIAIPRIPQIIAAVEAKYGKKNWAALGFCWGGKVVSVTSGADSLF KVSAQCHPGLMAPEDAGKVAIPTCFLASKEENAEEVEAYTKALTVEKHVETFETQIHG WMSARGNLEDEECKKEYLRAYNVVLEWFGKYIDLNSSRL LY89DRAFT_136225 MISLSWCASRCGGISLLSLLALCSWVLFRAAISTTAQPHQDSDN HHMKSRFTTAKYGGTSTHVFAYYSLLVHSLVVAFQFRACRAVWEGTANLQRTKLQKWL TNRHLHRRWKYSASSLSSTDTSSSPSSLSSSEVGDWDSSTETEHELELVIHAILVPNY KEDIEGLIETLQVLASHPQARHTYDVYLAMEQRELTAKAKAAQLVSKFKGCFRFIAAT FHPEDIAGESPGKSSNLSWAARAASKRYEIETRRDVVLTSIDADSHLSWRYFSEITSM HFAYSETASTTLYSTPIIFDRNAHLVPGVVRSDVVWSAAGLSGLGSTICPPTSVYSVP LELVDRVGGWDTGPDSIGEDLHMYLKCFFALNGNLTTRSVKSPVSQTNITTSAGQGLL GQLHGVDARYRQALRHMWGSLDSGYALKQAMEVWRRRRQTQQVCQPLHLTTSSKMRSN TSFDWQKEILRSDDSVMRVKIEPPNWFNIFLLFHRLFEAHFMPAHISILVVASTLYRA LTPQEEDTFSIAWTFVATDILRAIGLLTLLVFFYSYQQYHHLALEARRNEMIAAGLAE VVSQ LY89DRAFT_136243 MSSLQVLLPLFVLHVIPFALVMGLPSRGATTPKVFVIGLSKTGT TSLGDAFELLNYSRSGWTDIWSRYLCHQAMLAGPNLRPVVSMSEKYQMFEDLPWSLPQ VYTNMSKTYPDSKFILSLRKSEKVWLESMRRHTRSRIWEGHNTIYGAYQVDGNEEMFL KTYVNHMRDVRAFFATDEMEGRGMEMVIDAPGESDDSRWRKLVEFLGIEIPGGVETLG EFPRSNSGRLWTNKDPLSTLCGSHWQVTCHGVLIRVWPQLLCPFQGLLG LY89DRAFT_671703 MSSPSTQQTFLITGATGNQGLSTITALLSLSIPPSQIHALTRTP SSPKAQRLLSLGLTVIQADISHPSTLLPALQNITSLFILPFCDMSAPYLQSTHLSNLL SAAKAAGSVKHIVLSTVICAELHPIWLRERGDSYLGRPYYESKFLCEEVLRKEAEMGG WSYAIVRPGWYSNTHYVPPECGNHFPELVGEGVLKVSYGVGERVGHVDVRDVGEVVAD ALVHSEKWRGREVDVVGENLGIGDVAGILAEVMKELPGVFEVKITGIEGMGLKLRGLK ETFAEGTEERRKLFEMWGLEEKKDEE LY89DRAFT_686830 MGVHRESASSNLESGYASGASSEGNLPQIILTKQHLKFLNNTLN NLTPLEVLRWAKITFPNLYQTTAFGVSGLVTLDMLSKLQTETPSAPTIDAIFLDTLYH FQETLDLVEKAKARYPNVNLHVYKPEGVSTPAEFEAKHGKELWKTNAELYDWVAKVEP AQRAYSDLSVAAVLTGRRRSQGAKRESLDIVEVDEAGLIKINPLASWSFREVEEYIRE HDVPYNELLDRGYKSVGDWHSTEPVKEGEDERAGRWKGTQKTECGIHNKRSKYAQFLM EMEQKRQQEELAAALEKVDVSDEIVV LY89DRAFT_686831 MADTEASTRAGRPSFGSNMRSRSYLQEHQQYRPPQQDGHQGIDS IVEGMQSTKIDQSKPIHKYNGVPSPKSPPKIIDSGLSHTLSHTNCQPAPGTYSDRLIA TIIYKTQSPRAKITDIPLDRTPSPTAPTTSRTLPPNLAPVRTLSNFPLEPPPPDPEPL DHLYGSYISPLCLTSFLHLITSLPTRQGSETLTSSHRCLDNPEHPCIVELTFSPSPDP TYVDLDDLRKHELIYRFEREWNVDVILQLDTVLRRYPRLVCFDMDSTLIEQEVIDLIA ASIGVEAEVSAITARAMNGELDFSSSFKERVKLLKGSQADIFTKLRSVIKPTKGVFEL IKALKRMGVKTAVFSGGFIPLTQWLADELGMDYAYANTIEADPATNLLTGEVIGTIVN AERKRDLMLEIAEKEKIPLEQVVAVGDGANDLLMMKGAGLGVAWNAKPLVQMEAKARL NGDTLLELLHIFGLTAEEVQTLIQ LY89DRAFT_784308 MNKMDSSEVTPMDVVVISSDDESPQQKPHKSKTLSELAEERCLD SLSAAVEGYQSTANYCCGGSLPITIPSIAQPLYVSSKKLDPNKTQNTIALRWDVSQDQ GSARKVEFPLVHSDSQILAQTMFEELLKTCAPATFGRNNEDILDESYRKAGKLDREQF SINFHPHDYGIVDAIAQTLLPQIDGAFLKERVEHRGLLAELYKVNIYSGPSGKFRGHV DTPRGPTQFGSLVVCLPHPHEGGQLRVSHKGHSSFFDWSNTDSHDIQWAAFYSDCEHE VFEVKSGHRITLTYNLYITEQVGGVLRRNPTIDPSLFPLYEGAKQMLSQPNFMNKGGN LGFYCAYKYAHHSSRAHALMSWALKGVDVAIYSVFSSLGLDVRVGPILENNRQLENLE EQQVDEYYASADYDSDSPDYERKIRVGATFHKVVLDADGGYDIAYDKVEKNLHKIWPH DEYKDVTWLNTAREEEVAMVSIAYGNEAELDWCYSHAAILVTVPAHKDRDHEAKKNME APAVPSLIDVATF LY89DRAFT_136365 MAPTYRNQNRRQNRTGVIDHDIYEGIPVRQWRREYVTVAPPPTQ DSTTSQNDIWAVELPHGMPKDSHLLPQHSQDLLRAARSGRIYKRPAPPEEEEADPEAI LGDKPEKKEEDPKDKGFTAKAWKPAPRHLDLTDNDYLAKKRKNLVPKKPNPPPVIATV TKTTVKRIDAAGNEYVQDVVVPHGQKVEGEVIAQTVIPDPSAIADPFTAQPTPPKRKG GTSKKKLKGPGRGRKKKQQQLAPTSAPQPAPVDGVVQSTPVEGVVGAEGMKIEADANG TPTNNEDTEMGEGSMAASDDDDGDDGDDGEDGDDGDDDEGSDAQNSPSKRPRTTSPPP GSLPPISSIPDLAQPDTIMGGTELAGPAKIFTDREKSEGKSGSPLKTVALTASALTSP HESPTFAGPAGTFPEPAHQSPVTASTEVSDLNEVMQQEVVESAPTILPPPPPEPTEAE VEAAVEMRQEEEEEEEMLLDIQDNANNAQIGGPEPVAPEPIVSEPVISEPEREVIVEK LLVEVVPVEVIPTEIIPPAVAPTEAVPIETIPAEVVETVEIPSVVQTVIEPPVLQPVE VTEIGEVVEERKAEEPAPELAPVSAPVPESIPAPEADDDDDNYPDLLGGLERSLEKKE AKQEKVETEDGKEAEPAPAVES LY89DRAFT_671708 MALAHLPNEIFDDIIGLVLPEGFEALALSCKSIYKLSTPFIERH HKFRLRFQKFRYMEIPRDSFDIRTALDLITCIAFEPMAARYIRTAELKHDSFPHRARF APQHLPDIHGGGPVVNLFASSPYLKQAGLDWKDMLLPNAKTLSLPRLWRPVDTTNKLI DAVVQETKQLHVSFNRPNLAQVTRFESLFKFGLRGAGWRGSNPFLALPSMRSFRGRSC EVLGQGHMGTTSTIPYRFLGETLETAHLLQCCIDDLAIADFLKHTPRLKTLKYSHFKA DSRDWNICKFVTAIGREAGDHLIELSVSIWEVRGKIIPGKASMHDFRCLQRLQLPFEL VMCNVYAAACEARVGYIADRQLDYFGSFVSDLIPISVSHLSLLAGKTEEHENALERMF RHFQAKKDTQFPALKELHLSYSSPADKDERARLLKGIKKVGVSLHLDASPSHVGMKWE GNW LY89DRAFT_686835 MSVVSGVKGETAAQARSLYRQLLRQSDQFAAYNFREYAKRRTRD AFREHKDVQDERQIQELMQKGLKELQSMKRQTVVSQFFQLDRLVVEGGKTGKQGGRRN DIVRQKETGWD LY89DRAFT_589795 MANPPHGGELKDLLARDLPRHDKLEAEAETLPAIVLTERQLCDL ELLLSGGFSPLEGFMNEKDYNGVVENNRLADGNLFSMPITLDVSQEQIEEIAIKPGAR ITLRDFRDDRNLAIINIEDVYQPDKKIEAQKVFGGDDEHPAVKYLFHTARDFYVGGKL DAINRLEHYDYVALRYTPAELRLHFDKLGWSKVVAFQTRNPMHRAHRELTVRAARARQ ANVLIHPVVGLTKPGDIDHFTRVRVYQALLPRYPNGMAVLGLLPLAMRMGGPREAIWH AIIRKNFGATHFIVGRDHAGPGKNSKGEEFYGPYDAQYAVEKYRDELGIEVVPFQMMT YLPDSDEYRPKDEVPAGVRTLDISGTELRSRLRTGREIPEWFSYPEVVKVLRESHPPR SDQGFTVFLTGYQNSGKDAVARALHVTLNQQGGRSVSLLLGETVRAELSSELGFSRAD RTRNIGRIAFVASELTRARAAVIAAPIAPYEDARHHARELVEKYGDFYLVHVATSLEH AEKTDKRGIYKKARNGEIKGFTGVDDPYEIPKKADLTVDLETTTVRSAVHQIVLMLES QGLLDRL LY89DRAFT_686837 MSLSLGVIGFMFVFAYLPQLAVLVFVNGPLAAFTTILLTLSESS TLINLISRTFLLQDALVDIFDGVLVARRQTGVLAEGRQLKSGNFNDPIAKLGKLIKSP FEKFTPTAVVRYVMYLPLNFIPVVGTVIFVLLQGRTRGRSVHARYFQLKKWTNSERED WLKENTAPYTAFGTVATLLELIPFASILFSFTNTVGAALWAADIESNNTQMTEMSDPR SRGEAKKDE LY89DRAFT_650108 MTELTKKSVLITGCSPGGIGHALALEFHSKGLHVIATARDKVVL KDLEDLGMTILSLDVTSKASTLEAKMAVEKLTGGGLDILVNNAGRNCTMPALDVDVTD ARACFETNLFSIIQLTQTFTPLLIASKGLILNIGSIAAIVPYVFGSVYNASKAALHAF SNTLRLELEPFDVRVLVVVTGGVKSRIARTERVLPEGSLYVDIEDSFQRRVKHSQEGA MPAEVYARGVVREALKGRTKKQVWRGNKSGLVWWVWNFLGGWVFDLVVPGMFGLDRLR RIVKERVVGK LY89DRAFT_708723 MYSIHKNLLPPTETCLTPYHAQTLTSLRFELKSPIFHIGDRYAT TALRSLWLDDWLGGKVLSCGYEFGQEMGVVVENVWWVEGGALWWCRVVRRRSPTLYCV SPSSHITTLNTSLPHAKCIRVQNGVFTEILTSIPNEKDITYLPGYIIPGIIESHGHIL QYGEMLESVSLYDAKSMEEVRVRIREFLSRHEGEGYGTRDKWVRGIGWDQKYFGGVMP TAEELAIDPELSDLYIMLDRVDVHCVLTSQKVLDLLPDPLPEAPPGGVIITDPGPGVF CDNAMDSIIFPLAPKPDVAQKTRWFKSAMVELNKVGIIGVGDAGMRPDDVRILEGMAE KGEMSVRVNVMLECAERNTFCPEETGSLKLLAAPDGLGEHMLMLGGVKLFADGALGSW GAALLEPYSDKDETSGTMLINETELTSVVKKWFDAGFQVNVHAIGDRANRAAVDAFEN VLGKDCTGCNEARRLRIEHAQIIHPEDQKRIAKMGIIPSIQPTHATSDMSYALSRLGA NRLSHSAYRMHSLFPLSTPNSKTGSYPGPVLGSDFPVEPPNPFHGMYAAVTRLNPATG SSPDGEKGWYMEEALSVEQALVGFTRNAAYGWFREGEMGSIAVGRWADWVVVDRDLLA EGDGSGRGLRDVVVWETWVGGRRVWGVGDEAVGDGEEEGGDGKDEL LY89DRAFT_736403 MLGPLLLSAVFSVVPVFASYAPQKEICPITSIVRAANGLSDDEE TYRVARKAVADVALKAWLAKTDSGFGTDNLPTVAITTSGGGYRSLLSGAGVIQALDDR DSNGTTSGLYQAMTYQAGLSGGAWLLSSIAGNNYPTVSYLKENLWHEAFRDSLLDPDF LLASVAYVEVVSDIAEKDAAGYDVTLTDPWGRLLSYQLLDGTDGGVSTTLSSITGFSN FTSHAVPFPVITSLGVKVWEGQCLPGPNATTYEFSPYEFGSWDSDVSAFVQTHYLGTS MSEGKPTGLCTTNYDNLGYVLGTSSTLFNELCLSVPTAENSSTDIYDDLAAILNRVHE VTTSDLYATYPNPFYDYISSTAVSNIANNVSAQDHLSLVDGGEALQNNPIFPFLQPAR NISVILVNDNSADTSTNYPNGSEILTTYVQSFNHNLTLMPFIPSVETFISEGLNTRAT FFGCNDTSKITIVYLPNYNFTFDSGVSTSQLEYSETEQDEMVTNGNAIAEQGGKDGWA TCLGCAIMMKTGETLASGCTACLEEYCYYQ LY89DRAFT_136730 MDRGGITAWSGVLLHSMIGEVFPNLRATIASRMGKRIMHTFHLY HALCSLFDTTFEFKQTFDCRQIAGSMSGIRCHNQIFAL LY89DRAFT_538783 MAYKDHDEVVEERPSEKRHREKREKDPNRTHRSSRSSRPKIIDP ETGEPVRSSHRRRKDKDRDAEKDRDSEPSTSMADLVPELSRTASAPGATSRSSLPYPS FNKAHSKEAVNSRDDVRLPTKDVKSPYTPESTDVGEEEKARTRSAENIPPQGATVPKD GRPPSPPETDLSQQRKATPTRMTRVQEDVEEERPSSRTSGVRRTFTRDRDDRSRLSMK SKNSSRASTAIKSPKFPRDGSSTDNLVKDSVLSSGVDSNVTSVAPKREAPEPPYLETG SSPASAQDSSPRTPTQAPSFIPPPPSVKPSPSPFIHFEPQSEAESTAYDSPQPPPPPP PPVPISIPRVDYLMQNGGLLRPVSKNLISPALPLQNIQSREATPVPSEIEKIFGPFFN VLDQYETVIGKNGSLAVATGYRSVARRLLDRLENVFNRDLSSEGCTCVMCEHPDLSVH EGSRGLGWGEVLEWVSGRRELPVWPAFDFATLGVKAGEDLAGLGISQSTENIIRPGSP TKIDPDVAEEFREHYLAQNIKKKAAVDRWLSSCPQTAATPPQEVDDETLSFAILTHLD QQDQPIFNALVSGSNILQPVRAPPATRKPRSEFMVKTVHSIQRLYKLQQPPRDPEAAI YLLKHPNLHNLLATLSSINQSEWEILTSGRFDGFLWSGAESESTNPSPAPSRGPTPLN GMSSMGGIPYRGAMSPGVGMAGMRPGPGSRTTTPFGAFRNQTFSPSMGLGGFQSRGPT PFAQIRQPVSNDEETEIQVLAEVEREIYLGMEALEDAFEGLHRKAEVVRRALRER LY89DRAFT_686843 MLFFIDTFVFAAAFLIISTCTASPDRRFHATPAQVTPNVLPTRI ELRDVATTTAPNDGLATDICGWVGGDPGQPWVCAVPEFTCLVDPGVSAIGCCAGNDCP LFRTACFPLTASCGSACNSNGANLVCSETAAPLCATYSYPNSVTELRCVASAPSQLQI DYTYTGFTGTINLPRVLSGVAGTTAPGSFSVVNYGIQTPSTSSSQATSSSASTGSSSS SSTPGAPPPASTSGASSPSKSNAGAIAGGVVGGIAVLAVIGLLFFYYRRRSYNRDVQE MPGINMTRYNAVPPASPPLGKDPIQAPQAELQGQPRYHDTQPTEMQA LY89DRAFT_708727 MGVRIVQICGLWFWVAFTFFFFVVIHQSRTLFNLTTSLQQATVP SNHSPIPIGLTTRQRMARSQSAWRSSVALRHQMAAAHPSNPNIPFFPAQQMSDFGKYP YTLWDFFPSTYTCPHDLQRVGRLGDGGKWVCGMSLYEAKPGLAVSHPSYSSDSRIRAP TIIYSFGVNDESTFEAEMLARIPSAHVYAFDFSVSRFGPQISPSYSARAHFSKVGLGA KDEYTRSPQFFALQTLMKENKHDYIDILKIDIEGAEYESLDSFMDFCEKEGGGVMPVG QIMIELHLVDDKNVGFERFMRWWERAENLGMRPVLLEVNLLAVTLGEGKTDPRCVEYV WVNARDERSVLLQE LY89DRAFT_650124 MDDKTIAAAKLPVKRTSTHIYFFGYEGPDPEVCFQQWFPSHFED GDLSFRTSEHYMMYRKAVLFGDQGVADRILVAQTPGEAKTLGREAGPFDQAKWDSCCD DIVEKGNYLKFSQNESLKKILLNTGDKIIVEASPSDRIWGIGFDTENAEGNNKDWGQN KLGEALMRVRKRLRSSESN LY89DRAFT_736408 MKLILTGCTGMIGSEVLRQAILHPSITSIIVLSRRDLQPSQLSP KVKVIILSDFTSCPNNIWTDLEEAEACIWSLGGIPSRFLDLETARKVNIDYPFEAAKA FIERLCSPGRKFRFLYVSGHAVHRDLEKKNGLFNDAIRIKAEIEIKLNQLQEENQETF AAYFPRVGSVIPPNNKLLSIAGSLTNSLYPALKAEELAASMIDVAINGGAEQIVSHDE LKNRGRSLLDKHL LY89DRAFT_136769 MIKDYQPKLIWAIIEADTAQHPDHTIFLSSGRRLLQRMALSLLP SFVQKKLRPGVVKPQRLHPTSYLDGLRGVASFVVFMGHYTEENLGWYTEPYGLYEDGA PSSPLQLPFLRVIYSARPMVHIFLIISGFVLSYKPLKQIRSNQYSALANTLSSSVFRR ALRLFLPSFVTLFLMAVALNYGISDDRYAERYFDLPSQLRHVWNTCSQLLGASWAIDD LSYPQPVYNPALWTIPVEFSQSLLLFVVILGLSRCIANLRLLLLAGISWFCFYSGQLY AVEFLGGMFIAELTLIQDETLMTPVSSPTLPVFNFGEKPRQVDCGSTLKQKFMQAFWA ANVVSGLFIASWTNDHIEEVWGLSFLNAHTPEPYDGQKVWFCLGAFQIVAACTQLRCL QSIFNTSVAQYLGSISYALYLTHNLCLTTLEPRFVPIIDTFFSKATFWGRHYAWLAGL VIYLPIIIFVADLFWRAVDTPTVKFAKWFESKCVVEKRP LY89DRAFT_686844 MAQDPHQGGDLFDMAKDGTTIPNDAGKMRTIPSVPRPGEGEGDV NANRSSLASAASNPTDISRGVRDMGATGEVETGTGDQLPGAVESKRLHYGANEPLSKG HDRYDKHSRQKESDLERYAEPGPGVDLQPSEDGIARNENLPDEEVDRIVDSRERKQT LY89DRAFT_736411 MVGVLPFTYALEFTLFPTLPFELRLKIYDAILDEGRVVEIGLSA SKRWDIFFTHAKLPALFHTCQESRKRAFEKHVLLTMPHTPTDLYGPVPWLSRDEEYEI WKDNGREPDPLKLLINHDADVLYLSLKSLNLREPSWSPEDLWHRSTALEHFMWNLVRS TSGEDTKPRNIAFGGDSHIIQAIGAQLGLCDKLRMVYFVFQDKTNKLTREIIPTRVET LSPRDDRLALWGKMIDGMRETLYRELISSSECNKASLEAWKSIEMVPAVLRRQRPNEG LY89DRAFT_686845 MRFLSILSLAIAASSAQASTFPGYSFTTEPTSEIKNVLSHYCII LDTKTYSDLSKVYTSDAVADFTTIGLGVLKGLPAIETAMNASLFGIPTQHGMTTSYVS DISEKSANATSYYTLQQFGTGNNTGQLFTVWGKWVDELVYDNSTWKVKNRQVVAMGGP LIGNFIVPTH LY89DRAFT_736413 MCISLHTNFYHNCGHEQFVLIPSVNPTCPRQLESLRYVENEYCP RCTWKGKLLRFAFPRVWQELGAGIPCQGFTGQVMRTIRMPWYAKYNYTKRTLWQERNR YYRLKFEYIHRKHERNMAAERLEQQRNGESALDWVCFLRASRPGVLNPSPDVYCEFAD ESGDHLDEISEDRDTCAICQNRTNLGPNDPESCQGSGYIDEAGNMVGGEPVFLLCRHV FGLGCIRQWIEGSETLTQHDTCPMCQNVFEFHREVPYRAPPWWAAAQAYDTLPITGKI MYWPPRLIQLLVVFLTPFWEWCLRVAQIPSTMITGTQQWYATTRVEVARFREWRQILR YDRENFVAWIEVMVDYYVYLTLVSLCLSHPFWLSCTNVLMATIGAATYWWSRPRPLAG EGSERFAAYLIFSFLGNFAEWLIENLMRGWFVRWYDYVWALVYLLCWGRILWAYT LY89DRAFT_784325 MESVHANMGLFAMLPKELRTMIWIALANKPDRDSDSDRIVIKDL SDEHGQMFDEYSDSDDIWCIARFRKPKKNSEEEVTSGLEPTKAEGPLFTLLRTCQGIY KHVSDFMYSKEVISFQIRSQCYFYPKRKTIYEWKIESQVLGKCYTVNHEYGIHPKISN FPYHKVKTIKFVIEAPNFKEEPAEIYGLWHSVRKLFDMFSTMKALPDIEIELPDLYME HGSHVVHSGTTKKPVKWERNWSTNGIPNSCIARAYVDRYNGVDFRGKYVLDCTSILNL FFLLERHGETKVTSTPDLEADETFARSASQLRSSKTAGISRSKITKEVDRTYISLEDA LDVFSGTVSHELRLNRSAAWVDQDGKHPYLDEWERIDKTHDFDLDILPIKTRHRYAVA FNPGSINMQKMRRALNIPSKPQHYELRRFFSIALYDKEYYPHICNPEDARISSKQRAA ISNLKFKVVRRKEWNKYYDVNGIYAVGCNKHGDIDRFVRNMAGDWETKEWREFERRYQ ERRGLIDLEASSELAQ LY89DRAFT_784326 MSLRFAGVWSHSNRLACKATRSQIPSLSSPQTSRNISKWSHALL PQNAERPSIAFGGKNLLSKPTRRALQSERFQQFVPPSPESLGKAAPAKQYRRSIKWGR RLLIISGVTGILYLIDSQFYASSISRSLRTFGLGIVVATDYKLNFRESPLFGGDIVDL HRRSAERLFNLLHQNGGLYLKIGQAIAMQSAVLPPEFQKMFSRMFDDAPQNEWADVEK VIREEFGGRTPEEVFGVSFSNEPGKGVMEKKARASASVAQVHWARLADGREVAIKVQK REIDQQVGWDLWSFKVVMKVYTWWFDLPFYSLVPYITERLQLETDFVNEADNSEKMAE LVAGEPRLRGRVYIPKVYRELSSKRIMTAEWIEGVRLWDKETLTGPWLGGNGKGSPGA KNTPLSVPTSTRANDGTGFLKPDRNEWRGRKGKGGLGLPLKEVMTTMIDLFSAQMFLW GLVHCDPHPGNIFIRRMPNGHAELVLIDHGLYVSMSPKFRHQYCQFWKAIMTFDNETL SEITSAWGVKAPDLFASATLMRPYEGGDGSTRGRVTGKLEGKTAAERHFEVQQRMRQG IREILSEEENFPRELLFIGRNMRIVQGNNQFLGSPVNRIKMTGYWASRSLVDSKDLSF SERLVNAWRHMLFRFVLFSTDVVFYGSKVRQWFGFGGGMEDDLERQMRFIAKDQFGVE LQHSVFEG LY89DRAFT_136963 MLRPATPLTVLLFAAFALLLISVLSTPIIKQIPLASFGGVDFGV FGFCQGSKCSNIEIGYNTANLFTDTQSATFDLPSSTRTTLSAILIVHPIAAFFTLIML ILSAVAHFHSPSHSPRYLLGIFILSILTLIVSLLAFLIDVLLFVPHMAWGSYIVLAAT ILIAASGIVSCAMRRTLVSRKARKRRIAENAEMNGENFYARQGPDPVPLMTTASNTLV NGGPGVDKLPTFATFESIKKDPDERSSEERIPLTNRTPTETSPINGPGSGMPDRYGVQ PRMGGNSPPRDQFGNPMPRPPPGSYGMRSREPSVEPALNRQYSEGSSRGRGMPPGGYR GRGGYPPNGRGGYGPPRGGYGPRGGYGPPPRGGYGGPYRGGMNDAMMAGAGGMAAGAM MRGGRPPPPGYGAPPNGRGYDNRGMSPASYGRRPSEPQQSGYIDGAYAAPPAPPAEYT PYNPEDPSRDSLPRAESPPPLPGMEHEGAVGQAVEMDATTGSPSRTPAGFGQFGNLRE SDGDVAGMVGLQQRLQRQTVDSDGSRYSSDQPYVPPRQQWTEGGRSSPLNPATQAVEL PSPTTAAHKRGSSAGDNYYEDVDPRFAEPPTSASVPQALAPGYQQNNNSNQNLRPNQP MGLDGSSSYEDLQSGARSPAESDRSNFTSVSQRGVNPRWNGGGYGAAPMPRRPVPRQD DILLNSNPDFMLPSNRGFARGGSRGTSPMPGIVPNSAYPGPSSGL LY89DRAFT_136995 MVSSSRPRTPSASNSPPSDKLAAIPEAGKTTQPPRRSSLGLLLR RSKSGELKPSKKAQALAQQQELERQRREAAAIPKSPPKLPDLYNGQKPTPLPYGGEDR PDSVAIVSNKTGYQGRGSIEPGRASAMTSTVPIPPIPSNGNKNGEYVDPYARTESMTH RGRYSYASSAVSTINSPRRVRRRKDPTPFNILIIGARSSGKTSFLNFLKTSLALPSKK RSNRPTELVDDIFAPQNSKSGTFESHYLETEIDGERIGLTLWDSEGLEKNVVDLQLRE MSSFLESKFEETFTEEMKVVRAPGVQDTHIHAAFLLLDPLRLDRNIAAAKNSTSNGGM NGKYNSAPRILGGLDEDLDLQVLRTLQGKTTVVPVISKADTITTAHMAYLKKIVWDSL KKANLDPLEALGLDDFESDSPINSSRIDEGDEDEDSDKDSDGNLPIQTPEPSSSASPN SKRLSSGSVRRHKSVDDSETELPYLPLSIISPDIYEPGVIGRKFPWGFADPMNAEHCD FTRLKEAVFSEWRGELREASRELWYEGWRTSRLKHRDTK LY89DRAFT_136989 MVGTLSHDPSTVIWNFTLIHPSVLPQISKRALTARFLDPDLNLR PRPKNSVQLTLSQIYKKENQTQFVSSFVQRWL LY89DRAFT_784329 MAAWGTDDMSAALPDPSIPTDAPQEASTDAPKVNPQEAGWVQKS NYDYETYNKSNKELLDIQQSQPGGANNDQDDAVGGLRDGDWAGNAAVYEWNDEYGDVA PRFEALEQQLFGAANHTRTGIKFEKIAMLEVIQEGTERINPVRSFEDAGLHPVMLLNT QLAGYDRPTPIQAYTLPAIFQNKDLIACAQTGSGKTAAFLIPILSKLMGKAKKLCAPR PNPATYDAKVSGPIRAEPLVLIVAPTRELATQIFDEARRFCYRTMFRPCVVYGGGPIA EQMNHLSKGCDLLIGTPGRLVDFISRPHLLTLKRLKYMVIDEADEMVQSDWESELKQI MSGGDQEEGNINYLMFSATFPKICRELAEQHLTHNHVRIRVGRAGSTHDNIKQEVVYV EAHAKRQCLVDLLMSMPPARTIIFVNSKRTADEVDDFLFNLNFPCTSIHGDRTQRERE DSIRSFRKGKNPLLIATGVSARGLDIHNVMHVINYDLPSPQYGGIQEYIHRIGRTGRI GNLGLATSFYNSRDSDLAEVLVKTLLETHQKIPDFLEEYLPEGFTADGEGDITKLQFE VDEEEENADGGWGSTDNAETTAETAAEPVAEPVADGGAWAERTAPGAWGAHPDAAPAV PLASGGWAALPEPVQVAQPVAQPVAQPVAQPASEWGAGPIQHGAQVASQVPQSSAGWG GPSPAAQPAQQYGQQPVMASVAGQFGQPGQQFGGQPGMNAAARPFNGQQPGMAPTAGQ FGQQYDGRPGMPPSTQRFDHPPPSQQFGAQSYGMPPTASVAASAPWGGQTAAFVPQSA NHGGGQVAQSQVPPSQAWAGPPPSSAPVQVAQPPVAQPQPPQVKPAPAKPAVQYDDWG NPIGAKYDSAW LY89DRAFT_686851 MACLYNTSSSHRFAVIVICTSNALTSFPPSLISLACSFGGRQDE EKKKDFTRHLRR LY89DRAFT_590243 MMEKRTNDALNANPDVFLYPATSQINITTHGSDWYWAVTAVMVV STFAFIGMSFTVPRRNRIFHYITAGITLVASIAYFTMASNLGYAAIPVEFIRSNPKVA GMNGMNYREIFYVRYIDWFVTTPLLLMDLLLTAGLPWPTVLYTILMDWIMVVTGLVGA LVASSYKWGYFTFAMFALFFIAYNVCYVGLAHAKAIGPNVHRTFLMCGVWTIFLWFLY PIAWGLAEGGNVISPDSEAVFYGVLDIMAKPVFGAMLLFGHRNIDPAVLGLHIRDYED PTATRRTEKSSALGASDNGVVDSHHHNGTNTAVAGDNVTTGAPTSTGTHPQTTV LY89DRAFT_137123 MQGYIWQRCEVHLTSKLLRKSRHPTSQEGLSISSSVVAGNFLNA ASYFAQMATTIDTSVAHYETIVCIPGDVVVVESGILGDVKLQLAVGAVKSRDLYRDGS GPFACSPAANEKLPSPHFTSPTSKENFQQIRRLEVQSSPINLSILSPPHQTVNHLLLP AFPLKPRKHISFHSGPRRRSFSLPPCSHDLGRRSSLFRSQPPLPHHPCTYLLAPSQTA WQSAKSHGRPDSTMLSCNHLHSIAATRFCCPGNYLSHTKSGYGGTTHLTSSSVPVCIC FTLHFI LY89DRAFT_686853 MASKQKKRTAIIVGAGVGGLATAARLSKAGFSVTILEKNGFTGG RCSLLYSDSQTYRFDTGPSLLLLPKLFKETFHDLDTSLEAEGVQLLKCEPNYNIWFGD GESFVLSTDMAVMKREIEKWEGKAGFERWLQWLGEAHRHYEVSVTEVLHKNFYSVVNL LRPSFLRRLFELHPFESIYSRAARYFWTERLRRVFTFGSMYMGMSPFDAPGTYSLLQY TELAEGIWYPVGGFHAVCRALAGICERQGVDIRLSTPVKEVLTTEDGKTVTGVLLENG EVLESDLVVINADLVYAYSNLFPPKASSTSTKLQKYSKSLQKRPGSCSSISFYWSLSQ KVPELTTHNIFLADQYRESFDSIFDRQSIPEEPSFYVNVPSRIDPTAAPDGKDAIVVL VPVGHLLNSLTSSGHGVSPEQDWDNIVSSTRTKILTTIQSRTSCAALSSLITHEQIHT PLSWESTFNLDKGSILGLSHSFFNVLAFRPKIRAKGVKGVYFVGASTHPGTGVPIVLA GAKITVEEILKDLTLPVPWGGKVAVERDEGVVQGKGTGELDRVRRGGAILSDLGIFVL ALVVLGLSLGYMGSGLVVKWDPELKPRWGSGRVVGSGVRIGEF LY89DRAFT_590127 MGFEYALVHLKYTIPVGIALTIVYRPFLSRIDLYKVAFLIAIAV VSTIPWDSYLIRRKIWTYPPHVIIGPTFFSIPAEEVFFFVIQTYNTTLLYLLLNKPIF QPSCLARKPREAAILYRNVGQVVLAACVLVGGLLVWRGDEGTYLGLILVWAGPFALLL WSLSSDFLVSLPYTSTVAPIAIPTLYLWVVDTIALRRGTWAIESGTKLGIHVWDGLEI EEAVFFLATNTLIVFGQVAFDHALAILLTFPRLFPTVPELPSPILLMRALLAHSSKYD EERVIGIRQAVSRLQKKSRSFYLASATFSGRLRIDLILLYSFCRVADDLVDNASTDNE ARQWIGKLSHYLDLAYASKEARIVQKQPHLHSYITENFPESSQSALRLLPTHLLPFGP LYDLLEGFKTDLEFHESKFSKKLRVFPIAKEHDLEVYSARVAGTVAELCLELVFFHTY SATTTAQREHLVRAGGRMGIALQYVNIARDIATDAAIGRVYLPISWLKEVGLAPQDVL DNPDSNAVERLRSRLLDKAFGVYGEARIAMSQLPSDARAPMRVAVESYMEIGRVLKEK GYKVKAGKATVPKLRRLKVAWKALNGA LY89DRAFT_137053 MTFVNEQQSRSEKPHPYLHGNFAPIHKVQPLTPCTYAGSIPDEL AGGEYVRNGGNPVTNEDLGRDAHWFDGDGMLSGVAFRRTENGIQPEFVNAYLLTDAYL SSVTTPSLRLPILPSIATLVNPASTLSRIILRIFRTLLLALLSHLSGSQQAIKKISVA NTGVLYHDGRALATCESGPPLRVSLPGLETIGWFDGCKAEGEPITEAIPGDVFGGEGV LSFMKEWTTAHPRVDPRTKELILFHSTFLAPFVYYSIIPSTQNSSLTQPRIVNAAVPG IKSAKMMHDFGVSFTHTVIMDLPLSLDPRNLARNEPVVSYDPAGRSRFGVFPRWNPEA VRWVDTNPCCIFHTANTWDEVSINPLTNTMETTAVNMLACRLTSASLVFSAGDVAAPI PRPSRKVTYPAEEEQCRLYYYRFDMSGSENKISHQFSLTAIPFEFPSLRDDASMSNAR YIYGCSVSDSTFGAALGRAVKIDSLVKVDTTALIDRAAQSPPIPLSGCVDTRTVPEIL SSHDPSDPIKIFKLPPGFYAQESRFVPRQDGTSEDDGWILSYVFDESQLDCNGNAGPS AKSELWIIDAREMREVVCRVHLPQRVPYGLHGNWFSEEEILSQRPIETIRHLPVPRGK VLNELDDQGVWMEAWMGVRKWVLETIG LY89DRAFT_620807 MAESEPDLTFLNELYGEIERNPPALEARKLLTQHCYHSGWTDAA RENLQQLRALDPSALEEEPWTRDLLQKSSSKATDKSASESGPSPVQVAAQKLEMVEGY QSLRLRAKKMLQETRLLQNFVSSPAGSTNPELRARFEAHDPDLNALVNGRVSSVLKVQ QPGSARGIARQMEKDPEKAVDVAASDLEDVARWLRSHVTRTTAGDNDAIREALVKRAQ ALSAALPDALKKHPSTAMMHIEHEVLKRKYHSEETMYGDLVSDIPRAQFLVTDDGYGW DMEELAQAIKSNGGIMRNPLSKQMFSPDDVRAIIQHPLGQDLAALQIEQSKLSLGIRE KTVDELDKMAKVFLADMSEDQLKSREVLETFIAYLATLPVTEQEALDKLRVPAVDSHT GMAFDTSIGEAVRDAQGNKLCFHKCADLLTQAVSHLKKSR LY89DRAFT_137314 MYTSLPFLLNIEMLTDHQQQLRSLRPVLQGFRDSRHWSVAHTVV EWLSKIEHLPNKSNEHHQKLKTLLDQELPSWRAWACWQPNVARIKKWGKLNLTLQGQL RCIIALDGPDFDSNGKTLGKSLPDHKLPKDRRTSSILTFNGETHEECLYMAEKLLRLA DKSLTYRPEDREIFFHLMTGVDILRRKLDLIEILTSVGFETIRDRIAEAYLLRGASKQ TQIDAVKWLLKKMNANPKYRTLRDVFAVDFGPLVKDMIQEERSHLLAFMFVAEALGTN VMTFEQQLDLRLDLVEASWLQPCAEYSHMLRVMDTWPLPYERTILRGIYLSLEMSPRA STSTSTLVPMISSRILGEPETPDDDSKSMLEALMSLWQTCKDSARRSIAICLVSEPKS ITINLRILCLNQLPSLSDSFVQSYWHIVCDDLDNRQRSCVAFADLFASASLSVDMTHW TTLLRHEMVEEGEALLQYTLEHLTVQSWVLYLQNLHRLYGSDSNCTFSPGPPSPVIFT PQLLYWGRKLVPYTDILNLLKETPDSIDARRCLFLAAAEHLYIQARIQEILNALKPAR RSKSDKTDTATRVSLLKRLSKDGSNAAEVRNALVGLNNLSPSGIEVCARIQHLSEIDL SPHVHQVFLEAWLPDPSLHAGDRGSLKTVFLHSADGNLSRDEKFVLAADYLDSEYVEL LHEARRLAALRASLKTVDLQGVVELLADIGIEDGPHSEDVLASMPPEVLNAVEIVGEK EVEILFPLTHFTQIQRTALGLGSLQSFVLLLELCDHKTTPDRFCIHLGPESKDRTLGG LLDNIDGKVSPWSNTCRLGGLKTSSLCMQPNSFRNPFEYNLTKMLQRRLPGSNLGQDL TSLPELHEIITHHLKNIGRYCTECGRTFCTNLRKPTTCQLPRCIYLFANSDPQVKFPH LTEDQLVTDLLFSSLHAAASTSNKELLPNYPTDPPTLLSQLNNVPPLSNNPPLDTQTS SLLTYTLTSHRSYLLTATGSLKIPSLPPGTLQFLLANSTPEREALFSNPPQEKRILFH GTNPDRLWAILVQGLRILSGTDLQTNGAVSGKGVYLSDCPRVAFGYSTKILKIPDEGA WKRSALKGKGWKVLLGVEHRGESVAAGEGVIHVVREERDLVVRYVFLVPEGGERSVLV KGHLVPGMGSVCGGLRSGAL LY89DRAFT_720702 MNINEPKRGGGFAIPFLNTNKRKERAIRRKESFNSLRSNRIPFL HHLPDNIRNHFVATSAEFAGTTLFLFFAFSGTQVALLASPASTTNEVGTLSDPSQLLF IALSFGFSLAVNAWVFFRISGGLFNPAVTLGMCLVGALPYIRGICLTVAQILGGITAA AICSALFPGPLTVRTNLGGGTSVVQGLFIEMFLTAELVFTIFMLAAEKHKGTFIAPIG IGLSLFIAELTGVYFTGGSVNPARSFGPSVVSHQFHTYHWIYWVGPILGSLLASGFYL FIKMLEYETANPGQDRSKEEKGEHFNPDAHARVSFAAEDYAEQGMAGPNPNGNLRRIG TPKEYGAERRPFSDSPAPPHPNDQFAGLADGGMHANEVIKPMDTRGSGSEQTLGGNSV SHTGTMAQKSAIKPGSRNGVPSGGNEGSLRNNTYNNMNMGRGLPGDEEFYDKA LY89DRAFT_698720 MRPPRILIAGFFFVATVILTFVSLRSSHPPHNVATSSPAVPRTG IQSLFAFRAPFSLFPPNAIITLTNDNTTAFLARPADYGPSLPSDGMKGQVWIGSGFGD DNVRQGTVSASAEGELGCSDVPGWVESYAKTGAAAAELKKATDNNAAKAALKSKSQKR SNDEDGPFGDDSELQEESSNGKDAKPKPSVDDGTDDYLHHPLPESTVSKSTDKPAAES KGDHADIQSIQEGAEIAGKVVLLSRGGCGFVEKTKWAQRRGAIALIVGDDQKGGALIQ MYARGDTSNISIPSVFTSRTTAHLLSSLVGSGSFLEDIIDENGKPALKVQHTDKPRKS KKHGSHPTFTPTSAATRSTSTARAGSKVSHKKAPGRTEETSAITTEKHGWLRSLFSGS KKSDSKTESSRPPSSGQLDWVLVDEWKDDDESGSKKISTKPSKKTDKKNPTKKPSSKT KPGAKATSGDDFVIGVQDWRDPDLVGSKDTETENGKAATPASNGKPGTANKNEAPQPT KSGKKHLGGALQEIPEFVSPLRGGSITPGSGEYDTKSTSDDKEKSKDSNQETSSTDGK SKGLLTTIFGDDEEDVEFLPSGSHRVTDEDSDEEEGDDDDENEGLWVTITPTSGASPF LDTLLVLVVSPLVTLTVVYALLLIRSRIRRRRWRAPKSVVERLPVRTYQTIASGSQSP RAPSPTSSSVTTPLLQQSPSSRPRPRSRTTTGVPEPGDVVRVNTNPLHIPAIPPRAPE HEKNASESSEWKKHMGKQVECVVCLEEYVDGVSRVMSLPCGHEFHADCITPWLTTRRR TCPICKGDVVRSLARGSPSSPRYEPYHDDSDDDYQTQAAETINPSQSSALPIPQTLDG QDDLEQGIISPSPTRPRRTNRPSSWRSLIVGSISSPTRPAPSQQEEDRDR LY89DRAFT_708740 MLAVAVFILVWHNRLFTCARPTNYARQVAGVPRYVLDYAPLVYL DTREEFFPSDMYAQITNTHPEINLTTIDNPPEPLTLSNLHKLNRYGRNGRNVYLTSNI PVTSGPKWLTGIVPDSTGKTNNAVSAAIIINDHGSGLVDVFYTYFYAYNQGNTVLFQE LGDHIGDWEHNMIRFESGKPLAIWYSQHGNGQAFTYNAAEKIGVRPISYSARGSHANY AIAGTHDHTIPDLNLPAGLIQDYTSKGMLWDPTLNSYFYNYLVNTTSFTSINDSPVGA MLFKGKWGDQQYPEEDPQQPPPFFGFWKYVSGPTGPWDKQLNRTKICPENGLLCIIRD ELGP LY89DRAFT_784339 MPPKGSGRTTARSGAARNQASSPPKSRSRDTESAGPLTRSTRSR SVEVRGQNPGATTIKRETRGSREGTADSVGSNASIASGRGGRTRQATRTAAIERDLSI VAEDRESEESDEVDDNDVQGHPSPGAASQMSGTTAVTTHSQSQIAMIDPIVSEALVDL FVRSENIIDLLIPSNLTEEGVEEIVKELHTLKSDRAKRLKLREQDFDATRANFGSDDF INRSFLLRRLLGSDEPGPGNFRPDAIVYTANLATLVKTFMVAQQKSSKTVRSLLSFES WFSDLFVYKFDEETEYGGSRLLDESFQLLLEVRTQFVIIDLQAKKDQDAFDPDFILAS AFYAQPAQREETLNQFDDITTNGTVKGFSRGPPISEEQKGLVYNRVSDIREFFRQNEQ AIEDGDVVDFDQLERTFRWFTFLTDLAQWVRSRFQELSDNVKDQGGATNIMVDLLGVM KESFESQSEVSAEQNVDSTALLLANMKRSGGLTSSAPSRDAFAPSSSIPKQPTAQARP PSENPADLDDGGDQGMPDPVHQRSVPEYAETWRRHTNEKNKENLEAGKMPKRTLLDAQ PNAQRIIFDSQSDMPDVSSKRRRTPVEEEEEEEEEEEEFEEDQRISNPQRRINTQTKR RPTLPAPESSSPSKRRRIDAAANQHAEGSGGARRRQEPAESSRAPDVRKPTVNALPRP SSSRQVRVSDDEDDPPRSSFPRRTARNDTARSASDRMNVDDDEEDVDAPNPTQVSVVA REETARSTARRKVAMKESSKRRTPWSEADAQLLVDCIEEYGCGWSTISKVEGWEFHRD QVALKDKARNLKVLYLKSRVPLPKNFDFVRLGRKEILAVQAVIPEYQPEPW LY89DRAFT_686860 MKFRRRPAPLLLLLLPSLATALSAAAQDNIQSTLSKNTQPRDAR IDPHPSPVVDLAAKSKLDIGTKDAPVDGKDGKPHAGPWVGSEKDSKDTKKDSKKSKSE DGELVTTKTDTSKMVTPDGEKIPAVNDGVMDDPHRQLPKEGTTGTEGGVSEKDKARKA QEGQTGEKLEKKPDSPKEAPPLPHSEQTKIKSEKGEKTTKDADSYDDDSGEGLGGLEK PNDLPNKPNNLPHPLPDSANKDHLDIAKPGSKDSAKYDLPEDDAGEGLIQPLHSFVLS LTMILFSEIGDKTFLIAALMAMKHDRLLVFSAAFSALITMTVLSAVLGHAVPTLIPKR FTNYLAAALFLIFGGRMLKEGLAMSPDEGVGAEMKEVEMELEEKEHQARQQGRRRSSI SPYALEMGLGSRGTRKSRSGSRLPSPPRSPSESPDRSPAPSGSSFKSFLAGLSNLLGL LLSPAWVQTFVMTFLGEWGDRSQIATIAMAAGQDYWWVTGGAILGHCACTGVAVIGGR AIAGKVSLRVVTLGGAIAFLVFGVIYLIEALYSA LY89DRAFT_671742 MWKGTSSSSPPASPTQTKIPSVSKPNYRLKNQGKRIAQIVKLKP ERVEEYKECHAKVWPEVLKQIKECNIEDYSIFHDPETSILFASFKYVGYNYAGDMEKM RDNPKVQEWWRMTDEMQESMVPGAKSSHDGEPAWWKGVQEVFYCP LY89DRAFT_650170 MITRPVLRVYKLSSGVKCSVTIPIRRDFSTSKAQAAKNCIYAPV RRPDEFQSYLLLSTSSRIPLLTFWTASYCNTCRAVSPILNELIKSGIGEEEGGVSFCE IEYDSPDIMNDGLGMTYMITSMPTLLSFDRGEAQTGTKVTDPKQMKNKEWLKEWIRNE ARRQGSGGAGLGTFGFGGLFGKSK LY89DRAFT_137359 MQADGEQERPVLTPNSERPRSFFARNSASNFHDEDVEDSDEDKG RPTKWSMGVLNDPLTHEVPGSVLLLTGNRNEPLGLRNAPARSSASSLPAPPLPPPSRR QSRQSKHAEGKKKTPDGAIILEPQPDDSHNDPLNWPTWRRDAALLSLGLYCMVGGGMT PILAAGFTNVAATYNVTTPKVALTTGLYMMGLGVGSVIASPTAILYGKRPVYLFSAIV FIATSIWCATSPSYNSLLVARIFQGISVSPVECLPSATIAEIFFLHERAYRIGIYTLL LLGGKNLVPLVSAAIIQSMGWRWVFWIVAMVVGFCGCLLFLFVPESFWDRTPVPKSRK ASKHGSRLTLALFTSHGSHKDAHHNPENDATGNHEDAIVEKETPANQEDITTPKKAVL AEGPHPHRNLHVGFAGDDQEQTLHDGANEPIIPSSDGTMHATGGDGPKSSTFTVGMGT DLKEQIRKRSRAVTDPKHEFAGVVQPSGNGPPMPALHNFNSPWYKDIEKSSGDYLEAG NRFKNINNDGVTSPGSDHVASALPSRPVAPYIAHLRQAPPQTFLQQLKPYRGRLNYDK WIRVAIRPFILFAYPAILWSAVVYSCAVGWLIVLSESVAVIYRTRTTYGFSALSTGLI YLSPFIGGVLGTAVAGKVSDIIVKFMSRRNGGLYEPEFRLVMAIPVTITTVIGLMGFG WSAQIHDAWIVPTIFFGIISFGCSLGSTTAITFCVDSYRQYAGEALVTLNFSKNIFHG LVFSLFFTKWLESDGSKKVFTWVGVIQLIFMTLTVPMYIFGKRARMWTVRKNLMEKF LY89DRAFT_752376 MDKHDEAVTQIADRVRKFYARKEAFRIYHGSTNSTRQSHFQRDR MVDTSGFNYVKLGVSINTLVKTTLNYSLIPLFVIEFPGITLGGGFVATAGEASSFLFR DGEVVRASAIERSDLFYRAASSFGTLGLTTMLEVQLIEAKAYVALTYHPVTSIIEAQR EIETATKDPTCDYLDGIMYSEIKGVICAGRLTNDIGLDTKVQKFTRPSDPWFYLHAYH FTAKHTSPITEAIPLVDYLFRYDRGGFWVARYAYTYFLTPFNRVIRYLLDHYMHTRVL YHAVHRSGLSSQYIIQEVAIPYPQTATFMTYLATTFKNYPIWLCPLKQSGKGIASTHS LQVDKLNQKFVTWNRDFEQKVHELGGQKWLYAHTYYIEQEFDEIYNRKEYDALRKKYS GSYLPSVYEKEKVDISKEKVKAENETWREWTRRHVKSAWPLQGLYGVYKAAMNGEYLL ARTEKKAGKME LY89DRAFT_137598 MSSRIPPLLEPYLALPPEASLVLLTSVLGASTNWLVLRFLHSAL SEVSSGVSPEDEPKVLLVSFMLDLAFWRENARRLGLDLDKLAAKKRFVFVDGLSGLFL SKQQKASPAKGGNVTLSNSGLESVSEAIHNDAKTLRHSQGTTKIMLIVDQLDLLLAAG GDAVNAVGLGDLLLGLREEVHCTIVSLSADLPLVSNPQTPLEANHAAFLISTAHQADF TMSLRLLDTGTARDVSGVVRVTVGDRATEEDEDIQRKIEEKELLYFVGGDGGVKVFER GQ LY89DRAFT_686862 MTKGTSSFGKRHNKTHTLCRRCGRRSLHIQKHTCSSCGYPAAKI RQYNWGEKAKRRKTTGTGRMRHMKGVPRKFKNGFQTGAPKDSRGPSAKAE LY89DRAFT_784345 MAISHEDILATLLEVQETHEFSIIKLSEPLSGPLKQNPGERTSD VSADVFDNPTPASLEEDLSHYKELFSKLRFSYLEQVTKEKFIRAIVGDPPLVVEHQEN VELEETLAVSKAGLKSQKTEVAELVAELEKRGRELCRKYQNIQMQTSQLQELPQRIEE LEASVEELRAAQQPGSNPTLNMPLDKTLDLVEQRERERVELDRQLEQLQVMLPRKTKE LERLNAELQPLEVKRLGSTASAREAKRRKEEALGGVGDDLEERGRWWRGVESGLKGML GVES LY89DRAFT_589777 MADEELTDDYVAKLLAKDAKESSIKYSAMGLQAFAPSKPPANKP KPNTRFLRNIIKDTDNHNAALLAKEAAEARARLRNLPSHQQESKKPVKVGAGDIRRRQ LGDIAAILGGRPSKRKRTEASKRGDGSRYVNTSSEDEVPKEKSREKGRRDRDGREDRD EEKGSRDHRSRHRRHRSLSDERGRDRKERRKRDRSSSRSPRQYRETDSRRRERSPRRK RSSSPDEDRERERSHRSYRHRRSTSRERRRTDKTPAKSEKHPVSKPDYDSDPLDDIIG PRPPEIKIRGRGTISLGSGIDDRFSSNYDPTTDVQLDPDEENDWDQALEALKDRQKWQ QQGADRLRAAGFTEEEVSKWEKGGEKREADVKWAKQGEGREWDRGKVLGDDGMVKVES SFGRLTSM LY89DRAFT_137986 MSKRKLSARGSKPDSTMVSKQLRDLPLANANTPYPYRALSDPTS QIRILAIAPGAGRDPIVGQFLIRTFSKDDDRLPNRAERQQAYEALSYAWEGAVTGSIR LWDHDMKLLYNVKITQTLYSCLKQLRSGQSKKFLWIDSLCINQRSHDEKSDQVGIMGT IYRRAAKVCVWLGDKSDDSGCVFEFIKKIVDLDGIEAVIGKERYTRRWRAFGSLMRRR WFSRRWCIQEIALAASATVYCDTQSISWKDFAAGVALYEEHSEEVLRNLVKHEKQDTN TRAVTVEVQALGAHSLVKTLSQLFRGGKDKGQISRYQLSLETLMSILPNFDVSNSKDA LYAALSLARDFQQPDWRKDSNRATIEGHDVLAPNYNKTTLEVYLDFVKYFIRTSKKLD IICVPWAPSLKGDEAYNSFPSWIRPVSQRPFSQQEDIKNGHFRRENADTLVGRPDETV YNVSEGTAVKEWKIYHDEYHNWRLLVKGRNVGRIGQLGDAAENGSIPLAWLELAVEVE RRQKARVKSKISYGAHSLMSTKSGQGPEHHHNHHKTRRPLYIPDSFWRTLVADRGPGG ATTPTTYPRACQKCFTQAQPSAAQKLAGRAGYVKTADMIHKAVWNSKEVTEKAKLAFL RRVQAVTWNRKFMLTERDNIGLAPPEAMVGDMIVLLWGCSVPVILRELQPRKVKKDGR LDDGKYKLIGECYIHGIMNGEFLEVNVGIETMKDDSNRVFDIV LY89DRAFT_137942 MSVFSKIVMSRKAAKEHKNKVAEKDKEPEQNVKAPYKHIPTHAA VDALSGAPSSWKHEDRPKIKEHHKRRSQMVISRTGSSLSHMSYMNAAGSATNIPPLPR NSSYSSYNPTWFDRGGDVYYSNENQRQKPPRSHSYHDSGIGPSIGPSPLASQQQSEGT SFTIPTEEFASIAHPSPLDNRLTSSAEVSPVVSSGNSTNSNSSAENLEIAPASKSNRL SSRPQPIVYAEKDIFDRLHTSTTRKVGEAPLYDAPPPMKTKTPTTVVTQETTKPKKQR WSILGKKNATATAVVV LY89DRAFT_137774 MTKPYRAGIGPQYVPKILDSAARKRSRRDKTSESQICNAVAKEL NGIKVMRQNNLQSRLEFAICLSRSIANRRWRLRVIANNSNWNSRKAREHFVPIFLHPF GPEPLQEIIPCTKMDQVLQSPGSKRQTRSDLRCLRSKNRWCHGSWTNSTTCCQQRTIH EPNLTPMFYNLFFWACFWQGLICSPCSEVFSVTV LY89DRAFT_138008 MFRRHEAGPRHPFHVFVVMELMHERGCRERSATACIFEVTAHCL GVLIGWVQLVGIPARAPEVVSQECWQGLRGFHHTALIAEYNIIREEFLGNNSTHFWAH TCFDEEVSNKRISSRQVHLPDVLV LY89DRAFT_137694 MMAQAVPNPPNDKKRVKVYELRNNDWFDRGTGFCTATFLFNESS RQEEPRVIVTSEDHPDRMLLETRICKEDGFQKQQDTLIVWTEPTTGVDMALSFQESDG CASVWKFVNSIQQQLLAMGGPGMSYSSQNMHTALSPQDDALSDDIAIDSFGNSINLPS PSLATLPEIEMQMRSLHNTNPGREALTKYVIAEDYIQKLIPLVEMAEDMEDLASLHKL CNIMKTLILLNDTAIIELAVTDDLVLGVVGALEYDPDFPSHKANHRHWLGKEGRYKEV VRIDDDSVRKKIHATYRLQYLKDVVLARILDDPTFSVLNSLIFFNQVDIVQHLQTNPA FLKELFGVFGPLETDQDRKKEAVLFIQQCCAIAKNLQQPARQQLYNNFLGHGLLSVIN FALRHSDVGVRVGATDVLVSMIDHDPHMIRQTIFRQLNEKQTPLTDSLIDLLLVEADL GVKASIADAIKVLLDPGSQASPTEGMKQANGEFARIRPLPDPQQAEFVKDFYDDSAKK LFKPLVDLKDRDNMDFSVHQVSLFIYLIEILCFFVRQHTHHSKYFVLSEKLGQRIAQL LSSPEKYLKLTALKFFRNLVGLQDEFYNQQMTQAKLFEPILNIVVETMPRDNLLNSAC LELFEFIKKEQVKSIITHLVENYRDTLKEITYVETFNNFILRYDQSEGFAPSLESSFL DTDDSPKRPEAGRGSRWESGIKDLDAQEEEYFNTSDDEDEAAKGVGSRASVNGASPLS KPLVDYPSDEENENMEVELSAASDENTPPKGGKTTVTPIMSAATLNPPEKLSEKRRRE DEDEDEMSKLSHPKRRNSMNSASVVSNSSSVLRRKKSFNASPHNGGSGSKSNKIAISI SPAIKTGGDSPAGDDGS LY89DRAFT_686875 MPHATSPPDTAAIDDWESTPSPDPPNRRTMASDRETQEFISPVP CTWDVPLSKEKIEKLKLGCRPRDMDDKWFVFASEEWNGTVRVHYFRSWTGKKCYELVV EVGDDGDGRVKELVFETRLGDEKEAKEMVFGVSRSVLEVYFGEGV LY89DRAFT_650193 MSNSQDTQKTLEVKIRPYSNQSNQERPDQKGVSRVHLCRDALLD LKLDSGKPCYLWKTNETEHQRKEAVVWLTTEKSLSKKVIQMSKTFQDLCGFKLGEDLI IKATGVPYVASAESIILRDVTAQEVDATPELGEDDKPHWEWFLRESLSRAEDIFPGMT FKNLSLRGPKRTFVVDFVNGKPSGLGKYEESSSVKISSIVNTPSQPGMNGSSAQLEIV DIAGIDQALKKLNRFLSNFDRQFKFTWAQRSCAVLLHGGHGTGKTFIINKITMSGWAK NVMRLDSDVKPATIRTVFKNAKLDQPSIIVIDELESIVSKEDSLSQSLAKALGEELDK LAESGSGDSLPRVLVVAATLDISSIPIALRKRGRFQTEILLPIPDAAARKAILKSLSA PIAPETHDELLNRLGDRTHAYTAEDLVSLLDAACEIAEEKVEHNEEASMDEKYYLSQD DIEQALLVVRPTAMHDITLKPPSVRWDEIGGQDVVKKALRRAVETPLLYPERMKRIGA APKKGLLLYGPPGCSKTLSAQAMATEIGFNFFAVKGAELLNMYVGESERAVRDIFARA RAASPSIIFFDEIESIGSKRERGGKTNNVNVLTTLLNEMDGIETLKGVTVLAATNQPQ ALDLALLRPGRFDKLLYVAPPDLAGREEILRVKQRKMDFADDVNIPELAKLTDGYSGA ELVGICQTACDDVIEKCEQTGEELQVHMEDFLNAIKYVKKQITPALIWGYEQWAAGAR GTLE LY89DRAFT_671753 MQQRKRPGRPKPHWPKSDSALSLFDELFPEEMLAKSSEQTLAEQ RLDKLPAFNWTPDINVGDYPEEKIDTYNQIPQPDPLNPATLPTEYTPVTNISQLREGM RQTMLEDEQSPKGPSVLVLNACSKNLEESDFFRISPKGEHIEGWKSGLLKVIPSRDNK SFEPLGSYFLLFSSDAAARAYLDQTMRLHTLTRLEQTQKQARFPLPPGYLKEEENVQS LLKSFSLVPGFVKLSMRMLSRPYSTRVQTLINEGGPVAVASKEAKAQHMVLFTTDRSH ITHGDVADALRQDGKRRNMHWNLAGDRRTSIIRIQNKAREEGDNSVTQKRGKLGGRRT YDGPGRFIITFKDSHEARRFVREWHCRPLHIQQDIRAEDEPPPIVNAEILW LY89DRAFT_620849 MAGSRNYDFLIKLLLIGDSGVGKSCCLLRFSEDSFTPSFITTIG IDFKIRTIELDGKRVKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDERSFNNIR TWFSNVEQHATEGVNKILIGNKCDWEDRRVVSTERGQQLADELGIPFLEVSAKSNINV EKAFYSLAADIKKRIIDTAKIDQSSPAGVDVGAQGSGNGLGGKCC LY89DRAFT_620852 MSIVADQVRRVDSQLDRVHLVPRATQDGFSTEQNRRVSSSPRIL ELQSIVKAFSTTSSSSPLLSSWRISTLLKQAELSEVGVESEDDEVTRNYESELEWLLV SKATVQTYGLLLNTLLEQTIPLNDDIWYWDEVLGSYTYTSLYTVQTSPLRFWAWSKDI YSDTRRRLARLGEGPEDAISARDIGTSLTDQWKQFYGLVRESIRERSIADIQRRVLSP IALCRSQARHNQARLRRLREMGASGLGVLMDEGLSFDINDESSETAKTEENDSHEWKV VVERSVALMDTVLRNVTTLETGVSDFEDTVFATVEDDPEISVRDDGAQTSRPAKLSTR LQHILEVHVPNHITSSQKLVSLYGRPPRLVRYWLPASLLLLSSSTLLRIFVHRRSEIV EGIRDFGATVRDFWFNWVIEPVKKIIRTIRHDKDSEVAIMSKESLKGDRDSLERMVVD FATDNPQSAVGVSNLSEAQISEIRMKVKEGDLTPVLRAYEKDLRQPFMGTVRGDLVRT LLIQVQKTKVDVEVAISGIDALLKSQELVFGFVGLTPGVLVCVAAFRYLGGVFGNRKG VKQGRKAGQTIRVLRNIDRILTLATPTQNNLLSYKDHGLLLCEVHVLRKRAHRLFPGE IEREFLEDVADLCNINSGIQAQLKVLERIRWGYAKWLR LY89DRAFT_620853 MPASLPVPSKGALRALRNLALGTSCTVAISTGLLTEDRRRRINT AREVHNNAKKLKSARRYHSAGGVTIETFEEHALKYRDEAFWLPSNVLRDTEAALRSEG RGIDKFDGSILPPNDISPSIASPQARVPKEPAIEQPEPSNVQIEIPSDLAIRLNEAQL DIVEATTRFLEVLDSSLAVNKATLDDDTIETAIRLYGACRKQDRLDLCDSLFETVLAH GPITESQFHSFAPEDLIGRLLNRRRPGSTKLEPAEFRRASSIYLTKFKNQAEVLSESM FSLGHRLCSEAVELKLDSFVPRLFFRMVASRGDRPVKAVHHLITAARRQRLHKDVFRY FQNYYIQTFPTQEEFDEICMITVDSTLELQRLDLAEQVLMSASQMAQDQALVMSCLVM IKLLERDFRSQRDIGGTRALFGRLETLLPNTPYPHSVYARIIQYCIEARDNDAAIFYR DQLQQSYGPSKEDGRIHAHFALSKAYAGDWLGVVELFTKAQNASFNDVEMLSSRFVPI LTEYERSHSLTEVEDYISSFAAQFALKMDLPLMNFMVEAYGKAGELGCMVRWIDCAVA DGCAVDAKTINIILKNCHYRWKFSFEQVYRIYRLIRKEGDNSSNLINTHTVALLRRIA IASARNPAEEMERLKRFDKHSSNQDGIYRSLCTAFSNNDFATTLGIYKRAQTREAHLE YRHFVIAVKASLRLQDNIQETVEIIKEARRGGLDVKHAISAVFIDQVTRWSEESLSMD RLMDSCYSTIAAFENSGTEIPLHVVTHTASALQQGRMNRQAIDLWNSLSSRLKIAPSS FDLASITSLLMAYIGLQDPAGVRWAVHMLSANKIFPDRQFKLALNNARRKTNRMLESQ PCSNQVHEFLESLLEGLEKVKAMRLNATEEKDRVNMKTIMIIEKAIDDQKARDRGQGR SVVESPNVQQLVKMKTPENSSESEMAVWADGIEMIHDVDIDSAKLASC LY89DRAFT_650202 MSLGSRVASLFSGSTNTQQGREKLDFVDDGLPAGKQTFADVNLG IPGLGSDTMASQTDEEEGRPPYLHSMIAGGLGGTTGDLLMHSLDTVKTRQQGDPHIPP RYTSMSSSYGTILRQEGLRRGLYGGWLPALLGSFPGTVIFFGTYEYSKRHMIDAGITP QFAYLSSGFVADFAASFIYVPSEVLKTRLQLQGRYNNPFFQSGYNYKSTSHAARTIIR QEGFFALFYGYKATIFRDLPFSALQFAFYEQGQNWARQWKKSRDIGLPLELLTGAAAG GLAGVITCPLDVVKTRIQTQVNPAARATFQKTPQNCSSTKTDSSPIKQQIRSISTSSP STHTPRPGSIKLDTSSVFTGLKVIYRTEGFAGWFRGVGPRFVWTSVQSGCMLFLYQTI LRKLEIYAASKEDLESAL LY89DRAFT_650205 MASRFLPKLRSSRPRGFFREFNYTLFTIATWIPAVIFFNGHVGE VTWINGSSMYPFLNSSYDESLEKDVCWTNKWSPITGLRRGMIVSFRSPSNPETVSIKR IIAVEGDLVFTRAPYPLPTVRIPVNHVWVEGDNKDTNKTLDSNTYGPIPVSLIQGKVT HVLWPWKSFGPIRWQEFRSRARVIKGRPENAPGWD LY89DRAFT_650210 MSLTNCRFYEEKYPDIDSFVMVNVKQIAEMGAYVKLLEYDNIDG MILLSELSRRRIRSIQKLIRVGRNEVVVVLRVDKEKGYIDLSKRRVSPEDIIKCEERY NKGKMVHSIMRHVAEKTQHPIEDLYESIGWPLNKKYGHAVDAFKLSITNPDVWQEVKF PNDVVADELKSYIGKRLTPQPTKVRADVEVTCFGYEGIDAVKTALRTAEARNTPDNQV KVKLVSPPLYVLTSTCLEKAVGISTLEAAIVDIRANIEGAGGNCLVKMEPKAVTENDD AELQALMEKRERENAEVSGDESMSESDENAVEVM LY89DRAFT_686882 MAAHPASAGHIQHSVMSASETVSSIVESFTKLPGTMNASVPSTV ALANATAIEQAHVQRSWLGLFAKMILFLIKLVPGILYWLITFTTITLPTFLFTLFSTS LTVTMNATTVMLIVVAFVSTVSWFVRYRFLNMYSRLPPEPQRKEPEIDLYPDTQEEGS KSGFSNYLDEFLSAIKVFGYLERPVFHELTRSMQTRKLIAGETLNLEEEKGFCLVVDG LVEIFVKSSREGRGSDSEPSKFHPDESSDSGEEQYGRTSQQGYQLLTEVKNGAPMSSL FSILSLFTEDVKLRYTEDDELDAGLNAGFGHQRFPSSAGLSHNHDTPPSLPTSPIYGA TLHDGRPTGRDRRSSTLASSTAGGTLPKVPPLSLDPSRDTVRPRAKPRRVNTSSAHPD IVARATVDTTIAIIPASAFRRLTRVYPKATAHIVQVILTRLQRVTLATGHSYLGLTSE VLRTEKHMNKYTTYELPNFLRGDALERLKEKFIRERERIGTEEGSKGIALHNAGSGRR RRSSNSLRKEATLHALSGKGSASASTNTLTSSPSRDTGATPSPGDLLTNIQMSRSGGR RSSTKLGDTMPPSYNGQGPEVWHHDTESPLAQRSFNPFNSAHPRMTLHRQDSVDEDSI FRRSILECIFKAIGLTNTKNALRMSDSVEGSPRLVSYDQRRQKAIFTSNAFGLIDPYE GSADGDTESMTSGGTNTGNVPSTQSLAHELRDDVEIVYFPKGSVLVEQGERNPGLYYV IDGFLDVSVPDEDQADSSVLGTTHRTSAGVMEETLAPLSRTRTGSSRASGSARGSSGP EAKKRKPVGRKSLALIKPGGIAGYIGTISSYRSFIDVVAKTDVYVGFLPRSSLERIVE KYPVVLLTMAKRLTSLLPRLILHIDFALEWVQVNAGQVIYHQGDDSDAIYIVLNGRLR LVKNNEEAGMKVVGEYGQGESVGELEVMTESVRPATLHAIRDTELAKFPKTLFNSLAQ EHPGITIKISKIIASRMRALVEDPVFVQGKEKTTTATNNKVSSTINLRTVAILPVTAG VPVVEFGSRLMNALSQIGAPNGVTSLNHAAILNHLGRHAFSRMGKLKLSQYLADLEEK YGLVLYIADTNVNSPWTQTCISQADCILLVGLAEGSPAIGEYERFLLGMKTTARKELV LLHADRFSPPGLTRAWLRNRVWINGGHHHVQMAFRTSSVPVHPQTRKFGSALKQRVQV LQAEIQKYTSRRVRQTPLYSSETPFKGDFHRIARRLCGKSIGLVLGGGGARGMAQVGI IRALEEAGIPIDIIGGTSIGSFIGALYARDADVVPMYGRAKKFAGRMASMWRFALDLT YPSASYTTGHEFNRGIFKTFGNTQIEDFWLEFYCNTTNISKSRSEIHTSGYAWRYVRA SMSLAGLLPPLCDEGDMLLDGGYIDNLTVSHMKSLGADVIFAIDVGSLDDDTPQTFGD SLSGFWAFANRWNPFSSLPNPPTLAEIQARLAYVSSVDALERAKMTPGCLYMRPPIDN YGTLDFGKFDEIYALGYKYGQEYLSKMRDEGVLPLVDETEEKKALRRTMAPRRASI LY89DRAFT_138290 MSSAHKQKSVFVGSFVHSKSLEVLEVLHDLAVFVDEKGVIVAIE EHCDKQQALQVIAPKLGWTIGDVSVRVAAPGQFFFPGFIDTHIHAPQYPNVGIFGKTT LLDWLNTYTFPMESSLSSISKAKRVYTRCIQRTLSHGTTTASYYATISVPSTNLLSDL CLTLGQRAFIGRCCMDSLAPDYYRDESAATSIADTKATMDHIAKIDPTNALITPIITP RFAPACTRELMHGLGALQKETGFPVQTHISENKNEVKLVKEMFPGFESYTHVYDGHGL LTPKTILAHAVHLTEAEVDLIKAREGKISHCPCSNSAITSGTAKVRMMLNKGLDIGLG TDVSGGYSPSILEAVRQASLVSRHVAMEGDDDSKLSIEEVLYLGTKGGAKVVGLEDKI GSFGLGMEWDAQLIGLGNVPVDVDQDGIEEESPVDIFGWENWEERIAKWVFNGDDRNT LAVWVKGRLVHERK LY89DRAFT_784360 MPTTFLSLPRELRDQIYEYILISPSLHIEPALLRHPTHQKRIPH YSPSRLEPQPRFALCTNPLDTLPLDPVTQQPVSAYRHHTSLSIRRVCRQITLETAQIF WCRNTFYFSTFYLSAVAVDTTDHDPDVGVIVAVYDAGEVFVVVKFEGSTWVVSETDVG VGRGGVEGTSEG LY89DRAFT_736451 MSRLEKLPAELRHQIFSYCVVSPTGYLEPIDLRGLRCPKDTSLS GTYSFYLACNTPLDRDSMYKWSITGLDNPRLRNKISTSLLRTNKQIHAEASSIFWNSN TIFFFDIEEMVEFETKAGSVPFRNIVTMCVGLNERAESLEWQQIEGILQQLSKSRSTS DATSKSSLKKLELAISKRMINFISKWSLSENINHANKGMIKDAIIAWYLLKTLERGKE LGSGIERCVVLGKEQVCEPLEAVDLDKMVELWRQAWGKN LY89DRAFT_590089 MIAFVLLKDKLARIYKPKTYLVPERERTDPPPRNPWGWLFAIFR FRDREVINKCGLDAYFFLRYLQTLLIIFIPMAVVILPVLLPLNSIGGRGPSYALEFNN ATDSAHANVTGLDTLAWGNVRPEDTHRYWVHLVLALLVVIWVCEVFFAEFKVYIKVRQ DYLTSAEHRLRASATTVLVSAIPRKWLTVEALAGLYDVFPGGIRNIWINRKFDALLDK IHQRDQVFHQLESAETELIRLAKKAQKKQVEKDEKLLAKQRKQKRKTKAEKTQQEKND DLQAQRLAQEGGTSTGDPHQVPHTVDDAINEEEERSREQDHDNTTSHERKGGFKIPVI GGGLAAVGQGFETVGQGLGKGIGAVGKAGGTVIGGARNVGKDLNNQIETTNGFVTFDS HSDVEDDGYDQYGRYRGEPNVGNVGGPYGAGIDAEAEKKDHMVEDISPTSTKGPKLHG QDMRLPGNTVRRATNNYAGTNDNAGNVGWWKFWQGPAGGFASPMPTGYEDGDEFPLTQ FDGAGSTMSKRHGDAEKKGFFGKVKSVIPFLGDDEIEPLEYPLSYNPEYKEDAHGAVW ERYLKTKDRPTHRLPNFGWTPGWLPGLPIINKKVDTIYWCREELARLNLEIEMDQKEP ERFPLMNSAFIQFNHQVAAHMACQAVTHHVPKHMAPRTVEISPNDVIWDNMSIKWWES WFRTALVFGIVTGMVVLWLIPVGWTASLSQITALASKYSWLHWLNHLPKRVLQGLAGV LPPLVLSLLLILVPTILNFLALVQGSQTGTEKQRSVQNYYFAFLFVQVFLVVSISGGA LATLGSSTDFNAVPETLATQLPKASNYFFSYMILQSLSTSAGTLLQIFTLAMWYILPK IMDSTARQKWTRNTTLPSVTWGTTFPVYTNFACIALIYCVVSPLIILFAIITFTLFWI ANRYNMLYVSRFKLDTGGLLYPRALNQTFVGLYIMELCLVGLFFLVRDENGDASCAPQ GIIMIVSIVLTALYQVLLNWSFGPLLLHLPITFEDEAVLRDEAFERAQARRLGIDADE EETVGPIQHDGAIELGKMNSHQNKFAKFNPANVVKEAGTWAAKSGRAFRQQTFGRGHE AEDPVIRQKRRHRDLDNQKKIADALYGGYNDDIEDLTPDERDVLVRHAFQHYALRARR PTVWIPRDDIGVSDDEIKRTREYAGNNIWISNVGAALDSKAKVVYGRNPPDFSEIDLI QL LY89DRAFT_650222 MATLLEFPLRLLGSTYEYASSMSPLALLLLLVATAVTTFIFLYA ALLLVAPIPRPPFSSEKTYITTLPNGTLNSSSSPRPLPCWHDDWLSHRNEAENTAGEI HKHTGTIDDPEVEMSVVIPAYNEEERLEIMLEEAIAFLDAEYGREPVPSSKIGGKANG SAKSSGGEGRGMGGYEILIVNDGSRDRTIDVALRVSQKHGLHDILRVCTLKQNRGKGG AVTHGFRHVRGAYAVFADADGASRFEDLRKLVEGCKEVADKSGRGISVGSRAHLVGSE AVVKRSALRNTLMHSFHLLLRLLTPPATSRIRDTQCGFKLFSRASLPHIVPYMHAEGW IFDVEMLMLAESAPAGIMVAEIPIGWKEISGSKLNVMWDSLGMAWGLAVLRASWMVGV YKRR LY89DRAFT_671764 MTVLEVINVGCAILSASAEVAPFVQKYMSNKNSKNMEARRASRQ ATVETDDEDDDERSPASPRSGSSQEERIGPGELKDLMRTLQAQSEAVQAHSQMISHLV QERGLPDSDRLSSIPEESKIQSISFLDDEFQRMALKTSFQDFAFPPLNEAPQIDLSTS MSRTSSTSISNASMPSSPATSVSSVSSFSSPTENRRLSFGCPPSSYPMHSLAQPSSPT ASFSSASTSVPSMTRRVSFGSSTSRVSSNPAKRFSAEMSVEKALWAPLPNKYQGSRRS LSRTKTMPLPIPEQQQRSQRQFPEIPSEKALSYPPMNRYPSKSTGSPPPSTLANIPRR SSSSRIDIGPRASSFSQQTRGPSSKTIQPPAPPQRALSFSSQSKHRHASLQTLQPPIS LRRPSDIPSKEQWRSSLKSAQNPPSPQPSPQSYSPTPSIASTSSAPTPIPASSLIMYQ TPKAPSDSFNLILSPQTLTDLQPHLPTIPHEQITHHASTWSYAQTLDQLLHPDHCHLK GSDTLCRSYLSSSIRSYISTLAFSLAGERENFPLMLFLFRFVMLFGVDVLRGVPSQCG DPTGLREVESSYMLAGEIFEVLEGREGEM LY89DRAFT_736455 MDKVNKCLDDVERKMTKLNAITAKGASNINIKDAIKAARKGNSI TATIKKGAKEFSALSPSEEELKGIIVKMDRLITQQEKQLAFTIQNKPHFDKIHISGTV RKSMQKSDGTSLELTKILVEKCPPSLKPEAEAIEKRARDSFDACYQAYSDAKGGEDLV DDKVDDSD LY89DRAFT_686890 MDRNKRPPTQTSTIASPSKQESVPTPCISATTRHAGKRHEATGG CPLDPRVPGSSLGGTSAGLHMQG LY89DRAFT_736456 MSSNGGYGSYGSPGSAAGGGMQGGGQGVQGVVSGYPPILQPRGG PSRPSVIPQASQQKEEQAEQAED LY89DRAFT_138446 MASQNSIWWAILQLKVAFIVEFLGLWSQIPQSPLCVNVGFIFRI EDQIHLKECSKNNQRLSNVVQRLSEKSFGPVAVRHCYSGKQEDALQSAKQLHSQVRCD QRLSKFGSMPGCVVYCKDRTILINFPKQPSGSNECFELLEQWPWRFHGWRKCSLSQSC TVFVSFFNHNSTAFIVLTYLQSLYFTPRVPGVPGICYFLRSTP LY89DRAFT_784367 MSSLTEKETKLKELDKSDAAGGPDTNYRLKTRWHKEGLDTTRRE LESDIEEELLKYTTLLEKFAFVKSLSPTPTRDHNSVFKWIWSNNPVDPGEDDWIFHAD DFASIVTPRRNRFESFILSHLDGWPNSWFKKMLQTSKQLSQTKDTAVKYYCKARINIL ARLIAVFLAVIILFIPVILFFLTPMSRVWMAVVVLAFVFIFSVMISCVTDAGDKEIFI GTATYCAVLVTFLGNLQGPTK LY89DRAFT_736458 MTRNEEDSSGEETVDDLSSNKVTKNLSCPSCDATGFSSLSKLNK HRNGHERPYDCLAEGCSKRFAQRQTLDRHIQSKHGDASKLTKYYHCTVDGCKYASTGQ RQKRFARADQAKEHAKNYGHWGPHSANDRPRRRAGTEPLFEEHILTARFEEWVLDGSS YKRTVRMCEYNSVETKLWHKDVIGDMFVKAHALEESIGHGCPVPGCYYHQSRPPENCH ITLFKTMKGLQEHRRRAHGIMPVAPALAVAFRSQLHQDEERGSVSSSDTMASTDNLLR NIALSSTTQTDQPWLTDLDVSFGTRNFESVQCFNPECIQYRKRLQEDQQAETYVSDDF YPGDQSLGWCQSCFKRGTATFQPPGDVLSLLSSEHEFNFEFTSISSSSNTNATTSLPT LNISEDNMQTASSTTDSSTPSLGGEDSLKLRKLTCTFPGCRKTFRRNYEFQRHITCVH SPATIYCSLCNRPFRRKDKLTEHIRHAHSISSGSDSTKAKAMFNEWSILSDPDWLYSS TFPSSKDTTTTDRMVPVATDYATESVYASTGLQSYSLLSEEWPSWVPDWQSTTAKSTQ SQIDTPEAHVCVEYSPPSFSMNEDMCNHLDSQ LY89DRAFT_138684 MSFNGSPFTPTHDSHHHAVGTQPQAKPLSSLVYDGQPASSSPPY FYSMGHLMANTMFQPYSNHWGHNMAMGSPQAFQNQAYPMNFIPLPIQEQNSWAQDPTQ MMQSQMTANFAPIPLTTQFVQRMPCSWPSCTESFTRPSDRQRHIEAVHLGIKYHCFWI GCPNNGGKGYCRAEKLKTHQRQKHGSA LY89DRAFT_138608 MIRLRQTETRWARSFPTFVASSNASYTCTYERGKGPCNKAFSRK CDLRSVPEHFRFHVTDMSSSRHQRNHTKPSKCLKCNKGFPSPKDLERHDSCVHNKTVR YFCPDDQCRVSTPGWSSDGFPRKDHWQKHMKQKHSMSRDLIQALQTQGIPMAVLKDDS WIPVLPKQSETASREAGNVDF LY89DRAFT_686897 MSHLTYYNYEGYGQKAQKDFGYSQAVRVGDIIECSGQGGWDRTT SQIPSDITAQIEQAFENCAETLRTAGASFKDVFAIKSYHVGVSDDVVEIMVRCVKRFC GGHQPVWTLVGVASLAWEEMKVEIDVRAHVPVEGK LY89DRAFT_686898 MCNDTEAVTNPTAIEAEADDYAYDSGFGEDFNSSTASIGSSIMK YREENGRTYHAYKEGIYAFPNDDPEADRLDLQHHLYNLTFEGKLFTAPIPKEKKFNRV LDVGTGTGIWAIDFGDEHPEATVLGIDLSPIQPSFIPPNVTFQIDDAEEPWTYSYKFD FIYSRMMTASFKDWPKFFEQTLNFLTPGSYLELSDLCFPMKSDDGTLLPTSAITKWSN LFLEAAETAGRIINSAKFYKTQMEAAGFVDVVEKVYKWPTNRWPKDKKIKDLGMWNHE NITPSLEGLSMGLFTRVLGWQKLEVDAFLAQVRNEMKDTRIHAYFPIYVVYGRRPEE LY89DRAFT_590256 MAIPKTILICGATGTQGSSTVKALLASPRLPSDAKILALTRSPS SPKAQALAALDPRVQLLAGEPTVPEAIFAAAPAHIDAVYNVTVHGPPGYEESQTQPLF DACVAHNVSHFVFASADRGGEVLSETNPSPAPHIAAKFRIEKYIKANAQGTNTAWTFL RPVTFFENMSNDFNGKSFAAMWHNVGKKPVQMVATSDIGFFVATVILAPDEWAGKALG IAGDELNYDQGRKIFKETMGFEMPHALNIMGTLAKKFMGDIGAMFQWFEKEGFNVDIE KARRINPNLQTFAQWLVNDSQFEKVEKVEKLEKTNSATKQCTCGHSWCSKCKHQAEAV GA LY89DRAFT_620889 MSPATLNSAEAQWQTQFAAMRAALAELKLPSNTSNSDLDTYGSD INLSDEDFTSGNSGDDVWDFISETEDEAWSSDAHEEFTPDSESLEFGPQWLKSKCEGL ASRKQGLSASDLQEQIMAILASDGGEEELQSSLTDMIGFDDLDLVIELISHRKEVLAT SSSSVKQTDGLFEGKLQTRRQREEALRRADFEHKNAALGPAVNRDETMYPHVYKAHSA GNTLDSRGKKYALPAGSERTEHLNYEEYSIPAGKVGTLGVGRKLVDIGSMDGLCRRTF KGYKSLNRMQSLVYPVAYQSSENMLICAPTGAGKTDAAMLTILHAIGQNVTPSPADQP EASDFVVNLEDFKIVYVAPMKALAAEITQKLGSRMSWLGIQARELTGDMHLTKKEIVQ TQIIVTTPEKWDVVTRKGTGDTELVQKVRLLIIDEVHMLHDDRGSVLESLVARTERQV ESTQSLIRIVGLSATLPNYVDVADFLKVNRYAGLFYFDASFRPVPLEQHFIGVKGKPN TKTSRDNIDTTAFEKVREMLELDHQIMVFVHSRKDTFNTAKMLYEKAIEQVCVDLFDP SNHPQYESAVKDMKQSRGRELRELLPKGIGIHHAGMARSDRNLIERLFGSGVLKVLCC TATLAWGVNLPAAAVIIKGTQVYSAQDGKFIDLGILDVLQIFGRAGRPQFQDTGIGMI CTTQDKLAHYLQAVTSQVPIESRFSKHLVDNLNAEIALGTVTSVPEAVTWLGYSYLFV RMQRNPLTYGIDWAEIRDDPTLVQRRRQLVIQASRTLQQCQMIIFNETTEELRSKDIG RIASQYYILHTSIQIFNTMMQPQATEADVFKMVSMSGEFDQIQSRDNESKELEKLREE SAPCDVGEKNDSPQAKTNILLQSFISRANLEDFALANDSNYVAQQSARICRALFMIAL NRGWGHQCLVLLSLCKSIEKRIWPFQHPLHQFELPKPVLNQLDAKEAISIESLRDMDA AEIGSMVHNHAAGKTISKILDNFPTLSVESKIAPLNRDVLRIRLYLTPDFRWNDRHNG TSESYWIWVENSETSEIYHHEFFILNRKKLYDDHELNFTIPLSDPLPTQIYVRAVSDR WLGAETVHPISFQHLIRPDTESVYTDLLNLQPLPITALKNPALEEIYGQRFQYFNPMQ TQIFHCLYHTSANVLLGSPTGSGKTIACELAMWWAFRENPGSKVVYIAPMKALVRERV KDWGARLTKQMNLKLVELTGDNTPDTRTIQDADIIITTPEKWDGISRSWQTRGYVRQV SLVIIDEIHLLGGDRGPILEIIVSRMNYIAAQSKNPVRLMGMSTACANALDLGNWLGV KEGLFNFRHSVRPVPLEIFIDGFPEVRGFCPLMQSMNRPTFLAIKTHSPDKPVIVFVA SRRQTRLTAKDLINFCGMEDNPRRFVKMSEDDLQLNLDRVKDDALKEALSFGIGLHHA GLIESDRSLAEELFANNKIQILVATSTLAWGVNLPAHLVVVKGTQFFDAKIEGYKDMD LTDVLQMLGRAGRPQFDTSGIARIFTQDSKKAFYKHFLHTGFPVESSLHNVLDNHLGA EVSAETITTKQDALDYLTWTFFFRRLHKNPSYYGLEISAEEHNTIAAQQQANEYMISM VDKSLNELAESKCLEIFPNGDVDSTPLGKIMSYYYLSHRTIRHLVKHAKRNATFTDAL SWMSSATEYDELPVRHNEDLINAELAKNLPLSIQAFGDLPLWDPHVKAFLLLQAHMSR IDLPITDYVGDQTSVLDQAIRIIQASIDVLTELGYLSSCMQMITLLQCIKCARWPAEY PLSILPGVGIDVPTDKHLPKTLQEFSAATERDYRNTISTLHLPPSTLPRFEKAANMLP NLKIDVRDLSAVSITIVLNRQNQLTDRDGKMYAPRFPKSQNEGWFVMLCNESRDEIIA IKRVGWSSGPGANGKEKISPRPSARAVIKLPENEDGTFSDGRRLDVWVVSDGYLGMVY QVVGVEIPDAPKVVDDGKKGKGKEGEDRWMGAVGSSS LY89DRAFT_686902 MGDPGPITPDSFNDGRQQISQPFRLTATGYNLNYLPEYIALRHG FFQEQGLHVTVNIPVPWDGVLDALADGTADMALGGIWVPSMYRDRVQNYTVFAQIANR CPLALLRRGHDDGFKLQDMVGKTVLMKSGGGASVGLFFKMLMRENDIDPRSLDYIQDL DGVMLGNLFQGGMGDYFVTDNLSALAMVDRNPNVSIAMEMVEQEDVPWSVYYRETATI TPEVIDAQNRFCVALGKGIDWVLQHDAESFRDELAELFPTVPVDVAVKVTNAFRRNGM WTTTTVPREGFNRWQLGLRDARLVKEPLAYESIVHDGIAVAK LY89DRAFT_650240 MDTRISKMHSPSVRGQRLAKGVTACQRCRRRKQKCDLKYPNCSN CESAHSQCLTYHSGKQAEVPRNYVSNLEAQVEELRRENQELRTRTQSSLVNRESATSP GTCSSGGQELSTLPAGSPATSDASSGHFQDLVKSVRNVVVEPSRQPRFLGQSSGITLA RLVMAAIRVEDLPSPLFSEQRSYDPSSSAPPAEASLPPLHAAEHLVEVYFQYRTAHLP IVDRSQVKEALQSAYSSASGRQLSDHAVEKDIFTTYMIFAIALCDVPNPAGGRPTQSE GCFRSAIGWIEKVIMYSKSDLETLRAVLLLAQFVALCPSRGSLWHLTGIALRLSIDIG LHWESEEQSLNMDPDMLHDRRRLWYSTYQFDRVLCITLGRPFGIIDESVNVPLPDSWT GSLNEVDGHSQRAHNHLFNMSKLESEIKHVLHSQAWTPRLAYPRVNYAAWVQDIQPRL QDWYATIPPPSKAHASSIFASQAYWDAKYNNAILLLYRPASIVLHASTEELFISFDAS CKLIASIKILQREGKIDVLWTTVHHLFMAGLGVIYGLWHSQEIRSRHPVSKSISTLQS CVSTLSALSESFPGAAGCRDVFDTLSSATVDWLVTHGAENNRMEIEKQMEDLLQQLQP SRGGMVTPNENYASNMSAMLSTDNFAFSEMLSSAAQWPDSYDMNLGSISNDFMTGTIE LY89DRAFT_698746 MVDSDTKASAHYTAEDIGTVIEIDPEKERAALRKFDKYVLPVSV IFLVLSSLDRNNLGNARVFGFDKDIGLKGGEFGNINTLSSVCTIVFELPWVLAVRRWG ANKAIGTAFVIWSATTLGTAFIHTYGQAIAVRMILNAAEAGLAQGFAYLFSTIYPREL AGKRIMTTNLAQCISGAFGGLFAYAVQTMGTRHGLAAWRWLFIVEFCITIFVGGVGWI FLPNSAESAWFLNEEEKETMRLKKIRDFALRGEDKFERKWIKIALTDPFVWLLGIAFF TSSVAINGFGVFLPTIIAGLGYASLRVNYMTIPVYVLGATSLIIQVYYSDKLKRRGVF IIGCCVPVAVGYLVCVGTAHPGAGYAGMFILVLGLYPISTLAVTWIATNLSPDTKRAI GMPLAYSIANVSAVVSSQLYPSQQGPRYIAGNAISAGLTVVAGFLYTGCWLLLRRRNA KKEKLIAEGATTNGLEGDMSLDTMYIL LY89DRAFT_720739 MSFNSNAVFQEHHDPSSSPNMSVNQSNSHISAQHLQDHEQYPTL IPRRPVPGSGAFSDSTRTYSNLEHNPPSDLEVANRNVPAQQYSNHDIKSPLYGSPPIG FHGPDQSTPNNDRRICGLGVKWFWLLLALLLLVIAGAVGGGVGGSVASKDSKKSAATS ATTSASSSQSTSATSTSSSSTSAAGTSSSTPLPTTSLKLNSIAATYTTDETTKSSTDR LALLYQDATTNEIVYRLLHESSLVSMAFQPPQLLPVSIQPKALSPMTAYATIDSSATT TIYSYYMDSSDQIIECVLLCPNSSSLTSSTCSISSNTMISTGWTAPSSTSDLTIVNTY EGPRLYYQNSTGYLQEGFQHTSGSAWTGDKPGTLLMRDGTSLSTFMNTVASDLMFGVV YFDPSGNLKVYNNTDPGSWNTPYTILEATTGLNSSLPFGASYEPATADSSGGYLRVEM VSSNNIINETFTNSGQWTEISQTPWSQLTSVDVGSGFSVVSWLGNVRIFYVSTGIVHV AVLSSITGSPQWTQGTINGS LY89DRAFT_698747 MIIEIMIGLGLGYLSWSLIAMEINYRRAAAIGIPLVRLPVDPMN LVWLILEPSLWNILDKIPIDWGTFGRYSRRGCHFHDKATSHLKYGPVWALVTPCDIYI YFSDPDAIHDIFMRRTDFLRPSKMYKLLEVYGPCISTASLADWPRHRKVLATPFNESI MKFVWDEALSQAKGLLELWTDDSKSEEISSAKDTRTLSLNVLAATGFRKSYKFDSASQ TETSYRDALQKVLDNVVLLMLVSPKVLQLPFMPKSWTQVGRAAVDFKVHMEDMLNEET QLFEEGKMGSGTLMTSFVRALGVHQKEEANSQTSQPTSKGLTIDEIFGNIFVINFAGH DTTANTLAFTMILLAAYPDIQDWVSEEVNVIINDERDTWEYETLFGRLKRCRAVLLET LRQFPPIMALPRWSNDKSQVLRVGDQTIVIPPNTGVMPSILAVQMLPKYWEKPLIWNP KRWISVSSNQSSLTNPSDQLDGEEIITPSQSTFFPWSDGLQNCPGQKFSQVEFVAVIA VLLRDHRVNIVRKIGESLQEARERALAVTQDCNMELLLRMKDADQVRLVWAKAEKFRS FDGHFII LY89DRAFT_686905 MASKTQVNPDREVKKVRIVEEPTTASNWQHGDIDWVNVTFVAII PLVGFIVAFSTPLLWKTAVWAFIYYFLTGLGITAGYHRLWSHTSYSAVLPVQIILAGL GGGAIQGSIRWWSRNHRAHHRYTDTMKDPYSVQKGLLYAHMGWLIFKQNPNEVGRVDI SDLNHDPVVNWQHRHYVSVALTMAFLFPCLVAGIGWGDWMGGLIYAGILRLFVVQQAT FCVNSLAHWLGDQPFDDRNSPRDHVITALVTLGEGYHNFHHEFPSDYRNAIQWYQYDP TKWSIWLWKQVGLASDLKTFRRNEIEKGRLQQLQKKLDEKRSTIDWGTPLADLPLLDW DEYTTRVAGGEALIAIAGVIHDVKSFIKDHPGGKALIASAIGKDATAIFNGGVYNHTN AAHNLLSTMRVGMLRGGVEVEMWKRRDGKSEMAGVY LY89DRAFT_589926 MTTQPQPAFSSTYVPEQGTADLSPLLLSNGGKWILTPTGAGIER AFKFKGFKKCWEFMNQIAPECVKQKHHPEWSNVYNTTYIRWTTHSPPGLSEKDVLMAR FCDERARECGEVEGEGKGETGGVGKELVDRVASEGGDCCVPKGTSKV LY89DRAFT_138810 MDSLLANGTLNATLVSSDTYFGIYNELSKYNIQLNIFERLWATW YAYMQNDVLATGIMSFVMHEAVYFGRSIPWIIIDQIPYFNKYKLQGAKIPTMKEQWTC TKLVILSHFTVELPQIWLFHPMAKSCGMDTGVPFPAWQTMAIQIAIFFVLEDTWHYWM HRLFHWGFFYKNIHKIHHQYSAPFGLAAEYASPIETMVLGAGTVIIPIIWCLVTQNLH VLTMYLWITCRLFQAIDAHSGYDFPWSLHHFLPFWAGAEHHDVHHEQFIGNYASSFRW WDYVMDTESGPEAAKKRRERKQAKLAKKAQ LY89DRAFT_720744 MSSSQFQAANEQRRRLHHEADVVVVGAGIFGCAIAFALAKQGRS VLLLERSLKEPDRIVGELLQPGGVSALEKLGLAHTLEGIDAIPVHGYEVIYYGKEVEI PYPSNAGVTGRVGSNEYTNEKGGRPEGRAFHHGRFITQLRKACASQPNITIVETEVVD TITSTHNPQVLGVKSRTTDPSTGEKKDDCYFGQLTVIADGYASRFRKQYIGKQPIVKS KFYALELIDCPLPAPYHGTVILGDASPVLLYQIGTHETRALIDIPENLPSASVANGGV RGHIRNVILPSLPLQVQPCFEAAIQDGKIPRSMPNSFLPPTTQMEKGVVVLGDAMNMR HPLTGGGMTVAFNDVVLLAEMLAPEKIPDLGDTKAIQKVMKEFHWKRKGLSSIINILA MALYSLFAANDRQLKALQKGCFQYFQRGGNCVDGPVGLLAGIIRQPFVLFYHFFAVAF LSIWIVMQETMGSLLGFWKFPLAVEESVLVFWKACVVIFPFIFSEMRA LY89DRAFT_139653 MVKSGTSSKSVWAQFGLGGRSRDADVPSAPVRVSEDAQAKSFDK KAPILVEFTGQNRDRLPDRPSTSAGPASSFARRKNAEKRETKDDLHFNPLAVHGRGTT FYNFPLPGSLLTPASSPKSSAPSVHKSSLARPSTPESMEITPAPPLASATTMNVPQGE IGMALGSPSHAPTPWQEHSVETYIRHESPDQMDSSVDGSWDNPPLKHKPSRWKILGGI FGAGKKPQPAFYQLQPETTQQSESDHVQEPVDKRPKSRGRGWSNSTRRRNNKPEISRS NTLPAFDMSGGRAATATPEITLEGGPLIENASRFAQKGAGLLDVDIPSIQMERYSIMF SGVLQKPQQTSSSLLARRQATLDKLKTVNEALASKEQEIEVKTRLLLPRRATSPSAMK TQSPAFSLFPNTPSRTRELSPSSRHRPSGSLHRSNTSPAALSPSRPSFAPGPDNEAHA RLMAKEHGRTSPEKRRDAQESRTNKLKTEAGRPSTASPTPKPSQWSPDKSHLTLDDNS DNEEGDEEVVEECEKEDTYDPAPFPMKPKLVEPDWQIINPPHAHATAPSVSGSSTSGS NHSTSASNSSSISTPPSTGLPAKPNMPSPPLTSSISPKAQAQARARAATVSTRPPQTR SRSATTTTIPRRSPQPNPETIDSPTLHPEPIRSLSSAISPSSAQAQSRPSPSKTTPVD EDDDAEARLKSLADVSIARQISVSRQQRQLLVPIKTSLKSSSSNGSLKRSPNPVNAAS PLSVVAGGGAEVNKDEKKGEEISIGLRVGGGGGEREMRQRELRDLQNRKSERVVVERV SVVSTS LY89DRAFT_686913 MSWQAYVDSSLVGSNQISKGAIYSAAGDSKWASSPGFELSPAEA KVIAAELKDPKTDSKVWTDGFYVNGVRYVATKLEDRSLYGRQGKEGIVVVKTTQAILL GHYPENVQAGNAAVVVEKLADYLIGLGY LY89DRAFT_686916 MWLSDTQKIGVAFCSGGGFFLIGGVLLFFDRAMLAMGNILFLIG LTIIIGPQKTLAFFARKQKLKGTAAFFLGLFLILMRWALVGFMVELYGIFILFGDFLG TVLGFARNVPVVGPWIGVLIDRAGMGGGQGLPV LY89DRAFT_139127 MAPNAEEAEAHQALLDQLNIHDTPKPFKNSHWKPSQRRNKNIKT ILGDAIRKEASLQPTQDNSGAATPNLDESSTSTDDGESTPAVSTPGSMLQPNLAQASR SLSKMVLEKNMKAFESSSTPSATYTNIEATPTLGHPKHYCDITGLPAPYTDPKTRLRY HNKEAFAVVRSLGPGVAEQYLEARGAHTVLK LY89DRAFT_139679 MESPHEHQQNLLLSRIITNVEKLNEAIMLLNKSLQEINIQNMNV ELVAQMFKNYQSNVLFHLEATDALKDPS LY89DRAFT_139668 MAPSAIDPPTQMSEPSSTIPPPRLYYPEEAHFRRFIKPKADGYQ KAKSLGHDKATIVIDNGSSTTRAGWSFEEAPRMNLTPIYSKYRDRKLAKSYSFVGNDI YTDTTARGHIRNAFEAGSGIVSNWDVMEALLEYIFIKLGVDGSNGGIDVPIIMTEAVA NLPYSRKSMTEIIFEAYQAPSLAYGIDSLFSYDYNNGKTGLVVSSSHSSTHLIPVLNS KAILSQATRLNWGGSQSAEYLLKLLRLKYPTFPGRLNSSQTEYMLREHCYVSQDYDNE LSKYLDWTGLEDRDHVIQYPFTEEIIVQKSEEELARIAEKRKESGRRLQEQAAKMRLE KLIRKEQELDYYRDLQSKLADQSKKEIKRLLDAEDLKDEAALERTIKELDKSIRKART KDVGGPEIEEEVEEPDFSLLEVPDDQLDEAGIKQKRHQRLMKSNHEARARAKAEKEKE RARLAEEQRADEEKRENDLEGWLQQRRTARAELVQKMKDRERLKADLGNRKSLASQIR MKSIANLASDNPTKKRRRGGDDDNFGANDDDWGVYRQIATGEGSDDEEEEDLGANLKT LEQDLLKYDPEFTDQHTLDAQTDWTKSLVHAFLRGPRPFDLKSQAEAHQLHLNVERIR VPEVIFQPSIAGLDQAGIVEIAADILNHRVSGRDDFLRDIFLTGGSTLFQNFDERLRD GLRAALPADAPPVVRRAKDPVLDAWKGAAKWAGGSAWKTAAVTREEYLEKGAEYMKVC LY89DRAFT_139123 MQSIAPAPPQSMQNQDMSADNDLRAQLAAVINTSQHGGPPPPGA SNHPHPHGAYNDVHAHHHQHQQSSSASPHDHNIDPAIGGGIGGGGGGPINMSTGGDSG GDDSLGDGRKGGKRELSQSKRAAQNRAAQRAFRQRKEGYIKKLEEQVRDLHNLEDNFK ALQAENYSLREYIIHLQSRLIESQGDYPQPPPNVNLIHPHAGRGQQQQQHDPQASAPM APMSTLQASAARTLAAAGLAGKPQQQQHQHPGAEEYDHSKRYKDEGHDNPAADEEIIR SQLQGTADGLPSSM LY89DRAFT_139090 MFVTPSQKSPPAADFVISSTPPPAIISHRCCISHEERDKKLLKI LSSVQLYPMF LY89DRAFT_698757 MSQSHPEPLVWLITGTSTGFGHLFALTALARGDHVLATSRRATT RPELISLREKGAHLIDLDVTASKTEIEKVVNEALKVYGRVDVLVNNAGYVEMSIVEEL EEEKLMNQLQTNFFGAQRITQALLPHFRTRRTGKIAFIGSIFGLYAMPMTFPYSASKF LLAAYNEALNAEMGQFGVKSILFDIGHFRTGVVGHAKFSVSGEEDYAGLMGVLAESSQ KMNGAQPGDPEKGVKVMVDVIREEGKAKGRKWPLRVPVGGDAVEVARGRGREIVGAVE EWEGVVGGAETDFEGVINQK LY89DRAFT_686925 MESGRRRLNNHYSTAATGTEAIILTRILPRFQTIQERNFCALKY TNESHNPALLRTYYSDINGENKDEAHKIMVRDAILETCVHKDGNWNDKALYDFGDDWQ RILLRMPELCDQEVGYGESENKEEDQEDLEAPPPDDREALESWLWSRNVAIRFYVRDR EAMEKDLIKVFYLDTHGEAIWSHKFNAKSILQFNGAWYSMSLAEINGCFSGSRENGCE LFL LY89DRAFT_139870 MQIIWDLHKVTSNSALDWPTEDIDRLNTEESIDLFYRETLYLLL YRDDPQPEYEELLSVMQYRRKVKREAITQSLAKVSNVSRIQRQSSKSVPNQIAWEELD RPSSGIEFYHNWIDPGILSAGVGFSPLRAISFDHILYALDLRSGIERK LY89DRAFT_736482 MATSAKAEAWEGESLAPELLLVITTKLPDLGALDSLLRASPNTF RLFNTENVVKITESILSSGSIHQHVRVIIYVIALIRSSALPISNLDDFTEQVMLEAMK TWPPALGSGFNPIRRSPTTSAVLRSILSTYRRITILTQGCLEFYLDRYRSLRPQHLAD KTFRLHGVHP LY89DRAFT_686926 MDVTSLFENVGASATGSIISKLACYPLDTIAVHYQTSTRRPLLS IPLKAYYRGIGVTILTVTPATALYLTTREAAQIALLPHLGDGALNDAASGCLAELAGS VLFTPMEVLKARLQISSHGEEGKLLYQLRDIARKEGFRGFYRGYVMGIVSYMPYNVLW WTTYGNIRRSMPDRSIGTQITCGAGAAAMISAAFIHPLELVKTRYQVATSDTVAAVGS VAGTRSSDQEGLRQVVRNVMKESGPKGFYAGFFPTLLRSVPSSIIMMLVFENLKAKRR VNAESAL LY89DRAFT_589772 MFSIQIASDLHLEAPKSYDLFNIIPKAPCLALIGDIGCIKDREY LTFLEKQLRNFKIVFLILGNHEPYHSDWESTKRKLKQFERDIGTKHQKENLGSLIFMD QTRYNISPNITVLGCTLFSNVLPSQAKDVSFGLNDFYNIDGWTVEEHTQSHIADFQWL NAQVKSIAELEPKREIIILTHYSPTIAPKATDPAHSQSKISSGFSTDLSGEFCWTSGN VKAWAFGHTHFNCDFVDGVNAKRVLTNQRGYCFKQSVGFDATKCIELKNHNF LY89DRAFT_620940 MMLLALLLDNIAIALIAFIFVFTIPSLRSLAKGWRINKNPNHHQ ELYSDEDGVASTESTNEFSNKLQFIFIFVLAVIGFGLSIADTIYTAINQKTRTAGSNH HHLGIFLLVPAWALLLLQLIDASLKRAPVARFKSAISKLASCFLLVGIAWISLLDDAS VVATIITSLQMASILSLVATIASIKRRPVVFRPDGKVVDQQRNVALWFKYSFQWCVDT LAAANRDKFGNSDLPAMDHATRSENATERFKNIAIKDTMPLWIQIFWVLRRQFILQWL SILFSNFFDVAPAFATLQLLQYLETRTDPNAMEPGAWKYVVGISVAAISSHIVDSRIM WWGRSDIVIPLRSILTGLMYSKVLKINDSSEPPEEESSKVEDTKTEEGQEPDKKHRVT SQSGIVNMFAVDCNAIATFGEQNTEYVNSAGKLIVTVVFLLLLIGWQSLCAGMLGIAM LFPFNKVLAERYGKKQKILMEIRDKRTTITTEVLHGIRQIKFSAAESQWAEKLGSVRE EELSTLWQTRIDNLYMSIASEFTPVVLTALSLATYSWVHGNLLPSVAFTAISLFLQLD GLVSHIPFLLVTAINAKVSCDRIQNFLRLPEKPENTSPGESISFYNASISFPHDGTDP MADPFALRELNLQFPNNALSVISGPTGSGKSLLLAAILGEIKLLSGSIQVPKSSGEHY DSKATPVDWIIPTAISFVSQTPWIENATIKDNILFGLPFDPVRYEKVVEACALTQDLA MFEDGDETEVGAQGISLSGGQKWRLTLARAFYSRAGIMILDDVFSALDSHVGKYIYEH AILGELAEGRTIVLATHHTSLCLPRAKYAVRLSGSGVLEHAGLTEDLRKEGILDGMLQ ADDTTEPKYGEEDEVLRAEESNGATAIPSADAAVAVKATPKKLIEEEQRETGRVKTSV YAGYLHASGGWAFWSLVVGLYAVAEGFTLGRTYWIRIWASDYGKGQSEAAFSFAASHF RHTIQPRFSGQIPISPTDFGSTINSTMVSASFPIETQNRNLWFYLGVYCLISTISVVF SISRLYLVYSGSIRASRLIFKSVLYSVLRAPLRWLDTVPTGRILNRFTADFTSIDSSL AQTFYYFAAISTDITGILVAATFVSPFMIICAAALLAACTLFTRSYLTAARNVKRLDS VNKSPVISHFAASLSGLSTIRAFAKSSDFKNRMFALIDTYAASSWYNNLFRSWLMVRI GITASFFATAVSIFVITKKGIDASLAGFALSFALSFGHSVSWAIRISTQLELDMNAAE RVFEYRDITIEDQDGDNIPASWPEEGRVEVKDLELGYAEGLPSILKGLSFTAEKNQRI GVIGRTGAGKSTLSLALFRFLQARKGSIVIDGIDISRIRLHDLRTRLAIIPQDPVLFS GTIRSNLDPFNEFSDYQLREALMRVHLIPSYTHTPVPEATLPDSSTASSTTAFLQPAE NRNIFLSLTAPIASGGTNLSQGQKQLLCLARAILSRPKVLLLDEATSAVDMSTDLLIQ RSIRDEFSNTTLLVIAHRLSTIADFDRILVLKDGVNAEFGSPKELLEKEDGLFKGMVA QSGEKDELEKIIMEDRK LY89DRAFT_590123 ICQCCLQMGARGVTVLSASGDDGVGPQGLCFTNDGKNTSAFLPE FPASCPYVTVVGGTKNVNPEIVAASTDGSFASGGGFSNYFGRPTYQDDVVPAYVKSMG TKFQTLYNSSGRAYPDIAAQAFQFLIVWNGTIRAVSGTSAATPTAASVIALVNDALIA AGKPVLGFMNPWLYSVGFKAFTDVRSGSALGCNTTGFPAQTGWDAVTGFGTPVSFVVD NTTDVWISLMYPQNFPAIRAAAMNGTVA LY89DRAFT_671795 MEPKIPAPPYDEELAKTLSTLNFPVTITPDLIPAIRARPPPTLS SLLAPHPTITHTSLSIPGPLGPIPLSLFRSSSPSSNSNSQPHPTILWFHGGGFFSGHP FTGLSNLLPFTTTHNALIATPTYRLAPEFPDPAPLNDCYAALLYLSSHPLINPHKIML AGSSAGAGLAAGVALFARDHAGPKIEALMLQAPMLDDRLKTASSWQFVDSGTFSRGSA EMGWGALLGERRGAEGEGVVSGYAAPGREGELGGLPEVFCEVGSAEVFRDEVVQFVDR IWRAGGKAELHVWAGAFHRWQAFAPEARVSVEADGVRGRWIGMVLGR LY89DRAFT_589842 MFSRFVKPAAFKPAGGIYNRLAQQARFLATVEGSVGRAMPATRP RATPISHDRATFTIRDGPVFHGKSFGAKSNISGEAVFTTSLVGYPESMTDPSYRGQIL VFTQPLIGNYGVPSSARDEHGLLKYFESPNIQCVGVVVADYAEKYSHWTAVESLSDWC AREGVPAISGVDTRAIVTYLREVGSSLARITIGEEYDADQDEAFIDPEQINLVKKVST KAPFHVSSHNGDMHVAVIDCGVKENILRSLVGRGASATVFPYDFPIHKVAHHFDGVFI SNGPGDPTHCQETVYHLTRLMETSQVPVMGICLGHQLLALAAGARTIKLKYGNRAHNI PALDLTTGQCHITSQNHGYAVDTPTLPADFKEYFVNLNDGSNEGMIHKTRPIFSTQFH PEAKGGPMDSSYLFDMYIENVQRYKKNQAVYPAGVGKDNRPSPLLVDILSKERVGVAP AQSVENLAQAAAVQQAAAA LY89DRAFT_590039 MSALRILVPVKRVIDYAVKPRVNKAQTAVETAGVKHSMNPFDEL SIEESVRIREKKSFPGGVDSIIAFSCGPPKSSDVLRTAMAMGADRSIHVETKDGEEIE PLGIAKLLKAVVERENINMVLCGKQSIDDDAGQTGQMLAGLLGWAQATQASKVGFEGE RGVVVEKEVDGGTETVKSELPVVITTDLRLNEPRYASLPNIMKAKKKKIDKVTAEELG VDLKRRLKTIKVIEPPPRQGGGKVEDVDGMIAKLKELGAI LY89DRAFT_139975 MLSLLAESWTWYAFATLVICARYASRWLQLGSLKAFGPEDYVML WVFGFYTSLVANMNVVAHLDTNLMLPTDIPTLTPAGIASRIHGSKLVLVVEQSMIMTQ WGCKICLLLLYSKLTFGLKQQLAVKIVGGYVVVNLIVMEILYFGVWCRPFDQYWAVPV ANEQCSAAIHHLITNAVFNISSDIMMLCIPIPLLIKSQLPRANKIILCGLFGLGIFVI LCAVLNKYYSFAHPFSPMWEFWYIREASTAVLVANMPMCWSLMRRIFNLRAFSGLSSS NGQRSKSLPIATVYSGAVSRARGGGTKDGSRVMVSKVDRNETSNSWWDREGRIRRVRV GVGVVEWEVMIQCII LY89DRAFT_589788 MASQRSHPSSTTRNGTPGSPKPAGRRRREDMSNSVHGDSKEAPL NHAGRVYEKILTYSTGTRYSIYIIPVALILMVPVIVSSTQVSSDPKKDPKIGGIRVVW FFTWIEAVWLSYWGMKFVARIIPLVFRYLAGVVSSETKKYARVLENLQQMITVLGWII VSFVLYEVLFSTVSAGNTPLGWTKQFKEVLGAILVSTIIFAIEKTFVQLISVNYHARS FNNRISASKRAVFLLGLLFDASRTLFPMYGKDFLDEDYIIHSTIEAYVRKGRRGQIDP DTTSHGHHRRIFKGIGRVSNTANSVFGNIAAELTGKRVLQARSGQSMIIECLERTKAS KALAQRLWYSFVMEGNDTLHLSDLEEVLGPDSNEVAEECFDMLDPDGNGDVSLDEISM KVEELSLERKAIARCMHDVSQAIKALDNVLSAVALLLSVFALISFLDTGFHSILTTAS STLISLSFIFSTTAAEFFGSCIFLFVKHPYDISDRVDIYGPDGINRLVVEEISLLYSS FRRITDMEVIQIPNNILNTLWINNISRAHSLIERLEVYISFDTSLEDIEALRLEMENF VTCSDNKRDFYPDAVFRCVGIGSMDKLQIQLEVRHKSNWSVETVRAARHSKLMCALVL GLRKVPIFGPGGGAAPLGDPTNPTYSVTVSDAVAAASREKAAKDADAARLHPANFSVP ENKDNLAKSDSGNEALASILEGLTGEPLREQQRESDAFSVVGDQGLQSSAAKESQLTN GSSTSLGKSQSMQGRRKPSLTAPTRPSEVSREESNLANVPSQANSRNFDPEAQTSR LY89DRAFT_555458 ELQLSCSVKTLKRRCKEAGYYSCICCQKPYLTKTQANARWLWGI AHMFWTIWEWSQILYSDEVTFQVGGKKYKQRCIRNKKERCHPDCIQFQMHRGGTIPVH FFGAVGYGYKSPLINIHGTRKSGAFTQTDYLAQFMEDGNSAHGHKTTSNICATWRTSM GITLFPHPAVSPDMNPIEKCWRRIKQALHRRLRQPTTEVQMVVAVLEEWDKIPQEWIN GLI LY89DRAFT_620956 MTDWNKLKVVDLRAELKKRSLPQAGAKAALVERLNAAENGNGSE SDATLQGDASKLDVDSAISPEDISPVLPAATESIPAEATQLTNEVEQATASQSSEQRS LGEALPTETQPTHTIESSQQPVSKDKHTSALPSVEPQEAIEDRQKRKRRSQSPPPSTA DAANKRLRISNADQTVDEKVATSTSDADWVEKHNGVDAAEVNAEAMEVAPDGEGVEPG PTIVDTSMEMVVVANVPGDKDHVADVRHEAKTDEMDVDGPIKEESATAIYDESPSRAR DSRFKTLFSGESQGSKPRSRDSAYEGEPDRIITPAMHPATSGLYISRLMRPINPAQFQ AHLAILAAPPDSEVDPDAVVRFYVDPIRTHAFASFTSVSAASRVRSALHDRIWPDEKT RKPLWIDFIPADKVVEWIDLEQASNPGGRSMGKKWEVFYDVDEDSNVTAILQEANTPA RPMQPTRQPSISNSRSQAPSQAQSVDPPTGPRSIRSLPTNTKRLDELFKSTVAKPILY WQPVSKELADKRLDNIDRALSKDAAAGRRIEGDINRYTFEDHDVLVDRGKEIFSGIRP PTGGPRRRGGGGYQGRGGGYGGGDSFRPNDGYRNSGPYRGGRRDSRDYGRR LY89DRAFT_686932 MSHLSNPLATAEQLFKSANNPLPIELLDSIRFYTARLTQAAGIL LCLPQDITAQANVLLFRYWLVDDVMRHEFSDLSAAVVYLTAKISASPRSLRSITNVYA YLLSESSALSGTPVPEPDPQSYYLSEGTYVTRRNKLLHIEGQILNALGFNTHVALPHP LAITYLQTMDVFISPRTGKAVAKRAIEYLNAALLSPQMLYLTHQPASLATAAIYLAAR DKGVKLPDCEWWEVSDVREVVNKQEGISNGDGEKEDEEAEMSRMLDEKVVD LY89DRAFT_686933 MPHTSLEQDTIVGVMAPPNSPLGGAMPSTDNLAGLSLAALEIGE EKKPVLVVGISGCTSSGKSLLASLLAQVFGGSVLMHQDSYFQPKALCPFTTFRNTKED LPFMFKTMTNNETGEYFITSDGYATTNYHVTGPDTDCDQAIDFMSMLGMITRVIGTGI LPDQIEPPGDPGLLLGHDSNPTAEFIKHSHDLSSYESLIQELRIHVSKKVRHHAASNI RNNQLGKYIQSPAMNGYAHGSIDLVDVTKMLPVICFIEGFLLFTDPTKPQTVAPIFDL DTEQRALHIQYQTIADLTHELAVTEPNDPFSVEAREEIEQEIWDKNLEAKTRMQAVMG LKLFLPTGKETAMERRFARSEYRDWPEGERAPGQMWKTGGYFESVAWKNFQRTHGWMA RGDVVEDEKCGFVEEECMGVCVRPKLDAGIEETLRWAVEAVLCRLCREDVEPETEMEV SDIEEI LY89DRAFT_686934 MRNNAGLLPDLIPCLPNRIISKIPGAVLAVYFIVTLPIYCVAAW FVLTFRSCLEPWMKARACRAEKERQKTETKIAIMSHTPKILGPRRGRALSIGRPETPT KIEVTPEVGAPTNIWKTTVDEQENCRLFKLPFELRRQIFEEVLGGYMIHIFFMENYLP MSHSRCKSEREGKCACQVFGKGQWKAYQPRTRKQKGAVDQWGQCELLALSKTCRRIYS ETIEILYGCNTFDFNSIIEVFRFSLTVLPERMQLMKDVRWKYIGIYDGINPRYMSHKR FAYPRIGNGDERICKKMPWLGCRRSDEEDEEEDCSCLTCWTPVETPDVEQQQLVIYNW LMRLAEEREAEKILEESPRSSGTFDD LY89DRAFT_140398 MTRHFSSLLDAIPSSNAVLKTPKETFPPPTILPATEQDIPALAR IHVTALSPNLLFRLCWPNQTRQYEAVCAGLESVFKTPEFEWWFVKAVNEEGTIMGWGA WTVRPADLGSRDSSGTLSFLFPGLMDGVNGSSDEEEGKIDDVEDFPSIPGLPDYMHSH TQVILDDWLLGKKHVLLNSLFVAPTFQRRGVGTAILR LY89DRAFT_708783 MALPRAEGQRRVRRRARSYSSLDDEDSSESRGKERVTPDLDAAE LRRIRIERLEGSTSTRRRSTTQNMTSESHATLPSHKTSTSHRRRRKHRRDGEEKDYRS WRKSLAKDEFADTYVYGAPADRPKSSRIMIKETRKLGRDGESSESDREHVVRSPRERR SGEKEKKIRVIYVTKEELKSSRHRRTESSPELARDSLKRSGTHHSRRPSVADLPHAPE SPPKRSASTRHVAAEPRATIKRSNTTTSHMPSSRGLGSSSAGTKRSSFLGGLFAPQAP EPEKLVECLTCLSDDIPRSKSAKLKCGHRMCNSCLKRIFRLSVKDPQHMPPKCCTADH IPLQHVDKLFDINFKKLWNTKFAEYSTKNRIYCPARKCGEWIRPANIHKEDGKKFGKC GRCKTKVCCQCNGKWHGSKECPKDEETNKLLETAKQAGWQRCYSCRTMVELKEGCNHM TCRCTAEFCMICGLKWKTCNCPWFNYEAVEADRLNHMRVPEDGDNDDAPERPRRLRRA QPATYYEELTERQRQERLDERLARRLQRTNLADDNNDDDYQGGIGDVHGIGNGAGHFM NQDYVRAAHNILTGNYDQATAAANYVMGVANARGVPPPPPPNAGQRRMADRYPVPPRV ERPLSPPPLLRRHSAREQAYNAAQTRASERVVPRRTRTDYEAEAAVHAPLPRAARPEP RPAVLAGLSETGRARNRVSAWRNHVEPGQPEEGVFDPLNPQQKSLRYDHCIDIQNALL TFPRSHSNPWPKSNTPNTPPTSPNMLLLHQTGSVKIGEVVRYTVTYTPSHDRILPSPP CLFLKVKNTCAIALRAAFVHGPYTLYAAAYPKAFKTDERFEHPRTFGVPEFEPQLKAG GTWTCRLLVPEEVRASAGRGGAGEESVSWIIEVSSQVIFSNSASVNYEILLGRDEKSL SLGFGSSLTGGGNGGHVPQPGQLSDHQQSVGARDGRHAGQPKGVFSKAVTVKVDDTAS LWNTPKMPEWGDLEEDGRDRKRQKKVHLVVLTHGLHSNLGADLLFLKESIDATSRQAK IDARERRAKRREEEKAKADRSGEDQDEHHAEERKDEQGETKAPAQQHEEDLDEDEDEE EVIVRGFSGNAVRTERGIKYLGKRLAKYVLQMTYPDQPYKPVTKKSAAEALSHALKPD SSKPVEDGTPAHNHSTIKKQPYEDRKLAYKITSISFIAHSLGGLIQTYAVAYIQKHSP EFFDKIKPVNFIAMASPFLGLSNENPLYVKFALDFGLVGRTGQDLGLTWRPPTVVRSG WGAIVSGIGESAHKKIDGETAPETKPLLRILPTGPAHIVLKKFRNRTVYSNVVNDGIV PLRTSCLLFLDWQGLGRVEKARRENGLVGTMAGWGWAELTGANSGSPSRRNFSDSGMS DQEDTDTNTPTRQGHGADVPQPPLYATEDDDTASLKSVKSIDDNLPHTETSHNEGPFS SIIKFFQPDKPSAKSHHHAPKYPKIYKRSQTIRMNSDSSISTLAKQHPSQTARGKATT GDAEHDDPDSLSAPPKTTFFESAGDVLKPPLPSVDFLIDPSSRPRTIFHDRVYHPEDI PPPPLKKRPTSSLMLRRRSSVGNSGVSGASVGSDPFPTSPPAHTDSSLSARDYDDTRH TNPEKDPREVVDGSAMKVEEKIARAYHRDLSWRKVLVRLEPDAHNNMIVRRMFANAYG WPVVKHLCDTHFSDSAAAKTRDEDEGNEERAKGENERPSKDGRETNDANVHSHHEPEH MKMLSPEDRTDSEAREAKDSVPALISDPKPNSLGKALAGNSLSLPGERRPLPERAESG TEWSDRDWADSELDSEDEEDRAKRDSGEARKGWNWTEAIAGKGATSPRGTDKAEVERF LGKKKKEEQELRSDAAAARVLSGEAAGMGLGLQPLGLDRDGEGVAGKGKNVDSHDS LY89DRAFT_671807 MMLLKYITLLLFLIALSATTPTNTLPTLTSTTTTSASQTPTVTE NSYFRWSMCVPSKECNDPLDIVIGVIIFLVLSVGSTTFMVCSVLYRCEERRARLRARS ED LY89DRAFT_671808 MRHFILICGFVVLMLYITSTAKTAALAHGYRHRQQHRNRHPPQI ANNAQRHIHGGHRVQREGTKPVPSKSSSPTQLYVAGYIINSSTLVIISIAENAKDRAA MEQDDTASLLMILKDVNDSKDEDMELREGSDDEVVSGEEAWKVKREDKMTFKYWVMVW LVPALLIGVELQ LY89DRAFT_650311 MRSIIFTLASGAAAQSLQIAAGQSAQYLASNPGGAIPAAVQAPS ISGFTNPTIQPSRGGLAVCVSGMVPVTASTSKNMKFNFDIPMNQSQVTQTFVADVTSG SPFTEQIMAGMQSVNGTYNISATFCTPANNTKPDTVEILTHGVGFDRYYWDFAPGYSY VDVVASYNRASFFYDRLGVGQSSKPDAINVVQTPLEVEILQSLITMLKAGSFSNATFS TVIGTGHSFGSILTQAITSMYPTSLDTAILTGFSTNSTGMPIFTTGLNLAIAAQNQPY RFSGLSNGYLVSSSIISNQIAFFHAQDFDPDILNLAEATKGTVTFGELFTTTAVVGPA SNFTGSVAVVNGAEDLPFCTGNCSYPTNLAQAALELYPVAGSKGTYLAPLTGHGVNLH YPAVAAYHYIQKFISNSTSF LY89DRAFT_736502 MAKFETGPTKAVQCSVCNKKFSRTDHLKRHQLRHSGVKPYACIF CKDAFTRSDNLRDHYPSCSQRKNRQIPEAARGGRRSHACDSCTSMKLGCDGNNPCKTC RHKKIECKFSRLQSKGILNRQETPKLDSDSTPTSDRGSINFLLNSGTASFIDCFRFPS SHENKNLFNFRNNQKSPESSDVVDMFGNNSDNGSAFSDFFEDESIDWSLFEEENLLKF LSTPVAEIHNFGSAPVMTNMPLPVEWEPASVQSAAIIQGVMESTQALHFNIQEQTQIS QNLNFFFTPSKIDKFVAHYFEFWHPHCPILHQPSISIHTTPIPLLAAMIIMGSMFSSD DRDVNLGKVLLDLVEHYVYSIDDLTEESEVRQMFRASNPAPEMLPMSMLAFQHLQATY IIVCVQFWAGSLVARRRAADARFAVVVKMARRFGLAKVRHELDDSIDEHSWVLKEGRI RFINTITLLDCAFLFFANFPMRISFSEMNFELPCEEQLWASSHPFAEKNFTANRNVTL FEAFQSLFGQIKPEHAPVQATKGNPFALNPMDMFTLIHLIYVNAHTQITQYPSSFPRS PSDSGTSTPANHPEPLPDSNITMIKGALSRWRSLWINIRACITSNSWDKIGFFRNGFN YWLVIQLLINNKGSADILMGMEVGCEDALKQLRGLLRDGGGET LY89DRAFT_736503 MANSTLTGKTVVVTGGASGIGLALIKFFAQFSTNCAILDISLAT ASTLLPALQTQFPHSRFAFKKCDVSNWEEQRSVFEEVYREFGSVDIVCANAGVTEVGK LLVDEIDDEGGLLKKPNLKTLDVDLVGTIYSIKLAVYYMRKNTGAQKGSIICTASNAG LYPFPMAPIYALSKHAVVGAVRSLALPLQADGIRINSIAPNCIETGISDENLFAHMTI TPMSTLLNAVGELAMNESLSGVTAEISGAKFTFRGPPEFVDDISRQNMDAFWALGYA LY89DRAFT_752465 MGLGVLEDHQLEHVPGTAPLNELGTEIYNTSGVDSSILKHDPTG QIVLVPQPSDSSNDPYNWPKWKKERFTIAFAFSCGAVGAVGPLLSPAFVELSEEFGIS LSRFIQGCQGAVIVAIAFGSLIWNAVAVKWGKRPVYLITTLGLMVTCFWAAAAKSFGS LTAARVIQGFCMGPLEALVPASIADVWFVHERGYRSAIFNLGVLGGINLASPITGGII QASSYKVAFYAMGGAFGIALIMIFFWMPETAYVRTGAVNLDTGSNNLTVAHKMDAEHV ESEEKTTTTKTPTTNASSEPKNSFAKEMLPYSGYVNHVSFLNTIFRPFVMLGSPAVLW ATLLFTTCISWLVGISITLSQIFSAPPYNFSVTAVGLTNLSSFVASLLGTLIAGPLID GVVRRLSLKNGGTFEPEFRLPIMVSYLLFTCTGFFAWGQSAVALSPWPVPVVVGLGLI NFGIQLGTTGVVSYVVDCHREKAGEAFAAMNFVKNMFAFGLTNYLNNWLAEQGTRNVF FVIGGITAAVSLTTIPMYIWGKRARSWVYRHDIAGKA LY89DRAFT_736505 MPDFNDFVLDASWDAASPPPLQTWTRAPQGQNIMIGEGSGQNSS PFSMTAQVFTRKCSEIGFSQPFLDKLISRAPLFEYRFVFPGPTDPTATAVSPTHLEVA MANFENDSFFCLFRYGLIDRRSHCLLFLKTGDYLKKDLLKATDFIPWLDNNQEVLQRH PMLILNVILAFIQGREYEFVEWRMDLYDMESRLGVTRDGTYLNSSGYASIDHDFRLLN ADIAGFVKKVADTELSASTVLEHAKSVQRLMGICEDLQSSPNNQLRILSEQREELQAT IIRAELYLKHMKMARDVLQSLTAVLYNRISKQDTDSMKTIAVVTLVFLPATFISAIFS TGIFNFHATESPDNPRTVSKYGWVYLIACLLSTALTLISWSCWYRWGRVWLEKLNFSR IHSHGTRKSSNSGKRQLWRRGIAALPRLRRAPNRRVNTDVENPLRNPDPPEELHNMTI PNIGVSPQQSGNENP LY89DRAFT_784411 MALYYGGQGFVKYTSPLCISWVSFMPLSPPLSANFTPHNEFNKD NSATIIKKCRRDGRHTNPKLGNDRDVAITRRLFHALCKYVQNFQKLVMSSDKHASLPG TPVADVGQKVTGHVFLRLPEELRLQVLEYFLVAKQPITNPWMESDLDGDNGENVHTWK KGLDISMLLVSKDMHRLGIKLLYGRNTFRFTRRPLMKVRGATSGEDDSRRMQPVGAMV ANNFRVYMILSGFWVKEYRFSGVFTKRLLNDDGSLPTEDENRSDLDGMGGDSQTVSEA SDHGEELLSLVEEDDSSDADVEGVSGHSDNDNSETQVEAVGIEG LY89DRAFT_736507 MSSTTGPSIITDATSISAFNRGPIPTQFSAPTSCLSTLTSVNGA GGLYFGHNGDEYFDTACFPTPTLTTSSVQWNLYYYSPVISCPSGWYTAAVATSSFGGL SDTYLSIGSATTAALCCPSGYTALNYDHQCDSQFPLGTNTILYKNPSSAINGDWVVPT TVDTALYVAATASATSYWTVFGDGIPIWFEARDLSTATQTSTPTPTTSPISSTTSATS TGSSGLTTGAKAGIGIGVSLGVVTIAALIGWFLFRRKRRYDAVNRGTPVRELESIGSE KRNSELPAQDVSRPVVHELYSDEGVPVQQRVLHDNGPSEVAGS LY89DRAFT_140576 MPKHNLITISQALRSYTPSFGSDKELKVITSSLSTNTGEKAKTA LTGSPPFAELVFHSIAICIIILITYLNITNRFWQEVQESGPVSDNGFHFTQSSELHGL QFAAKLHELFMQASLSAMVLYYAHRLLVGTKGVPFGLIDFPYNSNSLGMLRHWRFWQT GFFSTQYLSFATLLLVVCILSVIVGPSSAILVIPSPGWWHNRNPFNGQNMTTYFLANT TDIWPSTFTLSAFDPAFVSASLCEGVPTDPIIGCPLQGFPEIISWFLGYALGSQSDNF TVSEVISNAYRSVSSTVQNASYAYQMNNLSATTSYTTSLTDRMVLSLGTFWNYVQRSN VGSIGEVSKPRLRVKSDIDTYQPLVHVACQSYLYDGTQTNITFLPSINAWNAGSYIDE PWLLDGQSEILDNLPSITPRFSFYKDTRVNSSTSLLTLAIIPVLSSNSTDHAVQGSDI LSCSIDARWAANEITYQPKDFGSILTNLTDPSIFENVNESSPQFTINEYGISNRPIDL ELDWAGLLNYNWTGDTANDYEYNGYIPATTMTGLLFIPLVNASVGTNISTFQISNDTS MDYYKTIESTIATLLGIVITDAISRTSSCAPVPYLDINQTYNSLLDLTDLTSAPTTQY ATELNKPGDFILSYDVERYGYGYGLNSSTSKAALTALLFYSVMVILHVCYVVMLGLRG RYQRTTAWGDIHGLVALAKNSAPTDSLYGTSAGVDEKKTWALKVRVREVGCEQLDLVF DDDPRLYGGSIRVGKKYR LY89DRAFT_140532 MIDAQSHEAAACLHPPKFTMGQSPSTTRQRGDFEKFLHLDYDVR FMIWRLSLPGPRIVEVQHSHNVEDPSWISTCHPPPTLQVCRESRMIALKFYTFCHSDR MRVYLNPSIDTLYFGPFANQHAFAEFVEHADPDDLLSLKKLAINEMYLPTWRSGPPWT TFPSIGDSPSKILTGLTRLLIGVEIESEGYPNTAKLHAVTWEGWLFGGGPWTNEEMET LNGNDDPNLIMSAHSWDGHLFHIDTRGFVAAYSGSMAFQTAIEGHFRHKLLKFYYPPD PDRLGLRKQNRPHLTSRILPPDSYVWSWYRRVYRAVARADARVKRIFEMQKRENPLNL DIWDPPRVASFVRPAGSFLSWKQCLEPVFDAWWSSYQDLTRFAIAGKGKGNCIDEHGD IDSTVNTEHRA LY89DRAFT_140531 MSGSVLLLERTSKTSKSHRQSPKTYLTLLQGWPKHKSLCKIYQS SNPPPSNTYCGLCGNTDKLTTTKCCGRTICDDQDNYQMFTYSNASCDRNHSRYTLCSS HHKERHGNGKWQDCEHCRKNFTEDESYVGQGTSSFNFKDDVWENAPSFEPASCKTCNK RIKMGSEAHSYGPNGLTCVSCIGGWDVINKGTRGED LY89DRAFT_784415 MSIPTATLKDTPSSSGATYPPFGCQTPYAEPLWYSRNVSPHYTE SHRKLRAAVRSYVDEEILPYAFEWESAGKVPDKAFRRHVELGYIGLVTGLSNIRLPGD IPLEEWDSWHSLIANDELSRVGYTGVLWGLGGGNGIGVPPIVNFGTPAQKAKFLPGVA DGSIRFCLGITEPDAGSDVANIKTTAVRQGDHYVVNGSKKWITNAIWADYVTAAVRTG GAGASGISLLIIPLKVEGVTTRQMHNSGVGASGSTFITFDDVLVPVENLIHKENRGFE VIMSNFNAERKSIATQCIRLSRVCVEDAWKHACTRETFGMKLIENAIIRTKFVKMGRM IEPAQAFLEQLTWLIEFSRKNGTKDSSAVRIGGMTAMLKVVSTRCLEKCVRKAQQVMG GLGYARGGKGGRIEAISRDVRVMAVGGGSEEIMSELAIREEGKDLRKYASAKASL LY89DRAFT_736511 MASTASAGPVDRSRQSAMIAVSVIFTFFGLAALILRLIGRFVIT RRLGPDDWFMVAGMIFTLGYLIEILYGLKWNIGLHGSEDSLNDAVHLLQIVYSIQLTY NTVIYLVKTSIVVFYLRLATVDSSLRKSSLAVAAFLTLFYISSQTTTTLQCLPVQSNW DLVGKYTKKCINTEVYFYVLAVLNIIVDIVILALPINTLKDMKRGTRDKVVLFILFGV GGFSSISSIIRLYTIKVFTDSKDPFWDGVPINIWSMIEINVAVICASVPAMKPLFTKS IRDRAVTQRTQRSYNHQMMPLSGEERSGSALRSGRFRDRKEPTYSAHATGGTMGGSEE HIVGKLGGIEYEREFTVEESYIGSSKVLPQAKLSSSEKSMRS LY89DRAFT_686944 MFVLLFWSVSLCFYCAFGLLLLRSFPCIVEYVSFEVVVLVYLLA FWAVSCIPTFWTKQTVVAEMEKDGEQKSVENQEIQTLEKGIDVTDTEHAPDSEHRVGH QADDNIHVSISWRTWLVVFISCFAVMAQVFVVVGASNVIAFIVRDLGQPALVGWIIQG PLLVQATLSPIIGRISDTVDRKYLASIPPIIAFVGAVVSAKANTMPTLIGGGILIGFT LATISIVQAIPSEVLPMKYRPLANGFAFVAGSLGGLIGVLGGGGLTNASLNGWRGIYW VQAAFHLATSIGLLAFYWPKRPEGREKLPLGAMLWSFDPIGSFLFISSATFLLLGLDW AGGTYKWHDAHVAATLGVGGGLLLMFALYEWKGRNDGLVPHVYFKGSPNFALSVFAFA VEGWIFFSAVNSVTTQIVLNLGFESSAWRISVRQLSFNLVNIFASIPIVWYSTKFKDM KVPLLITFLCFLVVSICYATITPSMNHAQIGYNVISGFGQAGPLTLLVALVQFTAPHA YLANATGLAFSARAIGGAFGSAVVNVIINNKLASTYATKVSGAALAAGLPTTSVGPLL IGFSSGNFTAIPGLTPAVLGAAVDASHNAYAAAYRLAWASIIPFVVLAMVAVACLKGV KDLMTEHVDATVEHVHVVESKA LY89DRAFT_620980 MAPVWSVLLGLLPLVSAQGCPFSKRGSTSDLFVRQGGDEPSLTT LQTSFGKCPKISDAAGGGTRSHDWWPCQLRLDVLRQFSPEQNPLGGNFDYAAAFATLD YKRLKEDIKDLLSNSQSWWPADFGTYGGLFIRLAWHSAGTYRAVDGRGGGGMGQQRFA PLNSWPDNANLDKARRLLWPIKQKYGKAISWADLLLLAGNTALEEMGFPVLGFGFGRP DTWQSDESVFWGAETTFVPQGNDIRYNGSTDFVGRATHLEEPLAATHMGLIYVNPQGP NGNGDPMMSALDIREAFGRMGMNDSETVALIAGGHAFGKAHGASSTALGPPPEGGSLE QQDFGWANSFGTGNADDAITSGLEVIWSKTPTKWSNDYLKSLFHNTWTLVKSPAGALQ FEALNSTVDYPDPFNGAARHATMLVSDLALREDPIYGAIAGAWASNFTLLTDAFANAW FKLLHRDMGPISRYLGPEIPQQQFIWQDPLPKVNYPCITPSDEATLKQQIISTPGLTV ENLVSVAWGSASTFRGGDKRGGANGARIALQPQASWAVNNPKQLQKVLSKLTTIQTAF NSANHGGKQVSLADLIVLGGNAAIEKGAAAAGYTNVTVPFTAGRVDATQADTDITTFE YLNPQGDGFRNYRNYTGWSLARTEELLVDKAQQLTLTAPEMTVLVGGMRALNANFDNS ATGILTAEPGTLTNDFFVNLVDMRTVWTADSTGELFTGKDRTTGKTKWTATRADLVFG SHAELRAIVEVYAQAGGKDQVVSDFVAAWAKVMDLDRFDVKK LY89DRAFT_686948 MPNILIIGATRGLGRSLANLYAAKADTTVFGTHRSATPPAGLHE SIVWVPSIDLSEEGVGRKLVNQLGMLGGGGGMVEGGKRVFDVVIITAGYFATEDWTNG PKWEEEVRMYTTSSIAPPFIVHSLFRSKHITRGSKIILVSSESGSITLRHAKEGGGNY AHHASKSALNMVGKLLSLDLKDEGVIVSIVHPGFMRTEMTKGVGFDKYWDDGGAVTPD VAAESLIGWTEALGIEKTGQYWAPRGPRDIGTADVTMGDNLPTPLQLPW LY89DRAFT_686949 MKITISAMLIALAGLVSSQNFGAEPSCAIPCLTSAFSVAGCALT DQACQCGTGQAAIQTAVTPCLLSACDAADVNSAASVGFALCSAFSMTAGANATTTPSS SSHSSTSIIMSTPSATTSTVTAVTTSVGSGVTSTATTTSAKSSGTGSGTSSSASAVSS AGAQKGQMMAAGLGGVVGVLGAVVAAL LY89DRAFT_536659 DDLDDVFGSAPPSPTFEHGNLEHSDVPRLKEKHETEGYRDGVTK GKAESVQAGFDEGYGLGAMLGLKIGRILGVLEGIFKAVLSAEGEEWEGEKRRVEVVSG EAKKELGTERVFAREWWGEDGVWRFEVPGEGEGIEVVFEDVAGAHPLVKKWEAVTEEE VKRWGLDLGIMDGEE LY89DRAFT_708792 MKTFTLLLGFAASTSANIIFNHVPLQCNLTSDQIYHGCLRGQRC TERGEQNPVFSTDGTCGPNNGGTICNPDSTVYTGQCCSQYGWCGNDTAFCGSTPQHCL ISNGCQNGCNGATTTTTSSTPVSTGTAPRSDGRCGTAFGGATCDPNGPYGGCCSEYGF CGSTPAHCLVSNGCQSGCTDGQPGTSTTASQEPVIGPATTTMAPETTATAAVTTDGTC GSSNNNTVCGNWPKGNCCSMYGFCGNTTAHCGAGCQSGNCVSAPAVAAPGPSPAPLAP NGGSFTIVGQSGVPAMHAGLMPNGKVFFLDKLENYTQLRTADGYYAMSSEYDPNTNTA VPLAYLTNAFCSGGTFLADGRVISVGGNAPLTWLDPNIGDGFTAIRYLQRSSSDTSLN GQSWSEPGNKLSSARWYATAQTMPDGTVFIASGSLNGLDPTVMANNNPTYEILSPDAV TQGNSILMDILHKNQPYYMYPFVHLLNNGNLFVFVSKSSQIFNVGSNTIIKELPDLPG DYRTYPNTGGSVLLPLSSANNWAPDIVICGGGAYQDITSPCDPSCGRIQPLSANPSWQ MDSMPEGRGMVEGTLLPDGTVIWMNGGNRGAQGFELMSNPTLEALLYDPAKPLGQRFT TLASSTIPRLYHSVCLLLLDGTMLIAGSNPIQMPKLEADAVDPYPTEFRVENYVPPYL QGENANRRPTNIGIPQSVQADGSIFFVTFNVPSGAQGCKVVLYHGGFVTHSVHMGHRM LELDFGGWAASSTSQTLVVTGPPNRNVAPPGPYVIYIVCDGVPGIGQFVQVA LY89DRAFT_671826 MSLNPNDDRRRGRSKSPGGGRRERSRSRVEIDEYEEKTTTRERS RVRVPSPPTSSRYDDDRRGYEYEERDTTTIRRGDREPAKIVTAEPRDPRGSSHSLVDP RGSDPRLIDPRGSDPRLVDPRSSRESYNQYRDASPPSASRGYAVPGAFKTTVEEEDVK VRYGGGRGGREKEYYESKKFSSKDDLAYGESPPRSKYQEVDQYKYTDSKRDDRDSRRY EDEHGRGASFSAHAGHHSISAGVNVGHGAPQYVQPPDPSAYQVPGGYQPQVAYPEPGR HYNDAPGSKYAETPKWEYAKPEEKITYSKVQETTYGRPGEYGSRHGDPRYDSKTKTET ISYEDSQYRDDRHDPRYDSKIRKESVTYEDSKYKEDSKLRTSKTQTISVEPGSRRREP SPNPGLAPRMHSLSVSTGHHGGAALSLAAAPGSPLLEAYHGTYQSISPMPSPLMIPSH SHTDINLIEPLSPSGGSSDDDRFPSKKKRTARFHDPVDEATILAKALKGEKRAPDTQP LIEILPGLTHEQVLDLRVEYKRIVKTGSEKKGVNIAKHIKMRLKEEDPNLMKACYACA LGKWESEAYWANFWYQGEKSRRELLIESLMGRTNAEIREIKDGFSDKKYSDSLTKCMK TELKEDKFKKAVLLVLEERKMEERPGYAVDRGLVEDDVHDLYKAVRSEKGGETAMINI VVVRSDAHLREVLREYEVTYRANFAREMLKKSGNLVGEMLAHILNGVINKPVRDALLV HHALSLSKSDSIRTELLISRLVRYHWDRPHMEAVKREYRARYGVDMQKAVAEGTRGEW GHFCEMLCVRRMGDEVRRVERVEEYRVDIRSKCWYLFASLFTHYAHLAQIAHFAQNAH SKWSLTF LY89DRAFT_590203 MDRLWLFITLALILPYTSALRIASALNTIEYTPELIASQDYANG STFTIVNGQLANLVSDTSIDIAANAETQALRQFATHKNVRIIYTVAEVYYRIAANKKT VSSAKDLKGKKIATFPGTSAAYFVERYMASVGLGEGDYTVVTGYPCSAAPCGAGTFPY MVTHGSADAVGMWEPSVELAIQALGSDALVLQDRSVYREIFNLHSTTEKLADPTTRKS IVAFLRALNQAEKVFTNDPEKIWPRVATALSMNTTILKDVWPIHSFNGSLGGTLPSDL LSVLVAEDQWVAKQDRRTAMSQSDLSALIDESVLKEAMQNP LY89DRAFT_720787 MRASTASVILAFCAMLAVQAVPVSLTADALLAVRELDLADALMV RDLPLEAREVEIEERDPKKKKKAGKEGRDAEIEERDPKKKKKAGKKEGRDVEIEERDP KKKKKGGKKEGRDVEIEERDPKKKKKGGKKDARDIDLEEREPKDDKKKKKKEGKEARD LEIEERDPKKKKKAGKKEGRDVEDVE LY89DRAFT_589944 MLPPSEDIYFRNTAFFASGNSSLPTPADVRRAAGPKATSNRPTP VAFSALNLIVKYGPTITITEGQCLWAIKHLLPSVPVPEVYGWCREQGETFIYMQLVEG VTLEQAWPDFDIEHKYEICLQLQRILDDVRQLKQDPTSPFIGDINQEPLHDVMFQTNQ PAGPFSNVPAFNDWLATLRCRAPPGVDVDTGPWRSGLLDDIPIVFTHADLYRSNIMVC QDANGIPRITAIIDWHQSGWYPASWEFYKTRLTCKLPANDQWELEFVVEFLQSYRGYI AWEYLLQGVGL LY89DRAFT_784427 MAKDPSLAYLRQESDRLFTIGSQFSISNDSGSHSVPRMSPSDTT ANFRILNGEVMGNSKKRSMEYLMGEPAPSVYAANRDTHYTSFQPTTTGMGRKRPKIRS DSDEQIPDMSGVLSDASDITTYFQCPYYCKDPEKYNLQVRKYRSCGSKGLTNIARLKE HLYRVHRVLQCSRCVTKFQKYEELNLHTCPGNMHQLFSSSELNIDGITEEVICRLNKK TMSCRLSKESWVDIWMTLFPGEDVPKNYFVRELKECRSLLGPLEKYEDYLRRELPAVF RSTLEATKNAPMSIPDRDIADILRDCQEQVFVQYQMDRSSRPPHFTTPLHVPDLPDSY FMPVDLSNSSQDEGPRKYQMNPFSCPSRYTPPRLTDLFSSYFLPSVSSYSSLFEGSRK LGVNDTIPGLETAPVYSSIDVDSADLSPEIRSTIRAESLSSIPSQERGISEASSQEPE ISTQSTSSDLSTLQPQGAMKEPEITADTADHEVHETLEDVTPGLDLVVDSTSPTRDLR FACPYRKYCPSKYNTKDWGSCALTPRSTIARIKTHLYDCHSIHQCQRCKSIFDNERSL NDHIKSIQSCPVIETEEGGKDGINRSLKDAIHGKKKRFKGQTKEQEWEHLYGLLFPGS SLPSSPYWDDPDQQGDLDVKKYHVYLVQELPPAVKRSLENQMGNDLTAEEQRFCDQAV ELLPIALKILHDTYRGVYDPNPSQDDLATNKFTLGSSGVGTEQDIINASPDIDLDIDW SCLESPQTQKPMTSRVPFSSDSAYFSRNSSTSASSPSQSTSKERSRSKRLGDTDAERQ LSNQPLLSHFSVTDAMSGQDFYPVWTNEPGTLTSPPNPMIDYDSGAIPLSGSSVVGNK ASSIFMDNTLASKLSEMSDETLAGGLDGYPLGCYWGSFLDSHLF LY89DRAFT_784428 MDSGYGSVPPSVSSEKSIKKTEPKLTDTTSIDVSEELEDICDII YPRYLHTQKFVRIRRRVPESTRRQAMVDRVMKNFWSTVFAREWLLQFTTCGTSATSGK RSKSAPKKTQTTNKSKSKSGTQNSSLLGLGQDSHKRKRVSTSEDEEEEEDRPKKLMLG PFEDGDACIPVDLGFACPYRKYDPSKYNVRDWGPCALTSRPTIARIKSHLYKYHYIHQ CQRCNGIFDDENQLDDHINADEAFIRVQSLPGGVDGINRLLKELIQPRTRLFQGHTEE QKWEYIYGLIFPGAPLPSPYWETPELPDRSFIEQYNAFLITELPLAVSRALVETEDDD PDPTEERMRDLAVTLLPGVLNMLYHTYRATFDPNPSRDELGSNVAVRTAEPTIEAHSP QPVYESSQGITETEAGSTLVQSQSSQTMRNTTAAVPFPTDSAYFSLNSEEGDSFARIL EDGENTSSRAGEQNHINSSADPQQSTTSNSDTFEDHLQTALPSLPSQSLVQNEQITIS GDNYPSDPPPHPPSQTFGEGLDTTDWSEDDWNAFFNQYIIT LY89DRAFT_736524 MLLLDHFENGTQESWGVDNIVTSQDGSRNLMTRMQGLLSGHTFE SSIKPSESEYRRLRCSLEEMVKSLGNLNLSWDQSCRDIVKSLVAGDSKIMPHNNYYLA DTRTEKDNSNLYEQSTAFPVETPFLPSVSSSSKPGIVSLATKRATFTPAEAIVTGQDM KVLSHIPTSIEDAVLKGSLEEQKHYLVDRVIGEFWKKYKHHFVSYGNVVSDSSSLPEQ EYNNNSSISSITDPVSIASAAAGDGPPGGDNGRKGYTNLSETPSNRIRDDLFACPFRK RDPAKYNVHDWQTCSLNPYRSITLVKQHLYRSHCVFQCSRCKITFDNKEQLETHQLDA TICELSSAPLSDGITSDIQQQLMMRKPGARGAVENWMNIYRILFPTAPLPNSPYFEPP SEEELTLENTDRELDDYESYVRSQLPTSLRSALEATPNVSMKEPFGMSFEDLLQECQD ATFARYREEKLASPSVPPLRSDSVDPTAISSVHVHAHSDVEFL LY89DRAFT_736525 MPQSQHSQRSLFSLSTFVTTTKKTQKRQPSGTMEQYIYDTDNIP DHLIQGISPLKDERILKAQKAIAKVDKVFKECNDVAN LY89DRAFT_589837 MAGGNAAYKNAYVDFAHIQDPNERRRLALAEIDKAPFGWYHVRA VVVAGVGFFTDSYDIFAVSLLTTMIGYVYSNKTKGVLPTPSDTAIKVATSAGTVVGQF GFGALADIVGRKRMYGLELIVIIVATLAQALTGPGPGTDIIGLLIFWRVIMGIGIGGD YPLSSIITSEFATTKWRGAMMGAVFAMQGFGQMTGALVMLFLTLGFKESLLTAKSAAT CTGVCALAVDKMWRALIGFGAVPACIALYYRLTIPETPRYTFDVARDTEKAKDDVEAY IAGKHEGIPDTVAQATALRESEQSMAIPKASFRDFIAYYGQWKNGKVLFGTAGSWFLL DVAFYGLSLNTATVLTAIGYGTGPHVYQILYNVAAGNCILICAGAIPGYWMTVATVDT IGRKPIQLGGFIILTILFIVWGFDYKHMKPHAHLAMYVLVQFFFQFGPNATTFIVPGE CFPTRYRSTSHGFSAGMGKIGSIIAQAGIAPLRTRGASAKAGASPWQNHVMQIYALFM FLGIFTTLCIPETKRKTLEQLSGEEPSLHGEGEHDHHHDVSREVVEGKASPVGTEEAA VDA LY89DRAFT_650346 MLSTPHSEPVSKDKIDAELKYDPFPDCNDCLRIVRDTESSRQRG EDGARARTFSENEDSWSASQIFHSDCVTCTAREESETPLCTSCQHLRLEHLSFCMKVE DLPENVPVPRSEVDASCPLCQIFDYVAEGKSSAQREPLGYPMYLTPTRWRDQEVQWRV TGERWGFNGTGAFLVVQRTERGAVMSPMLQDETIHTLKYCLQDCSNEHSFCQAPSDIR LPEKFRLIDIKDKRIVLRSDSDISQGYVALSYVWGSLRDIGSGSSTNSNIAQMSTSMD ILELPKTIRDAIQVCEKLGERYIWVDRLCIVQDNEDDKFDQIHAMAGIYSAAKLVIVA ASGDNMDAGLSGISQPRNPQKSQIFSGIQVNVQLAPMWDTVDKSTWNSRAWTYQEVIL AKRKLFFTEAQVYFECVYRINHEETLAYPSFDPSFHDKDHYPTSTTSLTYNDLGWSKD QVSSTILSSTGESSWKAYQRHVPRYRHRKLSHESDLLNALTGILNALYPESDIYHGLP LPELDIALLWTRQADWLRRQDKSEAKLSTLFPSWSWASSPAEIPSLYNVDFEFYGTLC LWFRPRPTDGQRCELEVVLANTKAAASWRESTGYLNDNVIRVHMARALQHGLVESWIE DNAIAGQWEKPSAELETFLQEQWPKYPDFWNDVFGRDDTLTRARGKLQGISDKLKDGL LVTRAQVARLKIKGSGTSAQILNDAGEKVGWYVDDTNHQVAELQRNGQEKFEFIALSV SGSGAEATQKNAFEDSEGNLPKVLPIIKAMIVRREGDYYYRIGLTQIYMKCWVELNAP FQTVLLA LY89DRAFT_784432 MKMTYYILLHLLFLPTVFSQAATFPQCANTCLSNFNNGTNTGDC ANSDAACICASSDFQSGWACCLNNGCNATDVSSAVSTMKTQCPNVPTIGTCTVDDAQT PGGFDGKGPGGGGPGHFGGGPGGGFHGPGGGGFGGGPGGPPGGGGGGGGNAPSPDPTT VTAPASTITAPADPVTVTTPVIINNPVTIASPVTIQSQVTVTNAVPVTSPATITATLL QTSILTSSQVVVVTSIISNVAASSAAPSTILLTSTSLVEQTSVSSTETVETTETVSQS TFTSVSSSAATTSFASIPASPVAPILGPSSTPAPSQSMGSGAKAGIAIAVLIFVGILA TGIYFFVRWRKRAMDKEFEVWKKEQYDALQDAKQKTAPVYSGVRTTVSSGRPLYRDIF DKPG LY89DRAFT_752521 MCHYNNAQAQTMTSTSTVYESTTTLQCISPSVAFSATTEPASAV VTITVTTGGPSPAANKMARQTMPGSPARELVHRDLVTDYTTITVPALTSIVSPCVTTI LSTIPGQEITVTNFVPYVGPTPTKSASSSSTSSSTSVTPSRTPIPSIITPPPGTPTQS SAPSSIQTPTTSIQTFSKGSSFTTTLGTNPITILPLSGGSSALVNSATLSVGGSATSI GNIVLTYASSGLVAISTPSPISTSNSIVTTMGSSPLTIIELPGEAIVDGTTLSAGGPE ATINGVEVTYASTGLIAVETGNTISLGGIIMSIFSYTELDFDAWRYSNSFGNGLYPSY KWDCTSGFEHTDCGTDESLAVYRNSIEEGDVLSISYLDADERYTSSSVDTLKTCVKLG VAYCEHLDR LY89DRAFT_752525 MWFKSLVARKAVNPTPFSFAPSQFWEGNDGTWSTFIVRIGTPPQ TFRVLPSTVGIQTWVPAPEDCALLNKNVEDCSNLRGVQLFENGWTGGFMVNESSSWDS IGLFQLGLENSLNYTGNGRYGFETIGLEIQNSGGLTLQHQVVAGTEGLDFMLGIFGLG PLPTNFSDFNEPQPSYMYSLKNQSLIPSLSFGYTAGAPYRDKEVLGSLTLGGYDSSRF KPNNMSFTFGAEDAGSLLVGLQAIQADNALDGVVELLPKGIISLIDSTVPEIWLPVEA CALFETSFGLVHDPHTDRYLVNDSIHSQLQTLNPSLTFQLGNDVYGGESINIVLPYAA FDLQASYPLYVNTTNYFPLRRAAKDSQYVIGRTFLQESYIIADYERQTFTVSQAQFSN NATSTIVAILPPSTSKSSKISHAALVGIVVASVIVGLLLLLGGAFVVKRSRKQKHQTQ QAEEETETKLAESLHSPILLEPNVAQLPGSEAHEVLGSSNFSQELETANFVHEIGGNV LSELHGTSRH LY89DRAFT_736531 MKYSLTKTFAFILFIFGTFTLVASIPVDGDQSLDLYDNTTLPST EALPGGSTEIKSIFAARVMGVFRRDCVGFINRRGYENEARTVSNTLLLTLMLFFGHSI SG LY89DRAFT_671837 MYFLLELFPASKNLLAQSSSRDSSSASKYKFRLPSTAKRKYLLR RPQNVVYINLKVDILWLTNADLQEFQLMLDKPAEARQHLSGSQLCRRIAVPWKCFDSI FKPIATVNAEHSSLLRSLCRARLQEVMLVLNEHQRSNRDKVVFSPACHRHPILGGVYS FGIFIHSLCKNVDQGLNNTNAEYRRLESPGKTELEILGGKVLETFERTRLQKCKEVQD LRDLGLRPDEFDKELEKKGLIGYEYWKVPAFRFVWACSTSCKEARL LY89DRAFT_784433 MAFFAPLIISIILLAAISSYTIRLNQNIKLAKQIGLPYIILPWH TDTVTWFILAPWTIPILNHCLPSFLTRKWLKFMDPFWTWHLRYSPFQERANYSFLSVS AWGLALNTCSPEIIAQFGYNRRDFSKPIEMYSIVETFGPNLISTDGPHWKHQRRIIQP SFNEANNILAWGETVFQTTALVKKWVREMDTLGKDEYLNFHDDLRQLALHVVARGTFG ERIFWANDNLNAKHGNTENQSKHSMSLGQATLAIVSDLKWLFILPPWILKWAPSKHLN KIWMGYHEIDRHMQERIRNRQISRNEGKEERHGHDILGSLISTIQKSSSHNDLRLGLT HQEIVSNVFVSLMAGHETTGATLFYTLINLAMYPAWQVEVQRELDAIFGDSGTETWSH GEYMKKLQYSKIDATILETLRLFPPNGLIPKHSALDHPSILRYKEKDITIPPNTRLLV MIVSAHRNPHYWPPPNGSFAVDQEANRQDTNEYRPERWFVRKSIAEAYGGKIVDSDSV NSDGQHGFFVPCKGAFIPWSIGARQCIGRNFAHVELLVAIAIILREWSVELVLDQVDR CDEETGKGRWESARMRAEERLRDGMYNYITMQLGNGLIPLRLVKRGDEGVVV LY89DRAFT_686959 MPTPLGDTLPSITVHSQSSLHNSHLPLHHDAQFPHAQELDELSA EGQRHNRNPSISSRKSQEDTAHLLATDSDDEDSSMGTKSRRPRSQEPKGSASSTGGQN GHANGNMNSSVEYRRKNASLESPTVTANLMARDSFSLDEHVPRTPTINNHGFFQLPMQ DRRNFGLLVLLYFLQGVPMGLATGSVPFILKGDNLSYSALGVFSLASYPYSLKLLWSP IVDACWSPKLGRRKSWIMPIQMLSGIGMIWLGSRAKQMMATAASDGGTGIWGFTGWWF FLVFMCATQDIAVDGWALTLLTPGNISYASTAQTVGLTAGQFMSYTVFLAFNSPDFAN KWFRKTPLEEGVMTLGGYLTFWGWAYIIVTVGLALLKKEEKTKNEDGIWDVYKVMWGV LKLKNIQTIIIIHLIAKIGFQANDAVTNLKLIDKGFSQEDLALTVLIDFPFEIGLGYY AGKWSTTYTPMRLWCWGFVGRLIAAIIAQITVVIFPSNGVDTWYLLVVIAEHIFSTFT STVMFVAISAFHARIADPVIGGTYMTLLATVSNLGGTFPRFFVLKFVDFFTSATCIPP PSTYKLDPKLRGPLVTDSFSCALSAEKDRCIQGGGICDITRDGYYVMNIVCVIIGIVT FWGYIKPAAIKLQSLPLRAWRIAEGAS LY89DRAFT_784435 MLPSTDDSVLEDTIGAQLDSEIASLKAQVQTLKTQRKTQSTTII SSRTTQTILSRLRASQKTTSTRSSQETDISPLLSTSTAQLAHNQENLYRSCAGITTFR IRDPDPNAVDDGNVLGIRFDVSTSGKFVRPYYVMLNKPWAGKTLLRIHRHTVPSCIPL ASLADRYLPHGKGAAAMGDKESGAKRQDLTRFVRALRREIVGYHNRVSVIKGLRKEFK LDEKVSRKGKERERVIADISVADAEAKQVRIEWVDGRIGRAVVDDKGDVKKCVVIGEE GRDREVERRVCAGGMEGIGERLREGIY LY89DRAFT_671841 MKASIVSALGLVAAASAWNQSTTSTVYTTSVYTVTSCAPTVTDC PAKIGKVTTDIISLYTTICPVTETEKTSSAPMTTYTPWTTSTVYSTKEYTITSCPPEV TNCPVGSKTTTVITSTTSCPITTATSAPYTVIPPPVSVSSSAPYTVVPPPISLSTSSA PYTVVPPPVSASSSPVLSTITISTCVPSYYTSVITVTGTPASKTWVSTGTGAPVPPKN TTTPYVPVTGGAGAVKVGGAMAIVGLVAALL LY89DRAFT_736536 MENVSFNKIKAHGEAVDPQPSSFHTWYWVHDQNERSHVPEITYL FSEEDRRQIQANPAASTRSGRPFKANVPPPLEYNDFWADQGSRELDGYIVRRDIASET LEQRKRRAIKAEKLEERRVRAEALQRKIHWAFIDAKATELTEDFLRALAAPVKSEGDN WILRWYWSSGLSLDLTMEPDQLRNAIWPDQYLSNRYIFEAGYEERCRKMDHIEDATRP TTPLKKQRMCSPTRITQMGVDEDKALDEREPVLVHYYNMIGGAYVIPARRARQQESFE RSHIRSSSRFDLVNGPVRTTEEENKTQDSQQATERPPSPSQRKFIEVGLALMLKNSKL GKVKGFKIKEEEVDVEMVDREQSSAVSAIDEIKTEDTMEIKTEDMMEIKQETRSTVKE ISSVESAWHDIA LY89DRAFT_736537 MFKKLPALLILATSTSRLLVHALPTYSDKTIIWYPCGDSTTIPY SCGNLTVPLDYTDHSSAKTLSLSLVKVNATKQPSQGSILFNPGGPGEIGTSFVAATAD VFLAVTGGNYDLIGFDTRGTGTTLPFSCYASDDERVIFVLKGPLTTNASATSLGESWA VGQSLAQNCYDNARDVGELNWDRGTVLGETVAAMFPERMDKIVLDGVLNPTQYYEGRD IQELAASEATFAGFFA LY89DRAFT_736539 MARYYAVDSPEKLTNFMEDQNRFLRFFDELWEKGIKPRKDKGNL AGPSLEAVKAAYHIGEINGIKRYTGLDVDKSDWVEEDYAATAYTACALNRLWQCFLRA STERSRKLKLAEEKASKHGNRRKGSGKNVGGSGGSRNVLGLSVGTPGHNAGGTNESPS ARNASGSSAVTPGTGPDFTGTAFDDINPRPTKKRKT LY89DRAFT_141367 MMGPPNLAQLLVLGATFGFADARKGGFFRRAAASSSSCDPVTTT IYPTVTVNGGGGVTSYVSAGTITEWVTVSATDCQSSSSLSSAAMSSSYSAAISSSAFA SSSFSSGSLSSSIYTSSLEISSSPASSTAAASSLMSSGASSSIYSTESSGAISSTYSS QGSSASQSASQSSSQDQSPSSSQSAMESSSPSATQSSNLAASSSQAAVSTTTPPPPTY TTPEGPFVEQGCYQDPNDSGYPLENVGYQTQVTDVTECLNDCSAGDYTVAGVEGTTCF CSNSYEENASTSDDPSCSTPCTGNANQVCAGMRKRSLGARSGKAIVVYKVVPQSSTSA AMSSTSCNLPDVTTTITPSASPSAVTVTVSASGVTSYLSAAGSAVTEFVTASFCSSSS SSSAAPSSSQALSSSSAQPSSSASSSTAAATSSQSLSSSSSQPSAQPSSSSAVIPSSA ALSSQDLSSSSAQQSSSNMESSTISSSALSFSAQVSVSFSSSDVSSSQISSSALSTTI QSVAAQSTSNSLAAASSSTPQAAASSSIPSSPVATFVPDVIVPDGEFVEQGCYEDETG SGYPLLSAMEVSNVGDIADCISQCDTDSFAYAGIEGGSCWCANSVDSGATQKPGSCDT PCQADSSEFCAGMRKRTGDHIIIYKLGPAPTHSSSSSVVSSTAMPSSTLESSSMVATS TFISSVVTTSTSLPSSSIGSSAAVSSTVEPNVSSFASQSAVASQISAQGSSSLSSSLA AQSSSQFQPASASASESAGQSASQSQSAAMSSSQPSSVAAQSSTQAQESSSATQSASQ TESSTLAAQSSSQYGSASASQTQSGSLAAQSSSASQSASQSVFASSSAQASIIQSSSF SSSSSQASASASGTTSMDISSSSSFSQSASASASLSSEAASSFSSASQSASASGTIAA SSSFGQSASASGTISSAAGSSSASQSASASGTPSTYDSSSAESSSFGQSASPSASMSS EISTSNPSSASQSASASGSTSMDLYSLLSSSSFPSSTQNLAAASSSIVSTGVGASSSM EAFSSAMASASGSSIYTSAFVQDSSSAMVTSSALPTSSGSSAAVSTSLPLVSSSAELS STAISSSAAVTSLTQASSSESSSLAASASASSMYYGSSSSNLGAASSSTSFYATIASS SMAAAASASMSVSPDISSSAPSSTLGAASSSTTPSSASALGSASQSAAFSSFSTMINS ASQGSAYSSSAFPSMTASQSVAISSFQTVAFSSFSTIIESASQSSAYPSSAPASVPVS QSAAISSLQSAAFSSFSTMISSAYPSAPSASISASQSESISSSQSAAASSSSMIGSAS QSSSYPSSAFPSVTASQSAVVSSSQSAASSSFSAMISASQSAAFGSSQSAVASSGSTG LSASPSSSSSPSSVTLSASQSTTLFQSSSSSSASQSAAASSLSSQNLDTTSSVSSSYA SASQSSAYRSSASQTLDTTYSASSSYPSSSLSSSAFASSSQTLESSLASSSSFASASQ LSSYESLTAALSLQISSSYPSASPSSSYESSSAAFSSQTIESSISSSALASASHSSAY ESSTYTTLFSSSYPSASQSSSYGSSVLTSSLSTSSSFPSVSQSSAYESSTAAFSSQIS SSYPSASQSSSYEYSSAASSSETLGSSLTSSSAMLSASQSSSYDSLTSPESSQSTDSM ASSSAFLSASQSSAYASSAYGSSQAVSPQTEESSISFSAFPSASESSAYGSSLAQTSS SYPSSSSFESSLASSTQAASNSVESSLVSYTQAASSSAYPSNGITSYLASSSLAASSS AYPSNIENSSTFSSAPPSSSSVESSTASSFQAASSGVYPSSSIASSSAPPSQVASSSA YPSSVESSLASSSIQAASSSAYTSTSSIESSSAPLSAYPSSSSVESSVSSSTQAASSS SYPSGSSALPSSTLGASSSVYSSSNIAIPSAAQSQAASSSGYPSSIESSSASSIQSAS SSAYPSSSSMESSSTPSAYPSSNSIESSLASSTQAASSSAYPSSSSIESSSVSPYPSS SSAYPSSSSAIGSSSASSSQAYQSSSIVESSAAPSSVYPSSSSAGSSPASSSAYTSSS IIGSSSASPSGNPSSSSAYSSSSSAIEISSSSSSQAYASSSTVEISLVSSSTYQSISS VGSSYASSAAYQSSSTVESPAAPSSAYPSGSSAGSSSISSTAYQSSSASSSQAMSSSI ESSSISSSVYQTSSASSSQAARSSIESTFVLSSILSVSSYTSSQTEASSSSAMESSSQ SSGSPSTSTSVSSEFTSQTMSSSEISSTVYSTPIESSTVSSMDMSNTISSSVPSSTVS LSSETSLESSSVESSFVPSSSLTSTVQFGFTSSSMPSSTLSSTAQSSSTTVSNSVESS SIPSSSLTSTAQSSFTSSSMPSSSLTSVQYSSSLPSSSSVVETSTLSNTLSSTSSELF SVNVGTSTVVSSSSSLSSNLGTSTSSTSVSSGPGTLVTSSVLTSTESSSSIVVSSTLS SSSSGAMVSSTLYLTPSGTSTQAFSTTIITSSITSALSSQTSSISTCASPIPAPVCMD NHNNCYRNMLKYAADGIGFCQTYTTTINNAAVTALPTYAKNCKNPAAVSSACSCLFAP TGGCAVASATATSTPAVNTLTTFATSTSPGTLTGTTMSATLPSSSTCAPDLINGGFET GSVDPWASYGFSSAVSIGYVPDTHVDAAGNYYLVTSVEDGTTGYISQTATVCPGTTYT MTASAQLAELPPSVNHHRSPTCTIQICDTVGGKCSREASLKATGFSEVSKTFKATKTQ RYATVQVNIKCPDIVPGDSNTVYVDSVTLG LY89DRAFT_589726 MEEIPITGLEELEKHLDILLEAPDTPLDAKLFDEVELQLNDPNI PPLIPRLLPKLTQILLTYTEDPTLLASLSTKLLRPIKFTQALTLASEDALISALRSPA PAAAILAITVIEKATRSPGDTAILSIMKGVVESFLRTWLSTPHVGVGEKATKTLGDLL EVDCDRRNSADIDTKMSGLQIASGMPPGQGLLWRRIFQDRGIYDLIFSLCSFTTMGSG EGQLDERQKSLAQARLLRVLPRLAVLDFQTITRSHFPDIEARYGIREQGLLYFACAEM VDKEEDLLMHITLIDFFVEFLDMMSNTAITKTTMDYLAVLMKKVAGSDSTLYKSLESL ALSPDTSPELVDLLVKLNEYER LY89DRAFT_621013 MAGRGRGRGGAGAGAGAGQLKGATWEYDASIQLESKPADLFPKH PNLRKPAPITTKERREIDNFKKLQDQIHRGPLYTQPTKRDPNAPAKTFGEEQFNKQFG TNSKANMDPFHGVETYSMRYAPKQRTLPKLSDQPFNKTLFPEELWSTLEGEEGQEVRN HLNRAMERKEAMLASMKAAENGAGSGAGNGADNGADKGAGADASAKTKILLEKIHKVA GDEDNEELEEEQDAEDVDDAYEDEDLGEDYNAEGYFDDGEDDQEDEADGGDENY LY89DRAFT_736543 MDDNLDDEDIKPDDSEGATKTPLSTAIQRISPPSTSIDSREALD ADESCTKCSKPDDQTDEVTWVSGHELATITNWPRSPMRVGEIKRKLLEICCLVFLALI AVMILCEYNLTAQTLQPLTFRILVFALLSASVHDTYPGRMTRYFPGKPVMLTNISNIN GTDHDVFLGSSGNFDYIPIATHNLNHRVLQAAKLSPTICPIAFSAIVGSFLRNLSHWK AQKGSKLQTLELLINSRSLFNTISTTVALQSLQWLNIILILLWCLSPLAGQASLRILE TRQVSNAQYSIPWSVLNIVDTGANDSSYTGMADKALDLQQSWLLSLTFGAQNQDQLFS KSNISDMLFPVLPIDGSNMDRIMEGGLAPLNLSSFAGAYIYCGLNRMYQVHDTLLSRY IAICSGNLTYPVWKRIGWSR LY89DRAFT_686967 MNPAQLPLNQQLVYLRSLLTRNKTLITVLTRAPALNLPNWYLTA GAVSQTIWNAVSSLLPDTGIDDYDLVYHDSSDLSYEAEGKVIQAGRLLFDNLPVKVEI RN LY89DRAFT_784443 MSTNFVKYGLWADYNRSPISRYQILLTDWWAGILTNALAVLITS AGRPLFTLTVGLYNWLWRKISSRWTNIQAASLQDARDTQESIGIILEPIRHGGFANVP LGGPPIGRTKEAIEIIGTGAMVLIVLGLPVMLITASIVSAGLATDTTALSNAYTCGKY EHRLPNSSDILLEYDHKAEVEANMYAIDCYGTSPLVENCNRFYSQNITYTVNSAGCPF KGNVCGESQNGSIRLSTGLTSGSVLGINAKNPYFFSRTMICSPLVTDEEYVGIGLSSR GEKQWEYWYGSSLSNYTWVNPVQKSPWEIKGYSTSIHWWDPIAPQSPFHPLDEFTAGP YPVTLNFISSHAIYYHNFRNDSVFPAQTKARFADGYPGPDLYYNNSTRAGVLGCVDQY QICESINGPCSAGSTQFTGSEALDAQSKLNHMLSMQLADEQWKVEAEKMFQTSLARMQ INLFDFVRGTAAGYPGAYNTLPPEHRGMCAMVEIPTVGWRNVNLVGFLGTIAALGVVW GVSRKRKDASGEEILVVTIIYNSLVRIVVFIWRDIFRPVGVLFGKLFISIVLEPLRNF MLKSV LY89DRAFT_686970 MTLIPADTKDEKRPLENAYAAPPRATTNPFPNPIIQNQTYSESD FDEHPPPYTPTDSLSSSTRDLPPIRNPEPFTGFTTTPAQKIPESNAINAHTAVNITGP LHILGAVKSSSNVTLAKNILVDEKVSSSSWILLEKDVEVRGKVDSSGKITLRDRVKVG GKVDASGSIIIQNGVRVGEKVDASGSINIDGGEQGVSIAGKVSASGRVDITGWVEIGG DVQASGPVKIRNYGTQGVKIGGKISCSGGCTIEGDMTTENLDMCATLKLNGRLHVRGD FEAKGSVKMKKGSQLIVDGKRTIHGSIVEVNNL LY89DRAFT_686974 MAPARVVTISSGPDPDAIIPASDAHHENEWYNQDFTGYRITEQP LHTKRRLRMICVGAGAAGLQLAYKTERLLENVELQIYEKNHDIGGTWLENRYPGCTCD IPSHSYQFTWEKNPGWSHYYSSAEEIWRYFKHVSTKYDLEKYIRFNSKVKSATWDEDA GVWRLVVVAKDGKEFEDQCEILVNGSGILNSFKYPNIPGIGEYKGKLMHSAAWDKEYD LTGKTVAIIGGGSSAVQIVPNIQPVVGKLIPFLRSSVWITTGFGAKYAGPGGTNFKYT DEQIKDFKTDPAALAKYDREVEGELNKRFTLMHRTSKDQKVSRDLVAEIMKEQLDDDP VLTKKIIPSFDLGCRRMTPGSGYLQSLTKGNVEVVTESVVKFTKNGVVDESGKEHFAD VVICATGFDVSFTPHFEVTGRRGANMKEQFGELPKAYLSITAPNFPNMFLFIGPNGPA SHSSLLPILEWHTRYLFQMIEKMQTENIKAFEPKQAAVEEFYQHTHELMKRLVWSSAC RSWFKQGKIHGPVTAIYPGSRLHYFEMLKNVRYEDYEITYRSKNRFQFMGNGYTQCEL SVDGDPVWYFDDPFVRV LY89DRAFT_736548 MSSQIEELIPLTVAKGSTMRTFIDHSKPDESPEHNWVEVVYDGK EDSEVFAIPNHWHKYHDEIMEVLEGRMIFYLDGKELVTSAGDPPLFIARGHIHGFTAI KGERVRFTERTQPAGTFKATFFQDLLQLQRLPGFLLIMRVFYDGDTYPSLPGGFKTLD YIFITLVGLIAKPFVPATPATLKRID LY89DRAFT_736549 MGSSDEAQPLLKCNTCGKNFDNRKSHLRHKSYCKKAITRVTTRK KSCTACTKARTRCARDTTLPKCSRCTAKDLTCDYEQDPHGGLVALIPVELSASSHSPS DSNVRPLIDEQPGLPTDLLRIETMSTSEFENIIPRVPTAFSAREIEHNQLSLNRRYVL CTLKSYPYMLLPGRNLPPFIHPYHAYGLKDSDNNSQVRKYTQPPIQNCAMIIRWHSAD DKNNTVYIWKTISLELEKFSKECPENSDENAVAALQAITIYFLLRISEDMDEAAVYDM LLIHTMVKVATKVKDRIKSNNIRADRWEHWMLTESIQRTITVILLLDMLFEISPFFPA RECDGTLLADIALPCSKGLWTASTEVEWEIAHSAEDKQTSKTLTYADLMNFQHQEEGS LDFWLSYIDNFGTLLMAAASLQPPNNFL LY89DRAFT_686977 MDPIKNNMAAWLLYEKAPNMEVAPGPKPNPAENEVVIKVAYAAV NPTDWKMQDSPYFKLTYPVILGTDIAGTVVQLGSGVTRFKIGQRVIGHCDSILTQKKT NAGFQLYSTCLEILVAELPDSVPLSSAAVLPLSISTASTGLFKALKLPLPSLHPEPTG QAILIWGGSSSVGSTAIQLAVAAGLTVATTTSPQNHAYAKSLGAAYCFDHKDPDVVEK ILKVLKKGDVALDVISTESSQKASAEIINKIGGGKLPVVHKPLPTRYDNVETIFVNGL DPGLVDLDLGRAIWGKYIPQALAAGSFQTKPDPLIIEGGLEKVQDGINILRKGVSAKK VVIEIAKHA LY89DRAFT_784449 MARSALVRLRSFLWGNDPKDAPLLVKLDFTILPYFSLIWFLFGL SRSSYGSAYISGMKEALDFKGKDYNYLNTTYLVVYTVCQMPGTSLLTIAPPKYVFVAA NVTWSVLTLITFKMNHVYQIIVLNAFEGGFSAIAYVGAHFIYGSWYRKSELGTRAAVF VCFGHLGSMAGGWIQAGLLESLAGKDGLPAWRWIFIIVSIITIPTAIFGWFFIPDLPS HRNAWYLTAEEKEHAATRLGQPRKVLWNRTVFKRVLLSWQFWLLPTIFMLYSLAIQME LNNVFQLWMASRGYTIVQQNNYPTGLYGVAIVGTIFYSVISDKIQSRWQFSLAIGITF IIGSAILIANPHADTGHFFAFYLLGSTYAPQALWYSWMADVTAHDFQLRAITTGFMNS FDFGFSTWWPLIFYPVTDAPNYRKGYIASLVTGSLILPLVLLIAYLEKKGIQNGTLER KFEAEEAESFENEASNVEQHLPEKV LY89DRAFT_141703 MRSAIAFVLANAIAANAATVTFNWNVTWVWASPDGFGRPVVGIN GQWPPPPMIVNVKDQVIVQLYNGLGNESTSLHFHGQNQGKSQQMEGAPPVSQCPLPPG MSMTYNFTAGNTGTHWYHAHFGGQYMDGFRGPFIALDTTAPFANDYDVDYTFQVSDWY HEQVPYMLNWYQTVPKATGQGMEPVPDSTLINNQLDPKFPMVPGKRYLFRIINTSGFA SHVIKFAGHNMTIVEMDGVATVPTVTKKIMIAAAQRYFVIVTALSNATKNYGVVSAMM PNMFGNNIQPTDINMNATAYLVYNTTTPLPAQPLLKSYKAIHESTIPTFTETPILGPV TQVVNITFDFAFINDQTRGRINGNTFVAPLVPTIYTTLSAPTNYSINSTIYGPSTNTV VFNNPVGVVEINVVSNDNRDHPFHIHGHTAQIVHQGDPGTSAVPDVWNGSYIQTPATR DVFMVNKFSSTVIRFVSKNPGIWLFHCHIEWHIEAGLVMQLVEDPIQIQALNLTVPQN MYNACQSQGIPITGNAGGNSVNWFDLSKAPSKPAASPWGAYVGIPPALKVPYSPLV LY89DRAFT_141829 MAERSPDDLPNVKDGLQASGDKRVRKKASRPKARTGCFTCRIKC DESKPACKRCLKYGTTCAYPPPAGQKASHQEPLSIAPLKSQSSTSPLPSILKTRYKNE REYRCFQLFRERTMLDLVGSDDSNVWSKTVLQACEHEDAFRHAVIAIGGLDRALEISQ ASGKQCPVGRTSIDDESLANHYHFALQQYDDFIVQMRRRLPESSHDLRRALISCMLVV CVELYQGNQCVALTHASTGLNMIKSTLGELGTMENSWGIETDLIRMFLRLDQISLASA LPSLTRTYVNMETHFHGMVDDNIPAEFTSCKEARSFWMVTVRRISRATIVPRTQKSPN DERLYPDASVGYLRQWSGAFQPLLNASREESGKTFRSKAAFLQLRHNTTLLALVGSHS DSELVYDNFVSLFQETLCLARDVLDEPHGGLSGRRPIFTFDIGVISSLWAVATRCRDS DMRWTAVSLLLQHPRREGVWDSAMIAAFASVLIRIEETGLENGSIPESARIQGESFSF DLVQKKGQLIYSRLPEGSKLGSKRAVEAVDVSW LY89DRAFT_686980 MSANQAADITALPAVDQHVVHDEKEYTTIKEGLAYILVPASGPK VPQTTPRGDNSAQSVFYNPIQQFNRDLSVLAIKAYGEEVVEAKKRAAERRRAKFKAKR IKKRKREENGEDDDASRKVGKLEGPESATLEANAGPDIMDAKPQIAPDSIAEQTVRFD EVRDPEPVGHDLDITTDHIANNGGPSTHTEQAEQAEVTSPESKMDDVANNEGSSTITE QIEMPPPKIRFSILDALSATGLRALRYAQEIPFATSITANDLLKEATKMIKLNVEHNK LEDRITAVTGNALKHMYDLVGEDVKDGNSKKYDVIDLDPYGTAAPFLDAAIQAVRDDG GLLCVTCTDAGVWASNGYPEKAYSLYGGVAMKGPMSHEAGLRLILHSIATTAARHGVA IEPLLSLSIDFYARVFVRVYKSPADVKFLAGKTMMVYNCDTGCGAWTTQMVARNKVVE NKNGDPQWKHVFAQGPAANETCKICGFKTHIAGPMYGGPIHSPKFIKKILAGLPNVSK DTYYTTARIEGMLTLALEEVLPDLEADISPTATSKTKKYDPAAIDPTPFLFIPSALSK VIHCVTPIENAFRGALRHLGYRDTRSHTKPGSIKTDAPWEVIWEIMREWTKQRSPIKD GSIREHTAGRAIMGFDKKTQKFAEPEPNSKEADGKTESGASSEEKKIKVVFDEKLGGE KDTKKLVRYQLNPRENWGPMARARGK LY89DRAFT_708806 MMRALLLFLTLGVASAEDGLAAWLRYAPLPGVAKSEGSIPSNIV ALNATTTSPVYTAGLELQKGIQGIFGKQVSITHQSIESLSTVVVGTVDAYAQEYGLDD DDTADLEADGFWLSTLNGTIQILGQNERGALYGAFEYLSMLAQGNFSQVSYISNPNAP IRWVNQWDNMDGSIERGYGGPSIFFQNGLIVNDLSRAEDYARLLASVRINGAIINNVN ANASLLTPQNIAGLKRVADVFRPYGVQLGISLNFASPQLLGNLSTFDPLDASVIDWWT NITDQIYEAVPDMAGYLVKANSEGQPGPITYNRTLAQGANLFAKALQPYGGVAMFRAF VYNQLNESDWRADRANAAVQFFKDLDGQFDDNVVVQIKYGPIDFQVREPTSPLFANLL QTNTAIELEVAQEYLGQQCHLVYLAPLWKTVLDFDLKVDNQSSVVSDIISGKRFNRPL GGSAAVVNVGTNNTWLGSHLAMSNLYAYGRLAWAPTQDPEAILQDWTRLTFSRDPTVL RAITQMSMESWPAYENYSGNLGVQTLTDILYTHFGPNPQSMDNNGWGQWTRADHLTIG MDRTVSNGTGFAGQYPPEIAAMYEDPSTTPDDLLLWFHHVNYTHVLHSGKTVIQHFYD AHYNGSAVAQTFVPLWESLKGKIDDQRYNHVLFRQEYQAGHSIVWRDAISNFYHNLSG IPDTENRVGNHPWRIEAESMSLAGYKPYQVSPFEMASNYTAIVTLTNSTVGTADTTLN FPDGKYDVGVNYFDLIGGISRWDVSLNNNSIASWTGNLENVLGHAPSIYLDGHSATRK TIRGVQVKKGDVLKIVGTPNGIEPAPLDYVVFLPEGVLD LY89DRAFT_141939 MSFTMERSESPPRNGLSQMFSKSRRDKKGKDSNSTKSSGSDGLG VRASIEDAVDKLKGNKDGEDDNESNGIKKLVSKGIGAKRRQKKQEREEELRLSEDAAR GRSVAERGTLENDVPSLVHQSSSGDGSSLITYDSETESPLEAPPLQTHPSHIGYLTTS SPLVHSTTVPEISLTEAQLDGAPTPRASTFPVHLNALAASASAPSLVDTTLQAPTNGS EKRSPSPVGRLKKALTSRRGPSPNTSPERKTGGGGVAGLKELERPATAVESRRGSVAS RIGSIFPERQSSPQPSTVSSPERPQTVKVQTIDTSAPPSTPPASEIPAPIIVNTPPTP TDSSSPRFPPVRDRGTSDPVTSNSNVVTSPSGNMISHRRIRSGSATLGPSKLSNITSA PLTPTLESGATTPSSGGFFSTVFSAAQNAANTLSNSIANTSVAPGNRAKSGTQDIKEV ESPVVETFAEKSESDDPAEEKELAVKTLGMGDLSLSALGISESSSGTDTPVPSKPMEE TRGRSESTNDENRSIAGLSAPNQDNDGVSASVDDLQPLVRPRSIYEPATGEQTPPNGS VFEGKTGIHRSGSIRSAIGNRRKRGSSAATGTTIGAAIAAANTSLANPHAGTPKITGF AVASKKRNRDFHQLFRSVPDDDYLIEDYSCALQREILAHGRLYVSEGHLCFSSNIFGW VTTLVMSFDEIVSVEKRSTALVFKNGLMISTLHAKNIFASFTSRDSTYDLIVGIWKLG HPSLRSSLNGVRIEETGGGDKTEKDDGLPVPGSQSGSESESEGEDGDEIYDEDEEDED GMSFTHAEGSVAGSDIAEKVVSRKPSAAVVPNGTASEKSPDGATSPAGDFPGPATHAP TDCTDQETHYAKVIGDDIIPAPLGKVYSLMFGPASVTWMRNWLTVEQKCLELTMEDKR PLGLDNKVRNYSYIKPLNGAIGPKQTKCVCVETLDSLDYEKAISVTISTQTPDVPSGN VFSVKTKYCLSWAEGNATRIQMNCAIEWTGKSWLKGPIEKGANDGQVQYAKDIVASLK AAVSSRRGTVSAVVGKGKKKGRKGRQSNSLAKQTDGASETKSTTEDWGLFEPVHGILG PLFDIVKPLLTGNILYGLLVGLLVASWFGFGFSGKGRGRNDLGLTYFGTPERVAAYEE IWRREESQLWEWIEDRVSMDRLRDVNKMPIETQSIQDKLRDEKMDERAVDAAIKVTEE KLRVLKATVEKMKRKAETVKTNEKETASKPEL LY89DRAFT_736557 MESSPLILAHDHARAASVATQSSDTTVAINEHALAAGEFAKAAS GTGSAEALRTLRLLEQHHQRLSELLRYPVENPPNTTSTEGEVQAVSQKPLSTSAAVAE LRASKSDLGPRSSSPLRNPPSLQHPRRLPPRDLSSSIASNLASARGIRANYTRQPLSP SVSTQQAPGSLEALPRKRSKVPASIPEHSQPSWVPPKQNATQIVEPQVADPSTVVAAN NDEGFSRFYNTFENILSKLSAPLAFAGLPLITEEGPEPAAPEPTKAPRRRPQSKERID AEPDLAKYISRAALRASARDGHSGNDSFYVVPTSGHTASYAHILSFDQKEKRRLAASM HSENADLFPDPEEDDFVDARETPMPISPTTSRRGTSGRKMNDRALENKVEELDIENKS LKDCIDKLSKRLHAFESSAQQSTMALQESMRFARTMSPARDQGSRGGDEALKRRVLEL EEHVALGGKEIERLGRENGKLREVVERYRERWEKLKAGAKTRRDGGGSSKEPPTKDSP GK LY89DRAFT_671863 MQSHMPEDDDVLPATYGTMNPGFNPMLDIPVSRSQPDDPTETSG GSRRDPSIKGATRDAEELAVITEVPPHETRLEDKLFDAVKVRPQVPESYFIPPEEIRR LVTIDSILSELQRCGIGFAQTELQQKAEEIARTARNLFAVLVCHELGECILDFLNEGV CDEDMPFVRVRLEKRDGRAGRGLFKLESRRHKGRVLKCMATTKWTRANLEGLLRDQCW VLEPKEQEGAQADEEGRASKPSG LY89DRAFT_142003 MGIIQEDMPGKSLEDLPEELAEELLEEHVTLEVRLLRAQMALPR ISEPYCIPQDNFDRVVNVDTILAELQRCGVTLAETELHEKTVEIFKYARKLFAALVCE GFGECILDFLEEGLCDEDMPFVRLELGGWDHRSRGAPFPILESRRYKGKALKCVATGK WTRYHLANFSSQQWWVLAPEEQEKARAEERQRWEE LY89DRAFT_736560 MSATTDSVIQVAKELKSSLSKNAQVLLDPLSEDFKISMKRWSEA DVKTPGVIFKPASEEDVVTIVNAAIRSKVPFVPKSGGNSPWSTIGTTGWIIDLSLLTS INVDSSNETVTLQSGVQTKPLNIAVAKAGFVIQSPSGGGVGYIPFMLGGGSNQLAGMY GMACDSLVSAKVVTATKGLVIASATENEDLFWALKGAGQFFGLVVEVTMKIYKLESTI TSWTCFFLESQVGEVAGVLEKVINGVDGMSPGMCAIVAPPGQTRPMLMVSVTNLRPEA EAEKFMAPLIALKPVQNIKKTVEFGNITDPSDVFGKPGLNTLLSCGLQRFDGKQFIEA LDKWKKLVEEAPGAARTVFMFTWYSNEILKTIPEETTPWSHRDCPIWFMNFVAATDMD SNKIALRSTNEFIAQCQEDQKDKQKAMFPNHSREGNVKYRYRGEARLQKLWALKKTWD PEGVFTKHFLD LY89DRAFT_698794 MRSSIISSLLIAPIISTLAAPTVEQLHPFNQVDWERELLGRSDD DGLQKRARSEKSIDAIFKSLGKLYFGTCADAGSLAKTDNADVIKADFGQVTPENSGKW DTTEASQGKFNFNGLDTLVNWAQTNNKLVRGHTTVWYSQLPSWVSAITSKDTLTTVIQ THVSTEIGRYAGKILQWDVVNEMFDESGGLRSSVFSKVLGEDFVRIAFEAAKKADPAA KLFINDYNTVEKGATYAKTTGMIKYVTKWIAAGIPIDGIGAQAHLMAGESKNVAASLA ALCAAAPQCALTEVDIVNAAAAEYVQTVKACVDITNCVGVTVWGVRDPDSWRASNNPL LFNSAYATKPAYSALVETLGALPTATARPRM LY89DRAFT_589554 MVEYNINTEGKAIVAAFPSQVSGRTFLITGPSQGGVGAETAISL ADASPSTLILLGRDLTKIQPTIDAIKAINSSILVKYIPVDLASLTSVRAVAIAILDDS TIPKIDVMINNGAIMAAPYSLTTDGYESHFQINYLSHFLLTNLLLPKLLMASPPARIL NVSSAGHSVSDVRYESTDFEKGTKYVPWFAYGQSKTACILFSVELNRRFKESGCKVRA FSPTPGAVDTGLQRFVTKEMREEGMKVWIASGKAAPVRKSLQQGCATYLRASLDPALV DQDLVFLSDCVPTDNPEELSAYAVDAENAKKCWALSEEMVGEKFDLKL LY89DRAFT_698796 MPRQIPRIDIPQTDLWTTLFDRKEKPFPDSQVIYQDPYSNRHYT YATLKLTTQKFGSGLRTSLSFKKGDVLTLFARNSIDYPAIVWGAHWAGGVVSPANPGY GVRELAHHLRDSGTGVLVTEGGLLGVALQACRQVGIEKSRVLVVGEGEGGDGDGVRGW VEIMEGEVRGERERLDAGRDLGFLVYSSGTTGLPKGVMLTHRNVVSDLFMVNSNEGQI LGWKDDRVLSVLPFYHIYGLQCLVHFPAYAGVTTLVMPSFDLKKFCEIIQNHKITYTF VAPPVVLHLAKSPIVSNYDLSSLRMITSGAAPLTKELIHAVHDRLGTEVKQAYGLSET SPVTHMQRKWNKGLGSNGPALPNQICKFMSPDGHEVPPGKEGELWISGPNVFLGYHNN PEATAACKTPDGFFKTGDIGYEDEDGNMYITDRVKELIKYKGFQVAPAELEGILASHE MVADVAVIGVEDLERASEVPLACVVLREGVEGSEEVEREIARWIAERAANHKRLRGGV VFIQEVPKSASGKILRRVLKEEMKKKFKVKAKL LY89DRAFT_589948 MGPVTRKRSLADMQNAAAAAASVEYNDDEYVREVLQLDAGKTEP LFDESLAQEAEKLGITISRPSTPKNNHENHISMSNSAITVGSHRRTTSSSSQESASTG MTSRSSNEQADHFPLPQARKRASARRNLSFSEYERYLAQHDAQDITKQIFPPPIPAEP APSLFSVSTRKSYNSIKSTITRRFKLRRSKSSQDDMNSCICCRDDFKKSKSLQTLPCG HNYCDACLRILITQAANDESKMPPRCCTRAIPGSIIKSVLTKDEQHLFMKAVLQFSTP WESRIFCPNPACGEFIPKRKKIDPKHPFEVVCRKCRTRACSICKRAAHAFGQDCPADW ELDAVLQMGEKSGWRRCYKCRNLVELTQGCSHITCRCKAQFCYICGAVWDPVVGCPNY CNGEEELERRRLEEEARMAEEAAEKAAREEAERLEAAEKVNAEKRTLESLELNALRAR QINERDRFAAFERKQKWIMWTCHGQSKLDILERYEELTTKMRERHSRTATHLEDRQVA AEMELRATLKQAERSVRIRLRHMEAYCDGLGRTGDNANPARVVTERDLRELGQQYNLR DDLDRLHQSKINVMRDKQAKQMEQLLVRQEEELDKLSNKQALEVETLEESFGNEEDAF SIVFDQRRDRLRRRWVIVEEIERKKLELEKKVRFAPMTPVEWPSLEPRVDEGLTSVTE LY89DRAFT_142342 MTDHNWPFIVNGTYIHVASTYSIYHLLRFSHRRKHNLILNSQEI PPPCFFISHQNSQIQTAAESNTSSACVLHSIGNLGLLSLDVGVGLCFGREHVLILGDE EDSLVSRFIIILWN LY89DRAFT_752603 MSSQRRRGRGKRPRDESPHSTTHQGFTTDTHQSESTLSYSDSGV YQGQSLQSPISIGPAFSQAQILSPRNVTHAPPGKVAIPALRQPQVADSSQDHKKGRTS HACDHCRKAKAGCTGGQPCVRCKNANISCVYGDGKRDRERKKLSQLSNEANTLNQHNL DIIEALRRIQLNTTLSSESMRTAINEVLSMTPPTHNSLTEVEEAPLGSSQFSPEPEEL EGEDQDSDVGSTGSLDAVNVDTDRDETRATGHLGKASAVAWAKRTAQECDTKSQKKTA LGTHETGYTLASYHTEDDDVEFIDLSNVSAFDWPDYEAANTLVGLYFDSVHSILPLLD RQQFTTRYNNFARGTMNLSSDDSVWLGSLNLVFAIGAVYGQLTKRHDRGNHQDHLFYF KRAKMLCLDDDLLFRDARVSTCRMLGLLCFYFISTCRLNRAWTICGLAIRQAITLGLQ VRSHVEGLSDYEKEQRVRLWWALYTLECLLNELTGRPSCVSDQDISAPLPINMNEDEF DSSSFISERSSFSSHFSHRASKDSRGSDTRPTATYQMPTGIAQALIYKFPPLSLPTTT STYFIYRIQLCIVAHEVVTQLYCAATTKAKWSEVQDTIRRIDRRLLSWCDTLPQEFSI IFDKWTEPDWNDPYIFQRLGLAMIFNSSRMILYRPCLCRFERRVESHSKRSQDFNQEG AEKCIHSARTMISLMHWSASSVEKLYAITPWWNTLHYLCEALSVLMLEMAFQAQHLPN EAAYILDDAKSGIRWLRTMAGESVSARKAWEIFDCLIRLVAPMISWSVFDMPTEAPIP AGYNYGRFTSAAAMPSRRLNAANLHEFQSGSNIVDQPAATTAWNQDQNFVAFSAPSDE QAGFGFAGGGQGFEQVRNPLDHGTAVELFGSIGRVHGHYDEPWQHMFGGGGGGGGEEI EESGVGEPDQGIIGPGGFEGFGGQGQEEGGVGF LY89DRAFT_142375 MQESWGGVISHDWNCYNNDGETPEERAVRSWASHQGSAVGLSGY LINCYDDDRPAEHQYEYRRLMITVPETLFPWCSTGGITGAAVANLHASTGMAWYRRKK IAQTRKRVGWMSTYQPCGNVLAINGCLWMAEHGRPSRGMHARRREYKSSSLHLALEFL WSQNI LY89DRAFT_589644 MSSSSSRPDLVRQNTADIAERDYFSENPAPAALDKHTALAKQFI DFHAAAGRRVVLVTSGGTTVPLERQTVRFIDNFSAGTRGATSAEYFLETGYAVIFLHR QFSLLPYSRHYSHATDCFLDFLHEGPDGSVVANEEYREKMLTVLRKYNDAKNKNLLLT LPFTTITDYLFVLRAIAQLMRPLGPSGLLYLAAAVSDFFVPPERMQEHKIQSTNATDT QAEKDAEAQKQNEEEEAFDNFDSSPAVPRSKRLIVDLDPVPKFLKNLVDGWAPEGMIV SFKLETDPEILVHKAQYSLDRYQHHLVIGNLLSTRKWEVVFVAPGQKDQWIRVPRSRR KKTISGVENMVGVAASGGEIGEKPLDPKDLPEGEPEMEIESLIIPAVEQMHTAHMEKS RSKAAA LY89DRAFT_142355 MFSTKFFAAAAASFSLIRSSTAVYDPTSQRNLAMYWGSGANQYN LTYYCEQPNVDIIPIGFINIFPPQANGLVAETFGNQCWNGNYSGSGYNGVNNPKNNFL YEQCPQLQESLYYCQQNTNTKLLLSLGGAAGDYYLNGQADGIYLANFLWGAYGPYNPS WTGVRPLDRGYNNDTAGETIDFDGFDFDIEQTTPDQQAGYIACIQQLRLLFAEYKAAN PGSKDYIISGAPQCPLDEPYMSTAIAGAQFDILWIQFYNNGANGCTARNYTDAKAAGT MSGFNYDSWVTKVNGGASAGAKLYIGLLAGQAASSGSPNDYINAAAVQTLVTQYHSHP QFGGVMLYEATVAENNGQFYNQIKAVLNSIALGGTSTSSTVHSTTTSLKTSSTSSSIK TTSTSSSKITTSSTSTKATSTSVSSTSSKTSSSSSSKITTSSTSSSSSTSTKTSTTSS SSSSKTSSSSSSSSSSSSSSTLSSSSTSSTLSSSSSSSSSSTSSTLSSSSSSAPSTTP TPTTVPSVGQCTYLGCYSEGTNVRALSGATYVNYTMMSVEMCASFCSEFTYFGVEYGG ECYCGDSFGLGSVEEPHIDCSMTCDGTPTELCGAGNRLDVYQCGNATTTSSVLSSSTS SVYSSSSVVSSSVSSSVSLTSSSSSAPSSSSTLSSSSSVVSPTPSSSSSSVLSSSSLT SSSVSSTYSLSSTSSVFSNTSSYVIPTSTSSVYSSSSIVSQTLTSSSSLTSSSVIPTT SSSIYLNLTITSSSTSSKASVCSRKTHTKNGTSITSSLSSSYTLPPFTNSSSTVGPTT SVSGSVSITSGSYTPTSTGSVTSSGSVISGSSSSSASGIVSTPSSTLSGSTSSGAVST PSSTQYTTSTVYTTSVYTITSCAATVTNCPARSTQVTTETIALYTTVCPVTETETGKG VPTTTPSSPASTSTPAQEYTTSTVYTTTEYTITSCAPTVTNCPARIGSVTTETIALYT TVCPVIATQSATATQSPIIPGKGGKGSSSAPAPTSTGEASGEHLTTTLSSTTTSYVTV KVVESTATVVAIPYSTSSSSPVAPYPTGSAVGSGSGPVGTGTAAPSVSLFVGQVGSST TSAGGVATSSSSPVPSTFTGAASSVKGSVGMVVGVLVGGLMLVV LY89DRAFT_589725 MKYAVVLSLAFLAAAAPVPQDDVSSILAALEANSPDDAAAAQGA VSKRQDDVASILSALSANDPEDAQTAQGSVAKRQDDMVNDLSSILAALSANDPEDGSV ASSASKRQDDLASILAALSANDPADGSVASGASKRQDDLSSILAALSANDPADGSVAS GASKRQDDVSSILAALEANSPDDAAAAQGAVN LY89DRAFT_589943 MPDTKNIEAAVLLCKDESKVLGLSFGTQKVTPGQHVPKAGKFKN TTNATSAPELSFHGAKGTYIVVCLDPDAPFPGFSILGPALHWIQSGLKPENTADGIVK LLEGETPFIVDYAGPGPPPPSSPHRYVFILYEQPEDFDIAKFASPGGKKVGIRPRIRY DLKVFEKEAKLGPVVASSYFFSK LY89DRAFT_736570 METTTKPQAQLCAHCQKPANLHCTRCKDTPILADDIQPAVYCSS ECQRASWPTHKSICKRLKMRKMVYRAGDILQEMFYMFREHVFNKRVFKIEYKEGKMFI FDDQKPERFPDDEMMACHVPGPFPHSMCKADEDRKALRTHMACSDAVAYLHEVVDHVF GGMPCVLTELDIKPKNHKREAVSVMRGVVDAIDHQHNIIKVKLRSNGEAFILDLASAQ YGYYEPITVWTDYMQNRVRCLVAREKKYDEWGGLLKDYRERELSRDPKEVWLRMVLHL NGVISQLLKHSILCWEEAVGTSILKLLGLPKHQYEMQKKALLAEVDADLGHAIKWVED QCEEDKKLRSAGLEGSMKWEGEGAGGVDGANEVDEVKIVLKEMGLTGSVFVV LY89DRAFT_736571 MSSQSALDNTLTFVPVDYTTVAGQAKAARASKAGPSNDDWERHR PLIRRLYVDEKKKLKEVATIMAQQGHNATTKMYKDRITKWKLDKKHKEADMLAILRKK AQRERIGKETRIRVRGQLLTMQQVHDYFKRKKNTRAPALYDAPTPSDVSCRTPSPAPT GSLKGRENQMTTQIQANVNNNSVTEIFVPPNAMDVATDIDWAHTENIPIQVSAEDEAI MEWTLHIMRSIASESTIPPFPSAPQALLVSERIFLTIKTYVDGCFEKGIWITDVNGFL VSRSDEILKPGDLYTYCSASLELLENGSVVEFRRMLSKAFATLDALTRFEHPRTLNSL LDVFFTLRRAGRAEIVELFRKFVFELVIELHGKQHPWVPLFRLIGMVEEELLGETITQ SWTSVIGLFERILGPDHPFSIDVNFDYINRTLEGLQGMAEAEKRLRRLLTRVEEVEDV SDPRTVAIIIDLGWNLLRQRRDAEAEDLGMNVMARIEEHHVSGGAHALELVASSQYYQ EKSSPAERNQRNLIQLIADAWGTSDPWAIQNMMVLERWLREWGDHDKAEALKKQITEA IGQDEIDEQTTKF LY89DRAFT_720828 MPAKRQRTANGSHAVGTNGEGNDIANGAHFTAAPDINDDPERVK FAYWVPNVSGGLVISKIPQKTGWDYESNVRYAQTAENVGFEFALSQIRFMAGYGAENQ HESVSFSQALLHNTKKLNVIAALLPGPWNPAIAAKQIASIDHYSNGRISVNVVSGWFK AEFTSIGQWWLEHAERYRRSREFIECLKGIWTTEKFTFKGDFYQFHDYPLSPKPLSLP GRPHPLIFQGGNSVDARDNGAHVSDYYFMNGNTLEGFQEQIADVRERARAVGREHEIK FAVNGFAIVKETEAEAIRVLEEIQGKADKEAVNAFGDAVKQAGSSTGEKKGMWAESKF EDLVQYNDGFKTKLIGTKEQVADRILLLKSLGIDIVLCAFLHYEDDIEHFGEQVLPLV RILEKEGRGKNAEDEIRRTGDVYRKKIAA LY89DRAFT_720829 MSRASTSSAAKRTLTNISKDEIYRSQSLEQSLTPPKTPPGEGGQ VKLYHNWPEPDIAIEDDPAAKRRKIDRGRADLVAAEEFNVLEQDVLLLHGPKQRYAHT KKHPVPNLENDREMLVAVDVVGLNPIDWKAPDFGFGLPDLPCISGRDLAGRVVKSPAA PSRFRRGDKVMAISTDYRDNRKAAYQQYTVVSDFNACRLPQHVSAEQAAPLGVAFVAA ALSLGICMGMNFGHSTNGVRGPDILRIVQSLTREALPKDIQAECFDSIKAGEGAKAGD WIAIWGGSSASGCCAVQLAKMVGLKVIAIIDVAKNGERMLKLGADLLVDRLDSNRAIE VVKGVTKGKLRFGLDTRGKESAKLLAEAMQTERGENEPKAHLVGLTSLPKEPSNGVVY HSVPIKAFHEAPQVGEGLMVWLERLLDQDMLITPDIEVAEGGLEGINAALDKLRDGTV NGPRIVVPISA LY89DRAFT_736574 MATADSVRRNVVRRSSLEDATRIYLNLIQETTANNGFNTPDGKP YPFFVPSTVKSTLGSPTALAIGAFATTLTTLSLALMGWRNITVDNVFIGNFFSLLVLE WSSLRSGNWYLEIPLPHCTERIWYADFLFDRPSSHSSSGFFYGGFGAIITAFFGVKEA YGDDTVGYNNSLGFFVMWAMLNLFFLFGSLPINLVYIGIFFFVELAASYFATADGYPG AATALQKAGGVFAFLAGLLGYYTVAHLMCQTALFFGFQWGIQGSATAIDQYIKLVRVG VTSQLRE LY89DRAFT_621069 MAPSVNDLLASNGDTIQEPNAKKVKPNPTSDPTVYDIHHQKFIS DGLPSSSEAWIERARQVSAILAIDAASRDIENKSPRAEVALLKSSGLLKVLGPHAFGG GGQTWDVGYKVIREVAKGDGSLGMLLGYHLLWSTTANVVGTEEQKHRFQRLIIENNYF VGGAVNPRDNDLNITDHGDHLVFDGFKNFNTGGVVSDLTVLEGVFAGTEHHIFAFVPT EQQGIQFAHNWNNVGLRLTESGSVKINQIRVQWGDALGWDVSTKLPDPTVLAIPFATL LLPTIQLVFSNFYLGIAQGSLDFASKYTTTSTRAWPYGGDNKQSPTEEFYILERYGNF FAHLRAAETLADRAGLAITDIYTKHGEARTVTERERGDAAEWVASAKVVTTDTALRVT AGVFEVTGSRATGRKVGLDRFWRDVRTHTLHDPVAYKNRELGEYVLLDKVPEPTWYT LY89DRAFT_784471 MSSPTYIIVGSGVFGASTALYMIRKYPHASVTLIDRDNFTAATR VAASWDWNKVIRADYNEIVYTKLALEAQQLWRTDQIWQPFYHESGVVYITHSKLAEKV IKNFDDLGVKVDVRACSVEETRTLYDGAFADADYTNIKEVLVNRTSGWAEAKEALQST IQTAVDLGVKYITAEVTAVEFVGGPENRICRGVKTSTGEIITADHIILCTGAFTPRLL MDSAPESAELHAGSRIQASAVTEAIAPLIEQQRSFLETMPVGVNDNPTANGCDYGCLP LPKLNAFKYWGQVIFRNTVEHPITKESLSMPPSGPNYNQWDVPLALKEDVRGGPRSVL GNKNLSDRFEEFRICWEALTPSADFIISPHSACSGLFIATCGSFHGFKFLPILGKYVV EMLAGELDPNLQKRWAWDRELPPTEDNKQWSTKELKDLSG LY89DRAFT_736577 MEQVPRLSCERCKRRKIRCDKRNPCSACETSHTTCDFVQRARLP RGRSGKVRKSILEERVARLESLLSQVQNSGDKCSGPPDSTEPVQSRSLRNNRIGNFVA PEFWAALSEEVAGLRETFDNSDDDQVHPDPINRNKTGVFASGHTLLFESAPSCIYGCT PVPWPTISEERRNVLLYLYRQRVDCLYKILHWPTVLADIPCLGTEAQDSCNPSPFRAL ELSIYFTSLCSITNEEAMNFGLGDKLSLMEQYRVATERALSQAGLLQEPNVTVLQAFV IYLVGHRTFYNSTTWWTLLAVAVRIGTALRLGQEEQQEFDPKELQLRRRLWYCIALLD THASYDRGTPSMIRWDELGPAPLLLNDDEMSRTTVPLSSSPVFNDMSFLALMFRAMVC QKKITSIPDSAEDGWSARLQLVSSFELSVKQDYFNVGEDAHPFERFTKQVAKGIIASM HLVLRRPPYKQRPGLVPLSDNFNVLEHSTKLLQQELVTKSADFAPWAWKSWVRWNALA IVLVELCSQPPGKSYDMAYAIAIQSFNHYSSLVADTSAGMLWKPITKLMRRLQRLKHS STISCQASNLSSVRDVNAPGCSSTSNFSTSALDLGLDSQNENNGILQGNQVNATSGDA QFNWFTFTDSINMGYPLDWEHGLY LY89DRAFT_708817 MATLSDKRQFLGGQLFGSTYIPKDADLSGKTIIVTGANTGIGLE CAKHLARLNVATLILGCRSLQKGNSARDIIIRDTGCKTTTIEAWELDLASHQSVLAFA KRVRTSLSRLDAFIANAGVRLMEFTLAEGIETTITVNVISNMLLNIGVLPKLRETSVK YGIPTTLSVVGSMMHIFGPPESMAPPSKGKDTFDLLSDPSTADMGPRYSLSKTLIHAL LAEFATVASRPGKHEQVIVNWVNPGWCASDLDRHKKAPPLIQRFAFAVMGRTAEEGSH TLVHAVVAGKQTHGCYLSECRPKPESEFLRSKEGVEARERLFQELMVRIGKISPETAG FIE LY89DRAFT_650422 MLTSKFSRHQCPLFVLPHLRIYAVDTLSIFREVFKRPTMSDTRT ITDAEWEVQKKIIERLYQNHTLSKVMSLMEEDHGFIAKKAQYTRKFKQWNFSKYSTSD KWKFVARELEKRKRDGKESETYINGKLIPNKKIKKETSRYLLPSYYNTLGTSPILQTP TGVEVCTPRGGDWEPRFVDYLHIPWFEFEEFIHRDVDFPRGNVHQRYPAFVPCDDRNL PGSLDIYSVNSSIPQFTLPEEQWNFHEIETNVQTGFDFDFPGDALSRYLSPSVEVADE NQSPFNSHTSNEEARSVFADIIGDPYFSNDEDATHAVTSRLAGLLPERQDGELVRNVK KIFDLSSMVDASLQLLRYTVFLSSNNLLFDSQIDKLLKWMIKTDQSFLIERLIKIKTP SVEIFLSHLLLNATRLQEIDMVLAVLAHGIDVNTPIGRVYKRTALYQATRERDVHLVR LLLNAGANPNASITLDQKESPLQASVRLDNNHELIQILLNAGGDANVAPIDYWTPHTL LTSAVLNRDAALVRILLESKAEVNMMTKSSITALQAAALVNDVAIVQILVDAGADVDA PFGYRYETARVAAAEDG LY89DRAFT_736580 MARRDDSPPSTESSGASISSFTPLEESVPHSPSRTRHLPFRIPK WRRQAHSEGPFLNEKRRIHIRQLIKSAILILLLTSLAIVTWLALILTVANRLRIPHLN NGLQKILETYHAPNSTHAAYPTWVEDFSRGVKPVSCHSHNDYWHRIPLYEGLAVGCVG TEADIWIGNHGNGTVDLFVGHNQKSLTQSRTLRTLYLDPLYDILSNQNTLSSSLPNVT NATGTQDAVSGSPTGVFSTSPSTSLILALDMKTDGHATWDTVIAQLDTLRVQDWLTYW TPSQGVVQRPITIVGTGNTPFDLVISNSSYRNIFFDAPLTSLSDPNTPYNSNNSYYAS SSIKAAIGTVSFGKLSGGQRDKVTAQVDKANQLDLRSRYWDTVSWPVGWRNRVWEQLE DLEVGILNVDDLAAGARWDWEMCVVGGVNICNS LY89DRAFT_736581 MASIPSAVAGKILRNFVVISGSAVGGVFAVYEIFNIAIRKQMAE NERGHLKIYNTIVHPEQDRLDTIFDRTKLLNQAKEKKPWNRTLAEKERVRT LY89DRAFT_563276 MSRSTLQSAYKALLKHQRLLQNPCQAALVTHLANLQADLALRNH NQGSPKGVYIYGDVGIGKSRIADLFAATLPPSISSRRIHFHEFMMDIHMRLHHAHPQA FYAGDPLIQIGRDIRNESRVLCFDEFQVTDIADAMILRRVFGAIWESGGVMVSTSNRP PEKLYENGLNRSLFLPFVDELRRRCEVWKMEGKEDYRMSSGGERRVNVFFTEAHDFER DFRGAVGGLELEAMEFPVQMGRKLRIAA LY89DRAFT_736583 MKHHLSNMLLVLTGFLAAVTCTAIPLSGTQRANTTNHISPRTND VTIYDFVYTIAGESKYKTYICEVYPEPNVCIATMVDVFTQYSHSDYVQMWMFDNKCNQ IGNNGNTVTRDDLAAPGGWGFSSELEDFLVVHIPRDWPNSGQATFDYNSQTTLQPDPD NRYNSQISVGPPSQEETDGFIYTLVGQFDCTSPTRR LY89DRAFT_687002 MLTQAALRTLAFICIFPFLTHAGLISRDFTNPSTSLMKRNYGPS YNPWQWPRTLTVASDPQQRGSCSEFPEIQVGETHSWTAPNNTIEVFCWQNHTTWTGTD FLRLVEDCYINSEYLGPGKWEKDYNGSLPKNFKELLPWCGPIRPYEIFDGNISHETDY MDWDGERKAGQKCFLSPDTEDDFVMKEWEWTVTHCWVEGTEVEGNKYAD LY89DRAFT_650434 MLILQFDEWSASRIVLASVLGYFLYCVGVAIHRLYFSKYSKFPG PKLAAITYWYVFWYDVVSAKGQYMYKIRDLHKEYNSPIIRINPHELHVLDPDFYDVLF ASFPAKRDKPPTWSHAFNTPDSSFGTILHEAHRLRRNALAPFFSTNSLRQLEPLIQEK ISSLISVFRRYQKTGEPIQLRSAFGALTSDIIAEYCFGLPENYIEAPGFNAVVMEATD GLIENTHVMTHVQSLPKVLDMLPDVVMETLIGSGMAQFNVMKRHCIKKIQDTIATRDD FTNLKHRTVFHELLDNQSLPESDKTVNRLWQEAQLLLTAGTATTAAALASVFVYLLLD QERLAVLLEELEFAMPDINKPISATDLERLSYLHGVVQETLRLVSGVSYRLTRSAPTE TLQIGEWTIPPDTAVSMHYPLIHHSPDIYPEPWSFIPERWIPSPAPDTPYHLPSRPKG IPQANSKYLMPFSKGTRNCLGYQLAYMEMYMGIAQLARTFLRIERNDEGKVLGVGGMK LYQTDRRDTDMKKDSGFPLPEDGRGNMRLVVE LY89DRAFT_784480 MNKLPPELYLEIASIFETAVPYDPPRSAYPPTRTSYYKLIQQWF NKKPRPDVKNLRNLRLVSKAFNAAATVVLFRSTSARWWLGAACLRGQLNASIQNSILS PASPLPNKIKYLDIDFRHTGLRLLDYPPTNPRSPAHAESRELIYQLSNVLSSIPDLRS LSISTGTWTSHMEPVFYDSDDDVDIPAEPYAEDVDILAVSALMGQISLAFSAMTYPHL TELWLSLPCTHDFSMLGKSLPLFEQLKSLFLLVADETGPGGSLPVTGEPSRFSDEDDD MPSSNLQQQYPNKDYAAAMFEVVSRCTNLEILGLKCTHKLDDDLLSLNTSRLRCLSLH RMTISASKLIELLPPSTIARLWFESIELTSGTWADVFGHLQNCEKVEYINPSNCSYTR DGSSSHLILWARGPWKDSENVWSTHRPDQSSLVGLVEGIIDKWGGRENYPSLDMEQSM LPSESTDSSEDSEDSEDSEESEDSDDSDDSEDSENSEDSEDSEDSDDSEDSEDSENSK DSEDSE LY89DRAFT_143178 MAVSSIQDRTNEFRSVLVQVQKRQASSKVGAQRQSLLSDSQKAA ANGDAKPKRSDFARRAADIGRGISATMGKLEKLAQLAKRKTLFDDRPVEINELTSIIK QDLSALNGQIGQLQALSRAQHPKADQEGEHNKNVVFLLQGKLTDVSANFKDVLEVRTK NIQASRARTENFVSSVSAHVAPQISQSASPLYSTPNRGSPGPGQDSLSLNPVGDQQLL MMEEAQPQNTYIQQRGEAIEAIERTISELGGIFGQLASMVSEQSEMIQRIDANTEDVV DNVQGAQRELLKYWSRVSGNRWLVAKMFGVLMIFFLLWVLVAG LY89DRAFT_143257 MARPFPNTFEQDSPMTPQSASSISYQTNVNRQKTKKWAEAKTVN YGGDDWGDDDEYDVPPPPISKPTGFRQQGQGIQSSAKPESPGFDSAKKYGELPPLPGA NDPRGRRNSFDADDEKRNFSSSTMRQPSPAAATPTSATSAGPATRFSQITGQPSARNA SGPPALSIQTQQPQAPTGLRKQSQMVSPVSGSPHPDVLQPGRVNQGESSVVSPASETP STASDFQARRDFSPSAVPQPLNSRASPAPQSATDSPSTRFPARKSSLSQAMGPPVSEI MRPSPADTTPKPWVSGRSASPGASARSPGTPTGKALPFIRPADIYRRAEEERRQSLES GRPSMDSVTGAKSSDRSDSPAMPQVREKSSSDSLGGTGRRRTSFEGDEGSDSGRRLMP MLEPVRERKSEYGFEGVNLGEQPPQTGPVHSTQSFESATDLETDEEEARRLSISPKLP DLTRMSGFGMDMFSQPSTDAPKAPTTRDAGSVPTTSTTTLSPVDEDLKLRTQPSFGFK SVVNQAFDRSDDASVPPTPASNTGSGPRRTDSESTGTTGISPIMSRVPSGAGPDSRHR DISNPTILEVVNEPISPETAPSKDIGDEQRGREIPGFKPGYRRDISTPRSDNSPARTP DLAKARIITSGQHAVVSETSPEMEPAESEPLQPPRPIAEREGSFRPSLPGGWTSYATT AQSENPSQAPSVRAHTPIRDVSTANNQGRNDESDLTPTTTKHGMPASELGAAVAGTAS LALRHHDNNSLATGHIPPSRTPSRKAVPSNSALPTPDPAMAPGGNLYSTKDLDPRLLP KLEQAPAETQLRPDADNRDVSAQSSVAPTPPLKDTPENDGADDDSEYFATPPVPLKPR TPVQAEEHETLAPPMRPQMLPALSTDTYANDEENDKLRQEIVKSLTPRPSDGNAHDDG VVSDEFDETNYPKQGHESTYLPREYDNYWASNSDDEEQVPETAPAPVEDPVKKEISQP ETIEQEHNMAESPIVAPLSPRKPEQSSLQPPRPPMQSRFSWEDSNENVNAIPSNDQVS EVSATSSPVHISHMAEDTNVAPTTTLSTPQQSALDVNSVEPPVQEIQPGHSSTEDHNT NALLAAVGAASLTAAAIPRYDSTPLENKSRRLSLAEEKDPRVSSHPVSPTPPEDEHPA RAAQSYFSPSPNQNSPVPAPSTVSPVASPVHQQPFAPPLGPILGFKNIVQIPSSQERI EAFNTTRQRFAIMDSGLNNWMVALQQQHPEHAGAGASFGGSRFSVPSGTGRSKFGRST GGTAPPLQQPYYQQYLNASSPTMPSTPVGPRPGPGPSMTSGSQQGFNSNSSKITSQQV QAKGKELLHSAGIFGGKAGKAGKGLLAKGKSKFRSGGDKVD LY89DRAFT_687006 MSLSLTGEVVGYIGRILLNKNPFDPTGNDFLLYLVPLTISPAFL SAAIYLCLACIVVIYGEQLSRFKPGTYTIIFCGCDIFALVLQALGGGIASGAKTQSKL QLGINVMLAGLSVQVASLTLFALMCAEFAWRLHSHRNAWRTDHAAIYNSRLFKSFLIG LCVATLTIFIRSVFRVAEVSGGFHGPLANNQVSFMVLEGAMIVVATSCLTGLHPGYCF QGAWHAADFSFGKGKVLDEDTEMS LY89DRAFT_736590 MSLLDLSILACIRLTLLAAAWSIAALGYLISLSVNSSTVLAFYF VVCCLAILLNVAGFGVLWAEPKGYKNTACMIAFEYVIMVLVATSIFVTALANIGGSPD GDENSPVQDRWNMVAFVVILVIG LY89DRAFT_752659 MGFPELRYRGGNWLTHVLVIFILVVRGTAILNATETGASLILAN DRFTAAVNKSTGAINYLFLDGQDLLGTLDYVTPTPGGATGSGNSGIGPYLDCYCIPAG SYTPGSIAPKYQLIKGIDSTNTLYGGIVMSETYPPTGQTLEQYWFLREGETGLHTFSR LSYYNKTTPFLRNLQEFRTLFRPNTPLWTHLLTNSEQYAPLPSKNATEHEVTVQDATW YLGGDPNDPYVQQEADYFTKYTFQDTWRDHDVHGMYSDGTTSDDNSTFGSWLVMNTKD TYFGGPLHSDLIVDGIVYNYIVSNHHGDGTPNITDGFDRTFGPQFYFFNKGAPGTPME TLRQEALQFYSSTWNQAFYDSIAKYVPNYVPSSNRTTWKGHIDLPANATKPIAVLAQN GVDFQDNVFDTTAYQYWADIDPSTGDVEIPAVKAGTYRLTVYAEGIFGQYTKDDVEVI AGEVHTTHARWREENAGTEIWRIGTPDKSSGEYRHGYAPDETHPLHPAQYRIYWPVYD FPTDFPDGVIYHVGKDDVSQDLNYVHWSVFGGYANVLRPEPYYENVNNWTILWDMEKE QFESKREVTFTVQLAGAKTAAGNTDVYNASEPYANLPYTVVVNGKELEPWVIPYYHSS SCAVRSAVICYNIANKFTFDPNLLIEGTNEIVLHLPANATDYESAVLPQTVYVQYDAL RLEIE LY89DRAFT_650439 MPKTVDGIESAAVHDAIKLLTNNLVNIKDTTGKFLMTIPDGRVI DTKGWNENNWEWTHGVGLYGLYKYYSLTGDVSTLKIVEDWFRDRFAAGGTTKNINTMA PFLTLAYLYEETNNQTYLPWLDSWAEWAMYDLERTEYGGMQHITYLTPNMQQLWDDTL MMTVLPLAKIGKVLGRPHYVEEAKRQFLLHINYLFDPQTGLWFHGWTFEDGRHNFARA RWARGNSWITIVIPEFIELLDLPEHDPIRIHLIDTLEAQCRALKKYQDASGLWRTLLD HDDEGSYVEASATAGFAYGILKAQRKRYIGKEYEEVASKAVKAVMGQIDSQGELQNTS FGTGMGDSLQFYKDIPRTSMPYGQSMAIMALGEFLRRFI LY89DRAFT_650444 MEYVGKDATDFDRVDDEVAKYTSNVRIDIDEATNKRLRRLIDRR VLAIMIFTYFLQALDKGTLSFASIMGIQKDTGLVGQQYSWLTTCIYIAVLIVEYPTNW IIQRVPIAKYLSINIMLWGMTLMLHAACKKFASLVAVRTLLGIFEACCQPSFVILSSM WYLRDEQAATVSFWYMMNGAQQVVGGLLAYCFTLLHSGPLKSWQALFISYGGVAVLWG VFVLFWMPDSPMRAKCFSEEDKRLMVERVRANQTGVQNKTFKREQMIEAFKDPQTWCY CGIAITTTLPTSGLGAFANIIITGFNFTVLQTQLLAMVLGFYIIIVLLSSAWLVKKTG QNLLFMLIFLIPSFVGTIVLMTVRNTSRAHQIGLLISYYLTLSFWSAQTLALSMISRN IAGQTKKATVVATNFVAWAVGNAIGPQVFLKWNAPRYLIAFTVHLVCYSLLVVVLVFL RWYLRRQNVKKDDLALAGIQEAQDQQYVHAFEDMTDKENPNFRYVF LY89DRAFT_708824 MLSNIQSFAALAVAIAYSALASTYKSGTTVNVDGTYFYIPASPI SSLGLGQDQLSSACSPGDDYIPLTVMTGDFNTFDATTLQSTVTSYLGGDDVFNTGFLQ ATTSCTSELPAGPYFLHAYTGDVFQAYRLYSDYEGAFTEGTIANADGNFSTMSASIPG VQSPTIGVPSRLYYAKSDAQPLAGVRLGVKDIYDVAGTRRGCGNRAYYDLYPERPTTA PAVQRLLDAGAVLVGKMKTSQFANGEMATADWVDYHSPFNARGDGYNDPSSSSSGPGA GIGAYDWLDLGLGSDTGGSIRNPAQVNGAFGNRPSHGLVTLDNVMPLSPLMDTAGFIT RDASLWKTTGHVLYSNNLFTFTSFPKKLYTSTFPTNITSEADAVILDFLSKLETFLGV NASVLDYDSMWASSPEAASTNASTLSDLLTLTYPTLIGKQQYTLFGAPFIADYQAAHD GRQPFLDPNPLVRWTWAQTNATTLEEGINNKTIFMDWWNSEVIKSDPETCSDSLLLYP GTLATPNPRNVYLSPPVIPAGWSIQNVAIMAGVPDMVLPVGQAPYNSTISLHEEYLPV AVDIIAAPGCDAVIFELAEQLQNAGIINAPKAGSTMYRREVSARLE LY89DRAFT_590129 QYWFTAELSYTLTTILIRLSIGFFLLRTCNSRLHIWTIKIAMVI MTLLTITYFWFIFFQCHPVSYFWLQFSGGEGTCFSGQAVEDATIIFSVFAVATDLIFG VLPIFLIWNLQMNPKAKAVVGGLLTLGIVAGLSVIIRIYYVHKVDLASDFLYATSKVS IWSMIEPAIGICCMAASTYRPLFKSLLEKVSGTTHIQTKRSIHTRSKSDPVRSGSSMF SRSKSGSVKSNHTKNSDSFDFEMRMETFETTAEGPGKGKGRGRKEDKWDMKDGIMQST TVEIHRMTRSDDMV LY89DRAFT_621109 MHFCFLAVIQLSIFALEGLTSPDSRHKHVVQDVGIVSSTSSSLT SKSSSSSIRITVTSILKSTSVTLKSTASTSESTIPSLKSTSLSSKSSSLSSKTASLSF QPTTSTAKSTTLTLKSSSSTVQTSIVVPLIKSISSSTSALLINLTPTSPANSGKRGIP YNSPATNIQHFNASGSKVTWAFNWDSLMDTDFPSYLEFVPMLWGNSSVHTTNWKKNVQ NALSRGSKAVLAFNEPDACGGGQSCLTPQAAANAYLTYVQPFAGQVKLGGPAVTEVGT AWLLEFLALCANCTIDFIPMHIYNSATNIAYYQAHMKDIANQTGWPIWLTEFSATGNQ TVFLQTLMPWMDAQPFIQRYAWFMCSPGYSGSTCGADGYPTALGQVYELF LY89DRAFT_671901 MSSMKDSVSRYLYTKRLVLELFDHNNSEHYECLLGSMNSPTAHA RMGDFGIRTPTEFDALNSATRLAGPYCQGLVPDLDFYYVLRLGDKDGPMIGGVSVAQR SASVPPDVGWCILEPYMGKGYATEGAKEFLRYLQEDFGLREVMTWPGATNKESRRVAQ KLGFVEAGTIRLKEEPGKVDVAYILPGMDFTFDNISLSMWGDEGQVSKTSAQLTK LY89DRAFT_736597 MSLSRADRNFRFLFVNTLFREISASTIPSHNMKSNVHRLSDRQT LIEDTQDAAANSSGMNETGKIVLLVFGPLAGLMILWAAYYVCIGDMIKKWRAEKKGTR EKDKKDKMTGIELPNHVV LY89DRAFT_143305 MKVLFTVFALVAILAAWVVASRLGHNNSSTLSTSYIPPYNNIGQ HLATFQKHQTSGRCYYFINTFTRESCSCGCNCPRSIDWSGFLGLFRCVHSSMLYVAEP FDAKRRWTYMGRKGRKGRAGCRNVDAVRLEGCWMFGIGW LY89DRAFT_143304 MANLTTYERDDYVKAVKCLMSTPSKLDAKSYPGAKTRYDDFVVV HMNMTPSVHGTADFLHWHRYYVWAYEEALRNECGYKGYQPYWNWGKYADPTTSPIFNG DAHSMGGNGESVKHSGGYLGMAFINVPAGKGGGCVKTGPFANTTVNVGPLAGSMDPSL KIPNNPRSDGFGYNPRCLRRDVNNYFTSQYLRPIDIANHITSSPDINTFQTTLQTDTT KAFSLHTGGHYTIWGDPGGDFFVSPGEPVFWLHHGQVDRHWWIWQDQDPTTRVQQYQG GTLMMVPNSPAGHISDLQMLSVVTPPSTGAEPSANLVSSTSGPFCYVYE LY89DRAFT_687013 MLSNIEGGLDYKTLVLPTAVDPILYVTHRTYRRKNPIPEFFKHW NSRTYLNRASIHRPISPTFTFACLHGLSTIMAPKNRAAYLEAKMSPKLVVRSAPYTSA KAGQIVIKNHALAINPLEWLIQERGNIMFGWLKYPFVLGADNAGEVVEVGPGVTRFKI GDRVLGHCAGTSEPINDSAQGGFQEYTVLLEHMSTPIPESISYERACVLPLGLSTAVC GLFQTDQLSLPLPTSPARKPTGKTLLIWGGSTSVGVNAIQLAISAGYEVITTCSPRNY ELVKSLGACGAFDYNSPTVVADIVRAFNSANRISGGAMSIGQGAATKCIDVLSQVQGD KFLSMASYPVPQVLPKHFGAAYTIWTFLTGMVEIWFKSKMNGVKFGTIIASTLIDNGV GKKIYEGYLEKALEMGDLKPFPEPLVVGKGLEFVQEAMDRQKKGVSAKKVVVLL LY89DRAFT_143351 MPEAVSNSDKGTVRKIRAHKKSRQGCGNCKLRAVKCDESRPICK RCKAYGVVCNYGRKSPDLELSSSGGFHVSVLNDSSLSSPEAGVPKSIAPSLKQGLAAS LESYASDGLSRWEFELLHRFQLRTIYTVTTAKSLAIYQSSILKYACSHPYLLHGVLAL TLLHDRYFSPLGLNSSLTPSEVYHWGRSLNLYSLALTKPIAPASRDPLWATAAFLGVL SIAHVEARTAKDSWPYAPPSLMDLSWLKMSEGKKTIWKDGNAFMEESDFRILAHEQQG NYQPPLVYGSKEGICTLPSNFLAYFAIGDGFDLIDNPYYASVYSLAQSWKLTSAFQVI MNWIFYITNMENRYKTLLRSKDPRALLLMAYWYRLAAETKHWFLMRRVHVECESICLY LEQHYSQDHELQILLEYPRSILALGSP LY89DRAFT_736600 MLFPTSQSTRFIIIPLYMVSFSTACTPNNCLRALLRYSAGPFCS TYFKTVNTATTALPSYATACPNPLAISSACSCLSTTTTSSAPVSTTSCANSTLADPYF VGYQAGEPTWAVTLNKNPRQNIYPTYGLGGVTGYGSLVVSFPSTQPDPFLSNVTVYQA NVRVCNGPNTIGFYYTASINRCCLGIPEVSYCTITSGLVGIPSSIRITAVPWVQFSFA SQEYGFNVAGLSTAGIVTTFYFTVACELDDWQPEITVLNPGVNVGLPMPPSK LY89DRAFT_671907 MTSGPPGPPPFPPAGSPPPVPEGWRAEWSNEHRTWYYFNPSTQA STWQNPLNNAPPPPSPPPYANGSNNRDLWDNDEKSSSSTARGFPPVLNRIFGHSHSPA PPPPTHPTGTLPLYIHSACFCDADITQKLRAMITPQQTLSLRCDSLTFHFGDPWPNHR KQFSMLYSYGQQPWQLIACVEGPETINLHPIHPVDHARAAFVQAPNSRVVALVWGAKN ALVDPESSTGRSKDMKMMEVEREGRFEASNAWMGFDGAPNMEKMAVVYYRHADGSVGV LTAREGETVRLPWNAFAGAI LY89DRAFT_589606 MASKAMWEVDPETRSKLLEIQKTSQNDRCCDCGAPSPQWASPKF GVFICLSCAGVHRGLGVHISFVRSITMDAFKAMEIERMRLGGNGPWKEFFEKAESNQM AGITWDDVTIAERYSGEVGEEWKERLSAKVEGKEYVPGQKSAPSINSNSRSQTPLGSR SRTDSPARPTGAAGVGSKAKVDDKYFAGLGAANAGRPEDLPPSQGGKYAGFGSTPIER PGQDKALPGLDDLQKDPLAAIGKGFGWFTSTVGKTAKTVNDSYIQPTARQVAESDFAK QASLTAAQVARNAQTGAKSAAEGFNRFVDGSDGPSRTGGYRSMPLDESKKDFWDSFAE AGSAKQNSAVGTSAIKKSTGPAPGTKSEEDNWDKW LY89DRAFT_784495 MSTIVEKVSDAVNDVTAALGNATISDKPDTDKSAAHSDAVLASA AEGRRLYIGNLAYATTEGELQSFFKGYLIESTSIPKNPRTDRPVGYAFVDLSTPTEAE RAIAELSGKEILDRKVSVQLARKPEANAAEKTEGAASGGEGVSGGEGGRRRPAGRGRG RGRGRGGRGGRANRGSRGEEGAEVPSAEVLPLTETTNQATESKEPKEAKDKEAKAPAN RAPRERRERGPPADGIPSKNKVMVANLPYDLSEEKLKELFAAYEPSSAKIALRPIPRF MVKKLQARNEPRKGRGFGFVTLASEEQQQKAVSEMNGKEIEGREIAVKVAIDSPDKTD EDANAPAAEAAEGTNGTAETAA LY89DRAFT_650469 MTQDVDGQRHDRTALILYGSETGNSQEVAEELGRVAERLHFMTR VCEMDSVELNLLPQFSIVLFVTSTTGQGEFPKNAQKFWKSLLRKRLPPDCLRHVSFTT FGLGDSSYIQFNHAARKLQKRLEQLGAKEIYPRGEADERHDEGTDGTFLSWSADFRKH LLSTFPLPEGVAPIPSDTLLPPKILLGLRKDPSTDKSSQKSITSPSIEANLVAPSSAQ DIHLDPASHAVAKPPLPSLDITSQDPKMLYEPAEDEHAKAPLSESPPCPMLKEEYKEE PEVVDLRLPPTNMLPLPDSHNVKLKEIKRITPDTHWQDVRLLTFYLGYEFDYFVGDTI TIYPKNFPTDVQALIDLMGWNDVADIELTFNNTVVRNYGAKMAMNNVPEGFVPLPNST LRDLITHNLDITAIPNRYFFELAANHTDDPVHKLRLQEFSSAAYTDEYYDYATRPRRS ILEVLHDFPTVKIPFEWALAAFPAIRGRAYSICSAAAAGAREDDRYLKIEILVAMVKY KTVLKKVRQGLCSRYLASLQPGTWICSKLSETKPYNSWTLNSENKDHLRYPIICVAPG TGVAPCRALIWSRAILEHDRRTRYSDKLDRAVGHAYLFFGGRNKKNDFFFENEYKEDN MLATVFTAFSRDQKEKRYVQDVLKEQGSLVADLIIKQHARIFVCGSSGNMPKSVRAAF LEILEKWDREERPAGFAEALLADMVKFKRYTQETW LY89DRAFT_736605 MAAVNYPITGPPMPFPAPADGSFPIRIEIRDLQKNADQWNLYLL GLDAFKSLDETSDLSYYGIAGIHGRPYRPWGGVQGDNPGGWQGYCTHTSILFAPWHRP YLALFEQQLYGIIQDIASKFPAESQARYQAAAATFRIPYWDWAAAPEDGGYFPVSVGR ATNVDVITPTSGGKTVSIPNPLYTNKFHPLLPGDFVSQPSGNGTIPYAKWTSTLRCPN KPTSTLAVSEEDQVVQSMTTQFASIQQNVNILLTDPNYTDFTTFSNHELINEDPGYQA SLEDIHNGIHGAVGGPGGHMAELDYSAFDPVFWLHHVNVDRLFAIWQAINPTQYTFDR VDTLGGGTFVIPSGSDENTTTGLAPFNDPSGQNYWTSAEVVSTQTFGYAYPETQRWLF QNDSDYQNSIQNTIQQLYGGVSNSFANGNNFVVQSAPAAVATAPIVQATQVENQKPIS DAAPAPAAKQESGFHPIKGLVHHVQEIFHHDESPPADTDNARGLDLEAEIGKPDENKP EPKAITYTDYIANIKTSKHGLGESYRVHIFLGEFTPDLKSWHTQDALVGTFMAFGKNT TPGDEAETGCGKCKKDAKRDVYITGTVPLTAQIFSEVQKGNCPSMDKENVLAYLTKNL HWRVTLADGSEYPRENVPGLTITVATTEVTIPVHGRPQRSGVYENHPEVTVGRPAGAS T LY89DRAFT_736606 MRLATPPFILFILFVLYIKATGTSLNGILLKDDVQDTNKSMGNT GSCGIVVRHGTNGKIGNIEIGDKAQDSGTTGSITIERRNANTAAWITTPVLLLATLFI FYARATNTLPDSNLTSTQLQNGTEHKGGDGGGGGKGGRGGKGGRGGKGGGGAYGAASS VRVTETLLFGSVAFMVLEELRTFLL LY89DRAFT_736607 MYSKSPRKSTNKRRPSKRVHIEVNYTYSQGPTPLLEQPFSRSNT LATSIIVALFVILLLVIFTPRAKADPPTPIDIRKSHSPIDPWHSSASTTATSLLRPTK QLSNTYQDP LY89DRAFT_736608 MATTTTSSMVTMNSYISPSGSSYLSASTTSLTSILEFYVVLVNQ RHRMRRGAIMAIIAMGLVMAAIIGFCMVRMFTKHSPNKNWQSSDGVVANHERMVIGER FQGNQNQRMAL LY89DRAFT_736609 MLLLITILIFTLLTTSIATPIKHAAPASTSSLPPTASPNSLLDA LFGKDAGSLSQLPPQPWPPNDNQSKKNNLVGTVCGVAIALGIVFAVLYLRWMSRKYGR AAPAPRPAPAVVVTRPIEHPPVTHARH LY89DRAFT_736610 MEFKMRVSIITLSALWLFMPPTSSTTTFPDCVNGPQILITNLVC NTTASPADRAAAIVSAFNISEKLVNLVNTSPGAPRIGLAAYEWWSEALHGLGYSPGVN FSLRGDYSYATSFPNPITMSAAFDDDMIESVATIISTEARAFSNAGRAGLDFWTPNVN PYKDPRWGRGAETPGEDPFRIKGYTKSLLLGLEGDQSFKKVIATCKHFAAYDLEKWNG AVRYGFDAVVGMQDLVEYYLPPFQQCARDSKVGSIMCSYNAVNGTPACANTYLMQTIL REHWGWTEDNQYITSDCNAVQGFYANHNFTATAAQAAGKAYTAGCDTICQVGHSIDVT SAHNQSLLSEATVDQALSRMYQMLIRAGYFDPAEATPYRSYGWGYINTPHAQLLALQA AADGILLKKNNGVLPLSMTAKPSLALIGFWANATTQMLGGYSGRPPYINSPIYAAQQL GYQTFYATGPLAQTSSDNDTWTSAALAAAKQADIIVYYGGTDNSIAAEELDRYNITWP SAQLSLLTELSALGKPLIVAELGDQVDDTALLANHNISAILWAGYPGQDGGTAVLNIL TGTTAPAGRLPVTVYPGKYVNQVPMTDMSLRPSATNPGRTYMWYNESVLPFGYGLHYT TFNASFAASTHSYDQKKFSIQDLMSACNETKPDLCSFTSLPLSVSVQNTGNVTSDFVV LAFLSGSHGPLPYPIKRLTAYTRLRGITAGNTGTAELVMTLGSLARVDVIGNLVLYSG TYKVLLDVDGVDSVSFELVGTQVVLDLWPQPNV LY89DRAFT_720859 MASNKKILVTGATGQQGGAVIKALQESSPSFQILALTRTASSNG AKALATKPNITVVQGDHKTLPAIFEKHKPIYGVFSVTVMGKEAEEDQAKPLIDESIKN GVEQFVFSSVDRGGDKSDTNPTDIPHFASKHRIEQYLKERTENGKKMPYTILRPVAFM DNLSPGFYGKTFIGMVGSLGAKPLQLISCRDIGLFTARAFQDPASYKGRSISLAGDEM TADQIRTVFKETIGSDIPETFSFIAPTVQYMVKEVGTMFKWFKEEGYGVDIAALRKEE PRLQTFGQWLKESSKFSK LY89DRAFT_650483 MANTHKALVLHSTSSPLSLETWPIPAATPGSVIVKVLGTTILPY LKDILNGTLQYTITFPMIPGSNCVARVHSVGADSISLKEGQLVLCDITVRARDDPNKA ILMGLHGGAAMKLMEGEWRHGSYAEFAKFPLENVFPLNEEVVCRKLEYTIKDLCSIPG YLVPYGGFSEINLLPGDTVIVAPATGRFGGGAVTMALTMGATVIACGRNESTLSTMSS VFEETGRLKTHILTGDVEKDTANLTKLANNGQGADAYIDFSPASAAKSTHIQSCMAAL RPKGKAVFMGGIYGKVEIDYNLVMMKSLRIQGRFMYERDMVVQAIKLIENGNLKLGEK VGSKTLKMFGLEDIEEGLLMAGKEAGWGKQVVLMP LY89DRAFT_621142 MATTDGSPGPRIIQWYVDTRRMWPVPKKAKPKDEVLEFKNVAAR ELSLLTEAEQDSVLRYYYLRDAKTKLVSHLLKHLIVTKFCNVPWSKSIISRDAKKKPC YVPEGETSEPDGFAFNVSHQAGVVALIAAVGFKSRIEVGADVVCDNERIKIDYQHIDK HGFFDWVDMHADVFAPSELSYMKLAPVPVDLRVKGAELNGYGQDAISRCQKRHERIAV KMTGKNGEDMELQMQTDPIIDAKLRRFYAMWCLREAYVKMSGDALLAPWLKELEILDV VAPEAKEGIRDEFSLEKGEVIRQFATYMKGKVMRDVKTEMTAMGVNYMMSGSIRVPKE HQMSDVVFGDWLELDFEKDVLAVAESY LY89DRAFT_650489 MRLSTFVLASTAANLAIAAPLSYPLPNGFPNLNATALAEVYKLA GGTLPNGALPTQLTAGATQTLQLIAANELFEVAYFTELVNNITNKVPGYENADSYALK TLTAIVNQEQIHVLAANGVLANANQTNIQPCQYQFPVSDFDSAIALAETFTEVVLGVL PLAQMAFGVDGGDETGLIPVIGSIIGQEAEQNGYYRAFQKKIASAAPLLTGGAPQFAY TAISQFIVPGSCPNIDVIGLTAFPALTVETTPKAENSTQLFSVNGTVSAANASIAYLS GQNLPVTVPITNVNTEGGKTYFFAEFPYEAGFARGLTIGALVSGSSPTFNSSADVATA TLYGPALIEID LY89DRAFT_589757 MSNKTQQQLEHHSTLSTIRTKIGLAPEAPILDEHDVHEHLTWSS VRHIFREPFAEFFGVFIMVLFGDGSVAQVLLSTGEKSAPGGNGFGQYQSISWGWGLGV MLGIYVAGDSGGFLNPAVTFCFCLFRKLPWRRFPIYFLAQFLGGFVGSGVVYANYINA INSLEGHGIRTVPPSTTATASIFCTYPQAFMTKASQFFSEFIASAILMFVIFALKDDS NPGAMGKTGAGQMFPLALFFLIFGLGACFGWETGYAINLARDFGPRLMSYILGYGHGV WSAGGYYFWIPMVAPFCGCTFGAVLYDVFVYTGPESPINSPWLGFKYLLTGGYWRKKK DQEKYDV LY89DRAFT_720864 MTLNSWAERWAETWGLRDNFESTGFKVAAYDIIWTGCNVPGQGD QPYNAGERIRFREYDNEDGTSCTHKMSFQFGTWFGIFKCQASEDFYSYTATLSNFEFL DGNPDLNENLEQIGARDDWFTFEFVDTFDDNGLPFLWWKKFEGSSMIGSTALFGWAKC CMDETVETD LY89DRAFT_784504 MRTSILAVLLAVVVPNVVADLDWWPKSSSDCDESTTKTWPKWTP PATTPCEETTTTTPPVLPSSTPCTTSIIAFTTTSTVCPGCPLSTWTYSLSIPYTPPAS VFTTTTLSLTVPTSTSPTTTWAPSLLTSSTLTVPSPTTTYSPTPVLNSTFSTIQSSVT LSVCPGCASSATASPTPTQVSGASVMKAEGLGNAGLALAGRKIEDFKAGILMLFP LY89DRAFT_621151 MADTRFKLNTGAEIPALGLGTWQSDTGLVKKAVAYALSVGYKHI DAAYCYGNEDEVGEGLKEAFDGGIKREDVFVTTKLWCTYHSRVEQNLDMSLKSLGLDY VDLYLMHWPVAMNPEGNHEKFPKHPDGSRDLVKDWSHIETWKSMEKLLATGKVKAIGV ANYSVRYLEQLLPHCTVVPAVNQIENHPSLPQQEIVDFCKAKGIHITAYSPLGSTGSP MMKEKAILEVAEKRSITPASVLLSYHISRGSSVLAKSVNPERIKANMDIVKLDASDMK TLNDYSLELVKSGKVVRYVYPAFGVEFGFPDKS LY89DRAFT_650496 MEQLEKAISEVPGSILSRFRTFVCHLDLTEIALGYAQSADTHGF IIIYPTAPAGCWDVASTASLTHNGGGDSQTIVNMVKYAETHYGSDLDRVYMTGSSFGA MMTNVLAGAYPDVFKAGTLYGGVPDGCFYVAGATAGMTSPGWNNQCSGGKLIKTAQQW GDQVRSYYPGYNGTRPKMLIFHGTADTTLYYANFGEELKEWSNVLGVSFSHNETNTPI SGYTKMIYGDGTQLVGVSCYNVGHTPPIRPSDDLAWFGLA LY89DRAFT_752697 MTSSLDYNGNHLTITVAIFLSLTYISVLLRCYVRVRITRAFQLD DWLMLASLAVFKCSCAFILRGVHYGIGKHNAVLSQDNQIESLKYQAFATLSYVVNMMF IKLSIAIFLLRIAIARPYIWILRISMAVVVIWSLAIFIYDLFQCLPVQAQWDFAIENA RCVSGDSFAAAAYSISVMTILTDWLYALLPIPMIWSVQMSIQAKATVAFILLLGIFAS IATLIRLKYIVDLTNVSDVLYTGTTAMVWTLIEPGIAITAASLVTIRPLLRALNLSGF SSDHSTHAY LY89DRAFT_736620 MIPFNNTSLVTPPRIIPSLIFKRKESNFQCAIHAKIKARNATET YTRLPRPDGVDILSGNDYLEMLANFDLKSSTDASLDSLRCEMDMFMWYRTDSIELDRG RYLSPCLLYLREGSLNSEEIPPDAKIYDPAWRTIKIVLHNGLQISFNALVLGRLAVNR VSTYWIVVLDEEKHLWALRAAGIDEEELDDDEAWMDDPRWPAPQYDEQKDLFGADLLV VRVCSLASLQHYRKSRQQFSPEPCYSAKCWTSTYALLKASTTAAAEES LY89DRAFT_687027 MEHKEGKRAQEQESAMKISMSKMLMCRLRSFVCRRSFSATPLSL PLPLDTATCRARALWAGRFRMKKESLGNQFNIFLFKTKTHVDSVQQKFRARMQLNAHV LLAQQFCQFSGKLCTMLDSIRSEDPAFTPYIHPLYGVVEILNCYYSDTSEVDEHLCTQ WFGDQRYSKLFQQWQDLRKGVKQRAQSLEAFLSPDRPRLMADDGKVPLESLLELSIQC YLDWVPNRQPKQYDIGTDIPHLSFVFCC LY89DRAFT_143692 MSLEEPSTTILVSCKQTRFHIENPVYQELDIESLNITVTSGGKS ASKAKGKARAEGLEILSNANLKLKAGVHYALIGRNGTGKSTILKAVAEKLIPGIPIQT RISILQQTATDGSADGEKAGFSVPAVSSQTLFSVLEAVIDRATSRNEIQQEIDVLSNA IDKSDTPLSPLRAFRQVHHNRLKREVFELDKDARLRSGTRGMAARKALTAFEKKVTES TEKLSQTDEEIDEDTVKEETHAATDLLAELQAQLEPARLANIEVKARSILTGLGFSKA QFGKPVTTLSGGWRMRTNLASALLQPTDILILDEPTNFLDLLGIIWLQKYLLRLRDDG PNPPTLVLVSHDRDFINTVCQELIILRDHDLTYFRGDLPSYDASIRDKKLYLGRMKDA QEKQKAHIQQTIAQNIKQGKATGDDNKLRQAKSRQKKIDDRMGMEKSATGGRFKLNRD LAGYHLTARAEIEIPQDEKGVSIMLPAAPDLRFPGPLISVENVTFKYPTLKKSSAPTP VVLQDVNLTIHMGDRVGVVGLNGCGKSTLIKLLTDTTKPTKGTVTRHPRLRMGYYAQH AVEDLQALGRAEPELTALVLLIREVGTELDEGEVRGLLGSLGLPGRTASDVPLTKLSG GQLVRLALARLLWKSPQLLILDEITTHLDFHTVTALTDAFGSWNGAILVVSHDRYMIR RVVEGEKDDEDSDGGNEREDGDESRRRTVFLLKSGILKVLERGVSGFEDSLEKRVEKL SLA LY89DRAFT_687029 MLSQEIQEAVAAHNAARHAAQGVKRPDLVWDERLAADAQKWADH LAATGTFQHEGMRGEGENLFMQMGGGHQPLKKGVEAWNDEKPHYHGEKIAGGNFSSYG HYTQVIWPTTTHVGMASATAKNGHQVIVGRYSPPGNFSGKTAFEA LY89DRAFT_698831 MFASVLFALVVASQVIRAVPSPNSLGSDLTILINNVILVHQLIL ALSRDSAADDCAALGEQLWSPPTATTNIQPNLDYLTYSSNYSSDQQYWIAPQNSTPRA IDGLGHIWDNSTLSPHSRLPAFCTQSAPFSNSSFQDPSRRWQVTVHSNNEYLTGFRDR LSFRFLGVRYAPQPKRFTYSTRYVGTGSNVSATSYGSECVQSGGGSEDCLFLNIWTPY LPAHGGAPASKLKPVMFWIHGGAFTGGTGNDPTFDGGNVVSRGDVVMVAINYQLSTLG FLALNDGVTKGNFGIADQINALDWVRKNIQDFGGDLDRITVFGQSAGAASVRALLASP KSVGKFQGAIPQSNLGGGGYGTTYSSWLSIDSEMTMAADAILSATNCSSAASQVDCLR AINASTLVSLPTVARYLVVDGTYLTSSELIVNGSANAALKGVHIMEGLMRDDGAAIIS YVQTTNLTTSLNQDGFSAASIIPSDLFPQPTGTNTSLDVFNVTSRVGTDTIFRCIDQA TAYSVMLNNVFAPDQYFYEFNRSYQTPGFDPNAPVCDAPKSAAYPNGDPNQEYFKCHS GELYFVFGNLWFNGLPLRDWNDLTFQQFVLDSWTSFARTYNPNPEPGLLTARGYTNTS MEIEVAGMWQPVKSGMEMRELEWPSYQTAFRDVEQCAALGWPITYYSG LY89DRAFT_143811 MPGSMSSSSPDASPTSATGGGRHFSMSRDKFTIDIPSPRLQLVT NGALNTNNHGSLNSPNPLKSPTARRMQSFSREGILGSAQKARNLSQSSGDRESMINGL QNKQNSDDGINPLKRRSTDVGIDYPRRRATIACEICRSRKSRCDGTKPKCKLCTELGA ECIYREPGIKLDAGDKLILEHLTRIEGLLQSNLVGQTSNLALSTRSPSVNGATTISND DVLMQNGSNFVSMIPATGLGTWNAPTNISTMPKVHTNAALHLLQWPLIRDLVSRPYDP QILLQLEMAREPLVLSKTPCLDLSNTSAYIEAFFAKVNVWYACVNPFNWTSHYRIALS NGFREGPESCIVLLVLALGQASCSGSISRLAPNENPLGLPYFAAAWALLPGLMTRNSV LSAQCTVLASAYLFYLVRPLEAWTLLSSTSTKLQLLLSAPGRVPAGQRELNERVYWNA LLFESDLLAEMDLPHSGIVQFEEMVGLPGGFEPEDDEGVGRDDLWYFLAEIALRRLLN RVSQLIYSKDSMSSTQSLEPVVAELDFQLVQWYESLPMALQFPFGRTTLADPVQTVLR LRYFACRTIIYRPYILAVLDNEQAAMDPSVRENCRKCLEASIRQLEHITAHHAGHMPY LWQGALSIVSQTLLVMGATMSPTLNNIIITLVAPMDSVDRIINDVVMEIERYAHLAPS LSLSAEIIREAEMRRRNYLGG LY89DRAFT_621164 MTSSNGPPHFEYHDGALSWGTTKLLDENIICVTTDHSQSTIFSL TATEEGSQKQFELQITNTTSLPPEFLNRHLLKGLPAYLHPDDNVIHVLISTLSGTGLA PSFFDDVLHPVLLGIGLLDSSYHVLRTENTNSVAEFAKATLLPAAAAGKKQTVLILSG DGGLVDTVNGILEEGNPPSTYRRPVLAQLPLGTGNALFHSLHKPTSAGVPSLYIQGLR TLLHGTPRPLPIFRATFSPSARLLTNEARTASPLKNNTLYGAVVASYGLHSTLVADSD TTEYRKHGDKRFGLVANDLLFPDDGTRPHAYLAEVVLSYDGHKDTVPRGEHGYVLATL VSNLEKTFTISPESAPFDRALRVVHFGALSGEETMEIMKAAYDDGKHVQSDEVGYENV ESMRINFKESGESWKWRRCCVDGSIVGVEEGGWMEVSLLGSGEEVVDVVADP LY89DRAFT_589705 MADLNLQEIHDLLVNVAHEAGQMMLTATPSYLSSGTKKNSADLV TETDKAVEKIVSTRLLTAYPTFKFIGEETYYPGQTLTAEPTFIVDPIDGTTNFVHAFS TFCISLGFAVNKVPTVGVIYNAYLDELYTAIKGQGAYLLRKGGKKEKLPLKKEPDPLK DLSTCLVGAEWGNDRTGVNFEVRSEVFTKVAATKEDGGAMVHSIRSMGSAALNLAAVA AGQLDLYWEGGCWAWDVCAGWCILVEAGGIMVSGNPGDWEPTIEGRKYLAIRGAPSGQ KDIAEELWGVIGDGRLDYST LY89DRAFT_143890 MAHVTGLGAFFIIVLFLIVFGVAGWIIYTHLRARRLGLPQPTLS SYNPFQRSSERYGAPSPASGGIVGWVNDKVRAFKNRNNRSAGGAYEEPLSSNVRGRAS NRGFGPLDPDDAWDARVGTEADAYGPGGYYEEQELGLHHDRLAPTPGQAPPGYGEGSR GRSLSREPEPYIGGSQAGLDRRYDEEMGRKPAQNPFDDSAAEPSNVSLRGVSPRPIDT TVKGHKSQDSQESPSERRSMFRENM LY89DRAFT_650516 MTTPHGPSRSTAPPPPAAARRLHPIHHNAHNIGSRDIPLSVLPS TSHGHDSRHDSNDSDDNDDDDDDDDDELDPESNAALLRRHIRDSADDEESSPTEYISP FRRLMGLMSKAPLLLRSSPGFGTGSYGAAPIGASTENSDEEDHDGRRPTKKQKGSGLR NAISSTTLGTTSSGSTRRRTSNARRRPSTEVEDVADDIGLESRCASGGIPIPNTEQPS DTDSPDSSSEEAVDDASTYNEEDPPDNSPYPQVRASVSAVDNTTLSINTPRMWALSML FAILGSSSNLFFSLRYPSVSITPVIALLLTHPLGRLWDAVLKRLDDPSEVFIDGSKSQ TSSNDTTNYESHDAQRKIPDSRSRRLRLWLAQGSWNEKEHSCVYISSNVSFGFAFATD VIVEQTQFYNQKVSITYQLLLILSTQILGYTFAGLTRRFLVRPGGMIWPGTLMSAAMF TTLHKEENTVANGWKITRWKFFFIVWLSSFLFYFLPGLLMPALSYFNVITWFAPDNVV VANLFGVASGLGLFPVTFDWAQIAYIGSPLLTPFWAAMNVVGGLVIVMWIAAPIAYYM NVFSSSYMPILSSAVFDNTGGIYDVSKILTPDFLFDRETYRNYSRVFLPITYVLSYGL QFAALASLLTHTACWHGKDIWKQWRRSLKEVEGETKTTYKPLSNGGSSGDGRPGLHRS DSHMENIISQEDVHNRLMRRYKDAPLSWYLATFVTMTTVGIFVVEYYPVHLPWYGLLL ALGICAILFIPIGIVMAITNQHSSIYLICQLVCGAVFPGRPVANMVFVTYGYISSAQG IKFSADLKLGHYMKIPPRILFSVQMVATIVSSVTQIAVLNWMFANVPNICTPQAVNGF VCPIARVHFNGSILWGVVGPGEFFGKNATYHILVWCFPVGAIAPIILWLYARNKKGNI VRKVNLPVLFGSLSWIPPATGLNFSVWAVVCYIFNYVIKNRYTAWWAKYTMTLSAALD SGLAFGLVIVFFGFVYSGLMAGFKWWGTEIFKQGCDWNACPYRIVPNGSHFGPDTW LY89DRAFT_143917 MGLTTGQKEVLITIERTTASISVISSVILILTYLSFKDFRTLPN TIIFLASPANLLAGVAALIGGSGLDNVDGTTCQAQAFLLEWFMQSDPFWSLVAAVTVF LVFFRRWGAERITNLYWVYILFCYGIPGVAAFVCLLYKKNGPIYGNASLWCWIDQEYH WARIYTYYGPVWGSIVFSLSIYVAVGIRVYQTRSQLNDARNHPYCGTGRSSTIRSPSL LEQATEVQTTDKAPTIKYPDAVYSPTSATSPPATPPSNYTSTLTSTPVHLMPLHVIFR FMSRAAMLSVSGLRQAWKKWKNMDEVKYKYTKCAGLFAISILVTWVPASFNRIYGIIY PDGRYVYALNIASALVLPLQGFWNAVIFFSTSVGIVKMVWGDVRACRRLVLVGKMLGW GSNVQEINVPLAERRNSIVGMGRSNDSQTRLRASTRLDDESLLDSL LY89DRAFT_784517 MYIFSLFVILLLTGPARAWIPGQDKEIYAADGSNLFNNTALATN ASSKRWLPSSAKIRGVNLGSMFVFEPWLAQTAWTNMGCGGLNSEFDCVSHLGQAQANS VFQAHWSSWITQSDITQMQSYGLNTIRIPVGYWMKEDLVYSDSEHFPQGGLSYLEQVC GWASDAGFYIIIDLHGAPGAQVANNSDTGQFAPSPGFYVDYQYSRAETFLSWLTNLTH TTNAFRNVGMIEIVNEPVQNADTVATMRSSYYPNAYAAIRSAESALGITASNALHVQV MNELWGSGDPKQYLTNQNFMAYDDHRYLKWSNITTSMSAYLSDSCTNNRASDGDTPTI VGEWSLSVPDDVQWTSAWDPSTQQSFYSQWFAAQVLTYEKNTNGWIFWTWKSQLGDYR WSYQDAVAAGVIPQNLSGVNASACSGY LY89DRAFT_687038 MKLSPLVAAAAFILSSHAYSTKSTPLYKNPDASVDDRVADLLSR MTIEEKTAQLIQGDISNWINTTDKSFNASGLVWNMETRAGQFYVGYPVPQQWIADGVK TAQDYLMQNTTLGIPALVQSEGIHGFLIGNATIFNSPIAYACSWNPALIQKMGAAIAQ EALALGVNQIFAPLGDLARELRYGRVEETFGEDGYLAGEIGYAYIVGLQGGNVSATVK HFAAYATPEQGLNAGPVHGGERELRTTYLPSYKRQIMDAGAYSIMSSYSAYDGVPLIA DWHILTDILRNEWGYKYWVTSDAGATDRICTGFKMCQSSPLDKEAVTLYALPAGNDVE MGGGSYNFETIPALVNSGKLTEDIVDTAVSRILRAKFAMGLFENPYLGVPASETASKI HTPETIALAREIDGESIVLLENHNDLLPLKKSANVAVIGPMAYGYMNYGDYVVKDSQW RGVTPLDGIKAASQGVISYAQGCERWSSDQSGFPEAIAAAEAADVAVVVVGTWSRDQY QLWAGYNATTGEHIDTSSLNLVGAMPHLVSAIINTGKPTVVVFSSGKPITEAWISQNA SALVQQFYPSEQGGNALADILFGDLNPSGKLSVGFPYDVGTTPIYYDYLNTGRGSDPG HIYPNGTLVFGPEYVLNSPLPLYEFGYGKSYSTFQYSNVTLSQSNASTTDTITATVEV TNNSTRDGTEVVQLYVKDLIASVAVPNIVLRGFSKVPIAAGKTATVEMPLAVADLGLW NIKMEYVVEPGDFVIYVGSSSADLRSNATLTVG LY89DRAFT_589673 MSSDPQAAASDSIAHQQEPLIVNGDVEAQARTKDKVSYRALPNK KQLAILCIARLADPLAASSIQTYMFYQLRYFNPSASESLISTQAGILVGSKTAAQVCT GMLWGRLADSEWGGRKSVLMIGLLSSGIACIGYGFSKTFVSAVIWQVFGGAMSSNVGI VRCVVAELNPEKRFRTRALLLLPLFANAGMLLGPLIGGLLSSQHGGGTFEAHPYAPPN IFAAAVYAIAAIGVLFGLHETLESLKHTEGSLAQRVWNKITSREKPMHDYQAIDSDEP DSPIKMSQLPEHIEFQTPPKKPAKLAFKRIWTWNVVCTMIAHFIIAGHIATFTNLWAI FLSTPVEASEHQHPPFLFSGGLGMQPRDVGFAMSTLGAVGVVLQLVIYPKLNDRFGTV NIWRSALYVFPMAYALAPFPALVGSANLVSGKTVLVWLSVGFVLLLFIIGRTGVTPAT TLLINDCTPHPSVRGTIHTAGTVIGNLSRSVFPVVAFAIFGKGLDIGVVGLGFWCLAC LGVLACVASRWVTEGTNGKEIVLEGEEPANPPQSTKASRK LY89DRAFT_621185 MALLQGYPKARDRSGRTKGHDSATRISRKAYFKAAGLNFLMLQV LFLGLFCYIFGAIFQQGPHTHNLNIVFVDYDNGVIGRSIRNAYAELQADTFPSLQERP AAQYPSPDQLTKAVCKTDFWAALYVAPGASQRLENALDGSSSAATYNRSDALTYIWNE ARYPTVADSSLSANIETLSSAARVAYSAINGTGALQMLNTSDPTSITVFANPWQLSSV NLQPTTQGSRLIYNTLVIILILIQEFFYLGVINGLYAQFKFYVRFLPHRIILYRGLVS LAYTFCGSLCVTGAIWAFRAGWNVNGNQFALSWAILWLFAHVNFLWLDVFSVWLPVQF LPMALITWVVFNVASILLPFELSPGFYRWAFAMPAHEVYLTLIDIWSGGCNPHLSYAL PIMFSLEVLGWFLSAVGVYRRCHYAVIAETAAQNAFQERLNAAMEFERKHDQEIREAM EGSQEVISEAKEREKMGEEIEKQETKMARDNRRASVACNFGPSFELVGSKSNA LY89DRAFT_752742 MGDPFVYLAEWQVLLCTSCGYCLRPGRDVWVRHLRQAPHLLRGA SLKALVELFASYVLRAAEAEPGQLPTEVISGLRLLNGFQCLTCSAYLTRDYKAMQRHV SKAHQQKPALHEKSPLWREYKLQTFFAENRWVRYFVVSGATGAIDASTKSLDSGEADF FRQLDEDAVTAEEDAKAEANIVHGFDSHRSAVVPWLRRTGIEEHTWGLKKDEMHASFL APRNADDEPELFLMLEVMDEIFTEAHS LY89DRAFT_720881 MVLALGESPLRLPASRGGRSDHRGPWVTLLFTCRSEAEPARLPA KAIPGLRLLDGFQCLTCSAHLTRDCKSMQRHVSKAHQQKLALHEKSPLWRGCKLQTFF AENRWVRYFVVEEGEVAARGSSECSFIASLDSREADFFTQLDEDAAIAGEDAKAEANT VHGFGSHKSAVVPWLRRTGIEEHTRGLKKDEMHTSFAVPKNAESEPELFLMLEVMDEI FTEAHSCHFTTADDDQRTPESCIQLTDSQENAWEAASQSAVKQDRPALRNAISDLSMA LVCHEFGGNRYNSPLLSFCAMLSVKPHTKTWKELGNYNSYLSGVIWVAQLIIFHTSAY LEKAELGDTLERIKQYCGKFLKQDTKTPIGEILGWRLLLFTVSKEVVGPHQAQWDVDE KVLTYRDVDLHIDHVPRLLLSDF LY89DRAFT_687047 MVPLLGYNPNVSLNLFGILVVSPIVNLKAPNVSCKLSFNDIDYH LPLVGYV LY89DRAFT_752763 MATSEGKSLLFILPCILPNAGVTILVLLLVSLRGDLLHRVRELG IDHLVWSPSEQRDVPLVFIIVEFRTYAHKLAATQDLGRIVFDEAHLTITASDYR LY89DRAFT_144126 MESWRLKDDLDLEDFGGLWLSHPTSRNGRICGVNPESVGEMTLD QGEGTDQFT LY89DRAFT_720882 MNGEVFIQHSSISAPQYTNKAYGRIPFWEAALAEARLPRQVTLA TYISLSYLDFQLFTTNFSKIAPRFADAPKISDTSKSEHSDKMGKDVDALIRERMKQCK VVYRGPKGDTSAPTSPSLKDIEVLIAKVVDKTCDKITETCGGLPSQVKELEVQVAEAN RNITALTARINQLELIAINERKLADALKTERDALWKDTKAIVSSKKDFQDLDQFLKAW KKDIPSRKDIDDIKRLLEELKDANLSQDVEDVKQSFQLWEMQMPSKDDMQELKDLLEQ LRDNQLSKADLADIITIVQEDLVTFKKDMEQTTSSKDESIPLLDQMKSCFASKEDVAA SLQSVKESMLVKSDISGLVEAALSTTLSKNEAAGMFATSKLNLDTAATNIISSMLSKA DIETVVKDSTNSMVSKVDKLSTLSKADIVTAIQGSKFSTVTRADIDTAIQQAKLSTLS KSDVETVVKESASIMLSKTDVETVVRDSARAMLSKSLNAIKGDVETVVKSSVTTMPSK ADIDTAIQNANLSKLSKTDLNAVIQEAKVFTLSKTDIVSAFEHARLSTLTETDVAAVL LASNISTVSRADISAVVEENNQRLLSKVDMQSVLDNGNTSLVSRIGSALEKYIGNFAT KADSSMLQDEVKAAVGRINMLSHAVTSASDETLAVGWNVRLVLSNVSRILPRTRNIEK DITLQRNICNAISVSLGKHETSLLALRRHANAHSKQLNGSLCLSMMASAVYTSLTKSL EDLKQQIGDVCESELAWRQHCEIENTTGAEESLGVQLWKKPSLAHHLCGMTMRLSNMT LSTPTPMGLRIDMMGETLTRMHESIGQLVTSSQNIHGKLDVVSKQNEDGFNDLIPSVG RVSGDLIVVRERVRQVVEKSEETKSSVAGIKSSCNSIGTSLHDVEAKVTSTHSHLKDL DVAGFNETFTRDAVEEMCKAMTHTVEQRQGFLASRSGQVNSTSRPASPSKDAGRERRS PSIAKSSQPGSTIGEPASAMDIIMSFVESRGDPAITMEEFTRALKVCVGEDVPTSTLQ RLQSELHITHEHLERYQKFPSADLIIKAAKVLGDAVKAHGERLLQDRPALNSPVILDE NSDISPVGGVLKSGILPSRQSVTTACDESVGSSMPPRPQVIDENQSTDSQGNVMKGAE SRQSHVTPTRTRRTPGPLPTHTKTQLRGNEQSATTLDLESTKRPLSSGNDSSVRKKAK IYGSNPSTGDYSERTSHSDGSDSEQDILASQGDLDTSGTSYSTAFPTPSTQHTSSPSQ HRDEGSTYAKGGQKWVEIDIGAPARLGKGMDAKLKNASLSEPLKQMIHGTDKKKKGWR EKLSVGNQDIVRLCVLSSIDPDKEWLESVGLEPATGMTGLHTWFEKNWTNQDEPCPQC LHRIDAGGVRIVCMYFIDKERIMACI LY89DRAFT_720883 MSNFIHHVVSSHSGVSTNQSPPVNWAHDDLTSRFNLVIGEVEKI YGDDFPRQRRDDMLFWNVLGAIEIEERKARVDANLRGTASTGIKVESTGIKTEAEEVS TSGPSNTRRVKIDEEERKYQPVSISPPAQNSTRREHASGRGMPAAAHSAGRGRLSQ LY89DRAFT_144301 MQLRIIEATKHAEELEPSEQKPAHNRCEDGRMKAQITELEAACQ QLKTVGPQLDIAQETIEQRKERGEQIEVGSDDGYDRSRKEICDHIKGRAKVLSALEDH RRSKSKLLRRLQENRTELERLEEKKRNREGILALMEAQESHWSVDKPVVTLSSKVVVH LY89DRAFT_621190 MRPVSLHTGAWRYPGSYPDANFNLAHLKSFIKKLEAAKFDAFFM ADHLAVLNMPVEALKRSHTVTSFEPFTLLSALSQVTEKIGLAATASTTYDEPYHIARR FASLDHLSNGRAAWNIVTTGNPESAKNFGQDEHMQHGDRYKRAREFYDVVTGLWDSFA DDAFVRDQKSGTFLDPVKMHVLNHKGDELQVKGPLNIARPVQGWPVIVQAGQSDPGRQ LAAETAEAVFCSPKDLESAKALYADIKGRAETAGRDRNHLKILPAAFIVIGDSIEEAV NKRLQLDSLVHYDSAISSLSIALGTDASGFEPDGPLPKDLPETNASKTSRAAVLRLTE EGLTVRQLAQRYGGYAGLAFVGTPESIADDMEKWLSEEACDGFTIALPFLPQGLDDVT ERLVPELQKRGIFREEYEGSTLREHLGLPRPKNQFF LY89DRAFT_590826 MWERPRLLDDPFTTPSPETPPSTNFKWARVSRPARFSGLRFSPF RIGIALVVFGSFILVRDWIPRSGGLVNISNVTVVPQAAFQPPEAGRYRAPDLIDTFQS YKFRNTCNVSSLDLHAPFSPLCKDRSSMLAAMSSGGRIGHDAPYMPRECDMRWFTTDE ICEILGRFDKVVLVGDSMLRHIIGSLNILIRKDLGYGAVTDWNFSLQESRKECFCNEQ FNVKACSVQGIYKTADVLTHDPESLACNDPINVIMEEIVRFPVPEHEIKQLEDSIGDQ GEKEIVFLFGHGLWSNLDLQKTVNWLDAVLRVVSGTIGREWHGLFITPNASGKEKPDE WIVTQGNKALMLFEEAVGIEAAKRGIEHLGTWNMSIQSNKYDGVHLDMRGNLVKAMMV LNWLNLIE LY89DRAFT_144360 MAKDHNNVVEMVRQSPPVDTTEPYDPAWVAGKTIIITGGASGFG AGFAKKWALNGANVFVADVNREKGKELVEEMRKESGSEHHHFVYCDVTNWQSQVDLFR TAIKLSPHGGIDAVVANAGITDVGSTVDDPKGLDVKEPPEPVFKTIDVNIIGVLYTTH LAMFWLPRNDKSTKPSPSKLPPGPYTRDRHILLIGSIASLCPIPHQIQYGTSKHAVLG LFRSLRSSSFIHGVRVNLLCPYFIDTPIIPAAGRLLLAGGAMGKPEDVVDAGTRLMAD SRIAGRALAIGPKVMIDDEWQLVPQNTKGGKEVAVWEAYADDFVEVDAFTRRFVGMLN SVERTRGWIGWAIDVAKAALYPLGLLK LY89DRAFT_687049 MSNLVTSSCSRLLLFPFSSSAPLSLSPLRLAHPRIFRARYFQPR RTLNSFHSHRQSSQPQLPIGNSNYRWASLSNRVNMAPIALQL LY89DRAFT_590713 MSGPIRKGLTNILKKEPTDIVILSSLRTPITRSYKGHLKDAYPE ELLATVLKATLAANPNLDPALIQDVGVGVVLSELGGSKAGRMAMNHIGIPTTTSFYTV NRACSSGLSAITNVSHQIATGMIDIGIGGGMESMTRNYGSRAIPTVLWPELKESEVRD ARDCIMPMGLTSENVAERYGVSRADQDAFAVMSHQRAAKAQAEGLFDMEIVPVHTRYQ EVDKKGEKVGGEKEVTVTKDDGIRTNASLEGMQKLKPAFKENGTSTAGNSSQISDATE LGLTSSIIGKWAGTQVAGCRPDEMGIGPAIAIPKLLEYTGLKTEDVGLWEINEAFASQ AIYCLRQLGLEKQLEEARVNPKGGAIALGHPLGATGARMLAGLLPEMERQGLQTGVVS MCIGTGMGMAGLFVRE LY89DRAFT_144148 MAPGKASKAPPTDGRTWSQSLSILAVVVALLSVVYYYLDSHLDW FYILSPPELHDLSLRAIAAHGNDTRSVVTYIADELHGKFPGGYINLDQEWIFNNAGGA MGAMYIIHASITEYLIIFGTTVGTEGHSGRHTADDYFHIIRGTQLAYTPGDFEPEIYP QGSVHHLRRGTVKQYKMDEACFALEYARGWIPPMLFFGFADGLTSTLDIPTLWRTTWV TGREMISNLLRGKL LY89DRAFT_687051 MSMFRAKKLDLGCFVNIKVIRDHTKRKVFAEHETERQALRYIIR NLSLPARTRAQAALQLTSMHCYTRPTQIRNRCIEGGKGRGVLRDFKMTRYNFRMNALA GSLPGVKKASW LY89DRAFT_144664 MFRRLSSGLPKNPIFPHDLKGLGYFVNKNDEIRSIEDPKAYFKF FITRNDRHNCVQREAMNAIRNLIADRLQKLGLEKIRLPLGAEANEPNLPIFISSNIQD KKRVIIVFNESSQDLGVFAHRIIGGKGGVSEGSALNLVKYIQSQATSPDNNDPPGIIL ANMGQLRWWRRGKKAVTLTSWNALPQDSAVEQAFRFDEVKNTIPFNRTTYEHVEYVFN EVVEKLVAADAQLNVIGVSEGAVQVETFLEKPKNFNKWGKRVSALAVIATYFLGHEIQ NQEFAKWFINHGRGYLVSNEPGGVFLADYNGRKRIPAYGCPVFSLGEPYYAETLLPKG YKTVVDWFQEVASDRDYANPKFDRYDFGGSDNEEEAGEDDAAWNTQEKLGTIKDEERI VEVE LY89DRAFT_687053 MRPRLPIRRILFLRPFRPPNSLRPFTQNTLISKSRPQLPFLSSP NRRPIARFLTTERKQWIKDEVRKAGKYTAVIWTGSFLLLVIAFGVQQEWLERKFPSPH EWSWVTRKDYRSARWNEDHDDDGNNLVDWARTGEAYRRLLKRLEDPNIDGAGLDDVVE GGILVAGVGKTGYDISMKPESWRRGYYEIMMGAARAAEHLDGWVRDRTRNVAFPASVV IGPSNPNPRPVPPGAKSPPREEDCEPAFESPDTYYMKILTTHGFTEKQRVDAALACGS WLDYKQTPEAASQIYQWALDIATSSSADVVDSTAILHPEIRNPSANILSATTALAVHH ALNKRLSEALPIFISVLRARRTLQDVPATMRSTLIPDEENDGIWKAATSLVRSAIVAP AYPPPPDDGTAAPLRGPKERCEEAGIMTYIGEILYASKQSRTSREDGLAWTREAVDIA EEELRRKGIGKDAKITCKQCLAVGLGNWMTMVTKMAKEEKEKQAANAGSWLAFGIEQK DGIGRWESEEQVIKERMRRSAEILATPGKSAGSSNFLTV LY89DRAFT_590951 MSEPHSKFKLIRAPQPYPTTQPIVFLSGTIHYSSATDWRGHVSS SLSHLPITVLNPHRADWDSSWKEDIKFQPFKDQVNWELAGMEAADMLAVYFGTNTEAP ITLMELGLAAREKGKKCVVACVDGYKKKGNVQVVCERYAIEVVSNEEELGKAVLVKLK ELGVEGA LY89DRAFT_144489 MLLQKTIIALTLALACESSIIPEVGYGLVARQNGFGGNNNAANN GGNKGGNGGNNGAAAAASAAAAKASASAAASKANNGGGNGGNNNAAAAATCLNAKAVQ TGSASDGNQTPADGQAASATDTANFINFCSGQTLTNGLQVKQGSCNGIVMGKIPSTAN MISGIITSPKPGENIAANKDFTISIQTKGLTAGSFTNAAATYYSAPQDLASNGQVIGH THLTVQDLGNSLQPTTAPDPTAFVFFKGVNDAGNNKGLLSATVTGGLPAGNYRVCSMT SSSNHQPVLMPVAQRGAQDDCQKFTVGAAAGGNTNGNAANANNGGNAKTGGKAKSRRF IE LY89DRAFT_144487 MIPDPSSQSVSCGTKNRDSSPTPNTMQLVTAEDESEVEVARYMS ADVEGNEGDVEGIIVTAGARSVERSIRYLNDSVPDDETEEEVVAVESEKVTSKRQNGF PSVKELPSWFPKNIKKIYRVERHGGALSFKELNLITRYRNLVEIIHRRTWKRYLKAGK ARWQLQKVIGRHTSRSLTKAFFEHRKKQFTAWKTKALRAWKKLEKVHRGTLILDETIN INFRQERMIYAKKLRRSM LY89DRAFT_144256 MMPHFGLLFDLLVAPITPLSIDSINIQEDRQSLAPWSDRPSLLF VLPFELVLTMYLCGFPQVLRNRKASPPLTTCPLPCR LY89DRAFT_784538 MLLPLVLYAAAVSSTDIFNYGAFTQTATYSIANQLGFFAAYDLD VVYHQVPNSTAAYASVLSGEYDLLTGTIDNAVNLRFNSGKNLTVLGQFDQGPDLVLAS IPTISNISQLVGKPLIVDSPVSGYAYLLRKILSSSGLASSEYFFQTVGGTNLRYADLI SEGLPNGSAVYATIVTYPFTAYGEVLPVHQQPNILARVSSFENPVSSSAFTIAQSALD DYGQSDLLVRFISAMYAANLYLNHPRNRECSTKAIQIQLNITTPTAALEYLAATNLTS GEVSPDKKFTVSQVGLKNIIAVREEFGGFSVPSDFDFVAATAPGPGKLIDYSVRDKAV SGLKRELLSAGC LY89DRAFT_621222 MSADSTYAYIPPLDDPAQDPVPATVPRLPNSAYEGLGSCIHCRR RKVKCDKKTPCANCVRLGFQCELAPRKRAPRRPRKEGNGEIQSAREAELLQRLNALEG VVKSLGGRAKTESSRSQADAGISDITRSASLKHGSDTGKHQEDKLEKDFGILMLSDEG QSRYVSRNFFARLTEEVDDIRQLVYEGSPEDEETESPGTASSVVEDEHQGYVFGYSSS AINLRDLHPLPSQLPLYLKLYAQKIDPVVKMFHIPSLEKLVEEAVGSLDNISRSKEAL LFGLYFSVIISMSPLEVKTTFGLDRAVAMNRFCFGTEQALARAGFLDTSDPMTLQAFV LYLTVLRIKEDTRKGWTLTRAAIGIGQSLGLHRDGTAFDLPPFEVEMRRRLWWQLFIL DFRLSEKHGTEPSISEGSFDTKRPLNINDADISPGDTDFPEPRVGLTEMTITLIGYEI AFAAPNLLKSPKESGISVAEKEERIKQYRQLLEERYIKHCTELTPIAWIASILPRLVI AKVETIFLLPYTRSMSMDTGSKELSDKMFVTSIEVVELRRMLEAETTKHWHWYLGTII QWHSIAYLLSELCVREPDDNVARAWNVLDLIFKDWRSLQQHGAPAILQTPMKKLIARA RHKRALDLEAARAAEATRLKTPLPEAGGRSQYFDNVDQQFLAPTTLVEPMTNISTTYP EVTAPQSYPDEWVQQQVANNIPTPWLLEDTALQDLGFNQAEIGPDMDWELNNWIEDFT PPYSVYAYQSLGGW LY89DRAFT_687061 MPILEICLLKTSPNLPHTSPVFLSALQKARTLLAQKVVNTNSRF YRCIEDPSLIYILGVWPSLARHKEFLASPQKAEILDEQNELFEFQWIIHIEIGEGGMK ELPLEAPILGITRLFIKGDARETYQEVVHRSASVVDEGTKPFKVVKEWRIDCEEGKFE HVFVTGWVEEGSHDAFTKTMREEHPDFAPMRDTYEGTEIKHARDMEKSF LY89DRAFT_590324 EFDRDSALGGISLQSSTQSVNSSLYEHVQEHGRTYHRYKQGQYP LPNDDVEKDRLLFLAPLPENTRKVLDLATGTGIWATEFADEHPTCTVVGTDLSPIQPD YVPENCSFIIDDAEDNWVFHQQFDYIHARAVVSCFKNPKAVFQRAFDALVPGGILELY DPVFPFQFLDPPPPNSPLAEWNKLIIEAAAKAGRPWTNGAKYKSWLQEIGFEGVVENR EHCPLSPWAKGKKSKYMSLWLSHDMLIGIEAWSLALFTRVLGWEKSRLDILLEGVRED IKNIKIHAYLVTVSVYGRKPMI LY89DRAFT_784541 MAAQIISPPVFWTTFTPTLRTCLRNHFSPDALGAIIEDPNSPSS LSAVSTLGTTLLQQNEFSLAEELLFNCYKAKVSASNGKPNADILATKYNIAYAQLKRD AFAESEKSWKEILNTVLDSDKKVLPNLGAKSNLGYTLNKQGKFEEAEPVLTDLLPEMK ERFHESNPRVLGCMRHLMEALVGQGKVEEAMMLNEKGSELVGKCEEQHKEAEREAMDA MRASIMEQKLKSVASNEAV LY89DRAFT_687062 MQPSRVSSEAPEVVPGQFLTTNSESLSNLSPSTYQASTHLSGHS RAHSWLSSISDRKSKQPDSSSTLVPQIIQDDAAPYQPKVHSRRVFGLVTTIILVAITF CLGGGIGGGVASAVLSGQKSKSTSTSSSSPAQATTIIDASGCPLINNSTYTSQTGSTF LTVCSTSILSSDSGQIIDFSNSIQSSFDSCLNACAVATGCVGASWYMFDPQMPSRNMG CWLKNGTGEEVVASGGLSVVSGYLKSALPS LY89DRAFT_671955 MALDPSLGCQDVQYSHSSGFPQPHGLRNTYDSPLSNILEGACST SRRISLQLLHDRAKMYSKDNTTMSMERSSSSASRLSLGAIKRSVPGMKALLPLKLLSP TLPEFDTWPSPEPTKRSTFGRRIISQDIEYSSIGDRARDSLKSRKRSQQYRDTPIYSE PGSPVPSRAYFSENDVCFNCSQPGSICDADELIQDFVALKGDKIEDNEDEEDDTEGTP CTVKCVSEAPSTNPTPRWSELSFQCSGEALYENESVWLRSPMSKNSSVDEALADDIKD NQFAERTVESWLSDTSSSFDHEDEILACATALSPSKAQVIDLSVNPNHPSDLSLRIPT RSSSLMGTSMPENNFWATLGTPPITPSGPWNHHAQMASDVHETNTNTLVLSKRRLDLS LPSPPQSPHRSPAMRSADTTEPAKMRENTHFSTHNLGDQWPLSDPNMSQPLLTDIIGS LEALTSSFPSAIILPDSPCITAIRTRNIQSTSPPMITVRTSLLKSPFGQDFPRPPSYQ PTGQPRSQNTSSHRRDFSSSTAGSLPPYRSSPSKRSSSLPISTSPSCLYPPDLSPLHR IFPTTSDFMCSALYAHIIAHIFITSLSPPTQKARTNRFSGRRRDTPHWTSSPLSAKAA NVLGIEFQDSDPTGDSAERQLQFKIRIQALQQILRKCIYCLTGVMDSNLGIVDITELD DSGPGFSNGKLFIRALEEVVKSCEERNNGIGGTV LY89DRAFT_144851 MLSEAFITAIRAQPKTANTAIAKDIGIYLHELHPTPTIRSSFKK SSAPVNALAVSSTHIFAAQADKAVVHVYSRERGNQEALISFPERIHSLTLIGDTVLAL GTAEGRVILWEVCTGRQVSTPAAHFQSVSTLAATQSHLLSGSEDSNIHVWSLPQLLSL SSKETSEPLRSLSNHRAAITNLALGHSASTTNICVSASRDNTVVVWNYLSGDLLRTFL LPSTPLCLAVDPCDRAVYVGFEDGSVQLIEFLQSNSANHPLYDPKLQNTPMQSTLVPW TAPSDVGSTLCIGLSYDGTCLISGHASGKIAFWDTGRRLFSAEIADLNAPVTNLLMQS LLPKKLSTKAATVVKPRLGEGNYIFTAQLTGSLGVSKFDHAVSTPGFPAEMLEDAILR FSQFSQPAAASSSGHEQLRKENEDLWKIINDQRELQKKTWEKYTKLKAKEA LY89DRAFT_698854 MRELNKRALERRGGILGSANDQSNPKQGPQVLNALMTLENCDTM ITPPCLQALYNAPPGSMSMKNNTLGVVEYTPQAFLQSDLDMYFKQFEPRLDGVSPNTN LIDGASIQTKNQSFSFNGESALDLEFAMTLIFPQQVTLYQVGDLVNGGSFNNFLDAID GSYCTFEGGDSKDPSVDGQYPDSLPGGFTGAQNCGGFASTNVISTSYGSNEADLTAKY EQRQCLEYMKLGLQGVSILYSSGDFGVAGNGGQCIDPVTGAYNNGTNGLFNPSFPGTC PYVTSVGATQIVNGSTVRTPESASERVIFSGGGFSNVFAMPSYQQKAQATYFAEHAPA FGADRFNNSQKVRGYPDVSANGVNYVTAVDGKFSLAFGTSASTPTFASLLNMINEQRL NAKKASIGFVNPVLYANPQVLNDVTNGGNQGCGTAGFNSTVGWDPVTGFCISS LY89DRAFT_558874 MEERDLPHFRSIPWCMSILSGPAFIITPTFSRQFKANTEDSLFA TTFQTPSTISHCLSLHSHPLPDSQWIPEVRSLMTLGLGMNGGPAMLHGGVIATLMDDV IGTLLTVNKNHSTGDPLSVNTVTAYMNVKYLRPIATPQTVLVIAKSRGTLDAKRKKFL MDAEIRDGEGTVLAKADSLWIRMVKK LY89DRAFT_671959 MATHSPEDSSDDEKLTAELEQSTSIHSNDFPEVFVTVRELSSDE CSDIIVTPNPAGSTSLIISIEPPPDGGEEESKPPEANGWVSLPFLVRGIEDPKERVDL FEVWRYMITSDVTSEVKSRQDQIWRMEMEEKLEWMAIEDRSLSDMLDLVLAMESNVSA RDALILVFEAIARVDKDELDWMNRQQVEAEIEEDNSREIHETSGVDSAGEEAFPVQET DDDVFQASLEKEIHEAVLSLERVASIASDIVEEEKLEVKNLSSSDERREGSDNLRIQL MVKIYQQETGKLADVEEQDDAAETKVGNPVEATPQQNLHESHAVESPNDLTDTSLREV DKARINDDAPESVQDAVTRSLNEVLELGNSDDKSIHIIHKDGGHAKETRPHIQNDSNE NIKGDSPDNEKAEFDICDTIDEGGSEKPFDPDETLEELDSDEGENTTHVDTKYTLSEH IEVIPPDEKAMSTAIEQETDGPSHPIGEEDVESAMKPEELDELLDELLVEDKEACRGE IGESVATVHVQCDETCQAFMSLLSTMEELDLPPDKFCGLLAQVFPTVAESRAHESTEV LSKLGKSPAKGCLLKEETKESTKILIQNFTQSLEDLYEQVKAGLEERHSKRSPSADYE ETTGSFFTGLSSLLKFGKLILQKEGSGETGVETIRSDLNSNQEVDNQNDKPSTKKKKP NNRKRKKKARNQSETSRLPAGLETLLKTPDQTSTIPESQTVHKKLIPLGRPIHSDESF GYKLFRGTLNKLVHRQLPVIIGHEAKVTRATYQRAATRAQSVLTELDHELGVESDEKK RHHGKFWELLDHHVYSKVYEELLAGSVFVNMDNENWPNTDAGIKKEPKDIFLEEKKSL LTIGGLLETSLELLCLSEPLGEYGGTKSHLNDWIDQYITPLRKEIEDVLCRLTMKMWL YGDEYWAFVLNKDGGNEFLADIARLPEIFKKAQNMTISALKEAWRQHAATNNFDIATN TFKFPISTLVQIRTIAIVRGLQSKVTDELEKKLSDHDALEEEEELTVFDIASYLKIAF PGEKWTYAMVRPCGLSDMFRATRVSSGQDQYNSCIIKWAPPCVPGLGTAGPAPHIRQD IETFALETFGSFPLKESETDEPWVAVPRLIKRSRKNSVLIMHDVGEFTTLWEVFTTMD TQHFQNLQFVIVGARLGMWFASLHATPLSRAKFYKVGANTKHSELTDLVRKHKVVPIE GYLQALGISDSQELGKRIVDDFDRELGGDFMVFAVGDASLETVLAGKLFGAFPRLAIL DWDFSGPGRGINGDMAQLLAGVQSFWLSQIRMIEDVILARAMKEFTVGLLEYVDSMRK KGSTLVMVKSAQPEDYGPKKRRLPPKVATVLRSAFIAHGKELICLAWGYGYRCICCGV DKRESCEVRKRIVEHAAWFLRMAGRNENEFQGQNWKQICSNPQAKLLLNLVFSTV LY89DRAFT_687066 MTLPTHHQVAVWVRDDTSPHKFHFQTTTAPLPVPGPQEVLVKIS CTGVCRSDIALAAGELGPCTSILGHEGVGRVVSLGSGISDSQVKIGTRVGVAWQRDVC GTCEMCLLPDGENRCLEQMTGRKVDGTFAEYTVVPYRYLTPVTEEVEDQLIAPVLCGG VTIYKGLKICGATPGQWVVIAGAGGGVGSLGIQYAKAMGYRVIAIDAGKEKEEYCRQL GAEVYVDVTSGKDTIATVREKSGGHGAAAVLVTAGSGKAYQDAISMLGPFGTLVCIGI PPPAQTVTFHPITFIDLGIRIIGSAVGTRLDIREALTFVQRGLVMPKVHMAKLEELSE IAEQISSGKAIGKFVVRFDSGSPLL LY89DRAFT_720905 MGSIETKAPAAELSFEKFHNVVAKGLRSSEKMHHGVNPSDKSEL WDVPIATERDLDEAVEVAREAFKSWSKTTWAERQQVLAKMRAELQKHVPEMAKLLSLE GGKPIQFATGEVMASIGTLDYHAKAPSPGEEILQDDADLKLTLRYVPLGVAAAICPWN FPLVLAMGKLCAAILTGNTIIIKPSPFTPYSVLKFAEMIQQYVPPGVVQALNGDDRMG PMMTEHPGIDKISFTGSITTGKRVMMASAKTLKRVTLELGGNSACIICPDVDIAKVAP LVALGAFFNSGQLCVASKRLFVHESIYQEMLQELTKVVKGWKVGGTAEEGIMLGPVQN EMQYNIVKGFFEDCAVNGYEFALGGKVGGEDGFVIQPAIIDNPPSHSRIVTEEPFGPI VPMMPWSTEEELIPRVNDTRTGLGGSIYCADIERANKIASQIEAGTVWINSFERPLPQ AFFSGHKESGLGGELGRHGLLSYMNPQVIHLYKTDVAKL LY89DRAFT_720906 MQIQLGASGRLSALAPLQWLVNVRQPRVCCETVSHFCLNFPPFH LSTFHKMMDFGSVGFDFDHFIERRYASTRSANFNFEPIPLLPDDVPPEIRKHVEAIVG SIDVVSVRALLLRAVIDHERHIGKLVSVHCETFSKTSGRLWKCRDETHKFAGDINHAV DTLDILMVERLLVDAVLTFRNVASRVSAESKRRSRQMHVALADIQYHHDLCRAMVREL SQEWRGNRRNRGGLAQSPLPGAHLSYGAASEIASSLSKLPLIMQSVPCWETRRNTMLT YQRIADTLVNELLSCEESFWRSLLLPLMLHTSCCMTSEERKRYVHEGFYYGLLKLCPF LPMGDANALYRQFPVGSEMIERSRAEELAMAIRKLELRRRQAASLVRQAPRLPGPPIR INKTSKRRRRSDGRAAVRIKVE LY89DRAFT_650588 MPGFQLKQPQHRELSGGDLLAQCLKQLGVDTAFGIHGGHLDAFL MGCDHSGIRLVDTRHETVAVQAAEGYAKVKGKVGVCFVTANSGFSNSLPGLATAIADR SPIFVITSSPPLRDAETNCLQGFLDQVVVSKPLTKFSHRVTHPEEIPRLVSHAYRTAN SGTPGPVMIDFPIEILFSPVRQESISWGSISSPQAYPPSPSPRAVTEALALWKAAKRP AIVISTGCRGAEASKSLLELAEATQTPIFQSMKGFGPLLLDHPLHAGLAGNLAKLPYV GKPRPDLIFMLGARTGMFMGGRSGIIIPERECKLIQIDIDGSEIGRSCHVDVGIVSDM TEALQSFNAAVKSDPFQTPEEWVELATSLKHTPSASDKDQKLINSKMHPYHALKAVLS ALEPGCILSIDGGEAGSWTTDLLEVAQPSSSFFSAGYLGMLGNGFGYSLGAAVADPTR QIINIQGDGSAGFHFSELDTYARHKLNILTVVVNNYVWGMSIHGQELVYGDKNPARPV STLSATTRYDVIAGGFGNESAMIDKLEDIEATVKRFSEVKGPACINLIVSDKPTHSGT VAMVSPTDDPNMIVVPYYDNIPRPYYK LY89DRAFT_650591 MYRSLALATSLVAAVVRGQQAGTQQTETHPTMTWQTCTAAGSCT TKNGKVVIDSNWRWVHDKTANSYTNCYTDNNWNKTLCPDNVKCAANCALEGADYKATY GATVSGNSLKLTFVTKGTYATNIGSRMYLLDTDTTYQQFQLLNKEFTFDVDVSNLPCG LNGALYFVSMDADGGMKRFSTNKAGAKYGVGYCDSQCPRDLKFINGMGNVEGWTPSSN DPNAGVGGHGSCCPEMDIWEANSVSAAVTPHTCEALTQTMCSGDACGGTYSATRYAGS CDPDGCDFNSYRMGDATFYGPGMTVDTSKKFTVVTQFIGDPLTEIKRFYVQNNKLIPN SQSQIANVSGNSITTDFCDQQKAAFGDNYGFKTKGGLENMGKALSAGMTLVMSVWDDH YANMLWLDSTYPTTATNPGAARGTCAVTSGVPADVESKSPGASVTYSNIKFGPINSTF TAS LY89DRAFT_736671 MAAEGQTTGTAPGERHAIGISFGNSNSSIAYTTTEDKAEVIANE DGDRQIPSILSYVDGEEYNGQQAKQQLIRNSKNTVAYFRDFLGKDFKSIDPSHCHASA HPKEFESTVAFEIQDKEGDERSTVTVSEITTRNLKRLAQSATDFTGKQVNSAVITVPT DFSEKQKEALTKAANDAGLEVLQLIHEPIAALLAYDARPESKVSDKTVVVADLGGTRS DVAVIASRGGMYTILATAHDYEFAGVQMDKVLMDHFAKEFIKWNTTDPRENDRSLAKL KLEAEATKKALSIGTNANFSVESLAEGADFASTINRLRYETIARKIFDGFNRLIEGVV KKAGLDVLEIDEVILSGGTSHTPKIASNMRSIFAESTTILAPSTTPTAINPSELQARG AALQASLIQEYEKEDIEQSTHPAVTTVKHLSNAIGVLSITGDESRGVFTPIISAETAV PARRTIHINSPKDGGDVLVKIVEGGSHIKVTKPEPKPKTNGEKNDGEEDEDEDDSDDD PEDVREKVWKVGNVLAEAAVKGVKKGGKVEVMINVAGDLAVTVTCREVGGKGGVRGNL SAP LY89DRAFT_698861 MATETFVLPGDTIDAGVLPSHPTQPLKLGPGLRHIPPNTITSTV AGQLCTDKRKNAVWVEYNGSRYIPTVGDLVIATVQKSGLDYFYVSLSDYTSNAALPQL SFEGATKKTRPQLSTGALVYARVTLANKHMDPELECVSSPTGKSEGLGPLNGGMLFSI SLGMARRLMLAKPSEQGDLVLLDVIGEGGVPFEIAAGRNGKVWVDSKHIKTTLAIGHA IQDTDTKSLSTEAQKKLGKKIAKDVKDL LY89DRAFT_590988 MYLHTLCTFLLLSTIRAHEATQYLTTTAVVTDHNDHAYLECWQF STPFKTYPTVGQSLFLGHTTNITYVVLPPRSEEGIHKPPAPMFFMLLSGLAHITFPYN DEEAWVMEGVNGLLVANDIKGIGHYTTYPSDKETVALQVPFEGGVVPQHKILGRGACH HTSHSGSFSGALGTVERADL LY89DRAFT_687073 MSLLGKKFPAPVAKPMAPFYIAGLVILYGVNSAATAMMASDEFK NDPRNPNAKTAKPADKH LY89DRAFT_590793 MATHHLASWACSLKYSDMPQNVIQAAVRSFYNWAGCAIGGSKHP ATTIAHNSLSPFFGPPTASLLGHQGKTKIDAQHAALLNGIASHVHDYDDTHLDTIIHP TGPVASALLAVAEWKGGFSGKDFLLALIVGIEAECKVGLAVWPEHYDVGWHITSTTGS IGAAVAVSKLLGLSISQTTNAIGVAATQVVGLREMFGSHTKSFHPGRAAQSGLMAAVL AEGGYTSSEQALEAKRGWANVVGVTKPGIAADLDKWLGMNAEASEHGVGLFSAGVGRW EILRNSFKPFPCGIVIHPIIDASTQIHGDMKTQGLDAQNIKSVHAKVHPLVLELTGKR KPKDGLEGKFSVFHGAAIGLIYGKGTPSEYEDHVVQDAKVIAIRDKVNAESDKSLGAD ETIVVATMSDGKILERHVKHAVGSLEVPMDDGMLQRKFVDQCAAVLGDRVQAASEICW DIEYAKDVADVAKLL LY89DRAFT_590404 IIMEPYDYVSALPSKGLRKGLLDALNSWYCLPEKPLAAIEKIVD IEDGSSERRGKPASHIIFGASKTINSADFMMITCLEKVSLLENKACLAAYIEEMKEIY VGQGLDLHWTHHSTPPTKDQYFLSIKQKTGGLLRLMARLMHACSIDTRSSSAIATDAS DSLAKINQLMIMIGRYFQIRDDYKDVVSAKDDIVSGIQADLDQGNFILPLLHLLSQQK TIHSTQLLSLIQAREEVKGMSLAMKGHILDMLENAGSLEYTRKALEKLYEEILGKLKD IESDFRGENAELRHILAKIKL LY89DRAFT_736677 MAEPLPNLNPAVEDGFDSDNTSSTVTTPRGSTPTSPVLKLADAA DHSTISLPESVNNHAEQGAMEANSMHSAMTQGTSTEHIASKDEPRTMNNSLERGLYMP GWGTEEDSTAASHNVEAELLAAAAQEQAFAISRSLMAHEQAMQPHPMDPIQHHPAPNW GSIQVNSYESDAPTDASFSARQHASLNELAVASSADKGNNRVEDHSTKSLYDALNTVS IACFGSRSSTDAGSGDAPVCTRPTFHNDEVIVSAQTKLAKIVNTPSSSRHSSMMNQDG ACSNVESREGRQTAEKGVQATGIAAASSQANVDGVPRVNTVRYPRSSIYSGNANASSS VHAAGVECLPTSPNMSHAVPKKTMKHLMTSATSDPRLRYDVQK LY89DRAFT_736678 MDQDNIKPRTARTIPVSAAVPFFRSKNGPDPVASVKEPSNCVQS TGEAPLSIYGSVLPDAGQSSLPYSVVYPRAGLSGSANQHPVISPTQNKRGLVLEGADS RFHISPTAASFPLTIPFYGNLYPGNDPVFQTLEDLVNCLAKVVNAAESLESTVESLQA ENEQLRKFHMDTLVMIGKMITNYNVLGAGTPGVNPHRLPGVAKQLEISQLPTQVVPFA RINGDGVIPYHGGRGFPASMLSNGQDTALPGSVSAHPRSVTVMKNPARQVHGDRNDLN MPFKMGSQVPAHRNGVPHYEHFVAEPNPLPANAKFTMHGPLPSMASTGPRASNAHHMQ PGAVCPSGGFNCQGSQVSGPNTVQVTDLRSRPAFRSGVFNHDVSPSRGLRNVSVDSLN MHSSILQHCVNPIMASKPAVFYPPNGVNTFYSGGGAGLGSVGNVDTTTDLFEFHMDAV NYEDSFDADLSTGCDMGNHGYDMDLGLPTYFGL LY89DRAFT_752783 MRTHERHVKHGHRDILLQAPRKKKSKKKSRKSKSKKNSRKFKTN VEAIKSKIAVANNAIHPPQVLNNPAQEPSRKNKSRPLDLAKKIAKYLFPQWYTCHWLI KQLDLAQKEMRYLFGRVGKFETEQQTRVHGIRLDRQYRVVNGIIPAPLSLELDWEHDE EVMDVEEGRAVCEGGYEATELTSEIRFLKKQLVVEAALSGAVMLRLERFGGHMGLEGG DLSCRIGDTTTSKYLAEVEPDSKLAAVAARSFLADIVTGEFLALDKDLSPEPKDAEAY WQTSNPVPTLIVEVLFAGLPRPSNDLDTLRGAINVGSVAT LY89DRAFT_145329 MQNEIKQSAEICDEIATFQLQRIMSAKGLREEISHAHIAIEDIE EGQKQATAVNEAQIIREGGMLPAKSHAEHWEAETHADDNVPNNVDLDCAVEELRNQID GLRARFNFECTLHGMMQKLKLEFAINANLDGAELDKFVSSTDDDQEMRLGRSSLPNAM PMMNDFVKGALNPKLKDLGMAILSTHLKEDKPYTLEYLARCGSPKAWNSEELNDIFNT PETESAPPTPVNEDWAEPPIPCFTCGQTGHAAKDCRVVDFPAETEAQYLEAPESKANN EMPSTKLWVEKDVYCDNCGMYGHSEDYCNHIFPEEEKPNYCNQCKKHGHMEDTCWRLH PWLKEQFLKEQFLKEQFLKEKEEKEKKTRCLDCGRVGHERRFCYKVHQYK LY89DRAFT_145134 MAKEVPGKSKEQGHKKLPKLPSNQKIQKRPLLHAPITAPRRGAS SQKVVYISARSSFIAIVKRVRKFLSDVENRAAGPLSISGGDKKLLRGIEAGVRNKSNT RYEEVVMKATGKAIEKLLRLAIWWQEQNGIVVRIRTGSVGAVDDVVDKGTDGEGQELV EESRVRRTSCLEVGVSLG LY89DRAFT_736683 MATSVWPPLPVNELKAAEDESTERELSWLLDSLQETLASLKTGL EECYALLAPIEPGSTLVLSSPRSESIKGHITRIGSRIVKGTVHLKLKTHPPLVLSLSS TDPLVLTPLSSLRTLLNQALDCVDITRWTGDRHSAPFISSQLLLLHGILLEALSLLKG NTFHTHPASTSANSSSTESSSGVDRSGGLGLGASGGRDEWTKDPPSPTSFTPALPSTL ALNLDLSDSSLLLTIRVLEPTSQTPNTFTRFAALTGMTRRLEHDEMDAVFTYRGEEVR VREKVRVESSADPSLLSVGAKLGQIERVVESCRGGLGVVIGKPEGEED LY89DRAFT_752796 MIARRFLKSPGSIRPSLAVSRTFASTTSLSRTPALADITPAGVK SFDAKQKEFREHVAEQRNKSKAETSHAASDDLNLHDAGELKGASSAEPADESEKKTGK LSSLIYGTKEGRELDREIEHSFSQVLARGKYVHSIVFHEVKPDKVDEYVELVGSWYPR MAGMEENKVHLVGSWRTEVGDCDTFVHIWEYQRYHGYHDSLHSIANHPEFPAFDKKLK SLITTKRVSLMQEFSFWPTTAPRQLGGVFELRSYTLHPGNLLEWETHWRRGLKARREV MEGVGAWFVQIGELNTVHHLWQFADLEERKVRREKSWNVEGWGDTVHKTVPLIQSMKS RILIPMPWSPVA LY89DRAFT_736685 MDAPKIPERTSSKRATNASSRGSTSSATLVGFDTLQISDMDSLK RGRKDLEESIKIAKKKLKVKGSFSIEFWTAKKEVADLTHEKNELHRRISIESFEGPRA SWEESNAAKELFEEQKAWQLEASLAKKQIDRLTKANVSEKDRIIGRSYVGSFTTSRMG LDIQPPRVPSASKRNAESDIQSTFKTDLIKAYGAAHGEDQYDSTSSHVWCPILKRFFL RGEESTAAHLFAWKHGQQAMDAIFGPMEHPELNSPRNGMLVSTVFENVWDEGFLAIVP AISDEPSPEEVEAWEKSEPKEYKIRILNLEHKLAGKEIEPTLSGDTKKWRELHGEELT FRTEFRPRARYLYFHYCLQILRFSWWEVNEKSNQLAGRAIRGEMNKKFWGTKGRYVPE YMIRAFIEEIGQDWEVEEEHGEETSEAQAETQDALLQAMVDQAAPIFEDDDDDEDEDE DED LY89DRAFT_687078 MLKPPKKTLFSALLFPEVRNAQRGLSFSVDTAPGRKVLSHILGL FAFEKLVRVSSRWKTSQTSWCDTDKPCIS LY89DRAFT_621274 MSEYPSASEKVHEIPRAEDPPSSLDDEKVEQERVEDVGEKRLPG DGEARLPRFTQEEEEAVIRKLDWHLMPLIFVLYSLSVLDRSNLGNAKVAGMQDDIDIA GNRYNWLGTIFYISYILFQWTQMGFKIFPPHRWAACAVLAWGIISSAQAATTTWAGLM VCRFLLAIPEAMYGPAVPLYLSFFYPRERLGLRTGIFLSGSALANAYGGALAYGISQA KGSIASWRILFLVEGLPTIVLAFVAWFWLPDSPAKARFLSERDREVAIELSQRQPGDR NNDKFQWKQAVGALLDYRSYIPPLIYFGCNVCFASLPLFVPTIISQMGAFTTIQSNGL SAPPYVLCFISIVACAFISDRVGVRGPFVAGAGLVAAIGYILLATQTTVAVRYFGLFL ATIIFTSVALVLSWVANTHATDSKRAAGLAILATGGQCGPILGTNIFPAGDKPYYRKG MWISCGACLLVFFLASLQSFLLWRENKQRDKKYGKVRDTEHTNVQSEFGDDANFRYII LY89DRAFT_784564 MLQVRFLLFSVTLLLTNIAEATYRTIKHPHNSNYEIRVKEHADG VLCNGGGKHFTGWADIGDRHLFYWYHPARHVPAKDAPLLVWLDGGPGASSTIGMLMLH GPCLLDMKNQTTRFNPDSWTEFFNVIYLDQPAGTGFSYVEESENPEAYPRRSEESALD FIVALELFRVGFEGLEHAPLYVAGESWAGQYVPTYGAAILDYNTRVSARERIPLVSVI IGNGWVSPAAQFPALYDISCFEYNGIPPVLNETECAKMAPIAARCEYLANACATFPDD IVCSAVGDYCEPTLKAAVGRLEKGHPWDRTLVCNAPTPDACYPELKGITELFAVPEVL DQLEAVQQTQGKAVPFEVVSSIIEERYSKSGGDALTSVPALTRLIDDADINVLIYVGT QDWIVNPVGVRRCLDEMRFEDYLHFRNQGREELAWKTKEGRSAGTVKKIDGLWHVELA GAGHMAPYNQPHSSLKLMEAWLVEVESRKLESGASLGNSRESEGDMRTQRYLSRVDL LY89DRAFT_145362 MYAFNKVMKLLISIAIAMVLLTLNSVSALPTSEVAEIETRSALH PCSVFIQRWCQDCEERFDVAYYNVTWTTPWNWPIAAFWNQESDEVNVPTVGSELVAVQ VGSNILHIIDTADPAPGDNNDPGKLSFVWGTDTWDSFSPGSPCDVGPVGTNAQYGGST NYECEWLCDN LY89DRAFT_736690 MSQQQWPAPTRSPGGGGSVRRAREMAEAGLTPQMPSQIPRAPPQ RPYDQSRVAPNAPTPRMRPPMPPALVSTKSGQGPIGVAISRPTQVPQWPLAATIEGGP DPQYQPPPGRGVPPQRPPRPSHVPSMLDASRLQDPTPSFQYIPQQGQNRGYEEDDVIS PIVASPMTQSSRPSTLSSVGSIPDFPVPMPNSGPPRRSANLGPPPSSRRGASSYYSQA SFVSPIPEESPRTVPSHQSYASSAAMPTSWGSDSPRYDEDDYEDEEVYDGDSPDFRRV ERGFGSPIEEGRESRESNGDDSDDRGLIRSASFGRRAKPSMITTRSSDKVEPAVRPQV VPQQMSKLEKMGVIAGVGGPTTGLVASKNGENGQRETVWPIIGNVNSPLATGTGFIDK SSTSSEETVPTIARAVTTNEAAPAYVANRSDPKAAEMLGAYNAASALQPGPSPTRTPS PGFSRLSAIRRPPRLDMDAVRDAEARGSLTSLPDLIRRATRLAAMMDRGKRPASRMAL NDWPSDNDLAKDKEIGLSEDEKHKSGLSGMLAAFPPPGVATPVRGGTPVRPVSSWPSP YDPSAGVGQDSGRSKKKRRCCGLPCWGFLIVLLILLIIIAAAVVVPLELLVFHKPKST SAATATTSALQQCEANTATACKNGGTSVIDDGSCACICTNGFTGTTCTTANATGCTTT SLSGSNLTNVTLGDAITRLISDAQSNFSIPLSESTIIARFNNANLTCASENALVTFQG SAMRRRGDTTSSAAWSIATSDGIIYDSSSSYYHPSSTSSPSSSSTTTTSSSASTTTTN PTDVFNITTTVLDFSRVAVLYILQQEQLNAAITAQSALQKFFEVQAFTNMAARNISLG NGNVVDLISLTVNVGNGTVGGMGNSSSSSSTGSKKRWEGREEAQLPRRRIELYGGL LY89DRAFT_145554 MASQLASSPHSSSPPQRETFHPFAHLPAELRLKIWHQVLHITRP TPITITFAPRRSAVSSIEAPPGGDKPQMWEADDTILHRGKPIPVILHVSHESRTVGLE RYVMGFDVSEELRALPFNHCWKRVE LY89DRAFT_698869 MFFPSTLLLSTFVWDSISAQGVNFSFENITLSDADVENDPSIAF GDLSSPSAIPTSNGCKVFPGDKQWPSYEKWRNFNHSLGGALIKGLPPAIVCYTGTYNT AECAAVVSQYFNGSLITDNPVRIENEWLDGDSCPAQAYDNVPGGNTTNPTCDVAAYPA YVINVTTVKQIQLAVNFARNSGIRLIIKNTGHNLRGQSAGAGSLSVWTHHLKDFDFLP SFSISNFSSRAARVAVGLQSSDLAAAALEANVTLVIPGGVTVGGVGGWFMGGGHGFHT SKLGLGADQVLSLNLVTADGHFIVADPNINTDLFWALRGGGGSTWGIVTSVIVKAYDI SNTAVVSHPITVSNGNLTGITSTTNGSFWAAIRTYLQFVPKICDAGGVGFNFLYNYGD ALLEFTVLLLMPGMTVPQADAFAQPLYQAFQEEGINITDPNVVSKRSMFSAEPSLVNS APIAYPSRGAGAMNLRLASRLFPRKNLEDPTLLNATFNAIYTAVVEGGYTLHSANHCP TLAVAGYPDDAVLPAYRETAMHAQLWDDGYAIGPVKLQAQRYKRLASYFKLWKDVSPG AGSYMGEADPAELDWQSAFYGTNYPRLLSIKNKWDPWGLFWAKTAVGSEGWEVSTPFP RKVPTQNREPK LY89DRAFT_590390 MTAPNLQVRNEEAPSEGSFHQTGILDVGHHSESSRSQTIIEDLI QAQVAEKSIHDILVDLGTPFVSLAVLEEGRITAKIIGPPSSSSSDSTLNNDTIFQAAS ISKPITAMAVVKLCQEGKLDLDSPISEYLSQDQILWFSTPKTLPLASQITLRQLLSHT SGLSCHGVPGYATIDVPTVEQILRGDGPSNNEPITMAFVPGQGSHYSGGGLTVVQLIL EVRLGKPFYELMDELVLRPLEMTRSIFKFLPESEKNYAPAHMNGIIRSNPDHHNFPER AAAGLWTTPTDLLKAIRAMQKSLDSGGFLERKWAQEMLTEVERFGIGWRAKKGEGQFG HSGSNDPGYLSFVGGFAELPHGDDDKVEKKAYPKDCGIGVMTSSVLGMVVIEKIVAAV AYVKNWPAIPELESVPFMDRKKTIDQKAKEWCGKWGPGDWTLFENHGLFIQVGKFPPM PLVAAACPPQQYDEGSSIDLVIDGLEMMLRLGWKEKARIIEVWQEGDIRSLERLEKED KQ LY89DRAFT_687083 MAALSFDHNIFIEGPSFHVDLAPLDGRHLEHYSCRLLIFRCTDS AQRDAQLAAFKVGLQALVSQCPILGGKVVPLPPDQASHGKEDWRTIVPDKGIELLVRD LRGKIRSFEELEAGNFSTLHLPEELLMPIPQSPESPSAACKAQYTAIEGGTIISFTIS HHLADGSGTDELMRILSEETRLAPNSNTTNQPRGQRKLGLDRSLLRDTTSYKPFNIEE HPAFRIESSTPATTETEVDRATRSFEASSPETPIILRIPPAGLALLKADATRPEAPPI STHDALSALIWRTVMLIRSRRSARDTPLSTASKIFMPSDCRRHLNLPTSYIGNAVYQL TADLDLEKLLSPSGLQYAASSLRRAITAVNPEIVASYMTKLKETWIGWGFMVGTSTIA VAMGTDWTSSSLYSDDWGEAFGKVVRFRYPGTIGEAFNCVYPKLPDGSAEVMVGVMPE EVDALKGPEGFGKYLEAR LY89DRAFT_590575 NSNSPVESEMPPNSTVILPLYIYPLPGAWDRLHTAISSNPTLHF IIILNPHNGPGACPLPDERYSEEIPKLNARANVTTVGYVRVDYYKRSLSDVFRDVEKY AGWVKREGLGIGGIFLDETPNLWEVGKGDYLDAVGEYVKSSTGLKGERLVIHNPGTVP DPEFADPGPDITTVVEEGFARYKSTLLQERLTKLLRYDRSSCVYMVHSVPERELESVV HELRHRGKYLFVTTLSSNYYCSFGTSWERFIQAMQHQ LY89DRAFT_145582 MTTSEEPSYILRHNKTATESERLDQQHHFISSFTPGFVSPAIPR AKLVNIADIATGTGIWLRELSSTLSHDQPNDRAAPSYTGFDISSSFFPTDLGPDFKFV QHDILQPFPKEYHGNYDLVHLRLLVGAVLEKQLPIALRNVIELLGHTWRLSPMGRVAL HQNAFRSRPP LY89DRAFT_736696 MECLRRVFRVLSLSHDYPDPVKLNHELPRLNALEFSLLEKLPSE LVVQIISYLPPESASMFAISCRPFYYMPRKQVLEPLQYGNGITMVVDHQRMIIEISSI KGATPAARIHGTTLSLLLKDFPFSTVCHLCGKLHSLASPEPICPSPQYPRPWRQCLVL DEIRKV LY89DRAFT_736697 MENASESARKRTAESACLDERDDPQKRFGLPSMVSQPLTYEQLQ TELAGLRVELNLSREHVATENEKFNQANISRDEVQKKHDHLSSEYQKLEKNFALAEGA RSELEENWRKLNKHVRVDQIKYKELFDHYTKKKQEWKSGQGEQAKYRELLDHYTKKKR EWEEKLAKKNRELTLSAERKSRDDNASLLMKVMALEKDLENEKKNNAQYTAIAHGMSD LDDQRRKIRDDAHQLTRREMTLSVRENKLNVMKGQFAVDLNKAKESIIAKKKQVNAEK EDAMNEIRVLKWERDQARRERDVAIKMAQTNMVVESSTTDEGAVNSQLNLQVGMEGLE RLNI LY89DRAFT_145597 MVSSIAQPPDKSVDLLIGSLRKSANSKEHLETAIRDKELVPLWN TGDPPAAPEPHTKHIPAVWRYEDTKSLLLRAAELVDAKEAERRTNLCQFLHLAFCFSM SLFLQPVNITTGHRCVPTSTTFAEFALGPKIFLCKTSQMWSIENPLANEHQLESGLAE LY89DRAFT_650626 MGSFWSLLMPSNAPQDNGFKVLIIGAGIVGLTVAQGRRENGIPF EIFERDVKGSRAQGWALTLHWCLNAFERTLGSKIAASIPTAVVDSSLKEDAGNFLFLN CATCEPRYRIPPSKRRLRLHRQKLRDVISSGLDIQEGKRLKAIEELPQGGVKAHFTDG TSSTGSMIVGADGNNSAVRKYLLPKSFKLNHLPVNLVGVVRHFTPEQAAPIRALDPLL FQGLHPDTGNYLWYSIQECIDEPDGRKSFDALVIISWFIKDEVKDAIPATHAERIALM KQRAEGYAEPLRSIVIDIPDDLPFTTPLRLADFPSVPWDNRNGQVTLAGDSGHAMTMY RGEGANHGILDAALLVDQLKKIHEGSVSLKTAIDAYEIEMRPRTHAAVLKSRQAALDA HDWDALTEDSPTIGARSPPATA LY89DRAFT_784572 MGENSDNGERTVQLAPFALLLSTLDRTANVLKLVQDIADACRTH EDSIEDALPCSPMQESLMAASTERGNSYMLQMVSKLDNQVPLQEFKRAWEATSKGYPI LRTSIVQLEPHGYVQAVVKDSIEWQHSGSVKDYLRSDLERLMTFGEPLWRFGIVTTDD YSAGKQRFFVWTAHHAVCDGYSIGQLLKGAALNFKRKPLPEIPPFSRFIQHLNSKSGP ESQEYWRKELANSTSIPFPSLPSRVFQANPCSVFGRDIAIQWPKQLSISKALILQSAW ALLLSKYTGSDDVTFGTISSGRNTPIPNIREIAGPVLALLPVTIHVEQSLSIERYLSD VYARAERMVPYQHTGLSSIRRFLGEKAASVCNFNNLVVIQPGSFIGKTTELLKYLGLS VVSELWKYETHSYPLVATMTYTDAGFHLKFEYDDRILHHQQLVHLANQYAEILGQLCA AAPTSSVRSITRLCPNDKSQIFAWNQTVPEVQDSCVHHLFERHFKESPNHLALCSSEK TLTYSEVDAIASAFAIELMKKGVGAGTLVGLCFEKSPESLLSILAIFKAGGTFVPLDP FHPRSRIEEIMDITQMQFVITSSTYCELFKDMDVKLIGFGNTVLERENAVDVGVIDIT NPSNAAYIMFTSGSTGKPKGVVMSHSAVCTSIMDHGERLGFRPEWRVLQFSSHTFDVS IAEIFTTLAFGGCVCIPSDHQRLNGLDQTIRDLGVNVALLTPTVANLLVPAELPALHT LILGGEAISRDHITRWAGQVRVANGFGPTEASIYCSVNENLSVDDATNIGTATGGNNW VVDPNNHDLLSLIGCIGELVVSGSNIAQGYFADVEATNSAFVPAPLWLSEAGHSSSRI YKTGDLVRYKHDGSMQFIGRKDTQVKVRGLRIELGEIENHIAGLTDVSGVLVVLPSSG RSTGKLVAVVCFYSGLRGGPEDAVIELNPNLVKENTDARFRDIYDQLASKVPEYLIPS TCVSVKRIPLTSSGKMDRKTVKTWVENMSLKTYRLSSRSFGDFSKTKSNGAQEFPQGS LISELRLAWSGVLNVPIEELDADTTFLSVGGDSISAIQLVTKCKKMGISISMRDILKA RTLRNLEALASRIRPVDHLETIKIIPSNELFDLSPVQRMFFAQNQTNSGSGRYNQSFT VRLAKTISPGVLSVAFDAVVKKHPMLRGRFVQKDNNWQQFYAEMDPSSYRLKVHGLLC VDRVTSIAEEAHSSISITKGPTFSVDIFDLDMKSEILFAAHHLVVDLVSWRVILEDLE DFVNTGSLSAPASTPFSSWSQALRERYDQSLSVHERLIAIESDIAEFWGISQEDNTYG MTEKVSWTLDPESTQSLLKAGYSRVRYEPVDMMISALVYSFQQTFVDRGSPTVFLEGH GREPWKPALDISSTVGWFTVMYPIFDFPTPDTRFLPTLNHVRGARRAQSDNGLDHFSR SMFHLDKEPTTSHALAREILFNYQGIYKQFDEQSSLFELVDYERLEKTMTGSGIRRNA IFEVEARVRDGRLEFSLAYNKNSRHNQKIGHWANKTKVVLVDILLELSNSIASHSVRN FLDQRFSDLQRQHFDNMLREKLGGRHDLLIEDAHPCTPTQKQMLKSRKSNPLTFRVKW GIEISTRSGNLISVGDLANAWREVVGRHAMLRTTFLCEDLDNCPALLQVVIQSPEPDI TILHEISNDETTDSSKLDLNSRLLPHHLTILTTPSDSVKCTFAAHHSLLDGFSLRLIM RDFLAAYQHTLPSAAAPIFGDCASLFLDHQLNVDQQTSYWEDVLKTQPPCILPASYPS SHSSTASPGSNYTTITLSSIPVSKIQTFGSEYGLTISILIDAIWALTIASLMSPQTQS SICFGYVTSLRPYCLSAFPLADEVVGPMITVLPYHLQISSMPSLNPGSDVVALAGEMQ EQRAQDSEYVFDDIEAAIERLGKGKLWNTGTNFQHVGPSEKATEMEDLQIKDLDWSDP WDFDIMVRIFADEQVVNVNLEFDTVVFSQEEMRRVSDCFEGLLKKIFE LY89DRAFT_752829 VLYHVFLFSSRYPLLSEVCCSSLLFSSTTVIMTLTVEIPQTKED FYRMGEIRSQAFGSEQFFIDILFPNHHTAAGRLLLRDRLLKIREMPSARFAMVRDTET GEIISQAEWHYYPKDSVGDIMDLSFVEGSEEEKAFATHILGTFQRKRREAIANTKVPL MLLDSLTTDPKYQKRGAGSMLVKWGLNIADSMNGEAYLEASEYGKPVYEKYGFVALES YKVPVPPHWGRKPDIEYTLMRRPVADK LY89DRAFT_621288 MSTIPPYTYTKLDESRQEIRLISVLPSDNHIDQVRLKILHVPFK IKEKKILWEKPDIDAINLTLPQDWVAHETIEGRVLFYCMEKEEDDYPTVTWQRPYLYI ADDEMEEVHFRDSNYMIRDADTFEPRFDALSYTWGSFAEVEIACVVGDDGDGVEGAIP ATGDRSLEITENLAVALKHLRYSDRPRLIWVDAICINQQDVEERNEQVLRMSDLYKHA DQVVVWLGTSSSNSAKAFEILEHVGKQIEYTIDTYVVRSPEADPFADWSDFDYSPFDV ETWKTIRDLITRPWFERVWVVQEIQLANSRAILQCGKDRMRWYYFRRGIICLRNKIQH IPESMIERVLAVGKMCDPLLGWSLPDLLVVSSFKKCINPLDKVYGVLALAPEGFANTL KPDYALSPIDGYRATFLEYTFQSQRLSLLHHCHSNQPDKDWPSWVPDWSNSDKETLDF YLHSHASGDSSAHWRYSKPHILRAAGVQVTTVSYVSKWLLTPKGDVDGFISELGLEKM RSLRDPTGLSLLDAYLHVMCRGQFEETMDVPDTPAYLALEDIRTELLEGSSSRNSVLM DPDLRWKSKRRVILTERGYMGMAPEHVKEGDIVCILLGSNLPTILRPDATSTRFNIVG HSYIHGLMNGEALLGPVSRPWRSKRRMNWRGVWEACFEHEKTEQLLDVAEDPRLYSVP DEWERLENDDPHHIQKWKNTQTGEIINSDPRLLPDALVERGVMLQMFIIT LY89DRAFT_698873 MRLLHTTKLRLQEFPGGDVPRYAILSHMWGEGEITYQDIKEHTF AQMNAFPKLQGCCKRAAEDGYEWVWIDTCCIDKTSSAELSEAINSMYQWYRDSDVCYA YLEDIASISKLSASRWFTRGWTLQEFIAPRRLLVFDFQWRELGSKNTLVDQIFHATGI PKEIISGMSPLQCNVAQRMSWASSRQTTREEDMAYCLMGLFDVHMPPIYGEGAEKAFI RLEEEILKRSSDQTLFLWTSAHDPYNQGLLATSPRAFCTHYNCFHWMVDAMRIPHSAM KKLIWSMAGDTFQIDLEPCGGDYGQNHNLDLCCFLR LY89DRAFT_708877 MVPETDLDRGIVGWNGQADPELPWNFSKFQKWSLLGQISAITFI SPLASSIFAPGLSYMEEFHNSDNLLASFTVSVFILGYAIGPLFLAPVSEMWGRRPVLS TANGFFTVWQIGCALAPNLGSLIAFRFLAGCGGAGALTLIGGVTADLFVPQERGLANS IAAVLLIASATIGVLLEIFNKETYAPVLIARRTSRLRKELNRTDLYSCYEDREQSQTP SARFKQALSRPLRMLFLSPIIAICSFYVAVIYGELYLLLTTVSSVYQSSYHWAPELTG LATLGIGLGSIAGLAFVGSTSDKTVAILTRKNNNIYEPEMRLPSMIFFAMLIPIAFFW YGWTAEKHAHWIVLMLAQIPFGLGIMGIAFPIQIYLIDAFSLHAASAMAALTVSRSLF GALLPLPAPRMYKALGLGWGNSILGFLAVCMIPVPYVLWKFGGKIRKEHPIVL LY89DRAFT_708878 MADNHLQVPESSQGAWNGRARSGSVRSQLSQRSQRSQASQERNG GFPWSSETADYTRRPSIRIRRTSVSSQLAETPQNDQPQTLEGRSGSVRRNRSASEPQR GQALPPVNTRLNAQQPYMPRMSEEITSPEAAAVRNDILPIPDTVPEDSQPPANRPSVP STGRMSNWRPLRRARTNIASSHPPPDARQDEYDANLVDLLDLVDPEVATLSTLTNVQN SLFVPDLGRLLNRRPTYNLTRPPSDIEEGSSSSSDYGGETSKTTTRIRPERTMTGATL NTITSNVNDRYYAVLPHGVSLAGWNEEEKLELNDHVRHMLHSKRSKFKRSMRGFRQYI KKPLGFFVTLYATLITLFGLIWVLFLIGWISLGSRKDYIVNVIDNVLVALFAIMGDGL APFRAVDTYHMCFIAHYHHLTWRLRREKTLPKLNNKNDLPAVPPEEADDEQYSVLSEK QQKRLAHHQKKFARSHSFYKPHETYTHFAFPLRLLVAIVVLLDCHSLLQIALGTCTWA ISYHRRPFALTTVILCCSITVNITAGILITVGDHKTRKNDVREKMFRQELTAEALKKV EKKRRRVRREQEEMKEMGIEEAPRREGGFESIVGDDSSGVVR LY89DRAFT_687092 MFGWDDAQSSYDNVQQQSDNTGSLTHEVVGGAAAFGAMKYFEDR QRKEGKPVSHAFAKEMIAGFAGAEVDKLAETKGEDAWDSFEAKRVAKQNAEQLYDQQY GGQDQYDPNAQGQHDSLNNY LY89DRAFT_784578 MAEKETTLALQLTRPSQTTPPTLTLITLPIPTPKEGQVLVRIHA SAIQPSDILNTQGAFPYTTFPRVPGRDFAGTIFSSPSDPSLINQEIYGTSGNTLSFTS DGAHAEYILVPSTAVASKPTNLSFAQAATIGVPFTTAALAVKKGEVKSGERVLVLGAN GAVGSAAVQIAGAKGAKVLKGVRGPKGDVDTGADPELSALKEPVDVVIDTVGQPSLTA AAARKLGRAGRLVFIAAPRSGETVLGIEMVDFYRLEKRVEGINTLLYGIESFAEELRS MSGLFEEGKLVVGEGWTEVPLKEGVDAYNRAGKKGGIDKFISTEDRPNIIK LY89DRAFT_736708 MKLPSISSILAIAALGSCASATFTMSIAKSQGVKNIKKRSLSTR ATITESLANNFTGGDYIAQVSVGTPPQTQTLAIDTGSSDVWLMSSTADLCTDPALQEE DGTGGCASTFDSSKSSSFKVVDANGFDISYADNSGAQGDYVTDDFTIGGSTIKALEMG LAYNVTLQTGLMGIGYDKNEASDSTDGDGFIYPSIIDQMMSQGLINTKSYSLYLNDYE ASTGSIIFGGLDADKYHGKLLQVPIVPDEYSNGTQVYAEFSVAMTGFGITTNAGNTTN FTISSYQTPAILDSGTTLTYLPNRLVEDIYNAIGAEEDEDGNAYVDCSVRDNNPDMTF NFGFGGASGLTIAVPANELIFDLTGIFSTGGIDPGTGGSGGTCALGIMPGDSEESDGP YILGDVFLRSAYVVYDLQNNLIALAQTNFNSTTSSIVEFQASATTIPNVSGVASSAQV TETNTGILGGGGGPKTTSATGTATTDGSKTTSTSGTGTGASTSNTSKSAATGTVPAFD IKALFVLGISSVFTVLGASFILA LY89DRAFT_145804 MIGLCSSPALRRIFRPIAPVPSSMGEVACPVRLAVAHAWSDIPV RSPSRPDAKIPWTLDVSTAPRPGLPVMTPIF LY89DRAFT_590742 MSEITVGSYIFERLKQLGVKSVFGVPGDYELALLDLVPKAGLTW RGNPNELNAGYAADGYARINGIGAVVTTFGPGELSNMCGIAGAYCEFVPVVHIVGYPT EAAQHGRNIMHHSLGEVGDGKFDVYHEMARHITCASVVLHDAKTAASEIDKVLNAMLL YSQPVYIGIPTEVALQSTPSINLSFPLTTALPPNIPDLETHVVSLIRAKLDAASSPII IVDGGAIRHNVIPETEALIKKTGFVYFATSMGKGGITENLKTFGGVYGGSGSLPEIKK AVEGADCVLWVGNYPSDFNTGEFTEQVKEEAVVDFQRFYVKFAGVRYDVKMKYVLEAL LSNLSSEPLSTKSHTLSWTPYAAPSSRPSHLTQDYLWATLGPYFRSNDLVIAETGTSA FGIPSSSIQHGDNIKMFNQTIFGSIGFATAAAVGAFVAGKENGSVERGILVTGEGSLQ LTVQAFSDLLRHEVRATIFLLNNGGYTVERLIHGMKAPYNDVPNWDYGALLQAFGPSF KTKHFLVKTPDELDAVLADEEFNEAKYTQIVELILEPDDAPQSVVLTTAAIEEFNRNK LY89DRAFT_650651 MYSPKVTEGTVDFDIPAAGKPCKTWYKIIGDLSCGITPLMIIHG GPGLTHHYLLPLTDLNEKFGIPLIFYDQLGNCLSTHLPEKMGDESFWTESLFHAELTN LISKLSLHSYDVLGHSWGGMMASTFAGSQPKGLRKLILSNTTATGDAWDEAYAEYRRK MPQDIQETMKKHEDDGTTHSVEYKEMMDVFNEKHFCKKLPFPDFQTSLEWKAKDMTVS LTMHGPNKWVVTGSMVSWTAVPVIKNILVPTLVINGIDEGASDEAIAPFVEGIHDVKW VKLHNSTHMPHWEEKDNYLQIVGQWLQTR LY89DRAFT_650655 MTIIPARKAVAFAVKKGQDIKVSNTHGKQVVDFWAFNPSDPHDF LSMVHTRTILLKVSIAKGDKLYSTRRKPILTLTEDTTKGVHDIIWSACDAERYRMQGY DGCHDNCTDNMHKALKDGFPDFKIADDWVPDPLNLFMNVAIDHRGQLDIKVPTSEKGQ YVLFRAETDLIIVMSSCPQDMAPVNGGMPTDCEYQVIGQTDSSAESQITIPQSMPSTR RRKVKVALSFDFDAVSHWLGTGCHPDNNMADYSSGIFAGQVGAIRLLSILKKYGIADK VTWFIPGHTIETFPESARAVVDSGAEVGLHGYSHEGIYQMTEEQETDVLKKCIEVATK LVGKKPRGYRAPMYTIRETTIKLLRQHEFLYDTSLMHHDSQPYFTPSDPPIKRIDFSQ PASSWLKPTSIASQSYPDGLHPLVEIPCGWYNEDMMPLQYLPHLANSMGYVSTRVVEQ MWKDKFMWLWDNGKEGTESLDFIFPILMHPDTSGMAHIIGMSERVIQWLQGFGDQVEF CTHEQIATAWLAEKKQLAGKV LY89DRAFT_687098 MADRSTQARSGGRKYRSKKQRPCDSCRSRKTQCKILDGDLACEL CKRLNRSCTFVLQPLRKERPTCVQGGAPKPQQHRLDNGQGAQMSSPDLDNSITTTQIP STWQNGVHTYDLGSPSNILAIEWSSMDFSLGGFDQNQPPSTNRVTESGLEGQIVRQVE QHNGGNGAYTLESVPPIDDISPSYTNSSMERMNGDPNPDVSGDEHDLDRFIQGLWDRR ESNHAFDWPHEFSIDSRRGYSNQVIGLSGESDPFLLRYHRYNINDTYPMFRLDFRNIM GDEKLQPPAENSTLGGLPLPVGYIPIQFVMTDENICQDDLETAEAMFSGCKTELEDLG LLKSLVPVDLGARLLNLYVRFVHPRFPVLSVNDFKNLEDYCCVGLPIGIQAAVFALAA PFIFLDDELSVSKGYLQLSTTELWSIAHRSYLRCTRTSHLSSLQLCLLLLQMPPRNFA VAEPPSTWALSCSALSLAESLGVNLDPSAWRLPANEMRLRKRLWWLTYVQHTWHALLL GRPSHLNDDNWAVSELTKDDFDLNEQLDEETNEYVNLQIPILIALCSLSTIAAEVLSR LFTLKAARGSPSLDAILARAQSLRARIETWRQTLPVLSAKLSTLDDDDLEQCAALRLS HLTLEILIYRALLRHLSYQTISPDEGTRELVSTIFENTYICSKLGTEIISSLKPRHFA NFWPQYARYQLCYISSLILLSFAQSPTTGIAHQNKALLGKWRNTLRAQARAWPLARLA TMRLDAVFWKGLSAVIHGTGPDSPAMSLLKEQDLRVSGDRVSQ LY89DRAFT_720937 MGSYEEKLAYRLTNRALDTPRSLRIAIIGADVSGIGLYIRLRHY VPSAAIQIYEKNIDVGGTWFENRYPGVACDIPSHVYQYTFEPNTQWSKFFSGGAEILE YVRGVAKKYEVREKVSFETTVLGMKWNEEKGEWAVKVRKGGEIETRSFDIVVNATGCL NNWKWPSIPGLNDFEGKLMHSANWDDSWDYSNKTVALIGAGLSAIQILPHLQKKCKDV FHFTRGRTWISEPFGGIATQQAIAGDKDSGNCNNAAMNSYSPEELKRFSEDSEYYRKY RKEIERFINLDHSCLFPGTPAAIDGQQRVIENMKLKLAKKPEIYKQLKPEFRPGCRRL TPGPGFLEALVEENVHFTNSPILKIMKDSISTADGKTQRVDGIVCATGFDTSFNPRFE VTGRNGILLSDQWKEYSSAYLSLAIPNFPNFFTIGGPNPATGGGSLLIIFASIIGYVV KAVQKIAREHIKSMVATQESLDEWEKYMDAYFPGTVHIEGCTSWYKAGETNHKVVGLW PGSSLHARKTLEHPRWEDFQYGYENDDEKGEDGKLSWLGDGWTVADREAGDTSWYLDE IDYPPVNK LY89DRAFT_650665 MADIHSNHEGSSSEKNATPFYTAAEDDMGETLVVSENVDDLKRR LSGRQIQMMAIGGSVGTALFVSIGWGLIEGGPGSLLIGFIIYCFCLGTVNNCMAEMSI FMPVSGGFVRLGSKWVDESFGFMLGWNFFLYEAVSIPFEISAINLVLTFWRDDIPVAA VCAGCIAIYALINIFSVKYYGETEFWLSTGKVLLIGIVFCFTFITMVGGNPEHDAYGF RYWKTPGAFAEYVTTGSLGRSEGFLAGLWEAAFVVTGPEYVAMVAGEASFPRKTLKTA YNSLYWRFGFFFLGSAIAVGIVIPYNDITINCILTGAPYGGIGDCPSVNGASGSAAAS PYVIAMQNLGIGVLPHLTNALLITSMFSAGNSFVYCSSRTLYALALDGHAPKFLRKCT KNGIPIYCFCVVMIFPFLSFLQIRSGTATVITWLANLTQASQVIDYLCMCVIYLFFYR GMKAQGFDRNTLPYKGWAQPFCGWFRMCAMTFTVTCYGYTTFLPGGWWDVGTFFSYYT MVFFVLVAYFGWKIVKRTKTVKASELDLVWERPVIDAYEATYVDTSVGFRETLKGWVG SKKTKHED LY89DRAFT_687101 MTSTESRIAIVGAGAFGLSTALHLAKTGYRNVTVFDYQPYDINA YNSDEGCDSASSDVNKIYRCSYGHEVEYQDLAFSGRPVWLEWNEMISSTSPEDLPQGL TPDTKLFVDCGLLRFSMESELSQYDKDCLEELEKAGLRHWQHIVGDERDMKRLKERIA EHSDEKWDTRLSLARSFQEGKLASFLDISAGLTYADKACIWVRYLCEKAGVKFVLGQK EGKLENLIINGAGYSKKIKGLETADGKEHFADVTIVACGGWTPSVVQDVNGILQTTAG SVITIQLPKERQDLWDKYSPDNFAVWSYGVTTQHGPEYGGFYGFPRTPEGKIKIGYRG RKWTNYQLNPKTNTRLSVPRTKYTEEKAVNLPKKAISNLKELVGDMFPDLKEVGITDT RMCWYTDSLDNSFVIDYVPGYNKTLFVASGGSGHGFKFLPVLGQHVVNQLEGKTDVFT PIWKWRFPTPGSDPNGLIEGEHSGRDLGELEMADKKDWQWK LY89DRAFT_621328 MDIAAFAAQHFEQHQRPLRIAIDEAIWRGKFFLKEEDVVSIRRH QPAARPNEKNFLWRIVQLLRLNCQLVFVTDGPQRPTKRGKSTHGYNDHGLEDLKELIQ ELGCPWHRAPGEAEAECVQLQRHGHVDVVWTEDSDALMFGAHTLFRFEYKETEKGEQK IKKKDNYKVEVYRTHDILQKYSTFTREGLVLFAVLVGADYDKQGLFNVGPELAIQIMA DGLGESLCRASDNGTLPAWRGKLEESLRRAGSKVNVPAGFPTPAVVKNYYRPIVSSEE ALRTLQHTWWKSTFNDDTLRPILGDKYNLWIEEYIELIAPILLVRSLAQTSPGQEASN KCYRIERTGKSAAKNGPGQSKIRYTLSAVMSLDINAAYEDEYHRKPENRRKVLRPDQR PLCDAVLDCILRHAVPDVMENVLAAGSRKVTPRKSESKKRSLPSEDATKSASKKPVKK TKTKSSMQVEDSDNQLNKIPKSNGKATAKIPSQPSEEIQSSIRRETPRQTPRTLGLPG SDVSKNAKVASKSVIIDLISDDEDEVEQPIKVDKSIYETKSEDVNAQPERQPEKQPLD PTSPNSLRLARLRHFESKKSTAAEPPLSHVSLKQSPSGFVRFSFDSDDDD LY89DRAFT_621331 MRFQVSEGTFNEIHDYLSIMFAAYSDPLHPFVSALLPGISSQDP AIYTQGKYDEAERALSRWKANPFEKWVKVVDTNTGEIVSVSRWQIYTRNPFPNGAPNV EVAWLPDGGRLREYCTYITNSRLERQASRCSFPHIWLNMMGTLPQHRGRGAASLMLKW GIEKADSLDYEMFVEAADSTGGHVYRQMGFVAVDEYWTRRLESEPDSDWIELEEKYPF KATWMMRPKQGRSTVNGTGVGR LY89DRAFT_672006 MSQIMEPIPLRTLITLLHYELMVSDPRYEGTALFQTSFADPAVI ARIQKQFVSDAEKHPESIEKMVFHQFNEHKSSSKEPIPLTTCEIHPHANEMVKRLTPI EVEQIYLESRNHDGCFKAIGLFQFFFELCPAGQMISIQVGNEAPLIVNPKDRACTEFA IGGPKLITLASTMIPGQVKTYHTGARENEDHAVVVFNVKGPAETQVVVDMTRSQYGIA GRGTFGERYFLGNIEEWLTSMDKVCNNTTTLLTRSTNFPRTKSENENRIEACAKKVWE RWQNRVKEQWCAYCGKPGVELKKCNGCKAKKICYCCGDHQKSDWKLHKMTCERKK LY89DRAFT_720943 MIDAISTSGPGLTLRLAVGTIFLLYATYIYIQRRKDYDADRLFG EKHGCMPLKRRLPYKWPLALDAFKRQYDALVAGNLLAFQSEYFEETQVGQTFEVKLLG RVGYFTLDPQNLEAILSTNFEDWGLGSRREGLYPMIGEGIFTQDGHQWKHSRELLRRQ FVRIQYQDVKVFETPINSLLAALSSQTGVIDLQPEFFRFTLATTTSLIFGEPFAGLTP KDHEVFEENFDYCALIGAMRLRLANFCWLYNPSKFKQACAEVKKYATYYVNHALKDQE ENGEEEAADRHPFILDLYKELRDPILVRDQLMNVLIAGRDTTACLMSWTFYLLVRHPT SLERLQQEIHSIATNGQNVTRAQIAKMPYLKCVLNETNRLYTQIPVNVREATKTTYIP RGGGPDGQSPVLIRKGFGIGFSPYHMHRSKDIYGEDANEFRPERWEGPQLKNIGFGFM PFHGGPRLCLGKDFALTEASYGIVRILQTFPNLRLGPDEPKVQSGQEKQTLTIVVASA DGCKVLLS LY89DRAFT_621335 MDPLSILAGTTGLLDVSFRVISYLKDLEESAGKVEDEIAALSQE ISALITVNDAIEALWLANHDTAPGSPFQDTTDVEDLWKRLAGLLRDCRDTAQKLEALL KEVMGKKGPKVSGKWDGIRKQLRKDSKEKEWTDVRHRLSSYQAGLQMLLSALSVVYTR NSSSSTQHVAGSLDRIQRQSSTLQYQMEFLRHKLNALNSSDSDKLRNSINSAQAVASL LSLNQCFDIPQTVSSIFTGRDLLLKELKHMLDVATPQHQSHSQKRFVIYGLGGSGKTQ FCCKFAQDNREYFWGVFWIDGSSYENARHTFSKIATMGGADPNENAAKSWLSSQQQPW LLLIDNADDPDIDVTRYFPGGERGVILMTTRNPSNKVHGTVGSRFWHFEKLETDEASD LLLKAADLPGPWELQTRTSAARIADALGYLPLALIHAGKAIMDGLCSLANYLDFYGRT WKRIRRARSMSGYVGDENANMNVYSTWEIVYLGLEAKKTETSKDGVELLKTFSFFYWE DIGVDILIAAAKNGRREQETTKPNEDKTKTPSPQQVSKKPWSLYFREIIIDWVENLQK VRPILPDVLRDDDQTSFDEDRLRTALTFLVQMGMLTYHSDSDTYWMHPLVHTWVRERP QTSTGEQAIWCQAAATTLTSCIFFKPPLEYATSDERLKISIFPHIENLRRAQNTIRER IQENQKTRRRSWLSSWIAPPVISSGMQPLEWVKYSLVYMQAGKWDEAEKLQLPVKELA CQRLGLDHPRSIDIIQLLSVTYGLQARNNKAAILLRQALDACQRLYGHDHPRTLKMTD ILGATCLLQSRLSESRTLHEHAVKGMTEVLGAEHEDTLIAVDNLGKVMSRYFFWDKAR DLHLRAFHGMRKTLGSTHLHTLQAMEHVALSHMYLGDLDLAQEFAQEVLEKREKKVGK EHPYTLMAKLTVARVKSAQNETEEAERIIRTNLPVGERNLGDNHLGILLARVFLSEVL FRQQKYSEAEQILLSVVQRHRYEGSRREDGEHVDRIQALWFLLKCYQAQNKIEDAIKI GDELYESVHTIGGEGFGRGHVFAKLLDQKREELQALKSGSDPAPVPYDPISPNSQSAE TIRSSSPVPVTKSLTF LY89DRAFT_146060 MANNPPPFTDPFGNPITPPVDSNGNLLYPLVGPFGPNPYPNNPW YQYNDPSSQMQVQQQQGQQAQLQQLVAPQAGWLPPGPYTVTSTGLPAYFGDAEGYHPT VDETHPARGAFYYVNDGPQILNEPDKFYRMRIRLANTRMQIERRPIPQPPRIKKQTDE WRAAREVLEAKILSDTKEHREKLTFGHPNYDPLYEIDWDADKRLRQARNAARRQQALE EVRAHLSAAGYDKVPTPDPADDSGDDLYDLSKEGLPMKIKFRRTPLYKNVQPWARLGF QGEPIQMTYASNYSDVAKSRVPAEQKGGNIVPVILASARRGPRRKTPALWPSLTTCNR CARSRKGELPKCDMKAFGIPCRNCRNARAECVPASVKKKPNGYAAAYPNGHEADFPDW YRDRETTFGRRILNYGRSIAPDGSKRSRSASPRRRSAEVAGSTANPASPSRVYNVKCI HLDSNATMLGLVRIASITHVNAKNGNMILKAGCRLYRSLICHTVQMKAEYAQSIRTKS LTAS LY89DRAFT_146082 MLLDATSSLDRAETVQDDSIRRVREAGSDSSPYFEERHAIDCTS FNPQDARHSLASGMESHTQKRKLGGDPSNTTPEDFERHSNSPIVPLRSLPNHRVESMP HLSHELPLLSNVSQHGNARDDEYGDTQPNTASIKTTLHQYSQESPTQINIAVEPAVKK QVIADVSLPDTQLSSRNSIGLSNDYQDSPTQINPTNDLTSTDSFEQDDLSIDMQVNPQ SVASFIADELAHSARETSSITQHFHSTKARNFGSRKEAVPVGSFDNPTTLFDVTQKSK WSEASFMHQDPEVDSIHRESNDSSVLGDNNLPGTQEVCSVELGDLPRDVGPSSPQYMT ALHIEKQPLFNPIYGHGPSQTPMVEDGDLPDTQSGRSAIPHDQALSHDSAMRYEDSQA TQATQGDTDVAFSPLGSLPALNEMQSKNKDQQVRKDPEVVVQPGLSASANSVKPGEKA PLNKLTCRERKRIATSYNRPPSLTKAMKQWVTKTHNLLDPFREDDDCWFHPSPPPARF TASGVLRPCGKLQKCFTWQDHRGKHSLVLNYGMVSKIVNFKLTKQQKDGFINKQWHLS HLCGNWTCLNPRHTTVEPGKINISRNNCFSHRSGCLHDPKCLKEKKVPLAPDGKPIDH DASTGQGASQKPVEDWDEWAMHSFDDGEVSVLIDDQDETEFAMNGEDEDETEVEVLSS LY89DRAFT_146057 MAALDAQSNWEVEMSKPFPLPINSKTNERSRGNLSMPLILPSKS GREVLLPSEIISHILSYIPHRVSTQGTFWACSLVSRAWYSASITALYERPILTGGNFD QFVTTVCPSKNAHIRRSALSVLVKRLDMGDLVHNASKSLTARLLGRLKGNIEEFVAPQ SSFAINSFAALSKCTKLRHLDLSLISASISNRVLFRTLEPLTDLEILFFPRSSSHDQQ SDTEPYSWPPRLEALHLAGGISDHFLSMHLSNISPSLSRLSIQSCTQVHTHSLLPMLG NIGRQLQHLTIRHPMPQLFQGALDMILLDLPLLTALRISADYISDRHFSAESIPQGHP LRILDLECSPTAGADIGISAAAIYDAVEREQLPNLRSVRVSMRLAWAATEKTRLDASD LLEIIEENEMEQPLNVMTGVWWNMSD LY89DRAFT_720948 MAGRKRKSPQDSSDEIYSNKSRSRPSADAKVDPTYGQRSAIPGL DDDTAFGEDDLVYEEDMAALEYLRSVRLEAFGIPNLLVAPKTPTGDDDYDLYQDGVGD FRGRYEDGAYLAATDPAAEGYLGSSPQDEIDPQAAYFESIITRYHELRSRLSQVPPNE IIQSLGPNHPTQVGPLNKTLTRSWIKRLQQSHPKPAQIACMNKGSALRLLRLLTQGSI LKRESQVDFGISYWVWSLLAKLPERGELNSEEIGVVRELGKKAVLIGMGLRELKEWQQ GIEDVEAQFEEDLDSEHHAVVNEEEINLEAGDDDYDESYIEEGAGMGHSAADCQSTHT SSQAPPAGFDMLDVDGDTEPPVSASSQTLVAGIEPIVTTENMEHSERRTPSRDSDVDD SGALAAMKAQALGRLQLSEPQVNLEPEVPPSATPNTRPLPSKSNTRATVDMIITIAGE IYGQRDLLEFRSEWAHVM LY89DRAFT_687106 MWAGEGWLCCGSENNKSFSSIQINFTPINLTSIESLKGRGEIHD DRSDDRACPSVMFALHNVPSGWFPGRFSLTNFRLTMTAEPFTAIAFSGVFPHTGSGLG PYPAGIPEDSPFRCQPFPGITYPALPEGAGDFRLAAVVYPGSAMHRVRDGMTARSVTR TLNGHGSLLNHLQWQMLSAIRAERKLMEERIGITEAYEYMSKTGNPAQWVSKYSMIDD TTGLMVAPSIQAVVMRWTSVQRTGKRGRTFTRLPCIIRQSLLHMENQETLMVCHRRGG LLRRNARMLHFVV LY89DRAFT_590797 MRPWTTTLRWSSCRSRSFSARCARNPIRHDVSKIVPAAQALHIQ PHSQSRPRTSQQPTSRPYSIPASTTNGPPSFAFAFDIDGVLLRSSTPIPGAAKALKYL HRHNIPFILLTNGGGKHESARVAELTDKLGVPLSEENFVQSHTPFKQLVEGSDTIHAL KDKTILVTGGDGDQCRRVAERSVPQDLVDLRRYGFKNVIIPGDILMANPTVWPFSQVF TDYYQKIHKPLPRPVDFADPAKSLKIDAIFVFSDPRDWALDSQIILDLLLSKDGILGT YSDKNGDASLPNDGWQQDGQPKLYFSNPDLFWAASYHMPRLGQGGFQASLQGVWDATT SGADLKRTVIGKPYPETYSYAESVLNNHRTQLLSGNGATKKQFGKLQRVFMVGDNPES DIRGANDFKSPLGIEWTSCLVETGVFRRGTKPKYAPGVIVDDVLEAVTWALKSEGWKG SIA LY89DRAFT_590787 MPNAVIQPQEQGKNEESNIVTWNGPNDPDMALNWPARKKWTTIL LLATLTLLTPFASSMFAPSVDMVIQEFHSGNATIGTLVVSIYLLGYAFGPLFLAPLSE MYGRLPIYHGSIILFILFNVACAKSTNFPMLIVFRILTGMAGAGPLTLGPGSIADMYR QEERGKIMAIWTLPILLGPTIGPIAGGYLSESLGWRWDFWFLIIVTGAVFILSLLLQR ETYPPTILERRASRLRKSTGNQNLQSALKSIRSPRQHFLTSIIRPTKMLVFSPLILGL SVLNAIIYGVIYLLFTTITTVFETNFHISKSNVGLTYLGLGIGQLFGVIAFGVLSDRM LKHLAKNGEMKPEFRLPPLLVGVSLTALGLLWYGWSAEYASQWIVPILGQVVIGAGVI TSFLPVSAYLVDAFTEYAASANAANTVLRSLGGALLPLAGPGMYDALGLGWGNTLLAG IVLLCVPLVLGFMKFGERIRKSPRFQMNL LY89DRAFT_146138 MKGFLVLALLFQLVASSLHSNFDSYPEVWRKIIINKLAGYHKPF DPVPRLVTYVQTFEDKQGKHVSLLPLLWHDVKVTHVILASVHLHDRPGEIRLNDHSFD WDEYSRTWQEVKALQNHGVKVMAMLGGAAAGTFKHLNGSEEEFYSYYHPLKKLLIEHQ LDGLDLNIEESVPLAVPIRLLNALHRDMGPHFILTMSPIASALLSPANTDQNLSGFSY FDLDAFATIPGSETKLISWYNAQFYGNFPRGPPLYEQVMEAGWPAERIVMGVLDSPDD GPPNGFLLMRDLRMRIAALKRKWRDFGGVAGWEYFDGGTGDGVVDKPWDWLLGVGYEL FGRDDDHSKKDEL LY89DRAFT_146137 MSAGIEAIKQHEIKDEDRERKACLGLDPAGFYIRHPPPPHELTS FITPDSQLFHTIHMGGAIVDLDSYKIVVDGLVKNPLQFSLLELKQFPSKTITAFHECY GSPLNPPTTNVWRIGNVKWTGVPLKCILELAQPFPEAKFVWSDGLDYGSFGGVTTDRY QKDLPIEKAMSDEVLLAYEMNGSPLSRKRGGPVRLIAPGWFGTNMTKWICRISLQAER AGGPFTTKFYNEVHSSGNREEIRPVWDVEPNSMIVNPADGAKLQTNEVEVWGWAWSAD GIVEVEVSLDEGTTFSNAEIEARKEFSWQRFQYSATFPSGSHSLMARATSTSGAQQPV SGRRNHVHIAHFTVEQ LY89DRAFT_687109 MASRLLAAACHVSPIPFSAVKTTEKCIQFINHAASNSANLIVFP ESYIPAFPVWSSILAPTQNHAFFELMVKESIYADGEELGALREAAKKNRVMVSIGISE KVRGRHGTLFNSNIIIGEDGEVKVHHRKLMPTWFEKLTWAPGDGHGLRIAELGLGNGK ARVGALICGENTNPLARYSMMAQGEQVHISTWPAVWPSVIPKPIREPDIKTSDAEEHK IGSAEKRTNYDNIAANRTRAAAHCFEGKCFGILCAGHLDTANIQSISERSGDPNYVTK VLEAAPRAATMFLGPTGAALPAYVVDPSNGEKQDREFLQLEEDVLYSELDLERCIEGK QYHDVVGGYQRFDVFDLKVDRSRKDPITFI LY89DRAFT_687110 MASTTTKIATSMSATKSAAPSFPTVCYDVDTIPPWPVGFAVSFT ILIVIVICIILVYSTIYLRRRLFKILEDRPEEELAERVRIQSQRALF LY89DRAFT_590372 MRESDQNYEWSLAQLETKGDGAPVVLYVKRHPRDEPRSPAPSSV FEPFSPPPRREEYFDPPKEFIPPPDEPEPPEPDPEPETIPEPEPQPEAEPEPEPQPEP EPEIEIEKHRCEQCDDYHEICYYCNVCDIAYCARCWDRVGPHKSGKTGPGGVPHEKTD QRVAHRLRATLEAAPSDQEQDELFGSDQDTTWFGVVKDEAGDSIFCDYGRYSDIINEI TKSLGPRPGHRFPGLVSFVGETGAGKSTLIKVLIELNEKGKPTHQTPVVGSIRHQDVP TSGDVHLYVDPRTFLSEGPILYADCEGLAGGEREPKGARSTMMKRLHGTGPKHQRTSS ALDRLTQNMPHSTEREILWADTPEKQTREFAVTQLYPRLLYTFSDVVVFVLKNPRVIE NVIERLVDWADAALEMSSNQPVLPHAILVLNASELSIDAEQWNPVHATEWLMNAVKDS IHMNVKCKKHAQTWRSRGKRIETVEDLLLSYYASVKVVRIPGIGRPNLMQTQLEQLYQ EIAAASDHSKKRKRELRMLLDAKELQPYLQFAFDHFSKNLDRAFDFVQASFVYNPIPS DFAGNMLKLAVNIMEACGNNLNGEQIFYELSFMVASCIMLDSTRSKTRGLANMIFPEY VVHCDNALEDFCDRYWPCEFVLLMGSRRNDVSVGKCVNVRSGHGSKGHQNKDGKVIAV GSYQSRFTAESYQEKFRYLVFEALEKLLAKLRVRIMEDSLPEEKIAAQLHRDSVMLHF YKHIDDVRNKSLVSHSTCFSCLMSPPQHALPCGHILCTPCLSAYGTQERDAIEISSCP MHLDTTFRSWKIFLKPEAAGVRILTLDGGGIRAIVALEILRLIEQTWGNQMRIQDFFD LIVGTSTGGLVALGLVSMDWSVEQCINEFHQICSKSFARRFGSNIPGYSMIMESVHRS KYEIPPLEDALKQAYSKNDYLFGGFRRSNSRIKVGVTATTSSSISLLTNYNRARIDKL PYNFQRPENAASELRIWEAARAACATPKIFKSYSHEPTGQVYSDASLHHSNPIQIADM ERKTLWPNESKSLPDFLLSIGTAYNRKSRKTLIEKSSIIGGLFGQSKSSSSASAAMDQ IRSSLAAEKSWKEFIEELGLPETERHRYQRINPEILEELPALDDVNQFKSLQSIIRKH MTGDLAITRAALRLLATAFYFEKTVPLTRLPDGTFLFKGKKLRKLLIIASY LY89DRAFT_672020 MATTISTNPGNFANRPTEEVREIAHKGGLAAHGVVEEEPVVSGN PGNFANRPTEEVQEIARKGGLAAAEETPVVVDIPEVRKTRSSVTTRLDEQMAEGFMIG LD LY89DRAFT_650703 MKFLVIATVVTFFSPALSAPASNYVVHQKRQIGDSNWAPSDIKL DGRMLLPISIGLSQRNLDKTHDFLMGVSDPDSPDYAKHWTVDQVTDAFAPSRETINGV KQWLANHGISESRIEISKSSSWIMFNSTIEEAETLMQTKYQVYEHPKTKKPHVACEEY SVPIEIKEYIDFITPTIGFDAYLTNPEKVRTHMKRSDGSYPQRAPSPKRDGAGGQPQN GAKPGPSVVQINDTTPKPGVVGGAKFGTLPIPHGSSPDVSASALSYCSSWISPDCLRA LYGFPIGSVAKSSFGVYEDGAQSYLQSDLNGFYAGLAINVPLGTPPIFGSIDGGVANV YDPNNNLEANLDLQYAIALVWPQKVTVYQTGDRIEGGSFNNFLDAIDGSYCTYGGGDL KGYDSIYPDPSAGGYKGAKNCGGFAPTAVISVSYGGPEAIYPAAYMERQCTEYAKLGL MGVTMVYSSGDNGVASHGDQCCYYNDCYGGYMNQPGTGGGFSPQFPVTCPYITAVGAT QIVPGASVTSPEIACETVIHSGGGFSNYFAIPSYQTSAVGTYFKKHPPPYSYLYYNNS GKSRGYPDIAANGANYMCAIDGYWQELYGTSASAPTVGSIITLINEQRALAGKKSVGF INPVLYANPSAMNDITSGNNPGCLTNGFSAVAGWDPVTGLGTPNYPKLLKAFMALP LY89DRAFT_540110 TPLLKASDMGNDGEVEYLLSVGSNPNARGTSQRTPLRHAIHHAR EKSVRMLLEAGAEREAIFDDGLRPVYLAAICSNYKIMQMLLEGGIDVNVRAADKLRRG TPLHMAVLNGQATVVKLLLSKGAEVNAVDAFGKTPLDHA LY89DRAFT_736734 MGAPLSHKPRRYWQRTSSVERGRYPGRLVHSGDQKVLSTTRPRY GKVGLDLEVECDTNPTLQCINGPNEEPDILKAVKTMIGNGRGYILSFNTFATFSKEWA RPGLIIETAGTASYHGMDLAVNFAAVIGFPGEHHEEKVTLLLRHGNLNVDSDLPNRLR YQWSCEENSNRPTDLS LY89DRAFT_784604 MSSTVTETLTKPLEALSLPVEEQQPRYTKVPGGYEVEFKGAKWF TGTIPNEAYTPPKDFVFPGNSSPVELNPKGQTEIPQKLTADYTGYKYSNYLAHVTLTE EAPLVPYDHVDCAFRADPEKKALFAAIKEMKDMTPSIGTEVKGVQLSSLSSQQKDELA LWAAERGVLVFRDQDFVDQTPEFLKEYGSHFGRLHVHSFGSHMKGHPEILSNLRDNDK TVFDHYGAGYLTTTRWHSDMTYEKNPMGTTFLCALEVPECGGDTLYLNNMDAYDKLSE PMKFFLEGLSAVHSGAQQSIHGKKSSVYRRDLVETLHPIVRKHPVTGRKALWFPPEYV AGIVGLKKEESDAITAMLFSHLEKSLDFHTRVKWEKGTVVVYDNRMVLHSVVLDYALG PNAKRHHIRITPQAERPIPARESS LY89DRAFT_590397 MDKSVMAQSFIYGLEESLNLKGHEYSWCGSAFYFGYLAFQPFAG RLLNYLPLGRFVSATALSWAIILLCTPGAKSFSGLFACRFFLGMAEGGVSPAYVLITG MWYKKDEIPQRVTFWFTGNGVAIIIQALVSYGIGHINTSVATWRWFFIIFGILGLVWA VVLYLFMPDSPLTAKFLNEEEKAVAVERLRNNRTGVANKEFKKYQLIEALKDPLVYYS FFYAISCVVPNSGVSFFGAQIIKGMGFNNFVSSILLMPFGLCETIALLTAGYVTRNIP NLRCLNQFLWCVPAVFGAALVYCLPSSNRAGRLAGFYCTGFSNAGLPLQFSLMSSNVA GHTKRSVGNAIMFFGYATGFIIGPQFFLSSEAPVYPTGFKTMIITFGTACLGPVGLWF YLGWLNRRREAALRESGNENVYARNEEFLDLTDREQIHFVYSR LY89DRAFT_687114 MIPHRFRPRGPGPKQQQQQPRDARSEAGSAVSGRSGPDQAKDVP MVLIPQPPAKKPKKIAPQAAIDEFWAKFNSKTPGIAETVLPKNVYAKKAREQQPKGTI RPEPAVKSYEEAAQNCKDKVAKIVKECRRVNQKYRDPHFDIEFDLKWGKKDCLTMLSG KKDDVDDDDDDSMWNFDFGFSPGSVKRVGDIFEDPKFYIGGANANDIRQGRDGDCWFM AALCTLGNKADLIPKVCVARDEQVGVYGFVFHRDGEWVSEIIDDKLYLTKPDYDESWI ERNLIEDRQRINSEEDYRKIYQSGSGALYFAQCEDPNETWLPLLEKAYAKAHGDYAAI EGGSTGEGLEDLTGGVTTEIFSTDILDKEYFWNEELMNVNKDFLFGCAAGIFWGRGNR KGIYEGHAYSILKAVEMDGQRLVLVRNPWGEGEWHGPWSDGSKEWTPEWMQKLDHRFG DDGAFWMSYDDLLKKYETFDRTRLFNDEWKVTQQWTSLQVPWTVGYQDTEFHFTLENA ASVVIVLSQLDQRYFCGLEGQYNFELSFRVHKAGEEDYIVRSHGNYWMRRSVTAELDL EAGEYHVLMKVEAEKNDYGYSVEDVIRSNAKDRRDKLMRIGLAYDMAMAKGKVVETED EKKARKAYEAKKKAKAKKEMKEKLMKEKKKRRHVENRDLRKQRAANAKRKEKEKARME KRKAKEAEEAKKDEAKDEKKEEKKEDVQAEIAEEIKKQDETTESATEVKSEVKDEPKP EIKDEPKPEVKEEAKEESKPEVKEEPKETPKEDTKPSDPTPPATETKPTPDQPAPPTD IPTSDPNAPLPPLPPPPPFDDFDDDDLSDLNSVVSDISSSAVSDAILDAKLAADASLP PPLPPNDDDEDEFERDPWNAVAVVGLRVYCKGSGVTVKVCRPREWEEGEGKLDLDDSA ADATKDVVEKVEEEKREESKVAKGEGDEKKSEGESEGSVVVV LY89DRAFT_146414 MTKTMHATILIITLYLLLLLLLPTLANALSPTPPRDGTGAYQGQ IPCLIESLPSTCILRATQYLYYNTASFDVYDGQCVHLGDASMILGREFPFPQSYPSFL FLFLFPRAADVDVNHV LY89DRAFT_536254 MAFLFGKKNKNVDKAMKDGSGGVAGSQTSFSTTANGRVNEKGAS QQSSTPGSSVNNSITSLQGGGAATPSPEQVNGRRAPIAEQAQDLPLRNGSAPAVQSMN SNPNASLYPWSVRKLTYTTSHPSPFPRYGAAVNSVASKEGDIYLMGGLINSSTVKGDL WMVEAGGNMACYPLATTAEGPGPRVGHASLLVGNAFIVYGGDTKMEDADVLDETLYLL NTSTRQWSRAVPAGPRPSGRYGHSLNILGSKIYVFGGQVEGYFMNDLVAFDLNQLQVP TNRWEMLIRNSDDGGPAVGQVPPARTNHSIVTYNEKLYLFGGTNGFQWFNDV LY89DRAFT_590803 MTAVAVQQQQHGSHRQQYNPRQSPHSSNMSPNQSRPQSYTSVAQ AQVERQEAPTQNGIANRANSYQLNNSNSVQQNGSRMQPSDEEERPSSTKKVAITTNAG ERDRRASLQVRPSSAPNGVGESSQDDSETDKVRRRPKPLLQRAKSDFGPRGEEPDTEE DIQDWGARHGFEDHYASEEYVSQLANNWYMYFTDKRHETTGNPKPLGYELQDWRMKDR LKTVSAALAICLNIGVEPPDQLKTNPGAKMEAWQDPTSPPVQKALEVIGKSLQAQYET LAIRTRYKQYLDPSVEETKKFCQSLRRNAKEERVLFHYNGHGVPKPTASGEIWVFNKN YTQYIPVSLFDLQTWLQAPTFYVWDCSDAGNILNNFHRFVEKHKQEEADNLAKDPNYV VQAYEPYIHLAACGVKENLPTNPLLPADVFTSCLTTPIEMALWFFVLQNPLPSQITPE RAKKLPGRLQERRTPLGELNWIFTAITDTIAWTTLPRPLFRKFFRQDLMVAALFRNFL LSQRIMAAYNCHPQSFPELPDTHQHPLWESWDLAVEMALAQLPMLEEKEAGIRPDYEF QNSSFFTEQLTAFEVYLNQGAVTQKAPDQLPVVLQVLLSQQHRVRALILLGKFLDMGP WAVNLALSIGIFPYVLKLLQSAANELKPVMVFIWTRVLAVDLTCQTDLLKDSGYMYFA AILKPTEGLPVVDSLEHKAMCAFILARLCDGYKQGQTVCNQSEIMTYCLVHLQNGDNP LLRQWACLCISQLWKDLPDAKWRGIRENAPAKLALLVRDVCCEVRAAMLYALTTFLGI ADLTDEIARTEEQITWTILEMANDGNAMVRKELLVYFSKFIQRYENKVLVAAFEQLQE EKEYLLSPPKDDGNDHKMGLHYAGMNNRNDDGTIKASAYGVSRNSIFAAVWKHVMIMT VDPHPEVQRNATIVVDYVHTSLLQSPAGRYSQKLMDEIVRLSARSATTNQDTSNPRPS TPTRQDSMSNAPTTQAGLLKRTASYLFTSLAPFGGGSSAESSPENTVGKTGPKSPLPQ RSPLHPRGRLPPDFNAPPEQNDTAATPGMYHAAREPMSGDFQSREMSIPPAIPLESTF FEWSIEYFREPQMKPNEAEEPGSTDYNERLWRRSRNEAILRDTQPQKEIAGSGKWTTP AGFFNNQCQPSKMTFHQFEDHLAVSDDRDTICVWDWKKQDRLSRFSNGNPEGTKISGM QFINEDDQAFLLSGSTDGVIRIYRNYDSYKKIQVASAWRALTHLVPSNYNSGMVFDWQ QVTGKLLVAGDVKVIRVWAAAPELCVVDINARSGSCVTSLTSDQMTGNIFVAGFGDGA IRVFDARNRPSEAMVKKWKDETTDRVWIKSVHMQRGGQRELMSADRNGKVKLWDIRMD KPLRTILATRYSLLTASTHEHLPVFAV LY89DRAFT_687118 MAEPPKETPIPTDPALTPAAGEGEASQSKKGAKKAEAKAKKEAE KARKAAEREAQAQASGSGGATEDLAKENYGEITHTTSIPAPQIHLRELGEEHVGKTVK VRAWIQNARMQGAKMAFVELREEGNWTVQGVVSASAEGKPVSRQMVKWIGGLKLESFV VVEASVQKPLEPVKSTKVSGFELHLVKCYLVAPAPETLGLGLGAASRAVGSLAEEETK EEAGVEGLSINEGTPVASLATHLNNPAMHKRAPVSQAIADIRIAVEDLFCEYLRAHRF KKFHPPSLIGAASEGGANVFRLPYFEKEAFLAQSPQFYKQFEIAGGRKRVYCVGPVFR AENSNTPRHMTEFIGLDLEMEIEEHYHEVMHMLEAVLLHIFKGLSTQYREEIELVRSV YPSEEFLLPKDGKEVRLTFAEGQALLRSEGPPEFEDVKDDEDMSTPQEKALGALVRKK YNTDFYVLDKFPVAARPFYAMPDPANPEVTNAYDFMMRGQEILSGGQRLHLPHELEAM IRRKGLDPNQPGIKEYVDVFRSVGVPPHGGGGIGLDRVVAWYLNLPSVHLVCDYPRTP KRLSP LY89DRAFT_621380 MLPSDSSVMFKESAYFSENGPNSSLPSPAIRAAQKARHLSRSMT VRFENLKLVVKYGREITIAEALCLWVIRRFLPDQVPVPELYGWCEDGGEFFIYMELIQ GETLENKWKSLSKPERIDVCGQLRVMLSGLRSLKQDPENQFLGRINRQPLLDIMFTDE TKPPAGPFFSVKEFHDWRSFLTKQGLEMHWPDPSLIPDPFRDSLPDNSPVTFTHADLH PSNILVTSDAPYRVVAIIDWHQSGWYPDYWEYCKANYTAEYNGEWNTQYIPRFVDIPE CYDAWNFYAQSFGC LY89DRAFT_687120 MEPNNDVNKSPSTNKNRPSAEEADLIDRDQKLSLINRKFLSGRA FEPPPDRDNFLGFRPQYFFFYGSLMDARQLRKILQLQETPVLQSASIVGWDIMLWGQY PALIFKANTVTHGMAYEVQKEEHVEYLMRYETDAYRVKGCKIKLADGRELAGKTFIWN AGKELLKEGNFNLKDWQIEQLEK LY89DRAFT_146514 MALVSSEQSPIFQLLDLAFAPMGLEPDNQFQHLNFSVFGSPQSS PPAFPDPIFSSSRSRPRSPVAKRSWIWAHHAPNSRKFHTGTHSHWDCAYCSKSFKLVS GTGKPMRHLEIAHGILRPAKRVAGVTCSASTSLASERFTSQISGINKESWNVSKEQMS LDPHFASCTDYCGDNGCSGAFSNDMDIWLDCSHSGFESHTSETASISPTATSISTFDS GFEDTFSSAESSPSSYMDHGSNSLSRSPPTKSASFTTRRSWIWQHHESFSWNDEGSSD SDWRCAYCWKVYRKSSGTGKGMKHLRDAHGFVC LY89DRAFT_146513 MTISKPRDDGEAEYGHHGSQAHEFVCKPHPENVVFPVTRMDAPP FYIILTTYLGVLILIVFGRIRDFFGRRFKANEYLHLQVQNGYAPLYSDYDDFFTRRLK LRIDDCFARPCRGVPGRYLSVLERTSDDFNKHYRLTGKTVQALNMASYNYLGFARSND RCSDAVEKVIRSHGLSYASSQTCVGTSDLLVQVETQIAAFVGKEAALLCSMGFQTNCS TLPCLAAEECLILSDELNHASLRMGARISGATIRSFRHNDMNDLERSLRRAISGGQPD SVKPWKKILVVVEGIYSMEGTMCNLPGIVALKEKYKCYLFVDEAHSIGAIGPRGRGVC DFFGICPSRVDVLMGTFSKSFGAVGGYIAGDIGLISKLRLSSPDTYFGEAPSPPILKQ ISSALNVMTGPEGEQRLRRLAFNSRYLRLGLKRLGFIIYGQDDSPVVPLLIYNTAKIA AFSREMLKRNIATVVVAFPATPMESARARLCLSAAHTKDDLDRVLRACDEVGDLLLLK LSSGIGGGASLTNLDTRTGFWKRFVVREDKRRPRWRLEDVLERGVSDVQLPLT LY89DRAFT_784615 MESYNNTFGSERNSSESFSHLVELASSQLVVLHSQKPSNGDLYL LHIRNFATVKALALTILLILICKIIFSQLSWKNETKALEKRYGCKEPSHLKTHWFFGI DKPLQVLAAGKEGRVLHYFRSLVAEAGNTFEWTVLGSRVWGTQDPENIKAVLSTREKY FSHEVRREVLCTLIGDSIFTQDGASWRHSRALLKPSFFQKHCTNSTLFEEHVDNLIAR IRCDSTVDLQPFFFSLTLDVTSAFIFGKSVYSLTPSQTEEEAEFSRAFQYAQVYLSKR YQLGRLCWLLNGKEFRESCKTVHTYVDQIVDNAMQEKARIALDPDCIQASKQNLLGEL VFDVSDPIQARAHLMHLLLAGRDTTAGLLSWTMRLLSRYQGVQSRVRDEIEATFESDP IDVNKTKDMPYLTCVLKEVLRLYPSVPVNSRTAAEDVVLPVGGGEDGKSPVLVRKGED VAVCVYSLHRRTDLYGSDADAFRPERWENGALDHVEKSYGYLPFHGGRRVCPGRQFAL AEASYTIVRLLTAFPKLNVPAGTTFPEVGMEKQILGLTLSSADGCVVEMSR LY89DRAFT_672034 MSTTQIPCDSLKVLGSDTQEDEYSDKELIENNFKADWKLYLVFA TLCILALAAALDGTCLGTALPMITTSIHGTTAQAIWASIAFNLTSTVCQLIFVSFSSI FGRKPMMILAVLFFATGGFTGALAKNIKVLTVGRAFQGVGSGAIIVLTEVIVTDLVPM RVRGKWYGVISLAWAVGTAGGPLIGGLLADNDKWRWIFRINVLLCAVAGVMIPLLLDM RFKNPVSVRKQLKAFDWIGLALFISSFTALLLSITLGGVIWPWANWRIITLLSTSLLG TIIFVVYESLTSRVPFLCVALFKNITAAVTFLGTFLHGFILWSLLFYLPLYYQAIKSA NPTQSGLAVLPETLSIAPVSLIVGILVSRTGRFKWSILCGWFFTTLGTGLLLLLDIST TTPVWIGINIIVGIGTGMLFSGMAFSIQAAATAFGQDSAFAIAMFTFFRSLGGTVGIA ISGALFQAEMRKKLLSFPTLAAQALQYTNDAVELAATIKTLPQGEQRLGLTEAYADGL KRIWLGICIAAAVGTCTCIFAKEYSLDVKHNAEQVLGDRAKDKERNSDEGQEK LY89DRAFT_687122 MTPPLHPLIDNGLKKGRSDFPGGKLHCHCRNDRVEVSLSSNVLH NHVCGCSKCWKPKGALFAIIAVVPVENVKVVANRDKLVVVDKSAVIQRYACKHCGVHL LGRIEQDHAFKGLDFVHVELSDEKGWQEPQFAAFVSSTIEQGFDPKEMDGVRARLRSL GLEPYDALSPALMDALSTFTGKKLGKL LY89DRAFT_687123 MSTETDTSRPMSGEQATTRSPPDVSASTHIIALCGTTDIRGMAS PDLDGWMVSDFFAWKGIFKGLGASQRWMTCLDPVNLANRYENQYPIGPYIQGDPHEDN RAIVLSRPQISSALEDLEVLPDTGTTTLRDAFLRCFRETYQAAGRSGGSVLLLITSHG DIEVSDGGLIIGLPEPSDVTTQLERSSLLTSEMLRDVINGLPPVRTTTFLTSCFSENW VITPNWAPHSDPVLAAAQKDEPSHSWDCTTAGRFSGGVFSTYVLQELLKEAKVIEESE SMPSTQDFKSMESPQERTWKQWCDRVRSLMARHRRRGEFFGSLPVFTATNGLELFWTR SGYGLGRYLENWHKFPKLPKSQYANPEMDRKVTLDEVSQANIDAYKEFMDSQVGQASS GDARTGSWSGAAGPRLRSKTCDLARRYLASKPGLNTITANRWLHASSRSLLEGRLDHK ELVNLENALSWRLYCTDEAQKMANNLNLNMVPIATWSWEDWSAKTIEPAGLENRRALF NKNFAVTKQRKLVTPPQGAQCGREWYKPAAYLAAAFSMEDKDPATGYSEYTASQVKVA RKVARKQLKGSMNLRIACEGVRASRVKKRD LY89DRAFT_146685 MSSQSSKSQSWVAMVKFTMLAMCMFSAGKQSEMKRPEAIPFHPT NQLPLMPPVKTRERFIPKYECPPQNPPHIARKGKEKAIDGCKENLPRSCSPFCIPDSS TDDVPYRDDLPTRSACAAPYCSDHTPPITPHPHISSSRRALLHRFGNKQTRKKRK LY89DRAFT_146602 MTTMDLRVGNKYRIGRKIGSGSFGDIYLGTNIISGEEIAIKLES VKAKHPQLEYEARVYKSLAGGVGIPFVRWFGTECDYNAMVLDLLGPSLEDLFNFCNRK FSLKTVLLLADQLISRIEYIHAKSFIHRDIKPDNFLMGIGKRGNQVNVIDFGLAKKYR DPKTHFHIPYRENKNLTGTARYASINTHLGVEQSRRDDMESLGYVMLYFCRGSLPWQG LKAATKKQKYDRIMEKKMTTPTEVLCRGFPNEFAIYLNYTRSLRFDDKPDYSYLRKIF RDLFVREGFQYDYVFDWTVYKYQKNAQAIAQAAGQQPAQEDEEKPGRTRTNAATAGGP TPQHTSSATKPGAISGSRRKVIERGASGAGVDTPDTNRAVGGSDRM LY89DRAFT_687127 MSTFNFVRYKFQKIRSQPSNGIRSTASIERYQPLMVPKIDRSFW LTTARHNPPKILHVGISRSKLAIQLHSTLDEGFGPPHPIVQFFPLDKNAMPTFFPASS FDPFRQADFPQLASNITTHPASYCYLDIDALLAQKNSPNPFIISTLS LY89DRAFT_146769 MSGEILDGFANLVLNFEGFIGSFSKWAEQNSDKIDDEIMALGDA LQQVKKEIQALEISMISVGVIGGVSTIGVTVGLAFVIGPSAAIAGLIGAAVTGATLIG LGITLALKNEEKDKLLARMKGLGEEKDSIVATNEQLMILGRESFAVMQEQTSKLTSVW RWVQNDAIRMRSELQNLQRDANMLARLFSFSRSSRVVRAGILLTSVGISWSHRNCSEP ARRNYRYQ LY89DRAFT_784620 MSQPAKDEAAAPQPHAMALTTKERNDRSWEPCKDEIRRIYVERR RPLRQTMQEIESKFQFQKSERTGKTKMREWGFDKNLTSDMKFMLHKAEKRALEEGKET EFTRNDVVVPTETIRQFKKPRAEPGADFSLPEIATPQHVTYATPRETDCATTTSSLDS LHTDHSRQDPCATAVLEVASTITANNIPTTTSKPAIENLFEKELTPILSESVLEILNK NMERLFEFFFEKSRSMADTGHGLIDAIYRCFTSPDFTDEQLNCIIRGTFERFLVTDRP FASSKFMTKEAKINRILKGLSSRHIRRVMPARFFDAISASLDDVPWRGRLILGQKLMS FGERVAALEQFLASLLECLMLWKVKPGSTDIEAILTEIIKADRSTSRPLLPSITNREI REILRMPGLSQTSITELLYLTGTLAVCCFRHLFLKTGKKLIDLLNSTLEKEIYSRRAY LWISLYIQLYKDFGDLNNLQQAASWAASACSIANSVLSSNVEVGDDAWKRTWGEMPVP WELQAEYPEVVHQTIDIKRRLGYTEGLSMPKAPTRTPLDSIVPEVRSEACSTTTDLFE IPRSPSNSGEASEPSLDIFSGGKSVKYGVTYTESLASGPSMNYNALFPPGTK LY89DRAFT_752926 MAEAIAAFSLAANIVQFVDFGGRLIHDAWSIYHKGRGSFPELLG VEKTAEDLKLVLAELVSPLKEPGDETDSERSLKELGQQSAFRLAWKEEDMISQQMRLE TIKHQLNLHILVSLREQARKSLSQQEQILTQLAAIGQHTQRFECSTRNLTAEGIGSSL LDFLTAKLGQSGKSSWQREIVSAIHEDHTSEATQDVSDFAIPTHRRRVLQSKILALLR YSGMDDREERIVEAYEETFQWIFSDDASGHEKLWSNFKAWLETDEPLYWITGRAGSGK STLMKYICHEESASNPVDSTNSMNPQEFSKEELEKMLRSTAMIISQDAKLVLFVDGLD EFEGKHDDLIYLFQDLIANKNIKVCVSSRPWVQFEDAFEHQPSLTIDTLTYPDIKHYV SSKFHGNPGFTQLSLREPDFANQLVENVASKACGVFLWVHLVVHSLLSGMNYGDRISD LQRRLDLLPPDLENLYDKILQSLDPFYLEHAAQLFQLIQASREPLPLLLLSFADEDSI EFALKQLFQPLSPDARLLRTDTMRRRLNSRWKGLLEVGKSATEDSRVGEDTVQYLHRT VKDYVESIEVQTKMHEASKSAFDPHLRLCAGNIAHIKTIDHRTNFFSDGTLWTRVQRC LWSASKIQARNKKYIVPLLDELDETGKTLALKFSNDHVTNSEQYLSFIQYEQRYLVND LLSFGQWVPTHPSLSYYGFRTNFGCNFLSLVVRYGGVEYVDAKVNRGCFVQRSPMTSM QTLSLEDIIGVQSLNERSRELYFRRQSHIWPLLQDAVHFDSRWATEYQDSVPSFEMME CLIGHRADANYLFYDAYGKEWTVWRRLLENLYKGFNGQKLPAPWSSIASAMIKCGMNL QESDIQAPVPGDLGTILGEPYRNRVNSHAFKTEIMLITKSPTKSWQDWMKMKA LY89DRAFT_708910 MTVPIPALSAAEREKYDIFFPPLPNYGPPTLGKRFKWAVLRIGP PRPFQQEEERRAEEREKEERKWERQRDGDVDRAILESHGGWCPSEGGRDKMIRDVGYY ARRVGLDCEELKVQTEDGHILVLWHVYDPREYIPLPAALRGIRGPESIDAIRPPSRKL EPQERGQTKEEERRYPILMIHGLLQSAGVYATNDEHSLAFWLCKEGFDVWLGNCRAGF TPEHVELDNQNPEMWNWDLRHMATLDLPALTARVLSETSFSKLALVGHSQGTTATLIA LSRFSRPSLSSKLSVACLLAPAFYAASLLTTKFVFRFMRLIPLSAFTLLFGRTSFIPV MGKLGYLHGKVPENWIGGPSYAAYRFLFNWTDQNWDRGRGTGAMAWWLGRGGFADRDC VLSIKEELERENIEDLLEDFEKGATGSINPAEASTLREKLRKDEEKGFRSECWFPEYT APLAFWAAGDDELVDGKRLLNRFRRGREPNANIVHKHVVAGYEHLDVVWSCDAVEKVG WEMRNVIWKSVQDKSEIRNPRGCVDI LY89DRAFT_708911 MGGFFGFLWNQLFFKPKPLEASISLEGKTILITGANFGLGLETA RELAARKPSRLIFGVRDVKKGEAAKELIQKTSPTVEIDVWTLDYNSYDSLVNFASRVA TVDHLDYALLNAGIKMMEYQVSDGGHETNVRINHLGTSLLSLLLLPTLQTSAKVTGKP CHLTIVSSEGHFWVPFHERTAPKILARMNEKETFGTAMQRYYTSKLLNVLWTRELASK VNDKQVRINTVNPGFCYSGLHRHESTGVIKIVLWLFGWTTEQGGHCLADALIEHDDSH GEYLSLQRTMRPSDFVASEEGKAVQKRIWKETIALLKEEAPSARIPTFDD LY89DRAFT_672043 MASTSLPNRQRALSNCYEDRQKIVALPDLRPGDVNEAVAHVQNH LRHYGYLRENDTITQNVYDPVTCEAVMRYQRNTGNTATGVLDEQTKRTMMASRCNLPD CMRTCGH LY89DRAFT_720979 MSLSLKGDSSNGAATESTPLLGSSADDPPISINEGEIISHGKAD DDEDNPKPSNHEEDKPLPKLQIFLLCYARMIEPIAFFGIFPFINEMIWRTGDLDKADV GFYSGLIESLFSLTQMLLMISWGRAADRIGRKPVLVFSLAGVSIATATFGLSRAIWQM VLFRCCAGVFAGTIVTIRTMLSEHSTPKTQARAFSFFAFAGNVGIFLGPLIGGGLADP SNQIGGFFKNIQFVRDYPYALSTFATGIIGASAAIACALFIKETLEKKVKGDNTTSSP TPMTTWELLKTPGVGVVLTLYGHVMLLGLAYTAVCPVFWFTPPHLGGYGFTPLQISMF LGGIGISQAIWLLLAFPPLQRRFGTGMILRGCYIAWPIFFVAAPVCNLFLRWGGKWEI AFWIVAPTLQIGGSGVSMAFTGVQLALNDIAPNHKTLGTLNAIALTLVSGIRTVGPAF FTSIFAAGAKTQFMNGYLIWVVLVLIALPGTLGIRWLPKKAEGRIVQEE LY89DRAFT_650743 MDLTAVKDFLAEAGTPSSEQEENNQQEEVASPPKEQTSGGRIVR RRARKACVECHKRKVRCDVLTRGQVCTNCRLDGLPCRLPAKDGYDLVQPQPPSANVEI ATPQITAPAPLTASITDHDPIPSIPSFVPIRDESPSFCNAWSFRPSISTKLSNTTTSA TSTSHIDVLFSYYGFVESESLSHIPPEDFKFLENKGCFHVPSRPVLDEFIKEYFLHVH PVLPILNEGQFWDMYLGDSRLGTSTSMKVSLFMFRAILFVSCSFVSEDLLKQMGFRDA FEARLNFYRRAKLLYDFDTTSDSISIAQAALLLTYYSSDREPSMNTYWLRIAIQYAQQ ERAHLYHQSISMSYADRQIRKRLWWCCILRDRILPLGVRRSIQITHTMFPLHSASPLT SLDLESELSRSKVYDYSTKKVLNKVLEKQIELAIILTDVLEVIYPIGSLDYNSLMKMP MRIGVVRGRLEGWLSGFQCSMGEREKVQHKSITLFLGVTEIYYHSAQAALAQYESLAL QASITTRTPLHRTKELGTHLHASIARISQTVGTLVALDIARYLPVSAVAYMALPIILN SIDLQNTTKVDRGGEKRHQLRSYNEAMSLCHARYTGTGMCLRYIDQTIAAAKADLAVP ATECSSREVLEKVMEGGNGMDDWFEAFVVRPRQYLRIALTVDVWMCKGKMAGQEDLPR VLMLEDGERSESVLGVGVPRSLGFGSESVGGVEELLSEEVQGPKEMVLVEGEKRRRDL DYFDFGGGEMVDDVLVDELGFGVEGGGESERGSNGVDVEIELGSEGEGDSQSMDQEDW NGAMVEGILEDVLRIGSF LY89DRAFT_784628 MRLWLVRRSIPPPVSQEILAHTPVPSSFRSLDHFHPKMWKSLPL IHISSTLAITCYNPPKNVGTSGTAQDGNYTSCNINQEESMCCRSKKIGPWPPDACLES GLCINTAGSAPTYWRESCTDPTWTSPYCLNVFNVCSSDENNNAQVTLCPGTTTKYCCG DNNTACCSTASEISIPNIDDPSTSTSGLSRGAKAGIALAIVVVAFLAIVTVLLLIRRK RRNKAKIEEIRASAAEILHEKALDKQAAVNVLAHPRFYSRGEDANSRPELHSFPVPWE LPDGSIRVNELDAGLGRRR LY89DRAFT_687134 MPDNRDPIIQRGVYGTSIVGTSLMVGLRTADIFLQYGILAKGLA DPLLNYLNVSQTPNFAPAIAFGLPLQPLLILAMAAGSSIKQAYWVTCISREEMSPSSA IFVSIFNTVFNSANSILALTAAASAFTPSILTEQDKNGASILLIASTVAYFVGLAVEA ISETQRKAFKDDSKNAGKLYTGGLFGLARHINYAGYTIWRAGYSFASGGWIWGSIVAA FFTYDFTNRAIPVLDEYCSERYGASWTEYKKKVPYKFLPGLF LY89DRAFT_784630 MAWENTCAAILFLLGLSAASPVELLEERQSGCPNIHIFGARETT APAGYGTAGVVVNLVLGAYSGSTAEAINYPACGGQSSCGGDSYSQSVAAGVSAVVTAV NGYAAKCPSTQLVLVGYSQGSEIFDVALCGGGDPNQGITNTAVLFSSAALANIKAAVF MGDPLYHTGLPYNVGTCAAGGFDARPSGFTCPNAAKIQSYCDATDPYCCNGNDANTHQ GYGTEYGQAALKFIEGKLSSSTTTPTSSASGGGGSTSPTSTPTSGTVAHYGQCGGTGW TGATTCAAGYTCKAANAYYSQCL LY89DRAFT_784631 MGFFNEKRQSKVSVSPALSQEPLSASSRHSGFDPSLPALEKKDS KYKRSIRVLRFITRLLSVVLNALMIGVLSYALYKYFTTKSHPISSTNSSSPWVNPATL WPTFVLLGIALVTFVMNLFTLVAYCCGVGAANRVNTCSTVVSYIFLGVHVLLWALAAG AFKMGSNGKDLWGYSCSDQADKLQEEVKSFLDFGKLCTMQTGAWYVSIIETGVYLLTF VITILTLRRASHKKKLSKIRESMNMEAGYDKVELGSMYKQGRRYMPLAGESPSLR LY89DRAFT_650751 MSSSAPKSSVPSGSIRGTVLGRDGGSVRSTAHLDPSVFSTRSLL TTPEDVKMASKMSQFKSMTPTQQKKQQSWFDGYAKDSKCCPENNEWVRADSGMICSEG GHGMTDEMIEEGLGAICAMPKGCKGDWSKRCGPYYQVADKGPKGEAMWKFNKGIKFPG VVPPKEK LY89DRAFT_708919 MAKQMRDPIQLRYHVLGPFFPGRDGTTIAESNVQFQLAHHPNYW TQLRKQSLKETIRTTGPVARVWRVSLKDTVLPLGGGPDQKMIHNKDVWGDDVEEFKPE RWIGRKPMWEFVCFWGGPRLCAAQQQVYTYVIYAMVRLTQRFESIENCDPVYEYVEGL MPSIESVNGVKVAFKNS LY89DRAFT_590709 MLDIRDPVVLEKRGTELDGRDMYRMGKPPQLRRTFRFSSIFGYS VVLGATWEFSLVIVALSLMNGGTAGGIWIFLAVCVGMFFVVLSMAEMASMAPTAGGQY HWVSEFAPPKHQKFLSYLVGWFAVIGWQAAMASIAFACAQQFEGLIALNLPNYVIQGW HGTLLSIGVVIFAIIWNTILVRKLPLLEGVIVILHVFGFFAFIVVLWVMAPRSDPKVV WTDFQDNGNWGNIGLSCLVGMTGPVITLIGADSACHLSEELKDAAWVLPRSMVATALV NYVMAFVMTVTVMSTLGDVDSILSTTTGQPYIQVVLNATQSRAGTSVMTALVAVLLLF AAVNLVTTSSRQLFAFARDKGLPFSNTLGYVRPGWDIPLNAVLTTLAITTAISLIIIG SSIAFNVIVSIGQVGTVASYIVAIACIARKRIVKEPLLPSRFNLGKAGLAINLIALAF LTLAFVFPFFPAQSHPDAAEMNWNILVTGFTMAISMVYYVFRARFTYRGPVEYVKQL LY89DRAFT_720987 MSSSLPLPAAPTGNRFALVTPDDDSAPVTIVTMLSSIFTILVFA VRLGIVKRKRYGYDDCLLSLGHVVALGQWAAIFIALDNGLGKSTKLVGNREQNSSAKA LFAGRILLILSLCFSKLSILLLTRSLFHWEKRRKILIIDATIVLVIIWGLGATLALSI DCSPHTMLGRGLTQCSGHVLRLRIVMIIDIITECIIFGIPILFLYAVKVANGTKLLVI AAFAFRLPLVAFSIMYLLSFTSYIDSKSSGTAIVPTMIWQEILLGYSLMSATIPCLKA FVEGFTTGGGRLGEIEVVRMPYESEGSYELGQMRKNMHRSLERLENIEDTGTHLQHSR RRSRRSNSKTIEEASIRSQTSEQPIIGMAI LY89DRAFT_708921 MSAAFSSPLPSKVINSFTPALRRLQGIASTFSSPTQHVMAGNLA VKTPLLRLRDGNNVPMLAFGTGTAWFKEPEDHDKFDKDLVLMAKDALEAGFRHLDCAE MYDNEAEVGKAIKESGIPREELFVTTKVADGIKDVPKAIDESLEKLQLDYVDLYLVHE PWNLHTPEDYQNVWRGMEEVKASGKAKSIGVSNFVRKDLEMILKGSPKELSAVNQLEY HPYIQRENDGVPYIPWMQEQGIQVEAFKGLTPLARCPEGPLVGPLERMAKAHNVSGAA VMLRWFLQQNIVAITTTRKKERLQEYAEAPTFELTKDEMDEIFTEGKKLFKRFYFPQR YDPDDRS LY89DRAFT_672054 MAQEINVAVTGNEPILDFDYNSSRLVLDALPELITREGKKNKHV RKLAQDSLDTYTDVWSVSRKVWAGKREDFLPKPDAGDEDTTIDIDFVVPLGMDLREET FGLETQARRDVYDKPGSDGKYLGTNPFIEKWLPEVLKTSFDVHAAWEKVHKQFPDTPC FVSDDAGSYFCEFRLYSSLAEALELEHHEDKKGKVLFFHVPKKRDPEAIQFAADLAVA YLKAVADDKVLTDTNA LY89DRAFT_736770 MVGSDEAPRLFETEELWHSRTVGYADTAYAPQLVKDEVRALGVE VREVDVRRILTDDDGSCRGVEVDAEVPYELLADKFIVSVGPWIPQLLEASKIPFPDGI LRIIGLVVGILRLTDTDMKTLNMDMPMSVGDEGEVIVSKRHNLLKLTTPRTFEVESIN KLHDHPMAREASTNIAVLHKWLPQFENRDIESWVCPDLLTVDRRFVIDEVPGTKNLIA GSFHSAKFFPNFGSLVQRYLGKGEPNALFDELLRGCKWERPHEVQHVHPGGHSKTCEG LSMFILT LY89DRAFT_621421 MFSLYAVGTLFGSAYLVYQYIIFPLFLSPLSKIPPAHWSVPSSA RWINSHRLNGKTGLSATLSAHNRLGPIVRLSPDEISVASIDGLRKIYSGGFEKDESYV QEFTNYGTVNLVSMLGNREHGMQKRMISHVYSKSYIQNSGDGKRAWEILVGRLLEVLY KAEGAAFDAYGLNQGIGADFMSAYLFGLENATDFMRDTETRTRISQCYRKKFKRLPGE AGATETVESFVLDLCRAAARTTERDIDSETGTSPVVYAQLSSQMSKRFSTPSPENKEL LVASEMFDQFTASIETSRISLTYMEWELSRRPSLQQSLRQELLTLSPPIQVGESQLPD PKSIDSLPLLNAILKETLRLYTPSPATLTRITPPVGTTIEGYFIPGNIVIGTSGGCMH QNSSVFPEPQEFKPERWLTEDEEKRKEMNRWFWAFGSGGRMCIGSHYAIHMLKLSIAA IYSNFETEIVDDEGIEQEDAFLAGPVGEKLVLRFRALASRSDQEQT LY89DRAFT_650762 MFASSNTSTLLNCIIRIIRSWLLCKAPLFNSVGQSLVFAAYLLI NVALTLQNLDLDVVHNWAKRLGWITTCNIAFITFLALKNTPLAFLSSYSYERLNILHQ AAGYCTIIFTIFHAIFWIWAGAESGSLHIFLELDNIMGIVAGCAMLVILFTAGILRHF IYEAFYVTHITMFMLVLIAAAMHHPDLSSKSTYITIFAACIWFSDRLLRGARTLWYSM SNRATIHALPLGGVRIIMRRTPWRAVPGSHVFLWIPKARASETHPFTIVSTNPLELVV SPHDGFTRDLSSLASSIPGISLRASCDGPYGTLPNFALFNHLVLLAGGSGATFTFGVA LNLIRKMTGVRMRLVIHFIWVVRDSEMQSWFEKELAELSACPAMNLSIYVTGAGNTTT NPKDTKEDSDATITQAQNLENLNTDPEKLEPDTHSPSTSESAQSTLPVILGRPDINAI VRSIVFGTEEQERTIVAACGPNGLMRDTRAVVGDLLVTSGRSVELHCEQFGW LY89DRAFT_650765 MDIAMGFSRLDWVLIFLVPLLQLSDGLLHKEQTQITNNTHFDYI ILGGGTAGIVVASRLSEDPHITVAVIEAGDFERNNPNVTTITELGVAQKTRVDWQYES APLIFADNQSLEWSAGKGLGGSSLINGMTYIRPASSQMDLWPSIGLELDWAKLFAFSK KGEHFQPPSASLTALGASYQPFDHDFRGPLKTCISPLMTSGIIHDILNSTFKNLGIPP RHEFDGGELRGFGTQACTQDSVAEVREDAARAYYYPVMDRQNLVIMVNTTATRILWSP ENPEGIAIASAAEVSSQNGDVSTIYANREIILSAGAFRSPAILENSGIGNPSVLSQQS IDVKVDLPSVGENFQDQTVLSVSAEITQNFTGFPPFVAHISLHDLFGSNTSSIFNSTL AKIPGYAATIAAQNGGASNASVQQHLLETQLYLLLKSNTPAVEIAPIVLNNLIFPVFW PLQPFSRGSVHINSTNRTKQPLIDPKFFQFDFDGQMAVATTKYARNFLTTAPLSGIVN ASTITPSFELVPEDASDEVWLDWIKTKSSFQPNFHHLGTCAMLPKTSGGVVDNDFKVY GTGNVRVVDLSIVPLQVAGHSTSLLYGIAEWASEKIKRDGRNITVV LY89DRAFT_720993 MAGKCCKSGFLEATVNTTVPAPIVVGPSQYWEGNDGPWSTFTVQ VGSPAQDVRLLISTAGTSTWVVVPEGCTTDDPSNCPDLRGQEFVNHSSTTWQFDKFYT FGVDENLGVDGSAEYGFDNVGIGWQGSSGATILNHQIVAGFAAQELWLGLFGLNPRPI NFTDFNDPQPSFVQSLKNKSMIPSLTWSYTAGAQYQSEGVSGSLVFGGYDASRAGSTN MSFAFGPDQSKDLTVGLQSISGSIAGQKQWDLLPQGIFTFIDSTTPYIWLPLASCQAF EKAFGLVWNNTIGLYLVNDTLHAKLLNQNASFDFKIGNTTAGGSTIDITLPYASFDLT VGYPIVPNNTRYFPIIRAANDTQYTLGRTFLQEAYLTADYERSQFYLSQANYAGAPEH IIAIPSINATTPLGNTPSKPPSPSSPPLAAIIGGVVGGIILIVIAICAYLFIRHRRRK AKKEEVPPSPKEEDDSYPFDKKVQPEEPPLPAEVDAGPIRPISEVPDSSSPRHELASP LPSVIFEMPGDFPTVPELSSPDGRLGSEQELPSPDGRLSPAISDFPSPLLGRASLVSY SPDGRVSRAISMSEVSSGGGDDRKFSEVPSPVEGLSPASSTQVSPVVRQSRVMTELLK TVEDFQEPDE LY89DRAFT_147116 MTDPPELLHHEFVEFQFFFPKQALVIPLQIVATVFLIAVNQHLG TKQPPTSYKHNHGHNIIHFDILHLHIHHSPHTNMPRALLPLRPCRVPRAPRRDNSRNH AHGDRVLHSQHGISRTTSRGEDCYCGWRRVDPLISSEKGIGRNVLGLDWCSR LY89DRAFT_590708 MADDLPLPQLRSDPTTESFTKNAARKRARLSSPPVSSDPALFSS DDDPSADNYTQERRKKKYRGPWYHQRPASDAGQDSEDAPEKKRRTFERQFDSGVFMGS DGTDVDEVLEELESRNASTLPLPLSHSHRTQAGHRVATTEEVVKSQIHGCLEEGNENI DFSSRGLKRLSNATIRPLATFARVPPVIEGVYTRLEPKLKVFLASNDLTSLPGEIFKL DHLIVLSLRGNQFEELPPGIGKLSSLKELNLSQNSLRCLPFEILELFSDTSRLNSLNL HPNPFYQPEVPPNPEDDRQEEESQYKIGLGNRGRTRPRRGAVCGVSPVQRRRSWHSQW SVTYQVRTEVRYLDINGTRLKGPDFSKDTARIPVADVNDTPQAPTSRGDYKSRAPSLL EVALNACSRTSQLPLLPSYLPEDSPPVLIDLLTFAVSKKDSGGSKCTTCGRNFIVPRT EWIEWWEIAKVLDRNGMASAASPLRQMENERDVLESMVPLMRRGCSWLCVPEPVTVVN GSAAADETELAD LY89DRAFT_708927 MDNVKITPPGITTTVANQAQKAMGDRPTSTTNTPNMREGEKQLT LQWFGNKDVRVVEAPVPAITEPTDVICKVTGTTVCGSDLHLYHKEILQLQAGDILGHE WMGIVDEVGSDIKNVKKGDRVVASFQIACGTCSFCKEGLSSMCDTTNSSSVQEKLYGK PFAGLFGYSHFAGGFAGGQAEWVRCPFGDTNLLKIPDSVPDEKALYLSDIIPTSYHAV QCAEVTKGKSVAIWGAGPIGLYCAKWSKLAGARRVIVIDRVKERLDLAENKIGCDIIN FDETKDVVDAIYKLEPEGVDCGIDAAAFRYTKGLLHGIQHAIGLETDSCEIPNEALRA VRKFGTISLVADYAAMTNQFLIGALMEKGITLRGTGQAPVQKYWKELLGKIESGEFDP TIILSHRFKIDEFRELYEAFDKKEMGIMKTFVQTKFSGPPAPGTPALSSLKDGDVKPS AVV LY89DRAFT_540309 MAAPKEERIAVPIDDPNADTEWNDILRKHGVIPEKPPSPTPLIE EAILEGRRLAHENRLEGKDLDELDELEDLEDENFLEAYRQQRVQELASLTKKAVHGTV YPISKPDYSKEVTDASQNGPVLVNLTSGLGTNIESRVLTELWRTAAREYGEVKFCEIR GDMAIEGYPDRNCPTILVYHKGDIVKQVVTLMTMSGVRIGMPEIDKLLVEVGAVKDND MRDIKRIRDAEERDEERKEHGGIRGAQKAKVEDEDDDDW LY89DRAFT_736778 MELGRWSPWDDTQMIEIVLAVDLLNPTPAAEARKHKLKNLVPAP RSFFMDVKCPGCFTITTVFSHAQTVVICAGCSTVLCQPTGGKARLTEGCSFRRK LY89DRAFT_687143 MTHQAKRPYTGSQPSITSYFSASSSPNISSPSPYDGRSPPLPPN VQSNLLSVGMRVRKSVPEGYKTGSSYSAFQLFSDASAPTAVEKPKTRPRAGARELTPF CGILKVGGMAQQQWGIYNSGSVAVEDEEMPFLSSQGSTISDVSVDASRNGGQKRRFFE DEEGEVENGIGGSVLGERVLAVPRRKKWNGKVPDGVKIVGQENAGFGQDMDFEDADFL DYGLVGEVEMSG LY89DRAFT_784647 MAEALAALGLASNIVQFVSFASDLISKSRAISKSTDSGLVENLE LEAISSTLHELNHGLLRALATGASSSTAEKILQELCKGCGDVMEELLEVIQSLKSQGP HTRWNSFRQALKSVWKEDQIKALSTRLDRYRNQIDTTLLMSLRENIVALQTHLVAQNS TLEATQKTSVDQLHSSMKSIEQWQSEVLGKLLLNDWQSKNQLDAGQLSSQLSATAGQQ REEIMKLKILESLRYNDIKERLERIPSAYSKTFHWIFDEDGPAADLNSADEIVKPENV AEARDQTKHTWDNYLHWLQSDDDLYWITGKPGSGKSTLMKYLHADPRTREHLRSWSGD LDLIVAGFFFWNSGSAMQMSERGLIQTLLYQAISKRLELVPMLFPDRWRYNQLFGNDF RPWVLSELNQALETLVSDCSKRLLLFVDGVDEFEGDAMKLAGFLLSLSAQKNVKMCVA SRPWLAFEDAFKGSPSLRLEHLTAPDIKIFVLDNLSKSEVFLQLQKLKRKEADDLVLQ ITQKAQGVFLWVHLVVQSLLEGMRDGDSLADLQERLVYLPSGLEELFKKILGCIDARY LQQASRYFQLVRTASEPLSLLTFSYAEDGIDVAMCAKMQASELEETLQLDRNEMLAEI LAEKMFRAENMRRRINSRSKGLLEAPDFKTQGYEATVQYLHRTVKDFLAQDKTGKLLQ SWLSDPFDADLHMCAGYMIGVKRIDISPWIDQGLDRISSNRLQENTRDWNRPNQDFPT RRTGILLPSTFRYGRTARGETTWCYILSKASANDHQSIKTQWPVIIGILLDGGADPKV KVNIFAAGKRSLADIVKENAYWWSQDPADIERLRRVLVGAQKKDKVARWQPGKWKSIS GWFCTGPQYDRTAIGVQALITMTAAHSSYFVIMAEGLRASNVIGTVSEVGRLRAWMED FRRELKTSSEVEDCDELNHSSHATHVE LY89DRAFT_650788 MLLFKVTLLFSLFALAPAAPTFNDPGYNCRPGQSCWPSWQQWQQ LNHTLDGHLYQTIPMGAPCYPNSPDYDPTACASVESNYTDGISRGAYYGQTYWPNWEA CGSSGCSLLTSDPADTLYPTCSLGRLATYYVDVRNASHISTALKFAQSHNIRVSVKNT GHDFFGRSSVPNSLAIWTHNLKNLDFYQNFTASNCPSANGQNVGEMGAGVIAGDAYRF FGSHGMDVTGGYEESVGIAGGFGQGGGVGDFTTTYGLMVDNAVEFEVVTADGQVRIIN ECNDPDLFWAMRGGGGGTFAVLTKFRVQVYPSVPIHTYNFVASFTGTNVSQQEALRTV LTAHANHQIEWSAELITGQGDYFPNGVSMDIVLPYNDDGSKLKNATAAFAQFVSDLPN VNIAQNNYTTYATYVDYLSYSIADAKATEPAGIFSLLASRLMPRQLFTAPESVDSLVD GVITGIETARTYLNRTAAQIVFETPISTPDLDHKTSALPAWREALWHVIHVGEWEEPL SPTDLEATTEGFLEMLEPLKELTPSGGAYFNEAHYGEPEWEETFFGSNYERLVEVKGR YDPTHLFDCWKCVGWRGENDPFYSCYQKW LY89DRAFT_590571 MVLLSLLVLILVLLLLVYIIYKPPTWLVTFMSWKNPDVLFHVPL PPSNHVVALTIDDAPSSETGKILDILKTYNAKATFFIIGSQVGPYPEILERIHNEGHE MGNHAWKDEPSINLPTSELQQQLLDVEKMLIPNSNGLKYFRPGSGYFNKKMTDMVKGM GYKTVLGDIFPFDPVVPNARINAKHVLSMLKSGGVIILHDRRSYSPEQLEIALKGMQA RGWRAESLGGLLKIAEDAKMEKKAK LY89DRAFT_721002 MPQSRAMPFNTVLALSALFSIGASIPTPDSSSSPSLTTRMEMPD SPPPPPPPNSPPSYWNFATNGLPVSYRVAIIIDAFVLVVTIVSLCLLGWYMRKQYRRR RLLALIHEQEQEGKLRGLEEGMERRERRKNKGHVRWGSGVQGGSMDLETLKSVEEPEY LKASNQDLTPETSPRKEGFRVNPLGGVGKAKSSPMAAEFRGDPLGTGKGLRLWEEERE RYRRVGQRA LY89DRAFT_687148 MLTARRIPRACQSCRLQLLTLFEHGFTNVAGPPARVLYSTRPII RARNPQPRHNVSLRSLSTTSRRAEEPKSDLPKESVASSTDIETVVREARQTFGETLPK DYLSTEEYVLYERLYGPPLRETKPEDLEYLPDVEVELESGRARNVLLRGNAEGLYEEV DYDPELGFSVAVEEAELLMDEPGMKPERQMEEDGLEAQFETDVSEMEVETLTGILSKE SLAESRAEALEESEDDYTEITTDLHDSAHVYGSAYDMETETLTDTSNDRILPEAVAEN QEASTEIKTDSGQSIHVHGGKNQREIDAIARLQKDMAEAMSKPIEEEEEEEIDEEYEE EEEVEEEEEDEGEETWVSSDSIRTHPHTMTARMRTSPITLSLPREQMLKPISELLART NLKHLTDASERAFGGKGLPYSSATPMSKRNLPQKHIGLDASQHKMAEIEADAYLAAVM PGTYAAAMSTLVEVRKRLGSQWIRDLILREGGQGPRVLDAGAGGAGVIAWREVLQAEW DVLKDEGIVEGDQAPHGKSTVLTGSNALRHRVSRFLDNTTFLPRLPDYIHASNSEAHL DGAAPQGRKAYDIIIAPHTLFPLKEDFRRKNMVQNLWSLLDPNGGVLILIEKGLPRGF EAIAGARALLLESHISSPGETNFENEIQSPESERARFTKKEVGMIIAPCTNHKNCPMY PIPGLSTGRKDFCHFPQRLIRPSYLQKILGAKIRNHEDVKYSYIAVRRGVDARKGDNA LLQGEEATLQAFEGYEDRDLPESEEGSESSNVKFDTLSLPRIILPSLKSRGHITLDLC TPSAKLERWIVPRSFSRTAYRDARKSKWGDLWALGAKTRTTRSPRLGRLGEDAGGTKI KGIRDGKMGKGGKKMKKNHYDMIIGRSGFEGIKESPHQAKFVKREKRTKGGRIWKEQK PIGEDDL LY89DRAFT_650796 MTLAKLPLIVLVHGAWHRPNSFKKLQVELERQGYEVLCPALVTM SKTKENAGATHLDDVALIHELLRPHLDQGREVVIVGHSYGGVPSVVSCEGNTVDERAA QGKKGGIRAIVFIAAIVLPARGLKILTAEGADWGDWLVLNDGAREALYNGVPEAEVDE IMSTLVPISSATTGVTVDFCANDLKIPKTYIFCELDQALPPAAQETLIAGTPNMTVVR VQTCHFPWLIVPDEIAEVIVRASKESVTYEMA LY89DRAFT_147227 MAGQRNALQYPSSEQTPKKRTRKATLKPAVSTRPARYRQAKIVA SIETCNNIQVDSESEFSGPDNTETASVRGASKQRPRSRRIHDSTRVLNSSSSLQKDSA ASAEGLESEGSRYNPSNDEESQSDEDDAGHARKRSKSDNGSSHARTNTSSTVGSRSDR HDPVENCPTRVHENHATAPRPVEHGRLLGENLRQDNQRKSNRPQEDGTTRPTQTPKKT SNRRLAAARDDAGEGPSRNTGADEEVQYLHTVARNVHQSGEPAGQARWTGLVSRPKGE AMTGDDGPPSNGTHDHNLAAPRAISDVGEESSREFRGHTVPFLLGSTLGSTAPTIGLG QFKLIDCRNEQNGLDGGSSKGKQPEVDASKKCQACFRFRRNCDQGKPSCGHCTLGNSK CIPQKTPKHVEQTIGAPIITEFSGSQLWEKCQRCAGLQKQCTFEEGEDDCQNCKKRGW KCLPLTSPKPKKKQPFRGRNQDPNTKCTACVKARSHCDGVKPKCGRCAKWKYKCYPPG AEPPKKVPKDEKCKRCRQLKLACSDSLPCPRCVKEGIECGMTAQEEEMQLKFLGEE LY89DRAFT_672072 MQTNASNTDNGGKGSSGLPRDLISSFRRGTNKSKTGCIVCKVRR VKCDETKPHCIRCTSTGRTCEGYGKAPERRKSKSPLKSPSGETPLLMPRPRIRYSPST DVQASVEERRSFHYFRSRNMSEMPGNFEPYFWDHIVLQFSHKYPTILQALVALSAIYE EHEQGTPGPVSKSADSYALQQYNKAVKDLMGYLGSEGQDMKVALTACLIFVWIEFLQD DLNSGFQHLSCGLKILRDCIGIQDRDSEDIFGSLDRSFTRLRIQAAVHGAQESQFTTT TTRELEILEPIPHSFSNVFESRNVLDKELNAIFGYIRALQEADYYAGVDMSIFVDIRR GHLERLEQWHIATMHLVASLESKNDQSQASGILYLQLYYTMVNIIWKAEFAGSEMVFD AYTAEFETMTTIASSLMDNPNCTTPRVLSFDMGIIPPLFLLCVKCRILRIRRQAIALL KRAPEREGIWHRDSMVRYSEWKTMTEEQWRDGLSEDEPLPEFGRIYAEHIPQTGEPSM PGSEGQPIRISFKRGPVGLDIQDTIEIPDGSEMLRHMGNML LY89DRAFT_590287 MHLRYLLLAEALFVLPSFSRSIPQPPPTIRWLDCANAVPSPTTT SSLNISSIDLTNLPSTLLCGELDVPMDYSKPMSDANMITLGLGMHRPTDPKGVLFFNP GGTDAGVIVAWDIALNVSHAFDGLLDFDILVLDVRGTFSSNQLNFSLATLEPLFGPYP TTRSEFDISKNISATAIQSWIDNSSPSGIIQHVGTKEVVQDYEQVRKALGYEKINFLG ASYGSFRAMQYAATFPHRVDHFVLDSVAPHGRVSQDSVAATNRAMQRADAYCLNNSSC PFYGKGKGSVLEAFKQVLSMAKANPFFVPECVNSTACYPYITANDVQTLASAGLQGAP DFPAFLDGIYAALNGNPYYFIDGPANLEGVVAQPLLCNDYAEYDRSFETFKASLDQGL KDDITGIGMSQVWQIQLMCSAWPYPVSPSIPMPNDKQMLFVTADFDASAPTEWTTFGW GQSPKSALAVRHGDDHVSILLTNQASSNITKAFLNTGILPEAQDSEFVTVYIPGMVRK PISNPYQVPTGALAGDIDSGNVTVEVVF LY89DRAFT_784656 MALNSPPATLSSNTATTLSPFSIPVRDYLKLNPSMHHIVAAALV FSPSTPYRILIVQRAANDFMPNCWEIPGGSCDLDESILAAAARELQEESGLVATKVVQ QVGQLGVWLDKEKLWCKYSFEVEVERGEVKLDEEEHQAFFWATEEECREGVVVRDGKS TKLEWTRGGSQLATILEGFRLRSQRSEAES LY89DRAFT_147261 MEATGTISVHCPKIPRDRDPRTLRGVQKTVLKPPLETQAFFALH PRFQWVDFEAISYCWESDVRDRTIVIDDVPFDVPKNLEALLQSLRTLPDAKSGMKFWG DALCINQDNTSERNHQVKLMKSIYSKAFAIIVWLGGSTDDSGEAIDFMASITQFTLDN NENEGGHFDFEKWETSVAKLQKKRFSELPWKALMNFLNRAYWRRLWIIQELALNHNMT LFLCGDRQLSRSKISRTCHFCETNIGVIDHLVSTCLDLETTSPSTMYGSVWPTVYHVN KLLGTRDQEIDPRSVDNILDLGRKANVTDTRDKVYGILGILPRELSTMITPDYGASNP VEKVYYEFACKMLDEYKRLDSMISWCSYNAGSSLPSWVPNWTSKFSRNHVQWLKRRTA SGVRKGEWAISPQERRLHSKGIIVDHIKTSTSSHSETLPFRTQQPRHSTETEKTEYSH RYGSLKCLSAALQRTLVMHHPKTRQREMGVLDIYWIDWDEDQTEKSLNTMDSITQNLC WESFDRFRHTNANFSIFGHRFRDIFPKMQISSEGTSQEDDSTRECASAMLIAVLASIG RRLVTTTGGHLGLAPEEVQEHDVVAILYGCNFPVVLRPHGDSFLMIGECYVDGIMDGE FLQTKEIAGVRRS LY89DRAFT_147292 MDSRRAVATQGAEASTGGTNSTRQLSGGSNEEPPSKRARSSDDR VPEGPASMRHLLNPETSVPGGGSNDAAPANFSSEMCEMKAPQHTERSYVQEVKSNSLP TTAISPHQIFGEGQVPVMRTESLVQGSLALIDIIESPTADATPRKTFARKTIPASRVQ NARGFLEALEANKSLRHQHLVTVLLTYEEVDPQNHNYGIIMDPVAQGNLKDYLEEVID SGKYMEPDTRMSLRKWFGCLASALAYIHAKDIRHENIQPSNILVKDSDVFFTFFGVSK YFRGDDIPGGTTSGPDAQPGTYVAPEVESTRPPDFKADIFSLGCVYLELLATLAGKYR KGFAQWRSKQTSSDIQIYLGQLSVYLECGQDKSSSEFYQRMVKVCSQMLEDNPSIRPS AFMIAKSVLEVQQRSPESDCDCMIPWFSSGDCTITMKLSGLNIAKLRTKSKNMGFGSF RLPLR LY89DRAFT_147303 MFKANGPPPTQLSYDDEPPTFYDHLPAYEDVTSYQDPTLGTTLT LDPTGQSIITLPLSSSSPPLYTLSTSLLQVTSFSAVKILAPDSTPLYSISEQFITPIH TPVPSIYKNVTAFRSTGLAARIGLRKVVWDFFTQIPIPVDKDGKAVKDGEPAAGGAWL HVDGMDLGKEKLILRFKEGKWLDPEMMHFLVSAWCVVFWKEVGKRVKQFKQKGV LY89DRAFT_687151 MPNAVSPPRETSHLEPASLKKNGNTQAVGMEQSTLHRRGVGLRG VDYSSVSPGYTLVAHLTSPGTVRLIANDGTEAHRWNLLYRPGRHARILPNGNLAYNGV HPDAPRLFPLWQKYRGGVMQQIDPAGNVVSEHRDPMAHHDQHHLGNGEILYTTLEELT PTQAATIPGGIPDSEAPDGKVYADCIKHVSATGEVLWSWKAIEHLDPKIFPLQPHYAR EHYPLINSVYPLKDGNILASLRSVSAVIIISRETGSIIWHLDSTVVAQQHCASELANG NILIFDNGTFRHRESATYSRVIEVNRSTKQIVWEYKDPHPMTFFTPFMGGAQRLENGN TLITEAAFGRVFEVTAEGKMVWEWVNEEFADYKGLDAGEIEGYFDYPANALFRAYKYT PEQIPWLKVD LY89DRAFT_687153 MVSTTGWNAINSISGASVLAALSNDKCPQWAGVLIICTTVWIIC VLGISWIHRLDAVLWVLPFIVWCVAAGTGAPHLHGAAIKSPTGPNGAAAALSFMAVVF SFAVSWINCAADYNVRMPVNTPRYKIFWATYAGIFVPTVLVQTLGAALYSGTESNATW KHAYLAYGVGGPLKMALEPAGGFGKFLMVIAALSSIPNNIPNNYSFAMHAQNFGPWAL RIPRVALVTCGYIAAVIVGCCAAKFFPEATLQTFLSIIGYWTVIHIIVVAEEHFIFRG GRWSRYDLDAWSKSDLLPFGWGAIGAFCFGFLGAALGMKITWYTGPIAQLIGKKGANI GHELTFAFSALSFPVFRWLEKKYTGK LY89DRAFT_650813 MPPERGRASKACNTCRKIKTRCYESNVAGKSCLRCERLQQPCSL EVLSFASAENVQGHPQSAEGSSSQDERIRRLEQTVSTLVERLGDGPGRWVPYPDVSST SPVNPPPIHNLTPGTPSAAPVFLIRDVASQVGVQQQQSARAQTRGLVPVDIISQGVIT VAEATVLIQLFQEHYGRWVAFSETVSPNALLSNIRESPLLLCACCLIAVRHMTWNSTA ELAPRLFQEAKSLLSAAVLEVPQSLAFFQAAVILSMWSTTIGQTPLSIDSWILSGFAL QHSLASDLFASMMSGSRLGSLAKTELDRRCIWNHLCLAHLHYCVGTRRKAILDREQVD KCREILATDQVTNFETRMVAEINLYWIIYESCAMNQVDLPGTQGLLHDWRQQWKFLFV EQPRSQFIQMGFHFAQLLVYDRALKSRSAAVRESLLSEMIRLSAAIIQLALDTTDVRT RHLSDHIYHMITFAAVTLCRLLHMYENQLAVAHNIVELDSLVLTLVTWLHSIGLPCHV AQTLGDVVAAFHKELRPNAHPSPYAQAQDDIELYFPELLGIETYADGNFDFNPDWEPY IQGPAT LY89DRAFT_147358 MSTSAKPLTMSEIELSEKAVSEDHSWTMISSPEIPFGGLSTAET PPSSQLLVNLPPYNYSPLPGDRNIRILEVYGADSYDTTLCFRITTVSLDSAPPYYAVS YCWENQTPTQLVICEGQTITLTINCEAALKQFRPRQGETVLIWIDAVCIDQARGAVRE RNEQVFMMGDVYAKAAQVWVWLGSDRMKSLPVSTIRILNWLRDLSVAASIKSFQRRDD EVLRVALEMNHQDLHDLEYDMPWFTRIWVLQEVLLSRSAILYRDTIPINYRGLINATN LIASLASSNPALLLHDTYLRTNFRMFRRLEAIKAKPGSSSGLRQIWNNARRFYSSDPR DKVFALHSLLTSIGLPLPPPDYTKDVGTVYRETTKAILVYDRSLGILKQITGLGVRTD LSSWSPDWNQRVYPKRPFARPGMRFQASGRSRPAFKFSADGKLAICQGKIIESISMVA KDSMTHTWERLGQIQKYLEWTLNALPQEEFWREVLERNPEWSESILLVWNVHVLQTLI AFVASTANEDQAEEDIYKDLQDCLGDFIESDSGSFARVAKFGDWDAWRNSIMAEYSDE KWKVGKGKETEKPEETEETEKSDETEKPAKPEEPDNPDKPEETPPPDSTPQTTQTPDP SQPDPSQPDKPLPSPPISTPPLPHRPSIPQHQDSQISLVGHREITDFQHLRTRLLSSP ASTSALLSTPEFRAWSRVCEEHSQAALHGAIISTTHYQTLFRTQTTNKLGMAPHSVRE GDQIALLAGLDSPMVIRAVGNGNWRMVSAGYVCGMMGGEAWDREWNRGEGLDELTFE LY89DRAFT_687157 MDYPYFTAAPQPTYFLQGLPPTPAHTGSANSDDYSNSPPVRDQF HKIESYSQSLLTPRQDAFDSSYNFDPTHYFNGNGNGNAIPANKPPTPISTHKGSIGSA AHHTYEVNNVEASNNTNQQGSNSDDDDNMTPAQSRRKAQNRAAQRAFRERKERHVKDL EAKLEALEKNNASVAEENERLKLQLQKAATENEILKATSAHNNHRGGSEPLPNAGPMR YSPTDFYTEVLHAHENKTPSHRIVTSDTGERLLAAGATWDYIIKHPLYIRGLVDVGDV SERLKKVAKCDGQGPVFEERDIISAIEKSIASGNDELL LY89DRAFT_147386 MHRKNVSHHVKYMFLLPSLLPSTRALVSRTRQSNMSLSPPYLVP RCGDMSVKFQQKNRRLSRQVVCGMLYHVSYSVYRGHSFRVAPDETGQGFSPRRFSTLT L LY89DRAFT_147366 MSYSKKDEDADTAIMRVDRTSVFQEARLFNSSPIQPRRCRVLLT KIALLLYTGEKFPTNEATTLFFGISKLFQNKDASLRQMVHLIIKELAHSAEDIIMVTS TIMKDTGGGTDAIYRPNAIRALCRIIDASTVQSIERVMKTAIVDKNPSVSSAALVSSY HLLPIARDVVRRWQSETQEAASSTKSSGGFSLGFSTSGSAQPVNNSTMTQYHAIGLLY QMRMHDRMALVKMVQQFGTAGAVKSPAATVMLVRLAAQLAEEDPQLRKPMMQLLDGWL RHKSEMVNFEAAKAICDMRDVTDAEVTQAIHVLQLFLTSPRAVTKFAAIRILHNFASF KPQAVHPCNPDIELLISNTNRSIATFAITTLLKTGNEASVDRLMKQISGFMADITDEF KITIVEAIRTLCLKFPNKQAGMLAFLSGILRDEGGYEFKRAVVESMFDLIKFVPDSKE DALAHLCEFIEDCEFTKLAVRILHLLGLEGPKTSQPTKYIRYIYNRVVLENAIVRAAA VTALAKFGVGQQDPEVKRSVQVLLTRCLDDVDDEVRDRAALSLRLMTETDEMANRFVK NENTFALPYFEHQLVMYVTADDKSTFDNPFDIAAIPIVTKEQADAEDRTKKLTTITPT IKAPKAGPTKATPSSTEAAASATAAAQKYQQALLQIPEMKAYGSVLKSSPVVELTESE TEYVVSVVKHIFKEHIVLQYEVKNTLPDTVLEDVSVVATPAEEEELEEDFIIPAAKLA TDEPGTIYVSFKKTNGESSFPASSFTNVLKFTTKEIDPSSGEPEESGYDDEYQVEDLE LTGSDYVVPAFAGNFNHIWEQVGAAGEEAEETLQLSGVKSIADATEQLAKTLSLQPLE GTDVPINTTTHTLKLFGKTVTGGKVVANIRMAYSSKSGVTSKIVVRSEEEGVAALVIG SVA LY89DRAFT_687159 MEAIERFLSRPTAKPYRSHALERTTKPFLNENGHLDFAPNDIEN PKNWSAPRRWYITVVSVLLVVNATFASSSPSGCLDGIALHFGVSEEAAGLVITLFLLG YCFGPLFWAPLSEFFGRRYIFYVSFTLYLAFNFLCAFANNFAPLLVGRFLTGTFASSP LSNAPGVLADIWGPVERGNAMSLFAMMTFMGPALGPVISGFLELKEDWRWNFYVLLWL GGISELLMFTIPETLPPIVLMNKAKRLRKLKIPGYEDVKAPVEATDRSLGGIFKVALT RPWKILIDPISLFVAIYLSVVYTLLYMLFTIYPIVFQQKRGWNSGVGELPLIGTVLGA GIGGMLIFVNSARDRKKLEAGHKGTPEDRLPVAMVGGVLFPITMFWFAWSAEYDSIPW IVPTLAGVFLSTSILLIFVAYLNYLTDTYLMFAASALAANTVCRSGCGAAAPLFTQYM FDALGVGGGGSLIGGVATLLAPIPFIFYKYGGPIRERSKFAPTPSSKSGVQQVVPREQ NVNHPSSSTLDTSEDSDTYSREGGGIDRVARPNDTRETDIGQLEGLREVDPEKDMLPG PREDDPEKDLEKGRHKWLYQYQLH LY89DRAFT_557406 RVPQRFVDFNLLSFWLNRCLGLHLESCASDWTLNQDGSLRVIDV RANSVVKATQNCQFLALSYVWGDVQQPLLLKSNCDVLLEPGALDRIEPETPRTIRDAM ELCRQLKENYLWVDSLCLLQDELNHNPNQVANMGLVYKAAKATIVASCSVNSNAGLPG VGSNPRLGRREDITIQGIKYTTALSMPTDAAQKSEWNQRGWT LY89DRAFT_687160 MVYVRQEKLPNLKQYKYSGIDHSLLSRYILKPFYTHVAIKCFPM WMAPNLITLTGFMFVVINLFTLLWYNPTLDQDCPPWVYASWAVGLFLYQTFDAIDGSQ ARRTHQSGPLGELFDHSVDAVNTSLEVLIFAASQNLGMGWKTVMTLFASLLTFYVQTW DEYHTKTLTLGLISGPVEGIVILTAVYAFTAIKGGASFWQQSMFETVGIPNYPFIPEY IYQLPFNEWYMVQGGIVLVLNTIQSSMNVISARRARGDKSRGALLGLIPFGVTWTLIP AYLYLNPEILHNHLVPFVFFAGLVNAYSVGQIITAHLVKLDFPYGNVLVLPIAYGVFD SLGPFLQEHIGVGWPSALGGDVYQVAYVFCMLGMAIGVYGSFVLDVIVTICDYLDIWC LTIKHPWNEEEEAKKAQ LY89DRAFT_687161 MAKIDAREEVETSENGSDALTKVGSEVSDELEDLVIQEDKAGDS IIPSNMAPLGGVSVAGQRRDEYDINFDDTRGFAIFRLRDVLNSAASILSPSDQKIASK YPGWHEVVTEIQGKLTDASSTRDMKRQMGRIQPFPRTLNTLTQAFEALVVPHPMKFDV LWGMVYLNLKLSYSSPERLKRIGDLFNTIRTIMARFNRCLAACDDNNEALLAVVDFLD PIATILSDSIRYLHECSSEHAANLAWPDLNEAINTQLTTLEDIVRHINEITSLSKVNQ DRMIKNLSMKHALMPESDEPGTFPNRIIPFQKNPKFYGRVEELEKILAYLSPKDDQSL RTYTIYGRRGVGKTEIALQFAHENLAGFDAIFWIQCETSVSIRQSFTNAAVSLNLPGA DRAGHHEENLLAVQNWLKRTSKKWLMIFDNAERDQVLKAYWPIGASGAILITSRKYYN FSKDLQRKGGTVKPFNSKESWDLLLQLLGEDWKKDEREGRIPQSEVTAAKNMLEQLEG LALAIQQAAILIQDSTIGGPTIVKTYEMFKEKVRTLPDRHRSARSPSEKALDALWDMS FKALSSHARTLLGVLAWLSPDDIQVELFLPRAQKALDIALSFCRQDPVHLDENERASI FSIITASEDFEKAVNQLLDRKLIKQDGRVYSVHRVVQEAVSYHDVDELQKSFAQAARL VYEQFPKQGIATMYKDWKVCQVYIPHGAHLSKKFSEYVRSGALSATDAFVSLLGNCAY YLREIGDFDVCSRVIETATSACADKTSLLYARLMLISGNIAYDLNRLTECRNAWDITM RIRLNRLPHDDPAVASIYNNMGNLETAQGNLQESRNYFDRAVQIWADGGDATAITLAF TYLSVGRMHMLQGNLTEAMNLTQLAEAIVVRTIGADKGIMANIQYAYGNIEFLQRHLT LAWRAYDQCRQIAVTETPIHPITAAAYYSLACVEFEMGHPEPAKMYLDKARGIAELRS PTRDDGVIARICWKTALVLESDTFGTFKNEAEEFRSRAEVARQKLIASGEGGLIPFIE NDADRDEEEDRYDALVPLFYR LY89DRAFT_721021 MPPESFKVEGAFDPKPSTARTKTWSSRVTGGLPDFGFYRTSTVD TLTEYNEGKQFAENSIALTDDERQKQDALIRSLVAGASIKGVLATASCWYGAYLHSKI KQHWILLSRVLTGLKDDSIGLEKGNLGVVYHFLHEIAKLLMSTEELALVAIVDELDNA NLLKPQLDEERALPNQAVWVAVGWLTMLYEAATHPKPDKLEITRTSTSLSGYRNQLFS RKYFNYKQGFDYVDVPLYRLISKFGDLIPDVPTPLPYHRASETIKVSSVCFSTIQNLA DLKVEFVTSLALHLELDSSRKTLKIFQFPSFCRMMMAGEGDNTLTRILNNNAARGTQD VTEPQVSSEDFFREILLSFRLIFGQDERSWKAFSRAVPAWEERVELSTSPSWESNWDC DPLLHVLCGRSAESSEARKLYDEVDANEPTSNYIPHADFKYFGKRLLDLQDFIDQHQP KNVRGLLNDRRDVAAWYTLWNNQLLILFASITIFLMIVSLIFQIYQTWLTKEQLNQGS SP LY89DRAFT_784671 MEGKEAYREVSSNLDSQDSKYIDESREQSPQAFDDLKRPEPDPY PLLSTLPESVASFFSTVSSDGFPPDLPKAQPWRQILAAPLPPQQDGKLIIACPKKCLY GSNNG LY89DRAFT_687163 MADIEATIEYLEDLELYDNVKPYWCSLPPRDGFDPDKERLDNLE FESRKIQIHDMRFLKDKPRIDVNGFQVFDHTTQISRFEAPEDVARYKSETEELHLKNL GAVYVKCYDMALRTNILFDRTEYDLNDPLHTEGPVRGAHNDISRNFGPQMINKHLPDR EKELYMRPGYRFRIINTWRSLLPIVEDRPLALCDSRSVAAADLISCDRIVPEHIGEVY FLKHNSNHKWYWLSRQKDSEPFAFVMYDTKAGPHARFCPHVSFDNPQSSREAPPRESV ETRSLVITRE LY89DRAFT_147579 MLAYRNKDRERIRIQRVPQTKKLRPLLYVNFVWNSHFFGGYFVC VSNFFGGSFWTLKLVVRFCRVRRPQGGLLQIKKEAFQPTRCPGRRGPGK LY89DRAFT_753025 MAPSSVAPSFITITSSTMMMYQSSASAAMGSTKHLKGQGPYQQR LLHTCQQGTADVIPIVFIDYFPQQGNGWPGTNFGNQCGTGVYSAPGYDGVDNPANNQL LNDCPSIAPDIPICQITYGKKIVLSLGGGGSLNYQLTGEADGIFFADFLWGAYGPQNA TWFAEGNSRPYDGPNGEEVKLDGFDFDIELYSDDNSVGCIAMINQLRTHFASDPSKQY LITGAPGCFAPDQSMGNMIAGAQFDILWIQYYNNAMCSARRFIDADIGFSYDTWTAIL AGTASANAKLYIGLPGDPYSASTYSLTESYWLNQTEPAYLLSIYACRPNFGGVMMWDA TSAENNILSNGLSYDQEIKNLLLVLEVCLGIAVAR LY89DRAFT_736807 MDTTGVLLTLDNPCGIELELDRSSCVPGLEVEKDGCFWLMPGVR DGALLVLVGVDDTVPAPEASEEVVLIKFDDAGSITLDMMTTEEFWLVLLDSSTFLLDE DVEIGVEVDSSEDVELKVEPNDFALEVDNDVTLFELTDIEEALLEPNTSDDDEEETWS SAGITFFDVDKVEAFEVVEFFMELLLLDFEESADETDQIKQKDMYSKYMFAISKFHNM TGRAESGNGS LY89DRAFT_698941 MVQASYRVEQWTEYGIGMCIFTLRFFARWKVVGIANFAWDDFFA FVAMCLWTVGSATVQIINDYGSFVGLNEQTAGALSDETAASYEVGSKALFVAWISYVT LIWSLKASLLFFYSRLTMAVLSAIAYVAVLLTLFGHCTPIQKNWQIKPYAGVANYIVV AALNLLTDAGILAIPLPLIWKVKIPLRRKLIIGLLLSSGLFVITAALLPCIFTLASVS QIGNSTIWGIRETFVSLIAVSAPVIKPLFNKSRWIGSSNDKNTGSSGFKRFGRNQSVR ELSAAHSATKIGNDYDLETGRSGHRKGDMSPLSDFELKDLSRHGSEEYIIENNNSKSV PLEINVTTVYALADENGNETPVSPKTVNAEKSVEDIQNGASPFRRGPVPRREGWKPRG ENITEISVGERPEGSVSKASRMLGMGGST LY89DRAFT_736809 MSRDTLCERCDAIFRFRGSYLTQEIISQKREAIADVQKSGADDS DVDSLKQDLSRYEQGLLNCGKPPRARFPRPEDNNAPCPWPKTLPEVNRSRSERKWAIS CENGWRKWSDFEESAHICPLCYQIVEMGCYSANQFPDRVARIRIIPDWDDPIKATGQL FQRGWTLQERLLSPRKIYMCRFPFWECLSGVRYELSPPEHQDASHYPQFERNAILPAF EPEGAFNGWKLIVEHYSRAKLTYPSDKLVAISGLAQKFAEGVKESYYGGIWGGKHLLE SLLWVCQKNNQVYRPLEYRAPSWSWASIDSEILYGGARDIGPHETFVRFLSIHTSPKA DDLFGQILAGHLSIRGCLFELPNEDLVKNMLRRGHLDDPDEDLSRTSIYIVPLCWQSS PTIPRYGYTSLILLPCEDHGAHRVSPEMIGQKVFRKIGLFPGGREGRICYRPSGIPDT FGPPVYPIGWSIHPWPEELHEEFIII LY89DRAFT_621494 MSEEQKVGEHVPDRSPSPLHNTPNKLNSTSLSKRSLPVRIFDSF RRDPNQQATATGEIVDLKLEHEHSDSYDVESAIANTAKSPLARKLEARHLQMIAIGGS IGTGLFVGSGKALAAGGPASLLIAFSIISFMLYCTVYALGELSCLYPIAGSFSAFATR FLDPAWGFAMGWNYALQWMVTLPLEIVAAAITIQYWNSPISPALWVTIFLVLIILINL FGVKGYGEAEFWFALVKVVAIIGFIILGIAIDCGAGPQGRYIGGSNWIHPGAFNYGFK GLCSTFVTAAFAFSGTELVGLAAAETADPRKSLPRAVKQVFWRISLFYLISLTIVGLL VPWDDPRLLNGMSSADAKASPFVLAIINAGISGLPSVFNVVIMIAVLSVGNSAVYGSS RTLAALAEQRQAPRILGYIDRKGRPLVSILFTCAIGLIAYTAVMNEAAENNVFNWLMA LSGLSSIFTWLTICLCHIRFRAAWKLAGHSLDELPFRSQPGLLGSWAGFVLNSLVFVA QFWTGFSPEGYQDMTTSDLVINFFEVYLAAPIIVISYVGYKLWFKTRFVKLADVDLAT GIRDSGEDLRVLKEMDKLEFNARPWWGKLYQIFC LY89DRAFT_147636 MMATSIETSVRSMRLYQDAGAESMSPKVKASSSFVLPDSSVLHY SLEGNLAPDSPVLLFVNDIYVNLQVWDPAIALLKESCPQYRFLRYDLRGYSVESIGDS EAITMSLLVSDLEYLFNRLSIAHIHSIVGLGFGANIALELLAKRRPIASTFVGIGFAI SDSALTRRQQVVDDWPLRSSLARRFGMGILADKAVARWFTPDARRSAQWIRVREMIAA GSTEGMEKLSNAVIECAGHGTEQRGQRTLENLEVPALFLCGSSDESIPEEMETYPALM EKEKGLFILLDRTSRLACCEKPGEFVRLLGNWLHRFS LY89DRAFT_687170 MNMSCSLVPALIIANNTWPDKLATVLEPLYTINSSYTSTVDGWI SSFSKDPTAPPQVSIDFNVGNAAGETWSDLGHNDLDVNVSASRGCFFSATFKENNKIT TSYVNASSNANDPQATITMQSFGTFPVTAGQWALNNLRSFPLLTNAPKDLKDPTYKVS QVCMAYGVGFTIKLSGETANQVDSYIQKVNKTGAGGSISIFGYEIGASVTSTSDSTQT WDDWYKTYKSGTITVKPSNNAVPTMIGALGVSV LY89DRAFT_621498 MAQRTAESADELQMNTILDSLELSDEEGTYFSSEPEESTSRSNT NDIQSSIDFDDALCDTCKEIGANFIRRGTENVVCSELVDERAGQGCLLCLCVLAHLKE EELDGMRKQRNRAAEMDWDFEIQYHIVGSDFFPSHFNKPWIWISYGICGEEAHFDVSR SISFSVVFRVFIQEYFDEDVFSALHEGPNVGDSTSSPSAWNSAERWLHDCTTDHDECR VSVQTNLPSRLLSLRSDRSTALHLITVSDLPCPPTYATLSHCWGNYLPLRLLTSNITN FMSGEALSDLPPTYSDAIEACHKLGIGYLWIDSLCIIQDSVTDWEQESARMGQIYLGG ICNLAATAAFDARDGMFYPRDAQLFKPIKARINNPSEEVCYIFIRDIWSDMVEKAPLN SRAWVCQERLFSPRTLHFCKSQLAFECRELSACESLPTEYCELPRLADGPQSKKRWMQ KIVHHTSDTEPNDIQNLLQTWMEFVELYSRCSITFQSDILVTFSGLAATFNAQLNTDY LAGLWRADLPQQLLWRAVGSGRRISTYVAPSWSWAAITSEVSFGLPPSPAVYDHDAEP LIDILEVNATPSTENAYGQVRDGLLLVKGRLAEVRFEYQKKNPVKSCKLYVRIDDFKE EATERSLIIRFDEDDYTLYNDVDFDQNIGDTELAVESLFILPVFDDFDGQSCLVLQKV RDGTRGGVFFRWGYLDLIGRTAVELLDGGLQSFDKRNSGRVNEGNSARLAKDGGHIIE II LY89DRAFT_672098 MRVLVVTFWLLFTASNAELGGRDNEIQLFPKNGQQNVNPDTHLV LTFPSPPTIGNNGTIRVYDTSNNKLIDMLDLSIPSSPSPYGNGSTKANYSDTTTYQTN IIGGMDFYFHPIIVRDNAATVYLHNNQLDYGQTYSVETDSEVFKLADGSYSTTNSWTF STKSQGPSPDAPEVIVAADGSADFNTVQGAIDWAPSNPTQRITILVKDGNYEELVYWQ YKTNLTIRGESRNKTIVGYPNNSAFNPPNRQGPSRRPAFSFHGVSDVQLSNFTINNYY RGQAEALLIEGVRVIVDHMTLNGSGDAFTTYGTIYVADSKLTGDGDTILGYGSVYWLH SEVVSTAGAVSWTRTVQGIHGNVFVNSTIIGDQGNSTFARLPDNSGGVMPNWPYAEMV LINTKTAGIAPVGWGPVQGPPFDTSHLHLWEYNTMDLEGNIVDVSERLNVSKQLRLPE DSVTIAEYSDLAYVLGGWTPVVVSS LY89DRAFT_784680 MSSQEPQISEFLALCNVSIRDDIPKTKLEKVLKSHPFIPIPGAL NLRTISSPSLPPNLIFRSGTLSHLSASALAPLKDTYNITTIFDLRSFEEREKSPTPEI PGIENVWIPNTLVVDSRFQGAGAVTSTMIVEENPEPVTVRIDPADFVANDGKDGFLKM YGEILESHRHAYKAVFKTLRDGHGRVLFHCTAGKDRTGMLSALILALSGASREVIAED YVLTRIGLEPFRTSLTEVLLKQMGREPNHDLFEEPGMETMCTIKGVIILWVLEWMDEK WASVQEDEYAPDSLYPGVDGYLRKELGFHETDVERIRTQVRSGADLTG LY89DRAFT_590937 MSVNIGSSAQFSKLLTTSTIVVADFYADWCGPCKAIAPTYESLA TKHSKPNRVTFTKVNVDNQQAISQQYGVRAMPTFMIFRSGSVINTIRGADVRGLTTAI ESAVKLAGAGAPAYSSVGRTLGGPPARGTSVSRPFNFKKLIDTIIAFLGLYFISLFSF DAYAAAENSPFNVNRPAQPRVTPGTKLREKMPAPQTGKKLGTIADLGGD LY89DRAFT_650836 MGRGETNAKKRKRQSEAKVAVNGTLSNIPAVVVDTTKPTAVFLP TKGREWTVSVALPGSIISNAQTHEQRTSLAGHIARALSVFCVDEMIVFSDGHSHNSNK RYHNRSHTYSNQTDQSEDGYTGTSDPDHFLIHLLSYLETPAHLRKLLFPLHPNLRTAG TLPSLDLPHHLKPDEWCPYREGVTLPGADENGTFVEAGLRIPVTVEEQIPENTRVTLK FKPGAEESSKDMKSEVILAEPVAPDEPREESGYYWGFNVRKAGSLSDVFTECPYDGGY DVSIGTSERGVDVEELYSEDNNQKLGKFKHLLVVFGGVAGLEVAFKNDEELQKLPVTE VKEVFDRWVNVCPGQGSRTIRTEEAVWIGLMGLRRLVTSNE LY89DRAFT_147737 MATPMRTSTRIATRTTQCLRNRHKPLSRWNNTSSSSSSSSSASK GTRSPLSAAFNAAVQGIAFGSTLGGTLWLYNYLGKRDIDCSWATKFSAPKYASVKEMQ AAIEEIRKALGGDNVSVDDEILKAHGYSEWSPANIDTLPVAVVYPKSTEEVSQIMKTC HKYKVPVIGYSGGSSLEGNYSAPHGGISVDFMHMDAIVKVHEEDMDVVVQPAVGWVDL NKKIAGSGLFFPVDPSPSAKIGGMVGTNCSGTNAVRYGTMKDWVLNLTVVLADGTIIK TRNRPRKSAAGYNLNGIFVGSEGTLGLVTEITLKLAVFPKHFSVAAATFPTIRDAADT ASAVMRAGVPVAALEFLDEVQMAVLNKGGWTAPLTFEEKPTLFFKFSGTKASVADNVK EVETISKTHKGGAFRYAKNEKEQEIIWSGRKQALWSLLAARPEGTELWTTDIAVPYSS LATMIEASTKSLKELGLFSSIVGHLGDGNFHEAIMYHKTDPVESAAVAKHVKNMIGRA LEMDGTSTGEHSIGYFKKEELLQEVGPDTLGVMKSIKQALDPHWLLNPGKIFDTPA LY89DRAFT_672103 MSNIKCGPTITSKAITHHGEWNEESRAIPAINFFEKYDAVVTAG DLSGSYHDWFAPSARFYANTGVTLHSGAEIWDFMKSLSLFGAYEIITTNRITTVIIGG HERGDRLIHQSDTVFYPKEGKDKGIGIPIRRTIEFVSGPSEVHGQGTDGLQYFVGKTF WDTHVLKAQVNQVI LY89DRAFT_672104 MSDLKRKRAASEPADDVSEPVTLSKRARSVEPEMMVFHQVKSSP PAKEASPAKSSSSAEQSRGTKRKHVTPPSSPPPVKPANQDLPDWWVSGSDDEDEEESD SEYERPAKKKARKTAPEKRPEWFLHDDEENEWEEELRTSEGESEDEDDEVEGQVSLYD RLAGNKQLAQRGDDYGEEGSEDDDSEGGGNAHDFYGEDPDAKYSMEEAYKRKIERDDP SYDSDFVAEEVSSGGKINMPDYKSMEKKDKAPEVITKAEDEEKKAWKALNAHVAEQVV PDASEEYVEWNANCKEFFAAETIKDLFDEEVSFPVIKAEVCGHTRDCVRGEKLGICHH DVLRVLRGSGVHVEGEQWIERLKRERLRWHPDKFVRKMGTEFKDEAKEMFQMVQVILE KAEQ LY89DRAFT_736821 MAASQARESQPNRPEAESTNRTNRKTRPSNSSRQRETTSKQSTN TQKTQRASHCPNRPNHPTLHSALPRNLPSRLPPLQRPQYSLAGNVGPQAHAPSYVDPR YYDCNPSYRKQKDAPVWGLAKPLPRVVRPGMRKGGHDTREKDGVVENMDAEIDAPGSA EAIPQVGMIDEQRQGEGKNPIEEKARTEADRGYGHQIRQGKGRQQSRRSGNIAGRLRS ETSVVDRYGTPKDERSNPLEEWRSRTTSPGSDPFDDAKGDMANRRLSYLSSLREIPTG QPSVYDCQEQADIDLEAGNNIDDWPLEDGEAEEYIREEEDMHNSWPSIRARFREPLAE CLATMIAILIGLSTNLSVQTSNNTKGTYFSENWAWGLGVTIGIYIAGGISGGHLNPAI SLTLCLYRGFPLRKAGIYISAQILGALIAGLLACGIYKDAILHFDSEAASQVLKEFRQ HQLDSMLVEPDYHSTRNQQTSPVQEQHSPMRAGMHAFIVGLLVTALTMAFGFNTGACL NPARDFGPRLATAMVGYGGSVFTVRDAWWFYGAWGATITGALAGGGLYDLAIFVGGES PINYPRGRRRKVQRKCQGGFEDEVVDFQEGYGKRCQEAS LY89DRAFT_736822 MENMTFQEFCDTELRTMAFPSADEALRMNLDSYEALISRKPELL RDFQYLREQYTTAVPDLAELPAASLGVAVGSYNHLDTFIEACRRDEKLLALIHENMFN DVVAYKWAAGLEIEERDAPPQWLRNSFERSVEVQAEKIRRWMYGQPHRDESHVAFTAG IRSRIYQLVDESENYQWSDGNRIPDELLPLVPLLSRDIALNILPSVNWVEPELAAAVT LLREAKSAGLREQLPFQPMDFFDDTPHRDYIVLGNLIVDTRYHSPQSERPMESLESLF EPATRHDAESIIDPEFDDSTESEFSTVDDDYQSESQEEDIHETALEDWIEFLASAPTP HSNDPDPPRQVILDHYWDVANHENTPEAIRAVLIDLADQSESEDEDSHVRVREDWFER GNILEDRRPQYLGPARHPWDTDSEDEDENDNRSYFENDSDSDALEF LY89DRAFT_698947 MKCQLIAAIVAFGVGCHAIPTPSTHVLHEKRSSLPRLWERGGRV ESDAILPVRIGLTQDNLDNGYEYLMDVSHPDSPNFGKHWTAEEVHDAFAPAQAAVQAV RDWLISAGVRPIDIVHSDNKGWLAMNIPAWKAEDLFRTEYHEHIHSDSGAVRVGCDEY SLPEHIREHVDYITPGVKLSASLKRSLVERSTPLHNKPGPYKSHYPHDPHWTFPPGAG SLPPDLQACGRNITPTCIKALYDIPNAYIKDDVNIMGLYESGDIYSQADLNSFFAAYA LNVPQGTHPKLDSIDGGEAPVAPGSEYNTGESDIDMDLTFSLIYPQTVTLYQVDDNIE AFTDGGFNTFLDALDGSYCTYTAYNITGDSPGVDAVYPDPAPGGYKGPLACGTYTPTR VISVSYGVSEYDAPLNYTRRQCNEFLKLGLQGHTFVWASGDYGVASFPGDDSDNGCLG NDSTVYNPSFPTCPYVTNVGATRLYDDQTILDRESAMQADLGPSAELFSSAGGFANYF PVPEYQKSAIATYFAKHDPGLPYYYINADASNIGANGGFYNRVGRGFPDVSANGAELL AYVNQSLGHWWGTSLAAPIWGSVVTLINEERTAVGKGPVGFINPTLYANAWALNDIVN GSNPGCGSAGFAAVEGWDPVTGLGTPSFPRLLALFLGLP LY89DRAFT_687178 MGQAESREPIDPSQPPETLSSRTLEGVAEYIKDGRHKRIVVMTG AGISTSAGIPDFRSPDTGLYANLQRLNLPFAEAVFDISYFRQNPDPFYVLAKELYPGK FYPTIAHAFIALLDEKFLLQMLFTQNIDCLERRAGVPGDKIVEAHGSFATQRCIECKT EYPDDLMKKAIERAEVPHCVVPQCNGLVKPDIVFFGEQLPEAFHKNRHVPAMADLVIV MGTSLTVQPFASLPGFAREGVPRVLINKESVGDLGCRLDDVLVLGDCDTGVRKLADAL GWRDELEQLWLEVGGKVPEREAARLKEQRKAMTKDEALEAEIEKLTREVDDTLKVSKD HTEWVNKNLAESDEKAASKAAKDSGGTITSASELPPKTEGLKEPPPTPASNENTPINT EKVDNEAPAKAPAIDSKAILDVTLPHNRPSTTEDKKPPSRI LY89DRAFT_148531 MLSSLQLNRETGTMQACHVMPYCGPEHQVAHRNDHKKLCNAIKE SKEHLDLEEQKLRDLPPDAFHPAKIFEEHAGEFWGLMETRPYMQARFGFVDALTKIKT TNSVQLAFDNAWDMLRLCRSDNMGLRDLVPSLFLRLGRDQECYDFLKWYADDEYDWGD MSLPFLDLKDADVFEPVDKFVEEFADTSHAIALTLIKIRLLLELRNLKDLEIVGEKLP REMLDNVQDQLLSHAISINPRKKVILEKGDEFDDLMSELKLQINELHVAVHKGNKYFW RFLLHPGQALTSPISAYSSDSYEEMVLYLQYCYDSWVETPGAITIIQQLEDDEFLDED DDTYEVIDE LY89DRAFT_736826 MPQATVSIEAGFGLHQYPRIDPTMGLPETSTNVAKLVSEEASAL GGLPIREEDYIMHRRWRITRCCQYSRGKMHFAVSALSTNQQIEHGHLSAYGLYGRTSD MTNQFQTGPEDQS LY89DRAFT_736827 MTSQQHRQTSSQSTTPYYPLLAHNHKEIQYSTTPDATILGQFPF QGLDLPLDYEPYPETGRGDFGEVSHHPVHRLQQHSQPTDPGIIYGATTFTSPQLQNGK GQAKPTTAIPGPKDESHEKKVHRRMQNRLSQRAYRSRKDKLIETLQLKIDAQIGSRKR MAEACNKKIDSCIDVLHTQVNELKALQTVLMGTMPVPEDGGSRDDVDDVMNMERESSM DTNEGLELGLEFDASAWGYQF LY89DRAFT_687184 MDERSKTSTNEKHKLNETEPKSPLPVLERSPPSNVQCIPVIKYH SLGSFPHRN LY89DRAFT_736828 MSLWSYTCRSYGPFKGPIQRLPNDMNPCLYNLYQRAYLGLNVIA FSTISFSEWYFKFPSRIEQMLWRIACATAESSLFIHAVAEAVGNRKRRQMKADYNYIE GYKLLFPKGVFLFWVPFVTYLAARVVIIGLAVMSLRDLPEGCYWTLPWSNFVPHVS LY89DRAFT_148187 MAPSPIPITIDHGNGGIPYTPHNATTLSKGWVTQPNNRGTLDIL WTCASTLFICLWVQLHLNVPGPHERFFTQSLRRLRWLVMGALVPEVLLLSAGGQWASA ERSVQDMKRLFPNSNSWTIVHGFYADSGGFVLQPRDSDPFPVSAKQVHYLVENAYIEL PSITEKEILDKSKGDKFTKTIACLQTFWFITQCVARLIQRLPVSPLELQTCSIIICTV TTYFLWLYKPLSVQTPTVIPMSIPIGLVLKCAGISASD LY89DRAFT_590394 MISILSQWKIVLLPSTVLYLYLVHHLRFRRSSSLPHKYPYPDRT SYNKMTFRHAWEIHNSLIEYEFPTTFSAATMFALFKAYGIPTISSILRATGQFSSKDT VDKRYTDTGCLLLEAVLNPPASERALEAIARINYLHSPHMASGKISNDDLLYTLSLFA LEPARWVKKYEWRELTNLEMCAVGTLWKLLGEALGIKYDVLGDGAELNDGLMWLEALD DWSSEYQERYMVPAESNKQVADATLYHITWKLSKKMKSVGNRVVAALLEDKLREAMMI PKPPQSYYTLINRFISARKFILLHLSLPRMRPKKRLPIASSSGRIHSRKYNIQPWYVK PTFSNRYGLKAWRTWWKGGVLPGDDGGKYFPEGFRASELGPNGLRGRGEKEMGAERSR LEELWSSERGVCPFLVQE LY89DRAFT_736831 MRLLAPVLFGAAMVQAKSSAPKNTVLCGQYDTHWSANQSYTFNT NEWGDDGSGAQCISVNNATTDFNATWSWSDNPTLVHSFPNIKLQSDLLPIELSNLSHL NISASWSMNPSSSSVENLDTIDAAANVVVDMFLDTDPTTANSTTLPKYEVMIWIAAYG GKKPIGFSSSIKNPPTHSLNGTIYTLYSGANNNGQFVYSWLAPSNVTTFDRDISPLLH YLWQHKLISESNYLGVVQFGTETFHASSNVTFSAQDFNISVAAGQPLVDSRAAGGALP NLVLLLLPLAFFFTFTCS LY89DRAFT_784695 MAARNQPPRLQLSRNAAGDETPSSPSSEYVNPFADPEGLSSSGA SSIRSGQVTTPAQVHLRERGVDDITPAPAPTQPQEEFNEKIEYTQPPPGLPTGKDPEK QGKTPAPASGKKKNFFARQWAVFRATYAPVEDAPLILPSGTTDKEKYSYLKTNRLPLY IIGVFSFLSLSAGMWLFVITSKYFYWFGFFVGLLEIYLIISYTITIVGKDYDFEGHKK ILADHPILPETAPTVDIYLPCCKEPMEILANTYKYVQALQWPEGKLKVYVLDDGGMDA VKELATSFGFNYIVRDDRPRLKKAGNLRWAFSRTQGDFFTIFDADFCPRPDFLHELIP QHLADPKTAIVQSPQFFRVTDNQTWVEQGAGGIQELFYRVVEVNRNRWGASICVGSNA VYRREALVEVGGTAEIGFSEDVHTGFYAVNRGWKVKYVPLCLACGVCPDTPHAFFSQQ MRWCMGSTTLLTNPDFWRSKLSIVQKLCYCSGMMYYSAISLTIFLNPLPGILLLWVRP EYFKYYNLAFAIPSIFYSLFALRFWAKAKYSLNVQFIMVIQSYAYFTAIKDRLFGRAL AWVPSGDNAGHKNNKFRNMRILAWCWIITYLGALAGALVYRCLKDDDFHIYNAVPLMV LDSMNLFFAHRFLLCVKKK LY89DRAFT_148209 MLVLLSTGGPTAAVIAFHNPNIRVTVVDKDAGRIRRWNSQHPPI HEPGLSEIVRVARDGTNATTVNVEGDFTVEMPARKQNLFFSTDVAKCVSEADIVFLSV NTPTKMTGIGAGAATNMVALEGATRDIAIAAKPGAIIVEKSTVPCRTAQIVRDTLEVH RPGVPFEILSNPEFLAEGTAIKDLLNPDRILIGSSRTKAGLAAAATLEEVYSAWVDRS RIVIVNLWSSELSKLVANAMLAQRISSINTVSAICEKTGADVDEIAKAIGRDARLGSK FLKAGLGFGGSCFKKDILSLVYLAQTLNLEEVADYWMQVITINEFQRNRFVKRVVSNL HGTLIGRKISILGYAFKKDTSDTRESPAVEVVKSLLADNPAEIAIFDPRCNPEDVKDE IKRLFATTGLKLLKPDGPIEVYKDSYEACHDASAVLILTEWDQFRYPPLKEKESAFHK EESIAAAAVTKPTLGRTPSELDILNMMTTSRHTKPSSPSPTPNATELAPPLSTDPLNR YLPEPECPAGCRDCERGQVEEVIANENIEWERISYGMRKPKWVFDGRGLVDVVGMEKL GFRVEGIGKAAGGSRSRLHGECFSIIVRAESLLT LY89DRAFT_687190 MFPSLSTVSAIQALFIVSSLARPWAEPANSPFKSTTGFSNPTFS TSAGGFADCISGHINLPITSPSTKLLITEPKDQYSLTATFFDFLKANSSLATTINGGP STVSGNFNIAAKLCYPKNWSCESGNATVQFLTHGIGFNQSYWDFAEGYSFIDVAAKAG YPTFSFDRLGVGASDHPDPIQVVQARIQVQIIHSLVSLLRASKLANQAFKNVIGVGHS FGSIQSVGLLSIYPKDFDAVVLTGFSTSSLAIPLTFADFNSAIANQNQPARFAGLPNG YLVVDNAIGDQTAFYAYPNFDPALFLNADTHKQTFTLGEFFTLTSIIAPSPNFTGPVD AVIGEFDFIFAQGNASYPTDQAALVQPALFPGARREGSRSLIVGGAGHAINLHFEAQE MFGHVQGFVGGNGF LY89DRAFT_708955 MAAWLSQPLQLDGSRDFECDPLTAEQCAWYMERWHFWYIADYVF AQPTVYFFLSAIGIFIIGHVLSSYVLGYRKFRGPPIWQKSIAAVRYLSYRGFHVKAIR WNSATVGILLLGFAGTVFFFCMELAPGVYYWSSGLYGNSPALATRSGWMATACMPFIF ATATKTSWITLVTGVSYERLQVFHRWISYAFFILALLHTFPFIVFHIRSHDMEYHFSS NLLFYWTGIVALIFQAWLTFMSHSTIRNLGYEFFKLTHFFAVIVFMITFFWHCDWTLT SWNYFVATAAIYVPCYLYPWLRTCFEYGTSQRAQIFVEDNGFTRIVVPAKFDWTPGQH CFLRFTSFGLAQAISAHPFTICSSPSINPNEPSELVFYIRHQRGFTAKLYQHALEQPG VSVPVLVDGPYGGINVQRYNESDHLLVIAGGSGAGWCLPFIERFIRHTSSSLSTDTGH GLTLTDDKEALPMHHTPTQAAGPLSLRVILATRDIASRVWFLRAVSDLLSKYPTAANS SSHIRVQVYLTGSAAEEADLSHSHHPVSSKGSTSSADKIDVPVKGADLSVPGKEFEGR PTLPLIIREEAARAGMGEVLNVFVCGPTTMQNDVRNAVAGENLGILRGRRNGGVYLHS EHFSWA LY89DRAFT_591007 MAMTFFTATDTPLYSMSWMPMTTGQYAGTCIFLIAFATIFRALL AVRVNFFEFLKVLKYRREGGGGVKFGYEEKVAVRPWRVNEAVMLGSMDVVLAGIGYLL MIAVMTMNVGYFMSVLAGVFLGSFIFGRFMASAGGH LY89DRAFT_148693 MAGRHGFEQTGDRHRAPTFSFPRNADPRNSPPLQIRGSTITAFA EPRNLSQSATLNHDDFEDEEDDASENYTHTSKTYSTNDGDIEQRLRVISLEDGPSRGL GIDPGKNDKEIARSYAEAVKCSPRTSHTQPTQEAPSSDHSKHPVRDSATLQRRGPAYK KSAQSLQEIVGKAVRLRSNVRQDTATNRTEVGIRNEGIEGTNRSVARGGPLEEKNKYH TIRHGYPANRNHTYPTPIAHDYQHSDKSAAHHAVRRSNSVPGEESTSSLLGNVLPNSW LLNTKGIGHWADEPSRWYDRPSLKPDIPRIVSTTSPEYRKLITLEAVAQCASGNSNSA GSKKPSAQSSKSRVGRQPGSGKGGKRPAGNGRDRKDNAGEDEGDGNDGNRKKDSQSPR GDSRQPNGPKKWYACHFHKHDPLYFSINDFTGKQYKTCAGKWTDISRLKEHLYRVHTD PPTCDRCQKKFADEGELTAHLPEQGALRCPLTDRTSKGIHRKVGEQLRKRPQRNMTSE DRWWAIWALLFPKEERPNSPYSDLDGPADPAESDTFHLFLEQRMARQLEETLDRYCC LY89DRAFT_148688 MAETRIFPDKNLVSTSSLENLPKELHSLIFQALPDCASLQALVQ ASPSYHASYISQRQHILSRVLLNELGLDGLYLAFALEQVCILPWLQRDYEEQLHLFHQ SFFGHFFKRFLDSATTDWTPDTDLDTLTWICRRHWLIRSVALEYIADKIKVNPLTGNV VRNGQPATAEECRRKYRALYHFEIYRCLFVSYTLTGDTEDAYYYQQRVQLGGWEYGTS MRYLPNIDVCDAEGVACVRKFLSSQYMKRMTTDCREELQRLEDHPPDWNPISKGIYGF LY89DRAFT_736839 MRLLSTLNLFLGLCASSVLANSLSTTSNLIKDDSLEITDQQVRS HTRDFAPLKLRDLELLSITSDALESRTPDTNTDDEALRRVRVEQDKVGTGNADGIEAL VDGCAVVFAWAAGNVYCAHISENHEATTVAALGKLIGSKKIEAITLDWPKDASGEDPD GEAMLKRIETAIEKFNTGTGKVTPKVTPYSWAPMSAGQTFIATPKDVGVSTESAA LY89DRAFT_708957 MLRPAAWQEAMPNDTGSDADRLKARGGASLRNFHRRLRALLLFL SLLIFLEILWTCSRSTPATRILKGGGGSELTNTYKQRVYIAALHYNDEELLRKHWIPS LLDLVQHWDPENVYVSITESGSWDGTKDALRDLDNELEKLGVERSIVVSNRTHEDEVT RKPAANETGWIQTPRGELEMRRIPFLAAQRNEAMKKIQQLASRTENPRVFDKILWLND VIFKTDQVTALINTRHGDYAAVCSIDFSHPPEFYDSFATRDIKGQAPVTNFWPLFNAP ESYKAVSTYSPVPVQSCWNGMVVFDAKPFYHEPTLQFRGIPDTLAAMHVEGSECCLIH VDNTLSKDKGVWLNPNVRVTYNEEANDVVNPEKGGWPTSSGKVQGIWRNRWAWWTGWP WRWYAERKVDRKLKAWELGEKAEGEALRNEPGRICLVDEMQILLANGWMHL LY89DRAFT_148809 MDLHHFRAHQGSFSPSNPSNPELTITGSQTSNSPTTAQTFIYQP NPLIPQRGILKSIPWGQEDQELQPQPQTLSQSSFSSPLASPSHLLPGGMPVPGAVGGG GFSYVKSTRRITPYRGFAYTPTRKFPLLFLSCERRCVDKIPRTIDGTAVEDYDWGSGS AVWVLVLVYVFVCLCGDFGVGEYVVVVSFPFDFLSLSWLIGGVVVRC LY89DRAFT_687196 MKFKFLLPILLLTTQASAYWRGFSVKSYLADGSTCKSPSDWASV FAQLKKLPNKINAVRLYSSYTCNTLANAIPAAIASDTFLLVGILSASSTYDNEKGALL QAIKAHGWDWLLAVSVGSEDLYRGTISAASLAHQIYDVRGMLSELPGWNGTLKIGHVD TNNAWSNTSNHDVIRACDFVGTDVYPYFQTLQNNSISNAGVLFEDGVKQVRAAVSKAG SSASVWVTETGWPVNGATKNLAVSGTDEARSYWEQVACTAFYAMNTFWFTLQDFNAVP SFAVLGADGSQLYSQSC LY89DRAFT_687197 MTTQEHDPNGIVQYMSYSLRYSSVRARVTWTCPSRPEEPQKREV LIQYHTTFHPFSKLPLELRLKVWNYASPPRVIEIRSWGDTSRNPLTPIKFSTPPQKPP VIFRVNRESREEALRLYTVVEIGSSTSALEPNQEYLDWKHHPSNPHRQFRAFMYEAIP YRQPLVKPYPAQKTYVDFSRDTIYLGPEFQSRHLEGFFTATGPRMELAGLQYLAIDRK LWMSKLDSRADAFRSALYSLKSRPIKEVYIVPDDVKGCLEDNFYYYEHDITFQEPPYK YTFRLAGSEEQSQTVTDNLRVWFERLWKDGEQKPPKVEIRSVRRNGRCLASFKDGVWE VQKVMGDMQDWKEWNPPTTL LY89DRAFT_148899 MRILRDAVEDVWRERRRSDMSAKVNGAAAPADASSLAPRKLKIL MLHGYTQNGTLFHSKTRALEKALNKAFPPTKVSPLFSSFPGGIQLLYPTAPLKLRPAD IPGFDHGSDTEPDAWGWWVRETGSGLYTGLDDGFATIRDSIKEMGGIDGVIGFSQGAG AAAFVASLLEDGREEFFAKDANAYKYPAGWKELKTELGQGPLKFCVSYSGFYAPHEAY RSFYEPEIKTPVLNVIGSLDSVVDEGRSTGLVERCAVGTRKVVYHPGGHFVPVGKDMM GVLIGFIRECCKDKKVEESVEDMDVPF LY89DRAFT_708960 MSEQQVLKPEKDFSKEVDKQLPEAEKLAQTNVQGAIEKLTALEK QTRQASDLASTSRILVAIVTISKDSGDWSLLNEQVLLLSKKHGQLKQAITKMVQVVMG FLDAAPNLETKLTAIETLRTVTEGKIFVEVERARVTKILSDIKKEQGDLKAAADILTE LQVETFGSMERREKTEFILAQVALCIENDDWTQAGILSRKISTKYLSRKPKKTEEQLE KEKKDREKRREKGEEVPEPIEDDVTDLKLRYYELQITLAKHDDKYLDVCKHYRQVLDT EAVEEDPQKLHSVLQRIIYYVILAPYDNEQSDLLHRVHKDTRNSQVPADAQLLKLFTV HELMRWPEVAKQYGPHLCGTDVFDAALGQSADKKANQRWEDLRKRVIEHNVRVVAKYY TRIRMPRLTQLLDLSEDETEKYISELVTAKTVYAKIDRPARIVSFAKPRDADDVLNEW SGNMKSLLGLLERIDHLITKEEMMARIQPAKAPKASKTGKAH LY89DRAFT_736845 MEKSNPFCPCCGREEETHRYNCPSSQKSSEDRPKKAGTDLVAEI GLQKPLFYIDKNYVLTTRLLSKLLFDGVWSESASGSITWSEYSPMMFDLFQTWMYDHQ ICGSYEG LY89DRAFT_736846 MRVFQKVYDQVPEDASLSKVICNDLIHLLGRSGYIVPELWKLAV GGTIPWKLLFNAFKGAVTLIPTDVVEAIFCPKTADFEFSNSLWEYWTQEWTLDMFIKA EEDVWDEKKAICPSETSPQGLD LY89DRAFT_672128 MTRFYRYFATAAALLTSVSASPIAATGASQAGPTVTIASGVVVG FATEVVSASTSTASAYNYLGIPFAQPPTGTLRFAPPATPTAWSTPLQATQLPPACYEQ FNAPTADFIEAIFANPGGPTLQQSEDCLYLNVYAPTDASTTNKKAVLFWIYGGDLQFG SGSLITYNGSSFAGFQDVVVVTFNYRTNIFGFSNSPQIPVGQQNSGYLDQRLALQWVQ DNIAQFGGDPDQVTIFGESAGGWSVKQLLAQPPSPLPFRAAILESEATMFTGSALASY NQVLSNFGCASSPAPLTCIQQVNASSIITYISTNALSFAPANDHVTSTTDVRPNILSK QWAPVPFFLGTNANEGRVFAAAAGLDQSNLPITSGEVIATLLPNLTDFQNASLQVYSS LVNNTYLFASQVITDLEFTCPASSLSSFAAANGFDVWRYYYNASFPNESPFTDAGAYH SSEIPQVWGTYGSFGGATATQEGLSAYMQKTWAEFAKSPAEGPAVGQGWPRLGSTGTG RELWQLGGPGAPEGGELIDLSVADYGCVVFDPIVAFEGF LY89DRAFT_148928 MTTFNCSNVPTETATDAGVAGAGVLLSFIITAGISLIFSATIIF HEMHLHLEAKVIRKLLLSLSDQQVITGIGIQCVGLAKMKSMVPYHFFIIWMLSLLSTA THISTLFALVNDFKRDWVLRWLRQFFMFVNLVLSCVSGIFVLMAVMRNLQPTLPIACV WEVAGRGTPSNAGISIAGTIAVIAGQCVFFAMAVWYLHVNGGKWIKLVQLGGLVTLLV IGTGAAVRVVLLSQAFGTPSVPLADTGERDWNFGQLLTLLLLVLPCISAVEILRGEMN VPSPVADEHSATLLGKDNELGVVRSSFQPNPFWGNQTSRFSKW LY89DRAFT_753166 MSSNVYPTHPITLDATIPLFKKDLEDVHIIYDYDAKNPETGVPE KWQYEIWFSSSDRIVYAIHGGPMAGRVNFQTAGYQCIREGELWQVNWLEETGTICSMV YDITAVPAEGKKGKVTTLIAFSKGHWDNDKGSALGDKRNDPDFYRWHELSKEGTAIDR CLLQEQADVSHAFKGPGDKALYGLDPLVPIEHEWQTIFPPKDGPKLEH LY89DRAFT_590866 MKEEETSRLARGLSKEDFKSIEPHLAYLEKYLTLRSYIDGYTLS QADSTLWVAIRGNKIAHSLVKRATLVNLSRWFTFVEQSHPELQEEFVAKDDAAKAKKA AQSRAGASYNIALQDAEMGKVVTGFPPEPSGYLHIGHAKAALLNDYFAHDLYKGTLLF RFDDTNSTKEKQEFQDSITEDLDVLGIKPDKTSYTSDHFHTLYEYCARMIKEGNAYAD DTDQKTMRDERMTGKASVRRNRTVEENMAIFEGMIAGTQLQNCIRAKISVDNPNKAMR DPVIYRCNHTPHHRTGTLWKAYPTYDFACPLVDSLEGVTHALRTTEFADRNPQYQWFL ECFNLRKVHIWDFARLNFKRTFLSKRKLTKLVDASRVWGWDDPRMPTIRGVLRRGMTI SALRDFILKQGPSRNITLMDWTIFWNINKKEIDPIAPRHTAVDQKETVRATIIKQGPE KAYTEERPRHNKNPGLGTKKVAYSSHLILDQEDAKLFEQDEEITLMAWGNAIVPKINR SSLDFRSPVVDIELELHLEGDVKKTEKKITWLSTEGQSLIPVELVDFDYLLTKDKLEE DDNWEDFLTKQTEFRSAAFCDANLAACKTGDIIQLERKGYFRVDAPYKDGKAAVLFNI PTGKTR LY89DRAFT_736851 MPRLQNEALLALNEARIQARQFGSSLYNTIYEGTTPESPLRRYL IDYCIKSTRDIQCPKHYPAELLVDIINGTRAEKRTDGEGTNRWVMSKDDLIESYFVDE EESRQHRHNLLKEVELRQAEEESALEASTSGRAGQDESDEDASADFTWDEAFFAGLV LY89DRAFT_784711 MDKKIYLVRHAQGEHNISDNFYVPDAILTPHGHTQCQALQATFP HHSTIEIILSSPLRRAIQTAIHSFAPALLRPDVNFLLVPLAQEISAKPCDVGHERDEL REEMGRLLSAEGKEIGFDGGRIDYSILDEGWSSKKGLYEDSLQAVEARAAELRMWLWR RPEKEFVLVTHGAFLHYLTEDWDGFHASHGTAYKNCEYRCFTFTEDSDEREAHLTQVG KTGVRATKPLGTHAKDITGVHPN LY89DRAFT_672134 MPSPTDLIGTWTLLSFAFYTSSSCTSLHSHPLGPHALGRLTFSS DGYMNAFLSNPDIATPLSVPWMAAPETDIGRVARVQAGYCGRYTVYEEGGESRFATEV EMAFDPGLVGRRMVRRWGVEDVEGRRCLVLRPVEGFVLSDGGQAFAELRWVKVELDSD AKS LY89DRAFT_753173 MGELASDNFLRAVNVYKGIPFATPPIGDLRWTAPTSPSNWTGVL NATSFGADCAQSYSALGLFSSGSEDISEDCLYMNIWAPANATSTSNLLVYVWIYGGRF EGGAGSVPTYDGSHLASKDIVVVNFNYRMGPFGFLAHPELDEESGHNASGNYGLLDQI QALAFVREEIAAFGGNGSHITVGGQSAGSASALDMMYSPLSSDKIVATIAESGARGPH DPETYGLATAHRSKAAVLAAGVSFLAEMNVTSIAELRNVSMADLLIYGNAADNVLTGT VFENSTEVTNPPAWRPVIDGYVLPYLYGESLSLNAHGDIPIFTGDNRGETSATTFTSL AAYQSVYEEILLGNLSASFFASYPATDAATAGNQSFAFWDDINRVSTWDWAQAWSGGG ATQDVFLYYWTHAPPNQTAGAYHGSELWYVFGNLPTYYNYTWTAQDEFLQQQMGEYWV NFINTGDPNGRNLTSFPAASEVRQVMWLGDSTGASYLTNGTGRFDVIQEFFSQQIEF LY89DRAFT_687202 MPPITRTASPILSALARRNLQRPAFRQVRFASHVGTVSASKLGG KADWGYQWKRVGGVAMMYFPVVAVVMFWPYGVEPIMAVFEDKW LY89DRAFT_672137 MHGVTNSSQIEKRLALYEDIGRNRAASIQVLSSVGYDEPVPKAV AEYLEGRPVPNNMKEIIQLEYGDDVLLRTIEVMTEFDPTWNIPDGSFPAGYNSEAYS LY89DRAFT_736858 MSNVDTQTAEPFDIVDNNEASSPRVHTSTSTQRASPRKLPRIIY YPPPARMRHTLTPTPGAPSRTSQTSPLRQTDFAEIADDDPKLLALIQAARQQLIRDRA TRASTIADSAPAMGPDLRPAQFASNAAPDDPSGDSSSDSDRRTHPHFRGPSARRHRGE IPTQLTPAPQIRSIAPALRTNYRFPDPPLFDNGEDSTFDSWIIDLDSKLEASGYMDPS VSEKQRMNYALSRTTSEARAQLLTRFSTTLQRVHHAFRTLEEMVQSLAIAYVDPFESL KKQDEYRSLAMIDTKTVRQFKIRFLTLLA LY89DRAFT_736860 MTVWGYPVLLDWALGMTLITLVTVAQLSTLPKLIAFVSGLIISV RSLGETISIAVYNAVFTTATLHMGDNVGKAAAEAGLPTASI LY89DRAFT_590884 MSAKGEVLNAWSTPGPSAFDFRSDTITTPNASMLQAIQNTTLFD DAYGEDPTTTALEANMAELLGHQAGLFLLSGTMGNQVAMRSLLTQPPHAVLCDHRAHI SYLEAGGIAMLCGAMVNGVVPSNGKYLRVQDIEENIILGNAVYSCPTKVISLENTLRG IINPLEEVKKISEFAKKNGIKMHLDGARLWEVAAASAGSLADYGKCFDSVTLCFSKGL GAPMGSILVGSKGFIERAKWIRQAIGGALRQAGVITAAAQNAVDVNFAGQGEKLKRTH VIAKRIAKHWESLGGVFENPVDTNMVCLDLVQAGVDVATLKEMAKEKGVKLTRGRIVV HYQIVDEAVERLEAVLTSLMKR LY89DRAFT_721059 MSTNRTPGSSLNFTNLTKRKHSPACYCCFEKSSKIQCTSCNSAF YCSTTCQDKDTEFHKVIYQGSSDFQKQNPKPVSHLGILLPNHELVPKFVWLRFHRHED QTGAWEQPDTPEYIPRSQLHGGKNVKSIGDMREFCHAKFSKARVDRSATLSIFKGASK TIVDQLGLELQFYAYDSGITYSSGLSEHKDEQGNPWCYSTNYEICNLLVEADPELTGM G LY89DRAFT_650891 MATTVTRFRCLNAPSLRNASRSLISSSTTSRSLATETSPPAETP RRRPTYFKDKLNSVPSFSEFVGLPEQSLTPEDALELRTAMVGPPGKKKQITRLPTWLK TPIPDNSNYKKIKKDLRGLNLHTVCEEARCPNISDCWGGSDKAAATATIMLMGDTCTR GCRFCSVKTLKTPQPLDPHEPEHTAEALSRWGLGYVVLTSVDRDDLVDGGARHFTETI MKIKQKKPDMLVEALTSDYAGNLDMVAMVANSGLDVYAHNVETVEELTPMVRDRRATF RQSLDVLAAAKQAKPGLITKTSIMLGLGETEEQLWAALKELRKVDVDVVTFGQYMRPT KRHMKVEEYVHPDTFELWRQRALDLGFLYCASGPLVRSSYKAGEAFIENVLKKRRGVT VLSDEATGMQKAVV LY89DRAFT_149027 MPPNKRCLLWDWTNTRDCPEKIFQIPIPGPITSVANWNTWQPPE LPPSIPFRPTIRTPAQLSGQDWSNILSSPSPIIHYLNEPERSGVTPSEAAELWFEKIV PLMKEKGIKVVGPSCASDAPGLAWLESFMSLLKAKGEEPDFLGLHYYGPEPEAAIRYL EEMHGRWPAMKVVVSEIACTSREYRAVVEFTGKLCNWMDEREWVVEYAFFGCVREVVD AFVSPEAQLMGKDGGLTELGVRYMGECPWVV LY89DRAFT_698966 MPPKQKPPQRVEAPSSRTSNPATFFFLHGYDDDADGCINIAQQF HAANKLPHMSWVFPNAPWNHDAMANAWYPPTSFSPIPVGRSTQASFQAEEEEEEEDEF NEEGEEEILKSVEYLCSLIDEEIKKGVKPERIVVGGFSQGCAISLVTALASRYQGRIG VVVGLSGYLPRGRKIMERRRKFVKQEDGKGMKVFLAHGTKDMLVPMRVFRDTKARLAR TVGEEALESWEYEGMGHVTSGAEFRDMCTFLERIVPE LY89DRAFT_698967 MNLGDLDLRSVHHNRPQLERVHTSDLPVTPLNVRLEADRTPSFA SSISEPATVVRWQTPPSRIHSPEQSTDTLRPATYARIGVNEAAYRSDTPLVVRFDEEQ IISNEAQASRRDRNMDNVSLDSSPPTPTDDTPYIRFAIDQLTRDEDIRAMQRPSTATS SDSYPVDRIVPDNGLGYISAAEREREALKLIRKHRSSPAEGRLFAFNATRPLSFAEPA VANTPVKQNRSSDPEIFIPVDPPLNTPRYPDLTFVPTMLRPTSTIMLSCLCLLMIAAL IFCAVYSSYNHGLVAWSGGIYRGLYFVFSFLPQILAACILIYVQGVMSTITRIMPYTL MAMDDVDSRTNALFIDLWPQSMLWPKWDGPIVINVAYFFFWLSVFTIPLQGCLFSVVQ VDGVWRWTTVQGIAWTLVGVYFLILIATLLVGLFFCRRTTGLMWDPRSLADIIALLPR SNCLRDYPGTDIMRNKYDIRHKLNLRSDRLGYWRTPNATKGIFYCMGEEGASTRQYTL ESGKIHEKRLNFDDVSDIEKAAELYNSNTRFRYIPWFLTDTFVIFWSVAAFFLLLALF IVSFLPSTAIRNGFAPLVSVLPNSAGFSAANFLYSFLPSLLGMILYLLFQPLDMAVRK LKPWSSLGQPHGALAEHSLLLDYPALLPIQCTIKAITNAHYRIAILSLLSFLFILLPI LAGGTFFQLTTPSGAVRMIPNLPSFYLILALLILYLFGLLLLIPKRHSMHLPHGVTCL AEILSFVYASGVLDDAAFRAPKSKADLVTRLMATKVRGERHRFAFGVFRGRGGVECLG VERLGRRGEGVMVISGR LY89DRAFT_784721 MATSPSSHQLPSTSNSTAMAFPTFRKACKDFHDQFYDIPEKTDF SIPTFLAFRAVFRLLSLVYLPPLLSTSLPLAWLGYRFLRSIIDSRDVFKTSFTDIVKG RHTARVPDGVVVFVLGARLNHPFGKLAPGTIDLDIVFKNMWREVEKNREKWGYLGRTA TLADTSDNEGTTTVWISYWKDVKSLHEFAAGVAHHTQDGWLAEKYPCVGIMHETYHAP KGSYETIYGNLDLGDLVSAAKIASGGEEGKQRLKVKLVPNEKDSTLYTRMGRKNEL LY89DRAFT_736867 MDPLSITTGVLTLVTRSIGAVQTCQTYATKYKLADLSIAAVRTE CASIKVALLQIQKLISAHNGKASGQQFEQFVLEEYEAVLSACSLTFALLNERLDGLGL NGQNERHGSDAISKINSVWKETTMESIRQNIRGQAIAITLLLTAFQSETTAKTYEIMK SQEVKRSLMSVSNDVKSLRDSVSMVPAKETDLETTGSVIGDEEFGFDDLVINSAAYRR VFMKQQSKLQLQSIHEGSSGPSIGPETLNTQSDSAYVHGGTITTEGESQNHAFEKQKK KKPGIQDLASRLFKRKPELWETDVRSVINAIPEVLETPGSEERIDRLRNYPGRQRERA IQKRDSEGNAGASFVNASNLLSSNPMPHVRAMAPPSSKPSPATQGAPKNSQDLLNRAL PERDWKAVFRSFSDMQRHADTLRRPYRCDTSGCQGAFQNQNDLSTHMLLVHHRKLSTT AIPAPPNSRTPHALPDDRTREKKVEVQGSSTGDAEDPLASTVARYRPQEASQRRPYAL SFPSADEVPPMRFDNLQEAEPRQEAKRRLAEERLSKKIDEEVTNRKSGDKPDTWALVD EINMIDLWDATKPQLVASGSSQPFHFIDLSRTSWQGKMIPRGLVPAANPNARLIQAFR DYYSGDRIRANPSNYMTDSSSYVAVLWPRKEDPSNYDRNTIVPGEMFRILNLFSNGWA LGRILDKQANSWDPKQEPRETPASKFFPLCCVCLVDHWSILGALTYKELKDKLLTDLK RREETFNHDVVVELGKE LY89DRAFT_672144 MPSLRSLLLGFSVAALVASDCTRDTLKTFTDSLVAAQTKGDPTV FANVPSYSENFKTLTPKTGILATPLVIAHNRSSYDTTNCSTYTELIITDPKHPYVLGT QIRYTADASNITKMELLVTEAGDWLFNATGTYYWASKESWDTISVAKRDTRAVIQAAA DAYLNIFKDKSVVVPWGTPCDRLEGGSYTGTGKATDSCDVGIPNNLDLTSRRYVIDET VGAVDVFLAFGGVSGRPDSHEFRIESGKIRYVHTLTNMRT LY89DRAFT_753194 MATANASQGSVTPKNHNKSPFPKLKRTFKKSKQPDKTKATLPID LPSRSLQDAVPQISHTPELHLTELWDAAYEELKSSEKKLIDEYEENLRGDLTTMLGAT ESFSGLKIERKDLMRQLLTQKIAETKENTWKLKFGEHKIPVKDLAEPVIGIIRWADDY ISGALSANPYASIAWAGVYDIWKDTREQEAQEKVYRQHKENSEAMGTISWNLVSLQMS IDARQRETCRSDLLDWLSSVDPSKNYNNALRTREDGTGNWLLKSRNFKDWEIGRRSLL WSNGKGITLSPAGSGKSILSASIIEHLREAYGPNPETALAYFYFSFNDGKKQNVVEAL SSIVKQLCCRRPDTPEAVEALRQYKTSGQRPDQKTLEKTLDAVLHGFTHVHIVLDALD ECPSDDQARHNLLETIGRVLASEPDNLHLLLTSRREVDIEKALIPALSNASSDCFSID INVLNEQEALETDITFHIDHEFNTEPFCSWPPDIKEEVKSALINNADGMFQYVACQFN DLRLHGSRATIRAALRNLPKGLDDTYDRMLQGVAPQYQQRVAGVLKWLAFSLRPLTLD EVAEAFILDPEKEVPFDEDERIFNVLTHLTGLVIQVPIGDDGDPQIQLAHFSIKEYLC CGRMTLKHFSAEEESSHLYLAKSCLAYHLQLSSERFATTDTMKPYALWDYAARAWTLH LEKVKPQTWTDHLIREATGALSAGSQSLFNMIRISDPDEEWEGLNEDENTGSLSLPLY CTASWGLYQLTSLLIRNGAGINDVSETSARGTALHAAAYHEHDEIAILLLANQADVNI VYEGMSALQLAAASCSQTTVQVLLDHGADIGIEGGYTYTR LY89DRAFT_698984 MMAIYIAGGISGAHCNPAVSISLSVFRGFPARKAFIYICAQLLG AICAVLLAYGIYHDAIVSIDPLKTTTGNSATGKSFFTLPATFATPTTAFFTDFVSSAI MIGTVMALGDDTNSPPGAGMHAFILGLIGFALASTLGYNTGPQTNPAKDLASRLVPWW VGYGSPMWANGWWAEAWVASITGGLMGSLIYDIAIFEGEESPVNYSMSKRKESRRGNK RKWWKTGLFGMSKKAKGKSDLEDGVGIHEKDAGRRMDGH LY89DRAFT_672147 MRSHHAHAPWRKSVLIPFWSIQLLVGLLDLALLGLAVGVIVNWD NNDGYCADGIDCNFNEVSTGAKIIVPIWMAMLLICLVLTIAEIILLARHKLKPKTFVI FNTIKSTIWTVLFVLDIVSFVDNNSRTVSFVGLIIEAVLWLCFIIPLIYGSVIYHRTR RDGYSSVIDPNANPSNVDGVPEYPAIQTPYTGYSAKAYAAPDIEAQPGSERRASYNHE RDTRFEAFRSSSYGNESYLPYAQEVHGDIGYRGTVPTGGLSSGRGSPEIPQVYVQHHD GETFEMESNSRRDLR LY89DRAFT_687215 MANTMKYTTLGNSGLKVSRICVGCMSYGDRRGRQPWVAEEAEAL PVLEACYNAGFNFYDTANGYSNGVSEEILGKAIKKYNWRRENLAIATKLWSPVGYRKE NENGRWDDALAMSVEERDRKGYLNMYGLSRKHIFDSVNASLKRLDLEYIDLLQIHRFD PNTPIKETMKALHDVVESGKVRYIGASSMWAHQLLEMQYTARLHGWTEFISMQNLHNA TYREEEKEMIPSLKKFGMGMIPWSPVAMGFLCRPHVDFTSSDRGTSMKGTFLGNEWTD ADKKINEKVEEIAKKRGTTMTVVALAWSLSKPFMTAPIIGMSKKERVDEAVQAINFEL SKEDIESIDNLYEPKKVIGFA LY89DRAFT_149136 MASPRNEAPYKISTPAPPRHYSSTLPGTPQAYREPSPASSLGDS STFSGSSRSSRSRSRSRSHSREPRHSSRRRHSYSPDRSRRSSSQKDEKPWFKKKTLWT TVASLATVASLLPTAVAANASVNASKASAKSAHHSKRSANAVERGTHASFRSAKAGER SAAVAERNARKEEGKRGRSSEYGGSLVAHRGSTVGARSGGGRRDSGGRGYGYRY LY89DRAFT_721066 MSSAPEEPQTKIPIEEARKIYDLPNPMPRPIRSRQLNMMSYTDG EGVKREIWMPRGTSTRACELLIAEDWDELAKYPVRGPDNLSYQEEDAAEAKENQRKAQ EEKDKEMKTSTA LY89DRAFT_753204 MRPRIFLLWVSSSLIFTTTFARSFSSAFLFLIELCVILATPAQQ PPDVNFEAAQNQTYDYIIVGGGTSGLVVANRLSEDPSISVLVIENGYIDNRPATSIPY LATILNTGDMYDIFSAPVPNLGNQSFRVAVGNVVGGGTIVNGMLWDRGSDADYDAWEK LGNKGWGWADLAPYFKKTNNFTAPSEQTKEYFNITYDESAYGNGPVQVTISSFQDPDL KIFFASYREDGLPMPQEGYISPLGAYWGPNDTNNVTATRCHARASYYDPIQSRPNLKL LTGTRANQILFDDGAELIANGVQMISRVDNSVGKVYATKEVILAAGGVFTSHLLMLSG IGPKDVLEAANITVKKDLPAVGSNYQDHSPMTMNFNLSNLAFPNGYSLSQNATFNASA AIQYEQTRTGPWASGRGIAVAFLPFKLFSANYQNITSKISLQNPLDFLPARYTKEKTL LAGFQKQREILTEQFLGDTSAAGEVPIQAWGVQNTVLEKPLSRGTITLNNTDPEAFPI VQYNTLMNPIDKEILCELVRWNRKHWAGAALAHYSPVETAPGPQYVTDDEIIQGALSL GILSSTFAHSSGACALMPEELGGCVDTELQVYGVKGLSVDDASIIPMIPATHLQATVY AIAERASDIIKSRWSGHDVVK LY89DRAFT_687217 MGYTLYDGTITVAQGILTTLSHILHQAEKHPQADTLLSARLHSD MYPLPDQIRLATQYCENIAARLTAREPVTFAGSPTTFSECFERIETVLKTLKEADKET VNGQGDVVAMTKMGPKYEVEMSAAKYVHLIALPNVYFHVTTAYGILRKEGVGLGKLDY YTGLLPLIAGNQ LY89DRAFT_672153 MHRGGTIPVHFFGAVGYGYKSLLINIYGTGKSGAFTQTDYLGQV LKPYIQDFLAAFAAVLGPGKTPQFMEDGNSAHGHKTTSNICATWRTSMGITLFPHPAV SPDMNPIEKCWRRIKQALHRRLRQPTTEVQMVVAVLEEWDKIPQEWINGLIEQQDFWV HDLIKRCGWSTAN LY89DRAFT_590501 MHPNKRTLDTEEGSDDESRGYDSEGAEPQKGGRRGRGRGLKVAS SEKESFNCGEEAQVLQEEHFCSELDSQKRLGSLGKPSESTRNPIKLTLGATDKAIQMS GVVYISRIPPFMKLHKLRSLLEKYGIINRIFLAPEESAARTRRVRNSRNKRKLYTEGW VEFVNKKNAKEACELLNTRVIGRKKSGYYHDDVLNLRYLKNFKWNNLTEQIAAENAER ACRMRVEISKQIKEDKDFVRNVEKGKIMKTRQAKAMRKEGASSTKAIPAQRWTFDQIP LAKTRAQDKQPEQVRRALEMIF LY89DRAFT_538419 VDSLCIIQDDEDDWRRESALMSEVYANAVVNIAAAGAKDGSVGL FFERDVVRESKYHVQISDEEIYEFREPRLYERCLQNTCLTSRGWCFQERFLARRTLHF TRHQIILECRDGVRCDSNPDGLSASTWKVYAPKRIMPTGRDHPGAWFEAVSIYSATQL TFARDRLVAISGVAR LY89DRAFT_687220 MMFFKSAFALSALLVVASAMSIQRLPVPAHEEMSILLATDAVAS PFRAASFSATASSCEKEDEDDCKEFCGLSEQTATCKANGNKITCFCKGGKSESKCEER CLLCMPDKSALEEASRLFKLGGSRVDEL LY89DRAFT_149294 MISSNSTSTLLHRYPVSHAHSSSFRFYSNVCLFSFPIFRWVVGF LLICFEEISSLVVLLLLKKKRGMGGRSQNTF LY89DRAFT_753215 MYRVHQAKHKNFSVAFLGSLDLVETYDLAFSFLRLGEACNFTAS SRSSRGNTDPPLQQSDILSLSSSTEQRSTLLSTNFHSPHHLQAPTIISLHAEIAPYIR WTTVNSKIYFTFTTQAAGGRNRDFAAAFFVGVGFAPLPPPLPPPPPPPELEPIKYPSQ PSHQPLLSHLPPLLSQEPAKKRRKIKKERKEEKKLTTQSQQLPTPHHPSTHPNAPMEK STWFTSHAAQESITVTVIVFLFSVGKKWNREAENKGREKKGRERKRGREGKEEGKEEG KEREEGEGEGRKGERGKGREGKKGRKKEEKERTLFHPRYPYSRYSLYVTGPRSIGLCR GCASMAYLGCFRQRKQSYGEVGKGKGKGEER LY89DRAFT_784731 MASRPAKTLTRANITACLRCRRKKQKCDQGLPSCGPCQKANVEC VGYDAVTQRPAPRSYIHSLEERIASLEIRLRNHGIDCDADTPRTSPNLNSSPSRQIST TSTQRSSQADVVSNQNLETILSKSAQVTVESLSDNLHVGSNNGTSFTRLFLSELQWDQ EQATTVSGTADSSAVEENMEYVTFEAPRTLDSGPIALPSKKVAEHLATVYFELANFSL PILHEPTFRTYLAAAYTSQEPQTQVNGRKSRRHELFSFLVFAVALLTLHKTDSNSVSI STCESYHRSALQCLAEVGLYVNIESVQILLLLANYSYLHPSFVSTWNLMGMAARLAIK QGLHKEPSKISSMHWSLISEEESFGFPDGAITTEFLATEKDEAITEDGINIDPSNTSK KVFALHYFRYRQLQSEIQTVLHEKVPSYITIDYSQWQTAMLKRLSDWHNSTPRNTSQS YIAPPEALEISYYTAEILLLRPSPTIPSPSDTTLCHLAQASSRTIHLYRTSVKEKKLR LFWQAAHNLFAAGTAILYCYSHSKPVRDQIPIRNLVADVNACAAVLWAVVEKFPAAKG TRDAFDAIMDRALAQLHNDTACDQQYGMSGAVELPRRGSGQQAPNVHSLFSPTENVPN NAMFGSDLSGSQISQPFQNFWRGMDADASMAGGMNMDTDTMMGSGQWLPDSQELTSFY VDLH LY89DRAFT_708971 MPHSISPEPERNIRVYIDRGGTFCDCIGVIHGREDVVIKLLSVD PANYEDAPTEGIRRILEIATGKAIPRGVKLDTSLISSIRMGTTVATNALLERKGERCA LLITKGFGQLLEIGHQTRPKLFDLNIRKPDVLYSKSIEIDERVTVDNALAEDKHIGSV VQGLSGDPIRILKPLDEEAIKRELLALYNKDGFRSIAVCLAHSYAFPQHELRIAEIAS EIGFTHISLSSQLTPMVKLVPRGMSATADAYLTPEVSRYIKSFSNGFEGTLESPKNGS IRCQFMQSDGGLVDFKKFSGLRAILSGPAGGVVGYAKTSYDDFDGKPVIGFDMGGTST DVSRFAGKYEHVFETTTAGITIQSPQLDINTVAAGGGSILFWKNSLFKVGPESAGAHP GPACYRKGGPLTITDANLLLGYLQPEHFPKIFGPSEDMPLDTDTVRHKFFELTEQVNA ETGNNFSPEEVALGFINVANEGMCRPIRSLTEGRGYDARNHNLAVFGGAGGQHACAIA RNLRINTVIIHKYSSILIFEPSSEVLTNESIPRLRQRLHLLKEKAKMELIDQGFTESN FRFELYLNLRYNGTDTSLMIMEPENENFEEAFLAQHLREFTFTVPGRQILVDDLRVRG IATDGTIAKQTNIAQEIQATRSLGMMVDLATAIGSAEVYYEELGRVSSPIFMLQDIPS GTNVLGPATILDVTQTIIVLPNATALVRLLSDHVVIDVGLGAIKKIRADLIDPVQLSV FGHRFMSIAEQMGLTLQKTAVSLNIKERLDFSCAIFGPEGGLVANAPHVPVHLGSMQN AVKYQHDVNLGKLKPGDVLVTNHPEAGGTHLPDITVVTPVFDQDGREIIFYTASRGHH RDIGGLGGISGNVNCTTLEQEGALMKSCKLASGGMFDEAGITKILCEDPAQYPGCLGS NSINDNLSDLKAQMAANQRGCILIDELFREYGTKCVQAYMAAIQKTAEIAVRDYLKKV ASIYPEPLKAVDYLDDGTEIHLEVRIDGETGSADFDFTGTGPESYGNRNAPPSLVYSA IIYVLRAMIDEDIPLNQGCLNPINVIIPENTVLSPSSGAAVYTGNSLTSQRATDVCFK AFRACAASQGCMNSVQMYGGSKAKVGEPFAGFTFMYGETLCGGSGGGPTWDGTSAVHT NMTNTRVSDLEILEKRYPVLVKQFAIRPDSGGEGLHPGGNGAIRAFQARAPMTFSLSS ERRVHRPYGMNGGGPGKSGINRAILHLPDGKQRVVNIGGKGMIELKCGEMVRVQTPGG GAWGAKEDRSAGEGGLKEERKEKVQYPRGTGSLYTFAATQNEG LY89DRAFT_708972 MDSIKGLPEDIKGTSFQSSQDKVDTENGVITSLQPEKEIDIAAA ILANAQDRSPVTEEEMTKLRRKIDWRLVPMLFVCLQLSGWDKVLVGTAAIYGMETDLG LTGSQYSWVGSIMYFAFLGAIFPTLWALQRLPTGKYLAANIFCWGVVEMCHAATKNPA GILVCRFLLGVFQCCGLPAMVILSTMWWKKSEQPLRIAIICSVTSSVGNGLISYGFSF VTHSHIAKWKLIFLAPGGFTVIWGALIFFFLPNSPADCKWLSDREKFVAVERIRDEKL GVDSLTWKWHQAREAVLEKKNWILWLFFIAVNIPNGGLISFSTIIIKSLGFTSRNSSL MTIPTGLVSTFSAWGFSWLAGRTKKYRTLVLVASLVIPLIGAVVVYATERSNTAAQLI GLYMLYVYWAPYIVGLSMFQANTAGRSKKTTVNAMDYFAYAVGNIIGPQTFKADQAPK YTGAIIAMTTCYALCMLLGLFYGYTCYLENKKRDEELRVSGNESGADHDMDFMDLTDK ENRSFRYTR LY89DRAFT_736888 MADSKFPSSIIIIGAGIFGLSTALAISRRHPSTKITIIDRLTPP IEDGSSVDTTRCIRADYADPIYAQLALEAQQKIEADPDLSRYYFKQGMTFVCDGKPSR FTDIWHAQVKLARGRHHQDQIISLSSSQEVFERIHGSGSELPTKTKLGRELRWNKAYC NLDDAFIDARECVRIYYERCLAQPSITFQCGVAVDHLNLIDGICHGVILEDGSVHKAE KLLVAAGAWSSKLVFLEGRMYSSAIEVAWFKVTPEEEEKWKHMSITTNLSTGLNVFPP YKGEIKVLRRSAGYCNTVTIENPEDRKNKIEVSLPRTSITHPGMHMPEEAEKLMRQDL QEIMPSLAQRAFDRTKLCWLAQAPTADFLIAPHPRISGLHVATAGSAHAWKFLPVIGD LVLDSMEGHLSPALTEKWAWSLEGRDGGNAPRMDGKPVELREIFGE LY89DRAFT_721075 MSGNIVQVEGPPPSPGASSAPHVALAHDDDDSEVTSALVRPDTN DDDPTRKAERDTVTNEITTAEQEQAKNDPDEISNKIEASDLTTKGHKGDGSRRSTKRG SARKNSNVAVGPPRLRSRASRFAGLLRLHDRVKELENRASKGKNRKRRGSASSSGSGS GSSSSSGSRSSSLDRGSEDGDTFDNRRPIAEVKECDWEQFKNRFGPQDVYYAVEYFIS GEKWRDEVAHEERRRDLAAQRARYKVFDEKIDSGPSRRKMRLDKDWGEMMQNNDAKKD TEKRWIQRIRVNSVPVLKRLGRVAGETWTGSPRTFFRPFRKLIHYHDKMKEELQTLES ILAAKSKPLDLLAKEQETPDRANNGAANDVSIAVENTTNSDDPTTPENTAAASPGVEK AVEPQKDDINRTNTQLSEVVDDPPHSETQRLEQEMNSKEALQDLKCFVRFVDEKIMPL YHQFDNPENVNSHKIRFDDLWYLFRVGDPIYVPNTTKHDTQANSDAKQPSVDQRIWRL FSQYPPSIRHKITGAREIRRPPPPPPGVPPGAPNPPLPPPVRNDIPRLWCYYIDYDGG RYCPVIREFSIAYFTGEKSIQELEIYPLRFAPDSEEIIQEYQTLGQNFVQYLTQRPLA YNNWTLIADPRGGPINNSNGDPIKHPEYIDSDMIVDFKEAFQTYPPWRPPIQFNTMAP RRISAVEPEQFHMIRWSDSNCMESLSKLIEFVLEDDDIDYIQRNANLEKDPYLRRGAA KIFNDEDYLLLPRRLFAYALRERRFVHVDVQFLKPIAEHLDGFQSLKVDPGHKKMIQS LVSFHFKKKDVEKKGAEIGSQDFIRGKGRGVVILLHGVPGVGKTATAEAVAQANKKPL FPITCGDLGFTPESVETSLSEIFRLAHLWDCVLLLDEADIFLSQRTKDDLQRNALVSV FLRILEYFNGILFLTTNRPGTLDEAVKSRVHMSLYYSSLGELETEEIFRLNLSRLKEI EEQRANVSGEEKLFIFDAEIIEFAKQHYRKHVSGPGRWNGRQIRNAFQIASSLAHYDG DAHPGAQKQLRAKHFEIVDEATTMYDQYRAEISGKQEGELAHEREERFDEFRNAERER GKQASFYGEEKRYQSGSYGGEHGYPQPSSQFQAPGPMTGYSQGAPAQTQGPPPQNMSG MQYSSQTPPSQRIGLPIPHSGAQQQQSSGYPIPGYGTSGGQLHPMQQQVLPTPQEHHQ QPPNAYGQAASGPPLDPRYGTPNQGYPEYGSGPSSSGTGQTQAASPFAGRGPYDPLRD VFAPGP LY89DRAFT_149357 MGEPSLLRTPTGLSDHLSDDKELKSFEQWRQGPGEQIHKALGAE SHYDKQRMAFTVKKPYHDLKDVLDACKQAGQSFEFEVLDCTWGDVIGQMQKAQDVYKA KGDENHVRALFRHGHTMSNNVIPWLDMIPDQYGLSILHAGLTMIFHAVQQREANREKI FQAFKSIPEIIEKAQTTRQYYPKEESLHENATALYKMLLEEMPQLIKILLRKHNAFFL KRWANQIPGHEQAFIERSVGKVNKGYVDLKNCLDNIQHKKIAHTDQNMTENLRETKAI RQTAVSTHVRVADVSKDLTMVGANLEAHREESKLHLDEVENKVEESGMEVKNHITRTL NEVGEELQMAIEKKIDDRMDDVKRQYQISQHMAVETVDMNFATTIQTGIWYIVMERVY YQGYSQPQINRSPSPTYQKISTFDILEILKVPYDTANTDLRFVLRQSKSFKTDALGHG RWLMTTTRFKNWLGGGRSDILLVDGHGDSAKSGKTSPMSVFCSTFIASVIKLHTTIVL HFFCGQHVTFVDPLRGAHGLLRSLICQLLLYPNTQEPNLDSLSQQKLYNDLRAHELNA LRHLFQQLVQQLPRGTLVFCIIDGISEYETKMNNSTENLQLVVDTLQSIVRDHGLGGP TFKVLMTSANRSTEIVKKIPPQDCISLRAGNVHSGLISEQAFFAEISKAKAALEAADP QFQRMPWTETHLLPE LY89DRAFT_687227 MSSNKGGVSSGVTWVTSTVGNGVSGLTNTVGGVVGAAGRGVGET VNGVTGSYGKPVGDGIKDVAEGIEGGAARVAGGVKRAGEGQHGPL LY89DRAFT_698976 MAIDPPTDIAEMEVQDVSPYTKALAKRVLRKIDFRILAIMFVTY NLNFMDKTILSSAAVFGLEEDNHLVGTQYSWVGSIFYFGYLAFEYPTTVLIQKLPVGK YLSGVTLLWGAIVASTAACHSFGGLATCRFLLGVAEATISPAFVYVTSIWYTRDEIPA RTGVWFAGNSCGGFIASLLAYGIGQIHSSLSPWRWMFIIFGLITSLWSVMVFFLLPDT ISQASFLTEEEKQYAEDRVVLGGTGRVDPINSRWKLEQVIECLIDPKTYFFAAISVLT QIPNGGTGSFGNLAIKSFGFTSLQSTLVSLPASVISMATILSTGWLASRFHNITTLLI IAVVLPPVVGSAIIFSVKTKGVRLFAYYCLQTGPSAIPLTLGLISSNYKGVTKKMTVT AVVFLTYCAGNIAGPQTFKSSEAKQGYPTAFKAILICYGLVVLISLGLRFYLMFVNNR RNKVEGDEAARAREVDRTAPEKAMAELTAEDYEDITDWKTVGFRYRL LY89DRAFT_590540 MSSGGISTYEQRQKWRAEHLQTNDPFVVDIPKIELHVHIEGTMT PELRWKLSQKNKIPLTCGADKIALTSLEETQKAYTCIRGRIGAASAEASKSFTFFQIY YEGFDLLQSEEDYYDLAMRYFERAAKLNVRYCEPFFDPQGHTRRGISMEVIMKGFQKA QIEAERELNVKSQWIMCFLRDMSPESATEQYEAALPYKDMIVGIGLDSDELDRPPKLF DEVFRRAREDGFRRTSHCDFNQKDTHEHIRQVAESLGGPGTERIDHGLNAADQDDLMN MIKEKGIGMTICPCAYIRHAPESEVFVRIRKLFDAGIKITIASDDPAYMEDNWVLHNL YMVRDKCKFTDQEMVRLQRNAIEICWASDDEKAALSQEIDFFEKAHLS LY89DRAFT_687229 MHSPPTSNRNDGQESDFLIPPISLTREIILVFVIFLTNFMVQVG NIQGILPDYAIAASFGADPTDIAWFPASYSLTSGTFMLAAGRLGDIYGHKKLYVVGWL WFALWSLLAGLSVYSRSAIFFDICRAMQGIGPAILVPASLAILGSVYRDGHRKNLAFA LYAAGAPVGFTVGGVFAALLAQLAEWSWSYYSTAIVCAGLAGLASFAIPPICDKETTI PSSEGKNQFDYWGAFTGISGLILFNFAWNRAPAVGWQKAQAIAPLILGIILIGLFFSI EKKVSQPLLPINKLSKDAIFVLLVVGVGWSSFGIFIYYSVNFITQLRQCSLLSTAAQY VPVPFSGLAASLLTSFLLGRGVPTSYLLALSMFFFCGMNVLIAIMPVEQSYWRNMFWA NVVAPFGMDISFPAATILISGMVEAGEQGIAASLVATVVYYSQSVGLGFAGTAEAYIG KYGGSTLEGYRAALYVGIGLSGVGILVGMGYVFYDSMGGKRIEEKRTSDTELAEESV LY89DRAFT_149451 MALGLRSLLGGVVIFLAALVSATVDPVVIKGSHFFYQTNGSEFF IRGITYQPPSNSTPIDPLSVSLNCMRDIQFLSDLTTNVIRVSYIDSASDHSACMTALQ TAGIYVLVDLPGTATINSTNPEWNLDIYGAWVQTIDAMAGFTNVLGFFAGDNVVTNSS NSPAAAFVKAAVRDLKSYIATKGYRPIPVGYEMSASDNYDVASLYMTCTNSSQSIDFL GISDFNWCTNNTYVNSGYENIINQYATYTVPVFFAEYGCKDVATVPREFDEVAYIYGT QMTNVISGGIVYEYFQDDENKGLVSIVSNSVVSPLPDFTSYSSQIAKITPSSTFASNY TVTNTITQSCPTTAFSASPTLPPIVNKDVCSCMVNSLQCVARSPLNSSTTTSLLEGIC GTNSINCPALHGDGSTGIYGSYSMCNVTERLSWALDMKYQDNLVGCTDDPDATTQETD ESYDCENVYEQAGAVGTGTITSFPTLTTATNIGYPSDSLTPSYYYGDDSNSLSGGAIA GIAIGSILGVAAIASGCAWFFCLRRRGFKRQNSESSNGNPRNSTPADHLFPPRNMRGG SASVISDDSRDMSELPQFDGSYIGQSSTQWSRTELHSIQSEVPAGYRSIIERDAAISE MHTPVSRGSDLEHPSPVIPPSPMGSEKELPAFRTRMEDKSLPSMKLLSPMIKVSPV LY89DRAFT_590336 MAVFSFTSVFFAFCWLISQVGGSAICNFTASSLSFDSDTTVLST TFYSNGSTIPLPGTVASCAINSTIATGDLCRITLNVQTSCMSSIFLEAWLPTNWNGRF LASGTGGIGGCVDYTVLQSGVQQGFATLGQNAGHNGSTGFDFFLNNRESLIDFGYRST HIEAVTGRQLVEQYYGKPATKNYYMGCSTGGRQAFKTAMMYPEDFDGMLAGSPGVDWL RVVSSKGILAGRIGWPDVSSPAYLSDDQWTAIVAEQIKQCDGLDGVIDGILDDPTKCG FNPETCACGTGVLNNSVCLNPYQVNSVRQAYLPIADTKGDIVYPAWDYGVATDVFSKY PTSYAILSDYFRGAIYNNSDWNSSDFTAADMDFAVKVNPGSVNTGESDLSAFFARGGK LMAYHGRNDNSVTSRNSENYFNDVQRTLNLTITQIHSFYRLFFIPGMHHCTGGPGAWD IGQNGPVPTDMLTVQDNALMSLVEWVESGVEPESLVGTKYTDDNHSMPVLAQRAHCVY PNVSVWDGIGDTKVASSWNCRLPS LY89DRAFT_784743 MFDALLLLPLLALRSFAQTTDYTQYVNVLTGTQNGGNDFPGVAR PFGMVKLGADLLVTGTDSYSGYLPNGNFSGFSMMHEQGTGGAPKYGTVAQLPLVGKIS NPLSNITVGRATADQGSVGYYRAQTAQNVVVELAAATRAGMYQYTFPAGSTGNNILID MSHVLPSFRGQGLGQGYAGGHFSIMDDGHYEASGIYNNGWNRSPDWTIYSCGYFNVTP SAANAYTNTVDGTVPGGSVLQPSNSANSSSWATAVGGLYSFNTSAVSSRVGISWISNV QACQNLEKEIPAGTPFQSVIEGTKTAWNNQILSKITTTTTNTSNLELLYTSLYFMNLL PTNQTGENPGWTSTEPYYSDIFTFWDLFRCSTALLQVLDPVVYEEHIRSLIDIWRHDG YMPDARSSNYNGRTQGGSNADNVLADAYVKGVRGLVNWEDGYAAMVKDAEVQPPNTVP PDPMAPEASTAEGRGALPDWLAYRFITPAFTRAASRAVEYAFNDFGLYQVARGLGKTD DEAKYLNRSRNWRNHWNPDLESIGYSGFIVPRNLTSFIATDPLTDSGYWGDPYYEASS WEYSWGDIHDIAKIIEWMGGADTFYSRLETMFTVGADPNNPTGIIFDSTNEPTFNVPY LYNFINRPDRSVYQSRKVAKGDYNTGVSGLPGNSDAGAMQTWLLWNMIGLYPVTGQTT FLIHSPWFESMTINLGNGKTLQITSTGGDGNGDTDFYVQSLKVNGQQWTQNWLTWNDV FANGGTMEFVLGPNPVQWATGPVPPSPATEPITCTSDNCLRNLRDARYSASASAFCTT YLEKTVTASTAIPTYVANCGSSPSRVSSACTCFLTGYPAITSGVLSSSPTATTASS LY89DRAFT_753245 MHVEQRQNFAVLQATFTSSMQPLHTQMERMSLSLYQQTRMRQHL VGNAQILFYNPETSRNNSTVRVSATIASQQCSRGCSYYSSSISMRSCNYPPCRKSLGK HHFTYYFPPWLVLRAIVASANLDDLFGAGAKVLINIPLIVPEERHLVWSLVVAGNLEQ LRNLLAGDKNLVHVKNQWGQSIMHVAAKIHQPAVFNFLISIGMDEHSPDENQKTAATT VLTRRGNQEYTLRIDADDLANRLGWTPLHEAAALTREGRKLAEALLLESEYVDINSRD ALGRTPLHWLAENGEADAIRLLTQDPWRADVHMRDNCGFTALHCACWADDLESAAVRL EAGSNANAQDKHERTPLLHFDNYKLLDLLLEKGADVYIADDEGANIMHHVAVSNQAVL AKTLLERYGHTLCITNYNGDTPLGLAIQNNSLEVLEVLLPFLEDFPIEKVGMSNIHKR NPLHLAALHASTEVMEMLTSANLSVLKGISDAPDKDGHSPNVCFVRCRGAHCAVTRKE WDVEKRVWVRLMMFARGESVAVDDEHDETEVRFEEDACKKRKDSFWDVTDEHEENGVM SKEICDKSKESSFLVSETGCETDSMSEEEEEFADAEDWKDTEGWPQDTIYETSS LY89DRAFT_687235 MPTRTPVVTDLTVSFCSPTDPLSTCALDSPRWLRIEKDLYLHTA QQTAWLLIAQAREEDLNGDDLVVTGIRVGELHPSAGAGSDKEKSWERRPGGIWVLRSP YTGDRHQAVAAVDVLFGVDAVDPRPQWALMRTPLQLGAHPEVPVARLTVRQGRAKPPL DVPRIPLRVKEDGKFKIVQISDTHMVTGVGVCKDAIDAHGNPLPERGADPLTVNFLRG ILDIEKPNLVILTGDQLHHDILDSQSALFKVVAPLIERSIPYAAVFGNHDDEGTYTLS RTAQMSLYQDLPFSLCQSGPERVDGVGNYYLQIFAPEPSQLPLSTLYFLDSHGQIPST VRDPDYDPIKQSQIDWFTRTSQALRQDRHEKDNNHLSLAFIHIPIPEYADSDLIVRGG KRREPTEGPSFNSHFYDALAQEGIAVLSCGHDHVNDFCALRPPKESQQDDDKPHGPWL CYGGGSGFGGYCSYGDKRYHRRTRVFELDTKTGGIKTWKRVEYAMERIDELDLIDSAS ICPATSQPELRLS LY89DRAFT_698983 MRSIHIVIQLEAPLFGRSCLLATFSHSNRPSVRAGYPASRGRHW SSGPSKRAQSGTFRDSSHEPNALDQFTSGRWLWGERAQLADRYVKFDMPKLYRLAASA IGSGSCVKVVKISEGQFNKVFLLTMDDRREAIAKLPNPNAGWPHFTTASEVATMNFLR NVLHLPIPRIYAWNSRLSNNPVGSEYIIIEKQSGVMLYDVWDNMKGSQKAEILKEVVG IEKALASTRFTKFGSLYYKHDLPQSDSTTPLYIDGNGALDIDRGPWSTIEEYVAAIAY REITCVEKGLRYPRMPEGLFYGPKQYQPSASTKLSALTNYLKVAPYVLPEKEVTHVSV LWHGDLHTQNIFVDLENPTRILGIIDWQSVSASPLCMQVTRPAFLDFNGPIPEDLGKV SLPQNFDTLSPDDQREAKALHQAQAQTLHDLYMARCYQQNPNAFLAKQQKDSLRHQVT VVPSTIIMDYEPYLNHLLRDFEKEWPNIVGLGSDGHPLIRCPLHFSTAVIEQQEKDEE LWAQGVELMNTFISDVGGFKHWDGKVSNEDYETSKAQLASGIEQFLDREARNAEELAT WLKALPFVDHE LY89DRAFT_687238 MAASHYDFIIVGAGIAGCVLGSRLHAKYPDRMILLIEAGQDVSK HPLMVNSAPVPHLQGSDLDWAFKTTPQVGLGGKVCAAAAGKAVGGGSAINACGWLRGD RNDYDEWGSLVKDPHWSYAGFLPYFIKTETHHTTSASSSEHGFSGPMYTSTWNPSSSP PFPLRELVKKAWEGEGVKEVLDGNAGSPLGISGLTENRRNGRRQLASEVYPLDGVELL TGILAKRVILSKSPDEKVTATGIETVDGKICQGKEIIISCGAYRTPQLLLLSGIGPKS ELEKLGIGCLVDNPGVGKNFHDHLGVSQWWKLRYPERGLSIGHPNFNDPSWKTRIPLD YIGTTTVPMPGLKLALEKDLNKRVGDDHPFLKTERAHLETYIIYVGAHAADPAVPMDG SHIATRVVGFLPTSRGSVTLKSDRAEDDPVVDPGYYTTEVDRFVMRTGMRALAKMFGG EVGREIVEVETTTEGFGAVGEEMSDEEVDRRIAKDGDTLYHPGGTAAMGTVVDTDLRV YGVEGLRVVDASVIPVPLAAHYQACVYAIGQMAADII LY89DRAFT_721085 MCDYERGAKMTGKASPMKGIKPLPMVDSGGEECDDDGDSCSGSG GGCIFSFKKDDNDLKTKEIAANCPNKLIFNSTNVATLALCTTLNYLCPSPNPNRTQFE TYNNTTGPAPSTCRPYAWDLALDANATGTLTIAGVATASNVWFAGLGPGLSPYNASVV IPAVPLKVTALELPDLVNVKGSLSLSEADRMEKFSVPLLEEIGIEGVDIDLSGTDPPA IDLSFPSFRGSKGFISLVGNIDR LY89DRAFT_753260 MNPLSFTASLIAAIELGVGTFKVVRRFVDSYSEVPAELLALKLR LDGINIQLQLLRRIKATLGDIDEPLLDDCETANFEKFMQDVILTFSSIRDGFASHVVG VGKRDRIKWVFHDANKVKKWDQCLGSHSVVLKNILQLLELRYSGLLKIEFMELKKEVS RARFFMATMPQPLQTRFLWARKISKALRVVSPIMKLDGSIVLFERGRESIYNVSFTFQ MLFCLKAICVDFKLCRSSLLSGYLSPHCHGLTVKNIIPEDSEIVMACKRGDQSTVWDL LHRGKASVHDITYGNDTPMRWAIESGSLELVSLLITLGADVRGTFGQFNTNYIQAALY YRRMDIARLLLSRGADIHHICARGWTPIFHVWQPRSVPCQAHESIEFLSGASFGGYNA QDSVGWTAMHRAAAFGSAEDVKCLLESGASLAFRTTVFAWSPIFCAVQYGNLSIFNQL IQYHPDYSTLVDGRNWTLLHTAVNAKQLEMIRLLLSFGADPHARSMATDLWVPKDLVG VSVTPGDIAQLRGSDVLTTYLDSLNDMGQEVQIISDEHGGLSDIFWLASEKDHGQVTS A LY89DRAFT_590938 MPHLLPRQSDISWKPHEVEYLRHQGVFVTPPDDICDDLIRCYFH HVHFFLPVIDAASFLTEYDNNNRQNISLLLFWSMLLAAANFVEADVLQKAGFASRKAM KTTFYERAKALYDLDHGTDKLVLIQSCILMSLWYTDPQDHTGAWYWIGIAISLSQTLG LHRCPQFNNRSQRLPETQQPMIRRIWWSCIVRDRWISLAKGRPMRIHHEDCDIPEPEA EDIMKNLASITAITRRKFVPSESDILAQMWINLVKISDSLGRILRIHYRATGPKALAD DVDRSMGDLQSCRPRLILTDDASDLLLLHAYHLELFYEATVAVLYRPYVLSTPSTPAS EKPTSWQRAALEQARTAASNTNTVLEKIIELNTVHLLKPMIITTIIPAMQIHLFDCKS SVPLRRGLGKNKLSLCMLVLSQLRDTYWSASVIFRLFERAQTMLDKSKSNNIPLVENP ATNHHRSESHSTSSPHVDTEYQQHQQFQQASAQASMGHIPDSNLLTAEQTIPDTYWFN DSGSPCFSNVDQLLSPGFSVPENVYQPFFTGYDNGIGGPYNHLHPPNGNPVNLMYNV LY89DRAFT_590649 MSKGEEEVIDYATEKKLVRKLDFWIVPLMMVTYTLQSYDKGIMS AATQFGFNTDLGLTRVIGHEADGTAITNNQKYSNASMIFYIGYLCGTYPMMYLTQRFS TSRVLSIATFFWGAVVLSTAGCYNYAGIMVNRFFLGFLESAVAPAFTVLVTFWWTREE QALRTGLWYSCVGVATTISPLINYGLGQIHSSLASWKPIFLILGAVTVLWSSVLFFCL PDSPLTTKGLTEPERAIAIRRLERNNAGTISHTFNKAQFLEAFRDYKLYSCTLIILLT GVPSGAIGTFGTVVINGFGFSHFDSLALTCPIGAITALSILLGGYVTRKVNNVRYFVI ITFALISIAGAAICWGGPRSDRGLLFAGIFLMAVQVAAGGLAVSLAASNVAGHTKKAT ISATTFIGYCVGNVIGPEIFGASPGPVYHAGFVGSCICLCLVVVIAAITYFLLRRDNA KRDRMTGGARLGIHSIDEDLSDMQNEDFRYVL LY89DRAFT_698990 MTATLVAASYFSVAECLATPRSNPLSAYAGCGKALPKGQSNGGV SNVTITSDGVERYYLVFVPPTYNPFIPTPLILSYHGGVRTALDQLQLDELTNPEFNTV SMVVYPQGIANSWQGVPGDTVNDVLFTTNILNELENLYCINPSRIAATGKSDGAGFCN VLACDPVLSKRMAAFAPVSGAYYIDTLPCYPSTVAIPCSAGRTDVPMLAFHGGNDTTI PYLGEERKNECLPTIPHFIQEWALRDGLGSSNVTTPLAVDTVTYTFGHGLETGLVGLV YESNIGHDWPSTVPNADNMVAGHHVANYNATPIILKFFESHPLSIFETLEELV LY89DRAFT_708985 MEPRFSLPDSTPRSSKTELVIGGVKIYIYGLEELKRGSGVEIGV LHLAHMRTRTYLVTEAIAHEVLHRYRSDGRKKRFELIAVTMDMRNHGEPNNNSSMDLL SVISASTQDFKLILDYLPTYFPQFTKLHNIMLGISLGAHTSYRLASLAPSQIEGYAIV VGCPNLSSLLLTRLGIDPSLLNTTTAELGSIPYPHLEKAMNKEQKRRWPRALAELIRE GDRKVYEEFPTDVPMLICGGKQDPLVPTLHTAAWLEKRREHVLVPGEERNVEVFVQEN TGHSCTKEMVVGIAVWIGTLFEVKVVESTPVLAEARL LY89DRAFT_149822 MRRSSTGDHPSTSMTTTTKDSSKPPSKGKSKEDANDSIPVPSCF IDTHGVITSTMNDLPGYRVVKILGTVYGITVRSRNWGADIGAFLRSSVGGEIRYFTNL MYASRDKALERMVGECMARGGNAVVALRFDQAEVNTFSQVCAYGTACLVERIEDGKKE EGGGEQTINSMQRL LY89DRAFT_736910 MRQFPVKGLGKEEKSQSLHDDISSSSPATDGYVDGHFDTVDVCT TQLWFPAQLNSWNGFLDWSHDGSMLVNETWPYQDASDTGTADVWTPGFTDCRTDSSVS LDSQSFDHISSHGLSNHGRTEYPGPPQIQSPSMGNQHMYLPPSNLPILEKSRYPSNSP YNADNLHRPQDSDWMGFSPRTAASVTSHPTSDVKPRLTLSTTLPANVPLQWSMSNQNT PVTPGLNKESAAPIPKRKHVSPGPPPAKKSRQVTSQTELAEYVGVFENAPGALTTVKK RKKLDGAVRKAAQDVRKAGACHQCRFRKRTCSIGTPCTSCLKNGRGLHDLKCQRESPF IGKSIHPYFEHSSTKRVLSFDILVPLLPIQSSGACYVTIDGIEKISHTIKLRAYAKSL DSFGAADRAAIRKTEIPNNQITDTDGESPEILILEDHEALGTQVEQWAVEYASKFVHA AGPKFYPTTAAVILGTAYLKKGLPESELVAAMLRAASIAFVLRAGVKYKASGNQSTSQ YRTIEASIDTILYQRLKLAEKDLYKMLQRIVFRSLGYIAREHIYPVALVFWQLLRMLC LSSSHLSNIYSRFKTIPSEHASFQFNALKLVLSTHFALFRSSNPLLLDFNDKPNQDLL AGDEELIDLAMKMRKVVLDFREKGVRDMKGSIAYRKEHFDLFRKVYDGL LY89DRAFT_687242 MTAVANPPSFQQTSRSAWSANVSQGGLNSMNADEVARMFMPRKS AQRANSSSSIASTSSTSSTATISPQVNGVPMAASGDLSQAWGTAAARKKTQRIGPWPA SKAEAVSGITTTRPQSMLTTNGGSTPSSLASAQPAPVVPSQHLLQSPSQQMNGSRPAA QPVGEGNPVLYLLSMNGTFERKTISVPFYPDSLRIGRQTNAKTVPTPANGFFDSKVLS RQHAEIWADRQGKVWIRDVKSSNGTFVNGSRLSAENRDSEPHELQTQDHLELGIDIVS EDQKTVVHHKVAAKVEHAGFLGSSNNVLEMNFGDLDPANGAMMLPSQGPMQMRGRSSS QGSVGSNGRLGPPASVAGSQMSGISQQRPMNFWLTPVTTEQIVKRLTNEMRAARLQTN DLGRADVFFGSLLSKDDIRENEKSTGEQGKGAMVNGGSLPFRTDSKPRFSDPPAPPPQ QPLPEKPDVARSHAFEPSSPSLKRSNTERPKSVPAVSPVRQEPTSQIITLVEALASAK KEIDVQGARLRDLEEMLQKERHAREMAEELAKRLELQTEAKTNGEAKGDTEGSVIEEA FEPPTESAELPEQPASGTSSKDVVDPTVISESTTLLEKKLESMLVEMQGLRDDMESFK RRAETAETERDADRKSLAEMVEKIRSEESARRSSSTERARLPSATELKALSVNGVTDA LKVVVNSAKEGLSNGSATISADGNQEPGQSAVGTLARPPGSRDLVLYNTSPYASMLGV VLIGMGLMAYLNGWQPPKVDR LY89DRAFT_687244 MPVPVGGGLAGPSAFDKFKMGAMMGGTVGVIIGFIFGSVNIMRY GAGPNGVMRTLGQYMMGSGATFGFFMSIGSMIRSDTISQQAAEAFARSRRRPIIMPRQ YQPSSKE LY89DRAFT_150148 MIHLAHKHDSQLLLCSMGCRCVELLSFVPKYHPIIPNILLLHFQ PPRQPYIYFFVCSHTDSVLLILFSGFGTSLWAAFVVAVPSVWGSCHLVLFCKLSPQLA SQHRISKVLDGLSSSWPVDISDRSYSPHSIPSATRKSCKISIISCMHG LY89DRAFT_149627 MTASPIQKRTSHPLLPSWETFNQSLLVPSEHWSLSLIIDVQIWI TIQSLLSLSDVRTLWLGAVFVVVSTFAQALPRSLPIFNVGLSIR LY89DRAFT_590475 MSLLGSIVSGLLGIIGSLTQQQTNGASVWGTLQAPVFPQFLTNN PLPAGFPWGTLTAAGSNPYTSAPNTGVTRNYDFTVTRGTIAPDGYQVSVLLVNGAFPG PQIEANWGDTIQVTVHNQITGPTEGTTFHWHGMLQKGTEYADGVPAVSQCPIAPGSSF TYTFKASLYGTSWYHSHYSAQYSGGLLGPMIIHGPHENFNYDIDLGPVFLQDWYHTPY LQIVEEVMKSNGNPRPNSDNNLINGKMDFNCSTKAAGDNTPCNSNAGLSRFKFTTGKT HRLRLINAGSAGLQRFSIDGHNMTVIANDYVPVQPYTTQVVTLGIGQRTDVLVTANAG TSTSAFWMRSNISTLCSEANQPNALAAIYYTNADTSKAPTSQAWNIPDPGTCANDDLS LTVPMFPLNAATPSSTQNLDINYYVNSTGSFLWTLGGVSFRADYNAPVLLQAESGNLT FDPEANVQNFGTNSTVRIVVNNPTPASHPMHIHGHNMQILHEGSGNWDGTSIVNPSNP QRRDTQLVRANGHFVMQYSLDNPGIWPFHCHIAWHVSGGLYANMMELPGQIKTETNLP SIMSQTCTNWMKYTNSNEPDQYDSGV LY89DRAFT_150203 MTTSNASGSPPPDAPKPEETPTTPKQVMSIKIKDQSENETFFRI KPSTNFNKVFKAYAEKRSIELRSIRFLFHGVRVQDFETPEKLEMGEGDEIQAMVEQQG GDGTPAAGAGAGAADTPADQDAPKYLNVKVVDQSRNEVFFKIKMHTPLKKVMDAYCER QSIRREDIRFVLEGERITDNDTPLSKEMEDGDSIEVFREQTGGNGGEEGAQEKPKDEG AKATINIKLMDQNRNDTTYRVKLTTKMEKVMNTFANQQGQLVDSLRFFTPDGKRIIPT DTPESLALEDEDVVDVHLHQEGGAADQEESTKKNMQISVSVKGSISGETFFKANSNTV MKKLMTHYAIQAGKNLDSLSFFNSERYRIREEDTLASLGLENGDFIFVEEAKVNLSVM DRYGAQVGFVMKSKGKMSELMDCYCRHQGQFGLQFWTTGGRQVNPSDTPYSLGLIEGD VLSSSYDLQQVEKHLAETLVIHGTT LY89DRAFT_590319 MSDSPVAEEVKVDATAVVGDLESGDKGIVNHAAPLARELKGRHL QMIAIGGAIGAGLFVGSGSALQTGGPGSLVLGYMIIGSMLLVTVQALGEMAVLFPVNG AFFTYIVRFVDPSVGFAVGWDYAIGWLTVLPFELTAAGITIQFWRDDINIGVWITVFL AFLTIIQIFGVRGYGEVEFVLSMIKIMGCIGFIILGVIIDCGGTGPQGYIGAKYWHNP GAFSNGFKGFCSVFVVAAFAFGGTELVGLAAAETANPRRSIPTATKQVFWRISFFYII GLFILGLIVPANDPDLLNSSGANTKFSPFVIAIRLANIKVLPSIFNAIITISVISVAN SCTFGSTRTMQALAERGMGPAFLAYVDKQGRPIWGVLIQLLFGLLAFIGESSKQNVVF NWLLALSGLSFFFTWGAICVAHIRFRSAWKLQGHIKDELPYQAMFGVLGSWYGFILNV LCMIATFYVALFPIGGSPNAQDFFSYYLAAPLILALYLFWKLWSRHWAMYVPAADMDI TSGRRAIDLADDYVPTPKTWANMPMRVFRALF LY89DRAFT_150577 MATRLSPRISRRYGSLAAFLLLLFSVWLWVAVDRPYNFPSHIGW NIYSNSPQSDVVSEDIFDYPPVHSSAIKAVCAATQWNESLVFTCDDNVGGIGHVRNSI LNCVRFSIAAGAGLVLPRISVRNDSDISIIHTGSLTNMAYLFDTRHFIDSISLSCPGL TLYPELDDFKKINNANNPIRLSPQALGVKFPKTGMEDPKKFATAMPKAGMDKPEKWGE LFHEWYADIGEQYQFIGTTKGPIFIALLRSYLTWPILSDGQAFSTQFGKILKLRADAR SLATKVVKNMAEKHKFAANVHAPILENSFWGVHLRTESDALESLPEVSKDRLYPTYAM QTKLYLDFMTQERNSVNSSLVYVASGDPAQVANFWKDAKVMNWTVTTKVGLLRGKDLA ELNDLTWDQQALVDFMVLAKASRFLGVGHSGFDWALTGRRTVSLSNKNGTKIDSARKD DNKEIKKVAVAEVGESAFLNQLFGAKGEVKAYKTCLWP LY89DRAFT_736918 MDPSSITTGAFTLLKTCFSTGLAIKDFCNGAAIADTKIKALLSE VEGLTHVLTLMDDTLGREEIKSSLQSTGHIGSHWSTLSTCIQDGQKTLLQLQEVVKKV SKSGNLFDRTRKHMRLQSASGEISVFRKQIRAYKDTLQLSLQTVILWNQVASEEFSQT VLPTLNDIQKVIRRLASDFNRRISGLEVNMSSASADDEVLQHFRNLRNCVRSAATVVS SASTIIAVDNSDLQSDFGDCFPTQPGEPMLRWMASNTTYEFTEPDQPERILSPFLDPR NNRLIEREEADSDDDLELELIQSLLEQGEKQLSSKQYLEAEKHLKNCLSRIPSGLDVL PENLLELRLRALDWLAVLFMETKRYDDGIATLAELIALQSQLPSKYSPNILLDHLHIS KGFYYKKDYAGAFSSAKRALKGYKKVESSWGKAGIGY LY89DRAFT_562791 CLESHTRCSSQPANKLPVRVIDVGPSDGSKDPYLLEEDLKYTTL SYCWGQCQTFVTTLENIEAMKKMIPWDKLPRTIQDAILITRGLGIQYIWIDALCIIQD SPSDWAAESVKMAEIYGGAFVTISAALGPDVHYGLTKCQVSQGLQVPRFELQKNPLYS RAWSLQERMLSPRVLIFGIEGLYWECYQHGNSSRIMYRLPENPSPQDWHIIVEDYTCR NLTNERDKLPALAGLAKLYGQMNLQDYICGLWKQTLILDLMWAQHWVLLGNPAIINPP TIYRAPSWSWASVNG LY89DRAFT_621642 MKINILLSVLLGVVSHVMAVSLTSSSVHVSTSTRISTVSTSSKV SSTSLKASSTSVISATSTASTTPYWLETIKHQGISAFNQNSSYQVFRNVKDFGAKGDG VTDDTAAIQNAMSAGGRCAPGTCAGSTTTPAIVYFPAGTYLISTAIINYYYTQIIGDP NNLPVLKPTQNFAGFGLIDGDQYGGNGLKFAATNVFYRQIRNLIFDLTGIPPSNGLTA LHWPTAQATSLQNCVFKMNDSPGTQQQGIFIEDGSGGFMSDLVFYGGKNGVVFGNQQF TVRNLTFYNAVTAIDHIWDWSWTYQGLSVNNCSVGIDMSAGGTTGQSTGSVTVIDSTF TNTGIAILTAHNSTSQPPTGGSLILEKVSLVNTPIAVQGPTGKVLGGGTTTIGGWGQG HEYTPSGPVNFEKAFTPFSRPSSLTVSSKYYTRSKPQYQSLPLSSFISVRSAGAKGDG VTDDTAALNAVLNSAAGKSVVFFDAGTYKVTSTLLIPVGSKIVGESYSVIMGSGTFFS NINSPQPVVSVGTTGQSGIVEWSDMIVSTQGPTAGAILIQWNLVSPASTPSGMWDVHT RIGGFAGSNLQLAQCPTTPSSSTVNTNCIAAFMSMYIVPSASGLYLENVWLWTADHDI DDPNNTQVTIYTGRGLYCASTKGTIWMVGTAVEHHDLYQYQFANTKEVFAGLIQTETA YWQPNPKAGVVTPVVAGWNDPDFSTSCHGVNGTFAACAMGWGMRVVGSEDILIYGAGL YSFFNNYNVSCSNPVTPPGGNGAACQTRIFSIEGTTSKNINMYDLNTIGSISMITRDG NSLALYLDNVNAYQDTIALFKSG LY89DRAFT_687257 MDSPVQALPQRLAIGMVPPTLQLQPEPHQQTTTPKVHGIQASLL IPGRGSPIKNAILIIHEKTITWVGPTASLPPKYVSIPTIHVPILLPGLWDVHTHYFGV VSSTAGYDSLLGPAALAGARTVRDLKNTLFAGFTSVRELGGYAGEISPAVEDGSIVGP HIYSAIAPISMTAGHGDIHNLPIGTVLDACAHGLPFAVCDGVPDCIRTVRLMIRRGAK CIKVCATGGVFSLLDSPQDTQFSPEELKAMVDEAARAKRVVAAHCHGKEGILAAINAG VKTFEHGSYMDEECIKLMLEKDIIYCPTASVVEGGARNADDMPPINRKKILETAVHAR HAYRLAIKNGVKIALGTDQASSTPGNYNSHGANGKEIFYAVKAGMTPLQAIEACTAIS PETLGPHMAPKSGQLKEGYDADMIALSENPLENIDLLSEPDNITHVWKDGMLSKSP LY89DRAFT_736922 MPTRSIRSFISDLSAIGDDVRSTEPFPEYNDPFLHDPQYFQAPV STPPSYRTMESTQKVLWDPDDDDSVISQELQIILDWYSFMNINGISRQLDKHLLNVVF ATPLGKVNLTQKLFAKHQHLILVPLQDKYFESKVEEYEEDADRISGDMDDPH LY89DRAFT_753293 MFGEFINEALVKEPMDTMERYGLRDAGFVYINLDDADPVKFPSG INALADYAHRRGFKLGVYGSPGQTSCSGFIGSEGKENEDAALYASWGVDHLKYNSCCS HMYATKDEVKKVILDMSTALMNQIHPIEWAAAERANHWRIGRDISDNFVYPGLRDGYY YDVLEMIDRENNITKYSRPGHWNDYDMLIMNLTGKCTQLDHFSLWSVVASPLLIGSDV RYMDNYTIEILTNKEVIAINRDSLGNAAEKVADAGNGTLQSYAKNMSDGSIAVALINR WTETAVMPLIKELELSWPNYRLRNLWRHKELGPLNILGAVDEP LY89DRAFT_736925 MPSARIQKRAASQRKLNGVGQADPAPQRRSPRLRSKVNAPSVAR GLDRLQYPQYPLSAEGRPARLEAVGAVDARSRPRIPVPNTSAATPVSSPEALQGSNSR RIDSRQTRKRKLKAHQENSPEKPIKKARLTERNLRALEKMARTGKSGKSSRQSTSTTT TDKDFGPRLMKNGVVFDDLDVQPPDDVETLKDLLD LY89DRAFT_736926 MTLQSSAFPLISKQHSTEVELSGYGMNFNYAWSEVDNDISTGLS DAKPDIIESYRKTDYPWAAIDALSEALAPTSYNIGMPAFAVEAKGSDGSIEVAQVQCA YDGALMANAAMASHLYMGKYDKEFYGQTKAVTVAFSAQNLKIYGHHVLHNESEDRDAE VEFINSNSAAILLASLSKTFSAHTSVQGMHRTSAIGLQLKQKMHCGPL LY89DRAFT_687259 MFAKIFLVALAASPLVAAHGKVSVVTGDAGGNTTALGIQGGVVP GAGQNDKTEVDTTIFNQLDAASDGLGRTEGQGKNTLDGMTDVVAQSGSTLPQVSSSGG SLSGTLHIVTTDGAGPYTAIVDPTGTGSFASGTEAEVTQQVPGTFGEILPNGNTLRLR MLAKMGLAKRAQNVNKDYPFSVAIPAGTTCTGTVGGQSGVCLVKMANPNPAGPFGGVF AMQVASGAGNSTSTTSAKTAKMLKA LY89DRAFT_736928 MDRVRRRVVSFPATVKGVPAAIVEAVRMKETDGDLVNRFGTHRW SNEDLDPVRPERRNWTAWNFFSYWIGESWGASTWSVGSSMVAGGLVWYDAMIAIIVGL LIGSFFLVWIRATFGPWGGLIPVGIRCVLDMVWFGIQTYFGALFLDIVFQCVFGHSWT NLHNSLPESSGTTTRFMVAYFLYWCFQFGSCFFRPDQLRWLITFKACTIPFACLGLFI WSLVRSGGPGSFKALAVTTAEGSNKQALLAWGIVGAINNAINGEFGPLIASEPDITRY SRRPRDQILGQLLAAPWSASFTAIMGIIAASCTGKIWGTAIWNPAGILDAIFAENNDP KTKFAVFLVAVTFMVGQVGTNFIANLIPFGVDLSALAPRYINIVRGQIICCIIGGWCM VPWKVLVSGAVFLSCITGMGIFMGCLVGIMLADYFFIRRGNYFIEDLYTSDPQGRYWY FHGWHWRAYVAYVCGIFMPFPGFLGTLGVKSMAAKLGPAMHLYDIGYLVSTFTAMAVY TLLCKISPPDNVAEARAMPFEAMGKKEVLHGLNGRNSEDVENVVVSEDKKM LY89DRAFT_672196 MDSQSSLLRVLIVGTGSAGLLFAHALKKVGIAYTVFERDTSLYQ RPRDWNFSIHWGQNGLKSCLPEHLHPLIKAVQTDPSYDNNENNSMPIYNGQTGELLNP NPVPFAWRVQRRKWLAMLQDGLDIKWGKRLQHIETSNNIVTITFEDGTKESGNLLVGA EGAHSLTREYLLGPKEAALLPAPIVSSITISRVSREAALALRALNRNHVITLHPESLF TWTGVHDASSEDPAEWTFMLIQSWRSDEPTGLEGDDAILQDMYMRGKKYAYPWNEVFT SIPLGTKVWHNRLSTWSPKAWDGAGLVTIAGDAAHPITFHRGQGVNNAIADAADFLIH LQTMKEHTPEELIAAVKKYDEALVPRGREAVLAAYNNSMLVHDWETAMQSPLFTKGLS KYQLEEEDIAAIKIVDVAGPAAENVFAEADETRSVPEIEIQG LY89DRAFT_150840 MPCGLGGSKTTQRKLVLLGDGACGKTSLLNVFTRGYFPTVYEPT VFENYVHDIFVDNVHIELSLWDTAGQEEFDRLRSLSYDDTHAIMLCFSVDSKDSLENV ESKWVGEIAENCQGVKLVLVALKCDLREQSADDEAAAEEGGQQREKKEMINYNQGLEV ARRIGALRYLECSAMRNRGVNEAFTEAARVALSVKPNGGKDDSKCNIM LY89DRAFT_708994 MSTPTLLRHIHLPNITPFPQAQHLQSALVSQFLAHKAAPSSSPP PQPTILTFVPTPVYTTGRREHASLTPSQLSILKQPLVPLRPSFQNPNLDPEWATIEQT LRGGQTTFHGPGQLVIYPILDLRARFPPRWEKGISVRCYVHLLEQTTINTLSAWGLRG VRTENPGVWEKEGERKIAALGVHLRRSVSSYGVGLNVNTDLRWFERIVACGLVGKGVT RSLEVAKEAVRIVGT LY89DRAFT_687264 MGGDLNLKKSWHPVLMSNQRRVWEEEKKALDERKRTEQRIKELK EERAKEEIQAKLEAAGSRKRVDRVDWMYQGPSSGQAGTTEEMEGYLLGKRRIDGLIKG TEHKKLEKQASEQSFMALQNANTLRDTAAKIREDPMLAIKRQEQAAYEAMMNDPIKRR QLLAAAGQGEEKKEKKEKRRHRHHHRDRDGERDDSDEERRRKRRRRDEDGHRSSRSEH RRRRSLTPEDRGRRRSDRDRDYERERRRTSYSRSISPRPRSSRDEPRRQGRSDRDREY VKERRRNSSSRSVSPPPRNSREEPPRRGRNRHRDEEYDERNRRRNTSSKSASPRPRRD SPDRPRRSTENFHERPRYPENISNGYNRKSGFRPQNGTRPEASAEDKEAEKQRKLAAM QQDASKLDIDREKRLAALAEQERATREFEDKARAKSAKYGDKGDFVNGLHRKAGEISL ADRIGRGKQGLMKDDH LY89DRAFT_590956 MSDDDFMQDSDEGYRYDFEYEEDDDDQENGDVDIENKYYNAKQM KVNDPEGAIEEFLGVPALEKEKGDWGFKGLKQAIKLEFKLGLYEKAVEHYSELLTYVK SAVTRNYSEKSINNILDYIEKGSEDAAAGKCMEEFYSKTLESFQSTNNERLWLKTNIK LLKLYLDRKDYIVVTKKLRELHRACEREDGSDDPSKGTYSLEIYALEIQMYAETKNNK QLKRLYQRALRVKSAVPHPKIMGIIRECGGKMHMSEENWKDAQSDFFESFRNYDEAGS LQRIQVLKYLVLTTMLMKSDINPFESQETKPYKNDPRIAAMTNLVDAYQRDDIHQYES VLNDNKDLMSDPFIAENIDEVTRNMRTKAVLKLIAPYTRFNLAFIGKALKIPVSEVQD ILGFLIVDKKVHGKINQRDGTVEIEDSSDADRLRAMTEWTAALDSLSRTVFADGEGFK MPDVQQIGMDDLASLGFGAGGGNAKPSAATWGNPSLGGGLGASNHRKKLGKKGGGSSS GGQSATVNALLH LY89DRAFT_672201 MSQCRIRMKEGRIQQVSEPPVGEVVGQLANVIHRNAVHSLETFS RILGKIDAFSARFIVFEGGLLDGIAAGADEERMPQATCEGCDIRPSKHEIDETCTQEG GAGHPLQRSNVLYLTAGLRALTFPPPLLLGSFTVPRRPSAAPTAPFLMPVLHAAEFWR ASRPVQIADVQEPGRVASFEGPRHHRPRDQHQNQHQQRHGPRVSAPRDRLRQSEVEGE GEVEVEVKLASVRYGTVHRASESSGGAHLVLGIWDPVSAV LY89DRAFT_736934 MANNDMEAQEALARDFQPALEGPLVGDKKSSLAITEEYAKADPI YVVKTSALPQKYSHYRPVLGDGNCGWRAAGFSYFETLLRLRNKAQLEEEVARITSLNN LLKTAGGFSEWVYEDMAEEVTGLLSDLANLLPHSIPQAEATLRERFNDPSISNSIVYY FRLLASSWLKANPASYEGFIPDGLGVDGYTKNWIEPVNQEIDHLGMTLLIDALLKPVG FAVEIVYLDRSEGSQANSHVFQSEDANGVPTNPAGPMIHLLYRPSHYDILYKDQAPQI QIPQNTNIQVQRATSLSHRHNIQTTPAVMGDMSGLDMSIFSCIPGFSLQQQSHHGFPS QYNTTPLEAYTPSSISVSPISPGASSAKVSSNGLPATFPAQPPPPNSLTPPTLPSTHS AFPPPTTQLPILTHLPAPHRPSLSSHTSLSGHPLSSELSSPSSASSFRPSKYEWEAAA EWEGPVVFQTSTFKNSHYNVAHYNNPNFQPEEWTPESEDPPTGRKRSS LY89DRAFT_784773 MSKRSFARSELGDSIDLDKVLRPRRHQRSVEGASDSITTDPDNL MDNWYLSALEIRRQRDSKDLRDRAFWLARKSLDPGQVEIKGSWRYYRNRDQKSWKQTG LTRRARDAFGSSIKFPAYGEDIEFSDTYRLWLEGRKLEFLNTLYTHYAVGNFIPEEVI WRLFRCLASELIPKSESWDDAPEYLVESPNTIWQIGKCVFQTITRGRFWSEEYNALSP VDNGEKFGEYKQKVLQKHYSKNLMKYVLACVSADPTKRYFRSELLEHIEKVLSVFEGT YQPAHFDESFLSPYTPTNQLIPYDLTREEGEVYEALLEIVEERKKHAGDGKQRIPRIV VVTDLAKDYDDLLAMMCLKELHRLGVVHIEGFVANLMPPDRRALFGRGALDSLGYPDI KIAVGTIGDSKRALDQHSHEFDNTEDFMATPDRLKDLPDGQELMKEIFTDAVEKKYGI TILTISSLMDLAKFAEDHGELLKKGLSNVVLQGGYRIIKGRLTADFAAQNNKFDEEGA TVFHEFMQDNNIPSCAWTKVAAQAVPIYNTLFEYLDQTRHPLGPYLRKVQVTQDLNFY ERACSDHPYAPYMTQDWYVGTKSTWFAAGHEPDEPYPMGEDMIPYFTKVVAYDALAAV GSSGQDVLDKFGIVKPIVKRQDVDDETHRLVGIPAVKETEDQPGLPQEENFDADKMGL VITALLKGSILAKQQRL LY89DRAFT_784774 MPWTIWPSLVVLWGVCWMFHTPFRQQDFDVYLNEDSFSPFSPNF AFDPTFASLPPGSDYFSNNIDFGDGLHEAIHPYPVVSNAIPTSLAEIPAPAASGSAMG AYLQADSSSFGVMPNYSYPAAESIYSMSEVASGIPDHQSHSSITPVPNTSLRFAPSAA PSASSMPPNPVALLPRQASATPYQAPQAPPKDSEGRFVCTYPGCDDNQSFKWLSGWQT HMDKHTRPYQCSVPGCKGNSGFATKGVLDRHTRGEFFDVPEIFEAASASTRKKVTTLE IRKRKRTPLTCRPAQDAVEGEASGSENTTKKARGSADSAQPGHTVEELARENQKLQQE IDKKDEDLKKCLQERGKERETMLRIIDQLTKGTK LY89DRAFT_721111 MGSIGEPSKAPPILDFSAFHSSDSEVRSNLIQHIRSACQDKGFF QLTNHGISPDLRNRVSSACKSFFALPLEEKLELDMKKNKYNRGYEKHGAQMLEPGTAP ESIEGLYLGEDLPADHPRVLRGDHNCGPNLWPKSLGKDFEDVCTEYWNAMRSVAIDVM RALALGLGLEEGWFDEFTDSPVGTLRFIHYPPTPTTSEKERGIGAHRDFGCITLLMQD EVGGLQVLEPSTSEWLDVKPIPGAYVVNLGNLMMRWTNHKYTSDLHRVMNYSGRDRYS IPYFFTGNPKFMFDCIPGCEGGEGWKKPEKMMVRDFLKEQFDTSYARVKT LY89DRAFT_736938 MEKTKYVDREIPKISLANFEQRINEITAQLVNAAENVGFFSITD HGISADEVESMFKVSESFFVLPNDVKATVPWNPNNVGWENMSQVRPSTGAPDGKESYQ LQFGDNMKGLWMSDEHVPGFKDNCMEFMHRVQKVSEKLMICFARGLGFEDDFFNKVHD VSRPDSQSCCRLLHYYATPETNDGKIHHRAGAHADWGFLTVLFQREGQSGLEICPGRE VVTEFALGDTWTKVDLKAGDIICNIGDLLMSWSDDRFKSTFHRVKAPCEPGDYYGERY SIAYFSQPVKDAIIQGPKKKYPAVTGRQFTENAIKKYFAALKAKVESDTPKSVTHTQD PVSAAVSATA LY89DRAFT_784778 MEKYSASVKNGVTRRIAVVQEALSSKEAFIKAIETQESATGRLE HRNHWKNEDLDISPPSHWTWAWYDYAAFWWSYGFSSGVWSLGSSLVTIGLTSWQVCIC VFIGYSLGALGVVLHSRSASTYHFGFQVESLVTCTIWVGVSLIQGGYFVAVCLRCIFG HNFTDIPNHIPASAGINIQQMIALIVIWFGSLPLLSVPIPRIRRIFSIKSFVVPPVTI GLFIYCMLQGKGKGTEFKTTVPMTGSTLAWAMLSGINSVMGKTSTLVVNQPDIARYAR TRNTPVWSQALALPIGNTGCAALGIFATAAIKNSWGTALWNPWDLCDEILTRNWTAGT RTWVFIVNAGFVLSQVAGNLGANVIPWGADSMTFLPRFLNIKRGMYLAYILGVCIVPW KILKSASSFLAFLGGYSIFLGPFLGIFITDYFVIHKGNIFIEDLFLENGRYWYHGGVS WRACGAYLIAVVWTLPGFAAIFGNAVPLGWRELYKVSWVFVCAVAGVTYFGLCMIGDF GKEERRMVFEQMYHEGRAIVGEDVEGSAGSSGGSDVEVVGVSAEKKV LY89DRAFT_687272 MVLNPRAFKVLSFDIYGTLIDWETGIFEALLPLASKLPSSNPRH PSNISERENRIFLLTEYTNLEKAIQIEHPTLAYPKVLAEIYRRLATQLSVPLSEDEAT EFGSTIGKWPAFPDTVAAMQELGKHYKLIVLSNVSRSSFNETLSGPLSGVKFDAIYTA EDIGSYKPDLKNFEYLIKHAGEEFGARKEEILKVAQSLYHDHVPVKKVGLQPSVWIKR AGDETLMGGKLKDFEGMVDLAETFDTLGDLAVVVKKAFGEA LY89DRAFT_784780 MAARKIALVTGANSGIGYEAVKALLQSSKPYHVFVGSRSLEKSN LAIESLKKECPESTNTVEPMQVDLISDESIEKAFEQVKNGPGYLDALVNNAGATFDIE YIRGKTSLRECFAKSYDVNVAGTNVMTHTFMPLLLKSSEPRLIFVTGLSNITQAGEKY FPTPPQPAGWPKKIDFETIGYRCTKVALNMLMLDWNHKLKEDGVKVFAVTPGFLATNL GGDPELGIAMGAGHPSAGGDILRRVVEGERDADVGKIVNKNGISQW LY89DRAFT_621681 MDSNNWRVKREPSDSPAPMRAREQDSKHQNQHQGTRWGSDRFDQ RGDRYQNSFSKQDGQRDGLRKPVNDAKAEKAVEEGRRVYVGNLPYEATTKDIGTLFQH VVDGIEAINISVDPMTGRNPSYCFVDFTHSDLAREVMQEYDGYIFLGRPLKVKPGVKS GTGTGRFDVRPRYQPESNQDRNDAFSFDRWRRLEHPEDIDKAGQEGRRVHVAGLPRFD GQADANAQLRELFNGFDVKVISKMISTPEERRMHPVYHNYCFIDLSSSEEAQRAVQEL NGKEPWGWLIKVSLAGGSSRKLGERRRLFVSGLPEFPSQEATEEGIRELFQGFEVSVV SKLNLPRDLSEGTNGHCFCFVEIATAEDADKAMANLDWKEMWGGNVRVKPATSNRR LY89DRAFT_672211 MTSTAPKTLYPIRTTGQSSNAGPNTGNNEGQTYTSNTTNNVSLN GSGILYIEPQKDGNGHWKSARLEGKSAFSCPAGHRMLLQAEIRTGTFPYSSQAGIRPA FRALGKDDRNDGKNLFTVTAAQVNDPVLSGNVAHKAFFPILNVAVGSNFPNDDGQPDG NTVNGPGSGMQVKSRTEV LY89DRAFT_736945 MIFTEDDAAECLANFPANLGINTTMEQIVDDLVQVARSLNPILT RLTTDAENESNPAAEGRRIGHLL LY89DRAFT_753319 MTTSPQFSEAQAFELFQLIDRPVGPFSPPNNNPHLMTDQQPNNP SFPNHPSFRQKRIAALFRLLRNEPGGFTRPFTEGRRIAGLLLEHNNDIVGHRACVVKR IFEEEFEASYLVAKASGIRERIMFYSTLKNKYKWAFLAERIDKRCFVCKMAGLGLDDD AELMALGMEF LY89DRAFT_736949 MPQGSARSNGKGVESDAATAIESNHATTNASPTRQSTPLPDCSF DEDVAKNYVDILRKMMEYASKAADLRKCWESDELIDPKTIPLGDDKGKRIANLIVEQI RLTPNPRLEEFARCHWYEVRAAHKKLGSHPEQPHLRSTSYAFSTRIERSYCKKMFKGK RRLVTYFSTTTIGPKCGFDQVSVSSMDDTSQALNVTNADPQHVDRKLLKNTTTSRTRV ASSFQNFEMADLEGSTTQGKSNSVMPYLIPAKRGISVPTTPTAARHMDRIRRGWRTSR PLDSSGLGKIPVSQDGSNNKMWANHDNSLGGGQCLRKQLNTTHLEDGERSDFEGMSRA RQREWLEDDQRGHQFVEHDGQRNLKKQPAQGNGPVGKMRMKHRLLSWLRRKKN LY89DRAFT_736951 MAGGMRGIMGTVSLILIAGALVLMFFVVLSGVKDSTPLNKTYFL RTDTSSITGARPISQWTYFYVCGDGNTDCGSPVPDLPFGYAWVGGGSGAPSDFLGGHG KDTTSKYYFFLWRFGWVFYLMGLVSTVVAFFTALLAPCSRLASGFSGVVLMFALFFYT MGVSLMTAEFVKAHDAFNRAGLTSKIGRYAFGFSWGAWAAIFLATIFLFLGCGAGGRS DDKVRSSRRTNGATGGGLGNVGFFRRQRSRRSARGSFVDNESQRRVKDEYA LY89DRAFT_753327 MSAENKPLVWLITGSSSGFGTSLTFIALRAGHKVIATSRNPSKT PELVKQIEGLGGIWLTLDVTESPEVLQKVVAEGTKKFGRIDVLANVAGMSIMGALEDF SPKEAQLIFDTNFFGPMKLTQAVLPQMRARKSGTIVNFSSGAGINPQVTMGMYAATKF ALEGFSQGLVQEVAPFNIRVLIVQPGPFTTNMAIAGTFTEKEMDEEYYATAMGKFIDV FKGDPGEWKTPNDVEKGCQAVFEVVTRTGRGKGKEEHLRLLLSSEVAQRALDQNAKIK AGYDAFRDVWENTKHDGGVSKSYMDLQREKGLVQK LY89DRAFT_709004 MLQVVRCGIHGFHETLGIDTDEIRFFWTLQSDDENALQTAYQVR VFEVVPQDGQQSQRNPVIWDSEKQISNAQRDIICKPSQGFKSTQEYVWCITVFDQHDT ATTSIENMFFTAYPRSQLLPPLSMNRTYMAHTALIFRTWFEDIENKWKAWWIGDGGDK PIYLRRSFKLDRVPFRAIAFVSGLGHHNFSVNGKPASDHVLDPGWTNYHRAVQFVAHD LTSSLRVGENVLGAHIGNGFYAGDQGDRFFWPKYEDNTYVRYGNELCFFAELHLIYED GTHDVVISDSRWKVRKSATTLANIYASETHDRRVFPVGWDAPDFDESDWASAKGLTGP RGFLKYQSQPPVIRRETFHPISTSSPRPGVVCFDLGQNASTMVKIVVEGQAGSEITIR YGETAYEDGTIKMPDPLFKEFETKVYSTFFLAGTGSPEVWEPEFSFTSARYIQIDGVS LEVNSNLPIIHSAVGQHVSSASRRLGSMTTDKEDVNALLSALKWTFASNLFSYHTDCP QIEKFGWLEVTHLLAPATQYMVDMESLYTKILLDILDAQEPNGLVPTMAPEIRYMCGP LHDTITWGCALIFIPDILRKYYGSTHTIPRMYRAGERYMQYIKTKERKGGLIEHGLGD WGRGIAHGNAQANIETAVYHECLKCMERFAQILDLPNEAVRWGNEAARIYEVYNKHLL VTDDPNHPFAYYTSLDNPPARDRDAVCQAVALQFNMVPDIYKADVTHAFLDDVSDGRL RSGEIGLRYLFLTLHDINRPDLVLQMARQEEHPSYMRFLRRGETTLLEFWQDECRSKC HDMLGSILEWDGWRDFVVSPPYENEFGAVRGTFECPEDGVVRLELVIPMSTEARVMLP LGAKSVRLSREGGEMREVEGESVRLRHGRYVIHIV LY89DRAFT_699014 MGKATTIVSAVFLAIGGFLFGYDSGIITSTIAQPRFITYFNHPS DSLQGGIVSSLQGGAIAGTIVTFVVADALGRKRTIALGSAFATLGCALQAGAASMAML IVGRFIAGMAVGILTSTIPMYAGEISQASFRGILSGLLQWMLSWGFLVAQWLGYGCTF VDTDFQWRFPLAFQCIPALILLCGVWFLQESPRWLMEKDRHEEALAVLHKLHDDGTEA TKEYVELEFREIRDVIIADRSTNQISWSSIFRKPSWRRRLLLGCGVQAFGPLSGINVI NYYGPHIYELLGIGTQESLMIIGISGALSIVYCTIGLWSLDRIGRVKPLILSAGGCAL ALVVNAALSQHLTGAGPNQLRAMVAMNFVFSLFYTPTGIISWVYPAEIFPVDIRAKGN SISTFVNWTLNLVFAQISPNALSAIGFKYFYAFFCFGVIAVVCYSLFYPETKGKTLEQ MDELFGDHLHYLLLFRVLEAPALMELPMQMKGDCP LY89DRAFT_736956 MSSTTTTSDSTLIVPSLRPLYPIGIPSKFSPEGVVQRFPGNTTL CHIPANSRLFRGLRAVYASLESHPTLSKKIHLLPQASWHMTTLDGVREQESVGKEKQP LADCTVEFARRLRQLGLELEKEGLAPPYRMRVRGFDKCVLGVGLEIEGATVQEERRMR QLRDKLADTLGFRAPNHDTYGFHITIAYLMRHIDGEDRQELNRVLSQHLPAVQQEFDL GAVEFCTFENMYAFPRQFYLGEKE LY89DRAFT_784788 MVNIAGRSKGCSTCRKKRVKCDENRPICTRCLNRGLECDGAKEI SFVEAKIVRSRRSDKSVAMSSDAVVDATGAPSSPLKGNELEIYICYTMENCLRGGIVN TTLKAAPMNEIITAATTPNGKIFHHAVLSLAVLFFGTEHRQSKITVKGYAMHGVALKR LNQALAVPGCYAHDEVILAVVTLALLECFIPTGPNHFLKHMSGLERLLELRGPGTEGY SSSSSKLGRGARHMILFASLRTGKPSILARPEWKAALRIDCPVEQLPEQDLIDILADC TVLVAGSEKILANGGLELEGNARQRDEIEVKALSLLEQLRAWKRGWDTDLKNAYFETL IAPERVQPTANWTDNSPPFLTIFEFSNDVSATNFMLYHAVTIYILRVLKSITIQTSSP MQNYSQDPTLQKQTKDEYIAAERLAALDVCRAVPYYIGLKAGLDLCHSPVVHMGVITA WITLGGVESAEGKWMMELLNAESREVIAKGLWVG LY89DRAFT_753346 MNACTEEGASNNVHQRLYKPVSATEFRVVHLLPGKFHDEIKCVL ETRSFHVKARYKAISYQWGDESPSDPRTPTKTPPHRTIEALAELYKVLKERAKSYPAL LRVPPRFLAGWILCQTLLRLPLGPPPWVPPSIPRDVYIAFLSIIFGYFAGDFLVKVIM AVIELSETKPWAAAYDLRSSRTGQQGFQRPSDFETLQVTTNLQLALQYLRQNSRVRTL WIDALCTNQRNEDEKMIQIQRMEWIYANASLVVVWLGDCHGLGATNICAESTSPGVVR CEHETAIQAAFGYIWTRSGWRILCRWYFDHHEQERFQEASSGLCELARRGWWERLWVV QEIALATRPVQLQCGSKTCDFEDFANAAYTILQEYVGDKAIAESFRSSRKFDDTIKEF RYSLFHDRGGLFVRTASSFMMKVMGMFFRDIGSNVPRFHDLPFAQRLQLILLKSAGRF KCRDDRDRLYVVLGIAGGATTESVSNTASLIGTISSPYFSRIIYSILDQLWVDFKFPV KVVYIVFAVGWSIWEQFYDFRAKHWSINRPYYVVAGYREAIKAVTTKQGKVYNRAEFF TALAKYLAKETGSLALLDVANCGQGEEEEMPSWVPKWTQEISRPAYEFTNRIKSDEPP DSIDLDYMQSSPWLGAFEKVLVLSSKEKFVVSGALKIISIMYQIHLPALTEEENKFIA NLVRLIETFLDIGLSLLKDELLKEGCKTVIYSYDVTVGEMGHVIAREAVKGDQLVFVP GCFHHLVLRAQRHRADRWKLVGLVAMSKTTQGRKGYTKSEWAQLLEEGAVYRYSIE LY89DRAFT_709008 MAQTDIPDAERKIGTRDKDVPWYNPDIGNKLSPSARELLETYSK ILSAEVENHVKRIRDQAWEVWPYPCIGGFRFLDLAIGDSPNYPQILQRLKTGNENFLD LGCCFGQEIRRLVADGAPSEKLYGSDLRPEFFELGYEFFGDRDKLQSKFIAADIFDPD SGLKELEGKIDILYAGSFLHLFGYEKQLEVCIRIAGLLREKEGSLLVGRQVGHVNAGE RTHRTNPDQRIEDKQKLTQANSRFRQSEGSFTKMWETVGEKTGSKWKVNVKVEEIDET DRNMRPNDQDEGLRRLRFSVFRL LY89DRAFT_699019 MVEQTSGSTVVRFSDVAYYMHDVPEVGHTIGAGQVREPCTVIEI GNTEMSPKMLCEIIARFGRSDDVWSKEFLRSVVIQSHGRLSSLQPNGKLHPAYRLYPD TSGSFIVATVPTRNPIQYKPLDAVAYGETYPSALTIAVPSRLHFTKTDEQPYAGFRVG IKDIIDLKGLRTGASSRSYTKLYGPREQNAAVVQKLLRLGFVVVGKLKTTQFADSEWA TCDWIDYHAPFNPRADGYQGPSGSSAGSAAAIATYDWLDFTIGTDTLGSIRGPATVQG IFGMRSSIGALSFDGIVPYSDKFDTVGGFARDAEAFAKLSNALYGPVAGSGCKKKVTK ILYPVDYWPVKHEESQAVFEKFIETRVNLEEVWQKTNPVQTNLCLSEYFEHVFEWVAN PDQWTGFMKGFLEDYRTTFGKAAVVNPQLQFKRDYLPTVTKEQQNKGIELLNMFRSWW EKNVIPSADGSGCTDTIMRDKYRESAQNFTGIGFFFYNLSPYAGAPELIVPAGQTSYM SRLSECNEFLPAAIGITGSKGSDVALAELVNQLLGTENSVEAGPVAFKVVTDETE LY89DRAFT_721127 MDFIIFTTISFILLVLYKYLIYPALLSPLKNLPSAHPTTHFSNL WIRYQRFINNSNHAIQSAHERFGPIVRLGATEVSVNNVDALRIVYGGNWDRDDWYRSF SNYGHLNMFSMIGKKEHTERKRMFGNVYSKTTLYSSKDFGIIAQTLSSRLSAVLEDAA KKREGVEVQGLLSCVTNDFISAYIFGLDNIKDFLRDMEARTKWLEDGHELKQGVWSST ITRIGEILTKNGIIRGTRREEQDECLQMLNGLEKSKHNKKDSISKDENSERTKPIIYN QLLHHLFPHHHKDASLSLSPQDRLLLASEFKDHLIAGTETTTWTMTYILHSLSLRPDL QHRLREELLPLSSIHTNAISQSFLRTLDTLPLLNATILETLRLYPSVPGSQLRVSPPN STSTLCGYKIPPNTKVSAQAYSLHRTAAVFPSPEEWRPDRWLQATKEEREEMMRWFWG FGSGARGCIGKEFAMLELKILVVVIYGRFETRVVDCGGIKQGDGYSVGPKGRRILLEF KKM LY89DRAFT_784792 MENPMESEEKKQSPYSSANKNPTPEVLINASGHRQELERNFRLI NICGLGITSGNTWIALGGSIVVAIYNGGPPGVIYELIAASVAYWFIAASIAELASAMP SSGGVYHWASVTAGKHGRVCGFFAGWWNFLAWILAIAATGQIVGAQTVSMYALFHPDF TTQRWQVFVVYLIYIWGGCLITLYLNRALPALEAVGGFLVVAGVVITIIVCAVMPHVN EQPYGTNDFVWKEWQNATGYGSNGFVFCLGMLNGAFAVGTPDIISHMAEEVPKPSQNI PKAILAQFIIGFSSALLYLIALFYSISDLDAILDSAYLFPLTEIYYQSTGSKGGSLGL LILSFLPGLIALIGCYLTASRVFWTLARDNATPFASTFGHVNHKHRNPFNSIILCGVI CTILGCIYVGNQTAFSAFVGSFVVLSTLSYLGAILPHLLSRRANIKPGWFWMKGITGY VVNAVACLYIMAFVVIFCFPFAMPVDAESMNYTVLITGGLTVFVGVWWVVVRSRGTYV GPRFVELGREALAEEVM LY89DRAFT_590949 MGNDYITLKFLRDQGSKLPLPGEVLLISGPDEKVRFTLTKKVPG QWLGSVWHTLSLRSRRNVSRQMTGIVKALRRFTRPTAQKADGTPLEDFLVGRCLFRSP SCTQIGSTTEEWLDALAPTLRGGLSNIHKTNDPVVIEEKLAELRANFPSGGPYYLTHG DLNFSNILVEGDKITAILDWEWSGFMPWWAERLTAGGHNFPADEFLSRIWANVEPELD EETFISTVAVPVGKVKEAWDACKVDHPNFKDRWVLPAFSECQSAAGHINWFDCGNQVE HKINPNQWDDEGQK LY89DRAFT_753348 MAGGNALIPLKYMAENPGQLVRTVPCGMYHNESHKFRSRLGLEF ASPIEISDNIAALYKAGQQNEAIPSLRKLVGVALHNMTLSHSNNGFDKIVDIMESLYG GSNARLHQKSQRNKELWRLYLQFESDPNFQRILYRMYQYQRRINLLGVESEDIGGASK SYILLLLLGLRFLELALAAVLRLPGLILFGPLPYLCNNLAQRYAERLLKNSAFKLTGR DVLASAKMLNALKFTTLLYIASETAMFAWLHWNYLGQSLVRVIPAPFAVVLGLTLISF IRVVSIWAWDRTVDVLRSILVLLILADPRKACMRESLEGEKRELEVHMWQYIVSDT LY89DRAFT_736965 MAVQSKLAVRHAIILFFGIQVAARPVVDPILQPPTLLYDRSNAT TDTHANPSRSSQMVPVGQQGFPGEMLQERGIMPGGFKVEMPAGYGAPEIDLWKKMDYG FIPIERNRSDHFLDWQTING LY89DRAFT_784794 MSTQQTAKTQYVDVDGVQVAYRLFGSSTGVPLLFNQHFRGTMDH WDPLLINNLAKTRPILLFDSYGVGKSSRNVPDTFAGWATVAVNLVKALGIKEINVFGF SMGGMVAQMIALNAPEVVRRLIIGGSSPSYGEGIVSGPDWPFPKLMKASTPEESEDAF LSTFYSHTEEKQRLGREWWARMNERTEDRSPYVSPEQTARQAGAAAKWFSPGNEESGS YHRLHELKMPIFIVNGDDDIIVTTENSIVMHKQILKGNKNAHLHLYPDTGHGFLNEYS EMFAAHVTLFLDMQRVV LY89DRAFT_151350 MPPTKTKNSDKFESQFINVTNSVFLDSKARTSVRSQVMREYHRR RTQKHDDPGSHNNHGDGSQVPLSAKEQTQRYRLDGKKTFKAWLPVTLGDYRMSSDKEG TRKTEKSARETHSIRKANLLSNETNNALPSVSNEEDLPKKFKELQDLLARLSLATSVT RAPAIAAIDPFDSVSLLLDSRTQLLLHHYFNQRLHSSWLMMPMRKGLFSIAVHDAALF HTFLAHYVASYDLRYGAGDPAESLYHRMEAVRIVNERLATAHNTLSDGTIAAVANMAV YEASNGSLDSMAVHLKGLEEMVNMRGGILEGGFDPNVRRLIAWTDLHCANALSQPTRF PPLSVARYPDSPLSQMNIDAEDRNLQSSIANSLRTDLTLISEQSLLEWTMSEVRDMSL SLQHVRCSSSTPQENIWYSDKVYYLQFCLLEVLHSPKSSHLEVATSIAALIYCSVCFR DLSFNFRVVGETVVRLEAVLQNVFYEAANLGKDYENLLWSLIVGGMAAEGKPEREWFV VQLAAIGRTLSIMNWDGCKGMLRRLSWQVELDSFGSRLWREACATSIE LY89DRAFT_151395 MATSLEGSYDGLAPFDWPAAKKPLQTWYRVFGDLTSSITPLVII HGGPGFPHNYLLNHRALTDNHSIPVIFYDQVGSGFSTRLPETASSTDFPNFWTIEIFN EQLRQLLTHLKIEGRYDILGHSWGGMMGSDFAHLRPCGLRRLILVNSAASKAHSIANR KRYREELPQEMRDVLDRVEKENAWSSKEAREVMAEFSKKHVCTVFPFPEDGMAAIRIS NEDTTVPDTIGEDVDGNSFKCRGYMATWTMEGKAKKIEAPTLVINGVDEFASGDAVKP FLDEIPDVRLVTIKGTTHSPHFEKRQEYMEIVGDFLVAP LY89DRAFT_672237 MSRPEIEDLQCDFATPACSPCIRAQKLCSGYRDTRQLRVRDQSQ DVRRRVISKLSPSPPHLHSLSQALHVQARESFFLHYVTGTLKTWDFLEKYSDSTDIPP YLASALMLGTAEVRILGRLSTNHLISSVASNLAVPMDLQEVRAYCGEHLNVVDPKWRL SDLMVSYANLRSQIRGAYSSSINSTEKLWDNQVDQYPSRHVTQTWNVCRLIRILLNES ILKYSGELERKTTTTNGVAPRQTLRDNVEMLAKEICSSARQYTDCRDKSNLMKSGIQT TLPHTHNPAEKLDCYTTIFPLYIAGQSKCTQQRRRDEGSQVAQDKIRNLMFASSATES ETIHAAVDPHLSLPLATVYSPTLPKATTHPAQYKATMGAQRHFEAWTKSFRVQASQNL TLGFFTGDAIAFRHALQYRQFNTHGSSNWYRTRHVMEPFNFDHNGEGWL LY89DRAFT_151413 MAFPYKHVVLIGATSGVGKGMAESLVESGVKVTAVGRRQDRLNE FVNKYGKDKADGAVFDIAEYQKAQQFAADIMEKSRDIDCLFLNAGIQRNWDLAELENI DLEEFNSEMTINYTSFVALVQAFLPYLKNQKPASIIFTGSNIAIVPASTLPNYSASKT ALNVFTLCLREQLKVAGSHVKVIEISGPPVQTELHDYMGAETGRKMGMPLEDFTKLVM DGFYAGHDQIVIGSILDPTTFNEIVNKRRTAFEKLAEIIRVATKTHKG LY89DRAFT_736971 MDQSRGFPLRQLPPEILDQIFRSNMTPEPLTRLPPLLLSFSPTK EPQLYQEAKKLYKETNFVVTYRNEKEFMALPMKDMMKIHHITVVLEEIDDDPTCWPVV KPKLRNHKSILQNAFQTVTMDLRRVPERHWSENYGTHSLLHYLVVASTVGVERINIVV SSEQLAYSMTQGPSVTWDLGRREVKSETFTGFEMSRLGQDVVYTWRKTEGIEKRKLFV PPTRHSNATLKLQ LY89DRAFT_709015 MSRIQELARIIAVHTDLIDLFITSKDQQQPSFDINLPETLSLPS ALQESRKLVIEAMTELKELLQGPKELLLSNSSNQLLSLRYIYHFRIAHSFPVGAEATF LQISKVCGLNEPDVRRIMRHEMAHRIFREVQKGVVVHTGASQLLAQNRQLQAWVGTCV EEMWPSAAVPAMIKWPNSQKPSHTGFSLANDTDEPIYETLRKDPQRAARFAEGMSSFA TGAGYETQHVVEYSLWSSISGGLVVDCGGSHGDFMIAIAKKYPSWNFIVQDLASTIES RPELPPGLENRVTFMAHDFFTEQPVKNADVYFFRWIFHNWSDRYSLRILRSLIPALKP QARVIINDACLPEPNTLPIMAERSIRSMVLSMLQILNAREREKQDWEDVFKLADPRFK FLGIQQPQESRLAFIEAIWEPTRVRDN LY89DRAFT_151528 MQFHSLLIALAAASTIFAVAIPKSYTELMTEESAQPTFKEPYTQ EQTSKVCKAPRSITLDPSSCCSGKFIPVLVQVVLCFYACSLTFRDWALRAVLARNSDI LSMAAVVNVKLTKFLKLDLFIYYSLSLLKPHIE LY89DRAFT_151448 MLRDLGLAFGATFFIAQLTGCYALNINHRAAAASSAAPAIQTTG LPTNWEYKGCLQDNIASTQDANELLATFPYQVALTNNTPVACIERCLEYGYNAAGLEY GSQCFCGDVENIYVASAPSTTTDPDDVQYYTRSATPQIVADSQCNSVCSGDSAYLCGS GNLLTYYASTVPLLTWDFPAGTDAGEYSLLIGGVVVPLITSQVVTGKVTFVEKYGTGE PNGTGVYELDLTEINNFTAAWRTMNGLQTDVFCAAGLTLPDKAGRQLTVGGWAGQSNF GVRLYTPDGSAGVKGTNDWDEDAGVLSLQVPRWYPSIMQMANGSIMIIGGEIGDNEAE EPTLELLPATGVPDSSTTSGYSNTTVYLEFLDRTQPFNLYPFVCVVPSGIFIAYYNEA RILDEVTFETVKTLPNMPGAVNDDTGGRSYQLEGSMVLLPQYAPYTENLGVLICGGST SNGGYPIDNCISTRPEDEGATWTIERMPSRRVMPCMAGLPDGTYVIVNGGQHGYAGFG LAGVPNYNAILYDPSKPANSRMSVMANTTVARLYHSEAIVLLDGRVMISGSDPTGDYN QPSGVWPEEYRVEVFSPPYLLSGLARPEYTIENTDWAYGETVTITVTSGTASSISMLG SVVTTHGNAFGQRTIFPAFSCDGTTCKITAPPSAHVAPPGWFMLFVLDGPTPSVGQFI RIGGDPGKIGDWPANIPNFQPLPGV LY89DRAFT_736974 MVKRVLVAYCVDVDACAGWLNTKDGQLANASDVSRGVFGANAGT DRMLKLFKDNNILASWYMPSHSILSFPTQMAKVRDAGHEIGLHGYTHEFVSRLTEDQE RKVMAKSIKVYKEFTGKHPKGWVAPAWEISPRSMQILQAFGIEYDHSMMHHDCQPYYV SDMPPNTVHTDYSKDPDEWMIPMPKHTLTNVVEIPGSWNVDDWPPMHFSSRNPGTHGF INPRDIEVQWRDQFDFFYREYDSFVFTISCHPQVSGRSNIQLMHERLIAHLKSHEGVE FMTVAQICDEFKAGKIAGVTISAGV LY89DRAFT_590393 MEHRTRRECKFHEVECKLPNIRSSPASDHRPTEAQDILSYLGPW NPLGEKVSGKDTVWLLDNTAYRNAKTGKWEAEFVAAVFDQDTGVEVSTVVANVAEKVG LGKGDRAEATIQERLMPFMQTILPGRVVAVDFEDQDKLKLGPGGRNGISSDIRSVPEH KDGEIVESTALVPQGANGVLNMSTAYAEPEGWGVISDIDDSIKITQTSDPIGILKSTF VSTPTPITGMPELYTFIQRLIKPTSPFFYLSASPYNLYSFLKDFRNNFYPPGTIILRD ASWMNLSGLLSNLTLGTQDYKVDRMTKINSWLPRRKMICVGDSTQSDPEAYGEIYRKH AGWIKLILIRKVTDIAAIGISEKNEPKRFQKAFEGVPSTLWHVFETPEECYQLVKDAV ARG LY89DRAFT_736976 MRLLNTKTLTLHYFAGKAVPDYVILSHRWEEEEVLFQDLQEGRG PKMSGYAKIEGCCAQARKNGWVYAWIDTCCIDKSSSAELSEAIYSMYRWYSDAQECYA YLSDVDATHDQIPGQLRKSAWFTRG LY89DRAFT_736977 MSWAARRETTRVEDIAYCLMGFFNVSIPLLYGEGKKAFQQLQLE IIKSCDDESFLTWDRANIEPEILAPSPRNFISSGDIKFSRSMTGSQSFSCGRTTPYTM TNKGLHMSLFLIPYGALRVEFPYARWSPCKAYFALFHTLCNTAGASPLMLVGKNEQPM GDFPGGSDWSIFPISVFANMYLAQDRCLDFMNRSSVMQNNQHDDGHPALRQIYLQRIP VPRYMLGYTPWRMDFDILLKAMTILLEDFDIYRLEFDVRGHVHIVHLESAIIKVPCDR AFAFCFMEKKTGIAILLVVTGWFTGPKMTILISPTTSQSTLGNVLDLLQQLMEVDRRS TLGDHLSALEDRLSCSLGNDTSISASIRRVGGSSEYDYVLDVTLDPRGALRRPLSARL QDCNAYLLGELIRLAYISN LY89DRAFT_687291 MTTNPSSKKTLNLVSNPHVSLLVHDWVSHRPPTTNRRESRSGSP PPEASRSSLAALLLNLNTSAMSSISATINGEAKLLERGTEEEGYYRERHLDNNTFSEN EQGQGQGSFWDERERQDGGRGMYIEGEEVRVVVVRITDGRVSDWKGGVRDWSLSGLEV ENDVGAQGLVNGVS LY89DRAFT_651065 MVAEIETPAFGPIPPFAHHAITFHLPKWALALRFMEKDMTILQQ LKAVYPRIMLHQDVKALIAKIVEKSETENQTCLPFPSQAAADECVAFATSEARGENRC KPEEISIRLFATKTIYLWTVFFPAPKAPAVIPFWQNSGTGISTRVAEQCMKEISDLKE ISLWSGSPTTSIKQGPAHEILRDRISSLMNRAPAGPPRETQVSPEDVYLFQTGMSSIY TVHRYLTKKFNSRSVLFGFAFHSTPHVFEDFGPGSKFFGNGDAADLDALEAYLQDEAK EGRKIQALWTEFPSNPLLSVPDLGRLRELADQYEFFLIVDDTIASFCNVDLLGVADMV ASSLTKSFSGYADVMGASAVLSPTAKRYEELKKLMADNYQNVVYEGDAEVLEKNSRNY IERSKVLNNNAKKLVEYLGNLAKDPKSSVAKVYYPNTSENLDAYKKYMRQPTQDFEPG YGCLFSVEMDTVEATSAFYDNLHVHQGPHLGAHLTLTIPYVKALYGKELDKVGEYGLN EKQLRVSVGLEDTEALIDVFRHALTFADGVKTKKEPEMLAMT LY89DRAFT_651067 MSSPSQSPPSNSTNLPPHWEERRDVEGRIYYINHATRSTSWGRS TPPNVVETIESSAETSSQSALDRLPDGWERRETPNGRTYFIDHNRRTTTWVDPRTPSA GSESEDEDGDELGIAEVVSGTLLAGPLPAGWEIRPSRFEGIFYFVDHNTRTTSWEDPR ME LY89DRAFT_721143 MSSALRFAQKLLRRAPSRARQNLERLAGPHVLDSSLKYEEEKLR WYTPEKFYPVSEANSRQAAREWEVYRPINTITTSHAGSKCVRMLLDSFDITTATGSHI CMVHKPLGLRISDFQALIPGGKLPQDILKLTLKHVLLALEFLHSECHLAHTDIQAKNI LMGIDDENVLTEFEEAEVRDPSPCKVDGDRVIYTSRQMRVRGSAGRPVLCDFGEARIG DGHFTEDIQPFLYRAPEVILRKPWNKKVDIWNLGVLIWDLCQNKHLFDARDANNETTN TVHLAEMIALLGSPPNSFLEGSPIVGDYFDKSGCWIGDVRIPSNSLEEFEENLKGKEK ALFLQFMRKMLRWVPEERMSAFDLLDDPWLNS LY89DRAFT_736982 MDATTPTYESGILAWLTEWDSRTPPLFLIDGRQLEKQAYDHPVQ IVKRMKSVTRDITYLVLLLTSFGSMPPDVYLIERAVRRDGRVSVPIFNPASPWNWPAS DMNGIKVPALKLTPRSTLGSRKQSYLRLEMLFTIPERQLRRYNTAVSLNKQPRLTKQS FSHVMEALAWLEVSGTKPVRAPRIQPDRPDSASKSREIPEGSKKPAAAKLPLEIISDE SELAMRPIQGHGGQSVTKSYEAPREFQVAARPSLLVRCTNMSQFSSRDPRAVFHFELL SANIDLDARAGTRAGLSSNSQEAMIAMIQNTTWNWFILGSPDPNAILVVPTEGSLELM TDQQSEDIRNKIAKGVSLQSVVAQANEAVLMAGMEPMFVAGRMSWFLRTGAVAIYICQ SVAAQLIGQRHRESITTCPSMVMVIVGGVVPFLILLEH LY89DRAFT_753405 MSSSKTSNARSMGANISDNLPAQLQPDAQLQPSTNARSLSTDRN SMQPQQELDQTPPPSYKPGDIAWLLTWVPGSEPVLRIGQGHLEREAHNHSVLILRHRW SSVTRTYRYEGLLMTTFGYKSPEDYIRLRSIRVDDRESTPLIKRSCPVKWPTFTSARG AVLPVLRATRGSDTGKDQSYVKLDVHIDIPASQLRLFHVDKPRLNHPRLAFQSFSALV KYLGLMADPHELYHGIQKQVPAVPLQDPPPQATRGLALGPTAALNASVAALPLQAQVE PTSNGDIVAQAPVVQASNLKPTLLRAIYPWSPSPSDQQLPFNLIRINVFEGSEPSAPL LSDIMGIVQTEVFASLNLKSYASWVNFAFPDDSDIYPEQKAATFWRKVNGLQSIRDIV AIAQQSLVISVIEPVQAAGNWGWFVRTDAMSNWIRQRIASEVLGRRAMENIVACPSMV VMAVGNCVTFVIVLEH LY89DRAFT_784808 MPRANRILAEADPNASNASKSTLDTKPTKRSASTQDVGDIADLH GSSTKKRRVGRSAGNDAPTVREQKQKAAAEKRSSKKKSQHATKDNSKLQFLLAERGLS TDGTREDWIERLEASSIDYESYTAEELTEMLRRRHMKGAAQYPKAIKIERLKLNDDYH RDTRNGPAMSLWIQISIAEVRLERELAEAAIAEETDKYSTLGSKRLCALLETRGLSTS GKDKTLLSRLRKDDEKKRMKSIKDLQTKLAKLRPELEAMIGHSVSASDITKASNRYDA LDNEITSRQESKQEPVIPVCDYNWKDSHWASRTERELSEICSRREMPGHGPKAAMIKF LDTGAVEYEDLYAGSLEMICYKRGIHVKSGAKKNDLVRILKEADEGEHD LY89DRAFT_672252 MTTVFPDIQFGPSTVVQSPSLTHIIASVTSHPLSTANSTVITLA TPSNSPTTPPISTSNSGFSDRIQTFFHSLPYEPGIFIGILVAALVLLLILSAAIFTCV KSCQRRQGFLGRTPAPAAAWEGVGAGIWHHGGIYPERKNGSPATIKNSLRRHSSSGSF FPKYSHDDLIQLSSPIYHKPMRPTGATKAAPSSSGYILDMPRAYIHRPPGVLTTFWVP KTTLQEKCQSEIP LY89DRAFT_736986 MSVRNRHSEISNQSSRTADNTNPARQQVTISQEKPPAYEPGSLI WLKRKIRFNKDIVYTISKSGVLRVLDRKGYDHPGWILGPMDDAGTGYLVAICTTFTRT PLEEWLVSRRLRNDMHHSLAMSTLPSSEPILLESITLPDETRKYRTLYLGRGELQKQA YVRTETILRVPASQLQPYFSSPTNEITPRLSLASFLLLLTKTGNTEDPYFLLFGRLPT ISSRQRPRKLSQATPQFSYEGAGVWSKEFSSTVEILDVLNVVPLPYEDFIGTLPPTLG NMEESTEMQETGDILWSENVLPDREDRQDESLYSYAPETEEWCPTMADTDNEISDDED DLEHQLQSLALIEAAQFHQTESPTMPNVCAVTDFQTPKSESGISFTEDVDVITSVSRQ VMVEDSETLIDLVEEAKSTEKSLLGSANVAQFNQYAKEYIAEIESFSLTYQKVSVSRK PDYSYWNPTCTRRPAEEDISEHSEDVHARKRKKITHERPRGDRKPPSVFTCARAAWEI RNSLKHPLARARGITSSTNIPPNHPETHHNEMPSHVVNYDDLVSDRSMQLTGLSIVAT PDELSQDDDGIQSFGTTLEKYLLKKSSKIFIYAYVCVDFLWIGGLAAAVAFLEKDTRR S LY89DRAFT_672254 MQRRHPKDQRASAPPNDRTPRRNWTPRKQRNLAADWWRSSSDGP AIGDASQSPPNRKESEQSNSTQRGDSMANSKPLPNPPRLGETSNGSPPHNESESIDLT QGSSAQAPNTLELGCMYWLKHQGDDGCFFTGYERRVNTDRKAILDDRVCGHVVMLLSS FLAKDKTFYTAALLTTFKRMSYANWNTARSISENINLRKSIPLASHSPCLSDSSNIPA TPVIHLGPRNTHDTTLHLLRGSLDRDCYARIDRILELPGESLRLYCYEGGILPPQPPK LCFKSYAHLMGRLHMKGDMEELYFAGNAPYDQQCEDSVHVESSNTAAAVNQDANDRGT DSDQARPSETTPTTAL LY89DRAFT_672255 MAGRRSDTWRGIDPEPARRSSYNSSRGLQRDLQSPWRPLNQVLR AGEYISNEPAEDIPSEPAAQLNEIPRFQYGCIYWLKHRKEEGTSGCYEVSNVTGNRQD LEDQVFDRPVSLLDSNIVDGVRFYKAAMHTSFGRLSTDNWSLSQSKRSNLRNSFALRE QRLENTNKTSEQTFYLGRGRVDRDSYIRVDKVLFLTETSLKIYHYNGGVTPDEPPRLC FQSFKRLMEVLRLPGNRAELYFGTGDRIREEDRAYSEERPTIGKSSRDLISYNEAVNR SIEGFPPRSRSETAQVSDPMDPSTYFTG LY89DRAFT_672256 MESKSSQNSKNAMSPAINQRTSPCHPTKRRKWKLLTNWWVPNDI LQTTEPSQSQPIRTLHSEQNSPVTVRFSLQPGTMCWLKSRKEAGHSECLEISNITGCR RPIDVQGRNRPVIITRLITVDGITKYEAAPISKPNLSSSPLLMIKNQMTTFRNMPLAV WKTTLESRNNLKRALPVKGANSIDPENKENTFNKHRSRPDQVLYLKSGSLYRDCYVRI DKVLELPEQCITEYCYEDGTRPDEPLRLCLRSYKDLVNLLRMPGNRESLYFGTESGTC PPPEAGSCERSLRCSTRPVIAASEDDCRPRSHNELHCVEFSDPNSPGACYFYQW LY89DRAFT_736991 MGETKSPSAFVYPGSSLWNVSGLHNSLTQSQAPTAEGLSRSRYE DASTAFHYYSNTVERYTMRVMSNQGDALNALEGVLSVLRTTMNTEFIHGLPEMFLDEA LLWVLREPHHRRTALSNTKSGIGFPSWSWVGWNTRSNYGAQFSGYIRREVEWYLVNKD SMGFKLLPRDDWDPTEPFDPSDHQNIHPRGSPPEAFLKNLQNRKHLTTQDLSSCSLVC WTSITTFQLLGDLLDLGEDAIDWKNHDAFIISDLRSQPVGTIFLEKTWSDVIKKQKEF EFMLLSRSNTVENMVAVDETIFPTGEWCFINVMLIQRNADTAQRLGVGVVHEISWVSV APKPMLIRLE LY89DRAFT_736992 MEYGDGIGTNIWTTMGKESGLEPLAGVDNTIYNRVERLKTSVEI LRKALERSEQGNVTSDILPPGSHRVVELGGIRRRIPRAASPSINEHDLGAGQISTVSE ENNTLDDVSGIYLYSYLYAEDPPKDAIISTPKDANDSRTRRGFRLGIALREQSEHNRP YLDNAANIQLLSTSSCHIGSGPTFHGRVVNSARANLHLASEWLHECENGHQGLCETAA RGDNVAHARTAPHNLRVIDVNSMSLVLLPQRSKYVALSYCWGQSNRHFITTNSNFVEL QAKGSLQRTFPLLPRTIQDAINCVREIGRDFLWVDALCIIQDNDNDREMQILQMDRVY DNALLTIISAPPTLANSTPYDGLPGYRAGSRAFRQDIARVQALDLCTTFLLR LY89DRAFT_784812 MSFPSYASNLSVTTSPLSFHQVATIESPTQQSIPSAELPAVDAT TEEPTAPEDSTVICHAPTIRTGCTYWLRKRDQTDTFECFERRTSSPTIRGKLDDQGLE HPVIIIGSQTTRDHVVYYDAVPLSTFGSLSFKDYKLQRSTGGYSMRHSLPLMPKPPSD AAPSTVAYRNPRSRYPTLYLGEGSFERDSYVCLDKTMELPAVAIREFLNRDKSIPETP ARLSFESYVELMDQLGRGGNYEELYIGKPPVDEESVAPTTPLPEAAVIETVLPTGDDE ETAVEAPSLSTPLNSSELSLLLSARDFRQQALAEPRRRVSTGTTRSRSKDGVSKARRR ANSSGSPHRLVRRGPRIDLQFAIAILIRLHETIIRLQSTGSSLRAFIPASESQSIDLY MKKLSISKKLPSVPSLVGLRYEYSLNSFSTEIQRALSKMPNMVPGRRRPLRILYSPTP YRPLSLIKASQETPSIPRKAEQSYATFINNRNLLDWLVGHEGWSNSMFDTAAPQVPAR MTRLKGQKRSLADFLLGAGVSETKSKRCRLCRTFVER LY89DRAFT_151648 MLQRRQWHSMEFAHHNQRLDAKPWSGSMDMEWRARNLTCEEAWL LPISLCDDCSFRLDPDTEEVLISETDTRPAPHLMSFPSAAPRSTNISLLYTTEKHHCE KRETTHEILQTTSNCFEQPFEPSHAVAVATNLNESHRACYHGFFAPGHIVWKDAYDYI LY89DRAFT_699034 MPRSWRVLVAALLTIVGFLSQHFRYDVKNEFPSYIWQTWKNGPG SSGFEEVKGSAGSWDRRNPGSIHRILSDAEAEELLKDLYNPFPEIIEAYNALPKPVLK ADFFRYLILLAKGGIYTDIDTAALKPTSVWIPEEYQNETIGLVVGIEADPDREDWHQW YSRRVQFCQWTIQSKPGHPVLREIIATITHETLRLKAEGKLDDPDLSVIEFTGPALWT DVIFSHLNGPQCPDRRTLPPQVTWKEFTGITSPRMREDVLVLPITSFSPGVGHMGSRP TDDPMAFVRHEFSGSWKEEKK LY89DRAFT_736996 MMPKLAKGYLRCGKILSLTKKTDLALQIYERGLLKVKISSPGPG RVVCFSTVSITALAQIVFPTPAYLNQEVGFPFDSPRPAQYQSPDPAHGEAPDSTHSAL DLYQCL LY89DRAFT_151776 MAGHIDVDNSLEERRSLLGTVFHNVENVILDNNFQPSLSSKSMI PSTTKGHLSLTTTPALLELRQNNPQDCSNLITASVNRATVEISRTIIALNATFSQQLQ QASISASNGIKSAQDSATSTIAVVVQSASIATSSAFSSLTIANLAVTSVNFALTSVQA SASTAIASASSNLDLAQSTITSVNLALSSVSSASSTDVSSLSASLALLQASISSIQAS ASDAIAAAQAALASATGSAAAQASSILASAASNGQALSSQTSSSQPIFHAPPTTFLTP AQLAAIIVGAVVGSILFGLALYFLIIRMKNRKDRDSYLDEKDVARSPRNIPTRMSSTF RTGNAMTIKFNPPKTSDAPPPAQPSIREPTFKASPSAEIQEISDVSPARGVAWPLTLS FTAKEDDPFQDPTEEVTGWPLMAGGINTVVTTPSTWAAPRKRSVDIFEAARLSFIAPV PDPVPYMGPFADPVVDERGQSMAGNTSFANVTPREEVINPFEDPVEETFLVEEFRARA SEDVQPTFPLPNTVPIQQEPSRPSLDIEEPPSPARVLIQESQTQQSPSPPSSPALQQT LNTATPEPINPPIPSPHLPTPSITSLATLLPPQSTRAPLASTLALLRSEPEPERNSTM PEIDILLRQVAQQNEKLAYKPLFWTDFRAAEPDVPVDLGGFEVEEVRMLSLFKGYGGF EEEFWG LY89DRAFT_151733 MHTRGVSLVVAENVNVKLPPPIDELKDPSMEGALNIKEEIAQVV LPFLYFPFHLSSIIVIRQTFLGHRLLRLTMMSTTDNVVLLKSPTSRLKVQVLLRSFAH FHRLYFCITLQFSVTSLIMRSRSICSPTTNTRLLGNL LY89DRAFT_151782 MARMLTKITEMRNSVIYSTSSMYLYVRGLQEQGERNTSSIIGDK GNTLITPSPSSSPFLHCMCMRAHTHTHTHTHIHTHRHSNLMVSQRGGNEASLSWRIIN GWDQLETLYHTTSRLGPPRQCAA LY89DRAFT_721150 MRTKEEQTNESRTHDHDPVTPTPAQRQQPRSLRRTHIEATSAGI SPPPRVRFEQEQRTRSEFAHDFEDDLTSTQLASSSQQISPEAERRPHRALRISKNTAS AILYALEEALRHPNAFTPDLVEENAQMSDLLGGGPSASAGNGRSNNGRTAQPPPGTTG SPSGIKGPRDIMRERQAREARRKVEQEQKEALERNRAAEEQRQIQIEEERRRRTAGAA QRGSGDTAQRTSGGTAGGPRISDNSQRSQRTSGGGAPGNPALQGVGQGGRAVGGGESA GGNRPRPSQAPSQPRPVQAEAREGRAKAEAGSSAGPSGTQPTAGAGTTRSSFPHAFER WETLSAHWEGLTSFWIRRLEENSIEVNRDPLSQQLSRQVTDLSAAGANLFHAVVELQR LRASSERKFQRWFFETRAELERAQEIQAMTQAALEQERAERSGLIEAAVARDRNNKNY EQQIATLEKQVAEGKREQQISKEEARRAWEELGRREEDERERTASLRDGQPTLVGGVQ VVPMMQGVPSRHGSTRQPQTGTYAGESGSSTQPEGPVDEGGYSEYVRGQREPADPFTT TTTGTSSRQTPATYPGTHPAFTNSSPQGAAPAVQPASTSGFYQQHQGTSLHPTEAERN PSPAAFSEEEYEIDSQGQFIRDSRGNKVRYQAPASDEDDDEYDVAEQREREIANLARY GQTSSGPAAVTTAGRTSGPTSAPSMGPPVDYTGSAYDSAPAEWAAVPRHHHPTRLSDV LEEDERSRTSASQVSRRD LY89DRAFT_651074 MSRPIPRIWSCLRSTASTRSQFHPPAAARCRNLSTNGTGSNSKL RRKMEFQTTQKRTYKTVQEQRSRYRSGPFSLLAGTLFLSSGLGLVLYFRHEKSRMERR RVAEASKGVGRPKVGGPFSLLTQEGKPFSEQEMRGRWSLVYFGFSHCPDICPEELDKM AVIIDRVNEGMNGEGDEGKLLPIFVTCDPARDTPAVLKEYLKEFHEEIVGLTGSWEEV KDMCKKYRVYFSTPEKTEGKDYLVDHSIYFYLMDPDGDFVEAIGRQMGPEEAARCVLG WVGDWGK LY89DRAFT_737001 MRQVSINMLAVWISVAVFVAVTISTAATWWLVRFLSIRRLRATR PISQDIDRPNQQPPARDLWAPQPQVFQEAEKQLLTDASITYLLKRIKDLKFYTNRLAS QVHRCAWKSTTYHPTRVEEFLRQQCYPTEEHTPILKYLKHIYGSDTPRTRILSLEGLI YRLLITNIELRGSPIWTLLCPEYIALAHVLDQTSLDSFKTWGWEKTRVYLVNMLWPTY TRCDTLLADLGEAPPSKDAIEKLKSFIVDLLRDQVELDDVSRETLHRCCHLASLIGLA IHKDLRRWCWSYNHVGVMEGPFPLQRISKNDRPDDIRSVQECCWA LY89DRAFT_672267 MGEEKAFSSQLHIILDLGMTGISVSYINATTKSERFLVTNITSW PCEFRKQSDVIKSDGNAPLDKFPACRTKLGGHVWYGYDSLDKLDEGHVREGEFREGFK TTCLTDCGPEMGDINQWRKDYQDLIAHVLGYVLVVILGNEEVYDASNPSITIHLTKPS SWDQDPEAKSNQYKLVHNAAVQCGIKPSLLTVHQSPELDEGQCSAIAIAHEASEKLVP GSYYLILDLGGYTADFCIVQIGAGNQVDLITSISKNVGTNLIDAALAKLLLERAESES PSSTPHEQLFNPYLSQLDTYLRQALNPKQPINVFFEKVYLTGGGAGIERVADCIETTL HHKGLRSTMNSLQSHVQEKLKDKRNHWIKCDPLVIGYQKSMIHPEIQWLDGVNRLSED VDCNDPSRKLPKDTYCIAKLEDKRTSLQNFEQYTVSPRQENKYLIIFSSRSRAAPYSM VPK LY89DRAFT_672268 MSVVALLAIFLPLAFKALEKLWGRYSSITGQSRNLRWICAKIKK WELTLKLIRNYHYVAGIDPNVVEILKRRLTSATNYMEQMEESMENREKASWFKKTKYL ISDANSIEVNICSEMITWYLNDFTELTEHYQRQKIPRPEFGVVDIKFAQVPIPNRVLT GYLRTAIPAASSGQSSRQGTSQNTRSQGLTSDNASGGNIQSISTTSSTPTPSRLTPSP SLSRQCSSQKTSRDTRSQNVTLQNVSTNFSPSTTITSPTPISTITPIESQSLSMPTST QSTSSSIESQARPVQITASQARSTKITSSASTQVTTSGQSQALSVLTSSQQSSRPIPS SVKDLTSVDP LY89DRAFT_672269 MVLVIVTDNNPSQTYNESEDLQYGDLPDYDPEKDEDPPHLLVCC GTDRPRNKSGGVLVTASQSGPGFVTVHDYITTVHPWLMRNRDDILDAMDRREDLPDPE DMDLVVDAVFPTWLKIREKSEWVNELSYRCQQFASIHDLETSAV LY89DRAFT_544709 LPAISSWPSCGQLCFNNLIGQYSSLGCSSPDPSCLCSNVNFGYG IRDCSNGACGTDIASTVIAYETAYC LY89DRAFT_753447 MNNICDNADNFASTTRGASLHTVSNVPNNKYGYDFSGIRKDRKR DRVCPSPDWARRPENACPATLAQAPFRSDKLWWTPNLEPGTTINQIANQRSLATGQVT INSGIRYTCDEFPPASWVEGGNGAYGTSAGTTRCAAARCSPGINAEQDCTQSQALTRA DTALGDGPFNPSNSVVFFRFRTYYEFDYKATKIITTFAPPTASVEVATPANKRDEGSA NVTAPLGLDPIHSMTMAEVDALVAAGLAQEDFVHANVTHFESLASNGTMQPLWGDFQP DSISSFGANKRAPVARRISDDRITPLWKRASPQDLDNARLVVQSALNQSSKLNQARLA KPLRNIYGLRPGTLWAKCTAPSPNITFNSDVTPLLTFTPEIVQAAALVAEADAVANPG NVTRRAVSGSYWMEHLARKGTVPWGNDPNYRVFRNVVDYGAVGDGVTDDTTAILSAMN NGTRCGKDCNGSTTKNAIVYFPPGTYLISSTIPLPFGTQFVGLDVLSTDEYTGGGVGI DGGDQEYYVNTANFYRQIRNLIIDISKTPSSSSSGAALSQSCIHYQVAQATSLENVEL IAAAGSNQVGLYAENGSGGQISDVTFTGGAGIYGGNQQFTAQRLTFNGCTTGVHLIWD WGWDWKSVTMNNVGVGFQLTADKGAVGNIGSTSFFDSSFTNVGTIVVIAPPSSTPGSG STGLALENVKLSGVTTVVADTTGAVILAGSSATVDEWALGPVYEGSTTARSYSTGGKI GSYKLHQSLLDANGNYFERAKPQYEDVDVSSFFHVKDAGATGDGSTDDTAAFQAALYS TAAKGLVLIVDAGSYILTSTITIPPGARIVGETWSQLVASGAYFSDATNPHVLLKVGQ AGEVGSVEMQDLLFTTRGATAGLVLVEWNIEADAPGSAALWDCHARIGGATGTQLTPA ECPAVTSGVDSDCSAASLMMYLTSTGSGYFENMWLWGSDHMIDDPDLTDANNTMVQNT IYVARGFLIESTKATWLYATASEHSVFYQYNFNGAANIFAGMLQTESPYFQPSPMPPA PLHKRSGNEFNGCDESWSVIMTKCENIFIAGAGLYSWFSSYAQTCIDTQLCQKTLIRL DSNYANVRFQNLITIGAKYMAVMDGDGILALDNLNVEIHPHWSQITLLDVGSNGTQFG EVSWIDPALWEMDQPEVACSIPCTLRLPVWPSATTTVNYPLMTVSQGTWTSTITQAPL TFSQWIFELVTITEDGSNGKMKRIDGSASAMGPSGIFPTPPIVLGPNSPVTVDGGSWP KLAVRYISNLYDSPQVDPCSDYNYGCIPNPWTTTDPPWTSPNHPDPQNPNDGDGDNEP YNACPLYAIIGPRDSSTITSATPTSTPTSTTASTTTSSTTPTATEIGNLMLNVVHCYN SGENTEGARMQSAAQSFCNAIEYADFKQNYFHTSDQAFDYNDGIGTVTITLSFQINTG CT LY89DRAFT_699038 MTSPPAERPETPPNPSIVIPFSRDTDFKCAVLGSRTALVGLGGV GKSQLAIEYAYRTRDRSPETWVFWVHASNTARFEQSFRDIANCVKISGRQNPQADIFQ LVHDWLRDDRKGKWALILDNVDDAGFLRDLITIDPMSSEDALKLFETKLGGDDDGSDN AGAVAEFLVALEFMPLAIVQAAAYILRRKPRYSVREYLQSFRESDRKRTSLLDYNGKQ LRRDREAKNSIIVTWQISFDYIRKIRPSAADLLSLMSFFDRQGIPNTLLQNRSEQIKS RQDQRESVASSCIDYNTGYSDDEEGSTSQSSMSDGFEEDVLVLRNYSFISVNANGTTF EMHGLVQLATRKWLEAHGQRERWKHQFIKNLYAELPTGEYENWEKCQALFPHAQSAAA QRPKEQDSLLDWASILYNAAWYALRMGRGVETENMAVHVMKVRKKMLGDEHSDTLNGM AVVGLAYSLNGRWDDAEELEVQLEVQVMETRKKKLGADHPDTLTSMNNLAFTWKGTGK ETEAVRLMEECVQLRKRVLGLNHPHTLSSCTALDAWKAEQKDVT LY89DRAFT_721153 MADPLSITASVVGIIVPALHGTRLLLGDLQQLSDAPKTIMRLIN DVQSVHTALELLRGVEDGDWKSLGQNVAEQSKAIVSSCTQACNLFRADLQKWTRHSEG KLTWLDRANVGFFKKDQAKAMSEQLQSCKLAINLIVGVATLYSSVRNSHITEEIKKTI STKQGEVKGAITTADRQLVVVEKKLEDLSLSSDDDDEARSSQGKDEVLRQLEEESKGA KASQKLLTELLSKSQEEAVAKAAGVKTGSFTCE LY89DRAFT_784821 MADLVNPVRIKFLPSLAFNIARRRSTTNKATKPPSKNWAQAFQK RHPALKSQRMKAMDWNRHDRNIYDKIIHWFEVIGKVLNDSAVLLENVYNIDETGVMLC MLNFVKVFVSKDDKRDYRGAGVKRTTVTAIECISGNDAMKGRKNRGRKRKNTILEVDD PGAEPEEAHAAKELQAKRKRGRKRKSVVEVADDTEPEPEPEPEPEVARTIEASVSWRA PVAHMY LY89DRAFT_687297 MSDRASKALAEASLPGEPQTYDARSKRSGVPLATLYYRDYGRRS KEEKAEGQ LY89DRAFT_687298 MHLGIINFIKGLLGLASSKPNPAFKDDDDNKYIRGPIGNRGPCP GLNSLANSGYIPRDGKNITQAHLANALETALHMTPTLSAVLVNSVKPLLRKDGTFDLV DVRIHNVLEHDGSFTRHDIVQGDNFSLQPTYFEALLKDANNGPLTLKSLAKTFRRRHK ESREVGSPRLPLHLWFVSVAEAVAFFHGSQLGKELPLDHVRTFYLEERFPDAVLENKK SRTVPGLGLDALELLFYAYIMPWS LY89DRAFT_151910 MGSPIQSRFFSEDFSIDKERTTVLLRDDATSGWLLSSPYTTTHS KMQLTKHRQQSVSPCSHSPSSMRELDFQRRAAACRQTSIELQHRCSISSEISDPGLTE SDPFASTSPHSDASFEDIYNRHPPPVNREAEEKIWGEYFIDPSSPVKGSLLDDPLYHN LSSVFSSYAPTEVHLSPRCRSPNSPAGDLHRSDAVRASRDRTRHVHLPRPVPQYTPFP VPQLSEDPSPAHQSWPQRNESRTKQPSRPRAKTAPSQDRPIRPSNLINVCVIANNDIQ YIPTKSSLLHGHRSAPPTPMVLPDLLEQYKSHFDEDDDEEHKSRFDSFKSRLRSGSRR GSSNTGGSWSKKSSLEKRKKGSSFSELKDALKSCFGKTK LY89DRAFT_561879 PPQSPDLNPIKGIWAIIKQRLRRRVFDSEEDMKEALQEEWDKIT MQEIRDRIADMPRRCAQLKKYSG LY89DRAFT_709030 MPPVHYRVYVKFHPGSTAQEIRDEPSWTTGHEHRVGFWNRQDRV PGLTHSGDEIELDSDPNESTEVEKLEERYDEFRREAEDGKLVNFRDVINVQEDLHLRR PDVHSEGWRFVLNASEDWIKNTEQWPANIERKQKEQEAKEKRNEEDKTQERTPGDGEN HVNSGKVVNGKVEDQVNGNARKAKKENRAGEESDGVQQEHEWKRQSDENKHHEAYGSW SDADAEDSSSDEKEKSAHEKLREKYSSQEIALLRSLQNEKDYICNLKQNDGKGKSPVN HSDPLISIDEADQFSLDNWIPRSSNLIRLTGKHPLNGEPKLQSLFEAGLITPNEYHYV QNHGAVPHLLWVLHKLEIQGLEANQKLELTMDQLAERFDTVNIPVALACDGNRRKELN MIKRSKGFNWGSGAVSCAYWKGPLLRDVLLAASISERLDEGRRLWVNFEGADEPSEGR YATCIPLNYAIDPTNDVILAYEMYDVPLPPDHGHPVRVIIPGYVGGRCVKWLHKVWVS EKENDSHYHIWDNRVLPAFITEKDGEFADVGFAHPSTACNEQNLNSVIVKPAQGETIS LDRAKKGTTYRVQGYAYDGGGHEVQRVEISLDDGATWLYCIRKFPDAPIRHGNKFWTW LHWHIDVEIAHLVRCRSIIVRCFNVFKNTQPREPSWNTMGMMNNCWYIVKPEISEKDD GIAKIRFRHPVEPGTKDGGWMHLSESEKINEARQAAGAPEKQFTREEIEKHNTDDDCW IVVDGKVYDATSVLEWHPGGKAAITGHECILGVVTEKAANFIKKNAEKAAQEAANSAT NSSDIALQKHRWVPVKLVQRTELSKDTRRYTFKLSNSNKLGLETCQHIQFGFHFKDKM LIRSYTPTRPVTEKEDDGTFDLVVKTYFPDTRQPGGAMSNILDCIPVGEEVEIRGPTG EIAYKGNGKFIIEDKEYHFSKISLILGGSGLTPGYALIARILGDVHDKTEIRVVDANK SEEDILMREEMERFAQEHKEQFRICHVLSHPSEEWKGVKGHVDADIIKQNCFEPDRGK ESVVFLCGPPAMIQKAALPALKEWGYEEERDCFGF LY89DRAFT_651081 MPINPLPDNPSQIPNIRADTKKKPIIIGLYGVPGSGKTFLLKQL KQAFLPNKFWFWEGSEVISNIVEGGLDAFKKLKDAEKVEWREKAITMIRYECNNRIGV ITGHFTFWADGTEAPLLVITQADLNTYTHIIYLDIDPNIVAARAEGDKERIRAKTSVG HIRKWQIFEKTELRKLCRDNGILFTMASEIETPVLDQDNDMVSKVMKPVIDQVTPLIY DFAIHNEKYNRLQAISYLDRILLADPRKLKTILLLDGDGTLVEDDTGDEFWKRFLRSD ERLEKGHDPTINPLKVLFSSKMGHSYLAFRQATLLYEDGADAGRYDHICYMDTLVQSV HPDFLKLLEAVQRSENVGAVIVTCGPRRLWEDLMMHEGLSETVKVVGGGRISDGFVVT PTVKAALVDHLRGKHGVYTWGFGDSLLDLPMLKKTDQSVVVVGRRGSSSPAFDDALDA AIEAGTLTARQVSLPLNTPPRLDHRRLPPVTLTDPNFLEEIFRRRSPQSTTLTVLHAT NTNAAKLLMTPTRDAKVSGPALREAHRRVGWYLATQFVCESIGLEDYPIAHVQGHETS GYRLMQEDRTTIIALMRGGEPMALGVSDAFPLAMFVHANEPKDIGTHHIKGQHTIIII DSVINGGKTVERFVKYVWSIWYPIHIVVVAGVVHSQCLSDDGVLRRLGEDSHLTIVAL RVSDNKFTGRGTTDTGNRLFNTTYLLDRLVKNERGNDEEGSKLQDSKDVHGKKA LY89DRAFT_687300 MQINALFIALFAAATVSAAPFEARAAANASAAEFGTCNPAMSFA FGRPGRSATEGTFLPVDPTIAKGQEDALNPNIITNAICNQLINVCGVDKASAGETACL AAKADVAALTTKDQTTADAFNSALGVSA LY89DRAFT_709032 MLPFYVLAVLVAGVRASLTYKGVDWSSLLIEEAAGYTYKTTSGT TEPLETILKASGVNTVRQRLWVNPSSGDYNLAYNLKLAARAKAAGLNIYLDMHFSDTW ADPSHQTVPSGWPTDTIGDLTWELYNYTLAVSNAFAAADIPLSIVSIGNEITNGLLWN LGSTSSYYNIATLLHSASAGIKASKLTTQPKIMIHLDNGWNWATQKYWYTTVLGEGPL LSTDYDIMGVSYYPFYNSAATLASFKTSLTDMASSWGKSIVVAETNWPEACPSPAYSF PSDTTSIPFSAAGQTTWVKDVAAVVAGVSGGAGLFYWEPAWIQNAGLGSSCSDNLMVD QSGTARSSLAVFAAI LY89DRAFT_651085 MHTNECWACKDSRVVCNRARPRCSRCAKSRRPCQYGLRLSWPKA TNTKRSLTHSLQDVVGTAKGFSYTSFVNATSWDVQLHDYLRMNQGLGTRKGFAFRKLD PPMGLSWLPINLGYEDRELLDHFICMAPSTLAIFDPDKNEFLGLLVRLALSDSSPSST AVLQSALALSSFHRHGLQADVFRFKARALRALVVSCNSPTVVQHIAASMILCHLEMLG MPDAVSLWFCHLSEARRLIDNAGLDNQSFQGELSRLLDWVEYHMVMSRFSHRHWYIHV EPIRAISDLAPVKQGTCHSRKVESASHCSHEILRHFYIMFEMIRKPTDSLYHSDEYEN SLRCLENRITNIVPLTPEGISNTISSLSPAWTATTELFKIAALIYLKRASRNFSGTSP EIDAMAERGYILLDDLETFNSAFPLLIIGCEARTDEQRMRSLGHVERAMKSSSLRSLQ GLQNILQQIWVQDDLAVDYELDYLSRLDAVISSYRIMPSFV LY89DRAFT_687301 MSSYVITGVSRGVGFEFLRQLSENPANTVFGLVRNKAAVESKVA AEIGRKNIHIIEADTTDPQALKKAAEYVSKQTNGTLDYIIANAALQAKTALVGFDTLS KDPKALEEDLIEHFRVNAIGPIHLFNTFMPLILKGRAKKVIAISTGASDPEMTLKADM FQATAYSMSKAALNIAVAKFSALYRQKGVLVMAICPGAVDTGSLQIESEEEGKLAMAM FAKFKEYSPTFEGPSKPEDSVKSILGLVNKATVDGGYAGVFISHTEKKPYL LY89DRAFT_621763 MVFGWGESQDAYQQAQSGDVNQASFGHEALAGAASFGAFKVFED RQRAEGKPVSHQFAKEMLVGLVGGEVDKLAETKGMDWVDKEKAKRHAKENVQNMYDQQ YGGNDQYDPNQQDTNQALNNY LY89DRAFT_784831 MDADSSYLSKDPTILYDFVVSTTQASISSGLFEYIHDTTQPITY LCFLVDGTGNPTIQISLSDLLAVTGGVNPFDIEATTPYNDPRIGKLTAARFNVGIKLQ IGMPPGCMPPSSLPPGNVTILDPILTLGNSTNNVLFNMYCSELSVIQNTPGSWGDDGQ WNIFNQPYGTPWYIQTRVDLIMPPLPIDLSNPYFSNHPDQKAALLAQLEQMSISGLTF SLQQLLLDLENSTLETPPSFSGIPLGPARTILESTFRDVYAVNASNHGAPILSIAAIP SDVPDTSSLQMTSFQRQVSQQKDAAGNVLPIPLDPTLKSEQEDASTLDFVCMTQNRSL PQLPNFAWNWVLPVDVDNESGVVAIRRESFAQFLVDEIVTAVTNCCLALTCTTSCDAF GGSDYHVDPDLEPYRTPLNTFSESGPDVINIAYSGYVEAYSSSGATETGYVYDTTIID TYTITVGQNGDLSLIKQGESAIQDNSDPGQLDGFLNFFKDLNGVVDNITHQIGDWVGT NLEPVDFNSLPRFVFPGASVFTYKSVSFSQYQDLICEITYVTPSGAAAVGHSATTSSS RPKLALPESESEFEAADPPTDPTPTTTLTSSSDLILNYVQGEIVSPTSKFEALQVADG HSLLFAIDTSNVFHVIEEQTATISTGWKFSDLSTALIEAEFPATDAIVRTFDVGQSAV NGNISLAMAVSSEESDNLYVSLANSSSDASWIAAPISETPPTILTIVGVLFAEILESQ ECLVVDIYRPSTSGGTDIECYYIDPTKFNGTYWVKHDVPIDIEAGSYQSCIGRTGGDV VDGIYTSGVAGTDDQLIYTPILNAWGHGPPTVSRLIVPSGNPVSAIATARNADSTSPL YGTTDLYAVSNSALYRFAADAQGDNASGAVLVTSEVLSGCSKLLATSQGGVTTLWGKN ASDEIFYISCLVADLADRDSWSIPIPILSEIENVSAYVNKADGGKTIFAAGNGLVNLQ KIVQATDSTTKIWRTQQIILDAPIDQKALSFNSYTTVVQLSENNQPAAGATLVVSTDS RTPMYASGIYYVLGLKPAYIKTNASGSMIFIEATDDLQAAIISIDTTSAGPIVSAVIN PMEQPFQKITSLNSSDLLRGASFPSQTVASGVVGTPQSTSLIAASTSGDAVDAIVNNT SSLTAAYSSLSPNLQAGIATAPRTKPSSLNPDDNFVDVFIGDLVRWLKSGVSAIIQIV QDAATETWHFIANIAGQAYRAIMDTVKGVVGAIEWVFDAIKTGIEDLIRFLEFLFGWD DIQRTKDILHNVASLFLEDQIASIDTMEEQLDASFAAAEATMNEWAGTTDWSPLGPFS SQTPVASDKNAMQGQTASSQMLTNHYHSQSQNMSIIGGPTPPGAVDSLMDELLTAISN KGAVLGAVYDQLEQLATQPESAAVDLPEPLIEISEQVQQVFASLLHFGAGIVTIFRLV YKIPEAKAVEANSPTARYSTVLGIVATILSASADRLAPKYAIQNEDVIRFSLLFKALG VCNAIRFSGYVSQRFYDDIFGPLTIVEARSMGAVMDSILAACSFIVTCYHFKELFNDP ENSNRMVAMEGEAANFFSYCSRLLYAGAVAQNDPVSRPTFVAFMAGCDVLTAGFEVAT AFTAM LY89DRAFT_672285 MAILHQVSFYNECGHIEDCIRASDLLETLNVAAVEEGVAMLPSL DFARAMLDPGNSVFTTEVYYKTSKCGRCEGALPAGPGRSETVGELTESAIKDRRMLWK SITDNLVAPQAILKERHKALLECIRGTLLSSSDPNAPATFDIWSKNLSRSIERERRWY QDFVLLHFRELQLGFPLLQRTHAYAPGWRSELFAAVDNWTIDKDEVCSICQLPSGVPN VRVSQLPCKHQFHFSCIDQWMGAHGTCPMCRTMFNILYPPRPTNVFPEIRDGLEQLTA EQTHKGYYHLGAALEPVLLTERFLDGWNTFTR LY89DRAFT_672286 MNLKAQLAGVGLLPLLQTLFYISAIPVSVQAHYTNTTCKTTPND AAWPSISEWTALNQSISGRLLHPSPPAAACHSPTFDNDTCNAINTAWTTFAFHQDNPV SSAWNNMNNDSCLPNITAPCSDVGYPVYVVNASDANDIKSAIDFAREKNIRLTVKASG HDYLKRAVAPYSLLIWTRYMVGGYEFHDTFQPQGCNITIDTTAVTAGSGSYVSEMYSS LSPLNQTLVDGMGKEVTLGGYITGGGHSPLSHIYGLGSDQVYEVEMVTPTGEIVTANE CQNTDLFWAVRGGGGGTFGVLSRITVRTVPATPIALYDFTLQAASNSTTYFEAVAYFL AQLPTLSASNVSAFLYLYPDTAAGATFEAVLCLPDPASAILLEDLWAPYWAHVNETYA GQINSSATATLFPNLESLFLEYADTSKAGVDKVVGSWLLPPATLTEDTFQDALIDFLG TSGARLYMVSGKGVWDAVPRSGSDAVNPAWRKALIHAVTSINWTPLNETARALAEYNV NHVQTEALRQLVPDSGAYINEAYWDEPDFQRAFWGSNYERLLDIKKAVDPDDVLWCHI CVGSEGWKEVGNNLCRV LY89DRAFT_699051 MLRLDGKVVLITGLGQTQAESDVLGIGAAIAVVFARQGAKVFGG NRSLTSGMVTKKMIESEGGICDVMETNVTSSDSVKLLVDACMKKHGRIDILVNNVGRS EPGCPATMSEEVWDSQVELNLKSVYLLCHHVLPIMEAQGSGAVVSIASIAGLRYIGKP QVGYSATKAAIIQFMKATAVIYAERGVRLNTVVPGLMDTPYTKAMVERYAKDGGSEEF MRMRNRQVPMAKMGDAWDVANAAVFLCSDEAKYITGQKIVVDGGITSSTGRPPK LY89DRAFT_590408 MEESKELQPPQNGAKPAENPDYDLTQPLATGTGLRSGLTSYGDA HFSLFLRKVFIKALGYSESALNRPIIGIINTYSALNPCHANVPQLIEAVKRGVQLSGG LAVEFPTISLHESFSSPTSMFLRNLMSMDTEEMIKAQPLDAVVMIGGCDKTVPAQLMG GISANKPVLPLVTGPMMPGSYRGQRLGACTDCRNNWAAFRAGTLDVEDIGNLNEELAP TAGTCGVMGTASTMACVTTALGFMPLRGASAPAVSSARLRIAEETGTNAVSVAAAKRT PQEMLSKESFLNAITVLQAIGGSTNAVVHLMAIINRHPRLAGEITLKTFDEIGRKTPL LIDLKPSGDNYMTDFHNAGGMLGLLHTLEPLLHLEAMTITGQTLGEVLDANPFRTFPF SSQIIRPLTNPLYPASSLVVLSGNLAPNGCVTKASASKDRRLLSHSGPAVVFKNSADL ALRIDDPNLAVTKDSVLVLQGIGPIGNPGMPEAGMIPIPRKLSAQGVSDMLRISDGRM SGTAGGTIVLHVSPESAIPESVLGIVRDGDWIVCDVEKRELRLEVSDEEIAQRIVERK AKLEKDGDGVWKQRKARRGYRGLYERCVNQAQEGADFDFLTAVGPGEA LY89DRAFT_152017 MAPRLEDCPNEVIESIVVLLDLNDICNLRQTSRSLATKATQNHF KSFYLSKHVDTTTRSLEKFVDATRPGRLGCLVQNLTIFGVFTFPRVDGRDLAAGLWAT GMKLDLLNQALSGIAAAKRTEETISLSRNGVDWESYWQCVAGTFHTAMRAVAASGLKL RALIVFHDTGGQSGNLGCDELNRLDFQDQRLVASLSSLKYLALSLSDRAITNLPEPDR SQPPKQEFILEAMGKATDQENFIGLEKLLQLAPLLEDLEIRYYRLNAAHVFLRSPYLQ HELLLRELAQSEKLPRLKTCSIRGIVISSEDLLAFVRRTAPQRLLLDNVILSGGIFTP FFDHCTGETTGILEFEFKELFEKHNIVHFGEPGQGSFAQGLQQTGTNSSRTSTSLIRT GDGVKKPISYHYYHGVPMDTPQIREERRERQRLYGPLHR LY89DRAFT_737025 MKAISSLLWLAFALTSANARATERNIADAMIEKFSYLLNTRQEG ADGHDDSCALSVAACSPCAVGGCGAGGNKLKQRHHVYNNGFSDGDVYDTNFTASEPVP ASKHELVKRLFAEVDQSNVGTHMETQNGAATAVSLDGFYSNGSATSFSRQYEFANYIT TNPKSILNPATPVGIIMGTGDTLLTGCVVLTIVSRRAVYMAHFWQPYGFWVVPAIANN GWMDGPNGANLDENVLNLIGGKTPLYYASGVALDVTLFNQANDLPYAYLMAPRYPGNN AAAGSPDQIYLYWPQIQAIGAYIQELLPNLQAYLPIPYIAQAQYPANELYRGQALFEY DPNADGNGNGNWRLFYEETSVDGRSKGQNVVGVNQPT LY89DRAFT_651099 MTGPITGPLSYAALDQIHHNSTVQERQAGGDGYWLSTLGSLGEM PLAPSGYQFFRNVKLFGAVGDGVTDDTAAINRAASAFSSTNLTIGRCGANCGSSTTLG AVVYFPPGTYLISTPIVQYYYTQFVGNAYNIPTIKGSFNFTGIALFDSDFYIPGGNSA EWYINQSNFYRQIRNFNFDMTGMNWTNTDNDQTYFPTGIHWQVGQATSITNCNFNMAV SSGSQSATAMGIAMENGSGGMVSDLTFTGGNIGFLAGSQQFTAKNLQFTSCLTAIKQQ WNWGFTWKNIYVLSCYIAIDCTSYSGITNQGTGSITVLDSHFNGVPYAITIASLGSEQ PNIVLDNLLVENSASVVLISGGATILEGSSGPLYFNSWANGYQYLPDGTGGKRTGFID PAPSKSPGLLDSSGAYFEQSKPQYPATNPIVATQNGILNDGTGDQSGPINTFLANNVG SILFFPGGIYQVQNTVKIPVGSIITGSGWSQIMGTGSFFENAASPQVMVQVGKTGDTG IIQISDMLFTVKGATAGAILMEWNVHESTQGSAAMWDSHFRVGGAAGTSLQLSECPSG ATSVNKNCMAATMLMHVTSEASGYFENVWAWTADHDLDNPLNAAATESDEGIPLNVET DISIYSGRGILIESQGPTWFYGSASEHAQLYQYQLSNASNIYLGHMQTETPYYQPNPN SLSPYTIGQFPSDPTFENCADDTCRGAWALRVIESSNVFIYSAGFYSFFQNNQLGCAP EEDCQLALIDTNFVSGLWIYNIFTKGNIQIVSPQGGLPPLMFNSTTSDGYTSEIAAWL ALSTGGNSTGDSGSGSNIVTIDPTLWSEPASSQTVACYPPCTYVFPPLTLTTPTTFSF PKLTTVITVGWYSSTTGLEYGDTLTQTYYETELDTTTISIPAVTTTVISWFDVTVTSN STIIYPFPSIVPPGFNITDPTVISGVTHSPTNRTFFPPPWPGSTVPPAFTVSPTSGTP TTTTPPGGVTSVHHTRGPPSPTCTHVLGCGHSCGQGLFGFLDICSPCWLFCTGPPGLD GTDPNEPEGDPEPEPEPEPDDENTTTECQTTTFSSCNTLCTAVATTSSCSTSCKEVIG CSTTSGDSSCLAGMTSYLWTAGSGPLPTMGAGGPGLMYGFTYITGSEYTSVPPNSGTI IPTTTSSTSKTTSSKTTTSSTSTSSTPPPSPSVEVLLLSVFIETEAGGPTPELENYWE EYVNPIGSPLPNPCNTDAGNQLSQPGNDGISSYPSTFVFTSPVNGITGCTYINTAAPK RAVGTLTCPGVSVSCPTMTVSSSCAANPTVAAEGETYLVMAYCELPAS LY89DRAFT_590882 PLFAYGYGRIRQLGVKSVMGLPGDMNLELLHYMKDTDLRWVGNS NELNAAYAADGYSRISGGPGVLLTTMAVGELSAMNGIAGSYAENVKIIHVVAATGKMA QESKVVMHHTLGSSPNHRIFEKMSAPVRVAHCYLDDEKIAAQEIDRAIHECHIQSRPV YIYVPVDMVHKSISSATLEQPLLSSRPESPESTKFQDSALDAVSSAIYESKNPVLLVD SHVIQFGAKDIVRELADNLQFPVFCPFMGKSIIDEKKTYFYGTYNGKFSYPGIQKAVE EDSDLVIHLGPLPTDMNTGGYTARVDPKKLVSVEETKVIVKGDVFEIVYLKSFITSLL SSLNATKLPKPHPLTISPPPEPEDATSTEITQSYLWPRLGTFLRDDDVLFADGGTTHF GLQDATLPDITYVMQNHWASIGYATPASFGGALALQDIGVGGKGRGRVVLITGEGALQ LSVQEVGSMVREGLDVIMFLLLDFTQADRVGYHDIATWDHRHMLSFFGVPDGEKRTHQ VRTKEELETVLGLPEMITPKGNQVIEIYVDKMDFPWRLKAFGKAYRDMKG LY89DRAFT_672292 MESQASEQSNIHAAAAAPLTSAASPASHVPDGYYINKKGKMTKK NRPAKERQEYGLPQVLMSEAELKAVRPGAQLKTFANHPGKARRIRLSLREVNALNTYR GQNGGREVDVGAGNGKLVGAAQRKKASQHYEKTLGDRVAKKRKMMKQKRERKQRQMGK WGLGQPSA LY89DRAFT_152069 MRGSPWQYVSKLKQVFHLSGFRAPHDGIDIVVIPGLWVHHTVEK ESKRCSWLKILAASTDYDTRVFIFKYDIEPGKDGSVWHPLLGLSRVLLDALRQARPCE SQKRPLYFICHSLGGIILKQALYLAHHSYPAIRNALSGIIFLATPHLTSIDDERWEKW RLILKAFQRNVPKTALGL LY89DRAFT_152081 MLTSPMWFLKELELYKHVKPYRLDFDPEDDNFPRTNVDRVEIPG VPIHDIRGNEDQLLFPKCGFSILHMPSSLTTLDYDDHDLVTDRYYSFIEKAVQDFSEK SHLGAKVVALDHKVRKRHSTFPISNGENYSDPQPVMVAHVDWTPQTIEKKLRLTVGDE KTKVILGGQYQFCHAWRPLFGPLRGYPLAVCDYSTVNPENDYEITDDVYENHGVDENY MVYHRPGHKWYYLSDQEPTEILLFREYDSTVGLMSGIPHCAIPNPVPSEHIMARQSIE VELVIYWP LY89DRAFT_590360 MYFGMQVGSVNTISPSTALISFAIFKSPDQWLAHVFTPAENVVV QTIASSIAGMPIAASMLSVVPAFEFLRRPEEGGQRHFSITELILWSLGVSLFGTVFAA PFRKYFLLWERLRFPGGFATGVLIGALHKDGEIAYIADLDKKGLSFVDSDRAIDAAAD DAGDDASPDLSQTQSHLSSTSHVAIILKAFCGTTIYIFVSYFIPILNALPVFGPAAAG DWLWFLTLSPAFTAFGMILDLSVAYSIVLGAILGWGILSPIAKNHGWAPGLATDMETG VRGWLIWISIAFLLGDAMIRALHGLTSITLGLYNSIRSQPGQFCIGCCYPLRFVTYLV FGREIPLYLIVTAVAIAFPLCLVVIQSTGETDTVPLNSLSNACQFLFLLMLFEGAGAK LATMIAGAITEAGLWQSAVLMTDLKTAYLVRASPRVMFHAQLLGSVIGCFIGSVIYRT FTAVYSIPSKEFSIPLAHMWVNTARLAKGGDLPKGVVPFAIAALFILACLRAICITAG QRRWTSWLPSGVAISIGMYVPPSVTLAKFIGAGLRLYFMRWWAVSELTLMAAATDYIL SEGTLGFVPMTMAALGIPRLM LY89DRAFT_152078 MAESKDFGVRRLENIKRNGALLESLGVNEQAKAIHDSRPIEPAK KKRKIEKTKLNLPPSRASARIASASSRPIYDEDVLTHAIVTTKQTKSTSSKKGTSASK TKLEETRDIRPEIPTKDLGELQASWTSWTPTAPPPTRDEEGVFHFPSHPDFTPNKSPE EVLREGCFGGSYFRPLYSKKLSITISNDWEELPPSWTTNLNVPTYLTSPSYEPEMNKF HVTCGQSIEEWEAAGWINHDFDVRGWFQWYCRFFMGRRCADDERQVGRWRKCVGRTGR WRRMLLKKYVSAGVREVVDDGAEDGQEEVSPVMHQTCHHWAFMVTQEVLDEYWRTGK LY89DRAFT_152094 MALTTSSIGVTVVAALHPATVIGHIPTADSLIQSRATHPALETR RLLFDGCSLSLPSLSPQPLHNPAWITNNGSVSIDKYRTLLFSFRR LY89DRAFT_672296 MAIATATVAELVSQMQANLDAINYHLARISDTKAHDDEIERLES EREKRAELLNLQWEKEARGLEEKKRQAALPAAEQRRRAYEKVVARRAQEAEELAAARK REDEEIAARRKSEDDDRQAKIQQHEEELKANAEREEEEIKILFAAEEERRRADAIERE NSLYDDFDVQMEQLEEEMEKKVEESQKELAELEQKRQAISAMIDAKMRAPRPASFRRG SKRFSGTPLAADVADMLASGRPPMQRKSTLSRINEPSSQSQSLERGVPVQAHVDTLNA LTKYVYDGPKHSRLPTWADQASLPIVRGSHGVMNFRGEGAEMAVVESPRVRQSSSIST EAKKNPFKIDVIKHALLPTWADQSKLKLVAGDWGTLVFREGGADMDVILTPKSEDVDG VFEPAYGHPFNITNLGDIEDVSHLSSHSRTWKPVSRQLAAPEPVLQTQQHSFPKFDTM TMFEAGAATLLAAEVFKPDEEEGMDAPIRPRATSRAIPPEKVWFAAPKPVQRGHPHNN TRSKPSPELTTHMPSQGKPPRGLGAATMNHGTERSVPVAKALVASSEIVEVVGEKYDV RHPALEELVQATHSRNDVYWAADQAQLKPMSGSHGTLSFDQRGAVLVAQRENLYWANQ ANLDIVRGSHAMLKFSGNEASTKVMRPDPYWRDQATLSIVRGDHGKLHFSENDAILKV VRPNPYWRDQATLQNVMGTHGMLVFGDEVSVKVVGPDPYWVDQATLSTVKGDYGRLFF SEDGAILRIVRPDPYWADQSTLTVVRGDYGRLMFSSNGAALKAIRSNPYWVDQSALKI VTGQHARLSFSSDHTATLRPVRPDPYWVNQSSLPIVRGDYGRLVFSDDGASLQSVKSN PYWINQASLLTLTGQHGRLHFTQSGAITKSVTPKIYWEAQLALAVNRGSRRTSAALTS GGIKAKNVYWADQSTLATVRGKHGKLAFSGEASIVEVVSKDLYWVDQASLSRVRGSHG KLAFNAEGASLEAVTVNPYWSHDQATLPTVNGTHGTLHFKENSASLVVLRVNPYWIDQ SSLSLVRGSHGKLSFNAEGSSLVPVRATPYWAQDQGTLSSVKGSHASLSFRGNDAHLL AVRADSFWSADQSQLSEVRGMNGRLAFDLNSAALQVMRQDPFWGADQIKLPQVRGMNG RLAFDSNGASLEPVRPDPFWSADQCQLPEVTGIHARLIFDSNGASLKVVRPDPYWAEN QAGLSAVRGTNGRLAFSSNGASLEIVRRDPYWTADQGRLSVVKGTLGQLKFESSGASL IMKRPDPYWTANQQSLDVVNGSHAQLTFGPSGAALTPKRPDPYWTADQRRLDVVYGTH GQFSFGSSGASLMVKRPDPYWTADQGKLSVIRGSHGRLSFGSNGATMVISRPNPYWLA DQKMLHEVNGVHGMLSFQNKGASLQVAMQSRPYWTANQADLASIQGSHGAMKFDPSGA STVAIRAAAERVDHIGEVSLAHAISHLKDESSINQEPWIPEQPSLPVQYAPPNPPTYW TDQSQLGTMQGSHGMLVFHQEGAVTIPHQIDHNGFASPLETGDFFGDSDHSDYDDFRS SPRNSFQKPRDTSVPPPAPVSRRSFGPGAFASLLNRVKDNVPIVTNLSHPLHTASRR LY89DRAFT_152107 MIDSTSRSTTTSPPLNIILIGAGLIGPRHAESILKNPFTTLLAL IDPSPATISLAATLTTTHYPSIPSFLSSSPQKADAAIICTPNSTHVSLALELISASIP LLVEKPISTTISDGKKLIDSAKEKDIKILISHHRRFNPYLIATKKCLDDKQLGTITAI QGTWSLLKTPSYFTGPGSWRQSSASGGVVLINLIHEIDLLQFLLGPITWVTALPTPKT RGFEAEEGAAILLKFESGVVGTFILSDATPSPWNFEMGTGENPLIGQVEGSEVGGFYR IFGTGGSLSVPDLRVWRGDWWEGMEREEVRVEREKVPFDEQLGHFVNVVRGAEEPGCS GEEGLRALVVCEAVKRSMGEGRAVEIKGFEIRCV LY89DRAFT_590729 MDTEDRNVDSPFLDNGVITHSSKELNFLSRHRWSSKILILLSIL AISFLGVNLSQTTVFGNCPHSRSNPGYCSASPYWKGWPYIKYTFAFGDSWTSTSFNYT DAQPSPNEPLGNPPFPGITSSNATNWIGYLTTKYNASFLQTFNLAVSGTTLNNHITNA FPIPVTEQIYARWMPAYAEAYWTPWKRNDTLFMMFVGINDVIIMNDKTGDDLALIDKK LESMHLKLLSSLYGAGARNFLLLNVPPLERIWQPPWVSKEAIEKYGSDAKMYNRRINR VAASLKAEFADANVFVYDVHRLFNEALDEPKRFEQLRGIKNTTEFCPSYAMGTPTTDY FLPECGIPVNEYFWLNALHPTYPIHDVLAEEVVKLMESGPNVC LY89DRAFT_687312 MATVASAAAAKFRLVFFAPVPALETCKAAIFAAGAGRYPGGKYT ECCWTVLGNGQFHPGDTANPHIGSVGKLEHTEEARVEVICFGENIAREAVAALKTARP YEEPSYGVYKLEDF LY89DRAFT_152121 MNKRGYHICKACRRPYVSEDDAPKRLQWAEDMKEKYPEKEDWHN VRFSDECHVSFGPEGMVHVIRTSKQRGLSDCIQEVRKEKDDDQQYRVHWWVAIGYDFK SRIQFYDVPSNSNSKMTHRVYIDQILEPVVKPWLDAGHDFVLE LY89DRAFT_753490 MARLSELYQYDNLPTQTSFRVLELLPGQEGDQISCLLHTVDWSN PLEYEAISYAWGDPNAKESITCHGRGLEVTRNLHTGLTHLRLQDRSRILWADAICTNQ SDIPERSSQVSQMLRIYQSAKAVLIWLGPDSEEQYAQFAIHSIRTISDFLCHKVGISV SDLSSISDVYQEVVFKNRDSLPLPNACEFSTEAMWKALVWFYSHSYFTRVWAVQEINA NKARLLHCGHAMIEWGRVALVAGYIIMETAFSKSFGFTGAHCWWAAIMTTERIRQPKN WLFMLYLASNFSSTDPRDQIYGLRGLMKFSDGAGLLDPDYGKSTVDVYREGQSI LY89DRAFT_753492 MSTDSKPQQYEQFFRYTSGRWLWNEEKKLRERYMKFNVKELKRI TAESIGAKSCVSMIKLAEGGYNKVFKLIMDNGSVAIARIPCPNAGPAFKTTASEVATM EFARTILNIPVPKIHAWSAVTDNPVQAEYIVMEEAPGIPLADIWEDMELQSKDKVIED LVAIEKKLLSVSFTWYGNIYFAKDSFPGCEKAELSSDVSVELKNEVEERFTIGPVVEH VFWRKERASMSIERGPCKPPRKKTAHDYLKALANNQIKWLSQHTVREPPTDIFHKSDA QNSPDVHVALYKKYLGISPYIFPKDERMTRSTLWHWDMHTPNFFVKDDRITSLIDWQS TWAGPLFLQYRYPKLVNYTGEVMLRLPGDYKDMEKSKKDPVANQVERSLVQYLYKTET KKQNPLLVEVNDVPHGTTRRQTIEFAEDTWEGDILPFRQCLIRLERHWDEMGFDVPCP IHFTEEDIQNHMRDGEGWNEQADFWDGLEGFVARDGWTSNETYKEALEMFAGLREEGL KQMTGEERKDFKKQTRWAKRMTMALG LY89DRAFT_538449 LTASVTSLRSSLTLLDSSISILDSGISDFPRLKKVLSSTRHFEL TPSTTLTTAQASLASELAPAITTLLSRAEQYVAKLERKQGNLIARSELLAGRLEGDGG LRKKRSLEKMLAKKSGGKGVNEERVARLKALRSKKERLGYAVERLQLQSQQRQRQLRM SVA LY89DRAFT_784846 MGAVSLLTSIDSTNHIHLIIGSNPLAAARCAKSLEVGAKPLLIA PETSELHYALQKRIDNGEVKWLKKSFEDEDVLRLGREEINCVVDTVFVTLGPRDPMSA HISNLCRRNRIPVNVADAPSLCTFSLVSTHTSGPLQIGVTTNGKGCKLSSRIRREIAS SLPPNLGPACERLGTIRRKIQEEDHLSHMALAGDLEEEDSVDQTSTFNRLITEADLDA AKNRRMRWLSQICEYWPLRRLANITDSDVESVLRSYTSTLETQQLEKRTEKKGRIILA GSGPGHPDLLTRATHKAILSSDLILADKLVPSGVLDLIPRRTPIHIARKFPGNAEKAQ EELLSLALAGLKAGKTVLRLKQGDPYIYGRGGEEFEFFRKEGFGDVVTVLPGITSALS APLFVGIPATQRGVSDQVLICTGTGRKGRPTVPPAYVGTQTVVFLMALHRISGLVADL TTHDSEDAKVLSEDGLLDESRRLWPKETPCAVIERASCPDQRVIRTTLEFVAAAIEEE GSRPPGLLVVGKACKVLHKGDAARKWVVEEGFKGFEDFDGTGLEELMLGKDEDVRPEA VVRGDSSGMELKV LY89DRAFT_687318 MAQPLTRSETDAAIANHGPMLQLHPDEQYVNCSIEWMLSHSTLI DTKDKTKNIVHPRPDQLPQGPKQGTQYYLEVEDSAKPGNFSTAKAYVNAFWQKGLTYT DLQFWFFSAYNGHGTALFGSLVEDKVDHQADINLAPLGEHWADWEYAAIRIDNASKEM IGIMLSEHGKNIFFDKAAVAKQFKMVNGEHPVVYSSLNGHANFPGVGTNFSEHHQVLG KPAGLDFNIVNATADGGLQFDCSTRYQVVAADWLTGTPGAYDIPAWVGYPYRWGPEGT TIHMKLTTLSEFIKAALRPKDFFEVLVLDDPITLLASELLHVFVKADINGAAAPATQA PWTGHYS LY89DRAFT_672304 MEDTNLIACLYPAEGDRLTWDAIRMRENSGRYIARAPPENTTPD YGSRESTAPLEDDDKNTDHRDLDTPPGLQLTFNPGPKAGQGFTIGTDKNRCDIVLPKL LENKIGRRHCVLTFDAKRRLILRDLSTHGTTVTYDDKGREKRHHFTWILSGEEEPEHV EKIVIQIQEIKFQIIVAKHETYQESLIILCQSLDALTSVHEDGTIHQNIKPENILVQS RSPLHIKLADFSLAKATASLQTFCGTHLYAAPKIYTTPRGTYYTKACDIWSLGVVIFK YAYGPLPEWDNKDVGLRWCKKIINLVNDWDSDPLVDFLSTAIVVMKPDGRLLAKKCWK QALQLSAPFQNRCPTPTQASYSASDCLTEVPDLHQATPSATPTEVATFIRMEAFQNHK PQESNVASSQATVDHYLINYSTEEQELEDQQSLRNLSNEGGARARKIKRKKGSTTDSA PAPRERRPKSPTHSTRHSSTVRRSKRIRRKEHDQHLQHPSVDATDLFGSNWLRDPNCV GSSVAVLAEDVDEGRINLEPEIGNASSEWILPPYKASGQAEDSDSVPEPTTINHLSTK DVAPIIEQAPPVLPRAFSVMKWNGCRVVQRGSDVNIMQAAICKGLHKWMAKRSWLLHC TGSEWSTHGNSLVEEVDGANMSQGQRQLLCLARAMLRGSKIIILDEATALIDYRTDLK IQKALDAIVATDITIAHRLQTIINFEKVLVLDHGEIKELGHPFQLLQDKKGLFRAMYE TNGNVDDLESLAEESWEKKTGGACVSMGSIL LY89DRAFT_152270 MPAALELDNGKHITYELALKKDVNIINEATYPRARRRLFQKLWD QRATIQALVRHHLRLRDQDACIVKAESQWIRGSFNVCIPVEVRSASFNKNLIFRCPMP HKLAEAKHPGTIDEKLSCEVGAYVWMLSMRIVRSLGGAIQAVRQLL LY89DRAFT_721179 MSDLFETQNEVTQNDCDTYAQNLVKGFVTPMPWQGFHSYTLRSN SGLIIQFRSKASPLDSSTAKLAKKVHGHLAPATTYRGLMPNSSVSVWVMEIITGVGYL FTTSTITTAKLDITVTDFAK LY89DRAFT_590758 MNILVDPDTGHITGVVDWADATIEPFGMALWGLESVLGCSGPTG WSYFGSDPSYSHLGCDPSRSRALFWRAFLREIEWKISDECRHAVNEVRTLGVLLRYGF RWENGTVSPVKDTTYLDVFLKDELKLAEESHGSEGTD LY89DRAFT_672307 MWSSKVILLIFFLMVPDSHNIFDNTSACDTDDIDASTRDADGYF DSTFSTCGNDLVFYDISTCETNKSFYVDSFDIDELVIVFFVSIICLSVIRYLSVIHLS VIYISAIKHSANSVNKYLIDSYKQHSLTSYLNPISNHTIFEEGSFNRRKSRNWNRRSS WCYRRLLARMDTRKTCKVVPASDREDPVFSYKEAIPGQTNPNAAEMGVAPDRAASHSP VYSELDGRLSARIVDPVELQGYDRY LY89DRAFT_651126 MAPIYPPLQVRETGYLQVSSVHSIYYEDSGIATGVPIVHLHGGP GEGMVDSDRQYFDPTHYRIILFDQRGCGKSLPSGCLDDNTTWTLVADMEALREHLNIE KWIVMGGSWGSTLSLAYSQKHTERCMGLILRGVYTLRRRELEWFYQQGANMLFPDYFE QYRACIPEAERGDMIAAYYKRLTGDNEEEKLKCASAWVTWESATVELIVNQEYIKKAQ DSKWALPFARIESHFFVNGGWMREGQLIEDAYKIKHLPVFIVQGRYDVVCPAKTSWEL YQALGGKENSNVEYLIIPGCGHSSHEKRIEESLVNATEKFKIFKK LY89DRAFT_687322 MILCCTRVFKAARMFSTLVGHSGREYVRQKLILRMTNLDPAKRA LMSDIIMDPYWN LY89DRAFT_590356 MADSSPKVAEAERIESPDAIDLKAQTLVDQIKKSKHFIAFTGAG VSTSAGIPDFRGPEGAWTLRAQGRQRTGKTVSTLQAIPTPTHMALVDLQNRGILKYLL SQNCDGLHRKSGITSDRISELHGNSNRESCKDCGKEYIRDFRAVATYEKTVHDHRTGR KCACCGGVLLDSIVNFGDFLPVEVLERARSNAKRADLCLVLGSSLTIPPASGIPEIVG KRKGGKLAICNLQSTPIDGLADVRIFSKADDLMIRIMEKLDIPIPPFILHRRLMVDFT SKDERHQLKVYGVDEDGTPATFLQSVKLEYNRRLLKSEPFVFNFRGAMDLGLKLKLEL EFMRHYGEPNLDIVCEAHEGGDEQTLYLLDYDPVTGEWKTSKQRGQANSDGDDAKEVI DLTDDTL LY89DRAFT_651131 MDPFHHPSGGSITCKWCSFKPSEVMFENAKLCLTCHDKALLAVQ NPRLCEECNAIFTEENVTRSLFSEYGYSHLSRNALESSAERGCGLCRMFLLQDPNPDE RRLQMSLSLFAEGSLSDDGCTVDINSLYFSSEPDMFKLKLSVAALDDNAKMITSQSPI EKDLGDDNTIVQAQNWLSECLTKHSESTSLKDDLPGNRKPFPTRVIDVGTNSSSVAKL FQPSDTIYDDYVTLSYCWGRTRFLTTLKSNLEEHFRGLEEAKLPQTFVDAIKTTRKLG FRYIWIDALCIIQDSIEDKIIEIGNMENIYSNSTLTIAVVNAPSVMEGFLKTKPRLSV DVPCRSPNGGPGTIKISPQVEVDMWQQPLYTRAWCLQENLLSARMLLFTNTEVLWQCR SHPILRPSTTHVEYLYENPNLASSPFGRIPDSVTVEKSQSSVSPELEYERYKAWHHTV QNYTKRNLTVLSDRFPAISGVAQKFKDAWENEYFAGVWKPHFLASLTWRRTSSWQGPA DKYWPPLKEYRAPSWSWASIEGPIEFDWRLDLGNMRGLGAKLISCEVVPLRNQASLGE VKSGRAVLEASLIPGRDLPNRGIAGLDAETYRIGGTLVLDNHEEDRWPGPGRAHLLSQ GEGLMENSVGMLLGEGRDGGGKMTSTTVLVLMPVEGEVDTFRRVGFWSTSHKGTSKMW VGAGKRKTFTII LY89DRAFT_721182 MPYDPDDLYTAEPKLLSLDCFPVFFVQPAAPPDYDLIMAGNTRY HRISEDNRASMESSNPELDAGLLLLDPTRDDDGNPPPKKSYNFSYHPTLLFRFIAICL FIPSFAMLVVTDRPRNLSAIIFICISFVRNILVILHWICSKRLRIRFSIELRNRGPVV GKPKRGCPAWLKHGLLHILIDFILVVVTLITTIIANSGANNWYWRSRHNGLVLPACVL SYIGNAFYLLSFIDLGKPNSITINSGIVFDYGKGNDDDQPSQPPQPQFYRDVEAAGGL GADSRKTGADSPVII LY89DRAFT_541099 GQLDVTTGFDITWPNSAFITIDPIKGEILSMDVSGVQVNPLPLT FITGSGCVQVALRFGLKAGLGINLLGTSANIQAGAFVDAPAYQACAEFNSAAPCELGL TQKVFGDAGAFADIAVKIDFLQFSEGPSVVTTFFSADLPTACLASTTTLAIANTTSSK ASVTA LY89DRAFT_737053 MQLQSRVIGPGETFHLFKHLPTELRYMIWQFSLHPRVVDMEVVD TYDEDMSGIEQPDDGSAEAQNRMSLRHERIETRRSQEFRRWVYYYDNEDFPLLIRYQA HAHTLLPTALYACRDS LY89DRAFT_737054 MSLDTLFLGPNMNYPVRLASVGFLQNLNTFERKSISTLAIADII RFRANHFWTGVGSCIDGMAGLKNILIAHSVANPVFNRYQTIMDRPQGYAVEQKAVLCR LWNSIADGISSTKLYEEYPKEIFKGVNPAELELQLEIVHGQALTDRNWIAQKTRSVWV YYGDLDSRQKH LY89DRAFT_152448 MGLIQTSIMTGGMIYAVNKLTKTIEQRQDSNNSSKTYRDNNSQA QGYWGGPPLPRGPPYNSNEEEPRWYPADENTRAPPYSPPEYVPEKPRWKADESQPKSS SRYPSQPLQRYLQAPSEHEFAGRNNQRRISGLESLADLAIGFVDKGGSSRSGGSSRGG SSSKGRSSGTHRKKKGRK LY89DRAFT_687327 MYTSICLPFFSLFFTLATAQNLGHPSNGELPLRPISFSSPSQHP ILQPPNDLSPSSGIINVPFCTLDEPIRAIPVTFSISSQVCGPLLPNIPYIIADLSERL LEEHINGISFLSSTDAQTLSPPIYLGANITEQLYRLSSHPSRLV LY89DRAFT_567425 VPFAMTWSSTAFGPDGPWQAVQVNIGSPSQQVALYPAGTFRSHL LTPRICSNITLSPDTCYASAAGLYAVESSTTAVDTAISVPAAIDYTHGGLQVQGTPGI SVLDQINIGVAIGSGNTIVVPNVTIGVYDDVATVYPSGSLYGLQVGTLGLGYLGTVNQ SFTQLGLPSINGSLIPGYLEAANLAPSNTFGLHIGSANLKIQGSLYFGAFLGIEPVDD GKIGLIDLLDITINVIDGSSPFNTSTINNLLAAGNSSIGPALPVPLLPEAPYLDLPKS TCDAIAAWLPLTYNADLGLYTWNTNDPQYSQIVTSPSVLGFVFRKDQTNTENLTINVP FMLLNLTLEPPLVSKTIPYFPCNAQSNGRYSLGRAFLQAAFVGANWNANNNQGVWWLA QAPGPNTVSQSSVETIGDQDSSIASSSDDWADSWKGILIPLNSQSANTTASNTTSSSN TTLSSKTTLSSKTTNTSHELSVGAKAGIGTGGAVCGLLMISLCACLCLRKRSSDQEPS S LY89DRAFT_590895 FKNSRLQPQLPSFRTSLPTWSMICRAAKASQDCYDSQSLTRRGT YTPANSSKDIKAMIIDDQLIDDSRLVIISTRGTECKCLADWAVNKDAKPAKPVGFLDD EENACHAGFLQVAQAMISQVATQLQQQYSASSERPSLLFTGHSAGGAVAAMLYSHMLS VSVCSELTTLANQFSTINCITFGAPPLSLSPLLKRDHGSGIFLAFANEGDPILRLSDA AYVKSLAKLMTTSPPSSGPAPKVKVVRRSRGTSVIREIAPPPPPWEELPLWPTPPVLL TNAGDVILLRDKENGRPTASRVTSEELSDVIFGDFAQHTMQMYIRRVKEVAFAAMMGR NLD LY89DRAFT_590769 MASKTFAKVEDVEIQLHELDASKEACDRTSASTYDGDARDNKTN AFIKEWDAPDNQENPRNWSALKKARHIIPVALLCLSVTAGSSMITPGVFAISYKFQVS HTAAILPLSLFVLGLAIGPMLAAPISETRGRGIVYKVSMPLYMLFILGAGFSNSFAGL LICRILAAMAGAPCLAVGAGTVADLFEPSKMAGPGALVVMAPFLGPCLGPVIGGFSAT YTEYRWTQWSTIFLALAAYILVLPTSETHRGVLLKRKAKKMNRPPPEPELARKDAIKL LLTITLFRPIRMLVSEPIVLLYSIYNAFTFSVLFAFFEAYPFVFMGEYDFQIWQYGLT FLGIGIGVLLGALGAILVDRLVYQKIVKEGGDRIRNRGPEQRLYIGMIGDLCLPIG LY89DRAFT_737059 MQIPNRLTKGAKVANSAIPSGSSSSVVPEKRNKAPKPKSIQIKF VRLRSATADVTILASGATFNHVKLTNSTYADGTNQQVASYPFQTNGYDFARHFADRMS TPDRVNFLSKHWIEIVVVVGSNPSALLAQMYKLGPDFAHHVKNLDVKIQLPPLSQINT PLNLISASPGYKLVEEIAAEVDTYTALLKLNVVLSMPHTFARGMHDSQLSHVLPFYQV AFTKWTFKYQTPGMRFPDISPKGDLERLGQLNDKATLGNQDGQDEM LY89DRAFT_737060 MATARAANPNPNESMYGIVTVGHFSRFYELKPGEGQLRDYSGHD GTSLHFKQDEETIDAVLCELVALTQSNLGTGMSNTQANSGGQMPAPPQAPAWVWDEDA KMLKYWGGTEWVWHSGFDGAE LY89DRAFT_784862 MSPCIFSCVLCGYVICDYENPTSWLKQFRILYSSRERIAITGVG LYNDPDGGDWIAPLNFAARWDDAGYNSPTHDQIGVIRQHPVNDRYGFPFHEACWSLLE KAHSPESIPCKALFEVCRSLPFPSEGTGLSWGHDFEGLVLVDNQDRYSWEDRFVDRSG DWALFLGARNDPYHVPEIQQLPDEYFQAPPAISSFRPVTTVVDCFAALPEEIRIAIAS NLPTVDALNTRRASRSFLSIFYNQQFWASRFRANADRSWLFESQEWGNAHEWRWLYRL TNKSHRTRGMQNRERVWKLIQRVRGTLPLRWIEPSVLPSPSPIPASLRWLEATGDLRL EERTRPYHGFNEGCRLFYEQQTSIPTLLSQIAFSVIRLGDAEYITGMRLIPSQGEVIQ LGYRTEGRERFLDIKFLAGFNFGRKIKRHTRYPMHCRRQANVTMVWMSVWGSENEASR GFWPYNSYQSWVRQCVLLATEKAIEESKSLRDSAFWYPEIPGIGLYLNDNCFVARDSS TARYQPLCWTMFGGLGGIYLRHLTGISVTCLGTLRGIEFHYNTEDVPIECRKLGRYRS SKYAKVIHFSIDGPAGEVIDAIEVYLRYFVGENVLWFYKHGALESFKISTNRGRSCHF RQEESAMTDNFVEKPIMIAPGTTINWFYWSQHENSLTAVGAILEVIGEEHRGASLAK LY89DRAFT_753539 MSRTLRTPFALLKLYDFLARGAKAHVYIINSTVVLKVPIRYNNP DTTNIADYATGIELIKREKAIYEVFNKRKSKHPNLFCCIFAIREGIFLEHFIIIFEFR NKNREKELVRESTVIRWTLQLISAEIYLEELGFIYGDLRPVNILFTKEDDIKLCDFGD TIRLGEWLRTATPGFSQVSDLKTFRPCITSCGSE LY89DRAFT_687330 MKLQELFRSRESKHNKAHSDLPGYEEKIDTNPVLNTMSDFPLTG IKAGDTPKWKWTPTQCQEWLRAVFIQEFGESPDQATKMAKRIGGSGPSIYMRHRYERI SLLGEGRGISLYAIILMKRNRIGAVPFSLILNH LY89DRAFT_699070 MLIVIGISRFGVPLMLNSFPVYLPGLLSSLDIAADLVPYYVGIC SSIWSITTCITSPFWGRCSDSYGRKPAILLSNILFLFSVLLFGFAGKLWLILAVMGFL GFAGGMTPVLHTTVAELVTQKELQPMAFSLIPLMWTIASMVGPLVGGFLADPTARYPS IFGENQFFMKFPYSLLNIVVAIPFFIGCVVGFLFLKVCYLNRMKLVGEATDICTGNFG RKASSVQQSLPNLFLLEIFTQQSVLSLIYICFLQTHSKLFDEFLPTYMQAVPSPTEPT PPTYQSSALVDFTIFPGGLSFTSHTAALYLTAYGLSAILIQLLIFPRLAPSLGPIRCL RIASSVYPFVYFLTPYLSVLSETPVLRGICTVVLLAMKAGCGIFAFPSSRILLCNSAT KGGLGTLNGISSSSQQLMRAIVAVGVGKLFSLGLQNGIIVLPWWTLSFVSMGGLGTVV WLRDEREGGGSIGSEERTSGS LY89DRAFT_590915 QKLHTLTAAIKIMISCLGEDPTREGLLDTPQRYAKALLSFTEGY HMKVANVINNAIFHVDEGSDMVIVKDIEVFSLCEHHLVPFMGKIHVGYLPDGRVIGLS KIARIVEVFARRLQVQERLTRQVALAIEEVLKPHGVAVVMESSHLCMIMRGVQKTNSS TTTRCMLGSFNHEADLRKEFLSLLRAR LY89DRAFT_590791 MQSNIARPSQSGSERRSVTIIVAASQSMGMSFKRRLPWPRLQRE NAYFHNTTKRVPTEGTLNAVIFGYNTWDKTPTKYYLGRINVVITKDPEEALQRLSSEY RNDFVHVATDINTAVELLERTYPYPDNGFADGHVGAETRVGTPYLGRIFVIGGAGLCR EALSYSWVDRLLLTRVICDFKADTFFPLVLGGRGNEEWRRQDDQAFQNWGGDEVPIGV QFENGLEWQTFMFERA LY89DRAFT_672325 MTSSASTMINLSTPSSVFAAAIDSFRGSLSKSDEEHFQPFDTPD AMIENLRDRLSQATKNDRGKTSRLLTACTKVDTFCKSLEPYFEAVSILVSSHPEWAAI AWGVIRLVFILSSNFVTFFEKLADMLERINLKLRLWEAQVNVLRLRLTEKRSQEDNAK WQSSLHCLSKSMSYVYADIIQFCSDGCRLLPKAGMKIRQKAGIVRDLLWTPFDTRFSK TLARLEEHSTLVHLALQSVLSEQLIQNCRSVDLEIQKNSNFRQGFDVERGQKKMDALK NKVAILRSWIGAPEWAEAYDAAERRRLEHTGNWIFDQVGYKSWLASPSVARTSCSSSF SSRFLLLSAKPGYGKTILSINIIENLRTEIAKNSSNSKKGIVAYFHFEKLQVKSRKSN AALRSVLTQLLQAGQTDTDFVDVASILYNNGEGQCKSSEKEVKAILEIFFRQMPPSYL VFDALDECEDWEDFVESLAELTMETQHKIVLLARPHIDVQNTISQCVHHVALEAERNV DDIESYLKSRIEKLVSKGAIPATFSVDAIVSQLSRRTNSMFLWAVLMANYLSSPYLTP IERSTAIHQLNRFEGLDAIYARILEELEHRIPYAYQTKVKHIFEWLSVAKSPWTTEVL RTALAVQPDRPLSEHDYIQNFEAALLQMCGSLIEVRNNGTVDFIHLSVLEFLQETHNG NTKNRVPTPFRVRNGDAESSMANLCLSYMINDVPHRPLAGSYAITPSRDIVASRLPVL EYAATSWTAHAGKCLLAFDMQDIYEKLCASIYNFITTQSLVSVWIEASWLFGNAPNVD ALMPGIVPGAHSSGLSLVDNHLMFRNTVSQFAETLARIHHHWNHILATEPNEIWGPSI QAWSNPAFVAENNSANIVSLSSDHNSDAILIATQVSHGSTEIGLMKVKPPRTFGNIPR STLTNSTMDEDCNSLNFIAIYEILSLETRQVKFRIQFEVPTWQISRGVVHPGCFDDES TFQLPVKFSPSLRSIVVVDTIVKIVDSLENSQEVETHSQTLMTPACQHTSTARLSTRI ENGQQRDSKQSKVGHQRHSLADHRCYSWFRYAWSRDEHYLAILEGDGFGITSSWVLTV YENSNGLSSEPGFSIIQSIRLQFSPFVLGNWTQFSHEDYVSFHPHEPIIAFCPFSIVA LWRFKETRSKLIELSPVPLRKLTFSTCGRFLYGVKDATGTPIILNVPLENHSPFSIVS AKDISSLSNPRPESGQTSNETGSHPAKFLGLSQGLRSTDLNKLDLTDSQDQVYITSLE QHHQAGAITFQRLAGGSLMTATLARTPKSNTLQKSYCTLVESPDDSTIRFAMNMAAQS TYHAKSKPDVALPLIIDQQRDAIVVNVQSLTHRISDKNRKRPFEDGDQGSAPRAGNHL RDAVISSDLRDDISGAVNNEMKRNRRK LY89DRAFT_152606 MPGSMERSLGQSVEARRYHSLGAATFEPAQFKELAVEFFDRSGE AKRLWPNRNKRGWLEWSKDRERILNLLTRIISWHANMSFPRPEPDLYRRPGERDSMGR SAPSSGRNEYQQSSTISNQPSSNIVVQHPNDLSVEIKYSFVLHIRDIGGVEPPEELAK LTNKWVLDQKLEWPRPADDRLCRWMHHQDFYDPELPSWEWKKTAQAVISGTTISWEDQ VLQNTMRTKLKIRMKSFQDLFIDKNMIERRGGRFCKRPEFAESWNSRIHIWSTLSSEA AVEEHTSEKQSQGNETTLAVDVQFGTRTSTASTESDVDLEVPLPASLLPRAQHVNEIE QSPTTSHHSSYRPPSQSEETDDDSTQDYAKRQKVSTTKYFQQKSKTQVMNTLLRHYNN QMLRSIQLARNYVKRVLANTS LY89DRAFT_737067 MRPDPDSHSTTRSFTRFSLLPHDLRVDIWKIVTVQPRNLDIWPL RVGTFNYDREIEGQARYATWRYFTTQPIPAVLHTTRESREIGLKHYSLNFRSSSLQDE RYGLKVTIPPSIYFNVRYDRVFLMGGVNSDDGGGVGWSSTVAETLKRRDREFAQQVFN IPGITVATDVSTFYLGALRGISSELILQKLDKQKEVLFFSCVRDVIDEVHASSHPAVL DCVELEDSAIGGDLTLLSVLKERLPEFLDEERQRCSTIAGIGDGQTDSQPWVGPSVKW VTLMVNGAKVGLQ LY89DRAFT_152621 MRKAFSKFTDKLDGSKPSSGTNPAQGNTSHGPPPINYDSKPNRK IIYPQPGQQEPGPGQLPPKVASTQHHGKMRFFHPNGHEHIVSRDVGNSGVLDGKIVWG WGDTLMGAGGRGSKASICATDSTSIGHLSDRMCATDTALWDNNEFVANFIPCLPHEEK DGGLTKYAFGGSNVIEYAPNQGLLFFLKIHRPSGKNIIKGAGVASVTMEGHVPKCKRE METLWTKEEACYGDVGIAYDTRDGFVYAFGKGPQQDDEQLIRRTYLCRAPITRAFDIS AYQYWHEDKRVWSPQRLTTHGQGGTAKITNEQAIFPYLAMDRSAPFWSNYFNKWMFLY GNSWGYSDVYVMTADKLEGPWDKHGDVIVASTQPEGGKPVAGEFRYAVNGHPEWDESG KTVFVTWTKLNEIYGTTIVWE LY89DRAFT_152619 MANQNPLEIASGLGTLNTLPPELRTHIWNFVLCFNDSSAFKYPR RIPSYRTALLQTSKPIHAETKNILWRINDFELLLYDEDTADADANATWVVIWKLKYAD LDSEAGLVAELDVGAAFIHGMELITHEL LY89DRAFT_651143 MFSRNKNTSTHNGAGTVSGPARTTRSHSLIQHLLRALQFLSAFT SLILFSVRLAKILRLVHKASHSNGAVEGILAAAVLYTLIATALTCGLRAGGGNMLRIL LIIFDLLFVGAFIAVAVLTSPKRHGSSGPCTSNANANHLLTHYTSNDVNCRLPWGTFI LAILSTLLHAATAAFHGVKDHRRTHRNDHVTKHEAAQNDGRDGYVDGNAPGYNGARAT HV LY89DRAFT_687335 MQLPTPNTRMNDGVSEAPPPKTINPIPHLKYIFSLRCKIDPAMN VGEGPYGFRAHVPITGGTFSGRFGMNGKVLNGGADDMIVVDEIRTRIDTRYMLETDDG ALIYIRHVQTSHIIPQKPNILTNILVQEEEEQERQRW LY89DRAFT_152651 MPIHSRWTIPLPVESLQSHLFKSETSPLPQKHAFLDAEKPDTHF LTYDSFRLFCLRFAAGLRKAGLQGGDRVLLFSGNNVLFPVVFIGIVMAGGVFTGANPG FVERELAYQLKDSGAKFLLCSDAEGRLELGINAAESVGMSKEQVFRFDDGFFEGKTEG RLGVKDWTSLLVSEQEGKRFKWASVNDPKDETMCLNYSSGTTGVPKGVMVTHYNYISN CIQYQYLAEQYPDYEERTKAAVWLCPLPMYHAMAQTIFIAGSIKRKIPVYIMKKFDFV QWLEAIQKYRVTGLPMVPPLVALAKSPLTKEYDLSSVREIGSGAAPLSGDVIEECEAL WPTRDRYLRQGWGMTEVTCSLLGWDPTLPGIHDSVGELNANCSAKIMDIDGKNEVPRG ERGEIWVQAPNVMKGYWRNLNATSEVFVDDPDGRWMKTGDIAYVDKDGHFFIVDRMKE LIKVKGLQVAPAELEGVLLEHLDIADAGVVGVTIKGEEVPRAYVVRKEGSKTTEKEVA EWLATRVSKHKRVVGGVVFVENVPKNPSGKILRKLLREQAKEEVGDKDAVKAKL LY89DRAFT_651150 MNVLEARVFPLLKSKVAIVTGGSQGMGKVTASMFLRAGAKVAIG DVKEKEGNETVAELSRHGDAIFVRTDISKSEDVQNLVAKSVAAFGKLDVAINNAALTP DSTKLIDFDENYWRKLVDINLTGTALCCKWEMQQMIKQGSKGSVVNIASINAFKPHPN MPAYTAAKHALVGLTKHAAMEGGPNGIRVNAIAPGAIFSDMSAAALKIMGTTMEEFAP TVSYLNRFGMAHEVAQGSLWLASDSSSYVTGICLPIDGGYLAK LY89DRAFT_152692 MDNVYLGVWTNWSNGTIMGATLTTTRDHGNLLIALTGFLVPFVA SRFWTIFCLVLHQYLSTSEPRDALHHQRQVILRNSFSPDSGLYSLFSLLWAWRGTRVS ERAKRYYSRLLPIITFAICCISAFTVAGAFSSQISSSPGDEVLIVGNNCGVTYIPVNF TSSTTKPFSVANEKLNDAANYAQQCYSANSSGILGCDRFIEPSLPTSITNGAYSCPFE SEICRTDDANLLLDTGFIDSNDNLGLNAPKSERFAWRYVLQCAPLVTEGYTSQVTVGN TTWARYNYGGLTANAVRNTTSNYTYEIEDLSAQYYMLNGGGLPGQIAGQLGGNYRLST LRAINLEGVPQASLGGFIPSTPLLRPDGDVSVIFLSGNGIFFNGPMDDDWYRATTFGQ KLAHTADMSSEGIVEAYIPTEAASPMGCVEQWQWCNSAYPKEEGCGPLAGLLDSILGA APFFNLTEEDLAPERPVSPTASGTRLIWPALMQLFGENNVGELLNQLGAQSLASQSLL TSGLQGPLPKNQWQLDVTNWFNTVLASIQALYVNTAIGTTDPGLVQNQYPPLNEQERK VCNSQKIRNSNYSSFSLFGLLFTYITGAIITVASLALEPILGCLQRRRKYNSYSYLEW TTNGTLQLHRLAQEELRLGTWSGCSDRIPVTKSNETMAGLDISRLDHPILAWPGITAG KRSMTESSSNYDDGKDDVSSSDRAHGSTHSSMTLHSPNEDHSPENDHGIQYSPQTEDS HDDRNDQSDKDHVTVTVRNLDLDRNNTENSEG LY89DRAFT_721198 MARWEEEEEERELQEAIQLSLMQDDDLEPSVIFDDTLKEVDSRI KRKAEDELSAQSAKRAHPAFSATSLPISTPLGQPSRHLTLRTSTSSDRSSTEIPKRSA MPPSLTSPTRVSSASSGVSITYPNGAIRITRTPGRQKSKNCVNLEDVIHKEHLVSACV FSFFISDREFHTHFPLSNVSDAIPIYIGRDPNQDPMVYESCIQAGISIKGKLSKNQLQ NIQSDLSQLSKNVYGNNYHAFYRLSPGSSHSKILVLVYPDFLRLVITSCNMMNIDTVG GDNHWYIHDMPKLSSRATSNIPSFETDLIAHLEALNTPDDFLDSIRGKFDYSSVKVHL VTSIPGIKSGINAEKHGLLRLRRVVQDLNLKLPEKAKEKLRFEICTASIGNLSAKWLD NFNDCALGKETIKVPTEGCAVPNLKLFYPSIGDVKKADESAQHAASNIGCHTRPWDNA KAPPYYIYVGSANLSQSAWGSLIQDNRGNEATCDMKLDKITNYECGVVIPGELIESLL EPGTESWQDGIVPYVQTGDKYNLHEDRPWNDPTWVGK LY89DRAFT_721199 MTAKLILCTGANQGLGFGVLQVAGNRFPESTYILGSRDLEKGKE AAAKLKESGITAKIDVVQLDVTNDTQIANAVAFVEKTYGKLDVLINNAGIIKRAPQGD LAGIRITYSEILNTNITSVAVMTEAFTPLLYKSKDPKVINVSSGLGSIQNSLTKKMGR SPPYGSSKIGLNGLTVHMQVAENDRIEAGNDDPEKPLIRFYACAPGVLKTSFTNYIAR GRSQEEGAEVIVRLLADDDYTYKGGSYWEFEGGEMREVPW LY89DRAFT_651159 MAPSFSLIPFFTLLTSCQALTYDYIIVGGGTSGLVIANRLSELS NVTVAVIEAGDSVLNNPNVTDVGGYGLAFGTDIDWAYQSTNQTYARGATQTLRAAKAI GGTSTINGMAYARAEDVQINAWETLGNKGWDWDSLLPYYLKSENFEIPAAFQITDGVT YDPADHGESGPLDTGYLFNIVNGTIAQTFNETYANVGIPWNGDINSGKMHGFSTVPKT VNVLANVREDAARAYYWPIANRSNLVLFPNTSATKLVWKNQTGDATAAGVHVTSSNGT VSTIYARKEVILSAGALRSSLLLELSGVGNPDILTPLDIPVVVDLPTVGENLQDQMNN AFTFGSNNTLSGADSFVTYPTVGDLFTNSTSTFATTIASSLSEYAAAVSNASNNVISS SDLLDFFQIQYDLVFNTSTPLLELFTTAAGAVFSVQYWILLPFSRGNIHISSNDPTDA AIINPNYFMLDFDLDVQIAASKFIRDTVMSSLPLSAEVTAESSPGTSVVANNASDDEW ATYIKSSYRSNFHPVGATAMMPREKGGVVDNALKVYGTANVRVVDAGILPFQVCGHLT STLYAVAEKAADLIKADM LY89DRAFT_687341 METPVILSSPLEKGKSQREIVQETQVPRRTVRRILKLEHTAESV KRSTRNLI LY89DRAFT_590490 MVGFSLHSLPASTVLLTYLLSYPTLAASQSYVLDTSYSGATFFN GWNFWSSSDPTHGFVQYLSQSDAQAASLIHQSPGFPAYMGVDHTTTLNSSSQGRKSVR IESQKSWTHGLFIVDVAHMPDSTCGVWPAFWMYGPNWPNSGEIDMIEGINRNTFNQMS LHTAPNCSMQAGTQSGSVNSLQCDGTQNDGEGCNVASNNGYSYGTGFNAKGGGVYATE WTSSYIKTWFFANGSVPSDITTGSPVPSGWGTPQTAYIGGSTCDIDSHFMNQNLVFDM TFCGDWAGNAWSGDSTCSALADTCVDYVAASPSAFSETYWSINSVKVYQLLTSASSST STTTRKFLISY LY89DRAFT_538810 WSSLGCYSDNVNGRALPNGETVPGGSQSMTVELCQTACKSAGYT IAGLEYSQECWCGNSFVNGGAYVGADGTSGCVMACKGNSKEVCGGSNRLGAWK LY89DRAFT_152721 MQRVTTGGKPHKVAAYEKDYSGNRYKRTHHSSCSSGEETLENKI GPFFCEDLPYEVPTEHRYMDEENLLEANNLDEDDPDEEKEELRDIRKTYQRTIILMMP KSSRVSFLLKSKGQLFSIILQQFLISPTWKAKWHDDILQICNILLKSIDWKHPTGHMY NEADYIRLISGVCKLDNRSVLARALPLVFHDGNVFNVAQATIERYGSTWLEKCLDEAL RSVDDLYDRGRIIEEVTATLPNPLLTFGLYQSLLSSFQPKTEYLASFYDAEMLARIAV TWSQDELLHTCWIPTVKKFSDNTRFMLELMGSSFRDKKRVLQHLPEPVFKELLPVMAK HLDPINKQHHSAIAAISCRMHRFGLTLELVDINAKITQTAKSSDRQELMDVYVPFLQR LVAYLRGWKLLHAVPFQTMFRSILKYLVDACAKLAPRSPPGTWERMKYSCGCRKCQVL DEFLVSPTITFFTYSRSTPGREHLEKRLKQAKLTQFLEVNTERNSVPWALVVRKTDPM YEKQRIEWEVARNRAKAAIAAMCLENLRVLLGDEFESIMTLKATTQVINLVKEEEEAG VLAIKTESGMNATAELKRKQPHPDALQNGSAQFSENHFESKRVCSNSSSPPPLLYQIP EYPANRLGPRH LY89DRAFT_152730 MWRVQNPAWKLLLRSLLERIEDALKLKANGRGITVRRTCLILYG AGSTIQQTTSASCDTSLRFGTLDIALPSKHDDVSFEFSHDGKSRIISTNGLSRYDCSA TAWFSDVHMTSSPITAGHRFVLRYSLEHVTPGPVHFLLTADQSMACLRPILTSWLKQL PKVTHPVLGHILEESFDDDDLRDGIELEG LY89DRAFT_152724 MAATNGVWVLQDSPELELKSESNDQQILWKTDPFPKPSNIVDQV KDESSTNGMDTIPAQMQGQPNMTSAKVEVDSEAREHLEEARTTREGGLGSTNGVKSEY GEQSAADHANSSLVEAVATPVLGLEQTNIADTSLPVEITHTSADEYVVSEPAGVVTSE PTVVAAIEDLFSPDQIK LY89DRAFT_687345 MIDLTLPQTNDPAINQRLLHECTSLNQAVVYIHAELSPTEIEEV VRACNEGTEETHDDDTVVLSPKHDFVGQPLQASYDYHIKNIVPEDKYDQGNYVAVVDK DWKEKGVIQVTIVDGHDQEDEEDEEIKAGIDKLRCPASETGIQIVNLQIANIDWEEMK EGSMEI LY89DRAFT_737083 MDPFTTPPMSPRLPGAEIRTKHKEGIRRLFKFAKIGLQQLQGYY HLGDSTVRKILLYDVPERARPTRTGRPRESLNEQESTELDPNTR LY89DRAFT_699078 MGTEYFQGQGYPWRRGRWWFQDPGLRKLYFLIFIAILSSATNGY DGSMMNGLQALTYWKTYFKNPNGSRQGLLNAIQSVGSVCSLPLAPNLADWIGRKYSIF IGSLIVALGAGLQSGATDTGMFIAGRFFIGLGSGINGIASPLLITELAHPNERGKITA TYNTFYYFGSTIAAWTTYGTLQISSNWSWRLPSLLQVAPSAFQLCFLWFLPESPRYLI SKDRQEEAMRVMAKYHANGNIHDEVIMFEFAEIKEALKEEKINSKGRYMDLINTSGNR RRLFICLCCGFFSQMSGTSLTGYYLSKILSDIGITNPQFQNRLNGIIGVTNWIEAICF ALLVDRVGRRPLFLTSASGMCCTFATWIALTAVQNRTGAAGPGKGVIAIIFFHNFFYN LCWVSLNVAYPCEILPYRLRANGLMIQSLATNVMLFFNQYVNPIGIEHASWRYYFLFE GTLLLQLVTVYFFFIETKGATLEEISRTFDGEDAVEEMKVRALVTEKADIVEQVENLD NRGQNTSTVGQKRD LY89DRAFT_784879 MASGMSPEERAIYFQDPGHGKVADICLSLGFGDASKSSSSRSYL GLSQKAIDFRKNFVKGRGLHLFPLQYDDAVVQSCANDFLAANEQLFDPTDESRDASRL TLPDDKEKILEILGKLMCTQESMFRRNDEYLKRRKKQVYQELSESEMEEVEVVDESTI HVQPKQPLPPKRPENSNGVEATGTKRSIERHLEYTYPVNEVYQTVSPDSWLFDTQQPW PDSEQAFAFRYFHERNLYTFTKSNRSQVKRFALALQKRLNLEVDSAQTVVIRQRCKDL IKDISSLWGEKGSGFLIETPNGFVKSSQFENAWKFHRNSWAFKVHGGLTDGVIELNQA PTATFKEENPTKKRLHNISPSPTDSDPVINPEPSAKRPKNSIEGSILAGWQKTTTHNP MGVVENGNTPQSPINQNPMPLNTQSAPQFIPVQFEPVQFDRAPMPARAPLPTAPTPPT AAPKAPAFTPVNVLPSNVHPTDLQFHTSSMPRTRPLERGPGPVNASTQPQPPRRSRES MPASSNNTPENANYDLLNLSPSRLTRSKSTGNFAEPPGPGPLEPVSRRVLSSVPNGIQ NMPPQLATLQSLGPQNVASQNGMPQNATLKIATPPFGTPKLAANPAPNNPPNTTSNAV ATLHPRPPPAAPYAMPEAAIPNPSLPERPQQFRIPDSTTKTLTPATSPTDSKPASTSS SVARPLLSTPRQTETPEPSSATAPLSHQRLTFILENQKRSADHDNPLSASDFRSLSIT DFFTLFCERSGRDREKTEYLIFKYNWGYRDSFVVKEVGNEVEWEEIKERVRDTFFMAR DRAAGLGLKRSGSVKGKWQVWVMGPEEEDEEW LY89DRAFT_721205 MFDTENNLYYQQRRLENDRISLEIFDKISNTPHPTAENPLRALD ARHKHAPRTNSNTCPSQPPSTPPQISQLTTTDPVAPSPQTACTPSSPQDTHEYAGTIA LETTSRKHASTELAIVIFPQFHRTYVASHAIGLLLLYVLDPPAKGGLGLRRVVWRCHW LSEASRRVAERMGFVFEGVMRWERVLEGGRVRAEAEELGRRNGTGGKEGVGRHSVVLS VVWDEWEVKRGMVVEQMERRK LY89DRAFT_590396 MSSNEKQTNLTEQESQSIQAQHDPSREPPSEQPIQRVTTTGEDY SILTVTQKKLIIMVASVASLFSPMATAIYYPSLDTISKDLNVTNSKINITVTIFLVIQ GIAPSFVADIADRQGRRPMYIYCFIVFTAANIGLALQNNYVALLILRMIQAAGSSGTV ALANGVVGDIVTSAERGTYIAYASLGGIMGPMIAPILGGVIGEYAGWHWIFWFLIIFS CAVFIPLILFMPETCRNVVDNGSIPPPFFSRNITDTIRHRHRREKGLEINEEKQAEFA SKYKFRLPNPISTLRVLLDPESAVLLIATGLGLGCFYAISTGASVAFSQNYGFSQVKI GLMFIPIGAGSVLSAFTSGRLVDWNYRRWCARLNVPVIKNRRQDLTNFPIERARLEVA FPVFFVGGAFLVAYGWILTAKVSVAVPVVFLFFTGYALTSCFQILNVLMVDIYPGKPS VATAANNFVRCEIGAVFSAVLLPLVDRVGWGWAYTILALLFIGFAPMLLLIMNRGPKW RKARKEREDRARAHRQEKRELKIEAAAKGKS LY89DRAFT_699081 MTPSLAKDPVSDPEIRVKQAFNEKTHHGLIYQYNYAAYLPVYDN NTTFPPTEPFEFADKGTLAEATKPHLFNTSDPNVSITKLTPGVGTEIRELQLSKLSDA QKNELALLIAERGVVVFRGQDFKDVGAQKQKEFGEYFGKLHVHPVGAHVQDHIELHNI YLGPDNLYRDCHLSILPSFHNPKIRPKSTRLTTTGYHSDVSYEHQPPAITILTLLQPI QKLLEGLRAEHSGHPQAEGARRDGKFVRREPVISKHPIVRVHPVTGQKALFINPGFTR RIEWLKEEESDALLKLLFKHISHGQVRVKWEDGTVALWDNRVTAHTAISDYDVSNPEE GLRHGFRITTLGERPVGVNGLETVW LY89DRAFT_590976 MPFITLINPQVPAGKETEFLAAIEKAIPEMKAQPGVLGISAGPI VAIDGKAISELKYIETVAFATREDEQAFANSEWIQNKRKEMEARGVEPPRHALFACSE FPAEKATHPFVRFSRITVSDESKIDDVRAAWKELMGAIGKDVWGAKSVEGEEIVGLGV CGFDSLEEAEAAFSKPEAKAALDKYHALGQCKDVVVKLTVY LY89DRAFT_651175 MADVSQSEFEGLTSRKLQIAEDVQYYLDKHNFIGVGVFTHVHHV DGGRVRKVPAPDSDDLDLAMKSIQREGKIYLYLGDHPRVIKCLAKGDFFVDLEYALHR DIESCLRSHHDTSDECRIRLAQGVIEAVVFIHSKSIIHSDLAARQFLLDSELHVKISD FGFSSFSDGDVLGFENSSHQLPRELDSDKPSTVQSDLFALGSTLYEIMTGGRPYEGIP DDTITELYIRGSFPDVTSILCGDVITSCWQGCFRNAEEVLERFLHYIMEM LY89DRAFT_564565 VAAFSVIARTIRRLVLFLRLKLDDAFVWFALICLGVACASYFEM IYTIILEEAIAMDPDVIVPINEIAAILSSITYIDIFLCTVWTCTFSVKASFLALFWHL IHGLSKQINTYYWVVVGSVLANWLFLVVEAFILCPEFGEKAVKCYPEDNYFKTLLLTI LITVLDVTTDIMIAIIPILILRKSRTKLQQKFSLGIFLCLSFIMVIFALTRVGGLKRG DKVDVTWAIFWQFSEGCVACIMASIVPFRTLFVTLVSR LY89DRAFT_737095 MAWLFESLTPVVEKAFDPIFGPILFSVIACLVTYAVSRVSWDLN VRSDSLEPKFPPTAPYAIPLLGSIPSIIFDTKKFIHNSTWALKTPNPFAFRLFTKKFF VLQGAANINAFWKKESQMIGMTAQIYCLKYLFGMPKSALDVYVADNSGFNEKPAPKAT FNLKIASITSLIPLFWHLPRLKGLNTFDEWIEHPSLLSFFERDFALAVVEASCGSILE RENPDFAEDLATYTRVTPVLSKGIPRIFAPKAYGIRDKLLGNIKDWHRRAREEWVPEC VEEDGDADPYWGSEFMRSRQTMFADFRGFDADAAASSDLGFTWALIYNIVPTMMWVVL QIHKDPLLRTSIRAELSSFSLLTPTFHPTSIKTLVKLPRLQAVYAECLRLFDHPFIPR ETIEEVQVNNWKFPKDSTIVISTHEAQSDSHVWNTGINNSHPIESFWAERFLAYPSDP LSGPILRSAIMPSNLPAYDELLKTELESGRGDEPVFAEKATLGHWIPYGGGARICPGR HFAKKAISHGSGYDDGDV LY89DRAFT_590661 MPEHNIFLGHLLAMKPYIDDLPSDAHPVYFFGGMIKDKFPGGVY YLDMWPFFGPMLVCASLNATVEATQKTAIAPRKPDFLDRWFLTIAGGPNLFTMEETQW RSWRSIFNPGFSQAHIFKLVPTIVHEALVYRKLLLEIAEKGEMVRLDEETLWFTMDMI GSLVLYDSLNSKKTQNPLAVAMLSQVEWHLGDAELNPFVRYYPVRLYHQWLNSRRMNA YIGKELDMRYAAYKNSLEHGDAGDSKSVISLVLDGYLKQNGGVENLPPSLDKTFKSYA TYQIRTFLFAGHDTTSSSLCHAYYLLNKNPEALKKLREEHDAVLGKDPAAAEELISNN PNTLNQLVYTNGVIKEAMRLFPPAAGARQGVDGVDIVDDNGVRFPTNNALIWILHSSI QRNPKYWPKPDEFIPERWMVEADDPLFPTKWAWRPFEFGPRNCIGQGLVMNELKVILA LTAREFDIKDAYEEFDRFHPRKGLVTVDGERAYQIEKAGAHPADHLPCRVTLRK LY89DRAFT_651179 MPTATLLPESDLDPTSASLDYDVWLRNRYAKDDNSGVRASHASV AFQSLNVYGYGSATDYQKTFANYPGAILRDTIAWFARRGATKIHILDDFEGVVQNGEM LLVLGRPGSGCSTFLRTLAGQTRGLQVDKASILNYQGISARTMHSEFRGECTYHAESD FHIAHLTVSETLQLSSKARTSHDELEDSGKSWKSYAAETQNATLSALNLSSVVDTKIG NTFIQGISGGERKRAGIAEIMVGGSPFQCWDNSTRGLDSANALEFLRALRQSTTIRQS VSLVSLYQASQDMYDIFDKVILLYEGQEIFFGPVAEAKEYFVKLGFVCPERSTTADFL TSLTNPAARMAKSGTQAWSSRNPEDFAQVWKLSAERAQLQKDIADYDEKFSFKSDQLA EYRAVRKAQKSPHMRQGSPYTVSLSKQIHVCIVRSLQRLRNDLQTPLSAIGGNFMVSI ILGSMFYNMPDTTDSFFGRGVLLFFTILLNTYSGAFEGVGLWETRPVVEKHFSLALYH PTVESIASILCDIPNKFLLTFAFNIPFYFLANLRRTPAAFFTFYLFAFTSLVAGSMLF RTIGAMSRTLTTSIAPGAVFVYALTIYTGFVLPIPYMHPWFSWFRYINPVTYAFESLM ANEFKGREFKCPEFIPSGPSYKDVGPENHACNAAGAAFGSSVVDGTTYITNSTNGGMY LYSHMNVQANVYINLGVIICMGTFLCGLYLAASEWIPLQGSKGEVLIFPQGHFSLKTA SKDEESQTPNEKPLQIIETATDEAEPTPIEGHGKSIQFLWDNLTYDIPTSQGPKRVLH GIQGWVRQGSLSAVMGPSGAGKTTLLNVLADRAPGGIVGGEIVKGDQDDNKHSFARKV GYAQQADIHLSTSTVREALEFSVTLRQSEEYSIQERLAYVKEVIELLDMGSFVDAVIG VPGEGLNVERRKKVTIGVELAVRPELLLFLDEPTSGLDSNTAWAICTLLKKLSQNGQA ILCTIHQPSGELFQMFNKLLLLSEDGKQTYFGDVDPKCETVIKYFETQGGRSCLEEEN PAGWLLEVTKASSDHTKSWADKWAAAEERKEIEHLISETKKSLQDHPAPQTSSPIGRT EFARSFWTQLWILTKRNLLRDWRTPSYLYSKSALVIAAGLVNGFSFYHSTNTLQGIQN QIFAIFLLLTLFSNVVQLIMPQYIENRDLYEIRERPSKVYSWPAFVLSNTIAELPWQT LLSVVLYAVWYYPVGMNSNIPAGEVNERAGLQFLFIWSFMIFTSTFSQLVVTPLPGAA LGVNIASLLYSLSLIFCGVLVSPAKLPRFWIWMLRVTPLTYFVSGMASTGLGRLPLTC SPNEFLHFNPLVGQDCGTYLKEYMSYAGGSLLNLTAQQNCEFCPLSDVDAVLKSLGIY YEDRWRNFGITMVYSVVNVLGALGLYWAFRLPKRTKG LY89DRAFT_687351 MVGVSLAILATVLRFVATKVARHKYGAEDWCAVLATFFFIAYVT PLLYLVSVMNGRSTTELTSADVVKMTKIFRKYPAREAVESERQRHLRQRSSFPCRGRN AQFTGGFCSYWAGGLDGAIDAD LY89DRAFT_152889 MAPIPISKPGEDAFDSLDWDPDLSSVKSADESPEPVPITPQPVQ PIAYTTVGSVVNPNLLPQLSAPNRIQREGANRRPLMSILQGLQPHSAQRRGPPPPLNM SNSMHYAQQLSARPSNPGNQNSFPRTFQPAIPNMEHEQATPVQAVQAVQGLDQNSLPM LSQQERDSLMMQYGTYNGVQSVASNASSPLKRSNLQQVSRHQTFNVKESCDEDARGRS QATAVQGLDRMQTLQRMAKFENPNQQYARERLSMFSATRAQPSSANPLGELNREYQFP PPGISGPSVPQSNPLLGPEGRGYQEAPPLPSRPYGYPQPLTAGPPGQRQARPSLITNT IVAQDNTAPSRWEGSDWYNSMGTHQASNTWGYQQAAQIPGQPTPVPVADLDKYNRYQP VKVVDTMPDTEAHKYFPYGLPPTFGHQYKQLSRKDQSTMDIASGLPERESSVSKEQVL DDWFYYGQRRMWGMSMDDHTEEMRKRLVARETTFGAFPPPPRKKFTESVIPRKVTAKE MDQMSVGEAAAPILGAAFGTLLSLHDKGIGSASEYSGFGEPDPDWVDSNPKANGSMFG EDWGRSLKFQGYVDSYKQSRSFR LY89DRAFT_152909 MKGHTLTRTYNHDCCSLRIAKDWAQRTIIDNSGRNAGRSRNSQS AVAVLVQKGYFEGIPTCISLSTDQMLQDSPFGISQAIEYTLMYIVGSNATIVWLSSLS GHLPQPTKTPSLSPPPFSLPLQIPSTALRGIMEACLLEYQLFSPFSANLVCELWGTHF VHVDKQRSSMLSCRFRLCFAIQVCGTSFDCQFIPSHSI LY89DRAFT_152971 MADEKLDQRPSPDSPESAPIVTSKRQRLSDIFTIIASGVALISD GYFNNIMTMANVILAKEYPKQYTATVKTRVSNALLVGEIFGQVIVGLTCDYLGRKFAI VTTTMMIVIGGILATASNGYTIEGMFWMMTVARGIVGFGVGGEYPASSTSASEAANEH SLKNRGPIFILVTNLPLSFGTPLAVSVFLIVFSACHQAHYSTVWRVCFGIGCLLPLSV FYFRIRMLNSKLYRRGAIKKDVPYKLVLRYYWKSLIGTCGAWFLYDFVTFPNGVFSGT IIASVIPTSSKHVILQTAEWNLLLGSLALPGVFLGAWLCTIIGRKNTMMLGFSGYLVF GLIIGCAYDKITKIVPLFVIFYGLMQSSGNLGPGDMLGLISSESYATSVRGTCYGLSA AVGKAGAAIGTQAFTPIQTHLGKRWTFIIAAIVGVLGVLVTYFFVEPLTDEDLSARDS RFRTYLVEHGWDGVMGEADLKALADDGQVPGEGIEHETVLASEK LY89DRAFT_687354 MIVVATSTSTTVKTSTSSPFSQTFSVTPFLTISSTSTTFSTLQL SSTQGQHGLASAAPIQSSATSTPSSAADGISDTSNDSTGFFIGIGVAIGGEIHSLELL VSDRHSPHMFILSNTLQQAQQ LY89DRAFT_709066 MPPILKHHVLIVGGTSGIGFAVAELALASGCTVAIASSNPTRIS SAIIRLQASFLDKKSLISGHEIDLQTPDIEARLTTLFDAVTSNKSKLLNHIVFTAGRI PKLKPLPEMDIISTLNLTQSSLSAPLALGKLAPPYLVPSYSSSITLTGGRVADKPLPN YTLFSFYASGLHGLVKSLAFDLAPIRVNMASPGATVTEMWGPEEVREMMAKKVGETAL LGKVGSAEEVAEGYVYFMRDWNVTGEVLRSEGGAILQ LY89DRAFT_687355 MLVKARPVNESIQEINDNSWVIGDRILLSRRRSPLSGFTWGDGR GSFYVISEAPYPLPPSRPLSATTPFEKVYDAGGVSAVWSIGDAFCKVKILHPDATREH VTLDYLHSKCPLSFAIPEVHYHAEYDGRHYIVLSRLPGHTLTNAWQNMDEEMKQYYVS RVANICKELAVWQADYISGVDGRHLSETYLTRLGQSEDCSPENLLTNCKGLGMDCSIF VLYHYDLGPGNIIVNLADGSMGIIDWETAGFVPREWIRTKFRVSGGMDLPGSDQELRV DWRRRVQRRLGEEGFPDIADRWMVWWRNEA LY89DRAFT_687358 MASPDKTSTAQGDLCTNTRDSSLGASSLNLNNLNHNRTVNSDRE TEPPAYQERPPHYEMSHSDPNPNNPAGDKASTPRSVAVTAGAGDNVSTPHLVAATAVA GDNASTPHLVAATVAAGGNASTPHGNALSGHEAAATSAGTAPRSAGPYGHTYQPPVRG PPPSRLRHCWICSREPTAALILIGGVLTFVYKMYYLYVVGHGDGAEQAT LY89DRAFT_699090 MHFSPLSSSAVILIATLSNAAPVTTIIPGVNITSTNAAAENLIP NSWFLVYTNGTSDADFNNHIQKIHTKLGKTPSSTFNLNGFKAILMDTDATGLAQIGTD AVIDHVEYNVQMSLDPMLAASAVTKKTSPAPIKNSNQLNAPWGLSRISHKNLGKYTDY LYDSSACDGTVAYVLDTGIMDTDIEGHGTHCAGIVIGEESGVCKFGEAVAVKHISTPS KRAKLHKSVQTISIAGPYSPMLNALVNAVVLGGMPVVVSAGNQGADASDYSPGSALDS MTTGAIDQNDNRPNWSNWGPSVYIFAPGVDIESTWITCDTCYLTQSGTSMAAPHMAGL AMYFMAKENLVIPMTVIQAVIGAASVGLVGNPQGSYNKIGYNADGA LY89DRAFT_590926 MTTPTQYTFTSSRSLRALSFSIHVTGLMFFAASFWWLPNITNPL HNGFGGSYQFLTIIALTISTITFGVGFLANLSLFADLSLGQQLFAVKNWLSICATPLE VLVTILYWTLRTIDKKLVVPPDHEVPFIPDFGFHAIPALMLTLDLMALSPPWSMKILN VVLLDLVLTFSYWSWIEYCFSVNGWYPYPLLGSLSVSQKLLLSIVSAALMTGSTMVLE SVHERVDQLIWRNTDIKSN LY89DRAFT_687362 MPSSSSQQTLPVVHAKLSTQMGILFGFVAIFIVILISYSTVWWL YNKREERQEAQRKQDLIDRGFGPSGYSDEKKNIPAHGQGADI LY89DRAFT_651193 MERRVRTGCLTCRRRRVKCDQAKPTCERCRTANFVCEGYEAPRQ APARTPPRISPPPRSRGSRSPLAEMPWRHADWRQEQLPLYHHFVTITAFRLFRNDHVQ FWRDQVAQMSYGVDIVYEALLAIGAMHRAILLRCQVESQPESAKFRVLALRMYGNVIR SLPKHLNQNSIAEIYAVLVVLMLLAYFECFMENPKASFRHLWAAIQLLRKSEGRLSNS EVLNMVPVYDAMLRLDFLAQKLVPYARSSFLKTADLAINESPFWNRQDPEFVGVDPAD RIATERYRLIQLICAHNKLSRIIWGCWCPVGERPSREELMGFYSEMQLWKANSPATFE GYDDSYFSYEAKEFSDLQGLPIPPPPLHLRSVEAALSIGMFNGYLGCAIAMICTTDED PVARELENYNLVYKNMRIAAALIEKHADWRSPKNPYKPCDAISLGISLYLYHGARRCF SLAWQKWTILALRMIGREGLSNGFTNANTLEVMCDLETRMKRGTSRGLLMDVDENSGL GSIRERLAPLLMPRGEDDQMLAFYLRFGNEEADGDEGAVQVVARATWTETFGGQMEDL KLDIYESAVEEQAALHDRPQAYELFSTWRQAVEQGWHGYLSPDVENRLVKQEDVSPAV G LY89DRAFT_687365 MTRPKILIVLSSASNGWYLPEFAHPYEVLSPTCDLTIASPLGGP TVLDPISVQLFKDDSYCQEFAQTKQKLWLETRKLDEFTGKAGEFDAILYVGGFGPMFD LVDNKVSTDLIIEFHEKHKIVSAVCHGAAALLKATLPDGTLFIKEEKVTGFSNAEEIA VDRQKDMPFHLETALDVASGGGYEKADQAWGEKVVVSVSGDGEGRKRLLTGQNPASAK GMAVELLKMLAEG LY89DRAFT_699094 MAPFVTSMRAWQYHNTTGGVENNLFLNEHTPLPATTSEQHLVQV IALALNPIDYKPAETPLVGRFMIPKPATPGIDFTGRIIKPAENSELKVGQLVFGASGT MPPFAGGALREFAIAGEGNIVPLPEGLSPFDGACIPVAGLTALQSIVPRVKKGDRLFI NGGSGGVGGFGIQIAKEVGCHVTTTCSTANVELCKSLGADVVIDYKKFNVLQVLMSGE KFDHVVDNVGQDKELYWRAHEYLKEGKVFILVAQDITLDVIQDQLKRRYLPVWMGGLQ RVVEGFFPMPKADDLNQLGQWMKDGVIKPTVDNVFKFEDAPKAFERLRTKRAQGKIII DIASETYQELKL LY89DRAFT_590679 MALPILSVALPAQTSEATESIAPELKGKRSFVERDGVKRTIFEH EATGAKIDFVTNSGICETTPGVNQYSGYLSVGSNENMWFWFFEARNNPTTAPLATWFN GGPGCSSMIGLFQENGPCHFVNGASTPSLNPYSFNEYANMLYVDQPIGTGFSYGTDPV TSTVTAAPYVWKLLQAFFAQFPQYENRDFGIFTESYGGHYGPEFASYFESQNAAIASG SVTGQNVSLVALGINNGWYDPIIQYKAYVDFSYNNTYTPLISASQHTSYLNTYTKSCL PLLQKCPATTGTNSACENADNTCYNDIEGPLSSVADFDVYDIRAPSNDPNPPETYVNY LQSSAVMSAIGAQSTYSECPDAPYEKFANTGDDARSFLSTLSTVVQSGITTLIWAGDA DWICNWFGGLASAEGITYSGSSAFKSKAVTSYTVNGVASGTFKSVGNLSWLRVFGAGH EVPFYQPAVALQVFKQTMMKGPIAST LY89DRAFT_153091 MTFDQLSIALASLAILIVVAYTAHAKLRFEGLPTNLPWVGRQYG FLADLRTRAGSMGNLLPSIESGYRKYSKAGINFILPCFDRAFILVPGSQVKWITEQAD NVLSAASMQIEVLQTDYTLLDPSMARNPIHETIVRRDLTRSLGALIPDIHEELNVAID EYLGKDTENWVDISVFSDMQKIVARISNRIFVGLPLCRNEEYLVNAGKFATDIAVTGA VMRSIPGIIKPLLAWIVILPNRWHLYKCSKHLLPFVRQRIADLSARRQGESKAGLNDF TTWYLDEVADHPDAAERAPEKVVKRLMTVNFAAIHTSTFTATNIIFDLLSSPNAAENI QEIREEMLHVLSEHGGKWDKNAVAQLVKTDSAVRESLRISTFMSHGMDRMVVSPDGVT MQDGLHLPAGSRIATSTFAIHHDDDIYENSRTYDPFRFSRIRETATMTKTTQGNGGEQ KDFTKLLESKNLSTVTTSDTFLSFGHGRHACPGRFFAATEMKLLLACIILNYEFKALE ARPPNQYIGGAILPPMQATISIKRRQH LY89DRAFT_687368 MSSSTPPPPKSSAKSPTFTPSTLTDAYKTGYTAASAISSSTSQA PTMTLPKSLNDTISSTSGYFSEKHPEEHTAEIYDSPPEGMSHDPVVLFHTALGIPPPK PAKPVKATKKNPHPPAPPPKPKLTPANKGVYHQVLQMERVSRWKYRSCDAIVTIAMFL QIIVGASVTAFGAGSASHILITAFGAANTALASLLAVLKSQGLPNRIRQDWNCWRELR EYIEEKEREIEMVYAGRIGDGSQVELKDVWDIVKGIERRYNTVRLTCEANRPDTYIKV PPATELPK LY89DRAFT_590509 MVSEHVFQLCQATETVSSVYAQDPTQAPGDIVKKLYGHHKKDLH FDPEKKRADLSTHDLEIAFKCGRWGPTKPSPLFLQAFADSLNCLDADPMSGLVSPPLM GSHGTVPLTVIAPLTDIIRHCSNLIVRAEREVFFITCSWSPSVAQRLVKDALIELSKR AGSRGQRVVVKMMYDKAAAANAIDAHQVVKEAAYTGKSIQLPKPEEIPNLDFEVISLH RLVLGTLHAKFCVVDRKVAAVMSNNMEDNDNVEMMTHVEGPIVDSIYDTALITWNNHL NPPLPSHAAPATQGGLPTSGQEPLYMDRGPARGQDQIAADGQAVRLPEHMPDDPHYDA DLSGEITRMQSCYSMKPDETRLQATTRQLNLPCKKPAPPSGPEIPEGQEMTPYISSMT SAPVPMALVSRPPYGPVDKHNVFVPQNEAWLSCVRNAKRSIFIQTPDLNAAPLIPALA AAIKRGVEVTYYVCFGYNDAGEIIPGQGGTNDQAAQSLVKDVSHEERKLLHIYYYVGK DQDHPIHQSFKQRSCHIKLLIVDEHVGIQGSGNQDTQSWYHSQEINIMLDSEEVCRKW REGIERNQNTRTFGEAAEDGIWRDKNGEPGEGYMGNPGKVEGLFKGVGGMLKKMKDKG GF LY89DRAFT_737115 MLQKEDPYMARKRNPTTDQDIIYAQRRSDEGYHRFLLERRVFTL PLILPSLPRLPYVELFDVQDCCNKATAIYWADYRQKQACNPTYFTMLIKALNVIYPQW FLVDGHHTDIEYGRFVAKFIRLQDAEIRQQTVAGVLKVHQELCERVITPDPPIETIEY QHWTRYSKFYFNIDIKNRIYYRLRPLFQALVIYVEDIEHRCHELPVKLIRTGFTDSLS APIDFNDIKSGILSQNEDGTIVTVLLQTAIKFVMALDERERKALPRKIDEEGQEVMRV RRLSIMRERIKTPYNGPELTGPPSSWVDTSKFETVVVPFSHNSEVHARIMRGELPGTH PETY LY89DRAFT_753614 MSSPPLLLSDATIKVRTLFNALQSRLQSSARVDIPSHSIQKCYE TPDAEALPVLEEGSLLGDALEKGGVDLLDNWWMTAIHTRPCPDAFVNAIYIHYFNSKD GVIVVWDVDKECDMNASIDRLQWSDLLFQHWQQFCICQDGAVWGLRFLAVKHVQNPDT SSVLSSILGSTNDQDTVQKTFRPGHESFFALLGTPNLKGVVRMLTEHCAGIGYKNIHS IQVLKQRNAAFDFLLALEQAPIPNERRPSVQEPDLRQGRKKNQLSKSKQKRLERRA LY89DRAFT_753616 MNALFHFPKITNVYFLASIATVGGMLFGFDISSMSAIIGTQQYI DYFDNPQGITQGGIGAGLAGGSVIGAIIAGPVSNKIGRRDAIFFACIWWLLGTALQTA CNGIPMLICGRFINGICVGITSSQVPVYLAEIAKKDARGSIIVIQQWAIEWGIFIMYF VGYGCSFITDTPTASFRTAWAIQFVPAIFLMLGIPFLPRSPRWLAKVGREKEAIQILA DIQAKGNISDPLVVAEWEEITTILAAEREGQKGWRRFFKNGMWRRTLAGTSVQAWQQL SGANVMTYYVVYIFEMANLTGNTGLVSSGVQYAIFIIGTAATFFFIDKTGRRPLLIYG AVGMGICMMVVGGVLGSYGTYLPDGLKGNLSVRVQVTGSPAYTVIAFCYILVLIYSLT LAPIAWVYAAEVWSLETRATGMALASVANWLFNFAIGLFIPAAFQNISWKLFIIFGTL CFGAAIQAFFTYPETAGKTLEEIELMFRKGGPKPWKTKPGDSLLDQRILEVTEHSTKR GGITEGMEEERMEYGEKSAV LY89DRAFT_721225 MEMVEILDPLLLSSYKNAFFFSCCLFSLHFLVAFPRCVSRLIVL LLPRFMDISLSLSLMFLMVVYFTFHSYLTSHLVLMSTQLPVATRSFMVKVVVEAEEAE EVMEVMANHPIVPYTGSWGHQTERPLPYTELLDQVFRMLDSQCGFIRREVEYILSHQS TFSQKREPHLWKENNPDFPNAYVKYKLGKSTSASAMLIQPLGNENVEGEQCDTCKKSM EQAAKTRKKVSC LY89DRAFT_709075 MKSWLKQSRASGLDDLELADFSDTGRSVRTLQRFDEGEKILTIP HGVLWTVKHAYADPFLGPALLSTRPPLSVDDTLATYILFVRSCGSGYDGLRSHVAALP ASYTSSVFFAEAELEVCAGTSLYTTTKQLDRQIEDDYKALVERLFRRHQELFPRDKFT IEDYKWALCTVWSRAMDFKLPDGDSTRLLAPFADMLNHSPEAKQCHVYDGFSGNLSVL AGKNYGSGDQLFINYGPLPNNRLLRLYGFVVPGNRNESYDLQKQKLWLSSGLDSTSTI SLTLTDPLPRSVLRYLRIQRLNESDLAIIAAHQSDAAVEKISDSNEVEVLGFLIESIF DLLDGFGTQLVKLEEQLVEGFYSPGGNAWSAAHVSLGEQRVLRLTRKTTEDLLATVES GSGNERGLLSAPPQCAKCEKVSVQLMRCGRCNKVTYCGRACQVAHYKEHKAMCQATAS GIGSERN LY89DRAFT_687371 MLFSFVSLLARFLALATLSSAQTTTSSTSVCPSIVSDIPILTTL SLTAEPTRTFYQFLPPAYTGGPSQSALPVILSFHGASRNATEQAGLDRFWDPVFQGST PYIVIYAEAHKTTWEGTPNIIDNDTGYTGNILDQINASLSIDSERIFGSGKSDGAGFL NVLACDTSLEGRFAAFAPVSGAFYTFNDTTAGTPTESGGTCNPAVVDTDLYLSGDPPV SHACTPTATVTLNGTPTVVSRVPPILEFHGGSDTTIPYNGGLGRDNFCLPTIPHWIQQ WAARDNLPVTNTTSAITSEATAFIFGSGTTDGLVMHVFDGPDVSHSWPATFLNDDNQP PGCGPFGGGDGNGDGPASFNATPMIISFFNEWPRTRLT LY89DRAFT_784903 MLNDLEAHNASMQGDASQHAQLHEAMFGSNNADGIANAVLFHFY SAQLNLLRETCFKPLPAFLQLCADQPSLEDLFGFCLTVSRIVRSLKDRQRSIGDIIGV LLKEHQHRIENVLSDILLTSRHAIFAILGIFTIMYKLPSKPATNHSEAIVHSTATETM ALQHIDQSGRPMRALLQSAGASLSPKVTDSSASSFPVSTLNFSTLALRISGRGITIVW VDDLICAKYEEPMMPFSPQQ LY89DRAFT_737122 MQFALRDASTVDGSEWKNLISLFKGADGNVSTFWAVQEEDKKVA GIAVSWKSLDNQAAFFKKIELSKAIKAVTSKSIYNDMVIFLVDPAPTLSAPAVELVSW IYLVSKIDKEREDKVAYGFLKFADAISSRAPEAAGGLVSGWGQMPFEHEDVESRRFTS FIGWKSVEDHYKCKETPPFLENIHWLMENDDSGIEMVHYKYVDSA LY89DRAFT_621890 MAPDLSIITGEIQQKSSELRPGNEKQRLSLLQSARALVHELEQP HERIMRMCYHEPAIFMATKVLINLGIFKILAAATEQLTAAKLAQDTGSDKILVERLLK HIATEFFVHESGPDTYTANDLTRCIASSGGQGTIEDMFQIVRVVDSIPDFLRGTNYAN PVNKDATAWKFAYKTDQHYFEYVNSPGREQNLEAFRKHMSFKTVGLKWYEVPEIMKAV FGDADGVGKNDVLLIDVGGSGGHDLIGFHEAHPSMPGRLILQDLPTTIQSLDSAALAQ QSIEPMGHDFFTPQPIHGAKAYYLKMVLHDWPTQQCIQILSQLKPALKHGYSRILLNE IVVPETNAGWFETSVDLLMMEVHSAQERREAEWKSLVDAVGGLRVKQIWNVEGAVEKL IEIEAL LY89DRAFT_651217 MTSGCEKSSAPANPIRVIIVGGSIAGLALAHCLHTNPNIDYVVL EAGEEMAPQVGASIVVLPNGARILDQLGIWDDITASIEPLSQALTWTGDGKIVVDSNA PLLGSARTGYSYAFLQRRDLLKVMYEHIKDKSKVLTNKRVIGIDHTASGVSVTCKDRS SYKGDIVVGADGIHSTVRGFMQDRLESLVPGSSEKDKNSISAEYSCIFGIGKPVDGPV RPGDSHRSYAEKHSTLSFVGQGGILYWFLFSKLNKRYYGKEIPRYTNEQMEEAAKAFD GIPMTDKITYDQVFKERVFANMLALEESQNEHWTVDRIVCIGDSIHKMTPNLGAGGNA AIESAAALANHIFKLSSSNPSLDEIRKVLHDFYLKRHLRANTTCDTANDLTRIEALDN WPYKILALHVIPALGDFLTDLTCDALVGAEILESLPAPLKSLTATMPWDPESGIGKKE NKWVRALYALPLLAVVYGCHRTMGETIKHLVPLAADTGFVNLGNGVVVSLATRFFGIS AVDNILSKYVALFTPSIGGFDVAGKMQGIAFLGDLVPLQTIWMIESMRRGNFTTAAHL LPTVLGILYQIKGLGYIAPLYYFLHYVQSPLENYAAADNRMTVISSAKTIIPTIAVSY LLPTIAMFVAPQLSTRQWINGLIWQPFPIYAALVQRLLSKTVKDTTHEDRITNPEADM PYLRRAYGFATATAACTYLYVRFASPVSLLDVFFQCLKSPSKSLPLIEGASKTLRYDQ IAAFGAGALWVMLSFADLKKAKKVKTGWAGIVGIFAGTTLLGGPGAAMTVMWAWREEM LAKRRPL LY89DRAFT_591259 LTCLAALVPEYCGSLTNSTCICTSTEIAAALTPCAIAACNVTES LQLERYSAESCGIANDKTRYYQQIRLYAVVAPLTTILVAARIFARCRIDIGLGPDDWM IVAAQAAYLTDVGTGLTIAVQGFGEHTFWLTIAEVSKALKFFYISELFYLLAITLTKL SLLLFFRRIFPSSNFRMMILAMGVFVVVSNFSLAMALCFQCIPFYGIWTNWIYKVAPV KCINVFACVYVAAGMSIFHDLVILTMPLSTLWGLNLHWQKKAHLIFMFSVGSFVIVCS ALPPGLTSSPDDQAPIAVWTDLEISVGIICACLPACRSLVGYVFPNLKMSLGSSGPSH TTSAYPAKSANHARYGSKGRPLTNTRSFIELDDRTESQDTLEEGRKKGVRVCETPVQD KLNPDRRDAFGHRALVEVEAGGMGERRMKTGNTIVMTTTVDQSERRL LY89DRAFT_651219 MASESQALLVLVTGGSGFIGSYCIIALLNAGYRVRATIRSLSKS SAVKESLKHGSLTDSSLESLSFVSADLSSDEGWDQAVVGCSYVLHVASPFPPQLPKHE DDLIIPARDGTLRVLKAAKSAGVKRVVLTSSFAAIGYGHPSRTTPFTEESWTDITKSN VTPYEKSKTIAERAAWDFVSSPEGQGLQLSVVNPVAVLGPVLSNDFSPSILLVQRLLK GDLPGCPDIMFGIVDVRDVASLHLLAMTSPAAAGERFLAIAPSAMTVQEVAITLKQRL PELSKKTRTRTLPDFLVRLVALFDPEVASIAAHLGKKKYPTNEKAKRVLGWEPRSRED AITATAESLVKLGLVKK LY89DRAFT_687374 MSGRGERPSKKLRRLSTDSEGTEDTDTYDWFGLKGASSQSRKGG RAEKATATKSEPSRPRRTASENVATATVSRGPPSSTSSKSPQSIRLTVKTSSSKLREA TRASSSGKSAASSSRDGFVGGEILEGKRPRNVRKSYVLESDSDEEDEDEDEEMEDAAD EDAEGETVEEDDEDLDAEDDGLGDEDADGDVDMDLAPPPPVIKISKAQSGKQTIIAKP ASRNDHMSVEQKEMQDASDDEELSELDSDLGEEVEEEEAMQTGNEEDAEGEEEEIEVE EDVEDDEDDEDSDDETPGGGSRASTPDLNKLTKRQRARLEEGGSGHLLALPDEVQVKK HLTAEEHAMRRAEMARRRKNLSEKRNEEEKMETINKLLKKQAPKTNARRRDLNGVPGE TNSDSDIQKASPLFVRWISNKDGNRIGVPEEWLEGPAGNMFVNSVKPSGGMGGKLIEE VS LY89DRAFT_709080 MSDSDENKKPGLPQWQLETKTEDAQKTAEATPESPNRETIIEQA RKFLEEDDVRNATTDKKIVFLESKGLQPDEIQSLLGVTRNEEATSKDVQIQDSKMSES SPTQSIPQYTTQQPSISSAPPIITYPEFLTTPTSPSPLITKNRLLTTLYLFSGLSALL YGTHNYLITPMVASLTESRLELAQTSKTKLSQFITKLESIVSEVPSEAIPKHISAQED GEESDEDPTEMFHRDIGVQTSPPASRPSSPSPESAESILGNQTGRLSKLRESLQGLVD DNSSEGHDVTELEGTIGVLREYLDGMAYVAPTYGYGGYGNSQSKEQDDEISRVKASIR GVKGVLLSARSFPGVKAGVGVR LY89DRAFT_784909 MEDTETSARVCSGCQKDSQSLSTPLRRCSKCMVVVSYCSRECQK KDWKEHKTVCIKASDTEPSQASNQTHQISASPSAASTNANTSSSNTPPSTEYPPNLEP LAFPTLFPYGRGHWGGVMPLDDYIRLRLREPRFVRHGIWMAWMMSRTENLDVKAAITA ASGGCVVENIEGVVVRSEY LY89DRAFT_651228 MPALSPSPSENAQVSPHHMEGPSAKQNNIYKCHICEKVFGIAYS WSVYGWGAYVLNNHAKQTGHLAWACSASGCDQSFLTHRERDAHQRRPHLNGHGRINPD SPNDCAECGMSSRSNAALIRHAEEHLHQPYGCQCGVFFSRRDVLHRHLDSLSRSKPEY PCTYCKRHRGADGFRRKDHLLQHMRNYHHLETEPDSFRVTFPVCSHADCAFYRNEAFA KSENAEDNKPFASQSAYTRHMREEHNESPFPCTISGCNRIGKKGYFREKDLRLHHLKE HPNAPAYRATKG LY89DRAFT_591203 MIFGHQNPANVLHRRRNYANTNALTDYEADLTSKDRAKQKEAVK KFLMDRVRQDWMWEWPRPEPKSDESSPEREPEQLDEAILQGNWKDRDEWLSNASESDG EPSIPAATSSPDTPSPTSKSSPFRFESPDGVGMTIKKTQMERKRRRKKRLAEEISWND GLRCFVARRDAWTGARRVSRSKSGFSGVKPAQRASMSSEDGGSSTAIEHDEDDEWEDE IEVPVAPSIIPPENAMRASILPAAYNTIYDKVVVQALTPSCPMNLKDVTRSCVQGWKR DGEWPPKPTVPEQKKKGRKMSIASLFGVEKHEKEVKETVKANEKEKDAEKRGGPATGI RKGLQKILSLGHSTKEHTHTNGHDGAKGKEAEVAHVS LY89DRAFT_153307 MVPGSPEETPFLKMETLTPKVFIISMFKNEAEIWQSAPDRLDVK VNLIHIPGLWKEYPKIYCSKDADVCQVVTGEGLINAALTISALVASPLLDLSKTYFLI AGVAGINPKMGTVGSVVLSRYAVQVDLQYEFDSREIPTGWKTGYVPQGANNPTEAPKF IYGTEVYELNSKLRKLAKGFAERATLSDSEAAQAFRSRYSGPSSNVFEAATKSPSISE GDVLSANTFFHGHLLCEAFEETCRSFTNGKGSYYVTAQEDNGTLAALHRGAVSKKLDF SRIIILRTGCNFDRPPPAEFSEMPLHSSHGGFEIAIENLYSSGIEIVEGILLGWQETF EAGIVPDNYVGDVLGQSGGRPDKLSEN LY89DRAFT_591238 MLSAFTARPIVELRQRDKFKIESILAYGDRLLVGLSTGSLRVYR VNEIPEDKKQNGSAKTDDKPPSRPNSSAGTKPVDLLREVEKFSTRGIEQLAIIKEANV LISLSNYYISIHDLQSYSLQEQLSKTKNATTFAVTSNIVKDSATGIPEIISRLAVAVK RRLLLWSWHESELEPDMNEITLTEAIRTLTWASATKIICGMNSGYVIVDVISQEIEDI VGPGAIGGAAGAQGGRFGGVGSASMGYMGLGSYIPKPLASRLADGELLLAKDINTLFV TSAGKPLEKRQIPWQQAPDAIGYSYPYILALQSPSQGSLEVRNPDTLSLLQSIPLPNA KQLHFPPPTVSLAHAGKGFHVASERCIWRMGATDYDSQVDELVEKEKYDEAISILNML EDALLKNKEERLREIKILKAQALFDERKYQDAVDIFMAKDVQAPPERVIKLFPRIIAG DLSIINESAPDLDSESDDAKDAKEAQYGDKSENGETGKDIGSPKPAGVAKLLKTHNKT ASDTSSIRSFMRLDGGESEAGSSSRPVEDGPLEGKDLITAVLALSGFLVQARNRMKAF IDAETGKLKPVEQDSQNGSSQHAFESLLTAPATDAEKDREAKLRDTAKLIDTTLFRAY MLARPTLAASLFRIPNFCDPDVVNDKLLENGRYNDLVDFFHGKKLHRPALELLKRFGT SEGEDDGPAALHGPQRTVGYLQNLPPEMIDLILEFAEWPLRADPTLGMEVFLADTENA ETLPRDKVVGFLQDIDLGLEVKYLEHVINELNDLTPEFHNRLVEAYIQRLRTREDRDT DTWRSLMGGLTSFLRSSTQYSLSRAFGLIPRDDADFYEAQAVVLSNMGQHKQALEIYV FKIQDFAKAEEYCNRIHLTQDSAVASPIQLRRGSQHMSDQEDSNPSIYHTLLSLYLTP PPPHEPNWAPALDLLSKHGSRLPAFSTLNLIPTSLPVASLESYFRGRIRAANSIVNET RVVAGLRKSEVVSSQAALLLGDGAPGGQAGRNRRVVVSDERVCGVCHKRLGGSVIAVL PDNEVVHYGCLNRATGQPGSRTGHIRAGSWSRA LY89DRAFT_153390 MADSKPSSNSSRTHQILSATYNSPTNAPFTHTTKLPTPATTAPK DRTAYLSSLKAAVADLQDDINTDLTSRMEEDKAREASANGTSRSKAKGVDESKEEDNY GEEVVEED LY89DRAFT_737135 MSQHFQPAGTPQPTRVPGSFSPMVAQSASSRPPLRRVESSSSED STPLTINKPRTAAPVRLQNAPTPPRPLYASNANSSTSSLQNFSRPTINTVQARSDLPL RNASPLSTAPKTSISDHYRGGHGRKHSQTQGSFEPYLPTAAASNLGSMANLNTGLSAS QIAAQAAMQHQTHTRQRSQTVPTPQADAASNNSGSRRPSRGPTSPPLLSLTEASGPRE NSFGGQIYQNGLLGGSHGNAAQTAANLVFPKSPNTSPGLPPSDHDSQLRMQPDKPIKT EKSKVKLFSRPGKIGISKDKEAKAGALPSPSKMASYTLASLQRNNFSTNSLADSMSSA ASMYSMANSSSATIRAVDTPPEKDKEKKHHFLSRQKHKLSSKEDHHLPLSSAASNSKP VDPSAPSSLYNFSLPPSPGPTSTSFAKSMSGLDLRHGGRALREKKKEEKSDALRESEL SYQNSNDWPGPSSLGSAGGASYLGSGAGSYGYPSSIYGAEGADLSKYGLNNMGVDDAW PFLKAKLLVIFEGEDLRLPVEELNRLVTTHIQRCIQKRAPSIIVEDLRDLLATGFSSL DQMLHRTPDDRLIPHLVEMWLFTFTSILPYMQAVFLPLDLEFSGHGPLMTSEQARDFW GALPSSSKDLNGNIPASQALEVRRIVLTAYRDTVILPRFDTLKTIFSRLSLDSISLSL PAADILSTSPDSFSGGRPSTAMSLDPAHASYGSHTTTLLGSGSSGDGSGNRSRAISNV SYGSEPSASSGLGIAGLPPPPQRPFTPSSTHPQHPLNRGQRAQTVEDSGKQLTETVGR MLQCMSVLASVGVDGGGDESNQKKMEELTRGLKLNWLGRGRMGRDRRGLVGARVPFVG GNSRVEGTVA LY89DRAFT_699108 MMTSLAQSYSPHPGGMQPHPGMAQGHPGMAVPHNPGQPGQPGPG MPQQLHMGVSGPGPQVSQAGVMMGGMPPGAGGPSQHALQHLNPNQAAQQQQLFQQQQI AFANANPSMQQIQQQQMIQHQRQQQAARQAMLAQQYSGMPMQMANGMNQMTQAQFQAM RGGPVARPVNLPQHLQQQQQQVAEHNLQQQQQAQAQAQAQHQVWFSESRIFSRHQQQL IMAQQLAMQQQASQQAQAGNNPQGQGNQMNPQQPQNMQAQTAMMQQAHQQQQQAAHAA AASQQSQGQPQPQPQSQQAQPNAQAQAQQPQPAQQQQQGIQQQQAVAAAMLQQQQRQG EKFKGQCLMKLMQFGDHLSNFGATSKSLASYTATGAQRLAAQSSKQRDDLNYWLTFVD RFFSPKGVFRHSLWILDETSNKQYEITYPALPRYFYTHFESGVKNMQMIMEKGTEKEL PNNGHYIESQKSSFVYWFDNGSQLVASGTLKAHFDADQKIELLEFVTSSHEEYIPRAQ VLDAARPLHEWSKEWRKVNAPPDGKQSPEINKKKAKPMKSPPQPPPEIDLPESLVSKM GITPLVFRFLEIAEVMGQMNPLFGYSHQNSSLDPYAALNQYVATVAVNGADNSGGQQP NPTGPRTPGMGNFGMGVSPAQAHLQLPDGSPHVSGSPAPGMAPQHSQHGTSSSGPSAN TSPNTTNKRRRPSVKDESEVQVNGNPSKTAVKPSPRIGGKRQKGNPA LY89DRAFT_687384 MQFSILISTIIALAATTSAAPAPQTAVIDCWSTCSTNCVQSGLL RGGLCDASGTCTCLTGTKREAEPMPAPQLECYETCSTNCIAAGDIEGGMCDAAGTCTC L LY89DRAFT_784917 MAATNPDLPDPTADLHWSAFRGAIHDIFSANAEAHPDRLCVVET ASGSSPRREFTYRQINEASNILAHHLVQSGIQRGEVVMSYSYRGVDLVVTVMGILKAG AIFSVIDPSYPPDRQNIYLDVARPRALVVIDKATKEAGELTEKVRTFIKENLHLRTEV PGLELKDDGSLVGGNINGKDVLADQVPLKSKGPGIVVGPDSTPTLSFTSGSEGRPKGV RGRHYSLAYYFDWMAERFRLTKNDKFTMLSGIAHDPIQRDIFTPLFLGAQLLVPSKDD IQHERLAEWMREHGPTVTHLTPAMGQILVGGASAEFPALHHAFFVGDILIKRDCVSMQ RLAENCFIVNMYGTTETQRAVSYFEIPSRGSEPEYLGNMGNVIPAGKGMKDVQMLIVD RENRNRICSLGEIGEIYVRAAGLAEGYLGSDELTKTKFVDSWFVDNAKWVEEDKKVAK SMAEEPWREFYFGPRDRMYRSGDLGRYMPSGDVECVGRADDQVKIRGFRIELGEIDKY LSDHVMVLDNVTLVRRNKDEEQTLVSYIVPDMQKWQKWLEQKGLQDDTSGEGIQGRLR RFWPLGDDVKQYLKEKLPSYAIPDVIIPLEKFPLNPNGKKDKPALPFPDAAQLAAARP QGAYVEFSPAEKEVASIWGSLIPTIDERTISPNDSFFDIGGHSILAQQMLFKVNKKWT TLKINMSVIFQNPTLKGFAGQIERRLSSTNGDVNGHADTVEDYAADAKALLKTLPSSF PSADEKIVPSTSLTVFLTGATGFLGAYLLRDLLTRSSPPISKVIAHVRALDSTTALDR VTQTCQAYGVWDPSWTPRLSCVVGNLGEPRLGMAQETWDRLAHEVNVIVHNGAQVHWV YPYSNLKPANVQGTLDILSLCATGRPKQLSFVSSTSVLDTEHFVKQSQAGILLSEEDD LSGSVTGLGTGYGQSKWVAEYLVRAAGARGLRGTIIRPGYITGDKASGVTNTDDFLIR MAKGCVQLGCRPKISNTVNMVPVNHVARIVIAAALSPPKTPLGVAQVTSHPRLTFSRY LATLETYGYDVPEVEYSAWSKKLQDYAVEEGKEQHALMPLYHFATTDLPADTVAPELS DENAATALKSDKEFTGEDLSAGGYVDEEIMGGYLSYLAGIGFLSLPSGKAKKPLPQSK ISAEQKVALLRVGGRGALI LY89DRAFT_687387 MPVQRSIFGRPVPAIPPRRMAVLLGTIAVFAVFTLVFTLPNSIP VGPSLGRFTDHKIALPKIPKQLSPSILNPFRQAAHAPPVQKNSTTGEVSWYTNWNWLS PFSSSVTLDENRSLLPPLEERPPIYTYYDHTISKDSDRKDAENKILLTWRRAWWAQGF KPIILSPAEAMNNPLYTELQLHDIEPFIKTELSRWLAWENMGTGMLCNYLLLPMGSYE DPLLTFLRRGEYPVLTRFDKLGSGLFAGSKVDITAAVKQALGNKELKAAKDFISAVVP ETFEIEPKHEALAYYDPVTIKDKYGKIADDINEGGTTGMLMLNQLTVAHLHSTWQNLF TKGIAVLKPEAEHMSAMISPAYRLAEFLAQCPESPMPASCPPNRPRCKPCVASTPLKI TTPPAYRNTTELYTIGTVPHPYTNALLTSFREDIDVRWIRRESERDPWLTTLTKELLG TGVSGAPRVIKFKQAVASKYGTAHSLWITPEKETPADLDWHFGFAIPRNATDTGKSET PVPGPERRPVQKPDPKDGPVPNPDDEKKEVSLMKRAIEFGTARTPDEEKLRGAIEAWN LADTEAWRFARAFLARSRVERLKWEEEEKKYAGGAGAERGKAEGWGRWFDDR LY89DRAFT_709087 MQVFFFSLPHGMVGDTVVDGLQQYLDKGDVIIDCSNEEWENTQR RQGKLVAQGVYYVGCGVSGGYQAARRGPSMCPGGEDQALDIVMPLLQKMAAKATDGTP CVERIGMGGAGHYVKMIHNGIEHAMMSAICESWTIMTKHMGMKYDDVGKVFEKWSSEG ELKNTFLVKIGADICEAKDKEGHHVLGDVQDKVVQDIDGSEGTGIWSNTQATSLHVPA PTLATAHSLRIASAYRGNREHVKETFHGSFLPSKFDFKDEEEKAAFIEDLRQAVYATC LAGYVQGISIIDVADKQNKWAVNFNNIVQIWRSGCIIQADHISSLLYKIFHPESTSKH KNHNLLYEPLIVDELKAGFESLKRIVVKGLEKNAIVPSMSATLEYLKYMGNLDLPTQF YEAELDYFGKHMYDSKSMDKGPGEAKTGTHHYEWKPA LY89DRAFT_687388 MAPLVPFWAQPSHPDIQKVLVNKEQEYTTKSISLVDLPPYALYA KFAFPPCTAAEKPTYATVQMGKDKHLNLNSDLVYINHSCEPSVIFDMASLSVISGPNG LKKGDELTFFYPSTEWDMAQGFDCFCGAQTCRGFISGAKNMAQEQLQGNWLNAHIRAL LDERDGVVVSSNVENLAQNGNANGGFEEMKDATEQALQIALTQAKKVVDAAQRALDVY KSLYGREEATGQNGSAAQEVGAGYQVDGGINGGKRRGVTSRELSGEMGGDTKQ LY89DRAFT_737142 MVKVKSLFKLRGFRKPSKSEFPKLCKTCRNIDFKKYLIYDDETS VEATKAAIKLGYLDDLIQRSSSCPLCALIVDCAKRRNDGEQPPVVYKKKRVKVSTCRK FICRVYGEGDQSAIVQRMVLEFEPAIFGYFSHIRFQLSTPNEEVSGFGRQMKPIIDMA RLKGWLSDCEVRHGNQCHAPSWLGEQKQPRFLRVIDTEKKCVVHAPSECRYVALSYVW GNRKKMQSRLWLSTKATKDKLEREGGLDVKSLPKTIFDALHLLSEMGERYLWADALCI VQDDDTELAEQTSQMDLVYARAVFTIIVASGTNANAGLPGLHANTRSALQGSIRIDDK YSLTQTVAQGNATHLAQSTWNSRGWTFQERLLSRRVLIFTPEQVFWNCESAVCCEETT LERFEDISVLPQALDCHDEWEDKPDKFSRDSLRTYIIQYSGRDFTYQSDALAAFSGIL RRMEYENKESYHWGLPHTRFDQALSWDGGAKLRDALCRLTAEDGSVHQVPFPTWSWLG WTGFISGPMYNETVHAHTLNGTSKSELQFYKVGLHNDIQLIQGPDPDSTPPTRRYPKN DEQKYFPLRSRWKGETSIENISPGLLAQPFIDTGRLTFWTSHARIMTRYDETSHEISI VIKGKKINVPTSGSLFQRHFETRRRAKIISIMQTSQKRPLDFIVVGRFAEIERLEMEK LNVLIVEWDEDEKERNVARMTGTAVIEEKDWVEAEREWKLVILK LY89DRAFT_591325 MQVAEKSFEKVEFGEEESDDGGIPSEYRGTASDRKDMTTLGKKQ VLRRNFRFITMLGFASTAMATWEILLPLFTFVLTDGGTGDLFWGFIAAGIGMSLVYAS IAEMASMCPTSGGQYHWVSEFAPPKIQKFLSYIVGWLCAIAWQVYLGGACFMVGTIIQ GLIVLNLEDYVWKNYHGTLLTIAVISFAVLFNTALASRLPLIESICLVLHTVGFFAIV IPLWVMGSHSDAHVLLDFTNYGGWPSTGLSAMIGLTSPISVLTGFDCSVHMSEEIQDA SITLPRAIMWSVVPNTALGFIMAITLIFTLGDIDNILATATGQPFIQVFFNATQSLAA TNAMTAIVVVLLICCGISELATSSRQIWSFARDRGLPASDWLSKVTPGWNIPLRAVCV SLVVTTLLACINLGSSTALNAINSLGGVSILGSYFITISCLIWRRAFGRPLPPRRWSL GKFGMGINICAILFLAPVWFFAFWPLAIPVNPTNMNWSSTMFGSIISIALVDYFVQAR HQYVGPVVQVIRDE LY89DRAFT_651261 MLLSSLLTSSFLFDLINAGQPLDVNFQANFTEIAEKVKVNSTEK VLAAALDVLEGFDDEDFLQDDSRSGYWTHEPECIHNDDHSEEYCVYTDKTFARGRGIS FFTSPTIAARVVELSAFTDSAVHEDVNNFEDPPWEIRNVPGRGNGLFATRTLHRGDLI LADTPVGVFQSDAFFLDYAIGYRYLHTAFDRLSDATKDIVMRTAAHSPGDPYMERINT NAFAGDFEGAPHFLFYPETALMNHDCRPNTLYHHDTNTLVHSTYASRTILLGEEITIT YINILEPRSERRQVLNRWGFECQCSLCSRSNAEINHSDSRIRKINTLQTQLSDWTENS IATPAMAEDLLLLYEEEHIHAAKGIGHMLAALAYNAEGDISLAKKHAKLALESGVVTD GSKEADENDLEAMRKSPKSHWSYLVRKKQRQERERSVKSGR LY89DRAFT_672399 MAAQEYYDSFNQPDQAPNYPPPHYQPYAAPPQVHQPLPPQFNPA DHKPNYPQHMQPYNPPVARPPPQPYYPPQNPQFLQPPQVRPPQQVRFDDEEDDESEEE SFGRRRSVSEPPRHHHHHHHHRDRDDSPRERSRERSVERSRGHAKQPDHRSRNTFLGA VGGSVIGDAIFPGLGTIGGLVLGGLGGHETGRSRSERPPEKRRHKDRYDIEWEEGRRR RGEID LY89DRAFT_753673 MPGPTVMAWYCSWCEFGPNSIVYDVVCPNCRHLKGEHANIGTGG SSAPPDGPQTSSSSKSRRSKLKIRKGPKNEGIKDNKKHSSAIPVTTPSTTTTDISLNR ELVGAGDRYLPSSTSSSSLQSLADSITSLASVSPTSSLASSVPEAKTAFQRVFSLLRF FSEQYDELLTKASPEKVSRNLGTLFKKFARDLEKVATTGDEKHTAKFVRSHARKLAQG LVESSSQETPRILRDPELDESDESQAENVQEDPDDFLDLEAFIMTSDAFQQLRLDIQL LLGHTYLEKSAANFDDHSSDVSLNNKETVLVALTSSKDGESSVPPRGWNLNSIGKYIW TLLVPEPPIPRGMTRVKWQCACGEALYDDYLELELGAAREMEQYLQTVNYRTPPPSDT TIRRAPTKLLQHIYEIVQFVVNKLRGRRSPVLVETELRYYSESRATRTPPHTLAEVYY LLTCYNDRVGAEAVRLRQLDVSDIDNDQALFQCLHAEYSMLRTRWCRWLSLWSLQSIR FAKFELFGNDLIDIKKLDEVPPPTKDLEYRHGPPNPPKIIPPLGPEFLKHMFQHPELA GSNRHCLNKIPRRLRDRLVVVDRDYPPLGWGLQFVEGWSKRRLMYVAAVIFGLGSFIV MILVSVLGQNIQNAGAIASFMLSLVTIGVAALQAGMHMS LY89DRAFT_651263 MILKPLPRVFSLLLLLPVRALAARPSIVPIGQGKTNATVGAINS PLAITPPVPVATATASGYFFAANATTSSAHPTHTSNATLPACKSVQYAFPSGTGGNAT RAAAVKEAYQYAFNAYVEYAWGYDELQPLSKTGTNDWYGWGVTVVDGLDTAIVMNLTD EVSKMLGWIQTVDFTTTPDGDVEMFDITIRYLGGLLSSYDLLKSGQFYNGYDPDQIEA LLTQAKTLADKLAYGFQTPTGIAAVDVDFSTNTPVEGTYTASNGVTYNSTNTASAGSF LLEWYRLAALTGNETYRSLVDTGEYYLVHPNPSPTYPGLVGTQFDTTAGGMLNFAGGW HSEVDSFLEYLIKSYHYKADNITEEYADFWLSAVKSTEAHIAVHPYGFDDLTFLSAMD TNGGLTYTMDDYSCFAGGNFLLGCKVLGMESLCDLGIAAADGCHQTYNTTTTGLGPLY WGWYDSDNTYPPDPTVDIDNGYRRNGAANGEFIVNGNEVYASFPESLESWFYAYRITG DPRWAEYGWDMFLSLNETARNSVAFATVNNVGMPWGESQSNSLDSFFFAEVLKYMYLM FAEPDIVDLGKWVFNTECHPIQVQCKA LY89DRAFT_753679 MPPKHDPRMSATPQNERPVPSLQPSFDGLPRGDGFTTPSRQYNG APLIPTSYPTPVTYTFAPQSRRVPQSGHYWQPQHPYGAAAPLPNPIATGLPRYSSANS RAPEYGHLPSTNVRGNRSENRTALAGPEDAQREREAAKKKSDLRKMQQMKEESDQIRD NQAQVNAQISHEHRKRRKLDIAHRLEADKLRVQKEKNLGEENVGKDRSIWEKKNVGKE KNIGELVSPSPEPDVGEKKNIRELVSPSPEPGL LY89DRAFT_709092 MEFHLLSTTSVTTALLLLASIFVFIVRRPRTPQQALSTANKICP PSPEFIKLGEKLAAALPDSVIFPQDATSFKQSTDAYWAKQETEAVPACIVQPTDARQL STVVGVLKTEYDERQKRKDRSYEEGLFAVRSGGHSSVSNAASIKGGVLIDLSLICEVA PSDDGSSVVIGTGAKWVDVSKVLDEKGLAVVGGRNSQVGVGGLTLGGGISFFSPLFGL VCSNVIQYEIVLASGEITTASASQNPTLWKALKGGSNNFGIVTRFTVRSFPSTKIWSG FLYMLPSQATKVLAAFHESVKRKTESNFITSYDYHASGPIVSFSYVQPLGFQVIAANL VYTKLPEKDNQWPVYWKTSAFAPLWRLWSTCKVRTLTSATDELCALNPAGRRQMFATT TIKNDPATIKAVHQAYLDPISSLRGCKGLVWTLIFQPLLPEWARKGHDNPIGLADGTN DPLVIVAFTINWDEDRDDDLVKKTTREAIEKMDKAAEANRTWHRFRYLNYCATWQRPF EGYGVENVRYLQEVSRKYDPDGLFQNGCVGGFKLDLE LY89DRAFT_621945 MSHSSQQTSLREALELHPLELGSYTIETPPSLCFATVTIGGLVT SIAHKAASDFLSKSVPNNVHRDVLSAYTQFFRATLPSPKQATLKFRIASFSKAFTALH LEVIQNDKLCIAGYVTLTNMAPTKQISVQTGWQLTPPPPPVSLAGLETDASSIWSGYL TPFDASTLRKPQSYVRYYVPIERANKHYIDQWVTPEWRDDCSPKGPVWTNETIHFIID NALPILNDLLDTDGEYGVYNKIVKAGLLQRQARLEGKDDRLWGGGLADSELLFPWIIS TVSTSTELKRLLPKEGCKWLFMRETVKAIIDSRMDLEIVVLDEKMELVAISQHVCQVI HVNRKRTSKANL LY89DRAFT_753683 MEGMEWALHNLGTLYADQGKLAEAEKMYTRALQGSEEALGPDHT STLSTVNNLGTLYKNQGKLAEAEKMYTRALQGKEEALGPEHTSTLSTVNNLGALYADQ GKLAEAEKMYTRALQGYQQALGPELLPSYLPALNTMFAFGDLFSQTSRKDLAKEMYSR ALARYTAVQPSSKWSKIGFTEPVAQDSRRLKRKHSANWESG LY89DRAFT_672408 MASTAIHTSPMYHRFHNIAEDVIYRQNTFEIWDSDLWFDGFIYG LSPFARNNPANLRVQWPIPPTERPEQILGWIAHCSGVKRLEFFCFSQRVSISALQFLD RLPIENIWFETSTCQVPNLAALRYPNGVPSRHRIHRMVRAQSTQTDINTDFQTQGGLE GFRRE LY89DRAFT_784930 METSKTTTVEQTGTSVGFLHLHPAQSQVTLAKTKTAGCGVRLGM LAISDISVIAPTCKDKKTQEFWKATFLRAAKLQREQRTAWNTFRQGLKLDDGDEDQGC RYAQGTFTEFRAAYQTFTSRKDSMGFVETLPLRKPELQDNRQWDVIFKDRRLHRTYAQ QFSWAYAIQLYRNIWLSIPSDADENLDNVMLDELEKINKSFEGILKRLPETYRETHKE LGYIRIPTLLNQRDYPTFDDEFKKKDEDNEADWDEEDLEEDFFEKFATEQVVHDPEAV QEILEELGGSEGTEGILETLLRESAKGKTTSGYKKSLEKFNEVLVRADAAAGDLKSGL QLEELENFMAQHGDVFQLIEDMKQNPQDPKLQSRYQNARETAELFVAQRELPQKLVDS IVPPLKWLQATTSGPMLSQLLETIGGQKGALHNYLWSLAGGNPNEKSKNIIENANRHL AKDGNNMAPYSPIEGELLERLVADVKAFMDLESTCRMNVNDNFAQDKIIRLQADLLKT WMDYPGERENKDVEMDMDMDGGSQELTRFKPTSSALTTRKRNVVPKPAHIQEVDEDFD PYEGEVIEEIEDEDTEPILPYGRRLLQDSSKDDGQTPQITVQKKTNNSPKAPIRQKRL APTPATSRKPTQQQPPELMSIDDNEEDDTIEVVPAPPAEFDLSLFVETSARPGVVLGQ VDGIDAEKTILSYRHVGHRSSDCEDYLRSMVSHEGGKRRRGWGLGHQLLLKYHHPGRK HPSFEFVAASTYKSALTDFIRTGGTEFKASTWEDLNGATWRDCTIGGVLPVKRDENDG YSNAAVTYMLIRVNKLTPKWFTMSTLKKEFGDQVIYEKERHMRKTGQLCSKEPIPRPL RQELMRMEQHKRAMMGQQMPLKGTSEMGKLRKNVVRSADAMQRLQQEGYLPNSAAAGP WGMPGFMAMGAPMGMGGPPWATATWGNGKPRKGKNSGGHPGGWGTQGPWGMQTPGNWG MGPSPPWGMQSEAAWGGPGPNSPWNMPPSRQRGNMKGSRQKTAPNPQNWNAIYSSLYP PKPSTRDKKKPNGYSGPWEASQENAEGPSEDLGDGDSVLEEGEEEEGEEEECSSEFED FIDDTEYPPPTCFDPKLCNQSQNAYIRGQQPQAYSPWQYNGSY LY89DRAFT_564694 VTVGAAGNLSFSPNTINAGVDYVVKFEFLALNHTLTQSSLGNPC LKSDGLDTGFNEFNPKNTTGQYVVDYWVQSMDPQWFYCAQTKSRSHCQAGMVFALNDG GLFPEFLSAAIA LY89DRAFT_784932 MWPAPGVHQRRVDSDAPPQKHIFSRSHTIPIVCFKLETHLQLQK ATRALTIMPTMDPLQLFDLMMDETDQTTFLQLFSSFCRHSSNDAVALKPSSWFKRESI LPPLPEVWRNTAADVLAQATFTNIKVDNLRESSLQLLRPGNMLDHELVEAYIGLIRQE GASICSTRLFDSIELGTPQQTTDLLIDYQQVCHRVGSLHLEQAEADEIVPSFRYRILA ELLAGTLDPSVTEHERFVSQTVRDQSAETADDTRDLIPKGSLDEDSISVDSTQPRSSQ LRKEKHDGSSFVDTCVKSFASEKSMLEILNLAVRFHRVTKPDVITDQGLDFLYHKVEN DDHAQDILRNRHYREQYSRMFYRSLKQIGGTSFRADNWVRAAMQQIMRLPPDTKLWKA AQSHASRSTVWAELLDIFQGHLDFPCTALCGTCESTAAIEGLSRKSRDLFFLGLRHRL QDPADGMLKNLKAASALARALLYNHLPDFTLAIERKTDLDLMDFEAAVSVEEKLRLPS GY LY89DRAFT_153712 MASVPPPNYFNNAEVAPEPLHLPQPQPPQPNLQPLEHLPPVPRW HLEPIQPQNPCELRDEQFQVIMASLAQEVAEHNSTRARLYRYVTGAMKHERDLNSERH SVRYLHAIIHDLRTQVQDEKMKRIAAEEEKQQLLLENEIECEMQCVSFLLCVLIKYSF RKQEYEPSAPPLNDDAQTLANLFDIEMPSSAPGDSGQASNQLPVHSNEVNYRHFNSPE VTTTRVKLCRQAKRSTG LY89DRAFT_153727 MLSAVLVGISISRLQDHYRFQQEYSLWLLTAFGFSALQEAQVTY SFIRIWFKEHSGLEVASKVMVIILSLAELSLFILGFIPFANGIVTYLIRGAPIFFLTT CILCCYPALRFSIKTLKYGRDMKTKLILPILTFFQIFQPLLTTVALTCMWRNASSTYF IHWLWILALSGKACICRIVLQSAWNCVDKRWFSTATTSHLENRIIRNASAGESIQSFN LEAGRRYGVGSISEG LY89DRAFT_784933 MAFERTPDHDLGLCTEAALPVSTIQYTLGDEEVVVRFSTIVHQT ECEVRLIFHPFRRRCNITYHEYSLHCRVPFSLSQVPVHRSPIIKIAQGIYDKFFRDNA GYKFSLLAKELEISVIPEPEPSTIPDRAPSSKYSEGQQLLIEFWTLSGEHSSKSSKRL KLSKPPELPKLPKLSKSHSTLREKEREIYEFIPWKAPHEISIEDVQKSYSRVVENGQF QPSFVPQLGTDPHRSDRWDPYILQKKFLSQAQLDEEKVETMRKHLNKIRKQVPESTVW EATMKQHNLDPQHVQDILEMVFCWDKGLEPPRQRQVSDNDDKPAILKTAAGLLRSASD LEGNNKLWTRVAALQRMVGYALMRVCEVADNLTRPQVLDYMKGVFNGTEDWQRRSLYL ACVWCWTMDKLPRWRGHELQLYIMLGNHR LY89DRAFT_737158 MPLGSQFQAATTEFWSYIFKEKIFVGPDFSIAPESPPTEDDLSD RRRVDLVVKKWRPSRGHKKGKFGALLLFEAKRYSATQVNIETLERQAFTASIAWLIEN VAEEAYSMTVIGATARLCYIAAASNEADELWKGFETILRYPQGLPESQVQILASNTTP PHQPAGLSEGERIDYGTHYDAPGASDQKMSYDEADVLGDVGYGELSGQHGATGSAHYE EGYHEPDDTMGGPSYAENSPKSPGLDDEYSSMSAPANDGGEPTSAASALTNAIPEFPS NAQHVEVKLRIDAGGRHLYSYKHGGRKRETEWHNWEKRTTYWNGALKECYLDPRQGGQ QFWTWTLHPAQVPTVDERARGKGRA LY89DRAFT_153773 MADPFGTGAGIVGVIGLAIQITQVVVQFGIDWKDAPENVKTFMA ELGTLKTVLSETNTNIILNPDFAAAFQNRSSLLLSQLGPEAPLTTDTNLMLEICRREL DSMLKELKKRGQGHRLGWERLKGAFLAKDTRDSVENLCRQCQTLNNMLSIDAAALGAT TYKEVREARKEQQDISLAIRGGVDESNRRQENQEQQHERQTILQWLTPVDYAAQQSDF IGRRQEGTGQWLLDSAQFRAWLETDKQTLFCPGIPGAGKTILTSIVVDELTTRICNDP TIGIAYLYCNFRRKDEQKAQDLLASLLKQLSEQRSSLPDSVKSLYGKHKEKRTRPSLE EMSRTLQFVASIYSRVIVVIDALDECQVSDGCRPAFLTEIFSLQLKTGANLFATSRFI PDITEKFKGSIISEIRAHNEDVRHYLDGRISQSGQKLLETYREEIKTEITKAVDGMFL LAQLHFESISTKKTLKKMKGVLKNLPIGPKAYDYAYEQAMQRILDHDADSEELAKQVL SWITCSKRPLSTSELQHALAVEVGKTYLDEENLPQLEDMVSVCAGLVTIDEESSIIRL VHYTTQEYFERTQKQWFFDAQTDITTICITYLSFNEFESGICQNDEDFEQRLQSNKLY DYAAHNWGYHTREASIFYPGIIDFLQKQGHVGASSQALIAVKRWRGDIEYSQEIPKHM TGLHLAAYFGVDDAVRALLNNNSPDLKDSYGQTPLSWAAKSGHEAMVKLLLDKGAELE AKSTYGQTPLSRAAGSGGHEAVVKLLLEKNAELETKDIENGQTPLSWAARNGHEAVVM LLLATDANVSIENKSGWTALQLAALGGHEGVVRLLVTHGAPDPEDFYGLEKLFS LY89DRAFT_737160 MKNSGISDIPIRFKRDKLPLPIFRRLSGGADRKLSPNLPWGCSS VNSEAQRIFREVGFEGRYTFYNLRRTMGNTLDDYGTSITRRKRIMGHHGKSDTIFRKA YQSRKNTVDTGNIFRKEKPRPEKQEVLSMHLRMDTDVLEAPKSLLKQILEHDAEIKEM CNTRNLRLSDT LY89DRAFT_737161 MATLLAKREAIKSKRTQINDSAYFLKRFRVPSRAQYLAQEENWD SFEKEMAEPNLVPDTDNLRLFAWWSASKSKGRLAEKADIKTLCFNMGRFQRLYNACHK YQIPDEDLKDVREYIRTDVAEELGLQDQEMPKGYADWEDIKIVIRYIIAEDAHVYIDK RFRAQIVCIILLVAENGERLGAIARSESYRQEDIALCYKDVELFLRPASDEHPGPRIK MSITYDNRKNERDKHENYVETYFQRTDLAHCTILWFLVLAFLDDAFDRE LY89DRAFT_784937 MPSSTVQHSHRSTTKASHKPFKSRKASKGALKEISKGKVSDLSH RKTPHQQMMSKFDRRNQARQKQQNKHKEHLRETNVFAGRDGAPRIVAVIPLCEDGDAA AAVRSLGGSLDIEAEIPEEGIVRTDIDRFKQKMQYLVLKRDLIACLDAGRVADFVIFI MSPEQEVDALGELIIRSVESQGLSTLLTVVQGMDKVEPAKRRPQVLSSLKSYITHFHP EQEKVHNLDSRQECANLMRSLCTTTPKGVRWRDERSWLLVDEVEWPENEEGHTVLTGV VRGKGLKADRLVQVADWGDYQIEKITAAPLAVKKRKVEEMAVDAGSLENILEIPSSEQ DDLADLAPEEVVMEDDIDATMSVAMTDKRGVLLDDHHYFSDDETHLPAVPKRLPKGTS AYQAAWFLGDNFSDSGSDYEEFDEQGDVSMDAPALPQDGVEGFAPREPTEAAPTEYPQ SEMFLDPNPDDEAEAEQLAAFRNRKVDEAADDREFPDEIELHPHVLARERLARYRGLK SLRTSHWEEDEDKAHEPEEWRRLLQVPDYKSARSQVTREALVGGVPVGTRVHIHLRNV PTSVRASYNPSCPLSLFSLLRHEHKRAVLNFNITLSSDYPSPIKSKEELIMHCGPRRF VINPLFSQGGTTQNDVHKFDRYLHPGASATATFVAPLTWGSVPTLFFKRSLPADDMDD DEVSNLPLTLIATGTSLPPSSSRVIAKRIILTGHPYKIHKKLVTIRYMFFNREDVEWF KALQLWTKRGRSGFIKESLGTHGYFKATFDGKINPQDSVGVSLYKRMWPRNARTWNPA VELAGEETKDEVMDVDADADGGVPV LY89DRAFT_784938 MDVDLIDAPSRSEQHFNGAVPIKRARLDLTTTTAPDAALIRQRR EAEKAYGRGRKIPLKGIKDRKLRGNLKALESKYKTAVLRAKDAEILHENTEGFLEPET ELERTYKVRQDEIEADVPIETAKKRFELKLDTLGPYVCDYTRNGRDLLLAGRKGHIAT MDWREGKLGCELQLGETVRDARWLHNNQMFVVAQKKYVYIYDRAGVEIHCLKKHIEVT NMEFLPYHYLLATVGNAGFLKYQDTSTGQMVIEIPTKLGSPTSLTQNPRNAVLHMGHQ NGTVTLWSPNSTTPLVKLLAHRGPVRALGVDREGRYMVSTGQDLKMSIWDIRMFKEVN SYFTRQPATSVAISDRGLTAVGWGTQTSIWRGLFSKSSLEQEKLQSPYMAWGGEGRRI ERVKWCPFEDLLGVSHDAGFSSIIVPGAGEPNFDAQEVNPYETTKQRQETEVRTLLNK LQPEMISLNPDYIGNLDLRSEEQRKAEKDLDKKPVDPIADIKNRGRGKNSSLRKYLRK KGSKNIIDEKRLRIEELRKSQQERKQERLKESEQELGPALSRFARK LY89DRAFT_153842 MADIRAPPTPMAMLIGTAIIAAVSGYMIGIASSLGFIPIPFMSK AVTARGVSNYDDEEESEEEDIDESILDHAPNWANGFEADKRDGLRATAAATKKGKENN QLVVKPSQETAVVDSGEPCKMVLIVRTDLGMTKGKIGAQCGHATLACYKHFLKNDPKS TILRRWEREGQMKVALQVKTEDELDMLQAQAISLGLVAEVIADAGRTQIAAGSHTVLG IGPGPVSLIDQVTGQLRLL LY89DRAFT_687400 MTVSLVYDSCTTLTAPWAYNVSSLEPVPGVVCWMNRSNNCSDIC RSPEGCNEIIEFPGYPDLSTYGPWNNTIGSFTCNPSSTSS LY89DRAFT_709096 MSVASLRQSNDNTSTQAPVVDLGYGIYEGYYNATSQLNIFKGIR YAAPPTGTLRWQKPQAPATNRSQTISAKAYPPRCPQSNDAPMPANYNFTSSGLGNEDC LFLSVFTPQHATNLPVLIWIHGGGYGTGQGNNDLSELIMTNSHGFIVVIIQYRLGAFG FLSSAEVSHFGTPNAGLFDMHFSFQWVKKYIHLFGGNASHVTISGESAGAGAVMLQAM AYGGKQGTELFSNAIVASPYLPTQWGYDDFVPTQSYYLFAQAAGCFDQMLNTVNSTIF ECLQRKDTVTLQNASAYISADGKYGQWAFLPVTDGEFIQKRPSEQLLAGEVNGLRMLS GNNADEGPGFVRQTITTEADFNTYTQSLFPLMKDTLLTQVQTTYAIAPTTPGPLFSTL GSSGPTALNQSEFGIGQQQRLDNLYAETTFVCPSYWLASAYSRAWKYQYSVPPSEHGA DLDAYYAINREALGYGTLSPGFRTAVQMIWGRFIMFDDPTLPMDVVKSITMTENGTTT GDDIVAAGTGSWPVWGRGGEAGYRMLNLNMTGGHETKILWSSADGVKFNVTQYAGPGL TANFDVVDAWSWEGGRGARCEFWADIGKWVPE LY89DRAFT_153928 MTSYNREKPIKVLDSAGLEQDCIAVVDTLADSSWISRRRLYELG YSKNTSRRGKITKLGDGTLVRSQGTAQLSWRMSNGLLMRDHEFEMLPNNDIDIIFGDD IIRKYDLVVINWENLCPLVTAQEHETPGKLE LY89DRAFT_753723 MRMLAIIVQVSKLDLPRPSRLAGALQPLPLSSQDRWIVDASGNN VPYVGVNWPGAADTMFPEGLQYESISNIVGKISQTGFNAVRLTFAIEMVDDILDNGGD VTLSNTLTLALGQENGTVVLSEILANNPQFSANTTRLQVFDAVAEELAAQSIYLHLDN HVSKAMWCCALNDGNSWFGDTYFNTTKWIRGLSYMATHGKGNWPTFSSIGLRNELRLS TTLAPTLEPYTWSTWKTYMTAAATAVNTANPDLLIFFSGLDSDFNIEPAVGGSTLADP LFSFTVASYPWANKFVLEIHEYDEGISSVCSIYEGILLTFGFDATTKTNGNRAPLVVT EWGHDETDASGAYNSAYSTCLTQFMVQRQLGWMIWVLAGSYYIRSGTQDSDESYGLLD HTWSGYRGNASLVALEQVIQQTYAAYGQ LY89DRAFT_591281 MLFPTLPDILTEADPSLKSAALNGYDAIENAVLAALYFRRMEIR EAQVQEANKDTCSWIFEDPEEHQKPWSNFVRWLREETGCYWIEGKAGCGKSTLMKFLR SDARTLNALKEWTGSDELITASYFFWMAGTALQKNQEGLLRSLLHTILTRRRDLIARV FPRQYNAMMTNHGATVHASQHDNDECGLLTVSELKKAFTTLAKQNVKGFKICLFIDGL DEYVGDQFEIVDLFKTITTNSFMIKAVISSRPEPTFVEAFHDSPSLRVEDLTSGDIDH YVQSKLLAHSKMQNHRRQGSELGAKLTHSIASKACGVFLWVFLVVRSLLECLSDGSYP EDLERIIETYPEELHELYQHMFKRMKPSHRDEAFRLFQAIHHA LY89DRAFT_687401 MFSSNIQALNPRRSHKCGRLKLALPFVYGFVPRSRTKRFARISV VTFLQCPMPNAQLFLTRDDWIILVASALTWVGPHLDKPIF LY89DRAFT_153956 MRIERCEILKIKKHQINNQQGSLSCLHPRIENVGIWHMASQDSH HGGGAVVISRMLVVLSYAEYHFQWPAVAASHGSALIDPNINHMRVTRSTSATVSRRTS TFPSTSSRSRPF LY89DRAFT_687403 MSVDSTPPTATTPVNEKATGPEHIEHITTHDRVPGHENYYEKNG LRTYGDGEDHETEPPMSFKRLMSLVAMAFIWTGSQIPVYIFGAIPPYIYSDIGGVDRW IWFVLANLLALAGVCPFVGSLSDLMGRRYVCLFGSGLVMLGMIVCSTAKVMNVFIGGM AIAGVGAGISELTALAATSELAPTSKRGKYVAVLIFTIIPFCPSVLWGQLIAYHAGWR WCGLLCGVWVSIGFFMTLFFYFPPPRVNSMGMSRREVVSQIDFVGGFLSITGMILFMA GMQWGGYQYKWSSAHVLAPLILGFVLIVAFCFWEVYGAKHPMFPSRLKQAPRILALTL VITFISGANFFSIIMFWPTQAFNVYGHDPVGVGIRGIPVGFSILAGACIVLWCLSIFR GRNKELMIVSSVLMTAGCGALGCADRFNLHTLWGLLILAGLGIGGIVVPASIITTIIC PDDLIATVTALTLAIRVIGGSIGYCVYYNVFINKFIPNATKMIGGTMFELGIKNTSLI AEAIGYTGASILPLLRTIPGIGMNETAYEMVVLAGQEAYAASYKYVYYTSTAFGAVSI IAACFLGDISPYMDDHVAVLIH LY89DRAFT_154001 MEIQEGESQGLEGSVAVYYVYVDDDVGNSWLAGTDSWKTVCAIQ LPSVGYASLRFGRSSEDRHSADEVAQSKLVFENLQTAHRCVVRDTKYWEDRLTGDQDP SDNFVDQTGEMEEQIEHDCDIEDKWARRHDADNSVSPTSSENSAANKFGVWQCPPALN DNSSISETWNDSRSFESFANKVHTNSGGSAIYSGVESDKRLSLVENVPPWSMNAAADP FVDTWFEEDIYSDDADVVPAGLERIGSQLPHIRSQSGDIDNRQRNFELPETFSNPFDT SLPLPDISPEDDSPGTLLYRDTYQNLLAYIAPRRQLPKPLSHYSLHYICENGI LY89DRAFT_154016 MLVSLLHIGLLSHLCSNFGETGITLFGWPRDAGSFPIGRYPRLV MIHNSIPAMSTPKALLWNMLDMVKYASKCSLHNFQCLLKAISCLQSTFDYYHGSITGC SNWIQLLHLRHSYAKILQKAKSDMWAPGLNLVSLCHTLWLSNA LY89DRAFT_154089 MLAGMYPRVRDACENCHSRKIRCILEPGSIACRSCSSNGTSCLF APRAKAGRPRRTHLESHQRRKSSSNQAVEKTYKTANEIGHTRTNADTLLMVADDMHFG PIIPFDTFWDNGKTTNVEHFGNVGFLTNDHSIIQEGHIVETAMAETVEQDQTQQDCAF GAGTPSRSSTPPRYDMHTLVDEGFDFDTALRLCGDLDRSHRAFRDGRTEATQFEGIMR TVEYACTTARMSISSASAERASIHALMVAAMYKVFDVCESIIREILSSNNGFKQDTLD RLFRLQRLDFVLFQGFMFLHHAGQTDAMRKISELHTWILSILQEEEYKNLW LY89DRAFT_672425 MRFLCFHGYGTSSEIFEQQFASIARALGDHHEYVYLDGEVIVTR TELAGIFHGDTLGYYSGCNSEQIAHVHENVTELLATEEPFDAVLANSQGASLAISYLL HQQIQYPNKALPFRFAVFFTPGVVISPDREYKSKEILSFLDKLNQDDINKILMGILDR NGQTMIEPMKFIGLSNLSAAERELCLNLVQHIDTLLLTRRNFKVAETNAYPDMTAQLD CRDKFPRFFHPVYTDERISIPTVHVIGSGDNQAVKRLAEVAKMLCTKEKVISVVHRGA HEIPNKSEGVEAVVRAIEKADFMGQRVW LY89DRAFT_154061 MSSSESDNDALEPIAIVGMAMRFPGGSHSSEKFWEMLSKGKSAH QKIPKERFDCEGYYHPDSARAGAINVKGGYFLSEDPVLFDAPFFSMTALEATSTDPQQ RLMLEVAYEALENAGIPMQNVVGTQTSVYVGCFTNDFESVGGRDPFGGPFYAATGYGS SMLSNRVSWFFDLRGPSMTIDTACSSSLCAVHLACQSLRLGESKMSLIGGTNLIYDPS YMRDMCGMTFLSPDGVCHSFDHRANGYARGDGIGGMILKTLKQALADGDTIRAIIRNS GLGQDGRTPGITMPSPQAHADLIRNTYTAAGLPLDQTAYFEAHGTGTSIGDPYELSAI GATFGKTRDEDNPIYVGSVKTNIGHLEGCAGLAGLIKATLVVERGQIPALADFEKANP RLRLKEWKIALPETLTPWPSNGIRRVSVNSFGYGGANAHVIVDDAYHYMQQYGLNGHH QTTLFVSDSESDSGFSSEDTLSSEQNETTHLSNRLFVFSSADQSGLSRQFNGYAETIN IMLEADRTIDSSLSINWSTFTDNLAYTLGSRRSALDHRTFVVGRSASELSAQLQKPLT ALRRAAKSNNVFFMFTGQGSQWPTMGRELIGHSVYRRSLEASQTTLDSLGCKWKILEE LFMPAEGSRIDSPDLSQPLCTALQIALVDLLKAWGIQPKSVVGHSSGEIAAAYTAGAI NQSDAIKVAYLRGVYSADVNCRLNGLSGAMMAVGLSEEAVTPYLASVPENTVVVACIN APSSVTLSGNESSIDQLLERLSRDGVFSRKLRVSTAYHSHHMKVIAEDYLAAMGTLDP ASNQNEFAVTMFSSVTAAPIKPQELNASYWVKNMISKVRFSEAVKALVTQSAPGKTRR KVPIPYAAAIEIGPAAALQGPLMQVLSAHDDRLTGSIMYTSMLARGTSAEVTALIAAG RLWAQGLNIDLHAVNFDTKSKSNYQALGSLPPYAWNHTKGFWHSSAWGKTYRYLRKPR TDLLGLRLQNQDKNEPRWHNFLRLSEQPWIADHRVQQMILYPGAAMITMAIQAAHEML DPNRILKGIEASKILFKRPLLISSGDTAVETAIHLRPAEDQSRKYVFRIFSQAEDEEW QEICSGLVSIHYTDDQNTALARDWQSDVSVYPMIRERASKKLAPALFYKIFDKKMNLQ YGPLHQNVTECVAGMREGHGKITIPDTKAVMPSQFEYPHLIHPATLDSIFHMQALGYL HTLSGNESLVPISIESVYISADIPVEAGSELNGYAKSTKTGSGDFTGDIVLSDEAWLT PKAVVRGFLSRDMAATNTDNLASKRQPRKCIAIQWEEFNPVTESNGEERQLAENSSLL VTKAPKVLVLCGPHSTFALRSLVDKLTKALAGCNCTVRVTTSSELRSEGQSNLADTLI ISLVEVNEPFVAGWTESDLAWFKDLTAQTSALLWVTCGGEYDREESLGFSITTGLLRT LRVEMPQLRLPHLDLSRTADLAQEENINSILVAFEHGILSDQATYEQEFMVRDNKILV PRLKMQESFHQELSSQIVQPEPRAMRLADQNQAMEAAILQDTKGIVWQYAETIACLSE YDLEISVSAVNLEVMDVEEAVVPGLDAVGVVTKTGSAIYNFVPGDIVVVCASNTLKTS IIIDQSLVRHVPSFIDSSSLVTLPSALCTAQAALLDLGRLQPGELVVFYTNPGNIEQA LISLATQIGAEVFAVARDPKHECILVENLGLDEDHVATSTTFDGLAAAKTMMKGKTVG LIVTTFTGEHVQEAMGMLTDFGRFVSVGKGTLMGSTPSPSNITVANFDLERMRNTSPT KVACLFEKSWARAAKYGLPRAAPIRSFQLPSIDAALAYLRSDRCFGSAVLKLSPDHEI MIPPPPPVKLRLDPTATYVLAGGLGGIGRSIADMMFEAGARNITFISRSGAKSEDAVH FLSSLSVRGCNAQAFQCDISNEAQVQSFVRMCHEQGQKIKGVVQCAMLLRDSMFENMS FQQWSQATEPKVQGSWNLHKCMPADLDFFIMLSSMAGVIGNPGQANYSAAGTYQDALS QHRRSMGIASMTIDLGIVSDVGYIAENLEQFERLDYLENLFISERDLHVIMAAAMLGQ TRDGLPVPAQLVTGVGKELLAEGSLGSAMSSDLKYTELHNLLKVGSGTSDSSEDALIK ENLKSATSVRDACTIVEGVLSSQLAKALNMDAADVDLEKPMHAFGVDSLVAVEVKNMI FRRMNADISVFDILSTMPLARLALKVASKSKFVREDVALLAHDEVIE LY89DRAFT_687409 MTDYEDFSQQKPTHYNDWPNSQGFDVTYEERAPVELKIEGTIPE YAAGTLFRTGLGIRNIEAENGRLFRVNHWFDNLAQVHRFQIHPPAAPGGSMRVTYNSR STCDGLIANIRATGRREGVTFGAKYDPCMSLFQKISSEFTPSTPPPSPPSKTQPSVNV RQRPKKADEFSVAVTITTNHPGLTKAGEKLEGALATEEIQSLCNRTDSDSIQLLDPET LEPIGVASQSTLHPSLKGPSSATHSRTDPNGDVFNYNLEFVKGQGVYRVFKVSASSGD TSVLATFQDDPAYIHSLFLTKRFVILCVWNALYANGGASILWRKNIVDALATYDPERP CKWYVVDRNPVEEGGRGLIATYESDPFFCFHTINAYEETDNDGQVNIIADLAAFEDLD ILKRLYIDNLLSDSPTAATFSNMRNCLPQMRRFVLPDIEAHMQDLFEPVITDEVQMLE ATSEYLAPKELSPELPTINPRMSMKKHRFVYGVLHTGKSTFFDSLIKYDVRDKTAVTW SKHGHTPGEPIFIVDPESAEDDEDAGVLVSVILDGVSGKSYLLVLDAKTLEEIGKAHV DGVIGFGFHGTHFPQRIQKL LY89DRAFT_687410 MRRILCLHGSGSSAEIMETQIANIRSLLPSTYVFDFLDAPIECD AAAELEGLFPGPYYCFFDKYSEEEMQKAIEFVHEVVEEDGPYDCVMGFSQGASVAAAY IAQQQLQGPFKEVSFKLAVFLCAALVPPQITTNEPLSNAIGALGLIDIPTVHVIGRKD PCQAQSLGLVKSCTSSMAQVLLTDGGHDVPRDAINAKKIALGIERVISLAYLG LY89DRAFT_699127 MQEKDGNLLESGSRTTSTASVMERPPGPRGPPPEILLAKSRLIL LSTALCLGLFLSFLDSSIVSTALVTIGTDFNSLSNINWVALAYTLCDLGCAVMFTSMS DVIGRRNAYVVAFVLFFSGSIGCGFARSINQLIALRSIQGIGGSGLYSLAMVMFPEIF PRAMRKWIGAVAGGVVGTSGIMGPILGGVITRFASWKWIFWINAPIGIISITIFLLAW PNKDQMCHAERKPLKQLDVVGSFLLITASVLVVFAFQESGVHPNFWGTALFIAPLATG AICWFLLVGWEVAMGTLLQDSISPLFPRSLFTRRVYVSGALTALITGFPYYVVIYNVP LHLQIVNGKSPLTAGLGLLPLLFTTAIGSMLGGAISSKKDLSFYTLTVGSCLMTLGTG LLSTISTGSAVDPKLYGFQVFVGLGFGLSVSTSSILAAIQCELKDHATAQGIVAQARV LGGSFGIAASTAILGVIKGRELFGIVSPAQLVALNFSTLDSTQAHAVRLAYAHAFRHT LRVSTILSGISIVFAFSSFQKEPPTLAERAEQQIQFETARQMAKHRQRGLQAAPKIAG TSSRESEKPSVEVSTPV LY89DRAFT_737178 MLPPIDDVVLQSNPRFATLHATLKNNILNPNGSTKSHPAQKERD AVTEAFTTAQIREAKSQLIKTSLNTLDITPPSSANTSKSKSAAPPKPPLPTELVELIL LLSARLTSTPLTPKQIAILENTPQWTSLPTHLPRIATLISTHLQTQALSLARIQSPTT NASFLHRQIPQILPSILSLQSSLETQRTSIAKRRLELVSNTTTLLSLHHLSHNLLILH LEQTKHGLLSRHLQARSSYLSLLAQQVALQVREKAVKGERVVYSEEVRGALEVYVRHL RDARERLREKRGDAERVLWGYGVGRGEEGKEKERVMRSVTDKYAELSRELKDVGRDVE RLRGR LY89DRAFT_784954 MLSTVRAASRHALSRGAIARSSIAVRSESTWAKVPQGPPAILGI TEAFKADSFAEKINLGVGAYRDDQGKPYVLPSVRTAEDKVVSAQLNKEYAGITGVPEF TKAAAILAYGKDSSALDRLVITQSISGTGALRIGGAFLQRWFPGSKKIYIPTPSWANH AAVFNDSGLTVEKYRYYNKDTIGLDFEGMVADIKGAPKGSIFLLHACAHNPTGVDPTP EQWKEISEAVKAGGHFAFFDMAYQGFASGSTDQDAFPVRHFVEQGHNIALAQSFAKNM GLYGERVGAFSIVCADADEKKRVDSQIKILVRPLYSNPPVHGARVASTILNDPTLNEQ WLGEVKGMADRIITMRALLKKELEALGSKHDWSHITSQIGMFAYTGLTPEQMDKLAKE HSVYATKDGRISVAGITTGNVKRLAAAIHAVKP LY89DRAFT_651305 MLTYIYFGLIGLVLFWSFQTWSSLQRNIAAAKASGLPYRITLIS GIPGFFWTATHRLFLEPLHAYKPSRKWLWPKLLRVHRSWFYAQELRESLGEVYLIVSP SQIYMSSSNAEANNQLTGRRLDFVKPVEIYVIVDIFGPSILTTEGEEWKRHRKIVAPA FSERSNALVWKESLRQTNGMLNIWSKLDGNESNSMKVNDTAPYTATMALHVICAAGFG VRQLWDGEDEAQLGNKVVPGFNTAELLRSHTMTFKDSLNTMLHGILWLVIFPVSLLKK SPFELHKKLGQAYFECKDYFTELSEYKLRQIERGETDEGSMDIMGPLVQAAEKTKEDS NGLYLTKQEVISDSWIALFAGHETSANVTHYCLLFLATELDKQVQLQKDLDFVIGSRP SLEWTYETDLNRIWHSMVGATINETLRVMPPVIDVPKIVRGVPQPLTFGGKKYTVPAD TIIHISCLGAQRNPRYWPHAPSKLSTKSHDLDDWVPERWLQSSGISTATSQETAAAQE IDENTTSFDTSGNETLLVPPRGSFLPFSDGARACPGKRFAQVEITATLATIFSKYTCE LDVSEWASDAEVRKMGPKEKRTVYEKAMKKARETIAKSESEIFLKMRGTVPVRFVQRG GGLFDDVFV LY89DRAFT_154980 MEFEVFARLNDRTFTNQSLIDFHEQYPRSCNFCLEAFTTELLMK AHVERTHFDTSEDNRIAQNSQEVPWLDKVTWLDEVIWLDEVNHQSWRAHSKDFPDSAL GGTSYNISSPTDGQDLGQRSGDERERPLQDQILGFKYDPTPCFIGDCRGDYTFPTESS YHAHRRNVHNRTIYRPILPASVDLVPSMTPREQSDPIEIGAEASRKKSNRWRPTYNLK TLKAISLGRPKNRRTRDPIAHYTERLSSSTNPGSRNWKALFPFRENTRKILRILICNK EQIACPDSGSEKNIMSEAFALENGFEITRKVTDIKPFELGNGKKVWSIGRVKTTVKLL SHTLRKRLRWFYVFATCPVPLILGMSFLAEAKILIKNRHMLETCPEEFATLSSLLWIG SPREKGESPCNRIKCTLDGKKVMAVADTGSDLNFMCPNCAEREGFHVDGRDEARIQVQ LGDGSVAETIGQVYIHNLSLDWREPVTKLSQPARSSIREGLSSSSEVPEESCGTIFHV LPGLGCDVILGRDVLDETDAFNCCSGLSCSGAASSQDPYELNILIFKKKKRKHAEMTS DPKEVHDDERHAEMLRRSRREDEISLLTGDRREQARGRERILCRAWDDRHAACIYCAA DT LY89DRAFT_651306 MLPGDIERARPNPINGFPRLASKIASDPDKTTTIYRRFDKLSAR NLLYLEAEIAELETLQNQHDEADLKSADSTTISCHSDWRKFQRCAGEQDAHGEFVHLR QKEKMELALRIKHKLKEYHEALAVHKTLLNSKPPASTTVRAMRNWFLDTTSDKVESRP QLWGSSAKKYDDVHDLVALRVPADQDRLSEFILNYFSYFFKATRQDGQSAYISERSVA KFVAILSSILSAILLFGSITSLYFVHNPYALLGMLGGWTVLFATCVGWLTNAKRGPDF RSNGGICSGLGCVHQWDFGWCLSCSYGHGNL LY89DRAFT_721274 MAPENSLSLVALIVSLVALLMSLWQVLQSNFGTAEGRQRTNSAI MGNWADMTRWRWNWIEFRFVIKYVTPHIVIGATENLQESELYDQANPSGGRRFRKDRW RLNKFHVLGRDTMPENIEGRWKSPNGGLGWFRRRLHGLYWALAHLDPHEFDSEIMVSW DRLLQVAHNYQQSILSLQRQEWGSMYSGTQALPIPKTLEKQGESNSDSLTSTLICVKL KRRNWDYIPSDINRPLASTSLGDLMVLGQRLGMRWQEIRLHEGYLRAEGSGYTITSSS IRSFGLVARLSVRPEHSEIKSLGRLQAGLDLSNISADKLLIPSVHADQMLCGIVPGDP ELVDRTYHTVGPDAKSHPEWMFNAIQTHGDVQQFCQKTNQTRTLINDAICCICPWMPL STSPIVRIQHPIAWQGGYMGYKYSFMHFWEAREVLRVRLKRRCDQLSQKEKQEHTKFE YAQQKYDALAEHVAADDFYCRWKKSLIHPEGKVNAAAPNSGYEQAIKDRMDMLQSIKD IFDETQRFFEARNKADQLIHEEHFSVPPIKYLELVRAYLSALAIKVARPDFKANPDHN LEIDQMLSDKKHSGPGLARDIAEMTHVMVDQCSFVAEKLRFRDKNLVSDLDVEEAWWM LMLRGTCWNMSVWMEPPDAGALVPSSLYSDGSLVWIT LY89DRAFT_753751 MPMRILISGAGIAGSTAAWFLAKTGAHITVVEKAPSLLPHGQNV DIKGCTLKVVRKMGLMEEYLRHGTTEKGTQFMDPNGRPYASFPVESVSMTSEYEILRG DLAQILYEATKGYPNITYLFDTTISEVTSNGDDSVEVRLSNGEIQKSDILIAADGQWS KVRKRCFRPEDVSTVDKGMYGVYYTIPRLPSDESWWSIYQALGSRIVSLRPDPHGTMR ALLTIMPCNDSQKTAWQAASKSDRQTQEDLLRRDFADAGWQAQRLLDSISEAPDFYFQ DVQQIKMSKWSSSRIICLGDTAYAPTPLTGMGTSLAITGAYLLAGELSKLGDDEHPAK AFEAYENMFRPYVESAQQIPFFVPAIAHPETAWKRWLFGAVMGTLAKIFTVPWLARAF KDYEDNEDDFALPEYSIFEEGGSK LY89DRAFT_784958 MLTSSTRPEIASLSESVVDNRKRTEHNNDSTSQIKDASFLDIAS KAVSEVDVAADPRREISLNTPPFHQNLPSSDPCSTSDLEDFILKQVMSRFPSMEVANP LFETFYQHCETNYFYFDDEWFKELVKTFYAAPPASEARLDCDIVCLMLVVLAAASLFA HLDQMQDPSFSDVITNEIPGHVFYRLAQIIMPKSIAIGSLASIQACLIAGLYLLPSDD RNTAYVYLGMALRMAIANHMHRNSTERCSPRLQEIRNRVFWTIYLNEREVSMFLGRPT SISIIDIDIPFPQFRADIDGQNEFKKLQRNIALLHLIKICNQISVLTTHEARALHFEE LQASLLAWRQTYHPEIPSSGTHGFRSAVHLALFYNLGFIYLGQHELTKLVRKYVQNPQ TVTTSTTAKVSQEMATACINAATRIIDLIESMRLRGQLALFSIVDIHSCSWAVTVLIL SSVTFPSPETRHKIEIALRCLRHIAASSRSARNGLRLIEKFQSLVDRITARLCPRKPL QADTNSNSKDNHAGAASASNTNFQNASDHSFSNVLDGEDLPPSTNTYNTYGLQESASE WLGSDAEGVPETSFDYDFPGSDFLLSIEQYSYEDLTMYGFSSIASSMDYEV LY89DRAFT_687414 MKPMFNSPIEDLIEPWRPKGPSPSIVFGHANVIDPVDGSVQEDV SVYVVGGHIKAISKELEIIDSVQHIDLDGKFLCPGLIDCHVHLVAVPGASDLESTARM TPAIAALRMPYLCGQMLDRGFTSVRDCGGAQAALKQAIDENLVRGPRLFIAGHGLSQS GGSGEERSIHDHKQSCCAAILTVARICDAVPECMKAAREELRCGADFVKIFAGGGVTS PTGSLDNLNFSVEEIAAIVNVAKNAGTYVTAHAYTPGAIRQAIELGVRCIEHGNFIDE STAKLMAEKVAFLTPTLVAYAAVEASPFPNFLARASAAKVKGTLEAGLRSLKIASEAG VTLCYGTDLLGPLHDAQTHEFSLRRQVLTDLTALQSATVNAAKMMGQDKLGALRPGFL ADFIILNKNPLEDVTILDDPDKHLLAVVKGGRVVSSRWSKVTAEESHHLPQIE LY89DRAFT_709107 MTRRSHRKSRSGCSNCKQRRIKCDEQKPSCQRCSIREEECTYPL LENLVWVDKKNFLAKEAAGRNKRPSPSAQNSSPQDGTSSYDDKDPSLNLDNIELVIHW FTTTVHTVNPPSAVPLSQTLILNQAMQHHFLLHGLLALSALHLADSHVNSQLYTRIAT AHHTRGLSLYDSILSSMDGANYSASIAFSSITAIFALGISRPQTSRVIGLDLVDDLIQ VFQLSNGWRKVVQAAGDLKLLPSSTTITSLNDANTTPCLSLDTQKAFDRLHALNQGQD AAIYSPAISALKSVFATLEGTANDNPHVALDWAGGIPEGFLDLVSSRYALALVIVAHY CVVLHRAPRVWWLRGWGEGLFGVIWRLIDPAYREPLDWVRKQIGFAV LY89DRAFT_543670 NGTQIVFFEYRPNKAAGYTFTILFGLAAFCHLIYLFWKRAWFFI PFFLGGIAETFGYYGRALASSNPTKVGPFIQQNLLILVATPFLAASVYMSLGRIITAL QAQQHSLISIRWMTKIYVLIDVGCIISQFIGATLPASGDPALIERARIILLGGLVTQI VALSLFILTCWHVHRRVKKDPPSILVKNPEVRWERHLRAIEVITLLMIVRGVVRTVEY LQGAGGYVVSHEAFLYACDAGPMFVVMIIFLLVYPGRLVR LY89DRAFT_784962 MTPAQNQVFALPEIVENILLQLPLRDLLVNAQRVCHGWNEVTKS PTLQQALFFEPSPQSQTTDPTFNPLLQEVFKPWFTAEQRKSRYNRGEQFLALDWNSSD AKREAYRKEEASWRRMLPIQPPATVFQIDAKTYSMGGTFRERGELTITDGVRMGVLYD YAYVAVACPISSFWVEWNMLPRVDAYDSDQFDVRGNSKEDGPMVTLHTRHTIQCCVDD VPDIPWQLESKGYKKLDIPSWDEDTVSIEHAFELNNQPLLNRLGQNYLAVIEVSVIAG LSLGFVTMALRDPLYAGTLFSEWVVLMILLAFLASTPVTNNDDILLDTGLQAVTYYW LY89DRAFT_591644 MTSTISSTASTTVASATATCTTAVPDKNGYVDPSACNALYEYYP SFGAAVLFSFLFSTVTALHIFQAAKFHKKFCWVIIMGCIWEAASFILRTISTKHQQNT NLYTYSFLLVLLAPLLINAFDYMILGRMVHFFLPSKSLLHIPGSRFSRYFVWLDIIAF LVQLGGGMIVSGTNVKPSTFHLGIHIYMGGIGLQQFFICVFTGLAIMFHREMLKLERS GQFVEKGWKRLLFTLYGSLALITLRIIYRLVEYARGEDPSNPLPYHEAFFYCLDATPM FIAVTIMCITHPGTILKGENAEFPKMSRKEKKAEKQRKKQMKAVAKLLDPEGGLMDGA HEMERPTTPTPGAGYGEVAGAERYEPYCGYGAQEEGYGMGPMPPRSLA LY89DRAFT_155018 MYPSTTTIKTSSPRPNIVSVRHSKSYLSGVGFSELRRGYSSVSA AVVSIVHVLNRSCLSLNSKKKAPQRLVWVVPPAGLYSPFFYNFPELISKRRSAAKTSL VVANASFELND LY89DRAFT_651315 MTVIQESSPKVDETSPLLATEPSKPKKWGKSVVYCTLLCGFLVT LSFSVTQVPMIYVFRLMTCDAYYETHPEPGPGFDRCSNHEIEAGTARAVSLVGASTTF FGVINLFVTGWSIKRFGIKTSLAIQVFWPAVRLAVQNVGVDTGSGTGILIIQLSQIIT IIGGPAGYLLSLNSYITEIVSHEERTGVLGQLQGCAMFGNALGYLAGGLLADWMNIAA PFRVTLMLFILSCIYVLLVLPSIPKSENVPGPASKGITKFFGPLKTFAPQKWVLIDGR TQREYGAGLLGIGVFLGVLATGYIPVLLQMYATDIFGFGTTANGYLVATNSLIRGLFL TLAFPRIITAGRKWLESKKETSDTELNPSKPPTQPEPTMEPTQIDEVEAMENEEEAVE PLATDEKETFQFDLFFTRWSLIADGVLTGAASFVTEGWQMYLIAVMLPLASGTGSSAK GTILQMCPASERADALSAITLVDMVARLTTTTVFGLIFAAFADIGQTYLVFTCNAAVA LLGFIVLLFSRFPPDGSKRFVEETREPLLVENETE LY89DRAFT_737192 MGTPTSPSPAYQQSPPPQFSAELPSTWEGGGNTNAPPNTQFRHE LPEQKPQAPYIPPWGPFSIVTENDSLSSNFPYNSRLRDLRVTPDQWLQFTTEVINAAK LTFAEDAAAWTTGVTTGTLTSPFLLVFAPVVGYYAGKSVHKKAVAKKVKEKLAVEGPL RATLRQWNEGVFLERGIQLWLEPPKTNGEVIVDAPQDATPEQIAKIAKKQVKRFRFIC TPYDPRNKPLGTNYSPTSPLQSQNSWSAGQSTPSQSPVSPEGRMFVNADGKWNGHTPP AGPVEVSAVRPVAELHDEEKKLHDPIGSERFEMDGSSPQLAKEKS LY89DRAFT_753772 MAELTVLDSGQLLEYLRVVLGATLSSTASILSVSAILGRYICTK VFEAPFRRIRPLLSVAERDGVLPLSDEYCPDICGDSVLKDVYQKLSDVNETRAQLWRS DMMSTLDPTLVDNGLSNKTRTREAAAERRTEAAYELTEAF LY89DRAFT_687418 MPLHPPSHPSLTHVRTFTCTAQNLAKSTKQRLKYEHTAVPPYPY GPSQIYKQSNFGLYGTQKIRFGNMVSEKNEIKTRRYWRPNVQFKRLWSEALQNYIKLR ITTRVLRTVDKVGGLDEYLLGEKAARIKELGMGGWKLRWRIMQTNAVKERFRLQREAY GLPPKVDAPTRWNGQKATKLQVAEEIREFDADIAKGQELEIGEDMEEQVVEPGSMQEE PMRSEKVVL LY89DRAFT_155042 MQLTRLLYIKPKACLNQPLLSSLRCLLQYFNMYCIKCENILNLD ILMGTSQGVQAVGQDAPQPYPHHESISTLALSAKVGCALCKVVLKSVSKYHLNRLGAL HGAKAQIYFELSRQDALCFLTQRRSFWDATTFRRLESYACEGVTPVREALQTFR LY89DRAFT_591271 SLSHCWGSKDELVTKKENLWKMCDGLPVSALPHTFRDAVIITRR LGYRYLCKIAFAFIMVDPE LY89DRAFT_687419 MSFGWSAGDIAECVKVLVKIGNALKESGGSKAEYQDAVKFLSGV EATVQGVEAILHNHPDLKLRPTFEDNAENLFAAVTHFREKTERYDTSLGVNATASKAK KVWKEIHLALFGHIKELEVAVSHPQNVLNGLIVLQVLDTLVDMSKKPTLSPQQLQDST EEISRNFPPLMTAIESLRSNIEAEFITIQQTTDSHVQRLDQILPNLPDLIMLQSSISA QAQAQVDVEAQDLWRSKFQISITRIQAEVEKHFEALQEIRQAQEAHHQQNIQTALQAF SWSNAFRPPASRPAVRVIRKTVILSSGPARSTPATGKGSSRGGSSGGGNSGGNSGGNS RDASSQNAVSPGEGSRGGSSGGGNSRRGKTRGQESSRGGSSTATQSRGGFNKGGSSRG GSSQRGNARGGSSNKEPSRGDNSQGNL LY89DRAFT_737196 MPSAGGLNVRSGLSHQLTRPFLGSENVAYTDVELISIERQRRGC DSLKERFAASSKLGGFEDVSMNKFENEATDHELRARVVVHPEIAVFGRQLCEEKVEAW FKLMQSGDHIFYCNDNTFCTNVEVFFTDSPSEPIVFPWPWSMDITQMASVSVIMVQFT AQLVRHINNGGEHSTLWVSRQSLKDFIPFGVVKTLGYLVDEMLINLNYNYFEVFVNKS KEYPWTEFAFPGSFLSGSREEIDVEQLAPSVAYHVEKDNSTQDLCYTYARFSTEFRSR ITPSKSRQILHLKAIWELRQDEPR LY89DRAFT_753778 MKLSLVHVIGAFLLPVVLAQSKRATNSSSFSTTGLLSSGAVALG DWDAAYQKAVGFLGQMTNEQKLSLITGSDVDALNWTALVMKDGTQGPNVYNYETGFSE GSAIVYTWDKNLISTQFSAVAAEFYDKGIQVAQAPTSQTLGRTPWSGRLVEGLSPDSY LNGIAFGLGAKAISDVGVISCGKHFLLNEQETNRQSSGSSTSDVAPYTSNVDDKTLHE TYMFPFYDGIKNGMGTVMCAMTKVNGTLSCENENLLQELLKTEIGFPGMVTPDVNGQG TAYGSAQGGLDYGSSSYWSNATAIRNVIGYFYVNLNNGSQPASVSTSAYVDVRGNHSQ VVRTTGSVGMVLLKNTNNALPLSKPLSIAIFGAHAGSIMVGPNYPFTVLGSGPVYQGH LAGASGSETTSFSILVTPHYALTTKALADGTMLRWILNDTYTAEAIAPIPTKRDLDTT TYGNDTGSSSSGGGGGDSFSGGTWLTQTYAGYATDASVCLVFINAMSGEGADRTELRN TDQDTMVNTVAANCNNTVVVLNTVGPRILDSWIENENVTAILYGSLLGEQSGNSIIDV LYGDVNPSGRLIHTIAKNESDYNVLRQIQCNPTLRIWVRFIVHNVQLLLRHCHHHQRN RIRMAYPTGILAVGGKTDFWDEIFTVNVTINNTGSLDGNEVAQLYVEFPDEADAPVRS LRGFEKVLIASGASTKVDFALRRRDLSYWDVVAQDWKIATGEYTFSVGASSRDLRANI TMTLGS LY89DRAFT_737199 MLLKNMSLKIAKSLSRSSRFKGCLKFHVPHFLQQIRGQQGTISV LLQTLQMTSIEDIRATVKENYLLLQQVYRGMRRLRHSYPGIKAPGSIFEEKVEIESIN TTVSSTTFAFDNDVVDSKAYRRAFFQMPAALQSLEEQSEGTLKDSDFLYDRMSTLKSI LKDRENENIQLREQIGKFGKINSSLVVQIQEKDKKYETTVANLEAAERSKKPLLAQVR SLINRIPDTSHKLWLFAGVDQNTAFNYCVTHDMIDSDMETIVINTDSDDSTCGELRRM VGEMITDHRDLTSTVGDHTALLLGGNSLLIGNLVSTAGRMVWPGPISMSLDDFKQRVE LLDDDGIKMEASDMKLLEPCLGDARSLPTIVSEGKVDFLGNEPAQTRRASEDKSLRNL RWSS LY89DRAFT_687420 MSASIANTSPSANSSAGSSTPIKSTTPKTKSGRPSKWSASRQRK LARLYLYTNLPREDIPRVLKVENGNNKENWVPGKESTIKTVNAILDKEPRWLRPKDRE DMDKKILGLSECKTQRRFRRQFSSPPPDELPISDAIPSFDFSETPLEDLLAFAPAEPV RSLVTATNAGGAFDYSLPFSAQEIVYDEELVLPSSMLAEVSDTANGESYPTHNSGEIP STDDLSLSSTYLSITSLKRRLSRYSSSYVKAIARIVKAHSISDSSAASTIQVSFPILV NTENASVTGSSTIRSSSTLLANVKARIPQLVLPDSILVLERHFKKQGLCIPGIKNHDS NACWCLEELDFNCQTWASHDGLVCVQREDPPRHLGNLNVYFRDVFGNNILHMLAARGA NIDVIVDALRQGIDGNAKNTAEQSFLHVFSRHALTRLATNSLALDCFLVKLNAFNVRF FDCDLFGRSFFHLLTRRARKLDRHCLGVLTDLNIQLPSKRDAFGWVATCDPKGFNATL EEQLRKSNPPRNSEESTGSAIYRADHLSTILPGTTGMESPDSTIRFPIADQNNSNDAD TFVYSHKALLETATKALDSPTIEDLEGRNGLQCLAEASLDVDEMRALISNSSKRKRGQ TKPSSSSRGLTFRYELASTMISFGVDINHYDKNGNSVLMSFVTHLPDGEDDKTLANLF RHLIQSGANLELRNRSGETALHVAVRLGRKVATRVLLECGANMHARTSMGKGVLVLGE MFYFRAREDPRLYASIMACMALCIQYGAVATPSLVMEWEDRNSGFI LY89DRAFT_591134 MQEITSSNSSQKPRRAIRPCDACRKRKSRCVVNPASRICTNCET RREVCTFRENVPERPRVRSRGPQVQVEPASSSRGPHVLRTLVPSYPTNGSNSAWSPVS NNSTNASATELTFQTDPNPTSASLHQNDDQDVAPRAESHTSPSQLAIDPSLGLASSKF AELYGLTSDMEPILMRHRPYLSPNQEFRLESHSIRRVLPNDQGMEYPVTFHVVNDNKA VEFQFHQTEHDAIEACIRPHGPRLLDLFWRIMHPSFPLLYKKGFIEKYSQSYQRVSAP LLGAMYLIALGWWAYDRELSNRPMPDVSNLRKQTLLAIQNSYHRPKLDSIEAMLLLLQ CKPEDPLNPDHTWNWGCTGQAISIGQALGLHLDASLWSIPDWERGLRKRLSWTIYMQD KWTALAHGRPSHINDDDWMVVDLDISDFETRSSADDGETRVDSLSGATEVVQMVQLTR ILSEILRGFYSLRASRNQDTCQLYSQAMPILHELNTWRNSLPRSLWMDYQTASRLCPN GYLHLSYFTVKITLLRRLIRSTALEPLCLDVDILTHTRQFAHETAQEAIAFVSGLRPD HLEAFWYFASPFGFSLIGSFITLLLVTSRSEPEKTLWREQLNRYLWTLRLMSKACEPM KYAVNRLEGAILKGMEHALAVQLDDLLTVAASPLDMTDYTGIFDPFENLAGLDLSNFD WLSAQPSEFPPV LY89DRAFT_622001 MLTSTSLVSSIRRPSRDTAAADAIPILVDNEYHLFHLTTPPSTV HHPERLRSSWSHLRSKCLTQWQRNEEFALSPGKSPQSPDADGVWTGSAITGPDGNMHI FYTGYNLSENGKQVIIHATSEDRLGSRFTKSADPINITSDSSNRAAFEDIDFRDPYVL YNEEEKLYWMILGTRLASGSHWTRGCLALLTSKDLQAWTLEPDPFYAPNDMMCPECPE LFSLPNGKWYLVYSRFTTPNAGIVYRVADSPRGPFRTPRDGSGGRLDGRRWYAAKSCP KAHDLSRRIFFGWIADQCVEDGKWSWGGDMAMPREVRAKEDGSLVISPVEATLDHVFS ALPSFDIPKIELEAIGGTMTKILDKVKCERPYMITFDVVSSSAASFGLVFDVDSDLKG CYLRFVPTFNGRCTVSLAMAPAPLDDFWADQYQLYLPRAVDGPEIVKHENVEANKTVT ILRSGDTLEVFVGGRSLSYRILASDAASRKGNGVVRDVGVFVEDGIVQYSDFRVTEGM DY LY89DRAFT_591321 MVNLKHPYAWLFCAFAALGACLYGYDGVYFTGVTAMDVFIEHFG TKGADGTYAISSSQLSLMTSVINIGELVGSLSAAPLNDYLGRKGVFAIASLVLIVGVI LQLAADHQTGMIIGGRILLGYGVGNLSATSPLYIGEIAPTAIRGQLLMCWQLVLSVSQ IIAAGINRGTEGLKTTTAYRVPMGVQLLFPLMMLAGLWWVPESPRWLLRNGKQEAAEN ALIRVHHGEEYTPHNDIHVLQRDLDEEAEMASESKWIDLICDPIERRKVLYSAGALIA QQINGIQWFYYFGTVFSKSIGLEDPFLMTLIVFIIQVVVVFAAVLCANKLPRRPLLLT TTIMMTISIFLVGCLGIPGGTPSPTFGKVIFAFIIIEITAFNFAWGPLGWTIASEMAV GRNRNKIYAIAVGCFWITVWATVFTLPYLYYNANLGPKTGFVYTGLCFISLSYVYFGV GEVTGRTIEEINGFFIHGIPAKKWRDQPRLEEYAHNSDKTVDGDEEKSCETVQVEKGL LY89DRAFT_155145 MTDWLHCPGEDALDGEVEDEFRDELRLFQKQEWLKDIRESTRKH YEHQLLRVMHKHRIAQEEITDDFVRTQLQDIEEYGISAMRNRAVPAYTFDRFDDLPTE LRLRIWSFASRMNKPRYNNVHAVDWFETFRNCGHDPRRCSSKMVYDADFYFSENRDVP SVLHICRESRSVAQQVYTLMPLDMLEGREKRAYFNTLYDFFFIGATNGETWTSFLILV DMVIKLNSSRPLRPQIQKDVEIFQNIRHLTVDFEVFATARARVWAEFPLLAHIVICSS PYANTCCL LY89DRAFT_737205 MDISAMPYCCARSARSAGGAGGAGGAGAIVGVWTRTYWYSPYRT IERHEARTTEYFTKFAPLKHQQGTLFGKRASWLQEHAERTLQKVKADELPNWSIPRVE VVFRRTGKKDIDSVVEADRKDDTEDEVHEEEDDSLWYAHAKSKLTHAVTKRELSLLKQ KHHAGRRVRYPERHPGKSFGDWIEDSETEGAADDRPWDFEE LY89DRAFT_721287 MEDTTPNHIPPPISRDTFQAGSMKPHTYSMTAIRDAIIDHLNRS EDELTERQRLALERYKYQGSWNMSQPTSLENLTKFYNFFNDIFFNGILTGYCKIGTYK AFRLIHGEAAQDLEHEDEFAGHGDFWQAIASELELATRGDKGAAHQLFNLPLDLQRQT SLSAALSTGAELPKEPGLSMVLRQWRFDSGGLFEDCERQRAIRTAWRREAEMAVKRYF QIERRNMCLTSGWVEGNKSDY LY89DRAFT_737207 MTETNHVTMVERPKHTPLPIALEGFVPGGLKPEAYSISDLASVL ITHIRRTDLTSIQQRGLTRYKYQGSLNWGEPGNVSDMKKFFDIFNDVYFNGVLTGYCK LGTVQPKNSKSPEFLRYDYVYSCVLRKGKCRDARYKRDETFAYIMYEEEPPNSAKVFD STWRKLNGLLAEMVLVCFSIFHCSCEHGCQAKSTIRNRWRGYDVHCQAVAYTIEISSK RKDLLGMGTELNGLRRIVLPVRAGDELPEAVELNQAELESGFDVEELRTGVKRILPID MFSLGFEEERVCKRNVCLRSHWPVS LY89DRAFT_721288 MKLKILAPLSLAFIGRCSAGGSPTCCQQLSSVFPALVYFPSSTA YSASSGEYFTEQEFSITPACFFHPFTPAEIATALSILSTSNCPFAVKSGGHGIWGGLA NIQGGVSIDLAEFNEVDIDVGKQGTVARVGVGMKWGDVYNFLGARGLVVVGGRWGSVG VGGLILGGGISFFSARKGFACDSVVNHQINSLLIIYPSLNIDLSDVYIPVRIQAVIVL ASGEIINANATSNQELWQALKGGSNNFGIVTRVDLLAFPQGDFWGGLIINPFSEEAFE HFINALHIFSSDPTYDENASLIFTYNFDSSTRTWIIISNVQYTSIAGYPSTLEPFTSY GPQLINTMRVSNLSDFAITNSEGEEEGTTRWLYFTRTYVSSEVLIRQIFEIFNSTVYN MLSLIEEDVTWSITAEPFPPILTQYGKLNGGNILGLDPSDGPLILFLATVTWHDSVND SPITQAIEIYFSQIDTLSKSLGLYNKYSYLNYAYKDQKVMKGYGKENLWRMKSLSKQF DPEGVFQRLVPGGFKLDD LY89DRAFT_737209 MVNHQTHKRIHEATMAHNPINEPLFTQSRHNSTWLSEKIVSFAQ RRGDKLTHIQQKALIWFRTESIFNLGPADEHRKDEDVMGAYKTLFDRLFFFGSLRPHV KCVMQKPKGAEEHLMGRTDQDKSYQLKWSYPFHEKRMEACITLFRTKTKNRPERFKEY LATMLHEMIHAFLDIWGCRSEGCYNVWQRQGVKGHGHAWQDAALAIELAVADKSMLGI DLDLGRQKSLAVDIVYERRSVPEEEELRRWGMNQGEVDKIGKIVKDQHIITQVMGSR LY89DRAFT_687425 MIDAFNIKLAKQPEVKNILPVCALLEGPDDVRIRLDPLNRGADN NLPPRRFDLIISHLVMHHIPSLEDIFKTMHGCLKSGGCIALTDFEDFGPEARRFHPEA KMQGVERHGIPRKEVEEMLLEAGFVDVKIETAFEMDKFVEESPGAGVVRGEGGTKMKF PFLICLGRKA LY89DRAFT_622012 MQLSKIIYLLFASTALSATTPPRRTSTSLRATSTPAAKVATPRV LKPATPPTMSDIKNSLANWNTSVYTVNAYLNDPQNTTKLASATVFAKDEPVQLATMMK VAGLSTSGVNAGKILMGNFGSIVSNLDSVQSGAMSTNDATAAVNFNRCCTVLPAIGML WQAAATATKVGNVSMPALEEQCGMMSCASGVSGGEAAVRANGSIAANR LY89DRAFT_591570 MYSFASLAAVGSLAIQAVLSLPDPSRVKEREAEFVKRSVDSFIA TESPIALADMLCNIGSAGACASGANSGIVVASPDKTNPDYFYTWTRDSALTFKCIVDT FINSYSSSLQTEIENYIEAQAYIQTVSNPSGGLSSGGLGEPKFNADESAFTGSWGRPQ RDGPALRATALITYSKWLINNGYSSTASSLVWPIIQNDLSYVTQYWNNTGYDLWEEVD GSSFFTITAQHRALVEGSALASLLGKSCTYCDSQAPQVLCFLQSFWGSSQGYILANIN ENNGRTGKDANTLLGAIHQFDPAAGCDATTFQPCSDRALANHKVVTDSFRSIYTINSG IAEGTAVAVGRYPEDSYQGGNPWYLNTLAAAEQLYDALYTWNKQGYITVTSTSLAFFQ DFSSSVAAGTYASSTSTYTTLYNAVKTYADGYVNVVATYAQSNGSLSEQFSKSNGVPL SAYDLTWSYAAFLTAAARRAGVVPYSWGEPSASSVPAVCSSTSATGTYSTAPTSSWPS SQTPISGSVTTTSTSVTTTGTGTTTSTTSTSTTSSCATATSVAVTFDELVTTTYGETI KIAGSVASLGDWDTLDAVALSASSYTSSNPLWDVALSFAPGTVIQYKFINVASDGTVT WEADPNHTYTVPATCATATTVSSSWQ LY89DRAFT_672461 MHQPHSQISVRDFCAGPAWNDDFAVWRRSAVNEVRICSLSGRSK SQGQAGDLGENQGKCWCGGLCQVAFGRRPASHGGHQAVSGSNPACWGPHIAHNSILIG PSPWLLVLHPRVWHARHVVYRHLTCEDMKWLAEVLVEEGCRHTQWGEIYGDNLKSSEL FKVYQISRNIIHSEERPSTWHLSRVVL LY89DRAFT_784976 MADAISIEETNRLRVSLGMKPLPVPGAGPVFKEASSAPAEEVGS TLESRQAEGYENYRKLQEAEEAKRKREAKAAAIKKARDAAKRFTQLEGKGLGEADDDG DMDAKTWLIKQKKRQKEIDKARQREKELAEAEAAAAAEYTAKDLAGVKVGHELDTFEE GEEQVLTLKDATIDENEEEGDELENLDLRERERLNEKLELKKKKPVYNPHDDEETGKG ILSHYDEEIDGKKGKRFTLDGMGSTAEAASEGISAQKIKPKAISLDFLKDEPKSDYLD ISEIKIKKPKKSKKKSTRQKAADEDDIFPMAEQAHTPQDGAMEVDQPAQLVKKRTFED TSFVDDDDLQASLAKQRRGALKKRQKLRPEELVQQIREEESATPAADTEEAEGGLIID ETSEFVANLQKPSAPERKQRRSSSRQAEAVTAMGDSDEEGDIDMDRSYANVEDEEDRL ERLKREASALDEVTNNGLEAEATLDRGVGATMRLLKERGLIKQEENGDLNAIFRQKQL FLAEKQRREADAEKKARAQRERDRATGRLDRMSAREKEEYARQQNTFRDQVESRQLAE HFNKEYKPNVELKYIDDFGRSMNQKEAFKHLSHQFHGKGSGKQKTEKMLKKIEDEKRR EAQSSLDGSQMGGMSNATAQQRKKQKQAGVRLA LY89DRAFT_591526 MRRQVEESDLPDFLHNSPFLPISDGVAYSYPKAVPQPKPTLRQL LKSKPVRASIATFFGLLIFLYLRSRFRSAHVLNRLTGPSCYFTTPQIPNESYLTQDVD WSQFAYALYATNVEYLCNAVMLFESLHRLGTRAERLLMYPETYSLLDTSGSVETTLLL KARDEYSVKLQPVKVQHENTAYYYGPNWADSYTKLLAFNQTQYTRLIVLDSDSTLLSP MDALFFLPTTPAAMPRAYWLTKPLLSSHIMVLTPSPSSFALVQTAIKKARYGVYDMEI MNSLFGSACLTIPHRTYALLSGEYRSEDHEPFLNLEVELDDGREKEEWNPDVVINEAK LVHFSDHPLGKPWVVSEEEIRKVAPGCDKISGWEEECRTRDVWLDLYEDFRRRRKVSF SPF LY89DRAFT_622024 MTEGRKAVVVGISGCSSSGKTTLSRLLRDIFPNTFVLHEDDFYR PEVDLPKKHDLLDWDCAESLNIPDIAKSLDYISKTGTFSPDLDSKEDKNSLGECPVSD ATIAELKTRVKLWTSSGNLGFGVLDGSPKAVRLCVFDGFLLYSKSMAAIQPHMDIKFF LRVSHAKAKARREARSGYVTLEGFWEDPPGYVDNIVWPNYVEDHKWMFKGENVEGDFK DDILKEWNIQCQQDGLDIDMETTLRWAVENLMEQLPALVKDL LY89DRAFT_753795 MRPARKYTTALYDLIYSLCFTALGSDAVVGTIQATTDCEATWQL VRTWLQTCEESHPTYAKRHIESILPTRLISVGTTNSELKIVPSQLLSSDIQYLTLSHC WGNKIFTTLRMENYSDFLTNIPEAGLSKTFRDAIYTTRELGFKYLWIDSLCIIQGDDT DWQTESALMGHVYSNSSLNLAAADAPDGDTSLFFDRDDTQSLAWRVSVPIVSGSHETR VWDCELASLAEDTISHCVLGTRAWTFQERFLSSRSLYFGRAQT LY89DRAFT_651349 MSTTQDPSPEMAVALFEVLEATFPDTLEEDTWYLVALSALVNVE PDHVGTLYTYLIKKSQYSTSESRQALVRRLREGLVKSIVIQGAPKCIQALFAIVKLER PEDRDYSFSRAGWQAGPENRARGEEWLKALYKHTLTTGSDRFIAHKDFEFISWEVVYG LFLSNHDILGPVETELVTLTGIMIQNMPVETTWHLRGIRRLGVKKDDVEMVHQCIELV AKFGKISLHKIPRVADIERQI LY89DRAFT_753800 MENFFVKLGRVPTVQLSCTFSPGSKQPGQEPLIVFLNGINIPQV AWFPVATILKKGLLECPPMLMYDRVGQGASVGRNPEAPGRPKGHGRDCLDAAHDLREV ITFIKETCIGTSYIHVDSLRIVIVASSVACAIARLYAAEFPGTVVAMVLLDSTLANSD TVSLFPDPESPGFSASDLPAGVSPDLCADARKKIFPIYGSESRNPEGIWRGTLPTLLP HPDSPTLPRSKSGMPFVTVVEHDPEIFPLQVKKTVGLPTIMTKTYFDPAWHQYHIGLA QITSPEMSKGPIIAAGCGHLIQRDNPKLVASEIVEILGKLGQDTQSRL LY89DRAFT_672467 MAYTQSYSPEYLAANRSQRLTNVAIVFGVLEPIFVGLFYISRWK SGTAHRWDVYLMLLALPLCFSHVIVSFLFVRYAGDGHHVVAVPTNEIIIWLKLTVVED FTYVASCTLPKITILTLYLRIFIEKWQRYSVFVVIGVLLLNYIVSMLLNCVLCRPFAY NWNKTIPGGYCMDIKEMYIWYSLPNIATDIIIIILPLPTIWALRMSRSQKIGVIITLL TGSVGIITAILRLVIFITIDVFQDITWLSIDIMTYTTAEPGVYLIAACLPSLRPLFKG IFKSKNFSIQSLRSLLFKKTRTDGNSYCVPNAGISDNRFHRLDDFTKSAALGDGTGTK ATVTYSREMGSEVTPSPSSTKVDLESSCNTTDIRVQRTYCLSSEVGNVERVKARGVGS VLPYSESPCYW LY89DRAFT_753803 MFGHLLLIGFTAIICYIIGNVVYNLYFSPLAKFPGPFWAKVSAL PNFYHAMTGYRHIWLWQCHQVYGNVFRFHPNGVLSTSPNAYPIIYGAKANVKKGKFYE VWPRNVQNLNTLSTVDKEVHARKRRILNGVFSEKAVRAAESFIIRHVDRWCELLVDNT SSGWSEPQNMTTLAESLTFDIMGDLSFGKSFEIKEKKENPFKIIPHTISGYMQFIYPI TQSPMLNLWVWLKPRGLDNIFQHLTPKEVRDYYDFVDANVLERTKEEEEAQLKALDEE NVRKDMFHYLFTAKNPETGEAALSPEELNGEANLLIIAGADTTSTALCSFFFYISRSS LAYNKVVREMRETFDSVDEITGGTKLSSCQYLRACLDEAMRMTPAGPSEMIREVLPGG IEVDGKFIPGGVMIGIAHWAILHSEEVYGDPSVYRPERWIVDEATGVTAEDVALAQSA FQPFSMGFGNCVGQKLAILELLITIGRTLYRMDVRPAPGSILGQGALELEWGMRSKDH FKVKDAYITIKDGPLVQFKKRGS LY89DRAFT_651352 MESSIVSTSIVTITNELKGFDKSAWVFNSFLLAYSGLMIIWAKL SDIFGRKPLLLLSLFLFIVFSGACGASRTLVQLIIFRCLQGIGASGIFSLVVLIFYEL VPPRKWPMYTAMNTLVVTCSLTFAPIFGGLINIHGLWRWIFLLNIPAGVIAMVMLILF LPNTNSRQPQPWIDWRRCFALKSVQRVDILGTVLLLAVNTFLVTALEQAASGTPFRAS LVLSLLMLTVVILGGFLMWEWYITTRRTLPEPVFPWRFVQNRVAAGMFLNSYFAGTIF LVCTVQIPQRFETINKDSAFRAGIRLIAFVLFVPTSSAFAAILLGKSKIPHCWILLSG CILNIAGTVGLSMTSTSTTINSSQYGFQILTGIGVGLFNGVLILLIPYVVEKRDLGVG SATISQLRVLGGVLGLAIVTSVTNNFLRSELSRILAADQVELLLQSVGTIDSLPESLQ SMTRSIFAHGYNLQMKIMIGFAVAQVPVTLLMWAKKPIMAT LY89DRAFT_753811 MHFLCLHGAGTNNKIFDLQTATLRHELGDHHTYEFVEGTVPWPM APGISSISNSGESYYSYFDPEAPTSVLDALHSLDAYIQAEGHFDGVLGFSQGAYLAAI YVVWKAQQDGTTEFRLPFSCLLLFSAVRVHNPRAFEERGEIEVLDPNLAKEFIQIPTV LIWGSSDEWKEESVALSKLCNPRLTTVFVHSGGHEIPGMVAKGDITAVVKAMRRGIFE AQFCT LY89DRAFT_721298 MAEGYPQDSAGPVLGANNKTSFNMYQDQVPIHNVILEQDIIEPL AIVGISMRFPGEAVSESSFWEMMLQKRCAMIDYPPDRMNIDAFYDSDNSKMNKMSTRG AHFIKEDIRSFDAPFFTIPPHEAAMLDPQQRCLLETTYPGIPLERIRGSNTSVHVGCF ITDYCTMVWRDVQSIPKHSATGSAGSILANRLSWFFDLRGSSMTVDTACSSGLVAMDL SCNGLWTGQADIGIVAGSNLIFSPELNIALSNMNFLSPDSQCYSFDHRANGYARGEGV AVLILKRLSTALRDGDTIRALIRSSGVNQDGHTAGGVTQPRKASQVQLIHETYLKAGL DMGVTRFVEAHGTGTQLGDPIEARAIGECFQAQRTGDEPLYVGAVKANVGHLEGASGL AAIIKTVQILEKGVIPPNTNFDLLNPQIDADFLKLKFPLEPISWPCQGVRRASVNSFG FGGTNAHAVLDDAHHYLQSRNISGNHRTTVSSLEIKHAHLNGRSSPGILDTSHNIDAK TNAKLLTWSAADESGVYRIANELGEHFAHLMETGQEATFLDDLAFTLNLRRSSLPWKS YLVADSVSTLQDIQGQMSKPMQLPATRRNLGFVFTGQGAQWYAMGRELRIYPVFEKSL SHAQICLATIGCDWVLTEELAADQNSTRINEPEFSQPLTTAIQIALVDLLRDIGVIPS VVVGHSSGEIAAAGYLASELQKRSTNCKHQMVSVGLSQEQVRDQLTKVGHRPRFDAQS MTVSCINSPNNVTISGPEDQVDWLISDLQEQQIFARKLIVGLGYHSPQVSQIASEYLD RLKTLEKDEVKLSKEIVMVSSVSEYWVQNMVLPVNFLGAMQKCCSVAEGSILAKKLDR RHKKDIVTHSWLEIGPHAALQGPIRDILSSLNRSAEVSYVSSLIRKKSANETFLNATG SLICQGFSVDMIRLNGHGRSSSRQPAVLTDLPQYPFNHSVLYWGESAVNKGFRFRQHP HHALLGSQVADWNPQEPKWRLMIRQAELPWISDHKVNGSILYPAAGMLAMALEATKQV TERLDQEVVGYKIQEVEFHRALIFHSADEAAETQISLVPTTNSGSGKDMRYDFRIYQR RNDEEWDEICRGSTHADYGDVPSEVYNDKEAVNMLSSIHGHHAAAARSCTYTMQRAEM YEQLRHIGLDYGSSFQLLDQIHFNTEGEATATIDAVPQDSPSANESLSSTQHHIIHPT ILDNFFQLVIVALSRGSFASKQTMVPTRIETLWISSAGVSSLGDVRAHVKAQYHGRRT AQSDITVLGRTDGLLKAKIDGLEVTTLPNAQADLDIQGESDHLCFQMEWKVDLDTMSC EEILRYCERAYEAEIEPIEWFRDSDCLSLAFIATGLKELRTRDIQPIPSLERYASCIQ KHLDGHLAKMPQEERIQRLEQLESRSHLDSLCNRMMASRQAKAFIKVGRNLPDVLSGV VDPLHLLFEDEGLMEGFYEEMNETPQCFDVFNQYLDALAHKDAGMNILEIGAGTGSTT KVLLKLLAPVPTSPRYGQYDFTDVSPSFFDKAKSKFGHFSRMEFRVLDIEKDPLMQGY KEGTYDLVLAANVLHATQELQETLANVRKLLRPGGRLVLSEVTNTEAPRYSFAFGILP GWWIATESYRQNGPCITEQKWHQVLIDNGFSGTDIVFRDYKSEECHGWSIMVSTALPA GSDQLPPMLQPIILLNNAASFQQQLSQEIKKELRQQEILGTEIMTVEEAALMDDIQAQ HYVLINEVGSSLLRNLDSLGYLAIRTLVSSAASLLWVSDGGGQSPIGPDYGIVQGLSR VCRQENFKVQFVTLALDTQQSSATVNQYAEIVAKVFRISSSRLGEGSYEPEYLQKEGL LYINRLIEYDSLNDHISTMTTLSQRTKRFGEGPPLKLNFKTPSFSDSLQFIEDSNMEK PLAHDEVEVEVRAIGVNFKDVLTILQRINSENIGCECSGVVKRVGQHVLEFQKGDRVA LCGADIFRSYARAHVDCITKVPHNMPFTEAAALPVAFCTAYHSLCDVARLQKGETILI HAASGGTGQAAVQIAIYIGAEIFATVGSRSKKQLLMDVYKIPEDHILYSRDTAFAGHV RRMTNGRGVDVVLNSLSGQSLVASWECIAPCGRFVEIGKRDIHSRGSLPMHPFNNNTS FYGVDLAELALIRPGVIKALLGKVMSLAAAGLVTPSFPVQVFPLSETEQAFRLLQSGK SSGKIVIEFSSDSEVPTCLKTKPACRLLQNATYVVSGGLGGLGLSIARWLVDRGAKNL LLLSRSGPEGNEKAQAVLAQLGAEGVRIQAPKCDVAEAHSLEEVLLACEKIMPPIKGC FQAAMVLRDSTFESMSYQDWKESLNPKVLGSWNLHAMLPDDMDFFVLLSSATGIFGNA GQSNYAAGNTYQDSLAQYRNSLQLPATALDLGVILSEGIVADSPHLMDYAMRVGLMVP ITVEQLFAVLDYYCDPKQRTFTAAQSQPIVGIDIPSRICAKGKEIPYYLRQPLFRNMY QIASTWKSSINNSTQTVQYQSLFESTESLQEAGLLVSEGLRKKMSKVLGIPEENIELT SKMESYGVDSLAGVELRNWLAREISADVTIFEIMGPATLLGIGKAIAAKSSFRQSRWS SE LY89DRAFT_753820 MGFPAAPLTFGVEFEFLIAAILDENEPLPNLAPRSKTLRFQIDQ EDIDAVEPLEENQSETSSEAADGFQAHAKEIATRAVRRHVVELLQNSGFPTALSTAFA VTDIKRWAVTYDTSVTIPEPEREGYEWVDIEVVTPAFSFTNENLDAVRNVCELLRRTY KVKVNTTTGLHVHVGDGSRSFTFETVRNLVGFLWAFEPQLNSLHPLHRVDNLYGILMR SHSVFAERWYATHGERPSPYQGLLELMSCPNMNDLTADASQMFIPKNGAYNFSGVRAI ANGIQIGTTKDAKPTIEFRQHEGTLSGTRAVMWTRTVVGILEYIMRTPQEELMDLMVT SCKHELWEKTGDQRRGEFLADGQKEFNMGPIPAESSFTIIDLLRRIGLDDCADYYDGK VLKHDMMPDLAPRSMVTVEDGTSEGNRMEVSYLPTLLATWAYTRLPDYTEEYIAAAAK RKEWEDAVRSDDLNMRLDPNHVPMDRDSAYWPAHTMTIIRPEDESSGEE LY89DRAFT_721299 MSESSESTVTSETSTLIYGQECFDTYQLKVIQLCNSLNLGAPSS ITRMQGGCFNRVIGLSFSSKSVPSEYILHIPREPLEARERQSIKDQVAILLHLTAYLP VANVLAYDYTTENAILSQYVIQSRIPGSCVEAIYEGLDIEEKIEIAEQVAELIVKIES IELSAFGRLVQESPMPDSAHDLSQILNRNIGVQGYRQDLTKDMPTTSLKTLPELLRAM FKSHGVGDAGDEELVGYWDRLHLMLSEMESNGLLSNETITPRLTHWDLSSQNILVDKT DGVWKVTGVVDWDDAISVPEIIGRKPPVWLWAPEVRVEDVDVTDVGELNDGQQTIKER VEEVFCRQLPRWRDDAHLRGKWLRRIWAFARHEFY LY89DRAFT_753827 MISFGRLVVAIFATGSSLPSTFYYGYSILKHYGGNGPYSDRVSY GIDRNPPAGCAVDQVIGLFRHGERYPDPSTGKEMVAALDKLYAANTTFKGDLAFLNDW TYFVPSTSYYAQETFTGPYAGLLTAYSHGTEYGARYGYLWDGHSDIPIFSSGYERVIE TARKLGEGFFGYNYSSVVALNIIPEADSQAANSLTPSYSLTGSLPIFDVAAARIMSQN PAVNISASNVYYLMQMAAFELNARPFSDWIDVFTLDEWASFGYTQDLSYYYSVGQVYV NATATLLNDGPRNGSLFWSFAHDTNITPVIAALGILNPSQSLPTDRVAWGNRWSTGNI VPMQGHVILERLSCNATAIALKDTYVRIVLNEAVVPLQNCQNGPGYSCSLSNYTNFVS TLPSFTESCGIPADVSQHLNFFWNWNNMSIYDYQNGTIPYHDAATNV LY89DRAFT_737229 MSSEEANKPLHLPEIHTERIAHAQNIIDQHNRDAASWTHRIAMN LMPTWFKAFSTSARDYLALTLYLAKCLLKIVALDYCLLVFAHVFLLPGAEPGVVQLSA KDIVPTITQLDKLYVEGSLMILPFQLELVADNLTRHETYIINSDLPQRICLSNQVRII KDNTRDAADTVDDWVSGVLVKFDLQELASWKVGFFELFNSQATTSQVHKENAKIWIGF FERLDNKAQELQILSHIAHAQLRTLQECLHKMRRAIANELQQTGRLKGHAMSGENSFE SAKAIERITKLHEQDRVLRFLSTIQVDAEIVFSAAEKPLKQVRTGISSFKALFEEPAS HLLRRIGASMHPLNKKLNSQISAILEQNRALIKMQAKQEKIFEDHIRIMNIEGEKYAH ASNANFPRSKAFDYSSHHANSDSSGEGLSDSAGKEEPPTSDSGFDFEEASKWVGFGTQ CLTGIGALASLIGFRRLYGVWDVYHRF LY89DRAFT_721301 MTSTKLTIACFGATGGCVGTLLACALEAGYHCTALARTPEKLRN LLLTEHNIPSSTIEKYLTIHQGDVKDPTAISKVLINPTNPELLVDVIASGVGAYPTFQ WSIKTPFPLTDPQICETAIRAIYTALSNLSSSSKPPITVDSTGQKPLLIAISTAGCGK KRGIPLPIYLPYHYLLSSSLADKKRMEEVVFQDKGKHVRDFVIMRPLILTDGKARGDG GLRVGWEWGIKGGDGRIQEKGPEIGYYISRKDVGIWTFEKVICQGGWEGKCVYLTY LY89DRAFT_155397 MLIATSQGDIAARRTPSSSTDHQIVPTPRTLKHFVKDPKPKIGR LISSMLPYTTNATCDQSTPTIIFPLAPDHCLITLVQFNVVRAMIFNMSILSLLYCLPT PCTRAFGVPNLETIPPEEIPPDLQPTPLQKFTPHPFWISAIPVPAMRDNLILMAGQYD SNDLCYDLGQSLYEGFDDVERRGCLVWGEPWCVSGWEITEGFVRKWGFLLNGCENVIE STNHWREVRGEDRLSVDI LY89DRAFT_737232 MSTSYSYAQLSAENLDVISTYIARVRPAVCQRVDCPQSGDIVLH GKEALELFEQEILDIPQQEREDSENVEVLVADTVLIINRRLDDLEEEQGYANDALRRI EDVAPQYLAREMGIRRAHDDATRVRQEIKVQTIEFLVRLSAAYVAWLPEHRFREGQAL AEQINENLEWYEAQQTQLLGENALD LY89DRAFT_699154 MRRNLLVTGATGKQGQALIRALLQSAEEFQIYALTRKISSPIAQ NLASIGDSVTVVEGDLDDTDSIVKIFEKVRGYGGMWGVFAVLAFPGLGNNADGEERQG KLLADIAFHYRVHSFVYSSSFRAGEKYEAELMLSGRAKANIELRCMELGQRGFPWTII RPGFFMENFNDFIGSISASVLKKGLKDDTEASDDIGKVAAGVFRNHEKFRHRILAVVG EFATMNQVYESHKLATGKPMPAVPSGFGWLILKMNKATQELIEHCEKSYHARMWGEYP TWESEWQAAKEAGKMKTCYEWFGDRSKDEGRDADWNKVSVGKLMVGRS LY89DRAFT_737234 MAKSGAKIVFGTAAIARLSLEEGKAMLQICEKHGVKELDTAYVY PGSEETLNKLGATKEFILQTKAPLGSKLTQETVLDGMKTSLERLGVDSVDLLYLHAPD PTTPVEETLVAVRELYSAGKFKRFGLSNFLPEDVQKIYDIQSKAGSVLPSVFQGNYNA VSRHIETDLFPLLHKLKISFYAYSPIAGGFLVKNTAQLRVKDDAGRWGSGNPIADMYT TMYGKETLYQAVDEWAEIAKDAGISKAALAYRWITYHSALKAEYGDAVIVGASKSAQL EETLKAVDDGPLDEKIAKRASDIWEKVKDDAPLDNYHSYMKEQPLS LY89DRAFT_687436 MALGPGHLRSWSAQARKYLESLAPFSYDEPLQGRNIRLVQLLAP ENGKPIQCKLVQRSLDSNVEYQALSYVWGNSNDKRAIACNDRRFEVTASLHEALVQLR ANNGIRKNSLLWIDAICIDQSNIAEKTAQVKRMMEIYSHASCTIIWLGTRFGSSGEDI LRGVELLSKICAAVAGLSSIEETMKIADRMIASEGEKPDQNESELWKLLMRDWFGRIW VVQEFVASTSCLIYIGPIVVEGGVRFFQAASILRFSRSWVLSPSSIKLTRTHPTVLNA GLFWGLKKEFESPQKMKLHELVVATATFDSTLPVDSIFALVGLASDVGPEFIDYSLDM RTVHINIAKNALASFRKGDLAAFDFLTCARGDYDLDETESFKLPSWAPNLRQFNRFNL HKALGFQPLVKAFPYSITVPLPGLQTVNFGTDESLQVKAVFLDKVAKVIDANVDMPPA KLDGEMELNLKYFDGFQQWEAKAHSLAMSLKRYPTNEDIFVVYSKTLSFDHLAQKNPN PDTRILSAEYARDYIVFQDMMKTMRETNSSKKKILAPSNDFLDTFDVFSPGRCFSTTQ NGYMGWVPEGAQAGDDICVFPWSLIPFVVRQQEKGYQLIGGCYIDAFMKVDIFRTRHE NAREIKIY LY89DRAFT_699156 MAQQTVAVIGAGSSGLSMLKNLREDGFKVTCYERRSQVGGLWAY TDDKKMTTALPTTTANISKYTCGMSDFPMPDKYPHYLSQWDFQEYMESYAVHFDMLKD IVFNAQVKQVSRNKDDSKWQIDLVVDGEPRVEEFDKVAFCHGYQTKPRVPEYDGVEKF EGTIMHTQQFRMSDDFKGKKIVVVGISATASDVIAALLPVASKIYMSHRRGALIVPKF RKGYPPDLMSSWRRRQITLWLQRTFPNLTRWLLDRLIGIMIKRMYGDLDPAWGLLPAP SITLSPRAVCDHIIPSLRDGSLTSLPGIKRFIGPRSIEFTNGTVLDDIDYVVCATGYK ADFDAAPFLDKSRPSNYGGQDFVRLWMNLFPPKYADSMVLLCYSAFGKNNGFSFSDVT SMAVSNLWRGVEPFPSLEEMEKQIDRHQEWVASRWRLDHDMDISAVKIWEYQPFLHKA AGTGMENLGWGWKGWKFWFRDPKMSYLINNGVETAHAFRYFETGKRRAWPGARDAILH MNELVKQFPIKEEKKIE LY89DRAFT_622047 MAERKLPVQQLTILALCRFAEPLSMLSILPYLPEMIESFGVAED DIALWAGVAASLYSACECIAAIPWSILSDHLGRRPVILASLTITMITSLLWGFSTNLT MALTARVLAGLGNGVAGIIRTMVAELCPWKELQPVAFSVMPIVWNLGSIIGPAFGGAL SNPYDRLPGTPAGPHFFEKFPYALPNVASAGFFLGGIVIGIFFLKETLPSRKDHEDVA LRMGKRLTCRAHEGLRKVRYLFIPGNEDETKPLLSGSSSTMFEPSLGSESNDDILEEE DEDQKGMVTWRDVLSKQSSLNLVAYGLLCMHSMSYDQILPVFMHHPVQNPNDADVSLP FKFSGGFGIDTSQISTLFTLYGVASMFFQFFIFPPCVRRFGTLRCFKACSVVFPIAYF MTPFASLLPGTFSKEAVILLIWFVKGLCTNVAFPSSTILLMNSVSNPRYLARFNGVSV VVSSIGRALGPSIMGPMFTFGVDKGYVIVPFWTLAVITALGVIPVFCLKDPDEMEREN E LY89DRAFT_784993 MSNREGILAGLGPLLSPKASIVLPSDSKFASLAAVESDVQQTVR YASEHNIPFIARAGGHGATKALSQAKNAIQIDFRSLNHIKLSEDGQTATIGGGANVSE IVNTLIGLGKRTVTGICESVGFSAPALGGGHGWLQGQYGLMADQVISARLVLPNGELV TVSENCNSDLFWAIRGAGHNFGLVTEWEYRVYVNSAPSWSWEIFVYSGDKLEALYDLA NRSLQGQPPELIYWGYIIKVQEIDPDHPILWFGVIFNGSPNTAKEYAKPFHDIGPLSV QIGQGSIHDLAVATFQDADGPGCAYGMTSLRYPIGLKSHNLTAIRQVYNEIDETFKKV PEISGSFFLLEAYSTQAVKAVGPKSTAFPHREDNILVTSYIMYAPNSAIDHIAHEFGK RLQKYLLEGSEDPAHLRAYVNYANGNESLQEVYGWEAWRLEKLRKLKAQWDPENKMRY YVPIE LY89DRAFT_687437 MADQLSTLFTPDFFESLVNAKLPFSMTEPLDFEDVGQKQWKGKA PIPSGLVERVWPVLEALSKLELASVPDLLQFLPPIADENFPRQALGLQLLLDQMTRSL LRGIDGRWRSAFFDIISVRYAHTLDALPEDQKPWAWSRWKTFATLDFWVLARTWYICP FVHSDKPSDAERALRFTEETRNIIEKETGTTDPHRSERDFILSDTYGVSRVLKEGPPG AGATVQLYAYWMCKLMDLHKPVIDQFGHYPYKNAYFGRENTPEEDAWFKKRDGNPMTS EELRSRLKRDIDAGIWAHLGAGRNV LY89DRAFT_721308 MSQKYQALPQTADFEAQPLRKQQSTWARLAVKFWATATVLFALL SVWLGSQLYLVQNRSSFVRGFEHELDAAKHLIKIEERFSQGSPRFTEDGIEYVPQPAD GMPRTQYVGDPSEEIDNAWDRLHQGRFFLLSEDEAKDAWGPGYEQFYAPVAGGNATEL EVTHALHCLDHLRKAFYPEKYPTDAIHGIMHRDHCLDHLRQMVLCNGDLTPIPTRYYK ALNANYIDSDRPHTCRNWPSIRDWVWERFNGSLAVPPMKEDLS LY89DRAFT_753858 MAKYDRVSSEDSSNEHLLPDDSSSLYSPVALRRSKGFGFSISTA CLLVYCALSTTAMVIFMVAILNQLQPQSHDNNCQVNKVFKAAYGHNTALMSVDHKYDA LWEVQDGQSQVLLLPDEDSGGELVPGAFSMFHQLHCLSSLRHAIQMAREGKDPGLDQK DNTHWPHCMDYLRKASIEFNNMQTILCWADSTIERETLLSNGSGSQTIDGTHDVRQCG DSRALIKTMRDQGKNVTTEPFPP LY89DRAFT_784996 MHSTRIIPALISFFFVAGAIALPQPARHNLPSLEYNDNNAVEDK RAMPSLEYNDNNAVEDKRALPSLEYNDNNAVEDKRALPSLEYNDNNAVEDKRALPSLE YNDNNAVEDKRALPSLEYNDNNAVEDK LY89DRAFT_753865 MGVDPSTAAKLDDEDWSLGDDAYVAVLDVFHQLHCLNTLRQIAY GDLYPKVSGGRDRPIWKFHVDHCVDILMQELQCSGNLNLVTYHWVENHDRPFPMFGIN RQCVDFDALTSWRIENTIDVDRYNSIVRKPPGAKQLPAADDWYKYRAPELTNPNHLNG ANPDEKIIL LY89DRAFT_753870 MMALKQAEAPSGLQDSLRGGYKKLNSDEEGDDQHHASQLRFQSR SHKTHHFHVLAVFILLITSSIFITIFFLNKGNHVEYHNLRPLSDSANPDISFSEWSNC GQTALEALDKGCVFDLMLSTWIHESCYDDEMMNRYLLEGNHTYFHDEDMVYEMPEEEA RRGEYKTLWTDGEFHLRHCVYLMDMQLRSYKTGRPIEVSIYDFEHTQHCVNMTLWHDR DGKKTKIHALHGRCGFPKKR LY89DRAFT_784997 MEEQKDKLLDNYRQSHEYETEDSLDGIEATYFSSNKGDKPRVKK RTILYFITGLLFAAIFYLSGLYTPVIGSGPYLYKPYGSGHCGNSSEEATKNGCIMDLI PGGWVHPDCYDKELETEFLEYGDWHWYADPWGNEELSQEHMRRTGGPSPVYVSMEYHD AHCSFTWRKLHRAILRGTPIDSQIGQYQHTMHCSTALSIARDAEKYPEWKAPARFFNV FTSCELPQKFKPPKKMLEGNGSKMGY LY89DRAFT_784998 MSAELESPAFDVDRCIELHNQITRIGWGGSGQNPEGDEMQTWWE MYGADCDEQDLTPRLIPEVIDFLKGALQPDPDETGSDSPYQNFFYYIQGLAIPETILS ENFILEGLDEDPPRYVLLYHVTDLKTHPCGIIFDQQTKKARTVFSITIDDTIVPEEER LWMPLQVILEQYLDMIELEKVIMTGDDAGDDSDDPVDEDLREDEKVKMERKPWILQSH SNKILERTLSVYQNLLRAIEARMPSPASQSPPSSPPAKSQLGEQNPITEAKDEDFSQA ISALISSNQASEFCFVIKFLQQMAHPTRSQLKFLAPGLLLPTPELLSNQPFRNIDFHS SSYYNPVLLFPANNEDETTFPENNHNPFNYPYDETLNSYRSGLWISESKLEGGDFDDS CRLLLPRQIIDRDGEETEHHAKSADGFILEDVDGEGLAAGLYQTSCNPFILRHEVELF RVLVHWVQMVETGSWEVGAEGVSGGTEKWKEADESEEGSDRYRLELTW LY89DRAFT_651377 MFFFSVVILALSRTANAGFQIYDYLDRLAIQEAWGISDQCLAAL NSTIDCDQNNAVLAAQGADNTYWYPQNVTTLCTSNCASSLSAWHSAVAMSCNGQTINY DGTLVLAETEPLMWTTGHDLVCMQDSSQNWCFLESQEWQGSDFIRWDPDVCNNENPID NPPQCNKPDFSPVDISPDMMSVTNLYDSSLYCSECFIKMWRQRLVSPLLPNSTFADYL LDQYEDIQSKCSTSLPVTTYSKNLLVTSPAATTTTSRVSDTSTAAPTATGTCLGQLIH PDSVNLVTCNDITDKYNVTTGDVRVVTDDYYCQFNTSICLPLPCELDTVLGSPSCDNL TELYSTPDKNVSLTQFLFWNKAVQGSCGFLAIAQRVCKSPPGGHYTPSATFAVPTAAG SYYTTATASEPTQTGTVPACGRYYNVVSGDTCNGICLRFGINETELHDLNTYLNSGCT NLWLKTAVCVAPVSKGPVSTDGTCGPSHDYAICDGTSFGRCCSVGGACGNTSEYCSHN NCYSGACQAPSTATQNGTCGPSYGGTTCTNAVFGQCCSIYGFCGNGTAYCGTGNCYSG ACQDSNNLSTDGSCGPLFAGNKTCTGTQFGKCCSNSGYCGSTHDYCGAGNCYSGACDT GSTVSVSPDGTCGPSSKKAYVCDGSKFGTCCSTSGFCGSTKDYCSGTNCYSGACTN LY89DRAFT_651381 MRVLNTVGLGMAIVPVVFAFNQTSPSIQVHNNDSALYKPLYTLH SSSTPEFHNVSGVTVNPLIKALLGPSNSTGSLQRRDLPTGTCAPGTPCVNGACCSNAR ICGYSPKECGKKTCISNCDAKAECGQYGVPGNNTCPINVCCSKFGFCGTTDEFCLDGC QKHYGGCGSAQEPSCGGVTSGRTIGYYEGWSSKRSCDSRLPSDLDLTGLTHINFAFAY FDPTTFQVTPMDPDDMKLYPQFTELKKQKSSLRTWISIGGWSFNDPTNTPNTQKAFSD MASTAANRKTFIDSVLQFMTTWGFDGVDLDWEYPGAPDRGGINADTANFVALLRDMRA AFGNLYGISCTVPASFWYLRWFDIKGMEQYLDFFNVMTYDIHGVWDSTNKFTGPYVRP HTNLTEIRQGLDLLWRNNVNPANVNMGLGWYGRSFTLSDPSCNRPGCVFSSGGNPGEC TKSAGTLSNAEILRIIHTKGLTPEMDSVAGVKWVSWGNQWVSYDDGETIALKIDAANR WCLGGKLIWAIDQDDTAHSSNRDLLGIGPSNGISAEVAAAMKAAQQQAEIQATVRNSC YWSFCGGSCAQGYFPETTAKGQVLGISRHTVCTGDEYQTLCCAPGTNTGTCSWEGWRG VGLSCMQGGCSDSSSSLVAMNTNQFVLNSDLNINLTCSGGSQYYCCTGFVPSPKFTTS DLVLVGQNGVSKRAWGSGKNPACIAAVTATIGLTSGLLAFFTAGISLAAAAVVIAVGI ASCPTTGSGSAAGAAIGVIPILPIPVGVLPKGGKPSKPKQPTAGKPGKGIKYGQWAPK AAYGKKDKDCAVTYTCRYGLGWDEICDNQRWAIDKVIISTTFHIDRRGGRSSKDEWPS WRREEFRTLAQVAVNRVHRCQVDEFPMGVLLEAKAPNPQVVRLVNGPANGAQGVDFKQ WKAATWVPCSALRKAHKKPPPPVTWEFGPFAANDNRGIPGTGKHFIQEYGVTTFSAYT FNTHSYKVFHELSTVYDHGFRALLDDPMFDAPYDWPRQRYDVDPYYTDSPPEDVASAA YLKRSNAQSALESKIPVEFANADFSVIDRLDAYLTGRELEQLMDLESYYDTPPPPEPT QSEKSLNEADVTPSLTGADMATQAAAVPLDLPVETGRVAAHVHAHGSRRSW LY89DRAFT_155558 MHELFVWFPSTKCQLFKVVFFQLQLIIILVSWSLVNTQRVYLIC LPFLPTA LY89DRAFT_721313 MSHTHSHDGGHNGAVDHGHTHEILDGPGSYMGREMPIIEGRDWS ERAFTVGIGGPVGSGKTALMLALCLALREKYSIAAVTNDIFTREDAEFLTKHKALPAS RIRAIETGGCPHAAVREDISANLAALEDLHREFTSDILLIESGGDNLAANYSRELADY IIYVIDVSGGDKIPRKGGPGITQSDLLIVNKTDLAEAVGADLEVMDRDARKMREGGPT VFAQVKKAKGMDHIVGLIISAWKGSGAEAVSKSRGGPIATAGLEELK LY89DRAFT_155604 MLWSKNEEPELKEVFDQRRPSTVEAINNQHRKSVSNRGLTGASA LTVRQSIFPITLVTLLFFLWGFAYGLLDVLNAKFQTALNITAAKAGGLQGAYFGAYFI GPLTYSGWIVRKFGYRWTFITGLCIYGVGALMFWPSAVYRSFGGFCGSLFIVGSGLST LETSANPFIATCGPPRLSEFRLELSQSFQAVGSVMAPLLASRVFFSHTDPNDLSKVQW TYLGIAAFVFLLAVVFFFSPIPEVTDADMALQAEQCSGLTGYQDKPMRRQYKLMFGVA AQFCYVGAQVGVAANFINYARESAGISAAAASDRYAIGQGLFAIGRFAAAGLMMFVKP RIVLMVFMTAIMIFIAAAIGTHGEGGVAMLSLVLFFESCIFPTIFTLSIRGLGRHTKR GSSWIVASVSGGALFPSLTGLLADSKDYHVAMAVPLTGFFVAFAFPIYLNLWCRKELD GFRETKIGYVDGDGVVGDPDREARRASLRFEEKGVKGVGMEHHEEVRQVSSKVE LY89DRAFT_687443 MPVTIRPASHIASAFRPRYNEEAVTNFNELFTSACYKEAKKMKN NNIIQTSFTDVTKDSNVYAQSNGFVDGAVRAYNNHQHLEIRPEDVWFSILSQLNVYIK THAEELRDMFVTHQGQKHLEVIAMQEITGDTQFGVDWSKFSLKMSQLIQENVVDPSLR DWIMPRFTTTTKTDEVVASILMMSTLQKYFTYGCSVLCGLPSVTLLGEKSDWESLAGK AERIGTFGDEAKLWFSLLKPVLARFIASFDAPEAEETKDFWQKIAHYSGGGSGPTYLS GWITAFCFWNSEGHLVADSDFEDWGGSTPVLQLDEARYHRLETTEIPPGWASVPVMLD YYGVDIPCSMVAGSVGIRLRSSGNDFIKSGFNDTDFDTISIESGWWIYEGMNDEEIKA VKEARAKAEQSKKEPFYLMGTERQVWAHRGKIRSSGRADLGLSHSDNTTLIVWEPGQQ TGEVATMRQKR LY89DRAFT_651392 MAQDPRALMQKADKAASGAGGGFSLFGGRQEKWENAADLYTQAA NAFRMQNQNKEAGQAFEKAAQIQTQKLNEPDDAANTLTEAFKVYKKTDPIDAARCLDV AINHYTSKGNFRRAATHKQNLAEIYEIELGDQKKAIESYELAASWFENDNAEALANKL WLKVADLAAMEGDYYKAIELFEKVASTAVSNNLMKWSVKDYFLKAGLCHLAVGDQVAT NRAFEKYRDMDPTFPSQREHQLLVDLYEAVEAGDQEMFADKLFQYDQMSKLDKWKTVI LLKIKNSIEEKGEDFS LY89DRAFT_651393 MSGYICRSCRISLRRTLRSPRFRSIPTARTHTTDSLFEEDGMRA MGAAAEFKEDGKSRNDDQEQDRELQSSKKQLLDVEALLSKPTWSVRSLIPDDNVLPED EITPEKLHHLLRLSALPLPKSSAEEAKMLKILHSQLHFVRDIQKVNTDGVEPLRSIRD ETEEGIKEITIGMEQLKEAFAKEDIVGKNRRPRRRRDIKVDTKGVEDWNVMGSAAETV EMNGSRYFVVRSGKGQAEKSRLNPAEWRSIMHENAGEVEDLPEGSDMSAETGSKDAHV LDQELKS LY89DRAFT_622072 MASGKDTAREHEQDLSTILSTEERVELTLLIANITELMRKQIAD TFDASVTSAKKPQQALHITDKNPNVDVNKTHEESEEEEKARKLREKREKELSAPKMLE LRKDSLDFFDKWRESVISRVGTAVNNSKETVQEQKETASVDATPNSAPPAEPKVIRSN TNIEEADAALIELYPPTSTALYSLQKDKRILLLHSMLLLLLSLEHYIGHSRVLLLHIS SSLHLPLHVLTEQEIKVAQGLLEAAKHMSGSEETQKRSDENKVARRWKVGLAGVAGAT VIGVTGGLAAPLVAGAIGTIMGGLGLGATTAAGLLGALAESGVIVGSLFGAYGGRMTG KMMDAYAKEVEDFGFLPLKGSKHREPLPEDRRLRVTIGISGWLTQKEDIITPWRALGK ESEVFALRWELEALTKLGSSLESVVKSAAWTVAKKEIIARTIFASLMDALWPIALLKV SKVVDNPFSVAKNRADKAGLVLADALINKAQGERPVTLIGYSLGARLIYSCLMSLAER RAFGLVESVVLMGTPAPSDAAVWRAMRSVVSGRLVNVYSENDYILAFLYRTSAIQFGV AGLQEAQDVPGIENIDVSEMVSGHLRYQYLVGSILEKIGFEDVDVEEVAREEETLALL EKEEEKEQEKKQGDSVDPEKEAAEMEKDVKKQTDQTLMQRAAETLHLGK LY89DRAFT_622073 MSKVRGIFPLFLATTFGIVNGIWVFGPAFREQQENDGQVKTELV VSGQVDNARVEQLRDVEAAINRASANKSILQPAEKQSSWWTTLGDWSRGSRNDNTREM KSATPNSNIPTTPDRISPTNVPTSSPTLGIANTPTLAQKLVEKAAEDPDLKMLLKTVA EGGGSSEELKKFQRHLDDIERE LY89DRAFT_721321 MASWKERGEVPDSEDEEDTESQKTEQDGGVAQPAFGPVLKPLNG ESGIATASAEQIHPENEARKSQVTQQRGSDLINKTSPREANSPTTTPTKRLEDLFDHF DPSPTSTNIFKVPDLEGLLDEEVELRGTGSGGSQNELPAEDDISMSYVQITSPTSSKL SSPPRSLPELSALSLPKNGRSRTSSDASNTSRVTQGQDAEEDGLQLSDREPSYPMRRA LRQRNAIQLHPYHIEQEKYRRTLKARGIAPMRLASSQSELRHVPQSNSSPDPDFRELE TPGIEGDTGESQAMDFDWDFQPSSPVEHRHDDGTTHQDELRDELQNDEEDELPDIDEL LKSRPQTTTQKHPKKDAKRVRMKSYSHKFKRPVLPRILTQSKKSTRPTINDDLIFEVP ASPPATSPPLPNTLSRRSAGSRGGTRSLEPSPSWLSQDELQLQMTADLPTPATSAIKP PQDPISLDSDSDDPFASSSSSDESVQIRNISRKFRGVLPASHLRLDQHQKQRAPERTN RDSRSISPVKSMPRRGVALPRTQSGTFGDQRSPSATTDNGFTFFSDESDGNEPADLDM QDDDASQLESLFTGSRMGYAEEEDKIDAMLPSRKRAATSTRPSKRQKTGSTSFRRTGS ETHKRQPRITEHLNRAPKNVSRGEPKPRRQHKEKRRGDVTTPRKAPPPELGILDVADM FACNQKSPPNFIKIARRAARSNTTQGRHQPAGKFFRLPTREDTEDVLSVLKDWTAGML QPKQLPDFDGQRVRGRAPLSEIENSHQVYFEPPTTKSKPAIHLDLTKPSQKVRKLLVS RRQQSMNDFIKNDEVRTEPLRLSERRQPNEFANRGSQRRPPFAHHLARPAQLEAAEKE YSHRYPSTTFKSSKRALDALYRHTRKRPAPSANLQLTRFLADEDVVRPSIEASPAADY GASEDKPQVQALRPPPRKVGRRKPHPRHVDVGAAVYRQPSDPLILNDSATDFAAPEAA SSKLQGLAKFGTRYTQHFDILPLPAGVYFHSNTFIGSGGLSKSMQNVLDDTPDSTPIV VSLNFAKTFSWAGWDENVSSEFGLCFDWLMDQLDQDDPTSSNLAGAATVDVVTSMLNY TQQSCIFNTPHNKRMFLSRMLEATRDFSSRLQISKVTSEATVRVGIQVLSQICTLVLR LLLVARTDPEYAAVAYDFEDILKVTARKCAQLLLSKDMSSLRKLYDDLQYLSFREGGI TSDQYLVEAWVIVIRVLIAAKITRGSFWDVVGPLLATPSIITIIDAPTMEKIWYSMYT LLPLCEFDEHGVVIAGTRQTALFDNWQLPQQMLKRVFSLYTSTPRQAPSFNDYCRSLV GRCHYLVVEWGWWNCSSIIGTLFDFFASHNLAHLRNEEVYTSPQFLERLDENPSLAVE ADDRSFHIFLKIVALALQHFTRANDIKNSRNLVARLLPNHDRQYPKEEAIHQRDLASL RNHHDLLCTLFWAAPPSERPSLKMFQQLVHRGRSHKEAFMVRIRTLGRISRFSLTQLL DSKLYKLLKGWREEDMLSLFEQCEGIEEEVHTQAKRGASKAQITKVISQNKMQIESQI LVALAVWKENIEVAASAERLTGVFHPDLLVKSIAFCISDKRSITNGILRLCVDIMIAY IRKVEDFRPSPRQPGQNDRRPEDDSQGSLDDADWYMADMVTVLHGYNSSTGRLAPNCV LAKLLNVVRNILDQDVEADTKLTKFLVESLARLACLLVEAGVMDLDDYLSAGRYTVIG QRDVYKVSHKYWPYFLANMMQGCSSKLRNSEPHVHELILSEWLLVLIDPVPYWKYVAD FNTQMVAFYGYLSKYVKAHDPRIEILKDVMRALRTAFVAKDSDVPIKKGRATIVFNDV QDIMQGTLEGLPPASQEHNDYLLVARNMVSYIMAYGNGFAQLTDFFTQPSAYYSPDQS DPKLFAPTLISYCLALQDPEASNRTTLYYFMYHSWAEAVGSNRVEPWLGHVKTGLKYW SFIEFMLADLIPVSLGAAMRTNMWPLSFHLLVASIARIKNVLNRQVEEGDVEGLTEKA DLVFHWVMNFLKTALNKTDSSNIQVATLAVILHFWDSIYHALRLYVTSRPRAKAIVRR FAKWLRNRMMKYKLEKPVLPTKFAVLTKTGKLDVATLSSDVQFFPYQPCHNYEVMVKG RRVKLPFTLEEVIKAKIA LY89DRAFT_651398 MHATALRVIRTAHSSISRRACRIIGGARYPLAQRSFHRSAISYN TPDDSKPEEGDKDNGAVPETSENKSDPFKVEAETESGVEPTLALLPRVKNGKPGSGRI RGLLRSKAPEGVPPIILPSWFWEKNIKLVGEADISGGLTIEGEEKLPSQDDGAHVTAE DKSAEEPAGLPHIEPIPTDSARYSMHGDIYKEVVADIRAGLILRPPKSSNSQTFRRPV TVLQCPKEGGSLFLDSIVETVATRCEADLICLDAQDIAQIVGPYIDEDPLFATTKWQN YKTSTVSYKAHKYAGRLEEYEEEPKTEKEEEDVGEDEKRDSIIGSFQFFPALPKMNMA QPGFGRGSQFKIQAGNGIPAPLSSQDQWNDLKLTTAFDAMITSADAKRAASSVEIKSL EEAQTDSDLVERPLIVQIKDYRELQSGSQEGLDLIPRLRACVDKRWQEGRSIVLVGTT AMADLAAPLLRPAIQHLQSDVVDGDTKTILVTPKRLEEIDVAFETDEKARTRSINIRH VEDMILRLAEGVRDLGPSLDLEKDIDTAVAYAAGIEDAVWTYSRVHRIATTIVGLVSE SEAVDGVVFSQVLGMLSSSDDAKFAWATEENALLQDESDKKRAMDRIAKLRKRCSPQE SRLLSGVVFPPDIRTTFADIRAPPETVEALKTLTSLSLTRPEAFRYGVLATDKIPGLL LYGPPGTGKTLLAKAVAKESGATVLEVTAADLNDMYVGQGEKNVRAVFSLAKKLSPCV VFIDEADAIFAARHEGMNRSAHREMINQFLREWDGLTTDTSAFIMVATNRPFDIDEAV LRRLPRRILVDLPVEKDREAILKLHLRDEILDESISLEQLAKDTLFYSGSDLKNLSVA AALACIREENELAAKHTGEEPFTYPEKRILTKKHFDKATEEISASISEDMSTLSAIRK FDEKYGDRKGRRKKGPGLGFGGTKVEEKDSEAVRVRKMGL LY89DRAFT_651401 MAHGSCICGDVKYSFTGEPISQAICHCIPCRKVSGGAFTTNILV QSTSFTLLSGAEKLKTYALKHPAGMTLTYHFCETCGTKIYKEGDADAFKGVFIVQAGT LDSAEGEKEMGLKDVKIGAELWVKERVGWLGEQPGAVQCQEFS LY89DRAFT_651404 MSVSTELSHSILHNPRSPVSISTDSSRRGSLKQVLPEKYSEPPT PNSPQHRLTRKRAASLDLDIANEPRIGDLALQTARPAQHLTSDLTKEQVCLCQPDPKI PRPRNAFILYRQHYQAQVVTQHPGLANPEISKIIGEQWREQAPEIKNDWKRLAEEEKQ RHQRQYPGYRYQPRRAGKTNGLRPASAATSEDPIRCPKCGGRYISTPGTPLTPFTPAF GALRPVPPFTPSQTDQFERPRQPDQMQPARMDTPRHAPPFQQQQMLRRGQYGAPQQLQ THREREEEMDLLSPSPGQKRRRFNEENNRGYAANSPVYQSPQTFARNAPPMSAGYRQQ LPGPGMIVKPGSMGPPPAQYSPVVQHPRQQYPQRQSVIDESLRLPPIQTQIGNGASPA LRSDPRAESRQSQAKSIEAMVMTIPYVNKIKVLTKISPPLSPPGPGSPAQEVRGAVIA VEGGDKELLCEIGSFINEYLSKDPSFAVKVWGTEASPCPFKPTATPTTDTAMADSSNP LAVPEPAETTDDTDSFVEYLSIISQWHKKSDEISKYITTAPPSPPTDTDIDTTPAAPR PKILPVALVPNGFSLTTSDTYALRIPINDSYAPVDHWQWMATLWRGIVGPDLTIYVTR VGPDEMNRLGGVEIRSDCGAIVVRIAETGKMDEKTARRLGFEVVEIVRNIETGFGRS LY89DRAFT_622084 MVQISEVKGNTRDSRTAAHTHIKGLGLRQDGTAERQAGGFVGQV AAREACGVVVDLIRAQKMAGRAILLAGGPGTGKTALALAVSQELGTKVPFCPITGSEI YSAEVKKTEALMENFRRAIGLKVRETKEVYEGEVTELTPEEAENPLGSYGKTISTLLI GLKSAKGQKKLRLDPSIYEAIQKERVTVGDVIYIEANTGACKRVGRSDAYATEFDLEA EEYVPIPKGEVHKKKEIVQDVTLHDLDIANARPQGGQDIMSMMGQLMKPKMTEITEKL RGEINKVVSKYIDQGVAELVPGVLFIDECHMLDIECFTYLNKALESSISPIVILASNR GMCTIRGTEDLVSAHGIPPDLLARLLIVPTHAYEPEEIKRIVRIRVTVEGLAITEAAL DKIAEHGSRISLRYALQLLMPSSILARVSGRNQIDVMDVGECEDLFIDARRSAAIVNG ETGNGFIS LY89DRAFT_699168 MADQPSITSILAALAAQRPNPAPNQGQQPAAYQPPPQQSNPYAP QGGYSLPQPTNSGSLDLSSIKPVNSGTVSLTDAIAKAKAIAAEKGVYDRPPPSYGAQD PRMAGGRPYRTSRSRSRSPPPARRDAFRDNFNPYRDERRGDRPPVARDYRDRSFSPGP RGRGPPGTFSPVQGGGYPPRARTPPRAADDTFESLRIESALVGLIIGRQGENLRRVEA ETGCRVQFITGQDDNSPYRECKITGSRANRAKAVNEIHRIIDDSGMAANARAAAERAR ENPPGRASSHQPALRDGEDSMQIMVPDRTVGLIIGRGGETIRDLQDRSGCHVNIVGEQ KSVNGLRPVNLIGSREAASRAKEMIMEIVESDSKGGVDKPRAQPQKDTSRDAGYGASG HGGGDSINDSVFVPSEAVGMIIGKGGETIKDIQSTTGCKINVSPKQAGETDREIGLVG TRDAIAAAKRAIEDKVEAVAAKNGGGRGGGGGGGGGGAGRNQNDYSDRSYSQTAYSQP QQSQAPAQAVPAAGADDPYAAYGGYQNYVALWYQALAQQQGGPSQGDPSKPPGTS LY89DRAFT_687452 MKFGNRADIYPAYVDESKVTGDYHVFRSEVSAVGKFSPTEVLTT FRIPETDPMKRDFNRLLNACFMFSKMDHSLLISLYRLIRHFILYEGSHNYYRHSFPAV PREILYPEFRHQFKEEFGIQFPLGDEPAPLNLAEEWPMIHTAICRYEMAYPHLVMYLG RKLSTPLQ LY89DRAFT_687453 MTTGWEGVSKEKLSSKYHATVEDDFEDDYSVKNVGSMKDKSAIM EEVLPDEFIPKESTSIKTKTTGDNKSGVAFRFEDFEDDLSVKPFYNYGLKRSRTPFPE RACRWVPIPVECSEEVRARFPPKKGTKAKSLTEAEIRATMMSDEDVANLRRKLVNDPP PMSLPEAKKKQIRKDCITFIRGRTTSRLAARAAAEEMNKQ LY89DRAFT_687454 MIPRTLLRQSRALSSSIRSSPRGSLARPQFRPSNLALPTSSQRI SSSSRWYSSESTEAKKEDNAADSKSETKNGEAEDPVKKELEAKNKEIIDLKDKYVRQV ADFRNLQERTKRDMEAAKAFAIQKFAKDLVDSVDNLDRALAMVPEEKLTATEKTEHLN DLIALYEGLKMTENILMATLKKHGLERFDPSVEGEKFNPNEHEATFMTPMQGKEDNTV FHTQQKGFKLNGRILRAAKVGVVKNS LY89DRAFT_155756 MKFYWDPLVQKPESPPSTPADIQGQKLKSKKATPLTYLEHIAQN PPTVGKNHYKGDYVYANFGYVRDEDTMEWFDPESSQPLKDKYISYRREGPIEEVDGKH IPDFKRSERIFPRIMSTEEQEHFYDEYLQYVHDDVDAEGDPRDLRITPATFAQWAVGA RKGKAYEEEEFTPDSVIWSKRVVPPKQPKDSRPKRRQFDMVTGEEISELGDSDEEAVL ITEAEGVNMMAIPREFSMMLPGVAQDLAHKAFGHRENDPEKYSQGSITSSDHDTASDP TVFNETRAVNHLGTLGDPMSGKAEFAGLSEEMLNLLDEKRLAEKRTVQKQEELAQARE VEIGLAREIFFLNARKNLQPIRVVNRGNPHGMDGAGSFDPSLSEGISNFDGSDEHEEE LMSPASLPKQHKQFRRYFKEQIATESMAINRLNIYADVSDMEYERIRKDINVMRGVKD ELVRSFGVENMEDIPLHVPHPLYMDRVDFAGGQSTYE LY89DRAFT_785018 MATIDSDNSSPDVTDHEENNEQWGDDSEEIELNNIMQATATPVA LHSSSSSSALSTNLPPKKRKSAMSRKVSKASSSGRKKEITPKMQDRQARGKDAAMFSS EDDSSDMDGNPKYNPYENAEIYEARQRRRLAAVILDNPELLMMHAQSRNDSIPGTRHY FAKLLAGYQDDAGSAGKFREEWEKGVRGKAKGKGKRGYGY LY89DRAFT_591161 MSSTPSNSRTFAANTGLGLKLTPSNSPYPRTPRSPNKPRNFYES GLSLKRIIGTTVSSPTAFDSLSSSRIFAYTAGAAAVVVNVDDTSKYSQRFFRARPTAI PLNSVPNATLAPSTPTNTANDGRNRAVAALRDSALPFSPSTPHTSLEWGDSPSSKTWT SRERIKAATCLSISRDGKFLAVGETGYSPRVLIFSLQDTSSDIPLSIQNEHTYGVRAV AFSPDQKYLASLGSPNDGFLYVWSINQRTGASKLHSSNKCTSFVKQMIWLGSSIVTIG TRHVKIWRVEEPRSASPTKQRFALDGTPLPVASQPALKTLAGRNVLLGPLVEATFTTL AAISEHKAIVCSEKGDICLLDDSEGQKLMKLANTGFAITCIAIDMEARRVRIGGRNGK LKSLCLDDLLTPSTPPESPTPMTESVSGSEAGHICAMGYAASSLITVDSKHLIEISSP NSDVADPQMSNTPFPAHGDSVLGVRLLSPENELKAAFLTWSANGTIVFWDLEGCAKSF LKVEVEQLPGTEDEVNQCQIVRASKGASYLVTGDKYGVLRVINPVTQKCTFEARAHMS DVQDIALHESEDVTLIASCSRDRTIQLFRLISEQWVLIQTLDEHSASVSSLFFVENGE KLISCSTDRTIHVRQLVKKDVGGQDVMGAVPVRIITLKASPVSMAACFADQMGNFVVS MLDRTVATYEISSGRLIHSFRATDNEGQDAVVLDALVMGCPSTISGRPTILAGVSSTD KSVRIYDGATGSFLDREWGHTASVTDVALLEVPDSEQKTLISTGSDGTIMIWDLSPRP QEPEEVLTLASKRDESPPKDTPSTRPPLRRVLSRAELAEFQRASPMSTPTGNRSPPRN VRRKTSRYGLSSQSPTLAIPPVPTVNSKHFTSASDDSSIRRATRNRSRSPPPSPKAKD MRRPSLASLDTRGRTKSAGNGNFSEFGTLNMATEQACRTLRAYRKKLLSSETVKEEAL KELDQELRLTAMALGEKSLKSKAISETVLTGLLDQYSERLVSMFDEKLRLARTDSLEP EDGGEKERPKTAGPVIESSSEGKGKEVPNS LY89DRAFT_785020 MTCLCTANTLRQFVRSVAQVDLPNTTRLAASRQFPRRQISAFTY AHASRAYSSATKSEDPKQFYDSIEHASSRNKWRIGEKESDIEGQLSNIDKEGAFVDFS PEAIDALAAEAASERAQDDHFPSTPKHEYDTPLRSLMKVAPKQKEYVPMERIPGGVVR RLKSENTGFKLHYSAQPDWEGVEERAERLRKQREEVAAAKEARDEWVPPPKEPWMIAK RKAKEKYPDGYNPLKRLSPDAIAGIRALHAQMPEQYNTETLAEEFKISPEAIQRILRS KWRPSPAEQTDREQRWHKRGEKVWTRLADMGTKPPKEWRDLGIGSGKPDWMKKRENLR PRAPLPALITMARRREAKIKSSKEDSLADRII LY89DRAFT_721333 MSWPNPFRRHDENTRHTWGYTFQWTPLHTTPEETNHLKFSYDEL ADECLQRLDAISPPTNKELPRSRSRIPAKEGSEPAPKRDLYELLRDHHSVDEKLGQLW KEVNTIPEWVDWDQIARGQDVFYRYAGVALTALAYQSLLGGMGASRITEVLARTGGFS PQVAKHRLYETTQHILQVTSSLSSIQPLGAGFASSLRVRLLHASVRRRILSLATQKPS YYSVPEYGIPINDLDCVGTIATFSSTLIWIGFPRQGIFLRAQEIEDYIALWRLVAHYM GTPTSWFSSPAQARAIMESLMIEEIRPSPTSRILANNIIVSLQNQPPAYASRDFLVAS ARWLNGGELADELGLVRTGWYYKALVAGQCLFFMGLCYLYRSVDYLDRKKISALRKIL YQIIVHNKDHGLGSETVFEFKYIPSLDMTATEAGEYVPGVQGKRGVERRNFRMLVFAC AGVGVLGQLEA LY89DRAFT_155871 MYILQSITTIIVSVLLLFSSPTQAHSNERNPLTYYSLVTNANFN TPSHRIHHLSKFDLTFDLHDSQQRIRLSLQPNHNVIADDATISYLGPDGEIRSSEPMD RSEYRVFKGQAFLQHWEGAEWTNVGWARIMVARDGAEPIFEGAFRVDGDHHHVQTRTH FMQTKHRLDPVLPEGEGEYMVVWRDSDIATDVSVDFEGLVHGELRRARLRERGFGVVD ERSCSADGLGFNTQLDHPVYTGMAKRDDNSWGSMYTRSIFGRQIDGQTGGNSAGVNLT TTIGSTSGCPSTRKVALVGVATDCTYTAAFNSTSSARANVINVINSASVQYEDSFNIT LGLQNLTISDATCPSTAAASAPWNVGCSDSVTIQDRLNLFSGWRGQRADTNAYWTLLS TCATGSAVGLAWLGQACVATSQVASSSTGNETVSGANVVVRTSTEWQVVAHETGHTFG AVHDCTSTTCTDGTTVASQQCCPLSADTCDAGGAYIMNPSTGTNIMKFSPCTIGNICS AIGRNSVKTTCLTANKDVSTISGSQCGNGIVEAGEECDCGGTASCGSNPCCDPTTCQF TTNSVCDPSNEDCCTSSCQFAGNGTVCRASTGICDPQEVCSGTAAACPADATAPDGTS CGNSSSSLSCASGQCTSRDLQCKTLMGSYTQGNDTYACSSSGCQISCASPEFGANVCY SMQQNFLDGTSCQGGGRCSNGQCDGSSVGKEISSWISQNRTLVIALASVIGGLFVLAV LSCCVSRYRRRKRLAARKNITPPPGPLPPPGWYGQNAPPPYPGQTPRNGAWENGRWQQ GPREPPMAWQPSVRYA LY89DRAFT_687458 MSEGAVKPANPVVAEAHEVDTFHPPKKMFAKHPSKPHLSGLDEY QKLYKESITEPDKFWGKMARELLTWQRDFQTVHTGTFANGDNAWFLEGQLNASYNCVD RHAIKNPDKPAIIYEADDEGDGRTLTYGELLREVCKTAHVLKQMGVQKGDTVAIYLPM IPEALIAFLACSRIGAVHSVVFAGFSSDSLRDRVIDAQSKVVITTDEGKRGGKLIGTK KIVDDALKQCPDVTHCLVYKRTGANVPWTKGRDWWWHEEVDKWPAYIAPEPMNSEDPL FLLYTSGSTGKPKGVLHTTGGYLLGAAATGKYVFDIHDSDKFFCGGDVGWITGHTYVV YAPLLLGVATVVFEGTPAYPNFSRYWDVIAKHEVTQFYVAPTALRLLKRAGDQHVKAQ MKHLRVLGSVGEPIAAEVWKWYFEIVGKEEAHVVDTYWQTETGSNVITPLAGVTPTKP GSASLPFFGIEPAIIDPVSGEEIHGNDVEGVLAFKQPWPSMARTVWGAHKRYMDTYLN VYKGYYFTGDGAGRDHEGYYWIRGRVDDVVNVSGHRLSTAEIEAALIEHHAVAEAAVV GINDELTGQAVNAFVAIKDGNEINDQLRKDLILQVRKSIGPFAAPKAIFVVPDLPKTR SGKIMRRILRKILAGEEDQLGDTSTLSDPSVVDKIIETVHESRKK LY89DRAFT_721336 MSEATNTKLMDTEPGGEQTQHVLLLVCSPLLSSLPNIYSIDSSS SGQNKKQDEADKSERGEKTAENIRYGEAISEHGFGGETVGNGGKADAADGFGEIEDQS IEGQQRRREQQGYEGAGSGVGG LY89DRAFT_721337 MSSLTRSKRFSTGSEALALFIGLSNATSRRWGPCSPCRYNSTTS TDTFTIEGKSYPKDSWHNLPPNITFYISRRLHTTKDHPISITRSIIESRFPSPTYKYH NKFFPIVTTHQNFDSLGFPLDHPGRSKTDTYYINSSTVLRTHTSAHQADTFRLNASEG FLISADVYRRDAIDRSHYPIFHQMEGARMWDRRTVPNGDIAAAVWADIERLPKHDMKV EDPNPPTHAERNPLQKEHRREEAEAIAAHLKRSLEGVVVEIFTRAKRAALAADPGFRD EPLRVRWIEAYFPFTSPSWELEVFWQGDWLEVLGCGVVKQSLFTNAGVPDQLGWAFGI GLERIAMLLFEIPDIRLFWSKDPRFLDQFKGVSDNFNNLRKFVPVSKYPACYKDVAFW LKSSSSAAGGGGKEMEFHENDIMEIVRDVAGDVAEDVRMTDSFTHPKTGRRSMCYRIN YRSLERTLTNEEANEVHERVRGKLVEKLGVELR LY89DRAFT_687460 MPGSDPSKRGLPRKKEWTPKNIRYPFWFGGSASCFAACVTHPLD LVKVRLQTRHGNAPSTMVGTFIHVFQTAGIRGLYSGLSASLLRQITYSTTRFGIYEEL KSAQKSPASFPTLIAMASTSGFIGGVAGNPADVLNVRMQHDAALPVEQRRNYKNAVEG LIRMTKEEGWKALFRGVWPNSMRAVLMTASQLASYDGFKSLLIQYTPLSDNLTTHFSA SFLAGFVATTVCSPVDVIKTRVMSSHESKGLATLMRDVYRVEGVGWMFRGWVPSFIRL GPHTIATFLFLEQHKKIYRSLKGIDEPVPATP LY89DRAFT_155990 MDFEPMMMDTEAMGPSVKITEAGANHVDFELSNVDLAFANSIRR VIMAEVPTMAIDLVEVEANTSVLADEFIAHRLGLVPLVSKNVEEVEYSRDCECEQYCE RCSVTLTLHARCTGDEIMKVYARDLVVDSQRANQWVGNPVITDTDGLGTVIAKLRKGQ ELRLKCIAKKGIAKEHAKWAPTSAVGFEYDPHNKLRHMDMWYESDALAEWPKSKYADW EDPPQEGEPFNYDAVPDRFYFEVESVGNHEPDAIIQQGIKVLQQKLAMVISDLTEGSS GANGANGYDNAPRSPDIDMDGNTAWQEPGYTTPYGNGGGGGGQWGQGAATPYGATPYG QNGANGWA LY89DRAFT_687463 MALSPTSPTSPPESRPTSRASRKSNMSIDLSNIPPLIQPTPPSN TLLITNLQDASIFRADNLQTIKDLINASAPIHSWAPLKSFRRIVVSFFSEDSAIRIRQ ILDGEAIMGERVKVYFGQPTSIETKDEHLPLPDAGKLFFISPPPSPPHGWEMKLEDAP NKQVHAEDLAEALAKLHAKPNTDLPASPVSAVSDGGRQRSGSATTIYNPSEHGFSPNL PAISVEDLTMGENEISPIESEKPILAHTSRPPVELMER LY89DRAFT_737278 MVNITTLARGSLGGNGTSTTVFNPGEIVVENWYGVADYVDVFED AYQVYTPQIMASIPTGFEERSLFIMYNFTGTVGQQMELVDSVVGAGVGGLFVTDQAGY TSWSGIWGEFVGDMDGA LY89DRAFT_753927 MLPVRWLGIAFLLVSGSSASSSSSGRLASASTTSTKVSSLASKT STSVRHVISVSTSVKLSPISSSSISSASKPISSISIKPSSPSSSSTLKSSSTSKFTAI STTKLSNNTIPVSSTTSSTKINTIISSLKTSSTPKSSLASLTTSPKISSSSSSTLTTT PTATATVIFPLYIYPTPSAWSPLYTALSTNPNLHLLIIINPSSGPGPANTYPDPS LY89DRAFT_155932 MANVSFFKNYRVYLLTSVAYMGSLLFGFDTGVMGSVLALTSFKK DFGLPEGSSGFASSKNAKISSNVVSLLTAGCFFGAIAAAFLNDRFGRRYSLMGFSLIF LVGAAVQTSAHHEIGQIYAGRVIAGLGIGGMSSITPVFVAENCPPAVRGRITGLFQEF LVIGSTFAYWLNYGVALHIKPSTKQWRIPVAIQLIPGGFLLIGLFFLKESPRWLMKQG RYEEATNSLAYMRREDPNDPDIVKEIAEIRASIDEELQATEGVTWKECIAPANRKRFF LGFCIMFWQQFSGTNSIGYYAPQIFQTVGVSKTDSSLFATGVYGTVKVITTGIFLIIG IDKLGRRKSLLFGAAWMMTMMFIIGAVLHTHPPTNVNTVSPASIAMVVMIYLYVIGYS ASWGPIPWVYLSEIFPTRLRSYGVGMGAATQWLFNFVITEVTPNAVAHIGWRTFLMFG IFCLSMGVWVFFFIKETKGRSLEDMDILFGSVDETRRKEDVEKIVHKTYGLEDEEDKG TSVRLEDVRS LY89DRAFT_721343 MALLVDKHRPRSLDQLTYHKDLSERLRSLAQSGDFPHLLVYGPS GAGKKTRIICTLKTLFGPGVEKIKIDSRVFQTTSNRKLEFNIVSSIYHLEITPSDVGN YDRVVVQDLLKEVAQTQQVDANARQRFKVVVINEADSLSRDAQAALRRTMEKYSPNLR LILLANSTANIIAPIRSRTLLVRVAAPTEEEIVDVLERSGRKEGWKGERGLNERIARE SGRNLRRALLMFEAVHAQNEKITPQTPLPPPDWEALLGTIASEILAEHSPAQILKIRA KLYDLLTHCIPATTILKTLTFKLIPLVDDQVKAEIVKWAAFYEHRVRMGTKVIFHLEA FVAKVMRVLEEWDMSMDF LY89DRAFT_156591 MFTSKGLFSEIICPHKDTCMLPRCIFKHSDTKAGGSTGLEVQDD VTPSNEQEGQRKRIKLDDEAEQTVNGDLDKTTATNTLLNKVSSKPLATKSALAEASNK KSLAATRPISPPPLRRKSENGSSAGVKHASTPNSISTPKSKPKLPVKVPLKVEDLNPR TLSIPAPAAHNTRLQLIRFLHTEFVRLNNELEKDAKDDEEELVLSAQALITKALDIEE DAAMTQSLIYTNVVKNRVHAYRKMSVKTWVEERAKEVAATKAREGKSAAQPTEPPKTL ETGLTPEQELKLLPRLHTPVTGLTAHGYVTNIPTPAEIESAKAGVEAAQGWEVCDRCK SRFQVFPGRREKDGALTAGGKCTHHYGKPYLEDKSTTDPKAKRAKRYRCCNEVVGESA GCTTADTHVFKISEVKRMASILNFEKTPDNPAKDSSKPVCIDGEMGYTVYGLELIRLT ATSWPNGEELFDVLVRPVGPILDLNSRYSGVWPKDMAEAPAWSDHPPKKTPTKTDSEN GKHKLQIVDSPAKARSLLFSFLSPKTPLIGHGLENDLNATRMIHPTIIDTALLFPHRA GLPFRNGLKALMATHLNRHIQVVIDGKIEGHDSKEDANAAGDLVRFALGNEWKKMMND GWKIENGMFKHGAGRELIPSSETKADKLKALARDGAGKKRSREEMEEEDKRERFGGLN Y LY89DRAFT_156575 MDYTGTSSREAEHLCVLVHGLWGNPAHMEVVAKSLRAKHPEQSL HILVAKRNSGSFTYDGVERGGERVCQEIEEEIEKLAAAGQEIKRMSMVGYSLGGLVAR YAVGLLDSKGFFDKIKPVNFTTFATPHLGVRTPLRGWHNHVWNVLGARTLSASGRQLF TIDNFRDTGKPLLEVLGDQESIFIKGLLRLLLELQGCLAKFERRTLYTNIINDRSAVY YTTGISKTDPFTDLDKLKLNYLKGYEDVILDPASPVASTQPEQPDETYYGTLIRNSQT TIGRLPFLIAMVFFIPFGVVAFLINSGVQSLRSNRRIRLHESGLAGIQPGNYRVPLLI TGMREAVEDVYENLNSAQSNEYLVAGTEEEAASEPASPVLERPQSSHAISKLSLDSDE KPTQTHDAPTLALAPYQFRMIQALDSVGWRKYPVYIHKVRHSHAAIIVRVDKPNFDEG RVVLKHWLEEEFIA LY89DRAFT_687467 MPPPIFKPRRRLTQNRLKYGIGLTIFIILTYLYLFPSSPVLRIL SRPQTPSPHHTTASNSTLGFQKILVLSTRPSWRTRGLHAAAAHTNLDLTIPNQALPTD ELITAFQSLGPSSVKHPLRGEAFNWLAHLDLMKLIAAQDYTTALILEDDVDWDVSIKE QMKLLSDAVREFTYAAPEEEGPYGERWDVLWLGHCGEPTRRDTRRLEYFDASVPAMGN YSGWAARYHDGLSEGVRVVQRAVNPVCSFAFAVTRRGARKILKWAGKGENEAYDIRLM QGCKEKRLSCVVVNPELMHHYVPPREFGHISNVAEGNGRASEAEEEEFERIMGNTANV VNSTRCRALFDSTCMR LY89DRAFT_591329 MNANESLEVEEIDVQKVERVYRKIDRRIIPAFWILYFLCSAIRS NVGLAQTMNSSSGHDLEQVLHLTAKQTSTALALFYVAYVVFDFPSNLIMTRLSPRVWM SRIVLAVGIIGACFAAVKAAWSLLLLRFLLGVVIAGMWPGMAYYLTLFYPPSRTGKRI GQYFTAAQVSAAVVGLVSAGFQKMDGLGGLVGFQWMFLLYGLCAVILGFVLLWWLPDR PLPPGERRQRTGLMKWLPATPPVLTGEDAEIHYRDLTRVYHPRPWNFKDLGLVLIDWR LWPLVLMYFGVVGVGIGTQLYGTVIISGINPDFSGITLSLLFAPIWVMDLIAILLVTP ISDRFHHHRALFFSLAVCIQIAGLLTTTFAPHSQPWARYGGLLMVGFGLGPTVPICMT WTNEIFQRRHGEVGVAAASALVSGLGNLGSIMTTYALYTGWPEDAKEGPHQYRKSNLT MIGILCLSIVSSIVMTVLLKLVGNKPSSKIQSSGSSASEGEQFQDAAARRELQQRGFG RMWWSK LY89DRAFT_709156 MAEAHSDLPLLTIVLLTAACLLSLVVLVQVGATYTSAYLAAPSE ITTFIDTVDYSILENESYDKDVGKVARLEDKLRLGKLLREIQRCGDDLREDLNGLLVD EEGTKIKAGARLLWGHQRKRLEERVRRMDLLRMRFLVVYMGCIAATRTPEKEEKSLEK LHIPKAPGRPPILHAVTEGHARRVPLRRLTTQAMGHNDHVGSPQRMGWAGVVAELQNS PLMHKRHASIESAMGKAG LY89DRAFT_156641 METPTVPPEPIVSQTSSTPANLPQILTYPSKTPPQLITQGAEAL LYKSTYLLPTLPCALKYRPSKPYRHPILDVRLTKHRILAEARVLVKCRKEGVPVPAVY ALDESKGWLCIEWIEGEVVRIRLNEWLRRRKEAGTEEGSEEEELVDLMGRIGSAVGKM HGVGIVHGDLTTSNLMLRPWAKGVMNGHSSEDGKGILEGEIVLIDFGLASQSTADEDR AVDLYVLERAFGSTHPRAESLLKEMLTAYGKSFKGANVVLKKLEDVRMRGRKRSMLG LY89DRAFT_156640 MTRLILSTSNIMTGGPSIIRKPGADRSNLELTNSLRSNFLAAQQ DYSPPLSATNSVRDSSDEDQISRPQASVWTSRHGSEYYIPKIDWSMSGLAEEPSQYDI TVKLFYLKEADVKERPQHTKDAVNLVLKELGVPSIDLLIVSFPGMSFEGDCEWEADKK NSAQGNDEEEIASWSVLEELHNNGVVKRLGLAEFGSEKLARFLARVNVRPQVDQVNVK DCCNVPPPLMKLAKREQVELLTHNDCTDILPSGTLRELLGQGVRGAGILSETKRGIDG MKGDLTPEWVVKYTAVVRDRGVIENKGYFAVAELKE LY89DRAFT_737289 MAINYLILLSRQGKVRLAKWFTTLSPKEKAKIIKDVSQLVLARR TRMCNFLEYKDSKIVYRRYASLFFIAGCASTDNELITLEIVHRYVEQMDKYYGNVCEL DIIFNFQKAYFILDELLLAGEMQESSKKNVLRVISAQDSIEDTEVDEEVTKIM LY89DRAFT_785039 MKFIPLGAFDDVSNALNFTSSECQVIGSVDLYTTKAAGSDKKLY RNIENSLESQYESLLRLSASVSPPHDEGPSPLNLTRSSPFGPLSQVSSRRTFAYLIAT LNASHPDYDFSHILRPSDFRKERSLKAVINTIDSTLYNLRPSTAGMTLQVPSQTSYAT ASSASPNSQAWGPQMWSLIDKEMTLKECTVYCWAPVDEPFEEDEGAIWSLNYFFFNKE LKRVAYFYVRGVPVMTHSPRQRSSMPKRSASGYDTGANKRARYWLGDQAANVANDQDA DLDEEEDVTMWSNDEVDADEVDVMFDGDEFCDDYDDEADDEADEQVYKGPVRGMSEEI SASIDMDY LY89DRAFT_651466 MPFPVFPSKILTSNISSLIPLAQKLSKNGPVKRESTPRRIRGLF AGEWVFDTLGAVYVWEDVYYPYFYIPVNEFREGVLEKVEGKGEGEDGFYLARLRVGDR STERVIVFSSTSVEAVRGLVRVEHSTIDWFAEDEKLLGPHPKDPYKRIETLPSSRRVR IEVAGVVVAESSQNVFLYETMLRPRYYLNAGDVKWEFLSESGTISYCPYKGMATYYNV KVKGREIKDAVWYYRYPTSESALVAGRLCFYNEKVDVFVDGVREESPRLFNIPFVQKG ML LY89DRAFT_737292 MQFSNFILAAAAASAVAAKGHQAHATGNSWGNSTTTATAYITQT VTAYETYCPKKTIFTHGTKTYTGLKKQWVTVTDCSPACTISNKAGQKPTVVPVGANPK YNNGTVPATTTVAAPGKTPCPCATGYLSATPSAKSCMCPAAGAGSSSNSGSSGSSSGS NSGNSANSGSSNSGSSNS LY89DRAFT_687471 MYLKQEKEFLTSFVHSIAAASWCLRIILGIPSSLILLFPLDHWI GMKSSRTEVKKYTDCGEAQNGTTWKPWL LY89DRAFT_737293 MSEQKVGRRRRSSSLLYQEPPETIEHMSDQAALPNLNADWVNAK GAWTIHVVLIAALKILFDIIPGVSQETSWTLTNISYMFGSFLMFHWVRGVPFEFNAGA YDNLNMWEQIDNGDQYTPAKKFLLSVPIVLFLLSTHYTHYDLTYFTINFLAVLGVVIP KLPYSHRTRVGLFSGAPEDR LY89DRAFT_687475 MSISPVPAQADEAAHLSQLPPAPNSSAFTMLEVGSDEGRLSMQA SSDASRPQTTWNTGVQSGLRTSFAAKGKGKPIVQAREAEDTDSAQDKSQVLLPVDDED HSIAIENSDRDDKSGKKRRMRNTRAEEYDTTDAFVDDSGLGLHASNGLPASETLSGFA APPQPFRPLNKRRLAALPEEERGVYRSALNDWLVSNKKAKKAAKRAVLAASNIPDTAS MGMDDKIMKQQQGPSTSAFNAKVADDMDENESESDQDDSESEEDDDDVDEADLRISQI PANISPALLASIGPAPKPPQPVQALKPGQKNRLNKNERKKYKRARLTFLSLLSKYRIA RREYDAKLGIVHSPRALPPDFRNNPKRLTKREVTELTMQDQMIYAEVMESHLANNKLK RRDKAHADAKKALMEYKHWPLPNLGKYQKISANIRKGKTWYPREPKKKKGRVFLSYNC NGKQYPLPPIFDTDGIPIALQDMSFNIFVAPFLKENKENWHLLDQRTLKEAFNHYLTT YYMQSIGYAPRVRKTADAPDAIKIHAAMQQIEPTWNLSGRPSAKVAAVPAPSTVDLDG QSFAQQVTVAPADSATTSMDIAQSAFEANHDIMALDSEQDSLEDPEMREALMFLQQKY YPSASNAIRCLVCAKIGHTSDACPALNCLICDVEGKHSTLMCPRDLPCGKCRARGHAR NECPEKLAMPKADMTCDLCGARNHVETECSRIWRSFEPSPNEIVVVSGIPVHCYTCGG GGHYGPECGLFQGRVLSGDYTWSTANLKKYLDSSSRNRAISAPVDYTLPPKPTNQHNY SIKGQANDPISLDSDDDQQFIRPLVRSGNAPRGNITFANQGQAPQAPSRSSGGQGQMS LSMRNSQPKRGGKKGHNHPPPRAVAGPDRGRRSAKRRGGKA LY89DRAFT_591536 MDNDPISPESQQILAHQATLDRLASRQQYDDTFDGIDLVDGTRV DNNSNSTLSSDKDLEKGDEQGTAAPPLHQHASHKQRDPNLIEWDGPNDPENPMNWTVK KKWITTIALGFMTFCVTFASSVFSNATVDVAELYHVSTEVSTLGTSLFVFGFAVGPLI WGPGSEIFGRKLPLFFGYFCFAIFQIPIAVAQNLYTIMLCRFFGGAFASAPLAIVGGA LADFWGPVDRGIAVCVFACATFIGPIAGPIMGGFITQSYLGWRWTAWITLIMAAFFGT VGFFLVPESSHSKVLQDRATKIRFETQNWAVHSQRDTIPVDITSIIHTYLFKPFKMLA QEPILLLVTIYMSLIYGILYLFFEAYPISFQEQRGWNQGVGALPFLGIMIGVLFGAIT ISIVTKTRFARKLKKHGRVIPEERLPPMILGAVLLPIGLFWFAWTSSPHITWVPQVLA GIPIGWGILMVFLQGLNYIIDVYMWNANSAIAANTFLRSFAGGGFPLFATAMYHNLGV AWATSLLGFLCIAMIPAPIAFYFYGAKIRAMSKFSPSLPPFGGK LY89DRAFT_156806 MGGWDVNCAICGAGFSVYDSFAEDGGEEDGYDRELLGGGDLEWL EKARVIGFNPEAFSVRKCFITGKGNAHDYGRIECSLGDDHNVPQPSPTHHGKVGLTVY SNYDPNEPLAVPFHEDCFVLLSKAIYYSLHGNIPPNLGKGEKITGEGDEVLGFVSKDV LYAAMKKLYVTDSYDHCLSEVDYAELNVTTREQYWGCIRGEEAWVSNPLTSEQLTYFF SHTAQTLGLNSSPPTTRSSTTTCNPIPHNPFSNLPKELISEILLYLPLSSLKSFALSG LIPFRLEHNLAFWRRKTNLDFAFLYDLPNLEGERNWLAVYQELHRQCHTTTPEKYTDD EGEERIVRERDQSLVLGLANRRRVWGICEQIVSVYLECLKSLMDDDGDEEKVDVEVVE KSLSLQLPIVGNPLSSDGKSISTFFISSWAELSKELVLAFFFEGRSKEARLRGVEAQG KRLFGELGGRIAEVAVEDGARIDGLVLSIVGGSENALKDATMGIAGIELMLDNGTSLK VGEQTGDKRLLKVNGGMVATGLIGELGNGIITRLGLLQAPDPRSTSFIPPSKAVSPTL KPLWHTSLPPQHIHASPYQTGYWTPSRSYDTTPMSFILFSPDGTYDQLSSLRGIESDP NMRCWGVSYNIEEGKRTGPRDKMDEVKMFKVDGEEGERVVKVEVGMNSLVQGIKVLFL TPSHSQLNLSSFSK LY89DRAFT_785046 MMLRWCFFAAFTSFVLGQDTNYFAERDEDGIQARQQPGVVSSSD FLRRAYHGSVVVGDYVYIDGGAFSYMSSGSPVYDYSTTTLSIGLSSSWTNASVVINSN SKPSGVPNLDDNTLWYDSSSNSLLAGFGGAAPRFNTPSPYALGLWSFALDGQGGGTWS AITAATTSLRKSFTRPYQGLSTWGGDSAFTIGGYINDLTSPATANYTGSEPLPGIVQY NMTSGTFKNSSAAGYNFNGTAERGVLHYVPSFGPEGIYVLLGGDISGYQGYTAGSDLQ SFSSLTIYDPASGNFYNQTATGNIPQARIEFCATGINSTNETYEIFMYAGWGSNLGSA ALPFDEIYILTLPAFEWIKVNYAPAHPRHGHSCHTVGNRQMLVIGGVDSSADSTSSSA PTLDKATFATADQFTQGLAIFDMTDLTWSSGYDANAAEYEQSDSVQTFYSSRTSKDPT TWGSPALQTLFQTTHFTAATNTSTNTTSTSTPIPTPSSKSSSSNAGAIAGGVVGGVAG LALLAGLGWFFFRRRQKKNTNTAELAANGEANNAVPGTGTTFAGHPVTDYKYQPVAGP NGTYASPTMSEVHADPVAHQMPTPSDYGGGGHQFASELETREQHELP LY89DRAFT_156936 MAPIDLAINTGQSSPRQRRSHSISSDRPSLTGYGGLLSPPSAVS PDPAFIAASAASQIVTNDHDSQADAWFDQHGIEPSGETALVSPPALKLVNRFLDQLLF NFLSVSRSTSLASLRPAVAEVLKPKLAKGAIDGADQELHEYLGGGEEEELLAFHNGLE PSGDWDLELVWKRTRLRCMVYSSLGDMEEEDEDYYTEQEQLDGPPGSTNRFSNNPGVV SPAVAIFLTSILEFMGEQVLVVAGQAAYHKLRTRHEKEEQDGTSTPADIAERVVVEDT DMEKVALDRTLGRLWRGWKKRIRSPTTSVSLGRTFSRESLQSQPYTSRAASMTPEQSV DENARRPSLAAVLAEHEHAATIPLPMNDNDVREIEIPGLAAQSDDEDEEDASSEDEGI AVPPRPKSMIIHHAPYGLLTPNASQPQTPTFLSVKGRKRSNSLPSPAPSIYTSPKRVK PTVETTEETENAASEKIPVSQEAKDEEMVDGNTAPAELEEEKALSDESKEPEKHSSMI AGVVAGATAIGAAAVAGIVAVAHGQAPQTDPVADVTDVEDESEAEEPQILTSSRVSLP PAGRISPEENDPKVPSRRSSVRSTSVHSLRLIDVGPARSPGMSRAGSVDAADYVGGRP VVVSRPASIHSPVLPEGMQMTPRVGSPISRGPTASPIARNGSAMSARHTRNSLGDSIS EVEERETDEFKSSPTTAVPSELASAMQGVDIETSPNPYQSTQFASDSPGRDNTKGSAF VLSAPPVSRHLREISPTDLPLQSTTSTPLQPTSAPSIHSRTHGAEIGVPPLTPLREMT EGTQDAAGDSNSVDQHNIEMTAFNAAAAPGRAARIIPDDEGGYYTPGLAETNQAPYQP RPYINPRNQDPWKAKAAAQKALEQTQRPIHTSGSGSSSASSRLKALRTSEDSTQKEDK GQSFEQLIRSDQTIQYTLTPQNMRDIESPDSPRYAAPPTLPTAAEGRPTTGRSHSSSV SKYTNGGGDAGRSVKSPFKPIPRPSSNTGSRQRQNAPQPREARAERDSVGDFAEFIRS TGPTNLYGSNADVTPARSTSAAHRGINGTARNMSGSTMPTAPAAAPVNLPRRSESSAG RVRLQARDAAVPRGHSISDLIDFVRSGPQLDKEDHRIPRTVAPFRTTMDSDQMSGAVG GKAIDASLADARYSQATGSAEGSITSQIPLIKASNNVNKPLPVQNNYNTFEEEDRMPK RKTRRVRDPYAIDLSDEDEEEFAAVSNPKPAKEESLADFLRNAPPPAPEPSPIFENVS RPTSNKTKSVKKKSSTPSLMSRFGRSNSSTNVAQMKSTEAPPPLPKAPLYTPIAAKYS TTYGKTPTADTVSNGDSSYARQVDTARGKVVQKRYEPRAASYSQAPRTNELADFFMRS DPPSALSTQPQTFAPTLQKEERGSLQRMFGRKKIQN LY89DRAFT_737299 MKRLLTQLQRYTSTPLLHTRPFHRLNIRSSFFARSRSLSKIHTI SWSHHADYPNYPAPSPAEEIVSEEENWARMISDQGGVMQDSEFHYVGFVAYRCTYANC SESRWQEFKKVFEKLMLMQWEDQARCGYDPEDNRKCFKVFWVEEREELDGKGITDLGF LRKKFESLIGGQFTDPDLKFVSTAMNVFLLVDDKVISFLLDFTGDKGNIPFVHAVAAN AEECEDDWDEDDLELSYQGHYKVAVELLGEFWAIVGSGDMGMEEICLEQHGGGIGRTV GMYALYEDCNSQNVKEKLLE LY89DRAFT_540779 METFSHFTYNPLDTLQSEIRLISLQPSQHDNDIECQLLSANLDE QPVFEALSYEWGPPTPLSHCSWIKLDGHNSPVRENLRAALSCLRFKDKARIIWIDALC INQKDVKERNHQVAQMGKIYSQASRVIAWLG LY89DRAFT_785050 MTEMTNYTGVFRAVPNLNDGRRLSKRNRQPVSCSTCRLKKLKCD RQKPCGSCSRGGHRATCDYVTRGESRHKDKETSGSEANARLRRLEEMVQGLIQESGLA KQSTYASPRVSAGEHLRQGTEVSYIGATHWEAILESIHDVQDYFESDSDSSFVATRTD RLSDVIVLGQLQPLSLNDVLAALPSHSTVNKLLALYFNATFIVVPFIHTAKFQRECEA FWENPPSKSFFWLSILFSTLCLGSIVSTATGKEVDSKEVDCSPSAFFIKAGQCLSTGN YLKALPNSVEALVLYAHCKFIYDRDSDPSVWALYGLATRLAQRMGYHRDPYQLSARVS AFEAEMRRRVWYFIDSFDALFSFQLGLPAITHGSECDTIVPSNLPDSDFDEGTEVLPQ PRPESEATRILSFRVKIQLMRLLRHVSKLALATEQPEYENIMQVDRELQRWHEDVPAC LKNRPIASSSFMDHTHIIVFRCTLQILYLKAVCHLHRTYITYQKENKKFDASRKRCVD AALEILDLQAEVHRESQPFRRLYEDRWIVTSITLHNHLLAAMVICLTLCESATHIPSE YTRMTNAVKTSYDIFAERKHMSKDAAHATTVLAAILRKISGHGSSSKAMDGMQMTPLL PVNEIEEYVQVAEDHHLELDPFDNVLNNTENFDWNFIDQYLLDGSKEVQYDI LY89DRAFT_687490 MAIPLAAAAELERCISELGFVGALVDSHLLNNTAYDSPAYDPLW STFAKLNVPLYLHPTYPTPAEIENTGGLFTPDNNVYSLPVASILGTAAWGWHSDVGVQ FLRLWLGGVFDRHPDLKVVFGHMGEILPYMLARSTAELGGYKPTGATIPETWTRNVWV TTSGFFSLDPFATLLRTTSVERIMVCLILFYWRVGGRRRGKLLGMLSVSWDLK LY89DRAFT_591187 MTSIKIGHAFAKALGIKLNQGKELDNEIRQGHPVFSTRVADAYV EDELRSSQWVKETLPSTQDLVEYCRSLFPFLGWIGHYNLQWLVGDVVAGVTIGAVIVP QSMAYAVLADLPVQFGLYSSFIGALIYWLMGTSKDINIGPVGVASTVVGKMVATAAKT HPNVPGHVVASALAVVAGCIMTLIGLIRAGWLVNLIPLVSISAFMTGSAFSIATLQLG NLMGISGIEHDGATYHIFIEVMKGLSRIKLDAAIGFTALFLLYSIRWGCRHAAQRFPR QSKLLFFISTLRIVFVILLYTLVSWLVNKDHHKHPLFKIISAFPRGFQAAGVPWVDAS MIKIFIGDLPATVIVLMLEHISIAKSFGRVNGYTINPSQEMVATGVTNIVGPFLGAYP VTGSFCRSAVNSKAGVRTPLGGIVTAMVVLLAIYALPAVFFFVPKAALSAVIIHAIMD LVTSPNTVYQFWKVSPLDVPIFFVGVFMSIFSSIENGIYSTICISLFLALFRIIKAKG RFLGKVIVHSVSGDSLLATELKPLTLPDSALSTTIRSGAAFDEHPSRSVFMPMDHADG TNPEIEVLSPYPGIVIYRFCEGFSYLNANSCLDQLTHHIFATTRRTNPNHYLRPGDRP WSDPGPLRGETNGNDCHSARPILKAIILDFSSVNNVDVTSIQQLIDVRNQLDQYASPL AIDWHFSSIHNKCTKRALVAAGFGYPTAIGDTAHQWQPIFSIAEVGGSESTIVLTESD RDEKVTVEQCEKSIGYVSQQSVDCPVTSMSTTKLGDVKTTSISRAVVVNGLNRPLFHI DLTSALQSAVTIARAREDFEARGGLGTGGEP LY89DRAFT_157006 MQLTNLIASAIISFAVLSIAHPGEHEERNVQADLMKREFKLNVR RGLEACAEKFERRGLNARAQARRQATVDQHRKRKMIRDTDTILNTSHLSMENYTTSTP EDIIFASNSTCVLSPEGETGPYWVKGEHIRSDVREEQPGVPIVLEGQFVDVETCEPIS GLYWDLWNCNSTGVYSGLVATGNGNTDDTSNLNATFLRGVQKTDDDGVVQFQSMFPGH YSGRTTHHHMVAHLNATVLPNNTLTGGTVAHIGQLFWDQDLIYKVEATYPYNTNNITL TTNADDRVFADETETTSDPVLEYVMLGDSLADGLFGWVTIAVNVSATYDPNYSFVYTA SGGVAESGGDVTVDGGGSTNGTAAPSGAVPSGV LY89DRAFT_737305 MRPSQIFRSGGSAEVGKYGKYLGGEWGALGSQTQKGVVSYGLSA NRQRPLAGTLNAAIFNTWRRFRHQVLYFAPPLIIAYVTMEWAIERNEYLNSKAGRLEF GEEE LY89DRAFT_687493 MANFLRGVYSSAIPLGIAAALVQSSIYDVKGGTRAVIFDRLSGV KDTVVNEGTHFLIPWLQKSIIYDVRTKPRNISTTTGSKDLQMVSLTLRVLHRPEVQQL PKIYQNLGQDYDERVLPSIGNEVLKSIVAQFDAAELITQREAVSNRIRSDLLKRAQEF NIALEDVSITHMTFGKEFTKAVEQKQIAQQDAERARFIVEKAEQERQANVIRAEGEAE SAETISKAVAKAGDGLIMIRRIEASREIAQTLASNPNVTYLPGGGEGGKDGGKFLLGL R LY89DRAFT_785055 MFLTPLSAFLPYPFAGGTATRISGGVFTSPINSISYAPNTLDLF GLGTNSAAYHKSYNNVAVWSGWNSLGGIFTSNLVASTWGDNEIDVFGRGTDNAMWWNH WNGVFTSEPSSVSWGPGRIDVFGIGTDGAMYHKYYDSGVWSTTWENLGGVLLSAPTPV SWGPDRLDIFTIGTDDAVWHKYWTGSAWGGWERLG LY89DRAFT_709169 MAKEKSERRDKKEKKEKRSDSDGVKKPKKDKKSKLNGDNIAAAV EVVTKVKPAKADSEEPEETTKALAVKDTSDELAVKPVGALVPFANPLADDKVAKKVLK SVKKAAKNKTLKRGVKEVVKALRKSPAGTSSTSAVGVVILAADISPMDVISHIPVLCE DHNVPYIFVTSRAELGAAGNTKRPTSVVMVSEARSGNKKAEKIEGDEEFADVYKDLVK VVEKESRSVKV LY89DRAFT_721365 MSQHLGEPSLRHRSRQVDYASIYKTLTAHEVRERGEAKEEADLE RDISLINSWIKLVDVEALEHNLKIACFNGEINSFFVEPLEILFRNVNRLIWQRRHTSE DVFTTENTDATYLPLLRWILLSHSNINVWNNFARQASKSLIKPTRILGESDSIKYPLD ALEELHDALLYTLKILDENEDFEKQGGPWMTDLLHLPRPLRESELYSTFHTQNWHYMI LSPARLRIQLVEAHDIIHILIDERDNEARESRTSREGIYQSLLGMENPGNMPSNNSAR QNYRQAAARSPKSDVAANESNSSRAQSRELETSTSAPKASELNIDDKYAQLINAIDVA TQKLHSLTAQLDSANHRGLDRGHLADSQAESYQVDPSSHHSTYSLHERPGEPRIEIPR ASTETEIKNTSQETEKELRAQQESVGKMSATDNSPSRNEDINEGESPASKSAFTCRPT SLENDGESPGLGSTCTLTGTTPSGSSRDEEDECSSIIYNPQEECSDASSSVHSDAEDD GNERGRPTSPRSITHTEGSN LY89DRAFT_651494 MATTTITEKLASTTIADKAPVDPQPYDYSKLERYVHPPETEEKL NWAELVTLDLEDYNREGGKERLAKQLEHAVHHVGFFYVKNYGLSQEEVDDQFTLAKHF FALPVDKKAKYECNYAAADYNGWRRNGRRLDHRGFDNVEIYNIPKFTKDFEGEYGQPD LLKAHLPEIEHFSKTLHNNVVLPLLRLFAIILQLPDEDYLVNQHSYEKKSEDHFRYML YRKRTEEQWEASGGGLNNGHTDLGTVTLLFRQPIAGLQILGEDGNWTWVSAQPGTITV NLADTISHLTGGWLKSSVHRVVTPPRDQWAYDRTGLLYFARPHNETVLNPILDSPVLQ KAGVKPRFEKPVTMEEWVKAKQTLQLNPEIAAKRWAEAGDGTVEVLAGFRDRKYKA LY89DRAFT_651496 MAEIPEATSFTPPAVSNDEKHQLSFQGHSNPKDQDSSRSSQLTN SPKSWEKSGFKAKSHRTWRNIQRYIWDDPDKPAVEKKFLLKLDFFLLSYTCLGYFCKN LDQANISNAYVSGMKEALHMGGNELTYMSNVFTAGYVVGQLPAVILATKIRPSILVST LEILWAVFTFCCAAVKTVPQLYALRFLVGLCEGAFFPVIIYVISSWYTKVERGKRVTL FYSTATMAGMFSGYLQAGAYKGLNGKLGHEGWQWLYIICGIISLPVGIIGYFFNPDFP ENTRAFYLSPSETELARQRLLRDGYKPLGASAWDRKKIFRIMAQWQFWVLPLGYFFVQ SSFPNAQPAFALYLKATGRSVYEINVWPTGQAAVGVVVQIAAGMLSDSPLLNGRRWQA ITFMQAGTFIGAIIIVVWNVPEGARFFAYYISYMCAGVPGIYYSWFPELMPHDHEMRG FLTAFINIASYVNQIWVADAVWRTVEAPRFRPGFIFASVTGVCLVVLSLGLHFLEKRD VKKRAVVESEAGRVDVESPLESEKL LY89DRAFT_651501 MGDPRESSSYSITPRIRYNTIGGVNGPLVILENVKFPRYNEIVT LTLPDGTERSGQVLEARGDRAVVQVFEGTSGIDVKKSKVEFTGQSLKLGVSEDMLGRI FDGSGRAIDKGPKVLAEDYLDINGSPINPYSRVYPEEMIATGISAIDTMNSIARGQKI PIFSASGLPHNEIAAQICRQAGLVQKQGVTNKGTHDGHEENFSIVFAAMGVNLETARF FTKDFEQNGSLERVTLFLNLANDPTIERIITPRLALTTAEYYAYQLEKHVLVILTDLT AYCDALREVSAAREEVPGRRGYPGYMYTDLSTIYERAGRVQGRNGSITQIPILTMPNE DITHPIPDLTGYITEGQIYIDRQLDNRGIYPPINVLPSLSRLMKSAIGEKHTRKDHGD VSNQLYAKYAIGRDAASMKAVVGEEALSSEDKLSLEFLEKFERTFIAQGMYESRTIYE SLDQAWSLLRIYPKELLNRIPQKILAEFYQRSAADRKGKGKGNKDTKDNSEDAKANGN GQEENLIDA LY89DRAFT_721369 MRSSIVAAIALSISPALAGLMPRQIPGEVLTGTVGNDTIAGDSC GPNPDGKYTIYGTNIRANFIPYGASISNLFLNDTSGIERDVVGGFDNASYYSIDRQHP HFGGIPGRYANRIKNSTFEIDGTTYHVLPNENPTPEAPLGADTLHGGPDGWDWRNWTV VAHTMDSITFSLTDPDGMEGFPGEVVAYVTYTLGNMTWDFKMVAIATTKKTPIMLSSH TYWNLDGFANNETALALNHSLWLPYGGQRVGADNILIPTGDILANQQGGLNDFWSTPK QIGANFTNPDLNGNCGFNCTGYDTCYLTNRDQLGPYDWREKGPVARLRSAWSGIQVDV FTDQEAFQIYSCGGQNGSMALKETQGLFNVSDRPRTIPQYGCIVMEVEDWIDGINQPE WGRKQIFGPGDEPYVLQASYQFSLNSTAVGLLGVGSG LY89DRAFT_737314 MATSTEDLEAIIKAALLEASNTLVYTLTDDENHEAQGFILKQKS YYDLKLYVSEGITFPQNQASFDIQYPPTNTIKLAQKDPLILKELLTAGIAIYGHTSNF VNNHLSKFVLVTNQIVTYCDLFARYINGKGMMRDSLAVLVKEDSTDAQKQKSTKIIAL CVVTLNTESAKVHAAIIDLLNAMIQLRTETTNDKALVDVIDDKFHGKGVLQPDGKTYK PLVDLLGADMKTAIERGQELLKDYNREYAEWKHATIVASTSVSYAWIWPIGTLTAGAI AGVYGAKAVAAKEAYERAEKDIQQNNTDMAGLVESVKVVGSVIALLSGIIGKMNGAIT ALQALERTFGEQDRQLQKMKGELDAAVTHSDYGPIGEAFVNADLEEVAKAWVIVRMLA MNFKDTDVKVDGRPGIAVV LY89DRAFT_157277 MSLYQLLDTRLRFYDDSEELVRKTESFGSTLLMRAPEINLANAL SLLTVDQLNSITIEVYADVIALGDLVLPPSCSSVLLVARVIQTSNPVPKFKISRLPFL LRVYTLARGINLILDLETSRGITSSQIEFPKDSWGSGLSLAFNEPIKYYVNLDRLDGF RSLSVVDQLTDDGVLSSVWLQLRNNHLPRLLQYQILLASSMTASNPSVAYDMVYFVLA ATAQQPKGFLIRSQAFSMAAYLIANTNDQTSRYVPRIDLSTAEIVLNKRLDAAQAFET AFITFQGQQDVSANFTSIASTTLDKAIDAQAQYNFLADLAESRYNSALAALKRAENNF QSLQTSLVPLQEAFKKGIEKWKKEQTLKAVGDALLAVVAVVGSIAAAVVVPPAGAAGL AAAGAAVPAAISGASSLAGTIKKIADLITKLKDLFTKIGPILDTVYKLVKGIEKLVQT LSAISPGDDAANLSLPASMGDDAINLSADWDAFDVQVQALMDP LY89DRAFT_709173 MPEPETTTTTTTTVTGRLRKASITAADAILNFNPQPGMWAATGT AIAYAPTLTELREPLAGGENIEFNEHGHSARTVMKDEGGIPVLSLTRTATATATQVVQ PEDLEKQGTGTGPPQRRQTLAQQAETEEKHNWKETLGNGFKAAWRFVSSPTGFLMTIY GLNIVAWGAMLFFVLLGAAPAMNHPSKDALNSPRKKWIEIDSQILNALFCVTGFGLAP WRFRDLYWLIRARRMRNRYAMKRLAEQNKAWFRPPAWYFDGEGGEERGVTFTGEYAPA TRMWKLAFVVWMMVWNTLFQAVLAAMMWGFNRFNRPTWSTGTFIGLGCGVSLMAGLMM WWEGRKVKKIEGPAVKIVEREAQTGHFKGGGVAVGERRDVGVRGGG LY89DRAFT_157321 MATTQEENLSGFRNSNEHFTAEARINTDGRINIHVTDKALKLTK YLAKRAKYSHGQAPLGQDLTPNNREYENNFQAVLGKYKDAPKLNIAIHIVGSRGDVQP FIAVAKELMRPPYGHRVRICTHPVFKAFVEENGIEFFSIGGDPATLMAYMVKNPGLMP GMESLKAGDVGKRRADIAEILEGCWRGCIEPGDGMLGSKKSSSTMSREEETDRLFIAD AIIANPPSYGHIHCAEKLGIPLHMMFTQRASCSVRTNMLTLFSMPWSPTQYFPHPLAS LDRNEADPNFANYISYTMMELLAWQGLGDVINGFRVKTLHLDPISPLWGHMLLSRMKV PFTYAWSPALIPKPPDWGSHINISGFAFLNQASTYTPPEDLLTFLKAGPPPVYIGFGS IVVDDPNKLTKMIFGAVKRAGVRALVSKGWGGLGDGDVPEGVFLLGNVPHDWLFNYVS AVVHHGGAGTTAIGIAMGKPTVVVPFFGDQPFWGAMIHRAGAGPEPVPFKKMTEENLA HAIVTALGPDVQTSVKQMSEQIAQENGAKTAAESFHQAVNLDTMRCLMRPDHVAVWRV KGTNIRLGIMAAAVLLDAQIVRLPNLKLIRHRDWYVDEGAGDPIQGFLAVASGTVTNS LTLIFDYSRSLSRTVHRPPTPPKIETDSSSLSDPRPLALRTNSLEYVPTRNPVQKAAH FSPEHLDYVALKMASKTLKSTTDKQKNKRTYSWSPAQRQVSLLHHGSSKQVHYHGRLH DASVETGHFAYAMGATALRVPVAFFYNLANGFHNAPSFFLSDPTVRRRDNITGFGSGL KVASKEVLYGTLDSFTGLITLPVRGAKKSGPWGFVKGVGKGVAAFPMKITAAALGIPG YGLKGLEKQLEKRYSRGLKAGLLVVRIKQGIVAFERSSEAEREEVRRRWRELEGAS LY89DRAFT_622188 MALNLSWIWNGEPSYLYIALVLFAAGALTWLFSSPKSDPREPPI LRPRIPIIGHLLGLAIDQAEYLQKLSARTTHPIFTLRIFSGRIYVINSPTLVQAVYKA PKSFSFDPIFVDASKSIFGLSDEHMAIITKRDPDADPADGFPLSRATQAVMHATMATG RPLLEMNARALNTFARFLDTIEGSKSVKLYSWLFHTFTVATAEALYGPNNPISLNRKL VTSLLDFEAAIGLLYLNVLPSITASKGYQARTLFATEFKKYYDTGRDKDAAAIIQGRK RVLTGGGYSTDDLASFDIGMLVASTMNSNPALFWLLIHIYSTPSLLSSIRAEIQNITT LKGANRTAEINISALNTQCPLLVSTWQETLRITDATVSSRVVVNDTLLDDTYLLKKGA VIQMACGPMHTSRSIWGEDSTSFNAARFTKFNEDHLDKSTRKLRKQGFVPFGGGTVLC PGRYFASTEIMGVVATVVLGYDIVGKDGGKLVVPSVKKQKMSVQVKQPESDVEVVITR RREWEGVRWGFDVGAGAEEQDLFLG LY89DRAFT_687501 MSIYANNPLLSRHSHIRLLRILSLSKSPLGRVTCAFGTFDINSA PEYQALSYEWGPTSPTMVIDVMGQPARIRSNLWNFLSRLRSHGYEDYLWCDAICIDQS NDYERNHQVQLMSQIYRKAHSVLVWLGEEDQYSGITLRSIGLLSSFKQDALRQPHLSE RAKIWQGLVALSRRRYWTRIWIVQEITVARDLQLFCGNETVQWSAFATACKFPPDQLT PWTAELWTPLTVEDDRKQRLRRSRGREIHHSTMYGLIKSQKRWPKFVESFETLFVRYQ DSGCEDPRDRIFALLSISKEVVLERGFNADYKLNMEDTFLSLMAWGATGPVKIDSRLE FASLVVESMDLRWPSYKLESTLWFESQRSWSYLKWIQQPLVMTVRCQRFGKWPLYNQR SKGRGLDTISDSDDEDYGIRSWPLEICSELFEYDHGDRHELDLFGFARSDICLICIPA ANRRRAWTVVARPSYQFLSHNKQTSGKAEKRKDRELAPTVFEGLNIVEDTSERFVLEL KNVAQFMEILLTDRRPLPLSSTIALGGGRAGGSLAAPTNDNTRGLNVVLKALRIVSPI TLTAEEELATSEEDSENESTLTDWKSLVAESEVLAKVKIQKPPIDKPKLSHKLNVDPF SDYY LY89DRAFT_157366 MAEDHINTAFGALGALDVLVQRCMQGFALWQRIDNVTESIETFK IRLDLYCAKLAFWAQEWGIEKNQHIKDRKFRTYESVAMNYLKLIYRLTHGLSGFDSAL PSLSTAHNLTAMDSLRRIYQLGSISLEPHDSDRADATSTGFESASERLKWALQEEKLT ERLILLETLIQDLYVFFPPPYSDPAGVIVLGTSLASQDAATLARTSHAIDSTSLHAGL AWLKSMAYRTQAAPYGLGSRTTELKEGKLVVQSREQNESRFIASYDGSYVFVEQKNST TPRTDTSQRRLLDARIKNIVLRLQDPLKPTELRTLPCQGIIESPKMTDETFTFTYSIV YKVDVPHFFSLQNILSQSQKSKQEIQHVRDCLPLGRRFVVAQTLARAVMYLHFADWLH KAIRSDNILFFAENLASLGSALPYLVGFEYSRPDAHGEQTENVVDKKGHKFYRHPKAH AVPVADLQQPLGGAGRYSKVYDIYSLGVILMELGLFKSAKRIVAESMDSNTDPTPEDI RNALVYRAVPKLKFTMGEIYAKVTCICLNEYFDDIDRGALDEVFYTNVVRRLELCNA LY89DRAFT_753994 MAYHSDGDYGTLDYHTNGIMACNSADHTADDDATHNDQFDVMRF MSVIVRPEDKSTQKWNSSVLLSIHLGPWNLFLPFTPYCGASFSVTLIPRRYVLRQTAV SLDFGPSLPELVALKTPRLGQDGKRNAQLFRSIAKEYQILQSESLKHHENIISVYGCC WQSLDIYAGLPIPCLLLEGSEFGDLLNFSRTRELTLRERLRICIHVTSGLQAIHSIGI VHGDLKPQNILIFHSTERGYTAKIADFGSAIVLGVTTLPSKRPIGTILYSAPECYHND VTLGREELFKTDIFSLGIVLSALIQGMYVLEEMKKLSCSRLESLKKEGELHHWLIALS LRDSKNLATQDNIESADNGKGWEADPAFDEDSILADEQIWATFVCLLKGTLAAQATQR FASEETILSILRNLLCSHLRRTLGRRETASGAISTEPTPRWWSRMSSVLDISKARLRK VTGAAIPSPIRLDPQEIEAISLRYLLGKSSNNFFRVSQFIRQHTTNKQPRRCRSSKWR WRARRSLARRRQTRASFCQEADTRLIYRMTEIAKAWIKNQLVTPTELKIIGTVKKIFK VTQDSNLFWLLPLPVKTLLEDRLLHEATNSTISKDDQAEAGYEYAVMVINSVGLSAPR LVKALEILAQSAKIGHIEARSTCGRLHEVFGYSSPIPRKVEIEWLLAASEQGSLIAQE RLQALDPKLFNQAIQNSRCRYGVVCPKLVKLLNEQYQCAMESGDPSEILFGHIHCLAS TGNIQLLLRLPNLPRSVYNCVNCIGETPLLIACRGGHATTAALLLERGSDPRIPTKDG VTALHFLSAFDNKHIPKIAALLLKHGAELDKLSGSALIYKEMADSPFGVAEGTPLLWA VAARNPCATQVLVDKGANPFKRIQKSSNVREHGFEASPIGFGAMFHQYQLLKILLSYA KTNTQRLDLRRLLNTSFSRSHNTFTTALPIAIDCNPSFRFREYLIHGKDFEQIPVKCV QLLIEYGADPMILSHGMHGKGDHPITIACISGNISILEYLWDYKNGSLRPTPILWLSS LQQVIFGHHRLIFDFLIEHRGDIAPDPAVDKAAVEKCFLNTNDQHFVMRSLKLILQPT SADLSSDHTELFEVAVTTGQLEAAKLLFQDGGVHLTGRLVDSTILGNLISVSSNYPNM EQKVAFILSLIPNRDELFWNVSYLDGSGLTALQAIVFSSTNGGRMNAGVFCNILDHFN DRQYLNSQVKGAARQKYTEFTALHLAAQCGNSDAVTMLLHNPMVNANLLNSHGESPAD ICVAREQEFARRGKFQPHGSVANNERQDNLQILRDLMSAGGRISKFSTIIGTPAQDDY DVESVVNRLQDIFISGMFCHETHHLRYKAKKIN LY89DRAFT_651518 MSSPVKLTSTVGVSKDHLEYGSFRKWLKPIRVRATVHPDLSTAP REVGEAFGFIIRREAIRQTFHRSMEPPHKETMDLAFTLFDRYGRLNKEIIDHTIRKGT GWWNEEVDHGNIVLIENVNVDKEWRRQGVGRKLVLQLLEQAMASRYNPKFAFARPAAF YDRTNREEKQGQTEERNRALHQSKITAVTSFFRSMQFRRVGVTEWFALARDEKHPSRQ LLCIEDSDPVLDDSSDSDSDDEPEVILCGFSMNQDGTSPKFTQNRLSKSDAASQGLEA LFKSNGATRDEARHTSAAILKRRHPLHYAIKVLTDTDCLGFLQSHTRNSSAENFDIEA INGHGDTVLHLAAKASKSACLSWIMDSSSGVRLASMRNYEGYTPLEALKAQLECERIS EPYSASRTKCVADKFDGFDDSSIACLLKLIGLEAPTAEQRGMAKFGCSCGQCVAGFLS PRMIKKLRDEADVLYDLLTHLGSTEDGESWYLDFKDTLVHLPDRLQPHFQRNKILRNV FTELVGTVAKCLAEKVVPHRASVVNSLRETPIWSQIDGYYFQKGGTVAAAVNIVIDNA KEHDTEAGTPLYEVADNDSLSELPNCRNDNEFEFVRRHCIDNKPPRTDLEDIGSPVDM PWLTQMARMMLLGQDPFDHIG LY89DRAFT_672563 MTKVNANIWKTLLRLLVFASLGQAQYFNATPPTLPPSIAVQQAA NKSTGNPGFCPGGTKFFPQPIDHATFNGDYNAPNATFLQQYNLNDTFYKPGGPIFFLQ GTENSVFTCPEYSIGLKWAQKFNGLMVGIEHRYFGMSCPYGLNYSENANWDSSLLAPL TLENALRDAVSLLAWIKTVAYPTAKDSKVIMYSGSYGGTLATLFQVHYPDYIYASIAE SSPIRGLISDPNDPLAFGIGDWNMVFNDYSAEAATTIQQGMMELTNMGTKVRAEIRTK HKEGIRRLFKFAKIGLQQLQGYYHLGDSTVRKILLYDVPERARPTRTGRPRESLNEQE SQILYSDEVTFQVGGKKCKQRCIRNKKERCHPDCIQFQMHRGGTIPVHFFGAVGYGYK SPLINIHGTGKSGAFTQTDYLAQVLKPYIQDFLAAFAAVLGPGKTPQFMEDGNSAHGH KTTSNICATWRTSMGITLFPHPAVSPDMNPIEKCWRRIKQALHRRLRQPTTEVQMVVA VLEEWDKIPQEWINGLIEQQDFWSSDLLTAILKTYASIVQGNYASTKYPFQQTLNATL AANISLSAISSAISISNTVNKAPCLDWNPSYSTSGTEGRAFTWLRCTQIPYPNPFSTA ETIWGPILPDYTQAHLMDPYCQAAFNMSSIDGGAALQRKLGLDQYTLENTERLLMTEH LLDPIAAYGPLSWNPGSSRQRSRIMYIGQSAHAETLQPPARTDSEALVMARIYISNSI AEWLS LY89DRAFT_754000 MLSSTPEAALLDRWLCFAGPKGPDNAAIHSIGEWVSLTPHLIAK SVPVRWAATCLLDSIQAFVKRGDQNHATAVESNAKALQCIRNGLGSSKSRPCKSDVML AIKLLFVAEIFIDSDAGNYYRHMKGLNDILCDAFRQQSAYDEACQQIFYGNILGECIA AMRSGHDSKFYGSSWFDMSPPKTLVPGCPNFESAMKLVMQLQIEMTHFIRIVRETGER PNDNTVRQEAIELATKLYQLNLESLINELIATSMLEIISSSMTEVKLLFATSYTFSST RIFNLVLNYWICRVLICGGIEILYSFLHFDEQRLNLATVQQHDVRTAEEIVMCFEYAY TYESQLCIMAMRFIMPLQTAFGAWHRLEKRALGYEYKEEDAQRAMEMKKWCISMCNKM GALFGQGRAMCAFEEMKTETLAGGPLFFGGILRPED LY89DRAFT_721378 MSYMGMQLACYAASHMKNYPRTGTKPKTSEQENTNEYFDNQNME RKYLFHVFEDHAPSAIQSLDSSVILSCDHISNLKWSFNQVHFTAAASAVFLLLALVRA YYLHGSSIKVKRNSLGALKLVTTTILLGLKIAILILISIHHATPTWLISASLAVAEIL AICYLSHQEHKRSIRPSALLIIYLLVSIFCEAAHIRVFTSKDIITTATALYAAALGMK ILLLILESTSKASFLRPPFNQLSPESTAGIFNQLLFWWVNPVLMQGYQNILVIEDLPN LNDALGTAHVRDAMQQSWDTRPQPDNKWTLLLTILRCLRWPLSAVIIPHLCLIGFKFS SPSLISSAIRYVNEPATEISDQNTGQVLIIAAILIYTGIALCTASYKYLLNRVSTMLR GGMVSLIYNHSLLLQDGKTVDSAPVTLMSTDVDTVADAITNVHEIWGQVVEVVIGFSL LTVKLGWASLVPFVIVLISYKITGPVATEIRARQKVYMAATQKRVAMTSSMLGTIKVL KMMGLSDTVQDSLQSQRLLEIQLQKRFRWVFVALNLIGNGVPIITPAATFIVYAIVAT IRGSDPLDTNLAFTSMAIISLASLPAARLITIVPGLAAALACLDRIQTFLLDPSRDDE RFVVEKSQGPNKAIYRDDSSSPSQEPLIDIEPSLSIDQFTVKPSPEAQAALVDINIEV ESSTVTMIAGPVGSGKTTLLKAILGELPFTGNITISTGHIAYCAQTPWMQNGSIRQNI TGFSNALEIDEHWFRTVAHACALDEDMSLLPDGADTLIGSRGVTLSGGQKHRVALARA VYQRFEIVLLDDVLSALDSNTEAQVVSRLLTPTGLFRKLGTTIVLATHSTHHFHLADQ IVVLGSGGRVAERGTYDALRSEEGYIGSLLLESAKTSEQSSPPQTDAITKTLQSSTPP VFVDQTRKTGDMAVYAYWFKNTNPWNIVSFLLSAACYSGFKSFSQFWLKWWAEDGTHM GRYASVYATLSIVTLLFESITIAWVLLRIGPQVSEKLHHTLLGVVMRAPISFFATVDT GVTLSRFSQDMNLIDRILPGSAMNIGIRVFRLIAQGFLLFSAQAYMTTTIPVCIIAVY ILQRVYLSTSRQVRLLGLESRAPLYSQFMETLEGLATIRAFGWEHRLVATNTERLDVS QRPYYLMFCIQRWLNLVLDLLVAGLAVLVITLAVKLRSTTTGGQVGIALNAVMNFNQL LLRLVENWTQVETSLGAISRLKNFEIEVVSEDKPEENVIPPESWPESGAIEFRNVCAS YGSSILALRDVSMKILPGQKVGICGRTGSGKSSLLSTLLRFLDLDSGTIIIDGYDTRF VPRNLIRTRLTAIPQDPFIMNSSIRANIDHSLLIPDTQLIATLEKVDLWSVIEARGGL DAVATAQPLSQGQQQLFCLARAMLSKTSILILDEATSSVDMETEKKIRKVVEEEFQGR TVITVAHRIEAILDGDIVAVLDAGQLVEFGSPEALLQNKGPFWALKTGGMS LY89DRAFT_651522 MESFEYNAAPARVIFGSGTLSKLADEVERQKLSAPLLLSTPQQV DQVTDLKDRLNGKIAGIFTEATMHTPLEITEKALDYAKSTNADSVISIGGGSTIGLGK AISVRTGLPHICIPTTYAGSEMTPILGETQNGRKTTRNDPKILPGTVIYDVDLTMTLP ASMSASSGVNAIAHSVEALYARNGNPIISLLALEGVKALASSLPTIIESPLDKEARQL ALYGAWLCGTCLGAVGMSLHHKLCHTLGGSFNMPHAETHTIILPHAIAYNAPAIPEVM KRLAETLPGSDGDAIKGLNVLLKRLKVKRGLREFGLKEEDVDEAATIAVSNPYWNPRA IERDPIRELLRRAWAGEDAKADL LY89DRAFT_737330 MGAGYAVIQIILLFLQSTFVIPSVISPSFGCQLEFEFIQQSLPS PVFASSEIDGLNLNITVPSSIPSGAKLPVFVFIRGGGFIIGGNSWPQYNTAKLVEMAV EQNKPIIAININYRVGVFGFLTSEELRNAGYTRNNGLRD LY89DRAFT_754011 MYLVPHSTRCYWTIRFEYSASHGARARQWALPTSKEKQLGTSNQ ERNIAHALQSQEKGIIILYGSQTGTGEDYSRRFSRKLHEDYGISSIVADIEEFDASSL FNVAATTDTKPMVCFILATYGDGEPTDNAATFYEDLCAYESEDHDGTPPLQGLQYFAF GLGNSTYEKYNFMVRSFDQHLQKLGGSRIGPVGEGDDGTGSLDEDFLTWRDDTLSEVA STLGIEKHRGPFLSPYSTAETTELDSKSSEVYLGERTAIQLKNKGLPVGPFHAKNPYL APLAYSKQLFALTCGRHCLHLEFDVTGTNLEYDTGDHLGIWPVNPEVEVQRLLRILNL AGKGNTVITVSTDADSGVPVFVGQPTTYATILRHRLEICGKVTREICSTLAEMAPAAN AKTYLLQLAGDKNLFHLEITNRCLNIGQFLEMVSKSQPWPLLPFSFLIATVPSLQPRY YSISSSSQASPNRISITAAVKDEFLGGKDATRFLGLTTNYLRALTESDISGVLNSSGK YQLPSQRIESTPVRAALHVRQSHFRLPKTPETPIIMIGPGTGVAPFRAFLQDRVFAKQ QLQQLGKSILFTGCRRRDEDYIYAEEWRDLEKALGSDFQIHTAFSREGREKVYVQHVM MAEQGGLFDMICNKGAWIYICGDAAHMAKDVHSTLIDIVAKGKSIDRAEAAQLIAGLR ESGRIHEDVW LY89DRAFT_721381 MLKHLTLNATLQGIQSSHNNIPLQHYRGIRYATIPGRFKKATAI DTWDNADIDCTRFGPNCPQNYVDVGYLLRIPESQDDVLNIAQDEFDCLSINVTTPLAE TTPPTTLLPVIVWIHGGSQVVSFPPPSHKVSDPSKIVSQSAAIGKPVIFVTFNYRLNI FAFGDGNEVNLALKDQRLAIDWVTKHIAGFGGDKDNITLCGESAGAVYAHAHLCTSAP VKCGILQSGSLYLSPPLPTTRGTAFITSIDQQLRERSGQGLKDAPVADVLRQLAISNV NTLYLQEEPQLKGWEDRLTDVPELLIGDVEFESVIWRAGVESMTASEITAAFTHPKDP TLSQALLQAYHISPTRLAASRAGALDFLTDARFAWPSRTIANARSADALKTFRYVFDQ PSPFQASARAHHGVDLLYLFEAYSDTFLTEGQRILGNVVRDKWIAFANGEAPWNESNC FAFGPFGLSAEIDNSGLGERRRVKAWDVLEKTEPDELVRIFFSLAGGRISLLN LY89DRAFT_687505 MIPAQANPSERKSDMQTGVSANCLKELNPKENLCDKLHLIVHVS SFTDHRRNSQESSDMATPSRRIITHPNGQTTHVFDDDFTDPWIPRETIVIQHGFARHG AFWYHWVPFLARRYRVIRRDARGHGRSSYPRPGDNYDYSVETVVGEIIDTLDQLRLSK VHFLGESTSGFVGEILAAKHPERLHSLIICSSPAYLPDAALSLFSFGHSSWPAACRTL GSRGWAEALSKVPGTVSIPDPAYIQWWIDQVALSTGEGLAGYAEFLSKADARPYLEKI RVPMLILAPARSAATKLEEQRAIQAQVVNSQLVVIDGQGHEIYVEMAEECQKAVDTFL SGLSR LY89DRAFT_709181 MPFGILDSNRLEHVPGTSLLDKVFDSSNIVSSNLKRGTGKNAHI VIGPLLSASAFILLQEFQVGFTQITLLTGYHLCAVGAAGIFISTLCYKYGKRPGFLFS MTFAFISTVLGGASQSYDSLLGARIVQGFGVSMFESVMFAVIGDLYYVHKRGSRVAAL TIAISGLANVPAWLSGVTTQNLGWRWMFWLLAIFMGIALVAVILFGWETAFNRAAIYN TDTSSSNEITHKEKIPKADLAAKENLVPRISFAKRLLPFNGTYSSNSIPLMLIRPFTI LANPA LY89DRAFT_737335 MQALGNEIDTMETAGKISNPVTFAETQQMPYLQAVIKEALRMHP ATGLPLGRVVPPGGKLIAGYAFPEGTIVGINSWVAHANPKVFGEDATVFRPERWLESK EKSVMLDKYFFSFGMGSRTCIGKNISLLEMSKLVPQLIRNFDNSLEEPDQAWKTTNGC NTHPFSSPQLNLRLTHCHIKSDRTAYSGDVLGVEVCTPLLAPYPDWNGKHEKSNFDAS NGVEDACGTPSSDLVVYAN LY89DRAFT_721384 MGVLQDIRLTPLAHPAVLLLVPVTLVLAFYFYSVPNVLKDSRRR HLPPGPRGLPFIGNLFDLADSDLVRGKVQGWAREYGDIFHTKIGGSDYIWLSSPKVVK DLMDKKSAIYSSRPPLPLAQDVASSGRRQLFMQYGPDWRKLRKYSHALLNATTAIAYQ PIQNYESKQLLVDLLDTPERFYEHNRRYSASVIMLVTYGYRLSDWEDPLIKDIYAVLD NLTEMTAPGAHAVDSFPSLAFLPQFLLGNWRTFGKKVSEHDSKIYMKLWDRLKREVDA GTAKDCFCKTFYLNDPQKQGIDDLLAAYTCGGLVEAGSETTSTTLNNFILAMTLFPDV VKKAQEEIDRVVGNQRLPEYEDEKDLPYIRALVKETLRWRAVNKFGMMHATSEDDWYE GYFIPKGSVAVLNWWAIHMNPDLHPNPEVFDPTRYLNKLLSAAEYVNANDPYSRDHFT YGAGRRVCPGIHVAEKSLYINMVRTLWGFNITKKKGPNGPIEPDTRMIRGFLSVPYPF ECSIIPRSEIHVATIKKAFQDAGEL LY89DRAFT_699219 MTTYKFDVSELQKLKGKTILITGASTGIGRATAQIAHASGANIV IGDWNVDEGRALVEELKQKVLFQKTDVTKWEDVLALFEAAHQRFGVIHAVLSNAGINS ENLLDTEFDKETGALLPPNLKSLDVNLVGTLYMVKVALYYFEKWPQIQSQIVLTASAA SFIDTPPLHVYCAGKAGVLGLMRSLRTQVIKKNATINVIAPWLTVTPMLLPALLDIWG TLPANKPAGVAHALLLPVVRPDVNGKAFFVAGHEIVDFEDKLHETQPLWMGKQLSEDV DEGQRRLIP LY89DRAFT_709184 MAISNSRELPPSRPNQTFVSVSPIDGGSITLPERFFVSPSDPDA KSTVPSLAFLITHPDSQRDGSQVRLMFDLGLRSVMENYMPSQQKHLKDGRVPYLLGPG VAARLADAGLNSNSDVDLVILSHVHYDHHGDPRDFSNSTFILGPGSLAVLEGKGTAKG SHQHFDSDLLSQNHTVELPPPQAVLRSAWKPIGPFPSAIDLFDDGSVYVIDSPGHLPG HLNLLCRTGPSDWILLGGDTCHDMRLLTGEREIGFWEDASGNSICIHADSVAAKESIA RVRQLSELAQSGDSTVTVIMAHDLEWYNFNRQKFFPNSV LY89DRAFT_651541 MSYPKNVGFIGLGVMGYPMAQNLAAKLPQSTRIYVFDVATQVME NLVKEYPGKITACQSSKEITSKCEVILTMVPEGAHVKSVFLAPDTGVLATDISGKIFI EMSTIDTATSEDVRGKVAAASPSALFYDAPVSGGSLGAQNATLTFMLGCSEEDPNWPL LKSLLGLVGKSIFPCGGPSLGLIAKLSNNYCSGLIAIATAEAMNIGMKSGMNPALLAS IFATSTAQSTISDKWNPVPGVCPTAPASNGYRGGFKVQLMRKDFALAMDAAKRVNARL ALGEAGLQTYTDASNDPACRDLDSRVVFRYLGGSEEWKKEGSS LY89DRAFT_709186 MTTSKSAPGLKSVIVVGAGPAGLLMSLLLAKQGVPVQLLDAGST LDKQPRATHYGPPAVYELARAGIAEEVRARGFTPKTVCWRKKDGTYLAGLDGSILDGT PDRMVCLPLDRLGEILHKHILEQPTVDLKWNHKVTGLGQDEQKAWVDIETPEGPKRLE GDYVIGCDGANSQIRRSLFGDTNFPGRTWDEQIVATNTYYDFHKYGYEDANFIIDHEH WHMASRITNDGLWRVTYGEKPGQTREQLFERLPAKFEKILPGHPKPEQYKVVNFSPYK VHQRLTEKMRVGRFLLAADAAHLCNPFGGLGLTGGIVDVGGLFDCLIGIHNGVANDSI LDIYDEIRRQKYLEIIDPISSENIRRLFGQDPDTVLETDEFLKLCKKTETDEAFSREF QNGINGIKYDFTQHYLKKELENGNSGVGLVVA LY89DRAFT_563567 AHKTLFDTGLNVRYEVVGKAYVDRSLANGSSPFARPMQELVTEA CWGSVWARPGLERQYRTLLNIATLCALNRGPELAV LY89DRAFT_785082 MTSLDKTTAFTSTAISDSNSIRIAEGPQKPHRQYSCPICQKVFK RSEHCLRHVRGHTREKPFSCRFCGRRYSRKDLVSRHEKSFHPLGSLEHNINNSIDTNT GAHQNNVEEEGNSILPDVGYHTLSPGVDEEYDQRPDARFSQNTQNTDTSPDKSMEHIT QDTQQPQSDTQEPRQSNIADETTQSSLPPHTETNSTAQDGYLGNSPTHAQLDLSDLRS PYRQSQLQDFAFPSSTSVPLGTAQQSHLSGSYLGDDGQVNANRPSSDQLDSIDFNAHS AYDNHLPNLPDGIDFFSMLPNGATNIDMDSAISNYLFNSGYSPTIDNDMARPLGDSNS SVTSQLAQDSHDLLLPSTDPTELRNAPGSFARLPTVIDQKPRNVNIPILDATAHFNII ADVRRRLSPEQFSQFQLPTAQKLQQYLTSYFTCFHHHLPILHLPSLDMRMPYVPLVLA VSAIGALYRLNRKVARLLWQWAEMMVEPALQRDPSDLMAPCLTEAVQSRLLLSLYAVF SGDTKDVVSAIERSGFWATEYRIRRCALNRDSLLTQPTSWLEWTTRETSKRLLCGIFT LNSLLTVTYDTTPNVSLTQDLNIEMPADEGWWEAKDAQQWQHAVDASDLHDRVTISKA LTQLVYGDDADDDDGDVNPNRFTRASITWSGFATTVIMHAVGLHMWSIMQCSHSVTGI TALSSPSWAPMYARIQYSLARCHALVVANAQRDQEPHSEKGAVIFNCQALLRIAYVRL FTSAGSVDRMMLLSQNDAQLESSIQSYMHAVQKRSPFLTKAVLEAFGGFSTPIKAGYL MVRKTAALSWSVEHAIAAWDCALFVTKWIHALETRHPDDDPLDPAEAQNFANFSELLN EVESDYDGKGSLAGEVARVWACFLDDTWVWAITPRMGHVLRLLSKAYIKEWNARAILA LY89DRAFT_622221 MPPKQQGPWAKDLADKYGEMFTCKIGGYDWVFLNSSRVVTDLLE RRAAIYCSRPPFPMTQGIMSGNSRIVLMPYNERWRLLRKIMHQILSQRQKEIYKPYQD LESKNLLYDYLHKPDRWFAANGRYANSVIMSVVFGTRSDLDNPDVVELFETLELFLEN QQPGMNLVDGFPILDRLPHALQWWRPRGQRIFEKTRAVYKRALEKVERNTENGTQKDC FAMDYMKISKKEGIDETQNLFVLGTLMEAGSDTSRVSLGQIIAGAATYPDWVVRARQQ LDDVCGHNAERLPGWGDRDTLPYIAAVVKEGFRWRPNIAEIGAPTSLIQDDEYEGYKF PAGTVFVWNAWAIALSEKEYHEPERFNPDRFLNEDLHNPLKGHWAFGPGRRVCVGWSV GEMNVWIAIARLLYCFDFEEDKDQPIDTMTIPQITHNQAPFAVKIKVRSPQHAALIER DCMAAVNTKY LY89DRAFT_622223 MQESKVDVLIIGAGPAGYMAASWFARIGINARIIDKRSTKIFVG QADGLQPRVLEVFQSFGFGDRPLKDASIGYEACFYEPDANGKIHRVGRKPEGVVGISR FHGSVIHQGRIETWLTDFIDEWSNGKMKVERPILPETLEIDESQISNPDAYPVTVGVK KLADNDAEPEQYGSKIENGLFRQFDWDQDRNGAVPAESREIIHAKYVLGCDGAHSWVR SQIGINVEGETTDYVWGVLDIVPITDFPDIRKRCSIHSASDGSIMVIPREAGLVRLYI QLKKTEHIPETRSAEERENDQKQGKTAGPRVDRSKITPEAILETARKIFAPYTLDVAE TQWFTAYQIGQRVAAKFQKLERVFIAGDACHTHSPKAGQGMNVSMMDTYNLAWKIGHV LNGLAKPDILSTYEQERRKVAQDLIEYDFKLSRLFSGKPGEISTDAFREVIDNGAAFA TGCSVNYDGSIIINKPEGTDSTIPYNSPLAKNVPIGMRFADAKVVSQCDGRPWYLNDR IPSDGRWRIILFPSDFKTNVSLNNQLLDIGAYLESSDSFIKRYTPPTEKIDSIIEVLL VHASSIDVVEWDDFPHAFRPRYQDRRMDYWKIYADSESLREISGQAYEKYGIDRKVGA LLVLRPDGYVASVTAPNTEGVSQVAAFFDRFLIPL LY89DRAFT_699225 MTFGILEIKGGGHVPGTALLEDVQSAQQFNAAHLKHGTGQHEKT VLVPQPSNDPNDPLNWPLWQRDIIFLLLCYCTILCAGTQSFGISFTQVSQLTGYQLCA VGALGVFMSTWTRVYGKRPVFVFSMLFCLAGTIWGGVATSYNFLLGARVLQGCGVCVW ESVMYSIVGDLYFVHERGARMAFFGTCASGFGNFGSLIAGVVAKNLGWRWCFYVLNIF LGIGTIAIIFFGWETVYRRDAALFDTDVAADTGFVFDDTKPSVVEEEAVNDSLTLKRN SFPRRLALYSGRYTNQSFFSLTVRPFVICVNPAVIWSTLTIAVITTWQVLMSLVISQV FSAPPFNLDSAQTGYLYGGPIIGAVLGAIFCGSISDRIAEYMAKKNNGIYEPEFRLVL FAGMAVLSALGFFLFGNLIAKGASPVSIAFYSVAVGTYMVDGYRGISIEVFIIGMIAK NFMCFGFTFFMNNWAASWGPARLFNCLGGIQVGICVTAIPMYILGKRCRSYFRDHSIY STET LY89DRAFT_721392 MTYTIEEISDRLEIMDMFARYVHAVDEKVVEPLERIFLPETVFD WTASGGVRTTWAEAKRGDFITGKLFPYVFHICTNIQITFDHDHQGATVKSKTLHPTGL NGVDGNPLLFQVQGVYVDRLTKTAEGWRISQRVWKDFWAVGPIPLIDGIGGMLNAAGK GKVSG LY89DRAFT_754056 MTSITIPEGVFNRLKGKTVIITGGRSGIGEAAVHILANHGANVV YGDIAPGEFEQPNVVYQKADVSDFTSLRSLFAFTEQKFGPVDIVLANAGIHEEEDWNN EDWLNSTAGSKIVDVNYTGVVYTVKIALLSFKRSGKKGSIVLTGSASSYLDSSPVCIY AGTKHGVLGLLRSVRTVVGDIARINLIAPWMVDTKLPPPGILELWGELPLNTPTGVAN AILYAAIEEKFHGKGFFVGGDKITELETMIDQTRPQWMTQEVSDGVEAGWERLSKVRI MTRGANAN LY89DRAFT_754058 MIVSSGPASEKEIVEAQPVPVRTELDKALWRNCELTDHYYDLVA KEDSVQWMTYDELEGVQSNAMIKWIQDPAKNPLFHKMGARSLGLKRSNGQLSEVARTA KRPETRRKVMEWEENACEHLAPLYKEMVLLRTEIAKLGRHKSFFEYKSHFKMMDARSV ATSLEDLRKDLTPQFQSHMKSISEMTLKDRNFSASTTQLRQDVQQSGNDIQAFPASHE ECQLHWGEVYKYSFEKRKKFPWREEVHDFPDYFPLNTTLPGLLSIFGHVFGIKFREIL PDQAEYTRYVADYVAHPDSFSKAMNREDTLKVFVAHDTSESWKGLTTLGVVVFDSLKR SNKPIGGLCLPFTTYKLDRNQMVKKRGPGIAIQTAFERASTDRPTLLYPSDL LY89DRAFT_709189 MRRHVSREDVPPDIPMHRFTKTRYQRLASEGSTSEAPNEGDSEY KDDDDVSSKLLGKGKSLALGVSGSEKRFWFQRSKDLYDTEAIATQPSVFDDPETLEDY RPGDDWENIHRFDPDERWTWGEEHKLIRKIDSRIMVFAAVMFMALELDRSNISQALTD NFLDDLGMTTNDYNLGNTVFKLAFLCAELPSQLLAKWIGPDIWIPTQMVVWSLVGSAQ YYLKGRNSFLFTRALLGMLQGGFIPEVILYLSYFYKHHELSLRLGFFWTASAMADVLG GFLAFGLLHLRGVEGQAGWRWLFLIEGLITLVVGILAFGLMPSSPTSSAGWLRGEKGW FSEREEKIMVNRIIREDPSKSSMHNREPLTPKLLWQSMKDYDLWPIYILGLTFQTPMT APSNYLTLSLRGLGFDTFNTNLLVIPSKVLHVITMLALTYAGEVFGELTFTALIGQIW ALPFIVFINIIDISEINKWAAWGIMTALLCYPNAHPIQVGWNSRNSNTVRSRTVSAAL YNMCVQTSGIISANIYREDDAPRYKRGNHVLISLLILNIFLYLGTKAYYVKRNEYRDK TWAKMTEDERLDYLATTTDEGSKRLDFRFAH LY89DRAFT_651554 MWTSLAVGLAALVAPSQAGLRFGCSTLSIQRLDPIVQPGINPST HVHQIIGGNMFNASVDPTIDVGNAASCTTCVFAEDFSNYWTATLYFKHRNGTYKRVKQ YPNADLVNGVQGGMTVYYTQQDFNSNGNQKITAFKPGFRMTVGKPTTNTKDQAVKNTG IRYTCLQTIMTRGAETPDFPTKPCPAGIMAIHHFPACWDGKNLDSADHQSHMYDTGKG GFQVAGPCPSSHPVRMPQVALETMWNTTEFNDKSLWPTDGSQPFYWSTDDDTGYSSHA DYLFGWKGDSLQKAMDSSCMFDACGNGNPLKTQNAAQMNACKIKTFVDEPVDGWLPQL PGAPSFIKA LY89DRAFT_737350 MYLLDLILAAIALVGTCTSSSALAHQMNRRNVTPWPKQSFKTIA ATLLVFNVTKSGEPLAPGYIILTPVSFNGVAEVAAVIMSDDGDLIWNSQVGSLETFSY TNLFVQSLDSKPVLHYWTGGSDGPMGYGHISILGETYTEIYRVCPQVQVVTLHAPNIT FPCYADVHESFITERGSIIISMYNITQADLTSVNGSTDGWVYDSLFVEVDIKTNKTLF QWSALEAGIPFNLSKATPLGAANFVGNGTRTSPYDWFHVNSVQAIGDGYLVNGRHMWT TYMLNSSGAIQWKYRVTPEETLLCQKMDTLYAREHHARAQNVTDTDLILHYMNNFNAA NPFNGSHQTKGLELHLNIVTKTATVLKNLADPEVEIFNDQMGTFWPLPNGNTLLGYGQ IPAMKEFGPVEDHDVRMSIRYGYDNNSAMNFPGAASYRNYRQEWVGTPAADPDAVVED GVLHVSWNGATGISSWEVFTGPTETDLKAVGNVTSGGFETNFTLCGNESFVKAAAFRG DELLRIRTSLLLTK LY89DRAFT_721396 MLNINSTNPLGQKWEFVDVDKPVKEQDAATRRRVRVGAMRAFRR RQRLQQLDVFQSTRPSDPTRDLESELEQSSSYVSLDQASTTCTDLAQPIEQNDARETL RNLDPAGTKSVGLSQEQHYLLNHFNLLLCHRPFRFPPKTDNRVGALWFHQALEDQLLL EATLHNAAVHLDGLYKRQASTQALRHKANTIRLVNECLKSPDGVSDSIIGAVVLMAWT ANYNGNLPEMQLHLDGLARMVRIRGGLKTLGLGGLLHALVTRLDLIAVTLTNSKPRFA ALGESGFIDTSASGGVACVLLESMEGVLAPLSVIFKNIRHLLALQDSFASDHGDSDRT ILFVEKRSFIFNRLLSTQKSKSPPTEKAHTYLYEPCRIAALIFMEYALARDTPNQNML RKLCENLQSSFRTTTKTAELQQLWDQDLSSLKVLLWVYYIGGMVATESLDREWFSYRT ARCMMSLGFHEWSEVESCLLELLWTDMMHDQACTGLWEQVQQQLRGSLIA LY89DRAFT_721397 MKLVIGGATGFCGREVLRQALRCPQITSVVTIGRRPVVVKDQDT TKLTDIVMEDGTQYSDSTLETIADATACIWTTGVTLSKSKTMPFDEVKKVTRDYTITA IDALCKTNKTGKPLMFIYYSGMAVTRDLNHDWEPMRAIIDPKVAKMRCMLEVELVEYA EKSSGSVEGDSRVSRPDYQGRAIPEIERTDLTAAVLKQGLEGIEKDPLTNEELVQIGK EALPKYG LY89DRAFT_687514 MEMSTSLDFDVRAVYLNDDTKYAILNTIWSSTHTARDFSNDPSI GDSYLRYYGDQCRNALHSRGFNSIIKTHADVADIVNRLKHPIMTRERLQEYLRTKVQH PSTIDDDEPLCYIIDLAVRLWLIDVGKIQHGFVPGQKPLLWKAGSLKDLVEDRFAGLV SGPSRVVTVKLDRLFTARNLERIAGLQIIWTDNISDHLRLMVDDTRIAIYHHASFLEH HENNCIFPAGFIEETLRTISLLFPQYDKESRKWFKAQQLKFSLDKKTSTSGHLTTEER QIENFVFWYDRLSILKKAFDEAEPSTLAQWWFDRRRRVQWYTFWLAAIVLTLTVLFGM VQCVEGGFQVYKAYYPS LY89DRAFT_651562 MTTTEYIIQGTITGGSTFMQLPHSVAFQEMWSVQLDGPLRHGNC GSWVVDFESGEFYGYITAGDPRTSIAYIVPAYQAFRDIEQRLNKKVNFPSFEETSSLQ RAQTPEKASLQSQTLFSPPQHEKVLVDGSLPKGDCRYILLHAELKGLRCSCTGLMRNR SIPGSTCDCGHQACYHALEKDSTSSEGKELVILRNKVALLEKELDRERHKGLTDRLGQ LEDLVDKMRTENENDFKNTHQNIAGLWQHIGTLIKQTSYYDDQIEGLIDDAERMRSRL TEIDDASMCIKDRVEQLEGSSITTEPITAKQISEDEIETGSPTDPQPRSNVELAHIQM FRERLTSLGTKAESWTVHVSLLPTASQPFPFEKDTAAYKRCLSRGLNQMIVITNTDHE SFTAAVNEAFSKILRGRPWQPLIARTCDARNLRGLPMLRQLPDRLNSGNYDADFLQKN CAIMDEEGKILDIYIAMVEDSLSWAELKAIAPYTLGLDSSWTYDPLLDGPYNEGGEHN LGVAVPDKLHPAGDILPPWSPSRKRKESDMSRTPSFSSSSQPQRSSTGMGGKRRREET QPRYII LY89DRAFT_556799 TPLSYAAASGHSDIVAALLNNDQVDVDSKDKALYTPLCYASENG HAGVVKQLLDTGKVSLESKTYNDWRNKGGMTPLLLASRGGHDPVVKQLLDTRKVDVDG RNALEQTSLSLAAQHGHHGVVEILLATNKVEIDSKDILGRTPFCYAVLYRHEAVIYQL LDTGQVDI LY89DRAFT_785094 MCYGFELVLANSTSATEDSSQDPNVKWQESPSRRGTLAIVQSCL VTMFACTWSVQHLNVPDSSERAWRKILRKCKWMVLTLVLPEVIMAHAILELSMAINDM DVIRQCWDPVRLPWWLRILQRILGKHEEISAEDYASSSYDGPQEVEWTLIHCYFANMG GFAVRQTSTPSQVDNQSAENNSDAELQRRASSMTSFPLTTAQLVKGWRDCIIPSFTEA DIQDKSKSDFFTKLIAVVQISSLVFSIITRSVRHLAFSQLETLTLAFAICGVLTYAFY WYKPQNVGTPFIVDLHYDGDLEFHQTFETMASVLTNAKLNTEKGPRKRIPNDNIPPME PQTTHTAIYLLAILSAGFGSLHAIAWNFDFPTSIEKTFWRVATLVSIIVPPTALIAIP ISQYHVGWEDPRDFMRTCSRVMREFSRESSDKMTVFYPQKHLEEIYNDPERPDKLWVN ILGEDQEKASSLSKKMLEFIEKKGPFIDRRSIDLPKDFPKQFKLLTEVIDGKFRSKKL TDSAQTNLFPRRNILPESVNIAILLTAGIIYCLARLSIIALAFSSLRSMPDSVYKTTW AKDIPNVS LY89DRAFT_651570 MVHPKRKREELSPEAQEPVRNASAVSSTAYQHFKLPSPSYIRIL ELQPGEGTSSLKCKLFSVERKYAPPYEAISYAWGRSTDTKVIYCDGKKLRVTVNLRDA LWRVRDPAEWKLLWADAVCINQYDNEERADQVKQMGSIYADAARVLVWLDDHVAKLET ADTDTEGLDPESSMIGHAFAQLLDSPWFSRLWVVQEVGLAKSVVVMFGHTRIDFVDLI RFILRLERRTVLIDRLGLVTAARANIFTTFPQRSMELAGEVDEDWDFLELMEVTRGQK ASDQRDYVYALLGHPSALIGGELIVEPDYDMKTDSLFFDLAVKLIHSTNSLRVLSAVQ HINDRELEEATRISWVPQWSRDFALTSLGASRGHYFDVSYDSSAGSMPIWELSASEKL LGVRGFVFDVIEDHVTTEEDDDQGFAVSKPVDMLISEASKLGSRFTLPPFDNMIEIGQ TISVGYSNDKPKHFCSGFAAFRLHLMKEAQNQGRSVSIDLAPEGDSSIRQASEGVEID GIYWATSRFCAGRKLFSTREGSLGLGPSVLKEGDLCCILFGAPVPLILRCAGKQYKLV GEAYVHGVMKGEAMVDWMLGECYQEQTFELR LY89DRAFT_721403 MAFPLGAAHAPSLGEWLIPGLSPRMDQAYTNLNTRDNSTTGNST INMFIDAESSDYEYAASIVTACVDQTVYAIQCTANLPLVDGATCGPNGVIATLTSGAS IYEFSSAATTVTEGYDVTVTGLETCHLAGTTAATCSATVEATVGTTKSAASTVETLSG SDYYRFDVEITGGAEKTVNPTGKCGAAANLNNKNLLVWALAGVIGVASIQTML LY89DRAFT_785097 MAGIQTSTVQMDDGVHLHVKLMGNDEKRPKPLLIAVHAAPGLVT LAEPEAAFAFLSDNFRVLVFDGRGSGESDITGSYTHDRWIRDIDNLRRWAGAEKFVLA GHSYGGTICLDYAVRHGDRLSGLILRNTWTHGIQLMMTALAAVLTDPRLKVDVARQLR VWTGALRDDRDYEEAMPEILPIYSPPGDSSSQMLQFAAYHSATQNFAFNHNMPRFDVR HQLKDIKVPTLVIVGRHDLITPVVFSEEIARSIPDSSLAIFEHSGHNPAGDESQKFQK TVLEFLTTSVL LY89DRAFT_721405 MDHPFVTSVYCTGTSYEISYTHGSTVAKELHCNITTYTTFFQET AQITWEKARERAATQFVPSLENNYPQILEEMQGMADGAGGGLTRDDILTLNVRSEIAL TNYADGCTSISQKGEEGAIFLAQNWDWLEELKKGMVFLHIKPAGSDIEFKFLSEAGIV GKFGMNSAGFGFCMNAIRSGAFDKTKFPVHVMSRRLLQFATSFDSAIAIIDKFRVAST INYMFADKSGKHADIECAPRGNTLINPLNGYVAHTNHLYGHGRPLGLTDHPAANSMSR LARIQELTEIDRNMKMPTTFESLRKRLSDKENTPFSICRDRPPGAVVMICQWCIGHLG SERRKKTFNELHAGEFGVFLQKKPVLHHNSS LY89DRAFT_709195 MAAPYTVHTSTLFDPKKKDFGSDVSITVDPVSGLISEINQRKLG SLEDIPEGDVDLRGKIVMPGFVDAHSHIFLHSYDERPSLNQKRDESVVERIVRAVNHC RTSLLSGYTTYRDLGSESMQESDAHIRDCINRGLMPGPRQFVATRALSSTGSYEPRSE NQANGVCVPLRGEPVDGVDEVRTAVRRRIRVGADIIKFFADYRRRIMRYPPAQQHPYI HSVTHHPANPNPDVIVFAQEEMDMLVKEAKLAGAPVACHAGTLEGALMAVRAGVDTIE HAYYGNQELFELMVEKKCLLVPTLAVCERVYSSRIDELKAQTKLAYDLGVRFACGGDT GTYPHGQGVREMELMIEAGLPLEFVLESCTIGGWEACGKDLCGMRFGWFEKGLRADII ALQADPRKNTFALRKVEFVMKDGIIWKQNGKGVGLHEDDHRWDSI LY89DRAFT_687518 MSSQVCSRCRKRKIRCDLQLPACRNCRLADAECLFWEEALGKEI PCSYVHSLQQRVASLQLEIKNATDKAPATSTSLRGRDNLISAHAPEQGYHSNVVGYND VPSPSRTSYLGPGSSARLLERLTKSAVQWHSVNNIQLPKNLLPDEASVMIRSQQLQGL WPVDMTHDQRAELHSLVPPSTQRAIIENYLDKVSPEYSLFSDEADSKLLAYENPLRWS SSNPTSPSAFAIAIVFAISTALISRDLDSNLAAISKRFREKVQIISQRTASSGDRIET AKETCAALCALAICELINPVSNQLWDLLGRAVSTMEHLREGYRLRGLSLDREFLELEL SLLKLESFATLHFRRPSQFCEMRLKTIIGNLDGHKQLLEELNILPYLHAIAQKLRDVP DPSERLLEELVPLPLRIYSTTSDISIALATVYTALHPLFTASETFWNRIFDDALPGLL QLIAYSSLTIIDHVGRLNANNKVLSVWMTAERVLEFGAI LY89DRAFT_157759 MRFPVPNLRRMLHSERTCLGFDSIHKTEKPRSIVSYLEEQVARL EIELRQIKTQSTDISDLVNVTVERLTTSVAVATLEPAGKLRKQENVIPLTSSYFLSAS PVPYLNSQAWDNNKLERPKESLSDAITISTIPRHVVETMLKHYCEIYRPQYPAIDESE LYEACERAYNNANPSDFDMYCVHIALAISTSTLIVKDEKRATIATRGLWATAVAYLSQ VGLSNSWERLISLQLLTHYAYLNPQDVDCGSCATASTRLCFNLGLHCELPLSDQTNQD VTTLNSRRRLLWNSHSIDSAFHTVTCRPFAWPPDAFSAKIPDYCPQTSHTAHVWSLRQ IETEITLVFYYPSLIRNDELSNITDDEWSTRCHDRLSEWYRITHQSVNLSEKIEFMNY SSSFNF LY89DRAFT_157763 MEMRKKALKASIALIKELSVIDRLGKLFYLWHATYCLVEAGICL LVSMVTAMESSRQEQTHLEGEDISILTRYLKTLPLLLEKILRRWVNITQHASAMGALS LSVSEKLHQWASGHIIESSGLDALKETFSQSSRFSPFSLNLPPAEEGRIETVGHELLP APGYIPTGFSEIGVLPMGAQSFSPGSLVLDSELDNSFSMLPDIYGFDNGDSLMWNFAG MDYEEVFAGLLEGEQGSSF LY89DRAFT_672602 MSGTSNASTLRIHQRTTFVPDFAPQTCLTRATTILLIGDTVLRL PGFLCGVELAMKSPTPLEFPNLQRLQPQEQDELLDAIGSLQLQGLRDKVPVPQLVVCG YNNSGKSSILEAVSGIPYPNETRFTTEFIFRRTLKSANSISIIPSHKNVHRSPVELDN LKNFEHDLKTGEDLVALFDKAGAAMGLSTSGAAFSTDILRVEICGPSHPQLTLVNLPN FISAADQIQLGHGVSVLDLYKMIERYFQDPNSIVLAVLSARNELQFQTILDLTYKHDP KRQRTIGLITQPELIAADSKEPSQMITLAKNERIHLELGWHVLGGLDYADPKSPSGIP DIQDTSFFETSRFNRLPMSTVGITSLRTRLKKVLFDHVRVGLSQLVDDIQLTILSRES ELDQLGPNRAAAEEQRAFLVGLNFLRKNGSMWNITNSERDGDQSNHHTRKQAVADAYA LLKRNNSQELLGLPDHTLVGELFRQYSKPWERLAQAHVKDVWDTVNSFLDILFRYLAN EDTSENIRRYCLAPKMVERLELAFTKLDELLVIHDEPPMTTDLEFLRRSKMQTAAGAQ FLFTSGNGREKDVVDFAAAEEAFDIMLSYYEVAIDRFADNVALLAIQSSIVRGLPDIF CPTSVLSMDNATIKSLATEAEERILERDDITRKLEVMKNAARVFKLYMRKPRSDAALL FSNNGAASKRSSHIPHAVELQERSDSSPRPQSTNVQNDAASVSLPREHTSCEQLPTVS SPLLVAQTQEDRVTERGTAPSSISISEAGMDTPQTPSPLETESPCVPPVSPPTPPPFS PPEILFGQTTKLTSSVKRSTKKPIRAFEAYSDVGGSPFRLPNTGAIRNNLSSALTRQR PPKHLLPSLRRRLD LY89DRAFT_591225 MSNQLYQDDTPADVKNAKGLHLITQSTPNGQKVQIFLEELADVY GTEWTTTLINISTNEQKKDWFLRLNPNGRIPVIVDNTVSPPFPVMETSAELLYLLKFD KDNKFGFADELDQNELVQWLFFWHGSGAPYQGNLGFFKRASEQLPFAIERFRKETYRV FGVLEIRLSGKYTGEPREYLAGKGKGKYSIADIGTWAWVKNYTFSGYTEEEMKELPHL VKWVDRIAERPAVKKGTGEKYKNK LY89DRAFT_651582 MIAPEIQSDNTNSQQTLNIVRKWLRNCLETHKRCNHTAEPAWRP TRLLELDSPFSDYVTLNCTGPEATIVQTESYATLSHCWGHGDPLKLTSSSFPRLVGGI PVTELPKTFQDAIVIVRSLGLKCVWIDSLCIFQDSKDDWTSESSSMGKVYSQAVLNIG ATASFGSNEGCIRERNPRFIHKKGIETSWSDRLNGRFQIYLHSKSATLDDISSTSPLL RRGWVVQEHMYSEGAPKDMALLTHPLVKRDEPSILTSRISDASRAIKVYKFWASLVEF YSRCSLTFKTDKLIALSGIAKIIQNATGETYFAGLWESQFPQFLSGSIKLLGLLWTVV IRLVESLDLEGKLDIQVKTGVVRIMCHKTACAFDKYPFSEISGSIDLHFMPITHQPNG SFQGLLLVPTGNSRGQFRRVGLLYFKWDEWVEDSWDEAIRAENHDWLEYVEFDGVDKY TITII LY89DRAFT_687520 MAAQEPQLSVKLSLSEPTYYFTNPTPPTLSLTIESNLDKPITIF TWYTPFNPSLGMVQGCFSIMDLTTNTPVPQTKIQIQRAPFSRARGSYDDHLFLTLYPH TPTVVSTGFGRGGGKFPPDPKAVVERGRVRDENGKELKIRTSTSGCGVDGLEGGHRYR VDVTRSPLTIGRWWWGTKEEVMVEPGGVDWNILPGEEIPLEVGSIEGVEFEVEWGPEA GAGGVSEGGDEN LY89DRAFT_785107 MRAIVSRQLSLTRLAGGGKPFAPRQHQHQLADFEEYELADYTGT QDPEETSPRELQREAKRAEKWRQLDEADEMKFSHSIQFNAVPDWSSHYIAYSNLKKLI YQLEKNIHRPATANGNEDPERSPLIQTDDPDVLFSRALDVELEKISSFYQLKELEIYG EVNDFLKDEESYEAENLEQMDGQGDPRPGTSGRASDRPRTGSIFRSLVNRPRRASTIS RSIDEGIEDSDDDDDEHTALHKPRPATGRSKSTPYDANRNGGIEDMRTSTEFSKSIRR NSQNYEDYADEAFSALYSSGITLKKRAISLYVQLCELKSFVQLNKTGFTKVLKKYDKI CDRSLKSKYIEKFVTPAYCFRPETLKRIDDSIQRMEKAYADVVTGGEVAEAKKELRLH LREHVVWERNTVWREMIGIERKAQAANMGIRRTLLGVDNDPAKARLQGDDAETADMKE LSTPVGRFRCPAWLFSSTMLTLIISIIIFFVLIFVPIMEQPEQQNCLAMLVFVSLLWA TEVIPLFTTSLMVPFLCVLLRVVRSDSLPHQRLDATNAAKYIFPAMWSPVIMLLLGGF TVAAALSKYDIAKRIATWVLSKAGTRPRTVLVTNMFVAAFASMWISNVAAPVLCFSII QPMLRNLPSDSNMSKALILGIALASNIGGMLSPIASPQNLIAIDTMIDDPSWIQWLFI TLPVGIISILLIWLLLLVTFRPSRGTTIVPIRSVRDSFTGVQWFISIVTIGTIVLWCI TQQEKVKEFLGDMGVVAIIPMILFFGTGILTKEDFNNFLWTIIILAAGGLSLGKAVSS SGLLETIAKQITGGIEGLSFYSVLVVFAALILVVATFISHTVAAIIILPLMKTIGQDL GEQPRPDLLVMIGALMCSAAMGLPTSGFPNMTAIMMEDSQTGQRYLQVKHFISRGIPS SIITFLVVISVGYWLGYVTGI LY89DRAFT_721414 MLEVFSTSDLVESLCLLNFSPNLIVSASNQYIPSQTSFSFTIYL LPSVQPSHINMHFSTLPLLTLLLAQATAHNAPEKRQWQSIVESATSVAATIGNGYNSI GQSIASSADSVAATAADSATSRASVIVSSANSVAATAGDSATSRASSVVSSALSVAST AADSATMEASSVFCGVVGEFGGE LY89DRAFT_709200 MKLPPSLFFLKPLHQGPDRHYRGVLYPVPGLSNYYSDFRGKAVP YPGNLTDPIMPTTNGTAAPDDQLYQNLLSAEWAIFSFYQQGVEMFNTTSFTSLGLPNT TYDRIQEIRDNEAGHLAIFQSQISSNSIKPGPCKYAFGVPSAEAFIVTLTLLEIASMA FLTGLVQQAQTDMTKGALTAIAETESRHNTWALMDIWDVDPFGGPADTSFPYANEILY STNQFIIPGSCPAANPPYPYPSQDLPQFTYNPDTNSSLTSGTQIEYVFTTAPPAWNAS QCYYAVFFHELLNISMPFDTTTNTTTIPEFDLDKGLIVGVIADTPGAPTLDTVVAGPV LLVEQPAGAIKLG LY89DRAFT_591766 MSSNPPSQFSQPVADPVAHFKSIPWCSSLLSSKSVVQVLVPDRT PLASTESAMVRETFNTPNTVNACVTFFRYIPPEGGKKGMEERGEDMKNPFLQICALLD LGSGINGYAKTAHGGFFGVVLDEVMGTAANLQAAQGAFTVSLKVDFKKPLYTPSVVLA RGQVVKKQGKRLSLKGSFEDKDGNILAVAEGTWIMVDRDIGRWTTEEQKEQAKKRAEG SKL LY89DRAFT_785111 MTEQILGAAPPPGGVTPNFDHPEDVLATINLVSGILGIALMAPF VIGRIFIKVHILKNMVLEDYICVVAWLLATGYFLTGLFMGKHGGGNHEWEVTKENMVG FQQALYADTIVYGPAAWTTKTTLLLIFARVFSPFRKTVLFIYVFIGLMFCYYFPVMII KIRVCTPIEGLWNPDIHAECINQSQLFWTDTIMSAATDFVILVLPIPLVWSLQITLKK KMKISALLGAGGIATGASIVRLILVFQPNSFADETVSFVRFNLLGVAEIGIGIICACI PAFNVLFTRWKTEYGSRNSRKTEFSAEVKLSRLRSSNRGIRVVTNPLSRSQSSYIEVG SPRDTLFSQSQKTLKSQLSVDAMNRHTISVEGGGYDNNGVREFQRVHEWMQRPYVSDS EVGRAA LY89DRAFT_157850 MPKETHRGRPISCKFCRSRKLRCSREAPCSNCVSRGIHCDLQDH VRPLPTTSRASESELLERIRNLESLVRVQRSQENGSIELHSESPETQIQQSIRSSSVP QIEHLDNDVAWLASIYSGQNLPDKTQSNKIVFRICPIRQFMEACPYIIQSAYLSSARF EPLRCVWLPQYSEAKILIDKFVRDIDHVHHVVHTPWLPDILDEVYQCLNQQGQVKPGS ILLLLGIFASATHVWVNNDCEHGLFSTCTEANVQSPLWVKAVEDVLDISHRTTSISVE GIQGISLATFVLLNMEGYSRRCRSLFNMAFLLARELRMHVIDHPASDKPLNTVRAEVE RRVWWYLVASEWSVPLKFQSISQGIYYCHLRHMLVKRPLHINDEDLVEGGNWVEKPLC HPTTASYPVLRIRLSEIARHMVDRNPVFLGNAGGPSHEMVMDIDSEMQQLWNDVPLFF SMSKADLMSNYQLDSSHAAFIVHQGYMFRSLFHAQRCIIHFPYFTRGFVEPEYTCSRE LCIMSARQVIQTEASLSKLGLTATCYKFLALLVATFMASIIVLMDLCHNKSPSGQEQQ KKEIADAIRILEGARNESETAAKFLESLMQVMRKHKVLPSKDSGPQQARLGTDNEELL ETSLGDNGHLATPMSLTAAPPAVPGPSAQSSNIWWPNESTNINMTDEAFGNGDYLSSY YNELAQSFEQGVDVGSFDWNDIFSELDSSIL LY89DRAFT_785113 MDHSKEVEDALSIHTESTKLPDEAPDNQDLESQLGHQPAPEEKT PTDPNIVDWDGPDDPANPMNWSTGRKVGAIGMTRPLASTIIASGAEDVLVTFHSTNQT LGAFVTSVYLLGYCFGPLVIAPLSEIYGRTILYNVCNAFFFIFNIACAVANSLGSLIV FRLLSGIAASCPITLGAGSISDMVPLEKRGLAMVFWIMGPVLGPTFGPLAGAYLAQAK GWRWIFWLLTILSGVVFVITLLLMRESYAFVLLKRKTERLRKETGNSHLRSVLDTGRT NKDLLKFSIVRPTKLLFLSPIVFLMSLYLAIVYGYLYLLFTTFPRVFQDQYGFSNGAV GLTYLGSGAGAFLGLFFCGAVSDRLAKALKNRNGGDHKPEYRLPLMFIGALIVPASLF LYGWTTEYKVHWIVPIIATAIFSFGLFTVMMPTTTYLVDAYTTYAASVTAAATVIRSL LGALLPLAGSKMYDALGLGWGTSVLGFIAVAFIPVPFLFWMYGERIRKSKLFRVHF LY89DRAFT_672614 MFPSSHRITGRPRKILIRIDYEIDEGTPATATAIISISPYKTLE EMIDEVEDIINKNRFWVQCVGRDPSWAPGQEADRKGKGKAREGDEKEVVLKGYWEFSP VLAMCCEDGASNQVQVKLKSDEDVSAAYGLLESMKWNGYFVLTTARRPERSSSDSPEH LEVYDDAPENPQD LY89DRAFT_737379 MAENEIHIIARLELYHDPPDDDPSGAEEADHLRCTIPVIISLPK KPKTNDLVSATAKLIRAGRFGLKKEIQDSWGCAMEAKQAEVWIHWTGIEGGAETRPLL NADLHDCLNVMKDRGWKDFMTVKCFMVKPEDNPGVSDEEESQSSGIENTPRIDPPNKS KRKPTTTPKPKEGKKRRRTEEEEEEVDSGEVRASFPTKTTLLRTLPKKLKQLSREATP AAREEPVESDEPQSPPQKKSKLTKTKATPVASKGKKEKTLVVSSKKGPKGDDGKNSNR PSSSR LY89DRAFT_699240 MQCLRPLTRRIPIHLRPHHLRAFSSAVDPAASKDHQHHATNNFV KIVEVGPRDGLQNEKRTIPLTTKIELISKLARTGLTDIEAGSFVAPKWVPQMADSNEI LEYLLKYPPTSLNPISYSFLAPNARGLDNALSILRSNPKTYQTFSEQSSPYEPDESGI SNESASDPNTAPPFVEMSVFAAATESFSQKNLNCSIDASLEKFKEVIVGAKAMGLRVR AYISVVLGCPFEGYDVDPRKVAEIACDLMEMGADEIDLGDTTGMGTAPRTAELLHAMQ AAGIRNEDLTMHFHDTYGQALVNTAISLEHGIRRFDASVGGLGGCPYSPGATGNVSTE DIVYFMESLGMDTGLDLDTLCDIGDWITKEIGKTNSSSVGKAILGKRQKA LY89DRAFT_721421 MDLSGWDKLPPIGEVPPGICLNCFTFGGGEGCAGESLCRKWDLR DLVLEAGGGSEEGSSTLNTPCHSPGDGQDVGLLTNPQQSHSTTGMDGFPAGSNSTSLA GPGSFISSNPMALRNGMSHTTDSSDFIQSSDSVATIFPVSFPNVPRKIPSLSIGRRDL VGPHEIRLTVHPIIEVPQDNSIFIHWIRKRRVKPRCLLPSIEIDPAVCPGVHELAADH TFPSSVLQQIEQSCSVSLNITLTLDPNPVARSWWFPAALFWDKSHEIGYCFKAKLKSS SVPKDGQFGRLESLQKALELVSGYKGINGIPEVRNWAVQRGRDMFFIRAAMGDASITD KHYCSVQDLVVDT LY89DRAFT_622269 MTPQHFNLVEVDTLEAIVIIDNEIDIMSTVPHNTVTNTGRMPNL SLSQPSNISKRGDCQKEMPMEAICCGAHGLSILITVTKGAQKHSMLFDVGPEEEAWER NAKRLSIDLKTIERIQLSHWHRDHSGGMLRAISMITSSNPPSPVVTDLHPSRPTYRGF MVGSTPISMQVDPSFEEMEDAGAVVEKFEEAHTVLDDMFLVSGEIPRFTGYEKGVKGG IRFEEDREEWVKDEEILDERFLMCNLKGKGIILFTGCSHGGVVNTALHAKSLLNDEVP LYAVVGGYHLVGEQEANVEATVRDLKKLDPKVLLPGHCSGWRVKLEIEKQMPGRLVPC GVGARLSF LY89DRAFT_754130 MEEMRSDNSQGGATYTETTNSDDNEAPETRIPRKAYVKEIKDET RQDSLRTNEIAHLARFTSQPAPRECLLNMSLKDTFIHHRRMKTLEDVYGIIEKFNDGV SSGKELLVIKTEIFYLQRICSIVMSSFPESRPGNVGQIPCDDLQLALWDVDIGQIEEE ILLTPTTVLALSRVLGRVVGNIRLEDAAALCKSKSMFRCLTHAEMKTLGIRSSYFLQG TDPTKPEEDDKRVFENPKIGLYIDRFSPNFLNYFRARDGKSISDWLDEARTLRRPTIE FSQLVKTYGTRLEITGYRSDTPGSFSTALGILLDERDDLLQKYADLQEEAAKTKDRLT KVNEELTSINGILNRKDEENKQLEQENANLKSEKRQLKKRG LY89DRAFT_687528 MSEGSQSSTTHTVPRVLRNLTPAEPKFRHLCQKCRHLIRRSKII QDNRLKWVGGSDDQESFQHYETLDGVVYSAFEGCHFCSILRRRCVTEDTRRREREGFS YEILITETTNGCDVRIRQGEVERLVARIMSFQRDDWLSEINLPDEPYGTLRSFGTDSL ESFSRANTWLQTCINEHQDVCSVNTPSSSLLRLVRVNVVGTRVSIQLYENPFATKEEP YLALSHCWGGKVPLQLTTKTRRSFLKSIPVEDLPQTFWDAVVITARLGYQFIWIDSLC IIQDLGTDWDQQVTIMGSIYRNAVCTIAALNAEDSSRGCFTRRDPLEFDACQVDNESC LYITTDFRPAGPDTQRPIRAPLHRRAWVVQERALCPRTLYYGADMIFWECNQSVASEN NPRMGYLWNAEKSAVKLIQGNPYGGPNPQKSGLKSVFHDLLDECKDGNYSRWRASWAA LIHEYTSCEITRFEDRWPAVSGLATKVEETSRMKLRRGLWQDYLREELLWSADVPGNR PHQTLETEAELYAPSWSWISLKAAIWWPAISRYDRETSEVMWIADVLSATSTEIVLQA PLVRISEILLHESTCPDNWISSKLKSDAQPNMSGLKTVPDEDTGEELEIWALQILRVA ERWPDLERTIFYGIFVQKKPENLSLLSRSRWSRVGYFELGWEGEHFEIPAPRWLGKTK RIKLI LY89DRAFT_699242 MSFLVLSLLFSAHASPSATTPQVDLGYEIHEGWLNDTGAFYNFS NIPYAQPPLGNLRFSAPLKPIINDTKSVNNGSRFALCPQGIPAWTKNATAFLKNGSSI LNGTSYNPPIITNLTNVRAGTSEDCLLLDVLVPSAIFDNRGRQPGSPVLVWIHGGGYT LGWKTQYGSGAGLVRASQNYTKPGIVYVAINYRLGLFGFLSGPTFQQNGTANNGLLDQ RLALGWVRDNIAKFGGDPSQVTVMGESAGGGSTIHQITAYGGSKGKVPFQKAIVQSGA FLPVTSKQKQEDIFQSVLTTSGVSTLQDARNLSTEILQLTNAKIIGQAAWGDFIFNPV VDGSFTPALPGELLLHGRYDTTLNVMMGHNTDEASEFTSPYVNTTETFNQNVLQVSFP NINGYNTATYLMDTLYPPIYDGSHGYESNFTRATSLVSDALFQCNANYLARAFGAAGY AYQFSVKPARHGDDITYTFYEGPADSVANDTLALAMQDIFTNFVISGNPNRVGSELPY FPDYSQGNLLYNFNKTLIATKPDNLNNDRCRWWQKAFYA LY89DRAFT_737387 MRQEIEDIDLWVDAVCINQADIAEKKAQVALMDRIYKEATSILV WLGGSNLDASLAFNLLKLLADLRAQDDLPDTKAKADSWASVINLTKKSYWRRVWILQE LEFSKKAEVAWGSETIKLETMARAWSTILTKRKQVEAILHRAGWDDSSAQYFFNRVTA QIEFQSNPCTIRASWVDQTFERERVTSAAGIQDHDDDFEEATAPEFRCQYCLAEKSTL GQLDRHIRTHTLPYRCDFQGCEIGKATQRDLDRHMDAHLKIRRYFCPVKGCPWHPNGP TGGFSRRLDNAKRHLKSHIDYHDLVDNVDASVVVDRSKPSTINLVRLKESDDSDSGCS VASLESFTPSIIASSATSISDMEPLARDSVVEISYLISNDDQLQKLLEKAFCFIDPEK VTRNFRRILRSFSQDLMREATTLPQKQVAKLVGHKSRQIAVLVRENVLPSKLLILPEQ NVDPVREDRLQDYLQEISPQLDSISDVLIAPTRASVIDDDSDVENDDDDPAEHPDLTK LKEWFLSANAMQNFRNDFLLFLSPVEAVTTNQAIEDASPSLTSSPESDRDSGGEVGFT KNATIGTIVKTVQGTKMLGVLSLFQKTSTVWNYINAKQALEPGLVRLNWTCNCGHTSH DDFRELRPGAVAAYAGKLVDSGYVTHAQSTNLGSGSTVTFITAARNKATAVGRNIGNL KFGKQGRNPKPKTRKFANIQCSPTPGVTCRWLHLCLKQRPNAKVIKLESLHICKDDKQ KDLTDASFFKVLRRAWKMQRTWTDLILFKLARIEFIEFSAFPDDSVDRIVADKVPPTT DHYDFAPPPPLEMVPPIAAEHMVHLLLSECTPSMQGSSFYLSQLPKKKDKPLKFSALL PPSLEFNTGYGLRFVETPDPSIIVSFLFVMAVVIGTVFGICWTVFQKDMQDA LY89DRAFT_721425 MAPSRPGRPRAPSSFRYVPHAHKKDQDVFIDMQYAKHSCDKPLE FAQEPWKYCDNHTNCYLGLRFDRRDEFKERLREMDSEHNKKLYEMEQQQQLYEGDEEF QSKLKEMQEKGRGKKWERVVHEEERIQQTRINFITNDKNPKNKQMIDKMKMAKLAWKN EVQERREEYIEKIKRLPKFPRSWSRSQILDIQLLERVGSWKVDDELEDVNLRGDPEEE YGFKACAIYFKRSYDGWEPDTYKHPKFKDGKFPNQKISVHDLIQPSPDNPLSQPCKDD QLRYFHFPSNNMRWIEEAMARYYNEDAGNHSDTQMPSKRSSNAEKLLSREYWRGQLHG GGGVGGTGPAGPVHARHMRSRCSLIPLDTSSGAWTQRGRTPKAATFPTDPMAAPPPRS KAKNFAIFLPFLHWETNSRRAKMAEVIKEVTKTLQDEEDTRMGRKRTLELSDQKKPER KCLPWRKGDSHEEKLRKEMEKDDDDDEIDVSKRNDMYEMARKYNTARHGKHTARKKRC KLGKYLLALAQVADAMDYEADERLLRENLHRNPPLHIRRTLDQSYFLTLEDTAVRDKD QVVYRETREGRSFHTRNTRVVMVDQLWLWILDGNTIITSFPRRWGRNKPDPSGVHKSL RERLEATSSEEIQSVYDLALIIIDQCSRVFFDRTKPLDQRPEVMDLFASAIGNVTERT TIAYECFWRNMEIRGMRFASPGTNNHSYLNINPEGTLLRESQDVAEELQIMLRIYNQQ LHVVRDFRKILGHMNGESKNETDEVRTLIKLLRQNPLVAGDKRQTSMLGDKLPVPEST IQEANDLLELIRNRKAEIQDLEEAALRTTQQLQGLLSLKQQQASIIEAKAALARADES VKQGRAIMAFTLVTIFFLPLGFLAAFFGMNNEQINQASWMTLDEQMKYMFGISTAVIV ISISIAFSPWTRATLTALVKIPIIFVLEYTGMRSLWKKYIVGHQYFEEKNRERIEWIH GHGERKERRKWEEELERRKQEEMVYGREQRATFKLVERFLHGEKKEAAKRKESWGVRG RRINGVNVGGPVVGSNGVLDGSELEKGQRIVEEDEP LY89DRAFT_158125 MEEDRAEVDLGIAVDVEERQEAEAQRLPKRRFVGRRQVAEAASN AEANGSTENRGTIQVSKSRRTPRTLNQVPPEILNDPEINAAIALLPPNYSFEIHKTIH RIRTNGSKKVALQMPEGLLLFATTISDILTQFCPGVETLIMGDVTYGACCIDDYTARA LGCDLLVHYAHSCLIPVDVTTIKTLYVFVDISIDTAHLLSTLEKNFSTGKTIAMVGTI QFNATLHGVRAPLEKAGYNVLIPQISPLSKGEILGCTSPRLDSTDGVDIILYLGDGRF HLESAMIHNPSIPAYRYDPYSRKLTRENYDHKEMHTLRREAISAAKSAKKWGLILGSL GRQGNPHTMSMIEQKLEGQGIPYVNLLLSEIFPGKLAMMDDIECWVQVACPRLSIDWG YAFPRPLLTPYEALIVLGANEDWDKGNGGIYPMDYYGKEGLGRTKDARLIAATG LY89DRAFT_158126 MMCSHISHYLAMPWHKNINFVRCIILPTTNRHVSAFVAGVILLM LCCTSIHSLSQTNTQPLPLGKNWFLQLCQVALSHHLNF LY89DRAFT_699251 MTRSLRIGVDVGGTNTDGVILDPSRSSEPDRGIVAWHKASTTGN PSDGINNAITAMFDESKIDPGEVASVTIGTTHFINAVIEQDQARLAKVAIMRLCGPFS KGVPPCIDWPAKLRNLIRGYYCLVDGGLEVDGNLISDINEEQIRREAEIIKRKGIKSI VINGVFSPVDIHYKQEERAAKVIKSIYPEADIVLSKQVANLGFLERENAAILNASILP FARKTITSFQSAISKLNLKCPVFITQNDGTLLLATAAAALPIRTFSSGPTNSMRGAAF LTQNSIKESMMVVDIGGTTTDVGLLLANGFPRQAAAFSEISGVRTNFSYPDVRSVGLG GGSIVRRDSDGKLRLGPESVGYLLPEKAICFGGDVATATDYTVLGDEKVNIGSRELVV EAGLEGNLKDLKDLVKRMLERAIDNMKTSAEDIPVLLVGGGAIIAPDELAGASKVVKP EWSGVANAIGAATARVSGIIDTIENTETKTSSQVMEDVSKRAVEKAIEDGAKADTVQV VEMDHLPLQYIANKSRFIVKAVGDFDFSRQIAGVEEATTGTTEQDGMAEFAKGSSTTD HTLKEVIDAPEIDISSYRPKIVGREWIISETDLAWISTGCYILGTGGGGSPYGHMLRL REIMRSGGVVKVISPDDLKDEDLVACGGGKGSPTVSIEKLPGDEMIQSQTELYNFMKV QPNAVIALEIGGGNGLQGMILGASTNMNLPTVDGDWMGRAYPVAWQTTPVVFQETPVF LPSTICDGNGSVMFMTQAKSELMVEKAFRAALSQMGSHVACAKAPVTGKNTKSWVVEH TISLSWRIGRAVALARSENRIDSVAESIIAEAGGDESAKVLFKGKIVGVERTLRMGHV YGEVIVEAMDVSGGERAEFQGKMKIPFKNENILAIKENPDSSETVVASVPDLICVIDA QNGEAIGTPEYRYGLLVLVLGIVASEKWTSTPRGLEIGGPKGFGMDDVEYKALGKFRK PRSVIEEFAT LY89DRAFT_737391 MANNNKNAGFGGATPLLGSGGKSKTAKAPVTSPPSSSNAVIAEY IGPLDPRRHFESLRQLVWLDAALNEHEYLRQEFNPEGIELFEQIASEFNKVFHFHPQN EVVSKFSQLLRADPTFYANYFPEERMFHEVPGLSGLPNIQNVDWNYRGY LY89DRAFT_687533 MPNNQGKQIVFEAIRPVLVDARGTSKSYMAIYSVMKEFSHRNPE SMIELLIHNSKGILHDISTWCEATGNELINSEVGSDAGDEDMHVLIQKGVQAGSDQEG ERQKEKKMIVMISSSDLDFVTAPFDRALAGKVLGMEVSVIFEGAGVKLLQNGYRATRS GLFGAFRTSGVECNLRDSGSPIPSEAIAMLAEMDAKFYVCGLSMEAHGVRQEELLVEH SVVASTVTWVEMLAHSDVNVFSRAKLETA LY89DRAFT_158146 MNILNATIIAMFAASVSASESCPYLPAILLACCPKGLLGIFSES QAAFACAFFGSGGSCLFQYVECCTDMNATACAGLVGVTTSSAAPTSTPVPLPRRYLQS LY89DRAFT_651602 MFRTPDFRSTTAGESYELSDPGTRLNELEQDTTIDKSREERIAT RDAADLARLGKRQVLKRNFTFLPILSFACTVIITWEATFFTLELCLPNGGPAGAIYSF VLVWAGMLCVFTTIGEMTSIAPTSGGQYYWVAMLAPKSYRKFLSYITGWITLIGWQAV TASTLYICATLIQGLTVLAHSTSSPTLWQGVLLVWAMVAFSVFFNTILGNLLPHVEAL GMILHVVGFFAILVPMIYLSNHADAKVIFTTFGNEGGWPTQGLAFMTILNGAVFDFLG SDSVIHMAEETRNAAKVSPKSMFISITFNGVLGLAALIATTLCADDLDAALNSPIGIP FIAIFLQTTQSVAGSMIMSVILLLMQVFAAIGIMAATSRMLWAFARDRGVPGWRTLIK VDGKNHIPMNAIGVTVAISCLLALLNLAGPIVFNDIVSLTVSSLLLSYFIVCALLLWR RCTRYMSYNTPVSQQIIIGDENSVPELNWGPWHLRGAVGTFINFMSCAFLAVTVFFSF WPPEVNPTPQTMNMSVLMFGATTLFAVIWYVIRGRMTYEGLIIELELDG LY89DRAFT_158151 MEFIPEKRRVVITDENLGPIVSIVSILLLISVVLIVLSRLCTRY FLRRQLSLEDGLVFAAAILSTGELVTILGLDSNGLGSPIQTLSKEQVLRFQKYSYSTD VLYVLNIGLTEISILRLIYSLTPVKNQKIVALMAIGFTTLWTISSLFALLFQCHLPHV WQFIDNRCINKVSFWISYTVVHIVTELAVIFLSVVLVWDSKLLRGVKLLVVFPFTLRI LVLVPAIAQIAYFAPAIKSRNPTFELWTSVLCAQITQTISITTACFIQLRPFITSLHS GLLHNNDARRRIRQDSRSGSGYLSLGGKGRNWSDTGRSGSDAPALAPESRNVFVGGRD PWGQYGKDLNENSDGSPTSAPAGRISQVIRQTTSIAVEFSDRHKR LY89DRAFT_754152 MFETKALHQGLDETMPEGRMIQEPSQDAFAVAPANPFNWSKKRK WMVVATVSFMMLLNVVATMACTPAIPLILDEFKTQNQSYYVLLVSIWEPGECFGPFLI GPLADHFGRLPVWHVCNVVYVGCTLVSGFSSSITMLLIFRLLNGFVAAPLTLGPAIVS DMFSPEQRGGALGLAQLLPMTGLSWGPIIASAVLGGGRSWRWIFWVLAITVGGVEVCS LITMPETHKDTVRRRYNKVESLPGRPKFKLGMVLRAFKIWVFYPVAFIIAFHWATLWG FGYIIFTTLTEVFESQYNILPEDSGLYCLGWGVSSKFDKETNNLIYTFTGLGYALGLL LGGILSDCYVKRKKDSRGSTQAQDRIPPAIPSGLLAAFGLFLYGWAVQTRVQWMAPVM ASAIQNFGTAIVSISCKAYLVDAFPDFAALAIGAGSILMSLSGALVPLAGPSLYTSLG LGWGNSLLGFIAVVLTPLPFLVLKLTKQNKSQGSIARHL LY89DRAFT_651608 MLDSDEGGFHLRACSKSSFAESELDLILARCRDLIIQFQGALYK KIQELDLLTSSDKNILSTLNEDMPPAEHAFVHELVAQQARLTPNNEAICSWDGSLTYK ELDQKAVGLAKHLIQCGVTVRSWVPLLFQKSKWHIVSVLAVMKTGAAFVSLDVTMPIG RIANILKQLDNIPLALTSADQHQKFHGIVDSVVIFDEKLTTIDVPEIILPTPGVENSI RYDQPVYIIFTSGSTGIPKGAMIEHSNLTTEIKALNQELKSTPDVRSFQLNMLNFDFC ISEIFCPLLCGGCVCIPSEWSRFNDIPGAMESLNANFISLSPTLLSTMSVMDFPKLKT ILLSGERVWKDLSDLWMDAGKRVLHMYGPTECTVACCFLDTCIKAHYTGLIGNPYASK FWIVDPLNSDKLQSIGAPGEILIEGPTVGRGYLGDPERTKEIFIAPPAWFSSICQRRP SRFYKTGDLGKLTKHGDYEIVGRKDSQIKVRGWRVEVLEIEHQMRLASGFGAAIEVRN PTLAPGQDQLVAFLELQKARDHESTTADVDVLWEEMKSNETKFSKMIEQVESRLRDVL PTYMIPSAYIPIRTFPMTNIFKLNRRKLKEVANKLDISAIRNSMSGILDK LY89DRAFT_651612 MDPLSALSIAASVAQFLDFGTKIICHTKEIADNGSSVTVKHISG LNNDLIEINSSLKRQLLAVTTQNLPLTREAQALLDLTDQCNEIAKELATCLQKITINS HKTDKWTNIKTALRTMWKQERIDELAKKLTDYRGQLTLRVLLLLNSYYATQSERLDQL AESNKEIVEVVSINLGSMSSKLEAHQRNESFRREQASADADRKHSEIITAILTTRGGD SRAITADNAPRLSTNFSTDKIVHTATTYKHGMTSYSESSAPELQTTEFNGFSRQILDA LHFRSIADRRAAISPAHKKTFQWIYQDSMPSEKEADSLVRWLRSGKGCYWVNGKAGSG KSTLMKYIREDIRTMQSLRQWAGSSDLIVGSFFFWYAGTPIQKSQMGLLRSLLLEVLG RREDLIPVLFPEVCRSILTKETSGYIELSSSELKRAFSTFITSASQGLKTCFIIDGID EYDGDHNDICDLLSQATASESVKVLLSSRPIPACVQAFSSCPTLRLQDLTYSDVKLYI EDQLGQDPLLQRLERSTKGATDHLFKSITSKAAGVLLWVILVVRSIREGLQDYDTIED LQQRIDELPPTLESLYAHMLGSMSPRHRHQGSKLLQLVLRSSETHGEYPMTVLQLSFA EEENYAKLVKSQVGSKLSPEEEDWRCEAIEGRMRSRCRGLIEVHDSPLSFGEGKGANI VGFLHRTVVEFLQTDLVWAQLISLTAGTSFNVDLALLASCLCEMRAKPLSRTQQQTSP AIYSMLRMLSYGRNMDDITNQFHSIYLPEARNILGNYWHDPALYESAQAEMKSITAAT STRCSQLGLSYPESFLMSVASHCPRQHLVLLFDFFYPTTEELDGQNSINLLGIYLLLQ YMDPDVMPLRLAISRNIVACRIEPQKSVYHPLSVRKLWNHRWRDPPMIDQRTYIRDYF LQFAYSRTQDSDLHDSILSIISSSLTSGGSAWTINVASDLHSAGSTGYLEVSAYSILY PLMNKLWHARNATTVPPIDLDNLVNKACYIERIMRSDDARMWTQLHNPLYPQSPTKPK KRSKRTHIPHQRSRTIPPTALIDTPKIIPPNPRVYSALRPEPSPWTQYRHRKEQAAEL GQEVPEPIVPKTVVEGHLQKRWNITARDSRIHLLDPQEQELAEKMSRPLSGKEKLQAF KEMSRLPYQRQEQILECSKVLQQKREAEVRG LY89DRAFT_687534 MHALNVALGLGASLSLCLASLHNREINDPVGIYLDSMCYPLYTN QSRTIALKLSINEMIPSLAFSPFPCEQALYLRAICIANGTTVDDFLAEQECLCHGSFF EVTAGCDDCLFAHGYQLITPQEAAGNLSSRSVAECAPTPPFQPYTNLIGVANITSASL SPPLTPGHDKFPNMTAVSNYFTETASATPGSITGSATARLTSWTNTEGNIYTPTSIPP DSGTGSASAVSTTSSGSTSQSTNVAATRGVGVVGGLLAAGVGVMAIL LY89DRAFT_591457 MKLIVAGSTGFVGKEVIRQALRYPTITSVVALARRTITVPEDAG IDTSKLKCVVCDDFENYSEDVKKELVGADACIWLVAITPAKLNTMPFAEVEKICLDYT VKGIETMSPIASKPFRFIYVSGVNAERDQNKKPFLMGNYSLMRGKTESLVLEYAKESS GAVEACVAKPGLIDAPGHSNFVQGIMKSVLCAVVGLPRVELNQIASTLIQQAVTGIEK ETLLNEDLVRIGSKVLEERGLSS LY89DRAFT_622292 MADEGGIAPVSQAPPSATDHEYSSHEQQQVPHFHNPNSRKSTWT KSLADVNVTGTQTPMSGFHRHSRFEPAAIDSYFVGPRDLDKHSKLPYFMRIHGSVTPR MILPLTFIAIWSTLITCLSKFVYELSVSSILLTVLGFVVGLALSFRSSSAYERYSDGR KSWATLSVQSRNLARYIWVHVSERPECAKEDLLSKITAINLILAFAVSLKHKLRFEPY AHYPDITALIGHLDTFAKSAHKEEHLIVKKKTPWKVVGEYLGLSMANSNPRKEIKRAD RPLGNLPLEILTYLSCYVEEVTVNKSLASPVVQGQILTCLAALTDTASSAERVLTTPL PIGYNILISQIVLLYIYLLPFQLYSSLKWITIPGTIAAAYIILGLAAIGNELENPFGN DVNDLPLDHYCEELGSELDTLMAVPAPSFRRVVEGRDGGGENKVFWPLSESGFGEWKG RSEGDIRSALRSKVLVSRLAAGMGTLESREGKGSGGSTVTVEA LY89DRAFT_158231 MIDHVLGRPSVKFRKIQVLAVVSFWSFYLFRGHKHGPPYLRKVS RRLSSILTPWQTVVITLLYLYIARNLGKLVGLECPEPLANLYTRSYFRATWITTAMDA GFWTAMRIRRKWMRDLCSIVFTVYYLIAAEQADEKVRKVRGMVTVDHLRVSWNKGTTP YLNFFTTLMRPRFMRYPPRQIRIPRPSNSDYKEPVNAWLYFDGPVSALKNHTKVVLDV PGGGFVAMDPRTNDDKLFAWAGKTGLPVLSLDYRKAPEFPYPYALNECFDVYSTIMTS RGRCLGFSGDAVPKIVITGDSAGGNLATALTLMIVESGTTETRQFLGQKSLPIPDGLV LIYPGLDMNIGNWMSDEQMSLIKDRRMRKTNRTFIRQKSMQYTMAAGTPHHSDEEENT PPKIASPPIVTSPEAVRPTSITLPSPQFSTAAPTLLSPSIGRKPSITPSPSASHHPEP LQTRLAMSSMISYFNDRILSPEMMRAMIILYIGPHNRPDFSQDYLLSPILAPDSLLEK FPKTYFLTGERDPLVDDTVIFAGRIRRAKAQRHAREQARDIRLQKEFDDRDVVEVALI PGISHGFLQFVGIFPEGWKHIHRCGRWIEHIFAVAEERELQSGALTPGAGGSHHNRRR TGESSGDEDQGLEMTMVSPKGKAKEKDRKEERKRKEALLREQLREKERRRGERLQRKK SMVSLASSDDLLGRRMLGLAGPLTGSGAGDDEL LY89DRAFT_737402 MHTSLLSLLLATTALAFHCTKPTYSPACCDSVYTSGVKDGKFEG KDCYQAFPTSFDVPVNERNYTCEIADTIPGCCKGDKKEERRSHCVGVVV LY89DRAFT_687538 MYSSIPIFMLALGCAAQARVLASPASNTTSTPSPTASAIVIAGS CDQNTLQYCCPLVQPQAGEGSVDFATDCRQGNLPASYDCFYAFEDICCQGSPDENGNT CEIVPPAGDICAPGAAGTIQSLGAVVGASTVTETVDCATASSTSSAASTTATTTVVSN SAPATTSPAMMRRRG LY89DRAFT_158268 MRARLWGRGVDELHIPEHYLPTYTLKTWDEEAKREFVAEKKKRA GAVYSEEEVDYRGMVSWERVLSRCTGMRERGFDVHTYPHRLFYGVSERAFDGTRWASP GAGRWWFRPVVPGMPVRGGAGAYLPVSEDVEMVLGYLRFKGLPAELSLQVLEFAGFKV ERRLPVANDPLDARNREELVKYLSWCWKVLVWVDVFMREQGMGFSGSMRLRRWRGSYG VVGEEVGRECGGIYVQMRSWRRI LY89DRAFT_158267 MAHNTHIPWTLLSTHLKLTPTSRKPSGHTNLYPRYLPTQAGELA HFASIFARTIRTFSSTERSKHPSPTPIPSPSPSSLIISDRTAAKNRENSLPLLPHHIH QPRVPGIRRQDL LY89DRAFT_709217 MAVDHSKIPITLPVPVDDGLTSHLLGSLVDKDLVLTSTKDEFIK LSALPGLTILFCFPRTGASGETPSQEWNNTPGARGCTAEASSYRDDYSTLKDLGVSAV FGLSTQDTAFQKETKERLKLPYDLLSDEKLEFVEALKMPIFEWEGKPLIKRCTIALRD GKIEHVWYPVFPSNENSTEVAKWLKTTKKD LY89DRAFT_651629 MAHTTPTNIHLRSETKALERRSALSPTSAKALLGAGYRINVKRS SGRIYRDEEFEAVGATLVPEGSWPSAPKDHIFVGLKELPDDGSPLPHSHIQFGHCYKE QDGWAAYLSRFAHCGGILYDIEFLTGEDGRRVAAFGYYAGYAGAAVSLMAWSHQVVHP GGPPLGTVPLFPSAPALVESVKAGVSSALPHNSGHQPRVIIIGALGRCGTGARDFCLA AGVPESSILNWDMAETARGGPFPEIATSDVFINCIYLGATRFPPFVNVKSLSEPGRKL RVVCDVSCDPNNAHNPVPIYTEYSTFIKRTLPVQLDGDGPELTVVSIDHLPTLVAREA SDEFSRLLLPSLKTLDRRNEDGVWKCAEKRYRVKVNDLPAES LY89DRAFT_591828 VDFRNRAIFPGFNDLPDENNVSLNFYASNHEFTYQPRQHLLFLA EIVDFRTLVRLQMDIKDIDGRMIPLFFYTDGRGSELAPAQVWKGYTAAILYAQRHTFM TSERGNPPQTQFMFLTTCPHQIFPLSLNSLLALSGRVQQFSTEMNGTRPCHGCGKRAA SLMKCTRCSLFWYCNGACQSAGWSEKCHKADCKLLKDSDLNGLISLKGDNFEGHVGFP LNTARRICHGEAVG LY89DRAFT_699258 MADVPASRDGLYTIKPITGKGRGFVAASKISKGTRILLEVPLLK TPDSTGDISSAETIVLREVKSLTKDQQRAFFALQNVQGRRCTPVLGIVMTNMLPLGAS NSGGLFLEASRINHSCQPNAQHAWNDDLGHMTVHALRDIDADHEITISYISGVSIGYA ERQRHLMEAFSFACVCELCSLPLTARNDSDYRLDQVRSIEDAATDLDQVLDHPAKSLS QVHQIFELLEVQGICDISIARACFIAFQIAAMVGDKARAKVFAERAYAARKVLAGDDN PTTIEFKHLAERPVDYHLYGKSMKCYDDSWEAPRGICGEDLENWLWSTDEWSRYSSS LY89DRAFT_672642 MPRRAPDNDWTPSSRIKTKIAGHKIITRSSGKVTKATKPSKITK PKTTTEKVAEMVAKAAKPVKAKPKKTTKKVAKKVEAVKPVKAQPKTMAERFAEWKANP TIPKFSAVRNIKVVGARMEA LY89DRAFT_754172 MASQFHRISLRDSLGVRAIIILALTPPVILGTLGFIAFLWFGNH DNQTWRRFMVHSWVTRAVSIAALIIRLATSLQAGIAVAMLAALALEHYGVPLIDAVAL AVMRSGETTPQTLFGRTVLGFTMKPKNLHWIYTLLTLLLFATTSILQLTSTALLSDLQ LGPLPGYSNSSALSYDFQWNMTALSEKQNTFIPMIRRQTPWARNPQFYPTFAEFSSPA PPRSDVDDTGVLLRAFLPMTDSQQRNILRNYTGKAFVLDSRVACQQPILTDLQMSWDP SSGSVFSVNGNYANSTSSEGLLTPSRPVSFMCPSYFYSDGYTVCQLQGSGNFSGNPGG ALQSAFINATDYNPLPYSLSPMSIFGAPYLVFNSTIDVLAVTHEGEPPLDLVAGQTAV PGVWTHWQVPTDETYESNPSGFVADFNITLCYTAFDTAGLEVNLYGDSIRTEPLPQFQ PSEQSYTFDDIIIQLGNNNTKASAADRGILQMEERDSWIPEVEDTRPGGVQPWIQGMT DMETVSITPSHLIGHYTSGNSSCVLDLADLWYITSGMVNADPSLIQLFTQSMATNQSV AASMSSLLTVLASMAYYDQIAQFQTSDQVSQVYFETVLFPQHSRGFAALVIVLCLHVF IVVTAAVMFRRLTHYTMIGNAWQSVAQVVTPMTQGLLIDNTLAKDSSVSRQLRQEGRM ADRVKVGYLEDSDNGRYGLVHAGLYKRRNKVQMGIGMDPLVPRRMDHESK LY89DRAFT_754174 MLSSILFSGVLLLATALADCSREMLKNATDAYISAQSSGHSTAM ATSLSPNISYTENEKPVDIKTGVLTRSLKIDLNRTIHDTIACGTWTELIVTDPTHPYV IQTRMLFNDSKITTMESIVTQKGDWAFNATGYLYWDSMEKWDPIPAEKRDTRAVIQAA GDAYFDRFDNINVTVPFGTPCARLEGGAYTGANNLTANTCYLGLPSTTKVTNRRYIVD ETMGVVSMFLGFPGLDRSQGMAPMPDGHTFRVEGGKIKYIHTVSSCVQAGCGVNGTGP PSM LY89DRAFT_672645 MGFMRSAFKMVPDPTCIVFLLASFLPEVVAQNHTNITGSAAISD AERVGFEWGSAKRDTSSLHQTCILTMLSCTYHVIHLNIFGPQDTINTGYKRKLKWMLV TLCAPEIICSIALRQWQESRQTVKDMAARDRQIRDRSKTNAVAKVIALVQTGWLICQC VIRAANGLPISQLEIATVAFAACTFVASGFWFHKPLSVASWTPVHYEGALPYSWTPRS KGSLAREAAQRVKMTTRMVKRGCQKSMFFNLVFPFFCFSSIFSVIHLLAWNFNFATPR EQLMWRICCLTTTLAPFMIAGSLQLASIWDPAAPGASTQKVAAPEFAALVILCYFTSL LAYFMARLDLMFQIFYCLRSMPVGIYSSSMSWINYIPHA LY89DRAFT_721442 MSSVPHGDVDLAEAVPALVGSILSAIGTLFILATYYFIPPNPTH IRHTLIRNLAVAEFGLHFTTSISGFMIVGRGRDLNDGPGCVLSGFTTQLFSTAIDFNM LVILMVLSYMTYRGFNLKKPRRSVTLGLIITPWLFPSITAIIGLAENLYHPVSGNWCW VDANPVYLRFVFKYGSLFAIMIALVAMGLFIRIYLLKQKVASPEEGHRPEVLSAESEK KDKPQYTCSEVEIDAEAVSPTQSDKKDKNEYTCTEVEEIEVGDEDEALVMSERRRTLQ LLSGYPIFYVILWLPGIANRVAEATGHPSRALAIAQSTNSYVGFANAVTFGWSEVRRQ FGVHKMLELTLGFILSG LY89DRAFT_672647 MPEPKSSEPPAWFLWFVLVCLVILVRLFVFLLMQAYAFMFLLAN LNPQIRVIQDISEARRVLKLSSSKEDPQKSQFMVTRLGIDNDLTTSHFSVPTKELREQ ENLAKKSDEQWIVLAEAAVGYVTDTLSTLDHGEAAPLRDFVRCFVFRMTVLKMYPNSS ASPTYTDLLVITGSSETKELMRKRSVLLSRLHTVFGLDLGTQTTTQPSRLRCLLATYD SMEKVVFRGFLELSFRHTGKGALKSPLRRFLQRSDKAEFEREFFAHHFSMKDIINETF RLYPPTARILRETWGGKVEVDVEYIHRDRKSWGDDALCYDPRRWHVGRANQNAFIPFD TGIDLGTTEAVMGPRMLAILIAALAQTADHFNLEGEESEDVLKNSEPLQTHRRAYLDL ILRRKSRWV LY89DRAFT_721443 MVSSFYQHLNVMKSEIRLLEILQNTSNDAAYRLSTVSLDDSPNF TALSYVWGDAAVTDDIVVNDATVPVTVNLSSALKHVSKHWQKTFQDRNSNSFRLWVDA LCINQLDIQERNEQVLLMRSIYTSAELVLGWLGPEDEKVPLALETLQMLEPEFGDPQD PTWDINKLCDFAWLNKYPGLNEDDPPLVHSLTEDKIWSALAENKVWSALDLFCHLPYW KRVWIVQEMVLAKRLLFISPSTSASLETFGVVCSVLLLITQELNKGHLSRPHFVSRST WSVLSLPNGLQSKYAPIALSTMCRTMFISRKTATLEGCRIMNTMFARAGAIRGASNPK DHVYGTLGLSSLHIVPDYSSDKSVGDVYAEYVSAVMEGDRESGLFFLSEAGIGLFEND LKLPSWVPNYPEISQGTPSWRYSGNASFGTFGSDVPVTRISGRILSVAGVHMQTISRL GVSPTVEGLTDKQLLSFIRDFTSRHLKYATGIPALQAIYRVFTTSNTYEFNVPNLLHT YAFVHCLFNNVLMEHDDVEDIWRKLVAFGLPHLGQDAHFNILNTFFWHNDIGPDEIES TSARIGIPDSRELFLEEISNAKTQLSRDLSANTDRRLFETDSGYLGLAPEKAAEGDLV CILNGCEMVALLRKHGNNYLFVGLCFVLGLMDGEARAYLDAGRTKVKIFDIK LY89DRAFT_672649 MPLFRCPRLPTCKCSRHTSSALIVKSSPKQTRIVRRIDTLSIQD VLAAQNASKQRKSIASTGPPLCDADFAGLKPGIALKQHFIVIREWHMSDVLSAQNFSE IEQRVMYTRDRFITNVPIARRVSARKERKGVTSGGVTISTIIRSRAQTSNQLNDCVLT STMEVVDHEGGEDGGWGPPVRHWTFRMRRRTENNR LY89DRAFT_737415 MTYPTKISWQFLLFASMVSSSFSAPLNPSSSTGSLVTTAGDCYD YNIPVTTKINAVNWLLPELSDNFAVAGFFADLIARDSSTAFHPVSAPQNTTSFANFSI SATFCSPKINSGHDKTVLLASHGLGFDSRYWTSQANPSAYNFVDFALSRGYSTFVYDR IGTGKSTKVSGYGESQFSYQLAVLASLTSSLRQGNYTGTIGEPSKIVHVGHSYGSILS HALVAEDPTISDGVLLTGIAYNASTTDFAAFVEASRLNIANTVEPQKYPGLDSGYLEP ADVLGLAAAFFHEGNYDKEILWYADEIAQPLSVIEFVTGSPLMLTKPIAYTGPASLPA NLLCDCNGILQHPAQEIFANASAFEAAVHPGSGHGINFNYNATGAYGAMLDFAKKNGL LY89DRAFT_591637 MSKKNKHLSLSKTPATLQTSSETTPTAWSHKPSNLTIAWLLISI PLVIWDTIYVLFRPLTMPGGSLQYPLYIPYEIYLQTDYIYGWKALEQNNGFTAAQATM NIVETALYCWYLYIVLDRKYVGGKAGTLAVLVGFSAALMTLSKTVLYGLNEAFSGWSN VGHNEWGHLVLYYIIPNGSWLVASALMSMAFGSEILQGLSLAGGEVLLE LY89DRAFT_622311 MATNKTDKRGPTGQKRPHRKSRRGCQQCKQAKIKCDEQKPSCGH CSRFQSKCSFLTTTPTPFSASDSSLRSPEASPSTLNQSHDTRCSTASPSAGLITGSGI ALDVNNLELLHHYTTTTSITLSARPELQQIWQQVVPRLAFAHNFLLHGILAFSALHLA HLQPERKALLYAEASAHHDLGLHMFQTAMTCITPQNCDACFAFSSIIAAYAWASSNET GDLFFSDLSTSEVNVEWVSLLRGVHTLLQVAGEWMTNGPMSLMLQDRHIDPELANAVD SEVSAKLIALRQLCFSPGLNVKDAEVLEKTLILLQEAHGLIASSSIDHEIDAVLVVYG WPIQVPEAFFTMVKEQRPEALVVLAHYSLLLNKVNQLWYMKGMSRRLLKTIHGKLGKE WESWITWPLQLLVLAEFEGGGDSGGLL LY89DRAFT_158312 MKKFIQDLRHKTTSGQVAHRSSAPKPDIHHTTTDIAKWIFEYFY SPANGQFQARAKSESTLGENDHFGYVVWPVIIMVQAAAECLSGAEIQTAVASLQTYWN PGRHGFCAWKMFPGNEDIYFDDNGHACQALISVFQATGQQQYLEQAKLILRSLIMPAA AQDGGVPWHTNNWNCRNACSTGPAAVAALRIYEIQRDEEFLVFAERALQWMVTNLRDA DDGLIWDSFVFEADGNRNINKMKWTYNIGFAIHGFALLYSITKKREHLDTAIKFAEAA MNSNGSLFDRSIQRPEERMYSDASFFLHHLVDSYGALSQHTMKGRLSKEIARIADWGR MWVFDQEDGLYFRGSCPYTISEDLTKKFNKQYDLQKDLEPNGQERDEKGNLCKTLIGN AGWIRILKAAESQTLQRP LY89DRAFT_591777 METTAQQVTSEEANERTALLSTVNQPSQNHGTLPHEEAIEDDED GQDIDPNEFDELLSRSENITTGLGIEAESQETAMLRGPRRYSKARSGSRASSHVRSLR RKSFASTVGSIQENNIEDEIDTEPKSPFRHVSVSQFWMIFGGLMFATFVSCFDSTLMV SSHPVITSYFQSSNSASWLSTAFLLTSTAFQPIFGRLSDTIGRKKPYIFTLIVFLVAT IWCGLAQSMLSFIIARALCGLGAGGMMSLSSIIISDLVPIEIRGAYQSYVNIVFGIGS SLGAALGGAICDHLGWRWLFFLQVPMVILVLVAICLMVPRDLGLLKDVQRKSVLEAMK TFDFKGSILLTTSITFLILGLNLGGNVFPWSHPFVITSLAVCAVGLSLFVYVESRVPL PIMPLALIFSYPRAGLIIANALGAVIMNAVTFNAPLYFQAVLLESATSSGFRLIAPTI VAAGFGTATGFLITWTRRLKLYLVLGVFFLVTGTVAMSSMQRGWPGWVYVLCLLPGNI GTGFMFPATFMSVLAVSEQAEQAVVSSTLILWRSMGMVFGVAMSSLVVQNTLYLYLEE NVTGPDKAKVIEEVRKSVRAIAGLEPHYREQVIDSYASSLRATFIMALILSLVATAMT LPIKLPRLGQRKR LY89DRAFT_651646 MSATCQASANFLAFNDEDIKAIRNNTTTKKVLERDIDIRLDCEV LLETTQFRNGTLQVKNWRTGATVLCGECDFCTLLLDGLQREFSSTTCEWGVERILGKE GEKIWFQLNKVEFGRLPSSFLNGWENLESRGVSVLIFRGWGTCLGESSNMARFDVEVT FEFFTDRVDPFIELLNIYRRSLESTPLSESNVAKIKNWINDCDENHQNCWSDDSTSNE DSMPYFLPTRLLSIGKEGGNVRLVPTSFLKADPKTAEKISYVALSYCWGSAETAGGSL LMTTHQTMKSRLQRIEINTMPQAFKDAITVAHKLRIQYLWIDSLCIIQDDPPDWQKES SNMAEIFSNAYLTIAAAQGSSCNDTFLSRELLQVEIPFQIRSDEFEEKDCVLGLRFRR PNTDKMSEINQSRWVTRGWTFQEDCLARRVLIFGEKKLLFSCRTVERVEDIDAQKTRP HWVDNVLDTSTGRNTASTKRSEYDHWRDLCRHYTRRFLTCPEDKLPAISGMASLMAKR REAEYLAGLWKSDLEHDLFWYPTRSVESVRIYRAPSWSWASLDGALAWFDSRTCSQNS CQMHCTILDVGTIPLGLDPLGAVSDGFLKVSGRLLKIDVAWTDDPNKYRWRLDFAGKE VARAIPDDPTRSDQSKIIRRQSFWALLFATCQGDKQRPRGILLKETGRQRENWDEFQR VGIFKVLYGPASNERTAIDAWLRMEERTIIIV LY89DRAFT_785143 MEQFEFHPAATHPGRTWLEKASLGSKIEEMILNEDPTNGRRTLL QRHEPGSLDAKESLHDYIEEIFIVEGDLGDKRTGLIYEKGYYAYRKPGMVHGPFFSEK GCLMFITCTPVEEGEKV LY89DRAFT_622322 MLDEEKTPDQAAVAAEPPYSIFTSRQKALIVTTVSVAATFSGFA SNIYFPAIPTIALDLGVTPELINLTVTSYMILQGLSPTIWGAVADVHGRRVTYICTFF VLIGACIGLAETRHYYQLVILRCLQSAGSASTIAIGAGVIGDITIRAERGGYMGIYQA GLLSPVAIGPILGGIFSQTLGWHAIFWFLAIYAAAFLCFLVIVLPETLRSKVGNGSKP VSGLSNSLLGYLQRRKLDPESLDRTVTSTSIQKALPVDFLGPIKIIFTKEVSCAIFFL AIYYTVWQMVISILSTLFKSTYGLSELQIGLCFIGNGAGCIIGTLTTGKFLDFEYKRC QKSFDGSPEDFPLEKARLRTLWLWSFLQLASVLVFGWTLQYKIHISVPIICTFILGWA ATSIISVVNTFLVDIFPKKSASATASLNLVRCLMGAGGTAAVLPIVNGIGIGWTFTLF TGVMLISLGLVVLQMGKGLKWRKKRQAKEMDGEMLET LY89DRAFT_542185 RVIAVLGATGAGKSTFIQRATSSDKIIIGSTLRSCAYISVWPAN INGVNVALIDTPGFDDTERSDSEILEDVATWLAATFEQDILLSGMIFLEPVNANRVSG SEQRRIRLFEKICGKHAFSNVIIASTMWNTVAIWPSSRRTTRNLMKERTNTAGFWGQM MLHGARYEEHADTKASALKIIRMLLQSEPRPLQLQVELQGNGSRLCLTTAG LY89DRAFT_737424 MDKLKMAARTPLCKISIDAVGHKRLPIALSICHESRELALTRYS PLLTSDASTTETVLRQFEQQSYGNVVLLGVRHMQIDFTRDTLMIFEPEVQISSIASYI HGERVERLVWSRITSSYIGPWDINWARFAVDFPDLKYLNLVFGTSSWAAGVSGPRVNE QMIPMDSNLEDLFHFYQDITKRPHSNVGRDLSTLFFEFSHVARNRRNFWNFVIGPSAP SPWYKLSFETSFWTTKRALDSLLSLTYPIRVNSVYQLPRHSHKTWRHLEFPRIVLFRR EVCDMDDQLFSRYEGIQELFREI LY89DRAFT_721451 MSLRIPAKRVVATLKASHASSSANAIFKRNASQATAAATNLPQE TRNEIYRNATLSNPDPPADSATAALVNEQAPYMLKTYARPPPMFVKGEGCYLWDVENR KYLDFTAGIAVNALGHCDPEMARIIAQQAKTLIHTSNLYHNPWIGALSELLVKKTREA GGMHDAQSVFICNSGTEANEAAIKFARKSGKVVDPSGEKYEVVSFNNSFHGRTMGALS ATPNPKYQKPFAPMLPGFKYGTYNDIDGINDLVTEKTCGVIVEPIQGEGGVNVGTEEF LVALAKRCREVGAVLIYDEIQCGLSRTGTFWAHASLPKSAHPDIITTAKALGNGFPIG ATIVNTSVAEKIVVGDHGTTFGGNPLGGRLAHYIVSRLSDPNLQESVVAKSKIMVKHL EQLQKKYPELVKEIRGKGLILGLQLTQDPTPIVTAARERGLLIITAGKNTLRFVPSLS IKEEEIEEAFTILDEAMRVATSPGEHPAGKQVSGEVDSPN LY89DRAFT_737426 MSSKKKTKKPPAPRRPRNLRLGPKDFTLFRKLPSEIRLEIYKLT LPPAQLITITSEKKVDKDTNLYYFEVGALYQVPTLLHVCKESREFAEKYYSIQLQDNL GGNGVWMSSNDVIFFENDDTFFAFFDSDGSIPKTTLTCKLPAIAFKEWLWGSDPTVQV LFKMGQPADIYQLRYNGSPSIWNVGFENNLSTIWDWEAKMLGYDYLRPNVHTITFKKM REVLEQCQLPTLIPRPETS LY89DRAFT_672661 MSDHKHMIVVVGAYRFFIKCFQRGKASLLVADLSFGFDTLVNIL FFTIRISQEMAGQKEDVGASQSGPLLADDPPKRKQSKYKRYTPRKFDKFFNKLPFELR QEIWLLSLPDGQPIVVTARRVNVENAEVRETETIPSYTVPSYLMVSKEARQFSNQEKG IWIDLARDVLTIVMGEATDSFLGFKCGAVQGPTGFKHEFRVTRPLTEKIHMIAFEGSY HGVHVNFSPVLLGAMGKREKVYLVRYSGFAYDLTPTQLHVNTSWVEQVHDAIRLQYLE PTVAARTYKALRADLAELGPGVVKKRPQLEAGEVTMVDGEN LY89DRAFT_158369 MLRSQGALYNRAGQHIGLDEVTNLKPMEVPKEVIELLMLRQPTM PVRSNKKERRNKKKKNSQLHRERAALKRVTRLGNSDGDNKFKFDPDRPYETGKTLTEF TLFNELAPEVRFMIWKEAFPAPQAVKIRSDAVNYEQRPTLLTVVHRMRYRAKGSYVPS PLLSSSTCSRL LY89DRAFT_737429 MSHSNPTFSSKKVPAAVQRIERKPGANADVTITAHSIERNEFRT SARYYRKGSKLRPNMCIFIAASNSIADDLLSGTTAPEKKTFLAMKMFEIVICEQCLES VEGKSTNLRIVIKVPSHCTVTTFAEYKRSGYRAFLMKAVDALEFFKSMQVMDVVLDLP HCCTTDMPDIDAAWGFVFPFYSLTTFTNWKIRFQFYGGRSQMIFKANLRKLDEKYDAF RAGGT LY89DRAFT_672664 MASKRMLLNSGFFIRVLLSSCSLSPSYSIAYFFLNLIPTSQHIY STPAIDLVFDSELLITISIAKKSIIVASRDALSGRYSQDQSSDPTTSTASSFDSQTLL LNASFDVFPKLPPEIRDEISVKRAATTCTYRHKFELLPVLLTGSLATGKLALPRLRYI HISVNEPDVDGDQPIATYPAVKIRTQVPGMLGACQHSRAIAFKYYIPQLHIRFDGSPI NIDHETDIFAFESERTIPGFFGDDSRWNDEMEAFLGNIRKIMVRGRQLSFCVGIFFTV LKKVKHISLETESALTLGLSNTVGFKYNKTYDMLRQSWQVWGRSNEEFPTLHVVSSGK MDELVAAGRSVMGDSAD LY89DRAFT_754180 MYTMELQLPGGVLRMRFAPNLLELAVSFTYGLKDALLCPRQISG SLITCCSAHACLGDQYLGLPTVGGDEPRAGNRGLEDESEANRYDMEELDDSSKDFHTE LDNTVDECGLPEENAQRNEDVDSKYKQLDNRATERLDLSLEELYAKLLQDVENNDEDE FGQKIELSAEEFLGRVACQDERRAARDAGREYQYAGKELYAITTWRLPTLASSYKHAL AKEAWKASSSSLFGHAPTEPVEFLPFPRLPVELRLEIWENAIPKQRKVAVISLDGAWS TLYSTRGRVERGTAFQSRALTQVPAILHASRESREVALGHYKPCFGAHFDGNPVYFNI SEDKLLFQN LY89DRAFT_785150 MGILQSTMNHESIPESAQSTISDTRVQDQQQSAEPNTDQYPDIR IALTSFTLFPKLPIELRFLIWKLAHDEPRVLNISKSDRAREPFSPSTYRVRPMSSPPP LLHTNREARKIGLELRQLCFKSMLNNKPMYYSFAKDSLLLDGVETCYCFTHHYFNCSP LRGLRHLAKANDEYGNLPGSVQTVIYRPRGASLRALRDFHSVERLVVCRDDSWPAERY QRIVLKNLSKWWRAKLGSAFILPKISVLSRAEMDRVTNNHQIDEQLIQERLVPNY LY89DRAFT_687549 MQPSLSAALSSASPITILAPSNDAFTKFLATAGGMSASKDSGMV AGLLEYHVLNGSFPSTAFTTEEQFVPTLLTNASFTNVTGGQVVAGMLSGKTVEIMSGL KEISKVTTADVMFTGGVIHIIDTVLTVPLPPSTSALDSSLTALAGGLEKTNLVSAVDF LKDVTIFAPSNAAFQAIGSATTALSTTQLSSILEYHVINGTVGYSTLLSTGLANETFP TLMGTEVAVEAVNKKVFVDAAMVTITDIIVANGVMHVIDNVLNPANSTATPNPAASTQ AVVFAGASSVSQVPFTSGVSATTTAPSASTMTAGAERAYGTMGVAALLGMGVIAGAF LY89DRAFT_651659 MERTRSLTKQRSPSSSQDAAEVPALPPRETNGDQKHGEDDTRQP NSPLLTSHRPSTSSLDNGELDNVSLDEDTNAEKSPSPTSAGPKSPPPLPPKKSPLQGL SGKLPSVPWAPPPPPHTPAPAALPVPAPAPTRKLTSPFSWLSRNSSQPKETIVSPPIP SPGERRNTASSIATVGSNPEMMMSKLEEGHESEGPNGKGRPARNSLRDRFKLLRMREE AGITELPEDDKAVGGLAGLMARTPTFGMSLGSPTAEDTAHPPMSPGPSSPNPALAPGR AAGVSAGPSAMTDPSAPVDWDLWQAVVDEGPAAVARTSPEELNRAITTGIPHAIRGVV WQILAQSQNEGLEGMYRDLVNRGTDKDKDRMSGSSGAHSTHSVNGKEETVASSASSIH SDHSSPANTLMNGLRSPSPPKDPDMIAKAQAEKKKKAKEDAASLQKLEKAIRRDLGAR TSFSKFAASAGLQEGLFGVCKAYALFDDGVGYAQGMNFLVMPLLFNMPEEEAFCLLVR MMNHYNLRDLFTQDMPGLHMHLYQFERLLEDFEPALYCHLHRKGVTPHLYATQWFLTL FAYRFPLQLVLRIYDLILSEGLSAIIKFGIVLMQKNAQTLLGMTDMGALTNFLKDRLF DVYIDQAPSAGSILESGFFGSSGASIDKEIYRADQLVQDACAVKITPEILKAYTAEWQ EKAQMEKERQAELELLKATNHSLAFKVRRLEERVQEHDTEHAALATDLVKTKVENEEL KDENESLKGQVQELRSVIEKQPEEVEARLKSEMDRLMKRNQEVHEENSKLEEEMSEME KTLVETKMQLAEESSQHEALQRKWTDLRKAVSSD LY89DRAFT_754201 MVRITKTMQTKHLESLSPWIKEFRNAASSIPLPLLPQKLATFPS RWPFPRGDLYHWIPLLNRFDEIYEQFAKIYKLDEGPQTVDFACTLLLSKAGESDEQQQ NGHNLESLGFSQEGDRELVESILSFTVVLLQNCGNRSIYGSSANLNHFLNSTSLSLLE GTLRLGSELGQRYQAALKRTNIPGRQISHAMLINHYNIDLERVQQLSLPFSKTITTAT ESTQPTTPATPSAKGKEKAYFSIPTTPSKGPSITVHANDLVSMVKGGPGVGGTPKSAR NGVDVAVHQDSWEDWGDVKITYYPKPVAESESTNQALRPPNPSSPSVPVTPTPVRRSS NLGPHGQRANRQSISEDTSPSLPRSSTFPSDDATRPSHKVIEISASTLKSTGIHTLLR EHAPGLPQELQYELLTKLRVASALTSSVETRRQLLSVRLLAITNLSYIHLLESTFLET VMKQDSDEPRRMQLVYQLAELVHPPPDGEIPVPRPIQTLAFACLDGLCNHQSKFTDVC AALNTNVNHGVLLYVVRKAVAGMNQEDNGDRLDGEDEWREALFSLLSNLAINPRTGSE MVTAGLIPILVEVLTLRSSIAERYHPMILTFLDAIMYSTRDAFQTLVSAEGLDTVSNL IVYEVNSAKANAAAGKGMRPEYRSGSVDYQIPFFQQQTLKWLFKFIHHMMSSAGVYGG NFDRLLRNLIDSSQLLGSLRQIIGDARCFGSNVWTNAVSILNDFINNEPTSFAVIAEA GLSRGLLEAITGRPVVMPVDGPKEETRPEPVNTDDDERSPSPPSDRDDSEDERYNLHD LSSIELPRNGPLARGILPTSESINIIPQAFGAICLNNAGMKMFQASHALETFFEIFES PEHVKCMESIKDLPSNLGSTFDELVRHHPPLKEAIMTAILNMVARVDQLCMNMAHREN LGAKLWATDSSGKVVVADKEMKVSLVDRSVKGKGKAVDDSDVEMVDADLPSTDAHSNQ TTSSDGSSKASTTPYIAAVSTFLSGMFSNSTVRSEFVGKGGLEYVLSLAASRSLPYDF ADSNASRSVHQVIAQLAETKAHLVLPSLLKKAQQAADILQPFASFDGNVPFFEPFVKP DAAQSGDVEFLAKGTDFAKAFVNLHSLVASINTCFQASVYNHRSATSIFTMTNVNDYY IKLVRSLGPLLGASLKEEMRLQMSVPDQWKDEARVKDPLPTETGASEPISSAEQPPVT LGSSAQNNPNNLGETVPLSEGDVSQSPNSKKSISKPEQDTPAFKNYQTLRYLLAKMPR TVQPLFQTLGKAVVTKRNPDHFQKQYHFALADALVESILSQFVGFGEASSVDNFDYWR GLLNILNSMLIDESRQNDRPVQTITLIVQSFKDHGGLKTLNDMLKVFAATIQSAPTSL AESLDKSAAAYLKLEFATAGVKQILKIYSQLVNGKNVTEAVQTIGLTSRSDRERGRPD HFSPGQLIVELRMAILPVVRQLWGSDLIEKGDSQISEKLIDVIRLIAAADCEAGAVKR TDKGIKSVPAVRKTFKPSTDGLASLTEDFLPDLAEEALYRCNNNENSAIEYCRLILHN GAFSRNPIPDGDIAPSPESTALPSLQSMVGSLANPSRSTGASTPAEDHAMGGTTAGSD AHATVEQTASSFLEPFSDMAEDSNGIGEDNDAFHAFLNTLHSNDPAAGTSPPPPEETP RKQVTIEDLNEEREAVRDNLIDKCLDAINAHGEVTFEVADLITMVVNKSSDPAGQRKV VGETLVIALMSFAGEEDLRTCGKKVAAYAHLLALMLRDKLFYAAAVSELKENLSTLLS FVKLSPNHSADEPSPWIAHILLIIEMLLSEDEKPQKTKWTIPKDDNDTVEPPILEVSD PTVSKDERSQLLDAILDILPRIGKDESLALAVLRILVILTRTRAVAQAISDKKNIQRV FVMAKQLAGASSTRIQGPLMLILRHIIEDDETIKQIMRAEIKSFLENNRQQRNIDEKH YIRGLAHVAVRNPELFVEVTNEMVKFNRWTYPSADGQSRHHSLMLKEAQSDASRTSED AVQPTVQATEDLTIQDIKPSTEGPDSEMPDAAKPAVVDHKLPVIENPDGVIHFLLCEL LNYRDVEDKDPSVAINTAEKNGVQSNGDTAMGETSPSTDSTTKDPKTAKPATKQEFKA EEHPIYIYRCFILQCLTELLASYNRTKIEFINFKRSAPPQAMTPSKPRSSVVNYLLFD LIPVGTLDHAETTSMRKKMITSAWADSVLTALLCKTGEQPIEKDREPYNSDTEPDLLF VRRFVLENILKAYKEASTSTENLDIKYARMLALADLMNHIMNGKENVGMSDTAVAATS QKQLRRIMFEKGYVAALTASIADIDLNFPSAKRAVKYILRPLKTLTTTAVNLSDLALI SDTTGQDEEEIESATSLSEPEDDREETPDLFRNSTLGMFEQGREEDSSSGDDEDDDDE EMYEQEYDDEMDYEEEPGDDEDGISDEDEEIEGMGPIEGLSGDHNLDVEVIMGDDDDD EDDDDSNSDDDEEHDSEDDDAHVEIIDEAGNVQQLAEDDEMGEWESADGDDDDGEEED YEGQAADQEEEQLHAMEAMGGPLGHLVRALGGNDDAAQDILERMEAEGVDMDEGDDDE RVAGEYVEDGDEEEDEDDDDEMDDEDMLFDQYPMDGPGFDGGWQEDVEPPTVVHQRRR GGGFSPFPSLFPGGPRDPLGGRLPLSQLSRMIDEDEDDLRIREVLMPNDGSVNAQAAL VFLDRVEARLSRAVGSRTNDPLAVPDYRSSRLYRSNPPGGAAPRAADEGINPLLQRNG AASRDGPGRTPAVGSWIQAMGGPGEILDIGLGRPFGEPATRAILDEVMRSLPPLPGHI ARHGHALQFHITTSPGHQLPPDLQAMFNMRPSRFEPRRDNTESGSVASFTPQSTAARW LEESKLLFGASALERAADLTTAILSLLVPPAIEADKALKAAEAEKVRKLEEQLKKKQE EERVAREKKEEEERIAREKKEAEEREAAERAAAEALAARGEDAEAAEDDEDEAVEPTS DAMDGVETETPAPAGEQTEESAADRARIMTMMRGIPFDITDLGIDPDFLTELPEDLRE EVIMSAVADRRQAAAATGAQPSEIDQEFLDALPDDIRDEIIQQERQERRRREREERQR QAAAASGGAASAEMDAASILATLPPALRSQVLMEQDEETLALLPPELADQARAELRNH PAHGRMPGFATRRVAPPAGDAVPVNAQRPPRRAIVQMLDKPGVATLLRLMFIFQHGSL RTTLNSVLQNVSLNRHNRNEVLSTLLHILQDGSIDMSAVDRSFAHLSLKAKQPKDAQP KTPQPLKKALTGLGPLTTTTLEASPLMVVQQCLSTLVYLNQVNPHIPAFFLTEHDSSG GLKRTLSRKGKSKESKAAKYALNSLLSLLGRDLIMESSTVMETLSSLLNMVTSPLQAL QRKQKEAEEEQKTETVNATPSVTDTAPSATSPEATATEQPSAPQLETAASEVTPTADQ TPAQPLTIAEGGEPSTSNDAPKPEATKEQEKKQRPITPPVIPEQNLKLVINIFVAREC SSKTFRETLSTIKNLSTIPNAKAVFGRELISKAQELGEIILVDLEELLPQIKKASTGT EIQGVALAKFSPGGSDQNKLLRVLTALDHLFDPKREKKDTPESEDQAASSQLVEKQDL LSSLYENPTFGRMWERLSACLSAIRQREHMLNVATILLPLIEALMVVCKNTTLKDAPL AKSQMGKEMMLTSPPPESQMENLFFTFTEEHRKILNDLVRQTPKLMSGTFSLLVKNPK VLEFDNKRNYFSRSIHSKAPNSRQSFPPLQLSVRRDQVFHDSFKSLYFQTGDQMKYGK LSIRFHGEEGVDAGGVTREWFQVLSRQMFDPGYALFIPVSSDRTTFHPNQLSSVNEEH LMFFKFIGRIIGKALYEGRVLDCHFSRAVYKRILGKAVSVKDMESLDPDYYKSLIWML ENDITDIITETFSVDNDKFGVVETIDFIPDGRNIPVTEENKQEYVRLMVEWKLTGSVK EQLDEFLKGFHDIIPAPLVAIFNEQELELLISGLPEIDVDDWKSNTEYHNYSASSPQI QWFWRAVRSYDKEERAKLLQFVTGTSKVPLNGFKELEGMNGFSRFNIHRDYGNKDRLP SSHTCFNQLDLPEYESYEALRQQVLTAITAGSEYFGFA LY89DRAFT_651664 MATNSIKLLTGNSHPQLAKLVADRLGIELAKTMSLNYSNQETSV TIGESVRDEDVFILQSTAPGDINDGLMELLIMINACKTASARRITAVIPNFPYARQDK KDKSRAPISAKLIANMLQTAGCNHVITMDLHASQIQGFFNVPVDNLYAEPSTLRWIRE NLEVKDCVVVSPDAGGAKRATSIADRLDLGFALIHKERARPNEVSRMVLVGDVENKIA ILVDDMADTCGTLVKAAETVMAHKAREVVAIVTHGILSGNAIETLNKSKLSRVVVTNT VPLLDKQERCEVLKVMDISPTLAEAIRRTHNGESVSFLFTHAPTD LY89DRAFT_622341 MKLNISYPANGSQKLIEIEDERKLRVFMEKRMGAEVPGDSVGDE FKGYIFKITGGNDKQGFPMKQGVMHPTRVRLLLSDGHSCYRPRRTGERKRKSVRGCIV AMDLSVLALSIVKQGDADIPGLTDVVHPKRLGPKRATKIRRFFGLTKEDDVRKFVIRR EVQPKGEGKKPYTKAPKIQRLITPQRLQHKRHRLALKRRNAERTKDAANEYAAILTKR VTEAKAQRADLRKRRASSMRK LY89DRAFT_672672 MQPLHTFTFALLLPTLIVGLKLARHLDNPLSPRSIVYLCGPNPV DCGGGYCCDMYEVCAPLPSGLYQCLTPGLTVTNDDGSTITMTAEDPHSENVWWSSLAA EESSWGETVSYDSYAELTTAGESSPTGGSNLTSSSPTVTSFPPTATSTAPIIVPASTT LKSSGSRKIASWRARSLARLTNVWHWNFGKDVAQQKAAEKDFDVEQETSSHSS LY89DRAFT_687555 MNFSSLKTLLRLAPSIRTIRRELARASYRRCIACPRPYILRVQA KKRLRFARDYRWWDYAVHRDDGRQGGDWRKVV LY89DRAFT_158628 MILGLAAGKMWDRIQWGRASRDGVPEKSFFSLSSATGVWGLVKI VWYGFKPRNWTKRDHALLWSLARLFAIACLMAPGLFIMSKITQKVIYYPVPGVPSQSI VGGMGTYSPSLATNYSNSYTGIPALIQVLLRDPSTSIPVSPISPYCLASSSSCVSYLL PGGLSTVFP LY89DRAFT_687558 MDLYQYDTTGNTMVTFLRNILSIPLYQFNAMMWQLPTSLNISEL ELPAENYVKGTYASSQLRSVPETWTVWTYIGLCAGMLLIILGIHGWAMQDEIPEKSAF PMPDLWS LY89DRAFT_737443 MHSDLEKQETHEGHLYDGYPDYRMGLDEEESTAEISISRQRTRI TDPRSLATRIASHESRQPNFYHPLEHTPTTRNVIVDFDGPDDPYRPMNWPFYKKAYTT LIYGLVTMGATWGSSIYSSANEKISEKYHIGSVVATLGAPLSEVFGRRTAVFYPYFIG AIFAFDSATAKDTQTLMLTRFFGGFFSSAPVTNTGGVLGDLWTPAQRGPAMAAYAMAV ASGPLAAPVVGGAIVDSYLSWRWVEYTTGILMMLILIIAIIFVDESYPPVLLVYKARR LRHEKGNWALHAKHEEWDVSFQQLATKYFVRPFQLLATPITACMAAYASFVYGILYLC FAAFPIEFEEERGWNHLVGSLPFLATLLGCITGASANSMNTKYYISRVAANRGRPVPE ARLPPMMFGAIVFPAGCFLFGQTSSKHVFWLCPCIGAYLIGLGFITIFQCALNYLIDT FQVYAASAVAGNTALRSTFAGVFPLFAPAMFHNLGINWASSLIGFVSVALIPIPFIFF VYGKRVRAMGKCSRASCE LY89DRAFT_785158 MTAQETFRPTFPHATDNPYPRLTYGLPFYEACAKHLKETFHSQR PYIIASNTLSKKTNFLSQLQQALGDGLAGTWIGIKAHTPLDDLLPILEDMRTKNADSL ITLGGGSLSDGGKAIIYALANNVFTEDDFVKLGNRKDLASSGPDMNAPSIPLICIPIS LSGGEYSKYAGVTDPKSHLKIMYGHERMFPSLVILDPELTISSPERLWLSSGVRSIDH CVEGYLSTNAKPSSSTALLEALSLLVKGLLETKKNPEDLEARLNCQLGSNLSMTALYE GVWKGASHGIGHQLGPQGVGHGETSCILLPAVCKYNKRVNTGQQEELKKVLATEEVVR RVSSEAGLDMEKADLGDVLRAIFNALGMPKSLKEVGIGREKLDEVAENSLQDHFCRTN PIPIDSKEKALEILEMVVT LY89DRAFT_737445 MSTSPAKELVTLPLLKLQQLAELFAQALRETKERKPDVEYGIDV SHNTATSGTRTQIASSTARPERDGSDPAGHVTSSHPDMSESRDSRRNIITEIWKNVKK REFEATSTEKKEQPSLNLPQAKCRTIETVELLFQPYPASIDPEPQKWNKERAMVVAHR LRGLARDLDSEDINRSAGVLACIVASIASKDLEKPRLGTVLDICYQSGILDRPSSPNR TQYIEHASHVIRGLVASNEFLDFQTIEDSWRADWLDIFAERSLKEILGIELSALVQIL HAVDPEPTLLETATGTDFRADDLNFKTLQKIGGLRVMWSQKLEDHLRLDHQNKIIYIS WFPVPNLRTWREPSDFSGYQSFLLDNLPRTFLDSRWTNVRLSQQQLIGLEFELESSWA ILFATGGITQAKRQAVYESLWTPSWHSIPELERFFLENPWALQEQKDRQVQQGHTYNN DFRFNQYADTLQHIQDKEYIAYDIFPMLETRLRQLRYYMDHRKPQSFRELWNDNHDPL NYYTFWCVIIFGALTIFLALLSLSVSIAQTWAAFRVLKQSN LY89DRAFT_754217 MDLSVGQLEIRSMAVSSCCTQLASLLPSKVSFPGTSSYETTQSS YWSIQEASLTPSCIVIPSTPQDVSQAVGILSSMPDCHFAIKGHSHMPAAGFANIDAGV TIDMTGLNAVAVNDDQTVVSVGSGGSWLDVYAYLDPLGLSVAGGRNGLVGVGGLTLGG GISYFGPRVGWACDNVVNFETQIVLASGQLTNANASSHPDLFRSLKGGMNNFGVVTRF DLRPFSQGEILAGNIANPISERDAVFKAFSDIAGAKEYDPYASLVTGLSYNSSVKGSW SIATTAAYTKPELNPPVYDELIAIPSTGNTLHLTNLSTLSNETNTPPLNWQFLTGTYG VSATLMSKIFDNLNSTLYDFETPGSWAFWSIAFEPLPTVFTQYGDKNGGNSLGTSPKD GNAFIMLISALWPNSTFDASVEETAEKLTADIACIAEEMGLLHEFQYINYADPSQDPI DGYGPKNVEFLRKVSRRYDPKGVWQKQVPGGFKLGI LY89DRAFT_558325 NPLPQLLQTPSGLALLELQGTINLPSQDEEDTRFVSKNGEAISK ETPIGRLVFPDYDPQNASGTAWMKRVYMYVGKHQRLTGEVKKLSKALAVIRKRKNESA DPMEVDGDDEERIDELEVVEIVKYKILFSTRPEPV LY89DRAFT_737448 MPSATSPPETYFLRKPTTHVPNSPLPVLVYRSALPLNPTPETTC ELIEPNNWLKGGVFKHYPAHHFHSVTHECYAIFKGHSRLLLGRGPLDPASEDDLLVDI KEGDAIVLPAGVAHCSLESSDDYEYVGLYPKGSAHWDNNFCKADEEETKSKAQNAMDV PVPTTDPIFGAGGPLVEIWINAMGRAWKPYTAPRLAPLQD LY89DRAFT_737449 MSFEIEQRAIQEEIAPTTEHSLQLARMGPNPLSSLIPLIPTSCI AAWSLVAGLLSEDWRATHYVLILESLFATWMLYGVFMKGAKTDTEREKKDTIMDLPL LY89DRAFT_672680 MANSSNLELPAITGTSRRPVSITGKRPIKVESAEEYARNVEGHA NPPSAQSLYSGSNYANFAAYLRRPYQPIAVVNSQRATRNATPFATIHDLSLPEEDERR ISRFCTVEAFEKMIEEKKKQTRPSLLVFLQGHPSPEWLNTIGYLCNVDPESLLRHLNF QNPPKRKIFYITGFTVHLE LY89DRAFT_737451 MDMIKDHDSQRGGAIVVPKVVDPSLFFEFGDDRYVAWPDVSELK LHFLTEHSSVTVISLPQGRSIDTDWLEAHITESLELDDVLREDFLQTILLAGPGTEQL SSIQPFERLTNPQSRTWKIKRIESVGWQSGLLPGPYIVVGDQLWQVLRLYDDTQGAFL APVRKSIHGRDLTKLSNFADKWYTETKPRTKQKELPMAIVVPIDLFPTADTPQKRLVL KLVEDLEKHLKVEAQRVSISGLWDDQPPQAAAGESLQDYLREVGANTFLYENYHSTAN FRDDYRKKFGRAPFSSPFVTWRWKIGKQYTAEQHEEGMRRMKTYAEWFLDTVMQVGTA NTAYYIQPAWHQWWISPILGAPEIVVPVGQLPYESRISNQTEYLPVTASIMSQPGTKI VAILDTTATSIIIDTDMTLIEFTETFMKDVGRSLVVQSGKIMFPL LY89DRAFT_591083 MSAKTEKKVVLVTGANQGLGFEIIHVAALREPESIYILGSRSLA KGHEAAQELKDLGVKSEVVPVKLDVTDDGDIQAVLDLITSTYGKLDVLINNAGISPLA HPPSSPTSLPSLREAYTYLLNTNLISVYIISKALLPLLHAAKDPKVINITSGLASMEF NLKAAFVRGLRSLEYGSSKVALNGVTVHMQAAENDRVKVEGVQGHVRFWAVQPGILRT AFNGFREGAKSPEQGAEVAVRLLVDGEEGKYVLGGTYWKCDVDVMEEVPW LY89DRAFT_737453 MRTNPRSSFFAAVAASLARHGQAVDVDLGWYPPNATAINNLTQV VNGEGVYGFIYNNSNTPADEYGIYNWCNMPHVRKTEYVKPAEEYTLQYVEVIHRHHKR TVYASNSFPVESYGWNCDDEGLFYYGQPKTGNVSAASYWQGYISPQNPFIPSGFLGTC QFPQITAGGLDDSWQHGQDLYGVYHDLLGFLPSDMGDKVTFRVTQNVITSEVAGMVIN GMFDTVADVPLLIEASGVDSLEPTYTCTTSSNLFSAIESGSNWTAHLTAASSLYATLD NISGVSPTDSGFHASFDHYYDNLSARQCHAKPLPCKLVNGVNSTTCVTQDIADEVYRF GNYEYSFIYRDDYRSLAASYTSYGIWIGELTTHLRASIAGNSSVIYRHNVAHDGSMSR LLSVLQVDVMIWPGMGSEVVFELYKKGTSTTSAPSATPTACNHDNCLRQFIASSASAS AFCPTFTATAGATLPTFASNCDGDVSRVLSACSCVVTPTATSAPTSTATASTSGYYIR VLWGGKVLRSSNPSLGLMDMVPVETLLTYFDGLAGVGASLVYGKCNGSIAV LY89DRAFT_622358 MVPTAIPIITTHFKSIDGVGWYASAYFLGATAVHGISWKLYSIA SVKLIYILNLVAYIAGSAVCGTSSSSSALMIGRAVSGAAAVCLSPGVLRMVAVSTPFK KRPVYSGLFGAMYGLASIVGPILGGSLTYKVSWRWIFYIKIPSGLVVLTIIIFLFNTS KGARDSIANMGWRWRMARLDIEGSVFITASLSCLILALNWGGTTMAWSDPRIIGLTCV FGILFVLFAAKQFWRKEDASLPPRLMKQRSSLGALWFSFTLGSSLQIVNYYLPFWFQT VKGVSALQSGIMNFPLVISLVVSSILSGVMVSAIGYYTPFMVGSSILTAVGTGMLSTL SPQSSHVAWILYQVLCGIGLGLGAHQPIMVAQNILEIRDAAAGVSMMILAQNLSAAIF ASVSQNVFKGRLVSNLEQYTPSLDPATVLSFGAANLQDSIEPQYLGGVRLAYNNALSK SYLVAAVVAATSMVGSVVIEWKSIR LY89DRAFT_544839 GEAGIFLEGNFTAVNLYRLCGIQVCWTHQLEDHLQYNIESRTLR VYHLSQCLQDHLGCSTILPRSLAEETLLSLSILFPNWNIATEKFLRRSRNLQLHDTLF EYPCNAHLHQFHHWRSRISRLHLEFRSPGPTFKNLWFDRRNRLQWYTFWFAVAIFIVT IVFGMITIILTAMQTRYAYQS LY89DRAFT_672685 MLGIIIPILHPPRSLQLRNHPLSPRIRDRKLLAIQPPLPFQRPQ FPATSSLEPFRGLVLNDTGPGMEFLVRFMLSPYLCVRSLVCGFEDIFTKDDVGGYGGY FAAQTFDAVLTWGEEQEVGDVVALGEFDGFGGEGTGSEGRVAVRLGEELGYVYHGLSE SAN LY89DRAFT_754243 MRLHSSFVGAIIAIFFTTCSCDHQRSKKQDSTGDSTIKPINSSQ PVNGSGKFTGSASCLEPLARKTKYFPDIAQEHQDGGNTGSVDFPGPQGRNISMTTFAF PIAPLLFDHKGRITTGVITDSGDAIAAIDSETLQVISEWNHPAGIDLKVPYMQESLDG DINNIVVGSQQGRLFVVQKNNSVHLPTFTTMRDINLTQYLQLGEVLLNFGYDGLLNIW FTTGLISGTPGTSTAPQNTTTIGYLTPSDEVYTIHIPHSAVENGIAIQNTSVYVITGL PMSDMNSATAYILALAPGEKGIETLWSAPYNAGLSLKPGGFARGSGTTPTLLGDAYVA FADNNDTQIHVNIYHQAKQASNQSQLLCQVPVFHPGQSACDNAPNVHAGEDGYTMVLF NDYNTPGVYPSPTTSQPLNGPFNNLTQMTPGGVQIHIPLYGSDCRIAWEKPIRMSALP ILSTETGLLYGYEQNLHDSLEGEWVWYVTARDWRTGNLVWKVETGGGGSFDDDYQSTV LGRDGTLYQGVVDGIVMLKDGEGEGCDC LY89DRAFT_737457 MCFILFRLLKTGLAGLGAASVVNSARENNNGNRPQDYPMPGYPP QGYPAQGYHPPPGPTYQ LY89DRAFT_158747 MTSSLRKTRRTHQKSRAGCFECKYRKVKCNEARPVCSGCTKWEV RCEYPCARKSESKIKHQKNMAILRRQLSASSDRELTYEGNGSPTSGNSLLPVPAYEPQ LNKPIGYFDVNDMHLWHHFIKSTASTLYNPWLTELPHEALHCDYLMHGILATSAVHLA HLHSDQKDKYNLLACQHQDLALGPFQKAFAEMDPENCVNLFAFSTLLHLFSFVSDWAP KSPLPATYQPPSLQSLSQWIFCIRGCSLIVFQARPAIEASPFGRLIDKGKALQRSLEM GALLSPADDESLTRVKDVALDLPETKRITTVEEMEAYEDAIFRLRNLLAGTNEADDPV MRRAATYIWPVWVSETYVRLLAEKKPPALIILAHYCLLLMKAAGVWFLEARLTGLFNC ILEELGEEWWSYIEHPMKMFREMYESG LY89DRAFT_622363 MSELAVGQTVQLNDGRIALVRYVGTTDFADGEWVGVELEDDSGK NDGSVKGVAYFDCELNHGMFLRPKAATIIEQAQAPAKPTNGAAKKPARPSSVGGAGLG RRMSSVPDPAGGKRASMNAASPSPATRRPSSMLRSPTKSPTKQLSAATSGASTPRTGT PSNTRNPSVSLSKSRPSVGGSRTSMGPPAKPASATARPSLSGGIGVANRTSAPPSRTT SGRMSLAPRPRLKPGERVGSIGSQLSSGQASEGEGQDNGEEDRGASPVKSDPSDSLSP KPMSPVLSRTSAADRTRGSPSSSRSNASPSAQRTAGSSTAASREIEDLKTKLRLMDKK RMEDRDKLKALDKVQADRDKFEGIIQKLQTKYQPQQQEITDLRKQLKEVQTRFESVEN MQAEHDIVVEMATLDREMAEETAEVLKTELEALKLKVEELELEVEVLREENAELSTEM SPEEKTSQGWLQMERNNERLREALIRLRDMSQQTESELRDEIKSLEEDLRDFGSVKEH YDTVKEKLAQSEAAIEDLRQQLDNALGAEDMIEELTDRNMSMNEQIEELKATIEDLEA LKELNDELEINHVETEKEMQEDIDFKDSIITEQARRAAQQEETMEDMEYTLSRFRELV TNLQTDLEDIRASHAVTETESEQLNSRSRAMMDLNMKLQVSAAKTQVKTIDLELRRLD AQEASEHLAIVQLFLPEAFHADRDSVLALLRFKRVGFKANLIHGFVKERVNGSPPVGH EDDLFAGCDVLDKLSWVSAMCDRFVNGISHCTTEEFAKYEGALYELEPVERALNGWID GLRRDELKEKQCASELQRTIALMSHLAEVHIPPGLASYADEVHMRTLVMQSHLENAAA AIAATRTMVQNIIPSQGDEDELAQHFSRKTDAAITNTRSAKVVIGKAVRALEDLKTRS LSLTPDTIQTFDQCETATEELAKFARHIGDDLYVLLHEEGRVEPFTYLEVQSTVHRTT TSLFASSESDLFSTYSNKLRTLTASLIDLAALASDLEMTQEFERASAPWVLRSQDLKS SKTVPIDAEEEMRRLKDDNHERARLIAMKDQTLEEAGVKIELLESRMRDATKKNERIN ELEKKIENAKRREAELAESIESQNRELTILDADREKWKKVAEDTKALGIAAPGSKAGQ ERAVATAREMEALKTEITSLQAAVRFLREDNRRARLRETPNLDFLEAPLIPPKTKEEE RKDLVLAEGQDVLNELLNLTSTAKVYDLSAMPKDKLAWRPAKTTPKYHVAKQREDYEG WSSWKDSVVLKARVLAERDANRGLDKTMKASMAAKVQLRIPDLERKGIRVGGEVEIVD PDEFEDFRGRLGFV LY89DRAFT_785170 MRVWRLFLGAAVASAAYVPGKAFDRFITIWLENQDFTAVEKNAD IQALAKEGVMLTQYYGLTHPSQPNYIASIGGDYFGLNHDGFVRIPQNVSTLVDLLDTQ NIAWRGYFEGLPGPGYMFAGSTALNGNGWDYVRKHNPFASYDSINLNGTRLANLLSFD DFDRDVKANTLPQYMHLSPDMLNDGHNTTLEYAATWSRTFLEPLLNNPQFMEKTLILL TYDESATYPIPNRIVSLLLGGAIPENLKGTRDDTVYTHYSILSTLENNWNLPNLGRYD VGANVFSFVASQTGYTRNHSPSNFANANNSLSYGGFLNTDPAKYKRIPSPNLQLVGAG GQGVERMVGISWAQHADEMTPYDGSGDLADGGDGVSSPNEPIYKVQAPAVT LY89DRAFT_158789 MTSLLPVELAVKDPAIEETDEWHMPTFAFHDLDYIPSGYGGILA PYIETGPGTMRAAATLMHLNLTPAPATQQVICDLGCGDGEFLIGLLSHLNSPLPLLPV SGFGIDYNASLIATASLNAITAGATAHWLTYDFNLDEHDLFSQIENRRVTHVFVYLVP KQLALNTVRKLLERLWGSGVVICCHKFQPEYLVATRTDILMDLVVYER LY89DRAFT_687567 MATADVQAPVEPAAPALPDYLLDPNATLKDNSAKWRYGKAPDYS KTREVYEQTKTKTHEAASLPNLVENLVKNWEVEASFKPNIDDWRTIDRPNYTFSINGG PPQSAEHMLKVGTYNAIITPNDYYDPNKSDFASSHKTFKRMMPTFAWEVLEVYSGPPV VAFKWRHWGVMKNDYAGFNDKGEKIVAKAHGRTLDIQGITIAKVNEKVQLQSVETWFD PMEMFRQISPSGEVTRTKPVPIPGQDISTQLHGEGLGDEEEMDERLEAVSLRGGGASA DVLAALHAEMGDMSPAGCPFLARQN LY89DRAFT_591314 MPNDTQWQDLEGQIVPRAFSGGYVALSYLVSYVGAWTTLELFNR RTAGRGLYNWSLLFSSSISMGGVSIWCMHYISNQAIILGDGQSLMQIAYSPLFTAVSF FVPILVLLAAFTTLGSDDKVSLIRVTVGGTLAGLAICGMHFLGQAGISNYTSVYKIGN VVGSAIVAIVASIVALTVFFVLRATWTNSLWKRALCGSILAGAVFGMHWVASVGTQYR LRKIDPNTPHSHDMAGNTTVIVVIVLSIAACFILIVFTFLAQRRRSRSANKARQVVLA CAIFDSNGRIMVTPEGLLPNEKITASYRELSHDDIFGTAHPVFHWLFRTTCHWPSVSG LISRMRQHLEYTSTLPRPSLEETLKNSEEKTPDAGTIVFREQFCVAAADLARQVDEPL AEMGILFDSIFNTGQVSKSRARKLMKSPPDLERDGRSIPMHGKGQLLFLVRRANRKAA NKLTASGYRFADTQNIAPLVARSMQINCNDLNSHLLCMNEYANEMHMLQPGIHLACFA LRARIRSGFEVLVRKDARNQLPTVQLPFDTLEDWMIEYLSQMDGWTVSACLKFLRGKE KPAEAPPEEQRFAAELHDTLVSMEVEFDTPHFAEARLVAKPVSAPCRGASDVEKPGQA ILIAFRLIFPIQYQAQNQKLEFTPLSFFRIQQYVYKNSPDHAIFARKVHREFGPILNK QRSSEDDGTRSVRRIRSTKMMRTVNHMKGRASPDVRRQLGAWLPSSSRKNPSLDSTSE IKLVESHTFGGIMISKEVTVDVKDVGEGTSDIGSDDGMRGPELSDRKEMPHGQMGTRG RAMKEVEFPETYVDTLFGVCMEGR LY89DRAFT_754246 MSEPLSFFDPFASRKDVGNDIRFGAPPSESLLPVTGQGIIAVSS SAKVQILFVINCPKKSQNNLYLQIGAAEISLSFGPSMTRTESIPTKLIQQESACYLNP GVQTTYWLSIDGPNGVLRYGKYYTNKSMTLLEATLKKEEKPGVPVWISSEYSWLADLK DVDIVTTESAAYTKVIIHPLPVVIELSPFIISSQDASLPDLNQGKYAIPVNLPQACQV LYGNVAGPNIILDTPAFPDFSAAIERSVSTPGLWGHTKLMEKSTEFGSTPNFEGTYLR ITIGHNLGDSPGIPFVLEIWPAGHYSPIHDHGNACAVIKVLHGEITARFFDALTAQGG PHMIGHNAITLKKDMVTWLGENDYQVHQLRNTSLEVCCTIQCYRYPDDNDKHYEGFNY IEEGETDGKEKLFIPNSDMAFEEFWATMKAEWDSRKR LY89DRAFT_591436 MPPSLPIIICGAGISGLVLGQGLKKANIPFKVFERDPALNVRSQ GYRVRISSIGISALKETLPDDLFSQLRACTAVAASTPDEPFRRIDALTGEKPKPYYPA TQDAEPPLNADRTVLRRVLVKAIEQFVSYGKEFLRCEVLSDDTVKVHFSDGTEVLGSL LVGADGTKSRVRKQLLSNNEFIDTEGRWFYGETELSTKVEERFNKHADHYSIIQNTSK GRVLTCLLEPVRFKENEFRKELPADYIYWVLGGCKDIFDMDDEVLLRLSPEEAATETM RMTKNWDPSFHILFSAQSTGQTSILALESSKPDIEVWETQKSVTLLGDSIHAMSPTAG VGSVTALRSAAALTKAVVEGGVMLRV LY89DRAFT_737466 MSPNKGGRPRNQWTSCRQRRLIRLYSLTTLDINEIQCVLKAHQF NPSSSDIQKKLRDLLPGDYAKNWRSFRPAKGQPMSRRLDAIRTKRYREQNTPDKRRTS GPEGRNLNSCHVSPSLEIFEGPSTAFRNYLGPNDAIISYQHSTNTEGGLESQIVEPSR LLLDGSSICSNKAAASQPRPSYEPAEQTFLNPVSSNKTRILVVPVARPRDSLPSIRSL QERLKRTGSIIQSIHSVLRFSSTESWRSSISTRSSIISFESLLQTQKAVNSCMSGPSP SSPAAENVTPTSSSTEKTTKQKNRKQQRRKRPAAIKSEYGRAHLSEHEEKVWNDLIKG DAPLGPFDARPEFREMSLKGRPCCGSTLSLQVDAVGGFCPQCGIRQSHRHAISNFKLF SHSINEKDYFNNGMLHFAAACPHWTADEFIIWIREGADIKSVNTSGATFLHILTENLV SEHLPEFLKLVLFIHNLDKLFPHYFDGIKFDLSCRDYHGQTVLHMLFHNPDFLQSIRP EGRSDFEQLVEIMAPDVGVIDNLGRTLGHMLGDRINQASTKLILSTRQETDFITHLKS SPFRKRTWLGWLEATDRVTWVDTNGDTALIALLKTWTGNFDADPRPSWSYKDAASLSF IVKEVVKSGAVVHMRDRAGDTALAIAARRGLRSAVTALLDGGACVNSQNYIGVSIIEG ACREIRRAITATMTVSMQGY LY89DRAFT_699282 MTRSLVISGARGIGRGLARLLASKGHTIYLLDNNKEELSHTTSS LLSSFPGKILSSNCDLRNPAEITAAIENMKKHFHGQLDVLINNAAYTGSVGTTKLADL TLEEWNKSLETNLTAPMLLSQACVPLLRKGGARKEGGTIINVSSTRAYQSEPNSESYA ATKAGLLGLSQALAVSLAKEGITVNALVLGWIHVGNECKKGDELSVKWEDGLEEREHE WQLTGRVGNVEDVGRAVEYLVGARGVTGTEMVVDGGVTRKMIYPE LY89DRAFT_591439 MGIAYDFAARVVPFLAILAFGYAFVLPLYRVYFSPLSRFPGPKL AAATLWYEFYYDVILNGHYTFKIAELHKEYAGPIIRISPFELHIQDPDYYDVLYSNNL PVNKSKFYTSQFDMDHTAFSTLDHKHHRLRRSALNPFFSKQMINRLEPLLQTVVNKLS QRLEEFRGTGTPVEMRAAYSALTIDVITYYCFNESWAHLDAPDFRKDWFDGVHTMLLA GNFMKHYPWLYDVIKALPQRLVCWLIPVFRGVLMYEDRIRTQTERVLAAHYANKNADG DGLDTTIMHAFLKSDLPPEEKTALRIWSEGMSVVGAGSETSANTLATMHFHLLNSPDV LARLQTELKDAFPDKNATIMLSQAEKLPYLTAVINEGLSRLSSGVASRLGRNLPTSSM RYKDWVIPAGTSVGMTPLLINMDPTIFLNPTKFSPERWLTSKSLDKYMVAFSRGTRMC LGLNLAKAELYLTVATIFRRFDNMELFETTSRDVVPKHDHFIPHPEMDSKGIRVVYK LY89DRAFT_158891 MDEPELSLRQKKLRKGTKNCIECRRRKIRCTYPRDSAICSPCLT RGSRCRSQHDRLFPDSDIETMSLRDRVARLESMLETVLQRLPNDNSQESREILSNENL SDAEDVSAKDITASWDHLDPRAPFVSILDDAELLSPAIGSNDCSKARTDHSYSTPGSS STTKSTVFAVKSFDTNQVRQYEQKSKKVCERLRSSLPVYDEMISALKLNGSWWYHFHR KTHPSAKVKESLASYASHLYISTNPVDLGTLVIAYTRSMGQDHHLYSLVEHLVICDST YSHTVQGLECLLLLAKAYTDIGQPRRSWSLTRQGLNVAQLMGLDRQTQLAERSAFVWH CLYHNDRFTSLLLGLPYGVNDAHFPHLTVSNTGDADFVPHLFTHKCASISGKILDRDL MVVKPSYATTIDLDEELSSIAATLPTEWWDVPNELSGTDTEIDHIRTRLQQHFYFFQL KTYLHLPFLINASKSDPYHHSKIVCIEAARQMLKIFLIYHTMVNGEKLFECKTADFIG FTAAAILLIGLSKLESGPSLVLSDNDSRVISSVKAIFWESERKGCCIASQCYKALALL SSANDPTMQEDVKIPIPYFGVVVRRQVEHNKSCPTNDQNQRNGQSSSRTTPSTELAVA PSSSLQPFVSTSSIPATYDYIKSMPSMVDDMSWEVDEFGNLPMLDPAQFLNTGIMDID QDWMMFLNDNMS LY89DRAFT_709241 MSFLLTKDKPDSLLKYHRILSPSCSVKVSPLCLGSMNFGEIWKG HLGECSKETTFAILDHFYAKGGNFIDTANVYMAGESEQWIGEWMHLRGLRDRMVIATK YTSSTRFMAMEPDGGILSNFGGSNKKSLRISLEKSLQSLQTDYVDVLYVHAWEGISSI PEIMRALDDVVRAGKVLYLGVSNWPAWIVVKANEYARQHGLTPFVVYEGRWNAAHREI ERDILPMCKAEGMGITVWSPLGSGKFRIDSKEEPGRKWGGDPGQASLEDFHKFAVVMD KIGKKKGTDAMGVALRYVMLKAPYVFPIIGGRKTEHLQRNIDALSIDLSDEEILEIEG AVPFDFGYPHSLISGNPYKTISSGDPCYFIQACCYFEGVEDPKAIHPQQ LY89DRAFT_622384 MASHGVARPATIVPRTEQERIEEEREIKQYRELEDLIRSKIAER QYTVEVLELTSKLLKKNPEYYTIWNVRRRLLIYGLFSKPLDSSSHSTESQNISQTDIP TTSSAKPFSSSSISSEASSTTPQNPASQSHGKNGTTLDIIKADLDFLFPLMMKYPKCY WLWNYRLWLLQEGNQRLGAEFAQELWSRELILVGKMLVKDSRNFHGWGYRRTVVSQLE SPKLNGKSMVEAEFEYTTKMIHGDKGLSNFSAWHRRSKLIPRLLDERHANDATRRKFL DDEFDLIISAMYTDSYPYAQSAWFYYQFLMTTLTDYIGHATITPNFTTLDRSEYVIRQ LAILKDMLDGAEDCKWIYSALIEYTLALCQMEEREPDIDEKQDCKAWLAELRKLDPLR SGRWADLDNSLRK LY89DRAFT_785179 MDFYGGSLRPSKSASWATYFHTDTDVPPAKKSLASRRSIARSSV RAKPFYDAVRSYFKCTYQDHSSDSSPSPISSRYDGAGEERTRHMPAATLPRLRPRTNT LSRPSLRTIRSNLSSRSTGSIRAPDRFLPARPSLDSAIQSFRANKDPQTLSSEEKLLR HKDASPDAFNPRRRVSSPIPRANRPISDRRNVSGRRSGSGGGSVLTFRRDPAAPNGER QVSIGTVWRVGGLAPINAVEDGHGGMLASGTNAPLYTTSFSSRPKAREEERDRHESRL AEALNLDRVGRILEFRDPSISPLKTVNERNKRKDQDLKTVWVGTEWVADDTDRKMPIT QEERTLPLAPFKVLDAPHLRDDFYCSVLAYSTTCHTLAVGLGPLLYAWSEMQGVHLLN SGTGNHAWLTSLAFSSIPGAKSILAFGRSDGTLSLVSLYDSMLPRFEVQQPCPVACVT WRPQATMRPSRSPLTSGMLVKTEDLLVGDEVGNVYYYAVEWPEYWEVSRSGWSGSMTL LARITVHTQQICGLSFSCDGTLFATGGNDNLCCLFRTSDVLHKTREEVLAVEEVLVGA DGVRRVHTIAGRTGVKQITPGAERHRWVHGAAVKAIAFCPWREGLVATGGGSNDKCIH FFHASSGTCLATISVAAQVTSLIWSTTRREICATFGYAQPEHPYRIAVFSWPQCKQVA AIPWEGEHRALYAIPYPGGPNESQTSREGGQGLTRTAQEGCIVVASSDESVKFHEVWT ASQKATAGGEGLLGGSDILEALEGIDKEGDVIR LY89DRAFT_785180 MLPSSAMNQIGAQNMAVKSKFLSTPTEIQLSVLQWCSGGIVSIE RPKAGGKDAYNVAAAASEVDMTARKNFQTSLLSINHDIRKLVLQIHPTAFEKQLGSPV LFDVTRDILHFDDLHLLESFIERSDTSSLRKLHIDRLAFGISGMRPFGKSGNIAIEFQ SRSHDLLKLGKAIRAFGSIKTIYLVSASENPEKEDIRVGLVAYMIEFRNMWDKIWAGL EKVGNKNIKRWDMPQEYVCTTKKKLMDTHL LY89DRAFT_754303 MILEPLHILKLGGYPYLIQIVSKPARTKPTYLVPLILENGNNRI VSIIPFGGLEWPLPRVKKLETSCRGDHKDLEVLIEEEMQRHFGTTKLEYERELGVERK IKYKILSLMDCLSGKYSRHSSNQSSLFAQHSLWMQQSEDYQWLLNQGAVLKGTVTIGV SAGWKNLGSISFKLLGIQVESRIESRDTGKKVYTLAPSSSESINVHSPQTFTTSRHQP AMRYKLF LY89DRAFT_737474 MAQAMASTNGNWFCQPVSGIQYSNVGSLGTYNRIMGAAQLTPAS QFAVEQKVRQKALELQGARTPRMTTTEATRGPAYAANGKGQRILEVTRTGGVQRISCA KNFNGSTGRPRVSHLPAAAGNLPLQADAEILTSEGKELSVEFDKTVANFTPSYHGFGG NVATLIQAIEARKAGNEANVKNVTRKTK LY89DRAFT_699303 MIRLLRLLPHESRNAAIQCQLFNYTLQDSGRRTHPYEALSYVWG DLVNLESISIGGYELPVTQNLYKALSHLRHRSIDRILWVDAVCINQYDTMEKERQIRF MAKIYAQASCVVVWLGECADNSDQALEEIRVAAGGKKSSNQSNLTMIHKAVSSLLQRP WFRRIWVLQEVAAARHVLITCGSAETDGYAFCSGVSLLDFYNNDLDLQSLVRSVIYLI REAIFRPGYATSESGELVDMYHTREATKLHDKVFALLGMSSDDLSKASLSSRYEDPWG KLFQNLTKFLLCDKISTETFGDKQITLIRSKGCILGRVSLVQSDITQGGSQGVEIIFN SISRQMGYKEGSSAHWTLHSLANSIRKGDFVCLLQGASKPTIVRLHDDHFDIIMIAAT PPEGMLKLSQSMKPLFRNFLLIWDWEKASREGLKTSWGKLPEHGRLQ LY89DRAFT_737476 MSTNPQQKMNNQPTTFPQFPNLPPELQVLIWDLALSPHLIEFHY LDPRIPYIPTLWFRAHRLLPTTLPHALLSTVRASRARALSPHILTTQSPGNDLFPATQ QASLPFNFRPSTDTIYIPAVFENIRSLALGGVLHKALYRSSSSGADIEVQQYFNLDTK PFAWELAAFENLEEVIIVVPPIERVIAIWKETRMQEVPAGKRYVVVEDEDEGECEGRV RAFGDVLEEVLGFWGRERNGEGWVVPRVRVMGEGEFGARFGVRRREGAEIRERVREAF DKARQKRPFAIQFEGSEWEMMLDNVGELENVRALLGMLGKGDLVEDPGIL LY89DRAFT_687575 MDPRQPPQQPFSRNSASPYGRTPFHPSSNQAPFPPASHPPPAAP SYAEHNRRPSDPPYYNTQRSYAPEGPPMSGPGHSRHQSASSVGHGTPVNRSMPPPSSP QQQASQQPSHLYGPPPPRPPPVSVGPPTSFPSRELPSLPPLGRPASNGGSSMSISSML GGPPPAAREPPPSHYASPVTTSAPPPPPSSSLFGQSTRMTTTAPEYAPFRRPQTPEHQ RPYEPRDSRASSAGSPPNMGHYSTPEARRFGTPQQGYAQRVPVVEERREPARVPNPNV VPPPRPSSQPSYNPPPPRISENPRPPIHGESIFGRRVETVPRAPEPISRAEPAYGRPA FEERHTAYGYAERERQEREAAMREREREESIRREREQRERAEHEHVLQREYAHQIAQR NSQGYSRAPEPREQPAWMRSGHEPPRPPPYERPPYEQPPERVPPQQVSNGYEYPVTSA PQYGGPPAYAPPEPRPVDHRYGPPPPPPAVTAPPPQHNIPLAAYEAAMERERAAEAQR RQQPMYGAPTQAYQPHESPQRRPVEEQIQQQRSLLSVQEINRRGRASPLPQAVQGAQH QINGPGGEPSIKNEFGRMFSGIGSGVGAMGMPSPVASGIQGVPFSNSSQPRRDDLESL QDSPVENGGHQLARTSSRGGRRRKLKEEDSKGDDESSNGRLTPSGRGKRAKNHHHRPH QLSHHHHRTEQAENTSSPSQSNLTPFKSVRGSTIPSPPGPEGKANPNLHHHHVPRPHV HHHVTPSKPVNTVIPLLKTTIHSQVVLDSVSNRPRNHLGHGYYRSTLSASSSTVKRDR MSDRGFASTPHPLPRFEGKENCTFTVKIPAVYLGHKSREEITSRKALWGTDIYTDDSD VIAACIHQGWFRGAWAPDVDVSLLDLDIEVEGNGAVQPPVDDDALITSPPATGPMHVP ERRDCHVTVLILPLLQKYSSLTRFGIRSREFGAKHDGYQSIHDGLSFMIMSIRWVKGV DGEDRENGKERLSIFGSQLNDQELEDEEALGEFFYRGNGPFRKSDTSSWFKESYERGS DRSVRMGMGTANWWKGTNGKDKSKDKEEEVVQKTIEPPVPTPAPAPVSAPVPVQAIPT PPTPQLSTPARPTIPLSFSPPTPEPLSPIAPKNVEPETPPVVEPSPLPPVPEATETEE TIRERQIERVTERMIKNANTASPAVLPTTPVVDGATEVKAEGIPPANGDVTTMSG LY89DRAFT_699290 MLPLPGSPASSWAIYKSKFKALFQGADTSVLIAFWLFGLINNVS YVIILSAALDLVGPNVPKGVVLLADVLPSFLTKLVAPYFIHRIPYSLRIIIMCALSAA GMFLIALTPSVIDDNGSISIKLFGVAIASLSSGAGELSLLGLTHYYGPFSLAAWGSGT GGAGLIGAGLYVLLTSTIGMTIRNSLLTCAFLPFIMPVAFFLVLPQGPLRRAQSRKDY EPIPRSFDDDDIQNLPVDTAADALLAPGPSIAAEAYSSHSPRSRSPSAKADPSLFTTR LRRARALFFPYMLPLLLVYIAEYTINQGISPTLLFPIASSPFTTYRSFYPTYAFLYQL GVFISRSSSPFIRLHNLYLPSFLQIGNLVFLGLHSMFFFLPSVWVIFAVVLWEGLLGG AVYVNTFAEIMDKVEVEEREFSLGATSVSDSAGICIASLLGMVIEPWLCGWNVERGRG WCRTLDG LY89DRAFT_651720 MPTETSISFRAQDLRFEHRLANDALGVGESRPRISWRVSGAPAG FTQKRYQLEFFNQKPEDSGKPSQSFDVESSESVLVPWPSENPLSSREGMFVRVRVWGE AVTDPSEWSEPAYVEVGLLDRNDWQCQGISASWEQDTTAPRPEELYRRVFSRNPAKKV CKARLYITAQGVYETEINGRRVGDQFLAPGWSAYDERLHYQVYDVLEYLSHGETEDCI GIRVGEGWFCGRIGFEGGGRNLCGDRTAVMAQLELLYEDGVTQILCTDDSWEVASCPT RLAEIYHGEKYDATMEVPGWSSVCPSQERKSSGWSRAVVMSPLPAKPELLAMSGSPIR RLLVLQPKELITTPNGKKVLDFGQNLVGYTRINNVNGPRGKTIRLLHAEVMENGELGR RPLREADAEDEYRLKGSPEGESWEPRFTYHGFRYLQIEGLSPDTDLFAHFEAVVCWTE MEPTGEFSCSDSMLNKLHENVRWGMRGNFVGLPTDCPQRDERLGWTGDIALFAPTACF LYNCAGMLKTWLADLAVSQEYLGGVLSIVVPNVLRYNKSAFPKVAPFAIWADVTILAP WAIYQSTADVGILDVQYSSMQSWLASCPRDTKGCRRLYDASFFQLGDWLDPDAPPEKP QNAKTDAQLVANAFLIHSLDIISQISSILGKDQEARQYAAEASLIRQEFCNEYMTLNG RLVSDTQTAYALGICFDIFPTPRQRVQAGERLTYIVRKNEFRIGTGFAGTPFVCEALV LTGHTQIAYRMLLEDKCPSWLHPVTMGATTMWERWDSMLPDGSINPGSMTSFNHYALG AVATFMHERLAGLRCIEPGWKKARVEPIVGGDFTEATVSHVTPYGKVGCLWKIVDKRF DMTVLVPPNTSMEVVLPSGGDGAGEEVRTVMSGVHSFSVIYEKNYEWPPESLGLLG LY89DRAFT_159136 MNEMNPEFAEALASATRSHNVTGSIEDIRKMMALAKIEYLRTKR PWNGSSEDITIPTRDGDRIPVRVYHPSTYSEKSAVPLVVWYHGGGFCLGDLESDDSFC RRVTEELKCVVANVDYRLAPEHPFPTPINDCWDAFQRLYSNAASLGADTTKGLIVGGG SAGANAASAIVHLALDHQIQVPGVHLGVPLLIHPENVPERYRAKWTAYEENKNAPMLS RESTEILIKAYNPAPSNPLFSSLF LY89DRAFT_754315 MATITDEKNISVLHQENAAETHVVGHAVNQEEHDLTKRQAFMQN KKTMAWCFWVIWVLILASFDNAAGSSILGIPQFRKDFGSAFEGNYVLPAKWQSAYSGA PAAFSVIYSLGAGWFADRIGRRYTLLSGFLLSFIGITLEVIATTNDLFFAGKSINGLA IGVLISVGMTYVGEIVPTALRGPATSACALAFSFGPFVVSLLVYGTGTWSNRWAYRAS FVSQYGFNVTGLMFLPFMPESPWYLLMKGKDTAALNSLGRLGMSSEQAQKKLANIRLT LEEMKKETEGASYLESAPLTIQVQSGVIFLSTYFFYTLQLAGISAGQSFAINIGTQIL SMAGNVFPWYLINKVGRQWLMISSLISIIILDSLFSGLITMKTTDAALRAGIAFGSIY VFCYNASIGGAAYTILTETATPRLRVKTIAIGLAFQNVWSTLWLFVLPYIFNPDQANL GPKTGFIFAGLAVLCLVFVWFYQPETRGRTYEEIDELFVKKVPAREFEGFVTEAETRG QVAKQVLDGKKAAMKH LY89DRAFT_672712 MSSTKEALANSVPAPQLLTITTADGPSVVTGIPAVQNLNDDAHS STDASVVGYVAEQASTTTGSHPTSSTSAVPAEQPSTTTITHPTSSPDVGIPTTLHGFK NFPLEVRLMIWALAAPPPAVIVQCKSRIEGRPFFWNRAGGVPAVLHACRESRAEYLEI EPSNDAERHEIEARRRKHPLYKMTFRTRRLDSPGCYMALEIDTYMPLDGEVFRWKTSK FRTASMLRFLKEVKYLAVGNHHVYHPLVVRRLVEICPQLRCLTITLWDESFDAARSIA EQYGAEVDGEWGLELSTRVVDPIRLEGMRQSVPFDMLLLDEDNDSMRVDVSQEKRYYP NTIVPPVKFRFAKQFIHVVQLDTFPDMERLRPRPRI LY89DRAFT_672713 MARNTRAHAKAVIAQQSPSVPPALTLTEFKKFNELPVELRLIIW SMAAPPPATISQHISRVKGRGHYWNRAGGIPAVLHACAESRREYLEYDTDDETTKVAF EGRRRDHPLYKLHFKNRLKASAGCYMSLEIDTYWPARAQELKEYRSDISGEPELSKFR TAAELDIASGLQHLALLQHDRPEDCVDFDDLLYFAKKCPLLKTLTVVLQSRRNEESWR VRGGLYTPEVMGPWGLEVSLEVDEVTFESNRAKRTRELITEMEEMMKDHPEKSFPFMK LRIQFQFSQAEKLEPLKDIWRRNKYRHSQKVVKGSATWTGRLRPEASLPKSDSRQERE IEELDSD LY89DRAFT_687578 MQRRIISRDREFDFIRGGGVPAVLQACRESRHEFLEPGDVTSLE RRREAHPLYKLYFQNEDKTDAGIYFCAELDCFWGMRDKLIIHTPGTVRPPQFADMTAM LAVTGLDQILKNLAVPDWWIGSILIDGEPSQRLPFGGFSRVDLMHLIEVLPCLETLTL VVTEEFLCREPETRDELVNYDPEINGELDDTRMSRLQRAVVHNVKGLAMTLKILKKLA PQKNWPKTLKFRFDRQMMENEGFL LY89DRAFT_737486 MSLSTNNPESSPMGLTNQNTVPLSSAPLIEQPSSTLALQKFTIF TNLPQEIRLLIWARAAPPPSVISQNSLVDSFGYKYYTHRRGGGVPAILHVCSESRYEF LARDGDDEDALEIRRRVHPVYKLYFKTKRSLGVYMSLDIDSFWCLKYGAKNFNSIRSS NYYCMRHLVITIRGVSFGRPALGSSSFWLSQAELLSLSQHLPRLETLTILIKEREFQM CTYHWVPLAPEVNGNLDESAFNNAQCWLIARVKVFDAVMAELRVKHPTTKFPSLKYRF EQQFMANENMG LY89DRAFT_737487 MRSGSGVFMSVDIDTYMPLMDDDVAFNLGAHAAMSSKIRLMVVR CDFVYDAEGMIDCLNELIIDHTSLETLDAIILVKDVKRQELDGDTFVKAVTDRIKEDF DDLKARLPERQFPTLEFSMDKEFFESYNIQLPETT LY89DRAFT_687579 MIWAFASPEPAFVAQVKSRKVGRRYHQLREIPAVLHTCRESRNE FLETDDPNDISLQRRRLAHPVYKLHFREGSTRNGLRGRFMSSDTDTFWPLEIDTIGHA FANHFVNPSLSPVLRHLTITRCSLDEWELKSLIDKVPTLGTLTFALSASYYSRFGSLL PEINGELNINGFPTVWQNHLQSYRSKFNTLLKKMAPKYPKLNTLKVKWRFQDQIIANE GIKLLPPPEEDPFKELDREMNRISRRKIKEKRERKQAKDRSSL LY89DRAFT_737489 MSSCTSDTAPKRTTAKYSLRQEDRDTEPGPTDPTKNPDSQSKGP EMAKITSVALSEPVTEQGTLAEFPKFQDLPLEIRARIWSFAAPEPSLVVQCSSRIRDR SFHYARAIPAVLHAFRESRLEYLETDDPKDQSLQLRRAAHPVYKLHFQQDLPQNSGSD GPRASHAGWYMSTDFDTLCGRLYLQQESGLFVDIGEFGVAATLKHLAVTVHRVSSHLQ DRIESLRTGFPVLETLTFMFSESMGGQSRDSETVIQKWAITENGDLSLGTMGIIDSAA VDLDANHGPLY LY89DRAFT_159175 MTTNNRERLHRGHYLQTRPRWRGTIWRTPRTERATTFHSFMDLP VELQLLIWEFAAPRAILRIGNERDALLAASKYILRTLPPMAHACQMARDIVLNGSDHS FLRLFKLTDVRASRALERFYFSTRHETLWIPSGTLPCRR LY89DRAFT_159178 MSRLLPRRFLLPSRRLFTHSTRRTAFATGPAPPRLPPKEQEEFE RLQKSSTGAFSTPKAAGTTSPSAESFSPPRTRPQINQSPASTPEKATTEAAEINARVA ASGKGEELHPDIRRGAAPEFEGDVNPKTGEVGGPKNEPLRWGSTGERGDWSYNGRVTD F LY89DRAFT_785190 MEGIKNTFAQCKKENRSALVTYFTAGYPTPEETVDVMLGMEAGG SDIIELGLPFTDPIADGPTIQKANTQALKNGVTVTSVLEMVRQARKKGLKVPVLFMGY YNPILSYGEERLLKDCREAGVNGFIIVDLPPEEAVSFRNFCAKGGLSYVPLIAPATSE SRMKLLCKLADSFIYVVSRMGVTGATGTLNTGLPDLLRRVKEYSGNVPAAVGFGVSTR DHFLSVAQIADGVVIGSQIITTLAQAPAGQGAKAVEEYCAEVGGRRNAPNGGLTREVG IVETMDAAHEPNGDKVHVDGIIKNGSGPGLADQIEALNTDDPVDPAALPQRFGEFGGQ YVPESLMDCLSELEAGFNKIKDDPAFWEEYRTYYPWMGRPGQLHLAERLTEHAGGANI WLKREDLNHTGSHKINNALGQILLARRLGKTEIIAETGAGQHGVATATVCAKFGMKCT IYMGAEDVRRQALNVFRIKLLGADVIAVEAGSRTLRDAVNEALRAWVVKLDTTHYIIG SAIGPHPFPTIVRTFQSVIGNETKTQMMEKRGKLPDAVVACVGGGSNAVGMFYPFSKD PSVKLIGVEAGGDGVDTNRHSATLSGGTKGVLHGVRTYVLQDQHGQITDTHSVSAGLD YPGVGPELSSWKDSERAKFIAATDAEAFIGFRLISQLEGIIPALETAHAVYGALELAK TMEKGKDIVICLSGRGDKDVQSVADELPILGPKIGWDLRF LY89DRAFT_672722 MASQERKEKSTTTEVNNDDGDLSVLQGFPFFARLPNEIKDMIWH FALFPQLIRIDLEGGNTAVWEHPKWNSRTVSTKSLNSPLSKMYGDHYMFVMNVGYSSK GGPRVTPSFAFRPQHDTLYYPELLTQYHVFWGGAFVKQQEYQQLDIRDSNRRLFLSEI QSIAIGGVVQRFEAENVPITDHSSFYRVHYKKGWALGLDRIISGFPCLEELIIVSPTI EQLSASASALKEASKGRHGQFLSTDPAECQRLIEEQIKLFESYLNAEGKKLFERQMNH SYLEPREPSHPKISEWWKDPVVKWMTELELKARFGLKPDSRDLES LY89DRAFT_737494 MTLQERMEESNTEQSNSESGTQLQEFHLFPKLPNELRDMIWQHA LLPRLIQVEPLYLEPINQRHYENTKHILVPKCVNNGLDQVCRDSRAQAQRNAGKPYIF ASRHDSADSSTTQISRPFSFNPQRDVIYYPDSTGLWLTAWSGDLGEEDAKDTQAIEYA DLTGVQILAVGSLLERANFEEDQAYDIERSISHNNYPVDVGSTFLHSLVAWFPKIKEL ILVSPTVEDLASQASTLKASTSGNLGTHLSEDIHKCREKIAVHLLACKESLKREMESI RKLQSHPRDRYLKLDYFETLSDWWQDPTPTWLTEAEFEARFGLEPGTIAQSRSIKVSG DNVEISLGVKNRWVNGHLST LY89DRAFT_754327 MSPENNITDAGESRSAPQLLQTSHFFSELPNEIQDMIWVFAIFP RRIRFDLRGNRRWAVNNEFFHTAVQQRLLSLCKASRAVATHTLGATRTLYFPPRELVP GSVVDVGFPANFPPIPFHFLPDKDTLYLPGVGELLEVSGYGHITTAGQEGDLGGMAAV KSLSLANFLHRIIYLPPEHVLATLDGGHIWVSGATGGYRGLCATLCEFIGLEELIVIS PPWAKLVKMRMVKWEGERVINSDVNECQQRIKDFKISLENELRSYLDQKAELRSDGDN PGLHYYRGQRMSRWWLNPKITIITENEFDSRFL LY89DRAFT_721492 MHRPKTFHPPWIDFFTRPCPYYIKQHTTFPKFPLLPKEIQLMVW AHIKPKPRAVYIGIETWNHLQALAGDPLEGTIRLAQMPPAVLRATFEARCAGLKIYQP FLDVLLGQGTSCVYFNFDLDYLQISLDAFELICWAYAHIHIEDNQLPMIKRLVVNMQN IKLGENSLVNICKHFSGLTKLYIIEADTEYTKEGVPYTYHPKQKSFRHDWTKLRRQIK APIPFVRDRLTDWSPPILIIGSRSQWKWRRARAEQRKEFILQRERTAGGDMDWKPTAT FPRLDYVPMYQVADPKVTYNLAIEPAPEEKRRSKDLVTANILYDCEGWSEGEEMEI LY89DRAFT_785192 MSELSDSSTSAMTEAPSGKTFTVFDKLPIEIRLEIWKESIPEGR VVAVTDRRARLEGWGGSFDGFRAQISARWTVPAVMQVCQESRNEVKKTYTYILKDQLG FAVPFNFQHDTLLMDGPDGTVSMWSFEKAGRNDENCRKELAMIHANLKHLAIQGQRLL PRTLNEVQQFTNLETLVLPSNPFWNAPEGHDAFNLRISERLRLTWSQLKQEGDIKDVK LRSGEDSVNDGKSGTTRQSVAKSFAVPSFAHYFEPIFGDNSDYSKIQSHPEVKFMVEK DLRVHLAAGTNVTVSYIDSADHPAAKEARRRISTSDDDPEAMTPKSFSDIFSPLPPPT TRYPAAMVELDEFLLRLSRFCVVHRKPPNTQQILSLWNVRSPIATSLRHIHIGPDDPR LMKLQNRSLQDLTRH LY89DRAFT_699297 MSRSQEAVGPGTVEHAHPTSALTNTQWAKPNFTLPIPSFQFLFH LECEMESFRHIGDGGHGDRSTVIFKGGRFEGPKLRGTILPGGGDWETIQDHDGDQQTA YLDTRYNLLTHDGVCIFLKTTGTRTGKKKILEGLGEEDKHTPDEYRMRLHMTFESGDE RYRWLNSIVAIASSARNGTRVIYDAYQVL LY89DRAFT_564124 MASPSNHSPETRRQIAEARAALEASMSNIGSSLDHTLRSRAENL HSNSKQLEKQQKDVIKATADLHKESAKLQKLADEGSKKIKELGNVQNWAEMLERDFLV LGETLRLAN LY89DRAFT_687583 MGISRDSRHKRSATGAKRAYYRKKRAFEAGRQESNTRIGAKRIH IVRTRGGNKKYRALRLESGNFSWASEGIARKVRVIVVAFHPSNNELIRTNTLTRSAVV QVDAAPFRQWYEAHYGQNLGRRRQQKAGQDVTEPDTKKSKSVEKKHADRFSSQGKVEP ALEKQFEAGRLFAVISSRPGQSGRCDGYILEGEELAFYQRQIKK LY89DRAFT_159243 MFFWIVWAWAFATAAFGADYKVGQKFQIVLSNVVVAPSNPSTKI IPADAEVFDIDMFETEASTIALLQAQGKIVLCYFSAGTYEPYRPDSGQFQASDKGKAL PEWPDEYWLKVTSTNVRNIMTARIQLAASKGCNGIDPDNTDAYANPTGNTTVTMAEAI DYIKFLGGIATGLNMTIGLKNSLQILSDVSDTISFAVNEECGFYQECYWYKDFIASKP VYQIEYPTPLPPSASVRTSSCAVNPTQPAGLSLVFKNLSLDGYVSYCDGSSDTTDTKP GDANAPPPRSSKTTVHPHPSTTSSRPTSSKPSQTRSSTTSRPTSSRPPQTTPSYSSRT TSSAMPSSPYPSQTNQPGGCIAKHWDQCGGQNWKGCTVCASGFTCKFSNPYYSQCL LY89DRAFT_159282 MDSSSASSSTSKRSRTSGKHSRNGCGTCKIRRVKCDETRPICRR CQNFKIPCDGYSRLPKTSTPGSTVSGGLLIAPKPVTPAIVPSSIPPSPSTLVVDNAED YRYFTFFRDQLLFEITQFAQGDDFRRLILQASNIPSIRHTMVALAALGKIHSVIQDTP SSSLPLSEPTELISHREYSLRQYSKGLALMKKDVATGHQGIRTTLLTSLLIICIECLH GNFTMAGVQVANGMALLRDWRRNYPDADLHPIGFSSPAPNVVEDSLVQMLGGLEIQSY YFNSNATQAEHEAGKEDGFNVIQNMPARFESVDEARLYLELLTRRSKHWLFSLGWFFR PLIPVEGVAPVDTTLPPSARQPRQFLNLTPSMMHPASVHRRETIPDSSKDLVKKHHDQ YLRDFFRWKASFDALLQTPSSKSISPRWKENLLIYKGCVLILKTVITGDEMVFDDYTE EMVEILDLAEDVYESDKDHRNDTTFTIFQRCTGPPHFVGLHCRVGAVRRRAIQLLESL PKTPDLSDTMLRSAIVKWVMGLEEAEMVDGKIPGYARIRNVGISVDVDNRQSTLVCKH QVMREEGLVETERKKTINC LY89DRAFT_687586 MTSTTPKKIALIIASTRPQRAGPTVASFIHNIISTSPSFASNEI TTVDVKSFNLSLYDHPSAPALFPLDKPSPLPESHPAIKWSQTIASFDAYILITPEYNG GLPAGFKNALDYLYHEFKGKPVFVISMGVHGGNFANEEATRALGTIMRGRAVETKVLL VIADEDKLNATMGRVGEKSLEVWGEQKADVLKGFEELVGMLNDGGVKVEKEHIAV LY89DRAFT_687589 MSRSASSSLLLAINSTSWSLEMWVHHMGTTRSLDRYDSKAITTA VSGPATFFDPGKPMKVTPEDSVVFVSVDSEDNELSYGDFDNCSTILRRLSRSPFQPHL RSCKW LY89DRAFT_737505 MPWQPLPRIAFAVATFPFAALEPADLPLELGDELYIIEQGGHNG DWFRGYLVAPPSLLAGLTSVKGQTLEARVFSGIFPRSCVEVREVLGEDEEEEEEEGDE DVLPATTNGHESLGSEDSPKSVVLRKRIGNNSRNSRPGSKIKRKQTPNGNRATLSVDV PRDPDAPKPPAPVPMLKIGDETVTFVSEPLVDEIASCLREWHSTNLHELLLSRQYPVL DQMSTLVQTLDFSRRQFLHNVLTTHELGRLREKTVWDLVRGNKAFNGEVIVRDPAERG RVLTGDDSAVEITTLQSMMSLLEERPQPPANENLTLHHLLIDIKTFVGASTESTTLVF FLASKQPGQAAVALSESYIVEVPPSGALTNLAKAGNMKTLFSDLASADIGDQSSPDAE LYLVVKVRSTQQVMGGKPGSRNGTASRDGGPTRNGEHALSPSSSSKSSGSGRRSLMWG KQGMQRSAFSRNAPISSKLNSLVEDQADARSHHSQDGPPGTADSKAPGTERKSGSVSR IVNRTVGIGAIRVNAIMKQEEEVEQVMTIWSPSAAFGQEKQDHTDGWEDVIIELMGSK SGHYEKSRRAERLQVHLRAFDSPDADVLIKATPTLLSGVTRTSKMGFSGAPTKARSDI YVTIDEAFLPRHALLSRATGSATPLSTSVQGSNLQITLEVRRASGERLDNCVLPSSNA EATSTWESTAAEKGEAWNQTLKLCVPAVDVSTCHLAMTLSDAPNGPFAICHMPLWDQQ AFMRDGHHSLLLYRYDENTNSARGRGEGKTGYLGTPWNARGKDDVSKDEAVTGPIATL RVQTYLCSTRFSQDKVLLGLLKWKEQPPGDVQELLKRLVFVPEIEIVKLLSDVFDAIF SILVENAGNDDYEDLVFSALVTVLGIVHDRRFNLGPLVDQYAETKFNYPFATPCLVRS FTRLLSNPSDPDTSRKLRATFKVVRQILKFITHARGQQKAKEAGIGITSTSPGFTRHL RSIFKSLDALMRNAAPILVGSQTLAVQHFHTWLPELTGLLSTEEILHIAIDFMDSCSG VKGKLILYKLVLIINYSKLELFSQSEQRAALSANTVRWIAPHWGKTSDANEQYREQVR LCCSILSTQVENLGPEIPDYIPKIIDSYLALSAAPKTDKTRLSLLFPNTYPFPSKVIT GKAKFDEVLIELSAILSAISTLPAGMQLELAEDEMATLLEDTLQVHLSILQCEAFPAD WLSVHIYHHKSSMKTLEYLAGILLESFLPHPDDAEAYNMDLWKAFFTVLLKLVGSDSL ALETFPEQKRRTVWKIAGGVREHGAELLRRMWEAIGWETSPDEQQKYGIAKMGGYQVQ YVPVLVGPIVELCLSVHENSRLVAVEVLQTMIVSEWSLSEDLSAVQTAMIDCLDQLLK TKPLTESMREKRFVQDLLGLFEYSCQDSEDPLFLAVKELITTIEEFLDLLIAVHSTDV TGQASHMIHRLRLMEFLRDMQKEEIFIRYVHQLAQLQADARNPTEAGLALRLHADLYR WDPTEIVPPLSDPEFPAQSQFDRKERIYFEIIKYFEEGEAWSSALAAYQELQHQYEEN VYDFAKLARTQRSIATVYENIAKSDKLVPKYFKVTYRGMGFPQSLRDKEFVFEGSPTE RTTAFTDRMQEQHPAAQIVTSGDVDDVEGQFIYVSALNPYRDLEHHVFQRARVPQVIR DYLLSAHPQVFSSTSKRNTQGPVTEHSAEKIMYKTAEPFPTILRRSEIVSVDRIKLNP LQTAIERITRKTSEMTAVEKRIMDGEEEIVTLLTDALHVSVDLGSETTVARYRDLLPT LPEDSEAKEVELSPLQNAVKIALIDHAVMIKRALSMLAKSNLLSAAERETLAQNFEAT FAPELSSFSFPQQQRDPTPTPSWAIASPVLSDGSREKRASAMLALNMNRSTIPHSETN GTISSSILDTPAARAGARSNRLSFLKRGGGSGHNREPSAQEAVLAPVINGGNVKESGV VVQHRGVKDDGSSERSRSKENRKSFFGSGGTLGSIGRGRGRELSEKDEEADWVTQSDI AGNRRSSSSQRPKTGGTEGLSRDGSVGSRVGGSVRKRLSMLKLGKKSSKASVLVGSVA EEE LY89DRAFT_591131 MQPRFVHNGVLHEEHHSSNDEIVRDIIIGFADGLTVPFALTAGL SSLGSNKLVIIGGLAELFSGAISMGLGGYLASVTEGDHYDAEEKREYEEVETLPGAEK EEIYKIMRVYGLEDEVIKPMVDAFELNKEMWVKFMMRFELELKKPDPSRAWISAGSLS VSYFLGGLIPMIPYFAMHDITHALFVSIGITVVMLLVFGFVKNMYTVGTKKSGAWGAI QTLIVGVLAAATSYGIVRALDSRNPVMTQGKLTASDMN LY89DRAFT_159389 MAVHSKLLPPDRTIKGIVSELTTLYLKHRTNISRTVYITLFLAL LNRIRSAISEQKAAAIRQAAARQRGATTLGHGDAPKKPKVELNREFFRNLFKLLRICI PGWKSKELRLLISHSIFLVVRTLISLKVAAMDGALVSSLVRGRGKDFLIGIVWWMLIA VPATFTNSMLAYHQCKLSLQYRTRLTNYIHEKYLSNMTFYSLSALDDRIKNADQLITV DVSKFANSLAELYGNLAKPTLDMLIYNYSLSKSVGGEGLFFMSLLVQLSANVMRALTP PFGKYVADEARLEGEFRFEHSRLIDYSEEIALYNGHEAEKDTLDKGYFTLIKHVNYIL RRRFTHGIMEDFIIKYVWGALGLVLCSVPVFFKIPGATAQTMGDRTESFVTNRRLLLS SSDAFGRVMFSYKEVTELAGYTSRVATLLEVMDDIKAGRFEKTLVSDEESGEQLELMK GRGTVIESEDVEFIDVPIITPGGSVLVKALSFSMKPGDHVLVVGPNGCGKSSLFRILG GLWPVYGGTVRKPPLSQVFYVPQRPYLSAGSLRQQIIYPDSLRIMRSKGITDHDLLEI LRILDLDHLVESFSEGWDAEAEWRDVLSGGLQQRVAMARLFYNRPKYAILDECTSSVT LEMEKVMYEHAKALGITLMTVSHRRSLWKYHSKILQFDGQGKYIFTKLDADKRMKLED EKEELEMHLRQVPEIERRIAELTAA LY89DRAFT_622432 MRTKLVHVQGRFHSNVHSATVDKITSFLNSLDNVEFPKLPNDWS RLQTRPLTNGDNVHVVLRSTIDGSAIAAESLTKITLESILSKEANWYATIQNAISNLP KPHSSCLFVGFGDHIPTSLLQTPGFQIQSLSRSGTAEESPLPKASRSDYPPNSIAIVG MAGRFPGADDLDEFWQLLLSGTSMVEPAPQRLNLSSLEDTGDYSGTKWWGNFIRNPES FDHRFFKKSSREAIAWDPQMRVLLEVVYEALESAGYFGTGSKQEISDYGCYIGAVMSN WYDNVSCHPPTAFATIGTSRPFFSGTISHYFGWTGPALTIDTACSSSHVSIDAACKAI LSGTCSRAVAGGTNIFTSPFDYKNLAAAGFLSPSGQCKPFDQSADGYCRGEGVGVVVL KSLASALKEGDNILGVIVGSATNQNENHSHITVPHADSQVKLYKSVMKQAGVVPELVS YVEAHGTGTGVGDPIEVRGLCDAFGSSTRKELLHFSSIKGNIGHTEATAGVAGLIKVL LMLHHKTIPPQASFSAVNSNIAPFEGYGMDIPRNTLPWDSPTRIACVNSYGAAGSNAA VMVRQKPDIPSSTSTSKPLTQYTLFISAGSANSFSMYCEKLLTYIRHLRSQDSSECWF PDLLFNLADRANHSLPFVLSSPVSNVADLEAKLVATALDSSKLKTEVRGNPTPVVLVF PGQENNFVSISEDFYHSCTIFKHHLDECNNLLTSLNLESLYPAIFQRLPIPNIVTLHA ALFAVQYASAKAWMDCGVQISAVVGHSFGQLTALCISGALSLLDALKLVTGRASIMIN HWGPERGSMMWLQASRQQVAQVLESVNSQSSGDDLQIACYNGPNSHVVVGSIKAIETL GAFISDDSSLRDLIRTKTLQVTHGYHSKFTDPLLPHLGDLARRLIWNEPTVHLETCDS AKRTAQPDFQMVADHMRNPVYVEEAVQRLSQQYPQCIFVEAGHGSSVMKLVQGCVTSA SHSFLPAQLTKSSASLLVETTMSLWKSGHGAQYWPFHRSQRNEYQHMILPPYQFEKTN LWLPFIGRTSSPAIEVTPNIPVHKLLSFIGFKDSSKREATFRIDPENERYQSMLRGHQ MGGESLAPASFTFELVACAALSLLSDSDSLEYVPCVENLHMSSPLSIDINKDITLSLH SIDGKHPEWAFSISTKEHTSGGSASEPVQHTKGTIFLQKKNDAKAAQTFNRFQNLIGS NRCDQIMEDPAAELMKGAHIYRAFSHIVHYAEQFRGIKSIACVSLEAAGRVSVTVDPE APPHQRLCDTPMTESMMGFAGFLVNYFNNQSLDDVFICNLIEKIEIGGIFDPDAKDWI VYGTMTEGEGGKVSADAYIFEAESKKLVMVVLGCHFSKMPQLLLARMLKSANKGQSLA SSTNISPDPVSEKLASIEESETIASVSKNKSSTVRAQVFQVIHNITDVPLEDIKDEST FNDLGIDSLIATEVLNDLRAALGITVDLAAFLFFEDVREICKYLDEKLGNAVPDDIHD SSVSPSNGSSTGSETAAAVYTPTSEGSGKGSTEVPSPVEATTLPTLVSVAQSFESMRY DFDELAIETKAVNFWTQVYPDQASLVLAYIVEAFKNLGCHLSNLQVGADVPQIKFSEK HNKLVSQLYLILEDANLITPSDGGFTRTHSTVDPTPPETIFQRIIDRHPENAIAHRLV KIIGDRLAQCLTGEADGAQLLFGIKANKQLMEDFYQNWPILRTPTLLLGDFLMKAFSN SSGRGPFRILEIGAGTGGTTRYIVNHLQGHGIPFEYTFSDVSASLVAAAKRNFQGVEG MKFEVIDIEKSPAADHLGAYHVIISTNCIHATRNLGQTLSNIRKMVRDDGVLTLVEMT KNMFWFDIVFGMFEGWWLFEDGRAHAIVDEIHWECCMLDAGFKEVAWTDGTSLESQTV RIIAGFPSAAPKLPASKNDHKKPQSQVSVETVVYKKVSTTDIHADIYYPANSTLSAIK LPIALMIHGGSHMIFSRKDIRPPQTRLLLEKGFLPISLDHRLCPEISLSQGPMVDICD ALAWARNELPHTKLRRSDIQVDGEKVVVVGWSSGGQLAMSLAWTAPQRGLKPPSAILA FYCPTDYEDEWWKNPIQPVGAEDTGVEYDLFEGINDEPITNYAAVGAWAPLSDHRIRT DPRCRLVLHINWKAQTIPLIIGGLPSKSKILSFGHDPKDYYNLPQPSQDKIVPCSPVA QIRQGNYKTPTFFVHGTNDELIPWQQTKRTFEELKKRGVITDLCLVKGAPHVCDLSSD PESEGWKAVIKGYDFLCSFV LY89DRAFT_541454 LLSPDYVPLVVTNADIKLASVAWGFTLGVGALTCWKALKQTQRV VATKRFRSAYVWMIWGEILACLTFSIICWLHLNGNIPPSFGFYFAILTCWSLQVQLLL QIIINRIAIIDNNVQRIRTLKYSVAAIVTAINISVYCIWIPARLQISPEYIRINSIWD RCEKVLYLVVDASLNWKFIYTVQKNLVRMGLEKYRSLMHVNIAIVLLSLSMDVLIIGM MSLKNTFVYCQFHPLAYIVKLHIELHLAELIASIAQRKD LY89DRAFT_754342 MASANPPPDDPPLGNYGYDEKAVARGLSKRRQSEWLERSKKWPD LPARRWAARKVIGKGAYGICGQWDYTGNDKSMPRQIVVKQSIGSTAKILRGESKMMHL TTSTGADYVVKLYKGCHRGAGTGTSDIDPLPYNSLGMYIPHQEVVRMYMEYAPGGDLD AFFKRLIATPGARVIPEEHLWRLLHCLAKASMALGRFSLLLQTFQGHGKSWKCNFFA LY89DRAFT_651757 MLCLPSFGFRSIGTGVALTLLILIIYKIITSKLNARKYRTESAQ RGCAPAPTLHSNNLLGTSRLKESIKATKEDRGPQYVVSAMNEVGHNIHTVRVPILDYE LLVTRDPENVKAMFSTQSGEYDISATRSSAFMPLLGEGIFTSTGQQWKHSRALVRPQF SREEISNLDLVERHVRTLMRVLSVNEKGWTETVDLQPLMFYFTLDTATEFLYGQSVNS QAAIAGLESSKNGIDGAAFSHHFGAAKHLVDKRGALGKFFWLMPMGEMKMHCKAIHEV IDKMISDRLDNKKNPLSMEEKGTRKFVLLDELAKETQDLKELRNETLHTLMAGRDPTG ALLGWVWYFLARHPAIFSKLRKIVLNQFGTSASNPITDFGDLRRCDYLQWVIHEVIRI VAIIPMNERVALKDTTLPRGGGEDGSKPIFVRKGIQVLIPLYAIQHRPDIWGQDAEDF RPERWQGRRIGWEWIPFGGGARKCLGQQFAFTEASYCIVRMLQRFDVVENMEGPGEIK LHTAIENRSGTGVKVRFHEVRK LY89DRAFT_672741 MVFQFGLVHLVGAGTIAAFVLSKITSYSLMTVLPWIYFATIPAY IVIYLSYIYPFYISELRHVPTVPGFPLWGQFFTIITTECGVCQREWHETHGPIIRYFF PFGAERLSIADDKAIYQMTIKNPYNYPKPVRAKLWMVRILGEGVLLAEGNEHVHQRKA LAPGFSIASIRALTPVFWQKSLLLASLWKEEMAESRVTTKCFEVLEWLNRTTLDIIGQ AGFGTDINSLENPDTPIREAYRLVFAFDIGSRILHGLQAFIPSTKYIPAKMNRDMEAA RSIIVDKATDIITEKENQAIAHTTHKDIIALISKDNLKMKEAGEEGLSFETMRDQVMT FLGAGHDTTATGVAWTLHLLSTHPEIQERLRQEIQQHMPFLFDPATRYNPAELAKADA DQLPYLDNVCRESLRYIPPIPMTVRQSLAPDMLGSYHVPAGTVIYVLANAINRLPMYW GPTADKFDPDRWDHLPQTYCTNAFMTFLQGPRGCVGRKFAETEMKILVCCLLSMYTFA RDESVEDPESLKMWRLVLRPRDGVSLKVKQL LY89DRAFT_687597 MSFSSGFSSHGPVVIGPISLSPWTNFNKLWTSVMGYPAEDFKFV PGKTPFSTLTETVATIILYVVVIFGGRELMRNRQPYKLNALFKAHNFMLTAISGSLLI LFAEQLIPTLWKHGLYDNICGSSGWTQPLVVLYYLNYLTKYVELIDTVFLMVKKKPLT FLHCYHHPATALLCYTQLIGHTSVSWVPITLNLTVHVVMYWYYFQSARGIKIWWKEWI TRLQITQFVIDLGFVYFASWDYFTSTYAPQLPHVGTCAGEPFAAVAGDVILSSYLLLF ISFYIATYKKMSNRRNTAKIAQKAELKMEKTEVPTMKETAEKATQVVRAANEGMHNFS PSGPFF LY89DRAFT_159618 MVYQPQTLGYSQFEQMPRHTRGLLSIFQSSSSKWTPPAIPRAEV IPVCIAQHYRTLSEVLSQALRCQRFKSPFRVLSQPHLQICVYHAARLSMMSNHVSALP STLYCRLLLCPFVSEFSYWILSLYFSLTVQVTSVCGWLRLAVFACVNGFCCEHTDKRQ ILKSSFC LY89DRAFT_591482 MPANSSQYESLSPPGNDVPSVLSQIWSLITIWRLLVAFLLIGNI KNIPLIWHMRIVNAFRFCLRTQRPKVPVTHEQLFRPLITTTHAPLMEIDFNLHKTNSS YFSDIDIARTHLVCTLFSTGIEHMRGGTAAITGAKRPIFGLALGAVSCSFKRELKPYE SYELWTRVLSWDEKWIYIVTHFVRKGAVMPKKYTLYPQQNSGDEDEIKRRDSTSSVDS MDGNEAVVATALSKCVFKQGRRTISPAFMLKQSGLLPTKSLDDVLATDVEPISIDSCS SSDSGIDVGDSKEESDLERIERERQRGMRVAFSLTNQPQNALEMEFTAESEALGRHTD GTGITGVVSTLAQLAHLKRKQIL LY89DRAFT_687599 MSEKSSTEIPKKSEETTRSRKSEEAGKHENESPKTNHPHLQNFI QEVVDATNPSLRHLASQSSFNSQNSRTPSHAPSDPRSQITKRSFRPLSTNFEEQRHPG KPTLSITRSTKQWDPEIKETGSVADYPSLKQYHESIGSGIYGSDQTTLFSDPRSSLMS ASGAGAVPKYKEIEISHPIAEGASSKVSTSEGSSQHVLPDEKEGAEEEETVYPGPLGL FILITGIALSVFLISLDRTIITTAIPYISDEFDSYDDIGWYGSAYLLTASAFQPLYGR VYGLFNMKWAYLTSLFMFELGSLICGISPNSLALIIGRSLAGLGSAGILTGSFVVVSH AVPLQQRPVLTAVVGLMFGVGATAGPLLGGVFTDLVTWRWCFYFNLPVGGATVAAMLF FFHPPKKHALMEKSFLYRVMELDLIGNVFLLSASVMLFLALQFAELQQPWGSAKIVGL LTGCGLMFIVFCAWQWWKADGALMPPRILKQRTVAASCGAAFCIYSAILIQSYYLPMW FQAIKGDSAIHSGVNMIPYVVANALFSLLAGIFVSKNGYFVAPAIIGMAIGTIGSGLI TTFDENTSSSYWIGCEILASAGIGMAIQQGFTAVQIVLPLEEVAIGTAAVVAFQSLGG AIFVSVGNTILQNTLLDANLPGVDIQAVIDAGASDFRSKVTAEQLPGLIAVYNDALTK VFIAAVPMAGLAIFACACMEWKSVKDGKKGDLESAKKAQGKRGEIEKAILERHRRSEM SGMTVGYGSRD LY89DRAFT_754352 MQSLYSWIAMAIMVSFSANVNAIPVSYANDHNTNTTLNTYGPHP FARSTPAPLCNPNTRGWGGVEPEWLSTGINYLNGAGNNGACDLAAKTGLAVSCATGVK IWMQNNNNFLVKVDCGTVTSYVTAIAGCPLIKGKVQGQVFDKYWDVHIEADQCGSSYK TSW LY89DRAFT_785210 MDALSVAARIGGLTSLSLTIVKELNHLSTDHQAAPPVVNSLCSE MRLTSRVLSQLQIIVLDEWTGKSVEDFTDPQFLSFADTVFTGCSTVLYCLEDEVWSLV NSCRLARDELSGLSGKEAVLWKTDELEELIQGLWDQRQAVSVLVESFEVKSIPDLRRF MVNNRATVQQSRDRTKSLKERYSNLVLADSFLHIPGDVDISSHESTTKEEAYEFTELV NNTQVYRRARQVKAQSIDKEHVQEEITEGDLIDFGPGPEESGESQAPDWLTEGRNHDL DLLDSEDTTTAMKWSELHSHDSASSMSSVSSVTEDAIPATRSVFERAPGSQTRTPSRS VHFHDAGSDSVEDKLIPTASRPGSANSEVLNQASAPLDADFPSGDTVASRSSNHAPPP PPPLPSQHSRPSFTDEQDDEENEPKTQAFERWETLSAHWEGLTSFWLRRLEENTVQVN SEPLTQQLSRQVTDLSAAGANLFHAVVELQRLRASSERKFQRWFYETRIEQEKAQEIQ AMIQASLEAERAEKRGATQELLDAKAKILEAQTEQERIQHIQSMTQVNLETERTEKRD VIKELLETKVLLQYSKREAQRAWTELGRREGEEKARSAKLQKGEGAVIGGDSLVPVAR GTELDLSHESRLFFELVTSRDPSAEQWSQRPRDLWDDKA LY89DRAFT_651772 MTGDTSISDTSEGITLMSSFSRKVIRYGDKVVKSGPGILPLEAE TMRFIAANTSIPVPRIYEEETGLVPSITMDYIEGETLEAVWNGLPEEQKLDIAEQLKG ILSQLRSLKGQYIGSINRGEANDGRRFDYKGGPFDTEAEFNQFLVTDKIKGCPTIFYD IAFRSLRTDHEIVFTHADFTPRNIIVKDGRVVALLDWEYSRWYPEYWEFVKTFKGADH RCSWYNYVEAIFPVCHETEYINDCFLRSILRH LY89DRAFT_687600 MSTSNRKGRSGHHSRGKYSHSDKREEEAPPEVFSRTLSIVVYRG DPIDSHLNRHTAFYIEYSDGSNVLSYITGASGFFEFDRDGTKLGLHSKVRHLSVEFQL RQFNRVLPRT LY89DRAFT_754359 MAISFHPSESVFERGGPIYILAVPILLFYSLSLVGCISVSPGIP GIFLIQLQDNTGNGTRIQIGYFGVCSASNSSASALETLCKSTFGTSTAELSTGLSIPQ DFLQYGLDVRDTAFPSFLPVGAVCFLLGTLAFILLKMTLRTLDDITDDENKEEKMESR ADRLSSATSGLLWASVGIALTVAYASTVTLSGLAFVTGTRFSTATHVVRGTTLEALQW AIVGLSALFALGVRHMIRSNDSSDDDDQHQNPATKALSGSSTAQGVSRPRPTLQSGAR SAPPPPKAPILGLPAKR LY89DRAFT_672750 MAGGSRSRSGCWTCRLRRKKCDERRPGCETCEKLGISCHGYANR PDWMDGGEQEKAKSAEVRRDIKSATRRDAGPTASQPSASVHEPSPRVSPTLENATHHE PRHSPQEISTTLPTGTNQSDRQLLNSMLGLNVVLVGASSSGSYRSNGAQGFGRTQTSE GSGDIEQRQMPVIKERDASLLMYYMDCVFPMQFKMYNPAPAEGGRGWLLSLLLRTPPL YYMSLALAAHCMEVLEIPNGSDESKGVTSAQLASALQNLQQYITMFNEQPGPGSLEEN IKVLVCIMQMIGFVGFAGGTENWQVHMKGASTIVSRFTDILCSSNSTSHLFESNRSGT VMSVEELITVKFLVSIFSWIEIANSVSSGSSPTLGYIHDRLLGGQDPPIRLDKVMGCD NTVMILIAKIGALDEWKRDAQATGKLSMMELVTRASSIEKELMLALSGIPGGRPPSAD FRSLYGLSRATLSVYFDYVTEAYTLSALVYLHVVVSGPHAELQEIRNNVSQSVELFRR LPEPIIARALYWPILVTGSMALPEEENLFKEMLAESGVKPIFVGPGWNIIKILEECWR RRRTNDPLPVKEGYWADIMSSLDTTVLLL LY89DRAFT_754363 MQHPSEPAMESPTADEDTKRNSDGIEATLGTREKISFLVLMIMS FYAALESTSIGVALPNIAASTRASSTQAFWIGTSYLLASAVCQPPFAAFSHIFGRRPV IIFTLLLFIAGSIICALAHDVAVILVGRVLQGIGSGGGLSLIEIIITDLVPLKQRGGY FGLISLAWALGSAISPLIGGAFTQKSITGNSGSGFRLNPCRAGVSLVVLPVSLRLEPR KGAIMNKVKQVDCIGCFLLVSSATSTLIAISWGGIMFSWSSAHTLAPLLIGIAGIAVF VLWEIYFAKHPLIPMSIFNNRTAATVYWQSMVSGFDMWACVYYLPLYFEAVHGYSPIL AGVAILPTLLSVALAAAVTGFAIRKTGAYRKILWLGWSITVLGSGLMMLLDVHKSPAQ WVFIDLVGGLGVGMLLPVMRPAVQASSSDENMAVAAALTMTMRTLGMSLGIAVLGIIF QNVFQHKLNSSGLSGFENAAAQNVLGFVKIAKSLPDQSPEKEILRKIFADSLKIIWAA MLAFSAVSLLLSFLTEELSLDRVLNTEQGVKTNSNGVLEPESTSTAEADAFEEK LY89DRAFT_687601 MSDQPSSNSQTGSDSVQRGGAGMDKTPANPDGGKPDTPQATTTK AFNPADEPDFAKTKPGNPPHILGNVIEGLTAGTTRSS LY89DRAFT_737525 MQWRQHIKKLKVDKITACSERLASASLHRAIKHLKFYEKGSNTT SGVGDNEHGVGALRGDKRAQTMEEVSLKMHMMGSAATESFPRFGKEEFRDATPKGLQ LY89DRAFT_737526 MTCPLCDRKFQSAHGLYGHLAQSDEAHLTVFGGEKTFTKAVAIC GKRIIDADKASVEAQNTEPREKPWKAPLVGQMPMHLHSQNIIHRDIKSDNVRLDTCGN VKITDFGFCAKLTESKNKRATMVGTPYWMAPEVVKQKEYGSKVDIWSLGIMAIEMIES EPPYINEEPLKALYLIATNGFPRR LY89DRAFT_672754 MGACLLQLLRTLQQHHLNKINSCNSKILSAQLHTLGWEDCLERC DALLLTSGYEPAGITFGIILFCFFNQLDLIRSSAGQVGKVLGERWKALNDKQRAPYEA KAAQDKKRHEDEKAS LY89DRAFT_591416 MGNRNSSTAAAAAKDPLTKLFDEAEANFPPRFREHGWYLTVLAS FIGTGQQKLAGRLYEHLVAQPQYKTSAARQALVRRLREALVKCIIINGIPVVIEAVTS INEVEKPEDKDFPSSREDWQIGPETTERASKILKVLYKSENGNHALTLEAHRDIPPYS KPTAWISKNVSYGLFLSDHRIQSMQETELVVLPAIMTQNLQGPTYWHLRACLRVGLEA EEVEAIHKVIESVAAYCGKKLDVQRVKDVTDA LY89DRAFT_622458 MTTTFTKTHRLPPSITRSTALTYLHNHAFILSADSNLTSYTSLP TSTPSPLPTEHQSKKIAETRIYTIRDKVADVPGGLWSSDVESAGEYTNLTDGIFLRGK SPLSVVTETVIRVEGAEGEGEGEGLVIRCESRVSCSRLIVGVIKGSLERNLEGLVGGV VR LY89DRAFT_672757 MTPDIFPSPGNLAKVLALVSLLPNIYKDPVSFHPERFLGDERFE DDDREALQPFHVGPRNCLGKNLAYTEMRLILARVIWNFDMELSEGGEGWLEGQRPFNL WDKGPLMVRLMVRKG LY89DRAFT_687605 MGCINDLQYWLIVIVIDVLSCSSISISRQQKAEPPSPRKKQTGN PFPLHPTIPLSTSSHRTRSIYISRAHRGSESSGVTSGSILLLIPSLYPFSCLSYRWQS PCLPLPPSPDKDRRQNDFRRDGWERGSKEP LY89DRAFT_687606 MSLSLSLSLFCSLRRVFFVVLLQCAFVVFLWLGDVENARAEQGA SFGCCSIHHHSSMCWVGGEEKCSRVEWGCSALPRCRPGEVCSSPVQSAGTWVSLDPTL GLRDAEVERWRGGEGVVLVFQEPEVEDLNSCGTNTVPAQHRYLGYRVSVKYFPMTPIF LS LY89DRAFT_591122 MELARPSRKTNGVGVQVGDTQVCVVMVGLPARGKSLIAQKAQRY LKWLSIDARVFNVGNYRRKGAAQPTADFFDVNNAEGERVRRAAAEAAVNDMIKWFKHE HGIIAILDATNSTKSRRRWIKDRCDSEGIETLFVESKCDDEDLIMSNILEVKTTSPDY KGQDPEKAAQDFRNRIRNYEKIYETIDESEQDLTYLKIMDVGKRCIINAIQDYLQSRV VYYLMNLHIKRRSIWLSRHGESMYNVTGQIGGDADLSPRGEQYASKLPELVMQSFEGQ EHPLTVWTSTLKRTIATARHLPKEWNQLQWKALDELDSGVCDNMTYAEIAEEYPEDFE ARDQDKYNYRYRGGESYRDVVIRLEPIIMELERSENILIVTHQAILRCIYAYFMKEDQ ERSPWLNVPLHCLIKLTPRAYGTEKVVYKANIDAVSTWRGKGSKALHEDPTEETESLA K LY89DRAFT_737531 MDEQASPRPDIPLVPSDDEDEREPSPTPALGAARYAPEDEFFAQ GYGSSEWLQKQRDLRKLKEFIDSHRRAASHKARFNPTGSGSAYIDQDESGTYDPSGKR RHLTPSPEPSSAPKVKRVKVDQFDEDGNLIVRKRSMVGYRSLVTFHFTEANSLEYLRS IKDGNESEHADGEDSEDGSGYGASFPKKSRRTVKKPQRWGISTRDDGLTLDDLTAGHP QRRGCKACFLSGNDACSLLEDPHTYPCEACDDCEGDCELIIPPKFKKACERCKALRME CSYDIDAGRYQNSCQACADDELPCCAGPRDEDTLSRRMNDVLSRSKEEREYFTNLRKG RLAAKDRKYVSCNQCRYKKVRCSLVGKKALGPCSYCKKLDQHCEFTSIREYTELPASL RALAPNLILREKNIRNRETTPEGFTSRDQAGEPHTPNTNTRTLAGEIEKRYTSRQKRK QDKKLTSSSTRKGKGKERSCDVVVESPKLVGITAGIRHIHMKTSFCHPITFNYQPPPE FSPFELQTGEKSTTISPPKDEVCHFCESPFFGQAGLTDKFGGPKNVEGFYWPDGSGFE EISGGYSELGLMPTKMCGACTTSRIEIMTCFKHQVVPLTVADTPLLDPQVVNKAAQAS FARDFAPGKLLKEAKWCSICPSLADFKCCQEQIGFNGDGEEVTVKGCGLYLCEDCEVL MGKAFTGLCLPLPPSAFHFNSMITGGVTRNPEIIATVIGIIESQSYRFQTGVRADASF LTNEGELMARLQQNFGQKDVEDSTDVRPDAFTGQEPKNKYGHDDEHGDSDDVGPPAKK FKGPDLKGKGKDWSAFAPEMRPKGWDETRGDYDDDLATAIWNSLAAGGHKESGKSGSS TIIDLTEDDD LY89DRAFT_159875 MRLFLIRHGETVDNVAGNYAGTTDSALTNHGVLQANRLGSHFSA TDIRVTHIFSSDLKRAFRTAEAIREAQDPAPSKTTKLEILREQDFGFYEGKQFFERPK EGNKTGKDAHLEAHRDEPGFQDVESKESMKLRMETFVDDHLVQLLHEVEAHHSVVVVA HGIILSHLWRLLLKRFPPGNVAVLPGVQAAERGFSLEYLGGWSNTGYLELEVKAKQEK VHEHTAKGEESSLPSKANLPELESNSDPAEEPMPSTSTAELCIEASNVPQKPSIPPPL PVNAPPAPSKLLNMSLLVMAVNSQVHLKGLKKTRGGIGNLKHDSSQKTMDSFFKKRRL E LY89DRAFT_159889 MAPLLSLLLLAALATAQSTTIIVSDGVSTTAVLPAWPSESYSST TTTTDTSVLLSGSTTTTTTLSPTLTPTPSSTLSTVTPGGPALNSTSTSTSTAAEISSY LSSIISIEQSTLTPTSTSTDFITSTTLVGTTTSTFYSTGTGGLPGYGNATSTASPSQY TGAAVRNEGVSAAALVVFVVGACVGL LY89DRAFT_651786 MAAVDATTKALETTTISKTKELKGTEKRDTLIAIEKKYQKKWQE DGVFEPDAPSTTEIPLHSISPADLRAQQPKFFGTMAYPYMNGTLHAGHTFCVSKIEFT AGFARMQGKRTLFPMGFHCTGMPIKACADKLINEVKLFGKNFENYKDDDVLEDKTNTP TPSTHHEDVTKFTAKKGKAASKVVKMKYQFQIMRAVGIPMEDIHKFADPLHWLDYFPP LCKRDLTNFGARIDWRRSFVTTDANPYYDAFIRWQMNRLKELQKIKFGKRYTIYSPKD GQPCMDHDRSEGEGVGPQEYTALKLKVKEWAREAEKAIKGKVPEGADIFFVPATLRPE TMYGQTCCFVGPKITYGVFKVSEKEFYVITDRAARNMSYQGVFPKNGVCEKVAEILGS ACVGTLVNAPLSVHKDGVRILPMESVLPTKGTGVVTSVPSDSPDDFATVTDLAKKAEY YGIRKEWAELEIVPIIKTPTYGDLTAPFLVKKLKIASPKDTKQLEEAKELAYKEGFYQ GVMVIGEFKCEKVEVAKPKVRQQLLDANEGFAYAEPEKKVVSRSADDCCVALMDQWYL DYGEESWKQTALKYVDNADGKGLNTYAQETKNGFDGVLNWLNQWACARTYGLGSKLPW DPQFLVESLSDSTIYMAYYTIAHLLHKDIFGKTPGPLGVKPDQMVDEVWDYVFARREL SDEMLKESGISKDALATMRREFEYWYPMDLRVSGKDLIPNHLTFCLYIHIAMFDPEYW PRGIRANGHLLLNGEKMSKSTGNFMTLDDLVKKYGADASRIALADAGDGVADANFEED VADNNILRLFTLREWCEEQVKDQDNLRSGPKNDFLDALFENEMNSLVHEAKHHYANTD YKLALKSALYDFTGARDFYREASIAAGVKMHRDLVLQYIELQALLLAVIAPHWSEYIW LEVLKKPHTIQNALYPKTPAAVPALTAAREYVRNTSSNITSAEASQQKKKAKGKDIGY DLKKPKKLTIFAAARFPAWQEKYIELLREYWDPATKSANDKELNGKITKMGEAKKAMA FVQTLKKRLVAGEKPEMIFERKLAFDEIQTLKNMILGLKKAAGLSVVEVIKVDEGGKM GVVVGEEKKVEALPQPAEGAVPGVPTFHFENVDA LY89DRAFT_591405 MFSTLVRRSAQQKIPFDIYSNPYKAQRLWPPDFTKISRKQQFRL ERKYKRRAKLKWARPRWTKFVKTMQLGSILFITVYGVLFLDWSKQGNPEHKPFEGVRW WNEYVRDIH LY89DRAFT_159996 MINAVLVFNNAGQPRLTKFYTQLETSVQQRLISEIFALVSHRPT GSCNFLPLPPLLASSGTSSSSSPHEHNDIPSLVTYRHYATLYFIIISTATESPLALID LIQVYVEALDRLFENVCELDLIFNFETLHACLAEIIVGGVVVETQLERVVEGVKSQGR VAKRPVNESRGVALGGMGGNFGWAGR LY89DRAFT_687611 MNALVEKLEQMQEETEEVDCEYSAGVLTLAFPPNGTYVINKQPP NKQIWLSSPITGPKRYDYVVLSEGQDAKEGTGRGEWVYIRDGSTLTQLLQTEVGVDMS NLYAPVEHRGD LY89DRAFT_541053 MAENIYDEIEIEDMTYDATREIYHYPCPCGDRFEIAIADLRDNE DIAVCPSCSLMIRVIFEVDSLPKPEEDK LY89DRAFT_737539 MDKNTQQRPGPARKRASTPRSKTGCTTCKIRRLKCGEERPSCQR CVRSGWQCDGYDHVAPTSPSPEGTIASLLPRNSSISPTPNSLVIEPSVLHPLNEQEQQ YFRNCGEEVTGQLQGEDMLFWRGVALQESLGSFSVHHGLIAIGALKQSTVMRTGRYQM DAVPGTHREFALQHYHTAIQSLRESMTNMRDEKEVRSTVVACMILAIFDDFIGHRAFA LQHMRCARDILLNSNSLLSASNALGGSDDAKLANMFLRLDVQAMCAIGVSEYQTMIPL QTLPQIFALPTRISSFEEAQNLSTVVAWEGWRFFYHNATYQLLPRHQIPQNILDLRDN LVKQLYDLCLLLADLKECEPGTIRHPLACIKALSLHPVLALVRLASTFGAPETACDAL LDQFTYLVSLSKEIIQFEALENPELMEQSSSWTPFPQQNELHPSLPMPYPDLTRIPVA ETYSAELRTVSPLLLVATKCRNATLRREAIALLLSSHRREWMCDSLLSGQIGQWMMSL EEEGMDATGYIPEHARAWGEAVELEIQGRKAKVRCRQNFKSRVTGKTEWRWREKHICW LY89DRAFT_754403 MRAANLIAAVASLSIFSVEALKLAKRDTPAVLKLDLQKRRSGTF ANSTLTKKRQGTVETPDINYQTQLLYIVQLQIGTPPQSTYVQLDTGSSDLVVETDSSN ICSQAPPNPCTNFGSYDANSSSTYEYSDSNFQVSYGGGDGATGDWGSDTMMIGGAVLQ NTQFGIMYRTTVLEGIVGVSYPIIEGRNTFSGEPIYDNLPVLLVKQGYIASRAFSLWT NDDRASAGTLLFGGIDTSKFSGELVTIDLVASGFDGFPGVVDFTLALNGVSGTDASSN PVSFVDGANTINVLMDSGTTITGLPQDLTTSIWAFVGATPDSSNPTSANIPCSAGSST ETINFAFNGITINVPLSQLVIFPDSNNEICFFGIDISTDYIIGDTVLAAMYVVYDLDN NQISLAPTIFDSTAPENILQIMAGPGGVPDTSSSSAAVPSTVSSSAPSSTMSSSVATS VSLSSTLSSSSSIFGLLDFEQFK LY89DRAFT_754410 MSDEPNSDPEEDTGVLFSFVNRILDRVTTAASNMVSSSPTSPIE SPISPQVSPVVPGSFPLIQTPQTPQPRPFLTPPPTPIIVKKSASSLHHCGQFTFYFPR CSHATSHLLHLNTWSGTACNRNCVYDRGNDYWFYADQGSHCSYCGLGAQSGIGIKQTI PEDSKGRDRERFFEGLVRSGKICVGSAEEGAKEEYDAHYVRAVHQYNILIDALPTLNS KAPILSLAQLRTKRRERTLDRWDVRRFRAKRRTEEWVRNIRAEQYAKTKAHVEVGSRP FIVTPTGNPHMDLYTEVSIKGLPVPIDNCAWCQFSLSSSEAIAESGPPSSLPCGHTFH YNCIVELFEKRTKAGEKEKHKCPLCSVWFRDVREIPDFYGRYRNSEHVFDSCCDSSVL SKSDAGDIRGHTGPPWMEELSVKDKEETEEGVDAISETATLDHVEILEGASNHTEEIS TVIDQTVEDQAGTERSDSGYDSPSAQLLEEQESLHTGCNEPERSDSFEEDHQSYIVTL RLPPGVIRRTSRLRRQPRRFADEPGGPTERRRSTRRRI LY89DRAFT_160102 MRFALLPLGVSFLITQVLADGASILTAMTTITNATLALNTTLNS FPSNPELAIGDIAPLLVDSVNLLDDINNGVTVATQSANLTLPETIEVAQATLNLSTAV STTLSNLMRTKANFDKLKVITPVVLVNLKLENAASERFGAAVVAKVPPAFQAQALSLL APIEASFNTTIAYYS LY89DRAFT_160101 MGRDRDSTGRIVRCKSSSHVEISHCCPRESIRLFLGNAKMMVKR KHLQSASCQPLRRSRNIYLPFISQSSNCTFAHFEMKITSVSALASHHMPLIDNCPRQT RMFLCTSFPGQIPSFCKKGRRSRSVPAASWATQRHFTLPYSIGAISDACLRQSLPHPQ LCPLTETFRLV LY89DRAFT_737543 MASPTSLKITNRSAKKPIKKLPTSIDVTDKTTVQDVKVLLAKQA GGMDPERLGLFDAEKKKLLKDRRAIVSQLQDVMAGKEILVKDLGPQITWTTVFIIEYL GPILIHLITPLLLRPYIYSSAPPLTTSQYLSMAMVILHFLKREYETLFVHRFSLATMP AFNIFKNSAHYWVLSGFNIAYWVYAPNSYTTLEGSIINYINAAGLVLFVFGELSNLHT HKTLSNLRSPGGTERGIPKGYGFGLVTCPNYLFELIAWAGITLVTKSASTALFDVVAW WQMNQWAIKKERALRTEFPDKYKKKKYVLLPSPGAVIKYITG LY89DRAFT_737544 MEHMTSLFASKGKITVADSTPAPPPIDPKDGPDHSISPSEEILA TLDSLSQLIQLSNRPLKTGTGDGSYAVTSKTGGSAADLRALGLTDYDSVHSLLEQELG TSELTDDKSLLLERVIQLLAKLPADSDNRISLTNALIRNLWDSRSHLAPSLSYFGDYY NHRQPNGSHNNTEFPDIGKAGMEYCRSVQPKIAQPNPKPEPGLVFDTLMSRKDACSIT WRQSSLTPYHDDMTYNTTSSYLDLSPLYGNTSSDQIHMRTYKDGQLKPDSFWAKRLLG FPPGVGCILIMFNRFHNYVVQNLAAINENGRFNKPASDLSDDEAEPLWANRDEELFQV GRLVTCGMYINLILIDYFRTVLGLNKVESTWNLNSRAGLNSKVTPSGTGNQCSLEFNL VHRWHSYVSQRDEKWSRDLFKKLFGKDHQEITARELLAGLKKLEAETPDDPLLRDFED LKRGTDGKYNDDDLVDILMASVEEVAGSSGANNVPEVLRAVEILGMEQARTWKCATLN EFRSFSGLKPYTSFEAFNSDPAVSSKMRQLYDHPDFVELYPSLVCEETTKPMSPGVGV SLSYTTSRAMLSDAVSLVRGDRFYNNDYHPGNLTNWGYHEVAYDTSVNQGCMFYKLII RANYMLCGASPFFSARREQIKQCLYAEQWADKIKAFYEDITLKLLEKWSYGLGGNTNQ VDIVRDVGNSAHTYFAANIFSLPLKSEAHPKGLFNEHELYMILVVMFTLIFFGDRDPA TTFALRSAALPLCLALGALVEQNVSIISKTGLLSGFLDPFFEEHNALKDYGVHMIRNI LSTGLGVHATAWGQILVTSFAVVSNQGQVFAQIIDFYLHQGKEHLPEINRIAKLNTEE ADKVLLHYVMEALRIAGTIAVSHRCNKDIIIKDGDKDVSFKTGDNVFVSYIELNHNPD TYPDPDVVKLDRPIDSYTVYSIGTHACLCGGASKVSLIAMLKVVGRLDNLRAAPGEQG EIKKLPLPGGFCLNMDTTQSSYFPFPTSMKVRWDGGFNAPV LY89DRAFT_591537 MPSFKRVAVIGAGPSGFAAVKALSDENAFDTIKLFERRDRVGGI WLYDPKPDVFPTSVSRRPKINAPPQQLPAFAEPLGEDIGARTGIYDTLDSNVGAEVMA FTHTPFPKDNSADSVRRYGSNNPTRPYQIIERYIEDGFKEYRHLLSLSTTVENVEKVG EEWVLTLRKANEPLRGEHKDYWWQEKFDAVVVATGHYNVPFIPAIWGIDEAVKALPHK FEHSKSFRSPDDYVGKKVIVVGGSISAADLVGDLAAIVQGPLYLSQRGRNELLDAAFT LPGVVTKPPIRSISANNGGTVEFQDGSTVKNFDKIIFGTGYRLSYPFLNPEPVTAQNR LAGFYQHVFKIGDPSLTVVGQVKAALSFRVYEYQAVAVARFLAGRAKLPSVEEQNDWE TKRLAYKGPTNLFHEVKPDFADYFNWLRNFAGAPAEGTKGYELPAWDDGWGPLGLRIL SLKDAYWNRLKREAHEGQIRAKL LY89DRAFT_651810 MRGISTLVAAVGVLAACTEAAVVRRTTSTSPSSTTVQAVKATST ASSGSSSACAAVSETLGSDGDSTTPILPSDALACLQSVPLDVKRSSDFIDFIEPYIQF QSTLAYLENPPIGWTLPGVDVLGGLAEIQNNVENGTYQSQWDFEVDLYALVNIFPHDF HFNLPMPLISVFEFQTIEGSLVSVSKDGLSVPDVYFKFDLDWEYLVGGLNWTPSPLVS INGVDIADYLQYITQTTSDYQDPDAIYNQAFSSLAFDYNPFASRGSGSAFVIGGHAYG FSDDSYTYKFANGTTRVFDNIATTSIDFTDIATGQDLFDAVDLPPTEHATSSAAASSI TAAPSTTAAASTTAAAASSSPTVSSLAGYPSPIVIHPDGYTSGYFLPNSTIAVLAMQG FVDEFETDENAAFFQQQTIKIFLAAARKAGMTKLIVDLQNNGGGDIFNGFDAFKQLFP TLDPFGASRIRATPEANYFGTIFSAAGVYNTTVNTVYQSQSSLDVNDHAFNSWSAEDP PVTIYGDNFTQELRYNFSDIVTESGTGGINVTGYLSNANPPPQLFSSEDMVILYDGSC GSTCAVFSELMKSQGGVRSIALGGRPQLGPMQGVAGSKGAQVYTYGNIAQVASFLPDA LDILKSKGIAAPPLPPAEFIIDIEDPFPLGDTQKLAAGARFNLRNNMHDGDATQTPLQ FVYEAANCKLFYTAKDQYEIAGLWQRVSNVAWGGAKCVEGSTVTSDNTFPAGAYDTVP FGQSAYSTVEQPYQPGLIAQKSDV LY89DRAFT_687617 MVTKSTEAASRTPQDHALIGEAKNIPINSSAPVVSVSPITLPAP GCLLDLQLRISFPITGNNLPIILLSHGHGQSNNLSSSNGYGPLASFWAAHGFVVIQPT HLSSKSLSLDPATPGAPLFWRSRVEDMKLIIDQLEQIEASTPNLKGRLDQTRIAVAGH SLGGHTAGMLLGARLKDPENGEIVDLIEPRIKAGILLAAPGDGNGGADLSEFARGNPA FALFRDPSFAKMTTPTLVVVGDADISPHLTVRGADWHADPYTLSPGPKSLLTLVGGEH GLGGISGYDAGETTDESVERAAVVQRLTWAYLRSQLYAEDKAWSDACVALEGLGGLGK VESK LY89DRAFT_785236 MPPITKIWFAKIKPSTKTDSPEFHDIWTTVLQFCNQQSGKEAAG HTLWQDINDSKNLIFISGYPSQESTDAADSLYVKTHAPKLMEFVENDGIVQLSRDVTE LPIKDAFVSLGLYGQGQGGGAAGFEKSSKGIQSLLQGMEGNTGTLSGKDEWPAILRRR KGLAPDTNAEDTVVVVSGWKSDQYYQSAVKRLNQQSLPPRKLSIYKKIME LY89DRAFT_622495 MESSSGPKVLVTGFGAFQDIKNNPSWEIVSRLPDMINGVRIIKD SEPLKAVYQSLLEVPKLLEKHNPDVVIHVGLAFDRNYFAIEKGADRDGYHQVPDEARK VVTRAETKARWGMSPARLDTTFNIEDVLAKWKANAVAGSGKGKAKGKEFDLRTSDDVG CYVCGFVYYASLERVLFLHIPDLQGEAEIQRGRDICVALVKAV LY89DRAFT_160211 MPIIDNTPVSPYQDYVSGLVPNKHGYLAELLQLQRSGPQPTSND EPVFVNEFQVLDIVDGKFACQVFRPELVGPVDQQFTRLLEEHPEGLKSRFLIFRHNSI LRQQRTAPRLEINGNYLNAISSRFELDPSFLEKHFALCELHQNKTIPRLVPVPLPKDG SCLQIMCNSACHFTMCRTKADGHKIVVIFGFHYYEETEDIPDLTNGPFQSATTGKISI EDVLAIQEQPLHLLYNTILDALLEFGMICFRAEWDPRANELTAEKLLERRQRLRTEHL ALAATRRSLGMFSDKSRINHGASDAKWRTLTDNLTALLETSDKINTELKDHLQSTHSI DAIEEARRGLRQNETLKRLTIVAFIFIPVNLSCAFFSMNVKQLNNSSMDIGYFFLGIL VSGFLAWALAAAISSTFRALARARAEISKDGRKPVSPNGGRSEPLEKNRVADEVPVSW VI LY89DRAFT_699328 MAMGDSPVLVAPHEAYIGSIDQGTTSTRFLIFNRDGEPVASHQV EFKQYYPHPGWHEHDPLEIITSIETCIEGAVKSFEDNGHAARAIKAVGITNQRETTVV WDHETGEPLYNAIVWTDTRTQALVRKLKARLGSDKLQELCGLPLSTYPSVGKLLWLIE NEPKVADAYSRGTLAFGTIDAWVVYRLNGGPKKNIFVTDPTNASRSMFMNIHTLKYDE QLLDFFRFDMNKLHLPKIVRSSDAEAYGTLTTGVLSGVPITGCLGDQSAALVGQKGFS PGRAKNTYGTGCFLLYHVGDKPVISTHGLLSTVAYDFDGTPQYALEGSIAVAGSSVKF LQNNFEFIKSSSQISVLAETVEDNGGVTFVTAFSGLFAPYWIDDARGTIFGITAYTQK GHVARATLEATCFQTKAILDAMEKDSGHALAELAVDGGMTNSDLCMQTQADLIGIPVE RPRMLETTALGAAIAAGFAIGVWQNFEELKNVNTAGVTIFKPKISAQEKDKKFARWEK AVEMCRGWAD LY89DRAFT_709281 MSSEKVLPLPSPTSVSSPTSSGSEHAYEEHGPGIDHKIPQEDAV QSHPTLWWSRTRHLLREPLSEFFGVFILILFGDGVVAQVVLSDGEKGSYQSISWGWGI GVMLGVYASGISGAHINPAVTFANCVYRKHPWRKFPIYFVAQVLGAFIASGVVYANYK SAIDVYEGGTHIRTVPGYSNHSTAGIFCTYPADFMTKTGQFFSEFIASTILMFCIYSL QDSGNLGAGNLTPLGLFFVIFGIGACFGWETGYAINLARDFGPRLMSYCLGYGPNVWK AGNYYFWVPMVAPFFGCLFGGWLYDMFLFTGGESPINTPWMGFKRIIMGIRGLVQGKP SKKEPEHV LY89DRAFT_651823 MAEKSEQQEFEHGIDEKHIGVAPSPDLEDKDGLRTVDAGLSADQ ALALAEEQETRRVLRKIDLRLVPLLSFLYLIAYVDRSNIGNAKIAGMATDLNLIKMRY NTALTVFFVPYSLFEVPSNIVLKILRPSIWISILCFAWGLVMTLMGVVSTYHGLIVAR FFLGVAEAGFFPAATYLLTIWYKRYEVQRRMAIFYAAASLSGAFSGLLAYAIEKMNGI AGLAGWKWIFILEGLVPVVVSFFLYFLLPDRPETAKFLTKEEREFVINRIALQTGSGQ GRVTNADKISWQSIKVGFADWKVWMAIVPFWACSIGTYGFTATVPSVLEEMGYKTADA QLLTIPIYVFALIATVVVAFLSDYYQQRTPFIMAGFTIAVVGFIAELAIPHPKYPGVT YFFLFLVAAGLYCPFTCVVTLVGNNLAPSSKRAVGMALMISVGNMGGICGSNIYFAAE EPKYPAGFGTSLGICAAGIVAAWILRKAYQRENRKRDELLARDGEDAIKARYTEQELL ELGDLSPFYRYTT LY89DRAFT_737554 MPLTTTSIDMLDDPMVNYGRDDVMQLIRKIGRIQVLDISREVSK LREIKDIEDELTIMSILFEDQRTVLTTLESVILSKAAIRSKVEALMQQNVDLDEDKPD EKIIISEMTPGTELVDDIQENTIPANESFTEQKEVDRGPMEVSNGGMSSTHEKYPDPE SSSISNTPQPSILEIKGKGVLKVSDKITELNMEAHENHRSTKHYLDQVASKLLETWSN SKQRNLPLAIVQRSIDEVGSMFQRAKKANEALDFLVDLKQKQSSVLDARSARIQTEGS LKMTQKLVELTGVTVEINKENERQGKTLMVFTIVTIIFLPLSFMAAFFAINIAAFHRD DKDFLSLGYVSKIMFPISAMITAVLIYIAFRVDQLNKVWPWMKRTGSNCWSKLITQLR QLWNGGEDSAV LY89DRAFT_754448 MHILVEKKLNEESENSKKFERWQLWAPRYLNKAAERGWEALAQI LIRHKVDVESLHRNGTGDSQTALCTAAENGHAQVADVLLKAGAKLDFRTEKTKDSPLT FAIWAGKREVVKLLLDVGANFTEPNAMAKTPKKLAEEGGHREILQMLAEKEKDGLLAE TTEKLDHSVDQAFEAEVVTFTRSSDAYQHNVESMSVYDLLDKVNPLGVGEHRDSDVSL RWFHLPANNMRWVEVLVSKLYDNSAQAYRFLSGERWVGRQHHSLSDIPHARFMQPLCQ TFQALSNPTSGTATIPVLNDHSRECLVLFMPFLHWDTVDNQSNTSINQHQGSNDISVD TKAQSLLRAYLRDPHPLHIRRTLDQYYYYAMESTEERDSDQVVTRYQKKYHLEPKVIT MVDQLWLWVLAGEGDRADTVITCFPQRDRSSGDLDRYDFTDVLINIKLHLLNEKSSFM TGFELAGIIASQCSRAYLDIARLDKTPGFSEIYETAISDVVSSDITTIHCINAYEKNK DARRVEAF LY89DRAFT_535370 TPVSWAAANGWIEYLKQSKSTGLDKEDKIGRTPLSWAAGSGQKE TTELLLNEGGTDINKRDNEGRTPLSWAAENGCDETVIMLL LY89DRAFT_160236 MILRNISNSSARAERARYKVHHLKEEVDFRKVNLIKSTLMKSGL DDSRARAELAESKEALAHAIQQLDTELELLSVLDNASKAVKVLLTGVVQGDMPERPEN LFIFDLSISSLPLDKRYRRNVRFDGRYAIEKKIQVFGRTYLRCCTLPSCRAYQGC LY89DRAFT_687621 MERRTEEPQRTDSSRADSEWKADPGLGNLVHGSDTKGSDVDRSK KAAEMPEGDFEDHSASGGGSRGVKGWTGDGKGPLNP LY89DRAFT_687622 MATTKSQSLPETCRALVCLEKGHVEVQSVPTPNAVPGSVVVRII SAVVEPVAKSIFSEGLPGLWFPTPYIPGTRAIGRVAAIGSDTTSLKLDQFVILDPHIR GRDDSNVQLLWGAGVFGGNPAANALMEGSWRNGMYAEYARAPLENCYALNESILMGSP SSGGLGYSAAVLASVMRYAVAYGGLRSIDLKAGETIIIAPATGIYTGAAVEIASAMGA RVIAASRNIEALNKLAALVPRVQVLQLKGKVEEDLAGLKNFGVIDAYLDMSPFAANES THVRSCMMAVRQYGRVSLMGVSMKDIPIPYVVAVMNNLTIRGQYMYEREDVFSLIKLV EAGVLKLGNSVGHEVVAEFGLEDYEKAFDVAEQHTEAGKMVLLDL LY89DRAFT_160287 MPSKTIGPQKPAPKEGTVRKRRAHHKSRRGCRNCKLRKVKCDET RPKCEKCVSFGVNCNYDSGSKASDLQPSYDKQVTLLTPPTTPPSQGLGPRIKIPTTLY PPIITGDDLSSFQLDTESLSRLDRFQHRTVGTIGTKSAGKLFAHLTVDLALAHPYLMH VVQVLTVIHDRYLSPNPYSKQTLTEVFHLSRAAALFNRKLSEPIQLQDRDGLWATAAL LGLIAFSLTDASDAEESWPLAVNEDADPLEWISIAESKSAIWNLTNPLRPDSRFSIMK HDYETSHPAFKVPLHGIEGIPPQFVELYDLNDWVSARVNPYYTSVHIMMPLLPIPCER ASIIRFLAFVGHMDEGFKKLLRARDARALLLLAYWYAKVWKAVWWIERRAVLECKAIC LYLDKHHKDDKLLQEMLMFPKRRCGLAIDTNWMGEEERVRFNTGTGSDAILASMELFR ARFEGTATQQGFSVVWPVCSTENRTESS LY89DRAFT_687624 MTSMEPMDESVLPGKAGEVDQVGRTFTTDDAFDANADQYLAPGS TDAAIPKGTIDPVYEAKARVLNHAIQEIGMGWYQWQLFIVVGFGWANDNLWPIVTSLI FTPITNEFHPSRPPLLSLAQNIGLLAGAMFWGFGCDVFGRRHAFNLTLGVTAVFGLIA AASPNFATIGTFAALWSFGVGGNLPVDSAVFLEFLPGSHQYLLTVLSIDWAIAQVIAT LIAWPLLGNLTCQETATTCTRAENMGWRYFVIAMGGISLIEFFVRVVFFTIFESPKYL MGKGLDEEAVKVVHEVARRNGKTSSLTLADLQACETGGSTQQTSAKAALKRKLSALNF SHVRALFASRKLAFSTSLIMLVWAFIGLGYPLYNAFLPYLQAIRGAKFGDGSTYLTYR NSLIIATLGIPGALLGGVLVEIPRFGRKGTLAVSTVLTGVFLYCSTTALTSEALLGWN CAFNFCSNVMYAVLYAYTPEIFPTKDRGTGNALTATSNRIFGIMAPIIAMFANLETSA PVYTSGALFIAAGCLVALLPFEPQGKASL LY89DRAFT_737560 MGFGVAEGVTVEREASDGENDAVVFYTSNNCDIDTVTAQDEGGC VEINGPGDSYGSFNVISGQPGGARRKRNNSIRAPGRKTTGYETDEEGNLLTGTNAPAW HEAVENSPYYHGLESEYNGKLWKWHQVAKRKWSGLKPEDWDDSIHIKNDTLIEFDGSV DCLRQEPTNALEARGLCGFTQRCTAAIGSTTANFAYNAVLVYYRQVAQLKKDPQGYIW NALNTPFVQTIVVVINSRSMPGHATSDTHDTLTHTQNQLLAQCQSQHDQINTLIALHQ ASLEEQRLQNQMIASVLSKLTDQQGGIHTSSFQATQDGQNPAKVGSCDNGPTPNSKRE IEIEEGFLA LY89DRAFT_785246 MYASYSDNCKYNPSDMLTKYLPTEAAALESWPTFHIGAFFLGSL FLSFSLGVWLIALAKGIPIQTAALERNQRPHVPLYLQERVPVHGSRPWKAIQSTFAFM AMLFFDTLLYQCLDIFNSSYRREEYVLWMPSFMAVSYPLALAAAFWTFCGAGCFLQLM VEAFLGRPVDVDVFFVPASIMACVWTCIAWPMVVANKLFSSVWNANGDSRQSTSKSEV SSEKLS LY89DRAFT_160372 MGETTTNPRHITALGSSYAAGPGIPPQINRFAGRSGNNFAHILA SRLGAELTDLTVSGATLNNILSEPQSRPGHTFAPQIAELPQDTDVVLVTGGGNDMGYI GGVTKDALKGTLLGYVLSWIYPVINAEPLTANDVTKRFVEVIDRIREKAPNSRIYLVE YLSLLGLSTKPGTGINLTDEQLRYHQQVAEQLSSVYKLAAEARPGCEVVSVHEKSRDH GLGSKEPWVEGFSFWTVWQGNPFHPNLKGMQVVADMIQEKMQDIQTGSS LY89DRAFT_160391 MAEQIPAEKPFANFDGRQASVGSEEGVTTVVDLVDADEALAFLT SHPNAVEIAIQGNAILDDPKQLRKLVRKIDFTIAPLLAAVYFLQFLDKTTLSYTAVMG IRTDTHLKGQDYSDLSMLFYIGFLAAEFPTQYLAQHISRLGLYLGCNIMLWGFVLGCH AACTSFAGLAICRTLLGIFESCVAPILVLIIAMWYKKEEQGRRVSWFYVCNSLTQIVG GLLAYGVSFANTKFASWRIFFLVIGALTIIIGALVCVFLPDSPVKATRFSDAEKVAAL LRTKDNQSGTQNAHLKKAQVLETFKDLRVWLVCLCTMLSSIPNGGLSNFSSILLTTFG YSSRQALLMSAPGGAVGVICVLSVGYLSDKWHDRSSVMLICILPTILGAGLMIGLDPN GVPKNKAGLLAASFLTGTFGAAFMLLLAWNASNIAGHSKKVTINALTLVSFAVGNILG TQTFQAKQAPGYISGKISIIATLGALCFVILILRWYNDMLNKKNKKALEGMSDGEKTE MGEKMAFADQTDRTNPFFVYTH LY89DRAFT_160375 MTSTFHTTAQSNCLTSVSILQLITGTTMRLLQKLFFWFRKSSDI DASYLLQGLPLDILLCIVAFLPAESAVALSLTCKQLKHLLWDDHSPTLGSSPKAKVAL LELLALDLPNFIACSPCGRLHDIENVLWYNSSTYNYNPRSRNRENRLPACIQRDQDSD LDDITYTFGTTAFKMAVKRYHQKPDCKKVLKLMSSRSVYFSKWDEWVVAWREECRVVG GSLMHCWQSVHISSNYSYLASHSVTPRNVRICTHVAFNGSTKKSGICCRCRTEWRIDS KYYNLRGRAVFLTWKDLGTGPESEVFRQHNTYTPESSFLRYFFTNRQEAQAQTQTHSN QLPAAVGVVGDLSSAFGDGNLEFDSWLDSRSRKQLFQYQDIYRAMSWR LY89DRAFT_651844 MSEKESSTPASNSLRMMEEGAPPQGPPILGPPGAPNEPILPKWR LICLFVSVCFGLFLSLIDTTIVATALFTIGEDLKAIGSINWVALAYTLSYLGCAVLFA RMADVTGRRNAYVAAFIIFFAFSLGCGFSQTLHQLIACRVLQGIGGSGLYSLAFVIIP EIAPQPKMQQLTGAVIGAVVAIAGVLGPVVGGAITHGTTWRWIFWINAPIGIIPLIAF IIAWPKPHQIHHPERRSLKQLDFLGAGLIIAASVLVVFSFQEAGLRADVWNNAIFIAP LVVGIILWIALFGWEVVAARKWESTLMTMFPLRLIKRRVFMGHFVTTLLAGFPYFMVI YSLPLRVQVVNGRSQLTAGVSLMPMLGAVAVASTVSGMVNSKKDFIFITLLAGAILMT IGTATLSSLENVVALPAKMYGFQVFVGLGFGLMVSTVSMGASLECELKDRTVAQGIVA QSRVLGGSIGIAASTAILGIHQNSQLIKTHIVTSFQLETLQNSVRDMTPFQLSAVRQT YSDSFSESMKVCAAISGACVLATLLTFRKQKMDIMGRRKEQFIDNMKFVAAQKQKAAA VKAAPASKS LY89DRAFT_687627 MADQSSKDEAAKARIIKHMNADHADSVSYYLQHYCSLSPRAARG AALSDISLSAMTVRTVDNKTHTIPFTPPMTSWAEARTRTVDMDRESRSALDVSSIRIT SYEPPRKRFHIFVFGICLFTFGVFAMRNKIVPGTWFYDVPLRYWPGGPEVFVWIARTI ALPVVAIHLGEAYMMDTTRLRKHGVERGTSLWWMWVVSCFIEGYGCHQRIDAAVKKKT KEAEQAKH LY89DRAFT_699338 MHHKRAPTFGALFRADSSKLLPTSASSDDPLLAALTTVQEQYIT ANDQSHKAHEEACHDFPGGNTRTVLHASPFPLTFIKAKGCELTSLDGTTYVDFLGEYT AGIYGHSNEKIAAAVSECMSRGWNYGGPNIYERQLARKVTERFSPSGIELVRFTNSGT ESNTMAIAASLVVTGRKTVLAFKNGYHGGTLSFPITLKDINVNLPHDFVLAPYNDIEG TKAVIASLPKDSVAAIIVECVQGSGGCIVGNVDFLSFLNKAANELNAVFIVDEVMTSR LSYHGYSSELGLRPDLVTLGKWIGGGMTFGAFGGRKDDGIMSLFDPQVGMLGHSGTFN NNIVSMAAGCVGMDIYNEEQVKRLNALGESLKLKIEVVLETHGVHPPATMKAGTSPLN QEAESPFTGLESEPSLANGVVAELQQHESGGRAKNHMWITGKGSMLCVHFSGECEMSL KALYWHHMLDHGIYMAQRGFMALNIELNEEHIERYAKAVEEFVVKWKPALK LY89DRAFT_622532 MALLSIIGLLVLLGLAYLMFTIGSRSSKMPSGPPTLPLIGNLHQ IPLKNAHLKFTEWAKTYGGIYTLKLGPGNMVVLTDRRLIKNLIDKKSSIYSNRPPSYV GNGIITGGDHLLVMQYGNTWRAFRKLVHQHFMESMVVNEHTKLVDAEAVQMCRDFMVL NGDGMHMKHPKRFSNSVVMSILFGIRTPRVDTPHMTKLYDMMEVWSKVMETGNTPPVD IIPALNYIPESFLGNWKTRARDVNKVMNQLYAQYLDIVIRRRARDIHKDSFMDRVLDQ NEKLEFSRHQLYFLGGVMMEGGSDTSSSIIIAFIHAMTRWPEVMRKAQAEIDKVIGED RTPTWGDYENLSYVAATVKEAMRWRPVVPLAFPHCLAEDDWVDGKFLTKGTTVLINAW GMHHDEKRFPNPEVFDPDHYLGVTKLAPELAASADYEERDHYGYGSGRRMCPGIHLAE RNLFLGMAKLLWGFNITPGRDETGKVRNSEEVDVDPIRAYSEGFLVCAHPFNCEFTVR SEKRRETIMKEYEVAERDIFSKYASG LY89DRAFT_622534 MATHSGSPTPILNGQSANTNATSIVETDLLIVGAGPAGASLACF LAQYGLKGIMLASTPSTADTPRAHITNMAALECLRDIGLEEECLKVAVKGDSMLHTRW CRSMAGEEFARIYSWGNDPARAGDYDAASPCNHVDIPQTVLEPILVHHATHNGFSCRF DTTILSFERVDDVGNTIISTVQDNLTKQTYQIRSKYLFGCDGARSQVLRQLKIPLKKK PGQGLATNVLVRVDLEHVVETRMGNLHWVMRPDEEQPNFGWTAIVRMVKPWTEWMFIL FPKPGAGVDFNPTDAEYLDCIKTMVGDDSLPVEIIGVSKWFINEIVAEYYSDSNIFCL GDAVHRHPPLNGLGSNTCVQDAYNLAWKIAYVMKGKATSSLLDSYSTERQPVGESVIT RANQGLRDHIPVWEALGMMDESIEARRKDFAELSEASPAGIKRRAKLQEAVKDTSHEF HGVGVEMNQRYESKAIFLQDEGPRPPLPQDAVLEHEITTYPGSRLPHAWLNTKLPGKQ FSTIDLAGHGSFCLLTGIGGDEWKTAARQAAKELDININAYSIGWRQDYEDVYFDWAR RREIAEDGCVLVRPDRFVAWRSKGMISDPAEKLLHVLKTILNR LY89DRAFT_737569 MSPSATKQKINLKRIAHVYYQHKNLEAAHQFLLDFGFSPIQKTE DKIYYRGYGTEPFVICATKGAEDKFLGSGWVVDSMEDLELATSLPNATPIHDSDTPGG GKKVTFYDPVDNCPWHLVYEQTAVEIAETFPELSYNFPTSKHRPVNQKQRFKKGPAPV HKLGHYGLCVTDYKKAYEFYTANFNFKPSELVYAPDTGEDITTFLHLDRGSEWVDHHA FFFFEGPKFHVHHSSFEIFDFDIQMLGHTWLNEKGYQNCWGVGRHVMGSQIFDYWFDP SKFILEHYVDGDLVNDETPINKTKAGPGNLHVWGPEVPPTFLQ LY89DRAFT_160576 MNPPSTNALRPGDTQAHLACERCKRRKIKCDRVLPTCRTCLKSS ESCSYPLVSHKPGPKPGVQQRRRNRSTLSAPAAQPRSLDENEVSHRTDNGREISIPAA ADLPSTDIDRSASRGLALSQLIHPSHEPISQYVTQNPAEVLFPADTEAIHIREALGLS ATVYQNLIDAYFDNITAFSLFHRPTFEKKVSRIRNPLHSQALLTSIFSLSARYTNSAR PNDLFQNEWLPRARRLVDESLRECSDDVPPLCLLQAMILTTFQELINGVRGCAWRSVG TCVRIAYELQLHLIDKNPQRSQAAATLEEEKRRAWWVIWEFDVFACAIRRLPTAIDWT QNETWLPIDDEIWFANACAKSCTLDPDPAVAWKTLQASGNRSPKAWFIVVNALMRCAH ILSYPQSYANGESPENVRIRLEVLANSLFCLTAALPSEAIYEGEFLTFSTCPENRSSF QLDGAKHSIHIMTQLSRFMINHYQVFDSTSRRLALVNDASAATTQQAAIDDAAWTHYL GAASEIVKLVRGCAPKHVNYVNPFLASTVWLAAATQIVSKAFSTSSAERRVAASNLDV LQMNLNAFVEILGVSKNLQQKLVSLEAKLAAFKEQARNDRPRQNPQHYTFDSRSSGSF IQGQMTPDSMSGNPIHQQTQTLNPAFASGTWPVAPNFDWTDPSMNTELSSNLWGWGVD ELLQYGVT LY89DRAFT_591693 MSVERFIRFEDEKGSILYGEVSTSDLKGSLEGKSVSILTGDPFT GLSKTTHKSVIKKLLCPLEATPIVIGIGLNYARHAKEANLFPSSFPAVFTKPADALAG PYDDISIHPDAQSQLDYEGELTVIIGKDAKNVTEADALDYVLGYTAGNDVSARNFQLP VSVSGSQFSYAKSFDKFAPIGPSVLLAKGVDPHRLSYVTKVNGDKRQETGTDDMIFSI KQIVAHLSRGTTLRKGTVIMTGTPSGVGLFMEPKGFLKDGDVVEVDVEGIGSLRNRIV FEKA LY89DRAFT_785257 MIDEKIRYDGEAPIYPYNEEDTSARNRHVTGERDVFGNEENHQI KYKTLSWQLVAVLMIAEIVSNGMLSLPSSGGVVGLVPNVILIVFLGIFGTFTAYLLVQ FKLNHPEVHNMGDAGYILFGVVGREVLSAGTVIFAVFATGGQLLAGQIALGVLSDSKL CLMLYTGIFAIPTLIFSFPRTLDGLSWLCIPSVLSILIAGIVGMIGAGINPLEGRTTS LFLQPTFVNAFVSVTNPVFAYAGHFMFFILISEMRNPRDAMKAAYTLQGFATAYYTVF AIVTHWYLGDGVVSPSFSSLPTVWAKAAYGIAIPNFLIAGSLYAHTAAKLIFLRLFRN SNHLHSHTIVGWGTWTILILIMNAASFVLAVGVPIFSYLIGIAASLFASWYTYGIAGA FWIHDSYHLSGQGSWAWRRKPVMFVLSVLTFVAGGFICVAGTYVIVKLIIDAYDSGSV PPPFQC LY89DRAFT_754476 MSVPTQTAVLVVGGGPGGSYAASVLAREGVDVVLLDAEKFPRYH IGESMLASMRFFLRFIDLEETFDRHGFEKKYGATFKITTKKEAFTNFAASLGQGGFSW NVIRSESDELIFRHAGDSGAKTFDGTKVDSISFEPYADETFNAEARLANPGRPVSANW SRKDGTSGTIKFDYLIDASGRNGIISTKYLKNRKFNEGLKNIANWAYWKGAKRFKPGA ENENSPFFEALSDGSGWVWAIPLHNGTLSVGIAARQNFFFGRKKASGLDGIAFYKDYL NLAPQIKEMLNDAEVVSGIKQASDWSYSASAYAGPHFRVVGDAGCFVDPYFSSGVHLA LTSGLSAALSIQASRRGQADERTAAHWHTTKVSEGYTRFLLLVMTVLRQLRLKESQII TSEEEEGFDMAFKTIQPVIQGVADTRTEDAQTQKHAAESVNFALGSFEITPEKQQAVI SKIAQAQSEPETLEKLSPEEVHILDNISKRNFEREKDELDLTSFAGDIIDGFSARLVR GDLGLYKREAKPEAALSSAPVELKAAAFSRGVEQAA LY89DRAFT_785258 MSISSNVNSKDKIFARDKVFWDNYLKGRPQAPENFFNRIFNYHQ EHSVVFGIVHDVGAGNGPYAQKLRSRFQHVIVSDIVEENVRLAEERLGTDGFTYRVAK LEETDDILNGSVDLVFATNVLHFPDQQLVTAAIAKQLKAGGTFVGAGFGPATFDDAHV QELWARLMHQGGRVFLKRADKPEQTITVMSRSRDEYNVAPLDEKFFLPRAKRIHLNME KGGLTSLLPPEDLGKVTEPTHTGVDDVVSFEKEEGWNFEADLNWIKEHFGIFPVAGLD PTAFTELWQEFEDLFRDGKTVKGRFPAKIILATRR LY89DRAFT_721553 MALQSTPKVGTQAFAVVTGSLLSGAMMGISLMTIRVFLDTDTQA SHLLQQWVRLYHYGHQVMPSLAIATCGLYSYITISKRASHRPWLIYALAAVTTVSVVP FTWIVMAPTNNSLFQLDAENQVAGATMTSLEHVQELVTRWGWLHGIRSSFPIVGAALG FTGVLREIEA LY89DRAFT_754481 MTSTANRGGYRQINKTLNICVFENYLDIQQSRLPHLNDVEQLGP RVIRVLGQNRGKSALVRRGSLSIPAKGFRNGQRFSVEGATIRAVHSPGHSHDHMCFIL EEENAMFTGDNVLGHGTSAVELLSLWMSSLRKMQSHNCAVGYPAHGEVITDLPAKING ELAQKARRERQVLQTLSRIKREERSAGRGKGSVTVKQLVTAMHGDELDSEVRELALEP FIDEVLRKLAEEDKVAFELRRGEKRWFGVDFT LY89DRAFT_754488 MARDNSDLITPSSDSQEEYRKMKLAYFSNEFPTDDLQELVRRLH VRSKDRKHTILATFIDQATVAVREEIRLLPAALRALIPPFQTILNFADHSALRKGPPL CGAIDGVILCVVELATFIGYYENHPDEFYFDSVNTSLAGLGIGLLATAAVSLSATLAD IPIAGAEVVRIAFRLGILVDEVSQNLQPRDLTDKSSPDMWAYVIPDVQPDVVQKELDS IHALERTPETSKVFISALSKTSVTISGPPGRLKAILRTSNFFQNDHKSVALPVYGGLC HAKHIYNHQNVLSIVRTSSTDLLSLKFSPRVPIYSTSTGRPFPADSAMELFEQIISEI LTQQIQWDNVIHGVTERANNIAVSDFEVLIFRISLPIHDLIGSLKTELKHLTATTTDL ISWVSEETTNTVPRGPLQSKIAIVGMSCRLPGGATDTEKFWDLLEKGLDVHRKIPADR FDLESHYDPAGKRVNASHTQYGCFIDEPGLFDAPFFNMSPREAQQTDPMQRLALVTAY EALERAGYVANKTAATNLHRIGTFYGQASDDYREVNTAQEISTYFIPGGCRAFGPGRI NYFFKFSGPSYSIDTACSSSLATIQVACASLWNGDTDTVVAGGMNVLTNSDAFAGLSH GHFLSKTPNACKTWDSEADGYCRADGIGSIVLKRLEDAEADNDNILGVILGAGTNHSA EAISITHPHAGAQSYLSRQVLSSAGVDPLDVSFVEMHGTGTQAGDSVEIQSVGDVYAP LTKRRNSKQPLYIGAVKANVGHGEAVAGVTALLKVLLMFQHNAIPPHVGIKNSINPGF PKDLDKRNLNIPYQKQDWPRVPGKKRIAVVNNFSAAGGNSSIVIEEAPLREVAQKDPR SAHVVAISAKSKVSLKGNLERFIAYIDANPSISLSDLSYSTTARRYHHNHRVAVATSD LANLKKKLNTHLQSVDAHKPIPTTGSPLVAFAFTGQGASHPSQHLKLFHDSPYFRSQI LHLDSLARVQGFPSFIPAIDGSYSKEHAHSPVVTQIALVSTEIALAKYWASLGVKPEV VIGHSLGEYAALHIAGVLSASDTIYLVGQRAKLLEERRQVGTHKMMAVRASVAQIEKS AGDRKYEVACINGPKETVLAGTQKEIEALSRPLEADGYKCFILNVAFAFHSAQTDPIL DEFEDIAKSAVFHPPTLPIISPVLGKVIFDDKTVNPGYLRRATRETVNFLSALEKAQK ISTIDESTVFVEIGPHPVSVGFVRSTLPSVNVTVPSLRRDEDDWVIIAQSLRLLHLAG VEVFWNEFHRPFEAGLRLLDLPTYSWNDKNYWIQYNGDWALTKGNTFYDAEKARAAPK LIPLIESSLRTSTVQKVVEESFSGSTGKVAIQSDLMQPDFLAAAYGHKMNGCGVVTSS IHADIAFTLGDYIYKKFKPNAKNIEMNIANLEVLKGLIAQKNTRTAQIIQVSASTADI HSNIVDLTWHNVDANGTVDDPFASANIYYGDATKLLASWVPTVHLVLHSIQALERLAE EGIATKFSHNMAYRLFANNLVDYANKYRGMQSVVLHELEAFADVRLTTEVGGTWTIPP FFIDSVAHLAGFIMNVSDSIDTKKDFCVTPGWSSMHFARPLVAGNTYRSYVKMISTVE DPTVYLGDVYILQNDVIIGTVGGIKFRRYPRLLLNRFFSAPDDSTSQHTVPVIPTVKP SSNSTFISSHSATTPPASTTAQAPAPAAAVVKASEPNVAPGTSDSTAAKAIVLVANEA ALDLEDLQDDASFASLGIDSLMSLVIAEKFRDELGVTISGSLFLEYPTVGDLRSWLLE YYS LY89DRAFT_754497 MAPSTTTSDTVALKDTPKRLLCLTICGYRKPGMSEEAYRHHMTH ISAPMTKDLMVKYGVKRWTMIHNPTETRALMAQLYDSQMANVADFDCFSQVVFESIEN YKRMKEDSWYKEHLAGDHENFADTKRSMMTIGWIEEFVRDGVAVDDVKHSRTGLVKGL IIVAVGSALLLWLY LY89DRAFT_591341 MGTYAVLGSTGNCGTALIRNLLNSPETRINAYCRNKNKLLRLLP EAGTEKRLQIFEGSINDVELLTSCIRGAHAVFLVASTNDNIPGCRVGQDTAIGIIHAL QVLRFESNDRLPKLVLLSSATLDDVLSSGTPVFLRSILLRSASNVYHDLRETEKLLRA QEDWVTTIYIKPGALAVDEQRGYALSLTEEGEVLSYLDLAAAMIEAADDEDGRWDLKN VGVVNRNGSASFPKGTPLCIVMGLLNHYFPFLYPYLPSTGP LY89DRAFT_622550 MSESADTQVSTLNGFITGWKNWKAEDMIASWSPECTQRALPFSL GHPPRSRAEVQFTLPKLMGIVSNHDLTIHEVVHDAARSKAVVYALSKGDTPFGEWRNE YAVFLTFSESGEQIIKFEEMVDSAFFNDFFPKFQKHLQQQQLSGSSLASK LY89DRAFT_785260 MLLEEPADFLQQLASQNQLLACLQWLGEFQVLACIPLNGSVPIR DIADLSGVPEAQLRRVVRMTATSGFLNESQPGYVAHTPLSAPFVTKPLFLDAGMFLAK SSGPAALQMASATQRFGNSSRPHESAYNLAFNTSETFESLCEQRSKVQRQWSAYLRYS GETDDVVSDFLTQLDWSSLGNACVVNVGAQSSKTAMGLAERYPALQFIVQMSEPAHSN GSGSRQKNSSNRAPSLPASSRGLKRGPMSPNDARYSDLSSRITIQKRTLGTPQTVNDA AVYILSLPSPSPSLPSHSVIERFASDLRAHLGVLHGNSAATLILTMCPLPKPNTVDPD IEASARQRDLTFFQLANDHRLEVNDVEDVINNARDTMGALVIVNRLRSWKDATMAFGV KYQTYGSHQHKPKASLSIL LY89DRAFT_754499 MAALQPALENTPRPTTFKLRDSCHACASSKVKCHKEKPTCSRCA KRGITCEYFVTKRVGRKHENRSTDKSYTTAKTPQSNIPIPIEPASQSTSSEMNYFLSP GLLQPSPGQTTTSNSSDNFSTLFSPVDSFLSSVLTSLSTGFDDFSNTSVFPESSDFDM LNQSNFYLGDGNNSSKSGTDSVGFLNAQVNYSIFDEPVSELSNLSQPQSPPSSHGSST SDLQGNHTVHTSADSPCFCLIRGLGLMKQLSPIAPAAQTISTGEGNQTTTSQTPTVQT VIAENEQIVEAVGNMLQCQCAQDGYLLTIMALIVFKVLGWYAAAARGTSASEDDNRSP TKPPHSRKPSCHAEQVLQDPTVVGDYCLDGEDLGRMAAQLVLNELHRVQRVVNQLSDK LKAYGGEVRGMDTVKSGTEGRQNFLSDSETNSPFSVLMLDQLETDIRKRLTALSLNII DMLRRE LY89DRAFT_699349 MPSATREDLPTIDVAIIGGGIGGLSAAIALSALPNLSVRLFERH SELTEYGAGISVAENSWKVLELLGAADSLTGAPTVPGAKRNGYTGEIVLPEPGIPRKR GPTRVRRTRLQSVLGAQVPEGVIKFNKKLDGTDTTADLVVGADGIRSVARQSLFPDHT LSYTGNTSFRTLCSAASLRHIPDFSLQTSWWFGVGGHIYMSPVDDPSHPDAKFEFSCR SGLEAEVDGKTVSWGIPASNEKVLSRYKDYDPRVVEALSQVREGDWKEFALFSGPCIE NITGWDKVVLIGDASHPLAGAFGSGAAFAMQDGWIIARAVEHARSSSAPVAEALRVFD SIRSPYYQKMYAFRESQKPLLQKVRQDKIENFDDTLRARMSQTGLAGKRPEGWMDWIY KNDIEQVWEDYVKSEKEKEELN LY89DRAFT_754502 MSKQLQGVVESSAYPTSLQQPVKKDWRRFIWDSFDKSPEERHFL LKIDVALLSFGCLGFFVKFLDAANLNNAFVSGMKEDLGMFGNQLNYVTTCYTVGYIVG EIPSNIILTRVRPSIWLPVLEIIWSVLTMCLAACKNTTQLYVLRFFIGLTEAGFYPGL NYMIGSWYRKDEIAKRSGILNASGSIATIFSGALMSSVVHLGGRGGLAGWKWLFIVDG VISLPIAFASFFFLPDLPETNRGWFFKPEEIQLAQRRMVAEGRKLRTPYTVSKVKKIL TSWHIWLFSILYATLGGTQGTPIFPLYLKTAKKHGKPRYNLAQINNYPSFTFTTSVVA AVAYAWISDALGGRRWPLMVFGGTVQIVLFSSLAAWNIAEGWKWACYILYGQVLGLSG ITNAWANEVCRDDNEERAIVLACMNMMNNVLSAWLPLIVWQQIDAPRYHKGFITAVST SALFVIVSGLVAVLQKRENRK LY89DRAFT_785261 MMAMETGENVNSSRKRRRSFSKSRDGTEASWSAGESVAGTRNAN RALGASKRLIPKANTACETCRQKKVKCNEGQPICGYCDTHGFRCDYRQRPVSSREQMV TTLLQRLALVEEKVERVENVILSGAAPANRQAKITQAVAFPNTPVETPSPLGTDAQFL LPSDQLWFHLLSEASATVDRAPNSYETPVSLSDEAATKSRYLGKSPFACEEDRAPLSD STSHSEPTNTGDIRPWLQYYLEHACPMYPVMCDPSAQTISEFVAAQGFSEDIESCFSL LIVALAKAHRDEDSTGSGMSDFHRATHILSRVGTQFKTKYVQAHVLSALFLLKKGRLL DFWKALHTGCTLLYTMLKRDEADSIERGVPEKMNIRRIYWICVNFERDVRNEIDDTLS CSPLPELQDSFPLPLGCDELDLSHLPPRLRTTLTFFLAEMSLKAITERILTTVNLEFY VQDVQTRRVELSPLFQELRRQLDEWIESVPSLNWSSEPTKGLASPLATRVKLMYWFTR FSLFRPLIKRVLGDSGSMFPMLGWKLLREGLQAGHSLIKVTILEQSDIDVIIANRILS TICLLRETVEKGYFSAGRDEDISVVLQEGIDVLWNQLVGKSEWIKCRIYNL LY89DRAFT_687635 MTNIVILGASFAGVSSAHRILKQAGKTGAKIRVTLVSPNTHFYW NIALPRAVVPGQLSDEKVFQPIAPGFKQYPAGQFEFIVASAKSLGVEAKKVHLEILGS DEDKVIDYDFLILATGTSTKGGTPFKTLASTEATKDGIHNIQAQIKKAKTIVLAGAGA TGVEIAGELGFKYGTSKEIILISKGPTILEAAIPSVQKVATQLLQNLKVKTKLKTQVV SSVEGADGRHILELSSGEKLIADVYLPTFGVAPNSSYIPKQFLNDRGFVIVDDYLKVK GADNVWAIGDVSDREPPQFLFADGQSAHIAKNIIKILSSQPSLPYKDGVRGMGLTVGR KAGTGHLGNVKLPGFLVHFLRKNLFIDKLAPTVSGSAY LY89DRAFT_709299 MRLTYLRLGALLLAPFITAQVDYPNLSVPYLFTSDPVTPLPQGF PWGTRTANNTNPRKTLDDPPPTGVIRRYDFTIQRSKIAPDGYLKKVLLINGQFPGPQI EANWGDTIQVTVRNEITNPEEGTALHWHGILQRTSQWFDGVPSVQQCPIPPGGTFTYT FLADSYGTSWYHSHYSAQYAGGLFGPLVIHGPETVPYDIDVGPVFVTDYYHQDYFSIV EDLFSSDFGVVAVPGDNSFINGRNPFNCSTKAINDTTPCFNNAPRSKFKFTAGKKHRL RLINAGASALQKFSIDGHNLTIIANDFTPVIPYETQFVTIAVGQRTDIIVEGLPGATG SYWARSASPQGACANTNEPNATAIVYYENEYTPDTTPWPIFEESIQICANDDLTETVP WYSLATPPSPATTKEILIDLVQNETGSFLFTMNNVSFRGDFNAPILLLSNQGNNSYPE DPQWNVYNFGSNDSTRIILNNNNPEPTQCICMRRDTQLVPGYGYLVISFFADNPGAWP LHCHVAWHVSNGLYVTVLERPDDIANLQIPSVLAQTCRDWGAYTNTTVVDQIDSGL LY89DRAFT_754511 MLISRQLLLLSHWALGVLAAKDNLQRNPVDTPQNRSYWSPGFDI QTDYEDKTPPGRLREYELTITQEWIAPDGYLTYGTVFNGRYPGPTIEADWGDTLLLQG ITVINNLKNYNGTYYSVPCQDTNGLVDVGGPLIINGPSVLDYDFDLGPWLFSDWYHAD AFSLYHEELDTVRNLAPLPDSIVLNGKGVCDCDQATDSRCTGKAEYYEVLVTEGKTYK IAVENTGTLLTLTFFIDGHNFTVIETDFVPIEPYSTNVLNVGIGQRYIILVEANASFE HGTNFWIHAHYCALATFFPTSSVGIIRYDSHNTSDPYTPPASELNQNFGCSDPDPKDL VPIVKRTVGNRVNTQEEADYLKIGLEFWPNASDPNSHIHKWMLQNTPLYLDWEDPSLK KLALDKNSSFPAETVPYFLDFETDEWVYFVITNNYSVETVSPPRQSIQSVHPIHLHGH DLLVLAQGEGSFTNDIVPNLNNPSRRDVTDVPIGGFVWIAFKVDNPGAWLIHCHIAWH ASDGLALQFIEQPNKIKGLLTNAGVLPEFSQRCDEWSDWYTEVNEKVDALQEDSGI LY89DRAFT_687637 MSTSITTTEASRVRLSPEHVGIARIADSPEGSLELVDRLLQKNH DDYHVYWRDVGGHNHISHSVLSVLALGGGPAQLQRAYDDGIQIQRPTPPLDKQVVQDL GDSEKFLTKIGQIDHYTNFLAFFEQQIDSKGWKAVVQEYLFSRTPVAEKLFAQLYEGA FHPFIHVGLGIEFEQPSIIAEGLAQSVTHDSANIDVFFNHSEQLASQSATAPSKTLVQ LLQEIRANDKLHYSARLPDGPVRVRDGVIGRAGKEIAALAAQFRVNPEDLERSTAEAI NVAAYTAGAAQRAGKARKIDFFHMHNVTSSLFLTVLIKQPWISIADKVRVVEWKARLD LVCYAASSAAELHIEDVTNYKATKSAGLDWASLYKVVNEAHDDGHVAKFVRALKNGEE VSKPFEHGEGSVAFPIKGDSWFKIAQLSYDSTLDIPVDDKWIWGVGFDPLWARIPPLA LY89DRAFT_687638 MALSSTQDLETPFTTAVLGSDVDFWRSYIAGRPSPDESFFHLIN EYHSSHGQSKTAIAHDVGTGPDNIAQRLLAYYDHVVGSDLNDQALAAAPALIPSELYK RTTFIKSPAEALASGVVPNGVGLGKTDLITVSECIPLLDAPKALDAFHELLRPEGTLA IYFYGRAIFAEGDIHTLNAIYDAIATRICTFLLPFKGTPGFPFHVRGAEALVSRLDNI AFPSESWKGVERYKWNYDYPLLFNSKEGYDFDFEPVDRRAEGEKTIEVIDKQFWAKEW GVEEVKTYLDSVYPNWQKKAGARSTEVDELLEELRNALGGGGSKKKVTFPVVLILATK K LY89DRAFT_591355 MASASFSYTSRDITTSSTCQNFLIPINVTSIRFLLDIEINDDWD AVDYIFNATRRDTLTAFQPIVGNVTAASQYNIGATFCAPKTKGTKSETVLLLTHGSMQ GREYWNPAFSGSDPYNFVKHALNAGYSVFLYDRIGNGQSSRPDPVTEVQYPVQVEMLT TLAKLVKTRQSLYTLGVKVNKIVHVAHSFGSFIALSSTASSPTGLVDGVVLTGFSGYF QWLSLFTSGGQARVAALSQPSNWGSLPHGYLTPVDIYALSYGGFKSPYFDHGIAQSLF DQQYPFAIGELLSAGATVLNLSAIEVPVQVVEGAYDLTACGGNCIGVVNETASFFPNS KNVEVNGSFNAGHFLNYHYDPPQAFDLITSFVDKSGL LY89DRAFT_687640 MLQRSRTGTWMPAWVPQSTVTLAFCLMFCSMVQSATGGYDGSML NGLNILPSYTDYFNLNAATTGLNTASVFIGGALGPIFSGIVSDRYGRRPAIFWGSVIT LLGVLLQTAAQNIAMFVIARIILGFGAALSGIAGGVYLSETFPSRWRAWGVGLLNDFY YVGALIAAGITLGTGQWNSTWAWRLPSLLQGVFSLLCIIILPFIPESPRWLVHEGFFD EARIAVAQTNSNGDISDPISIVIYKEIVDTLDWEKRECRTMSPMEMIKTPVARKRLLI GMSPGPFSCIAGNIIASYYLGSELDTAGITNTLSQLKANVVLNVWCLGCCLAGTQLAA RWGRKSTALLSQSLLIACLFIIGGLSKMYADNPDGASQSLVYGDVAVMFLFQGFYSIA WTPLLYLYPPEVMNYSIRANGLAVSAFMLNALALVFVFIMPIGLANIGWKMYMVNGSW DIVILGLIAYYWVETKGRTLEEIDALFEGEKHSSVPDVELVRTGKADFDINALERNEV EMESTKMKEN LY89DRAFT_737590 MGATVPMLVDIRMLRWLENITILSQVYGTSALSPSWAVMIALIN GYRISEGKSTLGFLKRLLRRSARSALNDITTGHNHARGTYGYPAVTGWDPTTGLGFLN FAKLRKALG LY89DRAFT_622569 MSVSNPLNVYSGRDSLQKYFDPDFAPLLPLVEIPDSLNPFREDG VRIYAKMMTMLPAHNVKSLPAMNLLSSCVDPQNTKTIVEYSSGSTVISMSMIGKIFHG IHDCRAYLSNKTGDVKLKLMQFFGLNITLFAGPSQPDPYDHRGGIQVARTQSLEDDTM CNPNQYENDANWSAHYKWTGPQILKQLPDLSVLCTGVGTSGTMTGIGTYLGDEKPSVV RVGVFTAAGDRVPGPRSYALIEPVKFPWRAAIDAIEEVGSKDSFSLSMDLSRAGLICG PSSGFNLKGLYQFLSRRKAADTLQDLAGPAGDIKCVFLCCDLPYQYMSDYFDKLDSSC FPPITNEHLTRVDLYNNYYDLELDPISVIPDFYELSIASHKKSILPWELRANRTVLDL RTKEEFQMFHLPEAVSLSISSLKADSPSPFTDARLFDQQWRELEGLFGGGSLETSSLL AKELSRKERVLIVCNDGDTARIAASILKAKGAKAECIRGGLNAVNEWMQQGMGSETIG ETTHDSKIRGSRVVTNEIRVG LY89DRAFT_754531 MQFNEQTNYLPTSKVIAVFLVCASVDFVTLMDQTTLAASLSIIS LELGAGSQASWIAGGYFVTSTSFQLLYGRLSDIWSRKNILLVGLAIFFFGSLASSLST SVNQLIAFRAITGVGGGGLMTIAQAIVSDVVSLRERGKYQGIFGAFVALANGIGPVIG GALSEHSWRWIFRLNLPLTALCVIGVVWFMPLKKVVGSWKRKLAAVDFIGAFLALAGS TLLVLALTWAGGEKSWDSAPVISTLVLGLSFCVAFAFWEWKGPALPLVPLHIFKSRVV NGASLTMFINGWNFVTQVYYIPTFYQLVHGYSAVKSGALLLPITLTQTIFSTVSGLVV HWTGRYRESILFGWIAWAVGLGMISTLDETSGLGKQIGYAVLTGFGVGNTLQPSLIAI QAGVSRREMAVVTSFRNFIRNLGATLGLAVAGTIINNSLRAALTQVGSLGSNDVQWLL NHPAEVLSGATILPGTQTSLDLKEEFIAAYKKGFRIVFLLGAGLAALAFIFAFALLPQ LELSRPDEVKLKREGRGQQGEVPDGNHHEGETGIKAESE LY89DRAFT_737593 MSPSQSVGASLEAQDLASEQQGLLDLIDKLQFAQLDNVKLPQIV VVGDQSAGKSSVLEAITGTPFPREAGACTRFATEIRLRRSKVEKFSVKIIPDRSTRSF ADQDRLSKFGGTVDESTPFDVLMNHAVDQIAPKGIAGRFATRDVLVVEKEGPHCPLLT LVDLPGLVQNPNNDQSKEDIKAINDLTDRYMKNPRTIILAVIGGNGDYVQAPVLIKAR QFDPTGGRTIGVLTKPDLTASIGLEDKFIALVNNRDKENELKLGWFVLLNPGPRKRNE PWPSPEERAQREHDFFKSGKWSELSPEMRGATALKQKLSFQLQRHIGKHVKALRREIN KALDECETELKMMGEGKDTIEEMREELAQLCSESKELVNPAVHGTYKNPAGRSFFPFM VDQKGTPPQNLRARAVEENQKFARRVRDLGHKLNFSSSADPSAVVPRPGGLSKEEYAR REVEPLLRQNRGTEFATDHNPRLVYTLFQNHSEKWTRLAQQHKENLGIICSEFLAEVI DETWPGRMREPLRRVFLDPQMNEMIEKAQLEVDVLKKDQHLEVQSYDPEYEVRLKNWQ AAANPERPYTVAEEVLEKMLIHYELTSKIFIMNVITQVVERHLLQGLDSVFNSVKVWK MKNEHVEAIAAENKQTRDKRLTLKTKKKAIEEARDLCANLAMRKELRVYGNEDEESEE DDEESSPTTSHQPSSSKTIPTDLLGSTARKPAKSQPPVAEVQPRTEAPMTNGTSKPRV ASQEYYPDTRSSHAVDSSQTNGWEQSAQEFYQSSKPQYSAPAPPPRPGKLPQPDDAYP VTPPASSTRPAFNNADYNQPSPRESTGQYAYSRPDIPQTPTDFDQASIGTRNSGSRNF FSKKR LY89DRAFT_160958 MTASRINSTGIQNDHQPEVRSTCKLKTSTTQNAAFDHHHSSCLL GCSQPSQSRDQYYGVSVGVITSPGLDPNKVLEPAPIQLYQLTPCFGDDGRGCSISKLV VQNGTTSGGLNINQIQCHRYKDFAGTQPGTTEFNVNRPAMVSTNLATVSSILCYLVPV DEIKY LY89DRAFT_737595 MSDDELDREWKPSARPQSTMARSFSLALNDLFKIDNSVADLDAA VYEKKKAVSTQTSELEALEARLKATEERLKKASAGSPPGKSSTGRSSPRSRVPLGDTF KEEEQATSPLASEFRISRPNTGRPQTKEEWKPDTYSAPMPGALPPTPGASEGESESEY VLVSRRQSADTAEDGDNPPHQK LY89DRAFT_160892 MTNTALHTPLECLLLFQSLVAYGTEDQDFQRISDLLTNNPIVKD GDTYDAQRLTTDALRQIYMQLLRDELQAAEQEGEDGTQSKKRKLQSPPLPSIKDAHEY REKLPQLVDRLYARYRDQMIVAIRADEQRYGTIQREIGEIERGEWDERILEEEKAKTK ANGSPSNSRPLMNGNTVQAAQEVGSSPAKVTDGTANPIPPPSPRTETRPEGLAISDVL NNHQEQLAASTNSAPPLSPQNFPPPPRPASNGPHGPSPLQTHQSNFNWQQPYGLPPQQ QPPYQGAPFPQYAQYPQQLQPPRPFPSPHGLPSPHPHVPSSPVNAQHPQSVLLPPPNG IHRPPSSPGMPLDALADVAGQQQYRAPSGSPMMQQPPAGYPPQFQPHPRPPSGNGAPQ MQPQYMQPYPTQQYQYPQNQRPAFVPQPAPLIPTENRPYSSPYHPNQGQRPPLQLGTP GSRSSIPHTPISRLPSLTTGSGTRWIQTPTASTPRQSVHIESPAIEPISPILRPAKVT SAKRGTKKQLSKADPKGKAPRRSVQRTRAGSTTSTVIAGSHRSQSVTSHIDELSPDND PPNRIVKQEVATPIGVEDGGDTTADELPRRPSRSGPSPRHNTKRKRAFSIPIESRPPS APPSHVLWTRAFTKISASALESIAGHKNASTFAAPVKERDAPGYKAFILRPQDLKSIK SAINAGAKAAAAAAPVDMNPNASSVWLPISEDLIPPKGIINYAQLEKELMRMFANAVM FNADPDRGIGGRWQGIGKGRGDNVGYEIDENGVVKDTKTMFADVEKIISNLRSAERRS EEIRDSSMARADDDEVDELAADDDSHAGNTSTVKRRRRA LY89DRAFT_672820 MPYKSFNDWVSAFKGVEPCSPGSSSLEKLPNEILSQILRHLAPP DTTQQSYYLRDNLPISPNNQCEKKRFISCLLISRRLYHIALPLKYQCPDIANYDGFTG ELARNAAHGTLVRSLDLSCPRLDTGSWSLSSSLTLTPRLKTISLPLQAFYDSGPGLAT QIFFELSNVNSIKIINRSNDWDDALSSHTFGKLNPDFTSSITSLSLDGFSVGNIFQTV LTRSPHLQVLNLMGCYLDGVDFSSLSKNARIRQLILEQSRVVKGEDLVEFITGHPAVK NTLEVLNLKGVVQYGFTPLKQEHVTTILESLPSSLRSLTISSYSTQDIPLLRKLNPQL EELGMGRMRVYELEEILLGSVYDLSKTAPKSASTKAAILDSHLITISNAVTLTQLKRR INSLTPRNSKHSNTSRSRIQHLDAKCVALSLEDLKSSVLLGPQSLPLRAIELPAITVS DQHELVRFLGPVGWKVKSVGLRIWMERK LY89DRAFT_622576 MAATISMGQYLFSRCASLGVQHIFGVPGDFNLSLLDELFKMPNL KWLGACNELNAAYAADGYSRVKGTPGVLVTTYAVGELSAMNGVAGAYAEHAGMIHIVG MPLRQLQKARAMLHHTLEPNMDHCLFVGMAEPIRKTHTILMDESTMAEEMDRVIEEGV KSRLPVYIYVPMDVVSVPLDATRLDVSLNLKIQNPNAELENEVARSTVELIKGSSKPA ILADVLAIRHGGRDLVRKLAQLTGFPVHSTPLSKGVIDETNEQFNGLYNGSVSFPGVA AELESSDLIINIGPLLSDSNTGGFTRNISDKQLVLLGHDHCQVQGRKFDSMHFLPVLE QIVAKLEQKPEDYQLSKNKSWKKIETPVLNSSTSGPITQSFMWQRIGCFLKPHDIVLA ESGTAQFGMPDASFPADICYITQTFWSSIGYTVGACLGACVAAKEMKRPGRVVLLVGE GSLQMTVQEIGSYIRFGFTPIIFVINNGGYSIERAINGEKQGYNDVSMLWDHQKMLEF LGARDDTGIKGKSFSCKTVEELESVLHDEEFNRAEYIQVCEVFMDQFDYPWRLSTQIQ ETRERMKKMQLA LY89DRAFT_785273 MVSELREEYIGTVAGMYYEAGTRDGHTLVGDASSSRSLVSPMGI WLRGKIEETSFDPSSISSRDINDLKDELVKLESFLFQQVKRIPSDTENSTYEDNENFN SWAKVLLSALGSKNWWFLHSPILHRALSQNIMILSPLVVTHSRNYLQKFLFIACAKDF QWFQWAWPGNHQPLHAIMILLKEVEQNPFGPDSELSRNIVDQAFALCNIDGGISGNEQ GNIMPRPLTEGGQEAWEFIRRLRARAWIKAGLDPDLIMTREDVLKVLERQLEAMKLSD VRQL LY89DRAFT_591672 FLLKLMVAIHIIGGQPARSPEIGSIKVRNSFTLSRNIFVINGRV AIVTTYNKSLKRRGKIEYVFRCFSD LY89DRAFT_557469 VIYIRSYTQGESIAAEIDCPFYKATATDKQELLEQWACGSSGWI VATRALGTSINIPGVVYIIHLGRPYGLTSF LY89DRAFT_721567 MWRPLPLNPPGPSAQKIPSDPRFSQSQIPVWNHQQPTPAASQYN FGHDRSSISQSQHLAPEFSKVMHYPDLDIERAGFEVASTHGRHIQRAEALADVDIGKS SQDEFEPDEDDDLSDSDADDDVREFEASLASLQNGDELLKRLAAPESSELDFSKLLAG NSLSRGSIKRSRQRVGRTKIFRRHRGPRKAAEPTPDIKLRISRANDLYINKRFDEAVT ELEEIIRINAETPQAWETLASIRNDRGDSEHAALCFHMAATFRPKIISGWLTCIDYCL RIQGPKRPRAIAFAQNACATMVRHMPNDLEARMKKAEVFIENGKLQRAISEYKWILKR DRMRKPALQKLAEVCVDVGDVHTAIQEYKNSLLQFRAPDNDSEVVFGWEDADAYITLH EVARQYYTAISELKSLARWLLGREEESFWDDVVGDDREWDINDLRRLQVPDFTQGKYD PSTYGLGLPYEFRVKLGLYRLHLGQHPEAMAHLNVLQGPDQDDTLADDYSYLFRQAAD QLYRAGLHQAAMSFYQPLTRVPAVVDSPLLVQIGRCFLKVSDDLKAAESFKAAINLDA GDVDARMELARMYERTQQPEKAFDYVTEIIQVKKNLRPETKRQKQKVADMDKGVEMGS DANSAIVSRAASKEYTPRSVHTSASRIQKDELIQTAELLQTQYQILKSEQNGMRTGDV TSSLLWRDAARALTDDFRSFKTFYPWDKYIRFLGYSGHVRLQAETSLDSDLTAMAERL SKNLGADISTKTNLPSVEIPEDYRGISFRKWLDIFLDYALCLARDGQAKASYEICEAA KDAIVFCHSREDMFLIQLCWCTCALIASDEETCVSVVRFFMKDYQFTTDSYRMFAAVS RACHSPVSWYNSGPTQKFILRQIKLMDYSLVSEDKRRRHYADKGSYSAQDSKGHIIIN SDMDVALLMLYGHILFTGGSYPYALNYFFRAYALDPSNPMINLNIGLACIHHALKRQT ENRQYSIIQGLSFMMVYYQLRLQCPRSEERQEAHYNMARVYHMLGLVHLAIPFYQKVF DEISGVPEQPTHEKLVLDTAYNLQAIYSMSGNTELAEEVTRRWLVI LY89DRAFT_687649 MSAVGNRNSTPVESNSSSLRPPSSRAIGSGLRASADMAAFSTSP SSSRIRPSSDFYGTQQNQGQGVSETDASDKIAQQWIADIDQYETTLEEMAAATLDQDF KDELSAIEQWFRVLSEAERTAALYALLQQTTQVQIRFFIQVLQQMGKNHPMSGVLSPA NFDKDPMSNRLSDAMNKLSVDGSSRNSLTRPPATPSAKRHSGLDPSTINAMFPDAAAA IATEKAKFTQQTGNPPSSNRNSAAYDSRASLTAPTISGPADSADNGAPSPSPWGSRNN DQAARPKSSAGQTPMGQFMQPPPSGGLRSPAAPISGNTNLQNTTINAPENQNQDLPLL SPYNAGNGNWASMVNTPMVPSFNTTNNSTQADMVANATAMKLAALSTVNNRFALDDVR KYRRARSNDGHGSSGQGPLSPGLPNNMPGANVVMINEHGQVLSRDQVLALQAQQNAFA GRRSRPSSPGLAMQGGGFGQLGFASPQNNGFLAAYDGSSPLMNNGMGTLNLGQFGLTG HEGYLSDHSDMVRGRSPRGRRGSSKPPEDPTDPSLLQDIPSWLRSLRLHKYTDNLKDM NWTELVELDEKALEERGVNALGARRKMLKVFEQVKEAKAEGKLA LY89DRAFT_592434 ESKNSSQHNQSKKAHRNGIKKPKTSRYPSLKGTDPKFRRNHRHA LHGTMKALVSGQYLDFLQHGANFLAEGREGGRRQVMSLTTISSFDYGSGICIGKGAFW RQIRANPHLQQPDSRR LY89DRAFT_687651 MAGQSKEALIPPWGLAVAGATGAVIANAIVYPLDIVKTRLQVQV KRNPSDPAPTGVDATHYTSTWDAISKIVADDGLIGLYAGMNGALIGVASTNFAYFYWY SVVRTLYLSSQKVPGPPSTAVELSLGAVAGAVAQIFTIPVAVVTTRQQTQGKGERKGM IDTAKDVIDSEDGWSGLWRGLKASLVLVVNPAITYGAYQRLREVMFPGKLNLRPWEAF LLGAMSKSLATIATQPLIVAKVGLQSKPPPSRGGKPFKSFIEVMQFIVHNEGWLGLFK GIAPQISKGLIVQGLLMMTKERMELLFIVLFRYLKKMRSEQLQKAADLAASKAKQVLP LVTK LY89DRAFT_687653 MEAKAVVAASSVESSLTKEEALENAIRAVELYMRAAEHASSGEE KSRLRGKCKQLLSRAEEIKKSTKWSPKPKSRPPVLESKRSISKREEVILLESSRLHGF IFPQWKSDPDESIFVGETDAAKYIEPTDLKLSDAQKEIFDDWKRPSENLMSQDELLMD VIDNIDLVQDITTDCSVVASLCAGTAREVKGHEMLFASAIHPFDVYKARPKISRSGKY IFRLHFNGCFRQVTIDDRLPASKTARSLHVVDRNNPQLLWPALIEKAYLKVRGGYDFP GSNSGTDLWVITGWIPEQIFLQSDDVQPEQLWHRVHNSFVYGDVMLTLGTGGLSHREE RELGLAGEHDYAILDMKEVGKQRMMLVKNPWCDGMIWKGSQVKVDSAGDEWSKELRDA LPTSNPPSPGTFWMSFEDVVQHFESLYLNWNPGLFLYRQDHHFSWTIPVIKSPGTFTH NPQYSLRSARQGIVWVLLSRHFATKEHDIAKERPNTASAASNTLGFISLYVFEANGRR VYLSDNALHRGAFVDSPQTLARLDLSASTSYTIVVAQQGLPLPKYSFTLSFFSRTQIS VEDAEDRIPHYITHAGAWTPRTAGGNASSPHYPSNPQFSISIPSRTDITLILETDQEE LAVHVKMVWADGQRVTAVTSKDIVGGSGDYRRGCALASIQDVAPGNYTIVCSTFEAGQ TGNFTLRVGSMVPCVVKAVLAETAGRLSLSLPPIVFQNGLNRMLAPLTAARLTRLRIV ARCGGGGRLAPSSRSRPSLKVSLERGQGPNKSILTVSGEGEFSDSPMGIRTPDLDLSP NMSQPGGIWIVLERLGGSNGSDEVAVEVLSDSPVNVGLWGTGDG LY89DRAFT_687654 MTTVDHLRPDDDIYDPDDVGQHSPPFKPVKFNLAPSPSPPPTVP IPSDSPESSPGSPSRKGPSRPKYHPSQGDAVLVSFMAGGKHSDIARDAGQQPLASDNE ATEDQPMKGGVAVTVEEKEEEDDDDDEVVTEDEEMTEKEVAEDEVQVSKEAEKETPAT NATTNTTEVPAAEEGRSYLTALAAGALAHTEDVTAEVPQKQAATDGGSASKASPPQPE ADAMEGVKPTIPSITTLYVDGEGARSPDEAAIKREGPAAPVGPGELPPILHTIAQSGL TNGNGSSQITLPSISDQLGDINHLPEPPSASNSAFSQSPPGRPPPQFAAVPGHGSPPK SPNDTFPRRELPSPGRGHFYSFANHHRRPSQTEGHQYTSPDYSSSNTETPSTDQSGST PAIMGIDRMSIDGITNPQIGGFQCTYPGCTAQPFQTQYLLNSHANVHSSNRPHYCSVK GCPRSEGGKGFKRKNEMIRHGLVHDSPGYVCPFCPDREHKYPRPDNLQRHVRVHHVDK DKDDPQLREVLSQRPEGPSRGRRRRGGTS LY89DRAFT_687655 MYRPVQSSPVQSSSPYGYYYRSLVVWLSLLVMFLATCECAACGS QQASRRAVARRRVKYIQPWGAALLREAQKRRGYSIAVESRAVVLLILVFRNIQSRQQD KSAKWTLDQRIEEMK LY89DRAFT_687659 MSSELPSKPSHLEPSKLGTKEYWDNLYTTEITNHSLDPSDEGTV WFDDSSAEDKILSFLEEQICENHILGQEFNKENCSFLDLGTGNGHFLFTLRNCGQEDD DEEEEEGDDERQGWEGRMLGVDYSERSVEFAKRIAESKGFGLGAGKEVEFKWWDLMSQ DPVGVVLEGPNEKGWDVVLDKGTFDAISLSEDRDELERRICEGYKEKVVPLVRTGGIF LVTSCNWTEDELRSWFDGGELKYVDTIKYKSFSFGGRKGQTISSVCFLKLDSS LY89DRAFT_687661 MSDGQDKTDELYPIAVLIDELKHDDVLLRLNAIHRLSTIALALG AERTRDELIPFLDESVEDEDEVLTALSEELGNFVEYVGGPEWGHVLLSPLENLAAIEE PLVREKAVESLNKICEELSSQQVEEYFIPLTIRLSKADWFTSKVSATGLYQVPYKKAS PPMQEQLRQQFGLLVHDETPMVRRQSANNLAKFVKEMPATIVVEEMIPLFQHLASDDQ DSVRLLTVEILIAIAEVVPKEQQSSHGVLLTALRNLIEDKSWRVRYMVADRFEKIAKA VDDEVVTRDLVPAFVKLLKDSEAEVRTAIAGQIPGFCKLVDRTTLLNDIMTTVEDLVS DTSQHVRAALGTQISGLAPILGKQETIDHLLPMFLQMLKDEFPDVRLHIISKLELVNQ VIGIELLSQSLLPAIVQLAEDKQWRVRLAIIEYIPLLASQLGVEFFDEKLAALCMGWL GDTVFSIREAATHNLKKLTEVFGVEWANEAIIPKVMAMGAHPNYLYRMTTCFAITTLA TVVSLDVVSKSILPMMDKLVADAIPNIRFNVAKSYSELISVLKRLPDEGTIFSLESSG SSAPPSQRGQVLIEERILPNLEKLQKDDDVDVRFFATTAAASVSGDPMNTS LY89DRAFT_190134 MPTISVDKAELYKALGQEYTTEEFEELCFEFGIELDEDTTDQER PIVNGKQEPPQLKIEIPANRYDMLCFEGIALMLNIFREKTPFPDYKLVKPKGGKMQTI TVSPDTLKVRPYVSGAILRNIKFTKASYDSFISLQDKLHQNLARQRTLVAIGTHDLDT IKGPFTYEALSPKDIKFAPLNQTKEMNGEELMQFYENDKHLGKYLHIIRDKPVYPVIY DSQRIVCSMPPIINGNHSKISQNTTNVFIEMTGTDRTKLEIVNHIMVAMFSMYCTEPF TIEPVEIISNHNEETRTTPDFKPRLAEAEVDYINNCCGLKESPERICELLTKMCYTAK PSKKDKNILDVSIPVTRADVLHQCDIMEDVAIGYGFNNLPRTSPNKASTIAQPLMINK LGDIVRMEAAMAGWSEVMPLILCSHDENFGWLNRKDDGETAVKLANPKTAEYQVVRTS LLPGLLKTIRENKKHSVPIKVFEVSDVAFKDMSLERKSRNERHFAAAWYGKTSGFEVV HGLLDRVLLMLKTAFLTHEDGLQGKRLDYEVKEDPSKPDGYWIEEIDEPTFFAGHAAA IYLRIDGKQARIGEFGILHPTVLDKFELRYPVSTLEINLEVFL LY89DRAFT_622607 MSDKALDKFLRKVPDVQVLANATVSTATLLLTNHHLIVATPHTP SSSQDGSSHQPKPKTREVYIAYPMIAQCTFRPTPPSSGLSSTIRLRCRDFLFVTFNFV DDRQARDVFETIKCQTCKLGSIEKLYAFTYDAPPIEKAVGGWELYDAKAEWKRQGISD KGIDRGWRISKINLDYAFSPTYPALLVVPSSISDNTLNYAGRYRSRVRIPTLTYLHPV NNCSITRSSQPMAGLRGNRSIQDEKLVSACFSASAQIDDGEPSSQTISRASPASSQAD LGLPTDSELSETERLEDEMISASNIEIVSDKPHIYGAQQHNLIVDARPTVNALAMQAV GLGSENMDHYKFATKAYLGIENIHVMRDSLAKVIEAVKDSDISRLPPNQELLVKSGWL KHITGLLDGAALIARQVGIQHSHVLIHCSDGWDRTSQLSALSQLMLDPYYRTIEGFIV LIEKDWLAFGHMFQHRSGFLNSEKWFSTQNDALAGSAIQPGGNGEGRGDAIENALLSA KRFFNKSNASQDTVTDSDGELLSVDESPKAKRRSGTKDTLADKEATKPKETSPVFHQF LDCTYQLLHQYPTRFEFNERFLRRLLYHLYSCQYGTFLYNNEKSRLDARVQQRTASAW GYFLAQRAGFTNKDYDGGEIDDHVKGKERLLFPKLDKVRWWPELFNRKDQDMNGPVNT VTERYLGDREDSMGVGGGVLTGVETADRVVPAGSIRHTPPNGTQGKLASLREGISGLG IVKSMGGGGSKSPANSKQPLEVEMQ LY89DRAFT_785284 MASGVPGTAISKKRKFVADGVFYAELNEFFQRELAEEGYSGVEV RVTPTVTDIIIRATHTQEVLGEQGRRIRELTSLIQKRFKFPENSVSLYAAKVQNRGLS AVAQCESLRYKLLNGLAVRRACYGVLRFIMESGAKGCEVVVSGKLRAARAKSMKFTDG FMIHSGQPAKDFIDSATRHVLLRQGVLGIKVKIMRGSDPEGKSGPQKSLPDSVTIIEP KEEQSVVQPMSQDYGTKAAASAQAAEAARAAEQQGEEAPAEEQ LY89DRAFT_721577 MQWNRYIGLVLAITSTMAIGTSFVITKKGLMDAEERHGFEGDGF TYLKSPIWWAGIIALIVGEVANFAAYAFAPAILVTPLGALSVLIGAVLGSYFLKEELG TLGKLGCAICLIGSVIIVLHAPPDKEITTIDEILHYAIQPGFLIFCAAVAVFAVVMIY RVAPKYGKKNPLIFLSICSTVGSVSVMSVKAFGIAVKLTLAGNNQFTHPSTYVFIILT GVCILTQMNYFNKALSQFPTSIVNPLYYVTFTTATLCASFILYGGFNTSDAVNTLSLL SGFLVIFTGVYLLNISRGDPDGRRMINGANHDGIATDPISGIQTRRSMQARRSLDPHR MSMGSNGFGRGGDREGLIHAYDEEENNAGFGLTDLTEDSDDDAPPPSAKTPNGKMNGN GNGNKRSFN LY89DRAFT_190286 MADDSMEISSEHGQNTGDDIDIDIDITAGQADEDYILQDTLSTV DYGNEFPTQPSPAVHHDDLMVDDDNASYQMDDADFIQDEAEHIAEPESMSFAQTDILY THGDDGSAADAIIYSEDHDIGLFFGQDGGNEMDATGRDLVLDSENISHDGNIAPIPEG VLPHADTILPQDYSNQGDQADKSPSQSLGPSPQSRSPAAAAEEPRSPPASLGHLSPVR ESNDTISDPTSNPQEASGEVDEPESIEHTYDFLTARGVKVVYQSVEYALFATSDSDDP DSYFLSDLAIIEKPLEQFFSAIREIIRDDLTADEELCLNVEDLGLETEEASSNLKDVT LSQILNLHDELLRNDSVDSPGPLYLLLGTRVNFIKRLASLAEGASLGKGFSEMAGLEN RSQSVDDVGDGDETARNVQSNYENLERANDATGDTTQGIEKGDLENGPSTEDQVDSLE IYEEAEVPDDEKEASERHDEQQAAPEEYPEDYDDKLQHSKESTQSTIEKTSNPSQWLI VLP LY89DRAFT_687666 MVVLAASICTRGGKAVLSRQFREMQRSRIEALLASFPKLADSGT QHTTVEQDNVRFVYQPLDELYMVLITNRQSNILQDIDSLHLFAQVVTSTCKTLDEREI LKNAYELLSAFDELVTLGYRENLTISQIKTFLEMESHEERIQEIISRNKELEATEERK RKAKQLEMQRKEVSRSGRNAIPRTPIYPTYTPPARTTVTDTYDSYEAEKNKSYKAAAP KGKGMQLGKKSKTTDMFERVRGDLGAEAEETASSPLVPNHPTTSAEHTHAQPSPVTSS LDRDAIHVTIAETINAKLSREGSVNSLEVKGDLQLRISDPTLTKVKLDLVANASHGVQ FRTHPNVDKGVFNNSKAVQMSNIAKGFPVNNSVGVLRWRATPKADDASAVPITFTVWV NKGSDDTYNITVEYELTGGDPLKDVTVIIPYATSEPAVSSFDATYEVSGDSLEWTIGL VDDDNASGSFEFEAQAGDENEFFPMQVKFGKSKPFIDVDVTDVTLVEMNETVTFSKEV KSVADSYIIE LY89DRAFT_672835 MGAPSHFQLLSEKTLHHTADPALVAYCPSMDLVALVTIDQQVLI YRLNGQRVYGATQKTGAYRVTSIRWKPNGQLLAIAWSDGSIRLVGAESSKIVHWFSTG IRQASDITCIGWSTNLTNRSTSSVTQKKGEDSWNTILATGELDAILDLPRDLSLIDIE TSLPKLSVLAAGGSDEVFSSRSSLDALFRAFDPKDNNAVDVMVVGTLPGTIHLSIYDS FEIGSFPSPRLPGDTASQLVLHASHKQYSTHSLLLRASAPGPRLYFVPMDLRFISASS EYLSLLASRATALQNLLRYIDQVQLQMSIEWKSTQELPGRFLSNINETLMEKDNRDIV QALYHSVATGHTFPSVREWLVDELSERGHKRWDKAVTTGLENLRRLVHENMLPALERC SLILSRFSGIAKFQGSNSSLGFTTQQINTIMDRVACLHLVSSKILIQVVDELELFTSF SSWLRNEIDRVASDASASPADDAAAEKEASIDHNKVLSYIQNAMTSSPLAIYMEKPPE DFLEDWTHGEDGMPMYDLLDKQLQKQERGLPYIKLLPRVEVLYKLLMRQTGMIFGQIA DAEMRNVLFGKPYEVGPAHDDSPMHMRMIAENKSTCETYIAYVPGGSQGILQVVRVIM SLENGISSPHSVSSTSLQLGNGIIKDLKIWDDSEILALWESNTTTSLLNIPYKSNLKG NLSNYALNYSPHSSKGKGGPTPHFSNQEVLQQFCKYKLSTDQAFVPERLEIRASSDMR HNEGGEKQADSRRIILLSKDRLHYRVLRLGKADALSEVAEDEDISMS LY89DRAFT_592165 MADRGEIPEKGIEKGPYDTVSRESPNNRDPGVLVDPDALQYGDT QNDLADMQRLGKKQEFKRNFSLLSTLGFISIYMATWEYVLVSLSTGLTNGGFGGLFWV FIATVVCYSSIVASLAEMESMAPTSGGQYHWVSEFAPAEYQKFLSYAAGWMSTLGWLS GVSSSVFVMTTLIQAIIDVAKPDYAFTAWQYTLIMLASLLVTIVFNTWWARALPALET MSLFGHLGGLLLTIIPLLVMAPKNSAHVVFVEVVNNGGWSNTGTACLIAQVSVIYCNL GSEHDDTNRMAGSDSAVHISEEVEDASLNVPRAMWWSYVMNVILGIAMLITMLFCIGD LDTVLGSSAPYLTLFQNTGSNAVAFVLMIYLFILIALGNITALATTSRELWAFSRDKG FPLSKWISKMDHKHNVPNNSVYLTAVVAGILCLINLGSTYAFNIIVSLNLLALLSTYM ISIGCVLRKRLLKEELPPARWSLGRMGIPINAFAFVYSAFIIVFSCFPITLPVGTGNA NWAPLVWVGVIILSLGIYFLHGKKHYTPPVVFVEGRREAGVGLQGV LY89DRAFT_190389 MPDEHAVVDSSITDFFLRSGLTAQTRHECFNLIEELYPNKAASA ASCQGYCSLTVFVGHDTVVQFRPYNYRLDLQLTLVAREVYGTFAPETRYVATLPASGL LVYRMGRIGGVSFKDFRASRSMIACSTYHRAALCRDFARFLARSWKETSSRALPLGLV GKTIESRLRALVSTLSHRFRLVARRVLENLRRIEALPWVLTHGDIVASNIMIEPSNGT LTGLVDWAEAERLPFGVCFYGLEELLGEMTMTGFQYRHDAVSLRTVFWAELGMLVPEL QQTQVLEAVKLARDLGVLLWHGIAFDDGAIDRVVQEGRDVEEIHRLEAFLDIQGNPPT VRSLKL LY89DRAFT_755669 MSSFSQPPPPPHAKKRLILCCDGTWMDSDDGFQKPTLIPYVPTG SLQVPSNVTRIGHALKRVGLDGCPQIIYYHSGVGSGSSVIDAISGGLMGTGISENIRE VYSFITANYTPGDEIILIGFSRGAFTARSVAGMINDIGLLTRDGMNDFYPIFKDQENF KNDRYHDIFPTIPFADKPFGPDAEIEYKERLEKDGLTRVYDPDGRKIRVQAVAVWDTV GSLGIPQISILAKLGLPHSTKEYKFYDTNLTDNILHAFQALALDEHRAPFNAAVWERK DHKDLVTTDLRQCWFPGAHANCGGGYDDQEVANISLAWMMDQLASIGVAFQDDFIDKI FEQNIQYYEDPPKTPKTLSSFFSRSPDKQWAITSVYEKHKPIRPWGLGKIWNSETGLY RITGTKWRTPGLNTRADPDTGMPTNVLMRNTNERIHSCVRVRLDLGGLGLDDNGLYKC HALLDRGWALTEMRINVHDPIPWNASWGPTVPPADGPPDDVRWVWEYQGPERLAPRER IMIEEPLGPYERKLLLLNKGSFHNSSNIRADTTGEQVDDCVVCN LY89DRAFT_785292 MPFRSFNSNFHNNRNKETFQQHKMSCIITITFWHLCGHTTSKTE HETGTVLGAQFRCPTLNNRGASSFLGSVLNAISRRRCRLSNTVYDYTHDQCPDQCPAC TILPNRYMGGALARRRFRYRQLRTEQVQVEAQRAAEVNADRAMEPLEQANWLVDMFHD MFYAADIWPEELSDADVNRLHALYAEQERELLDLEEMRRRAIRAEEEMELVRLRAVED WLRLQEVSRAEQKGRGVPLRRQSLAYYPRPGPTDDRLLAKSDLDEDDICPVCQEHHEV RGDGLDIPDEVDDVRELPCGHKFHYFCITEWLNTGSNSCPYCRHGYWLVRPFILGRLF LY89DRAFT_785293 MAPPSIHLIEKLPTKDIEQLTQLLSLPPGKLPTEAWLKDQSTKV SDLSSQLLKPIDMLLRLGMSMSSSPDKATLCKSHKNLNPYLIRRIFLQISAECTTRLA RLLENPFIDTEIQRHVKRLQMLNSLWMSVDLYRVTYQVMPQEPRFFRVPSDCEACILA AVGGNPHTLCDLRSSMLGRKKKRGKEPRLLRLVESWIRWTGIGQELTAESYILAREVR ACRRDMQLARRQTKRNQQEGIFDEPTSPLFSGAISEEQTLVAEGGSEKFELPDNTWED DDEDDDPEGDIIGHYAYSIRSSATALRRPAAHDDIHTAFGKSIPPLRTGTSSTARPST PRPPPSMSTSYASITTIHPDRLSAENLRRFDDLPPRPERPQNRSTYMDSLSSARMSRS SAAVPPLRPHQAPAYTASEYSRDIYGRRPGDARSMATGHLKHANVEQARAYTEMMRRQ AEEESAAEGNLQNWQGNDEEELDAPPIPKRSENRETVWSDFAGRRASRQTRQDGW LY89DRAFT_687670 MHEFLPRITRYSKSQLIPRLKTCLKSTKVIENTPVCRQFPTKLS YIELSSFDRVFPGPLLFGRQLASEEYYIPFEPRRNNNISSAQKSYVWRQTDAGIKMKC WFQFLSTPTADTPGTTLLLHFDSKRYLVGNVAEGTQRAAVQRKLGLVKVCDIFLTGTT NWANTGGLMGMILTLADSVTAAFEGKVEKLKQSEKKQGKEAATIEKPWLNIHGGENLT HTIATARRFIFRKGRPLYTNEFRSRDPLPEDWEPTWKDELIKVWAMVIDPETRSPRKR SHDEFTEDVPLVSTDGEQESKEDLEDRNDQIRKAVVSQMFDSDWRLDALVKMKLSEVK KPAGIFRRNEQGKIEKYIGPTVDEDPSVANIDVLVRNPWPAAMIDDLPPARPCSSSVC YIIKTYPQRGKFNVAAAKAAGIKPGIDFRRLTEGESITTADGNIVTPDMVLAPTKEGG GFAIVDLPNESYIAPLLARGEWSSEKVMAGLEAVIWILAPGLVEDPRLQKFMTEHNHL KHVVSSTDCCSNYLALESAASAAIRLHMFDSERFPTPKFSNEIKPKKDDSPRPYLKAR AGLTFQLQPKTELKEDTIIPYLDTATVVRDFKTESKDVVDLADAAREQIASPEYQAQL SENQADIPSKDAEVITLGTGSALPSKYRNVSATLLRVPGYGSYLLDAGENTLGQLKRV LGDELPAVLRDLKAIWISHLHADHHLGTTSVIRAWAEATKCDEATKDNKLLMASHRDM HRWLAEYAQVEDFGHNRTVPVHMRYSDADYYNLSAEDTEKYGLTSIAACGVEHCFGAL AVAFTFPNGFKVAYSGDCRPSHKFVKIGRDATLLIHEATFDDELQGDAMAKKHSTTSE ALDVGRRMGARRILLTHFSQRYQKIPIMDSHGGKDQVAIVAFDYMRCKIEDFVKLEAF KPALMKLYEDKED LY89DRAFT_190512 MSTPSTLPPHHPNYGYSHHQNYQSNSGYRANNTLLNGGSRLGAP YTLPTPSSNGTSANSVAAADPTRISQNTAHSSSRPETKPAAMPASSSVSVPKQNPKKR QRSREREPDWHKFYKNGLPKEVIVIDDSPTPEPSASVLSNTQNARSVNGGARHAAKRR KGDDVGSVYDPVYHLGPSHSNNQSPEYKDSSGSTISTDRTTSAIHTTAATSLGSHSSN GHNGYEAVDVQPGQKRKRTATRLQVATEAKKRDLETNGDAFTNYRPPPRPPIKAPDVQ VKQVADNSYTKNSKVDDDDGHYIVVPESDLTERYQVTKLLGQGTFGKVVQARDRKRNK QVAIKIIRSVQKYRDASRIELRVLSTLKANDHENRNRCIHLRDCFDYRGHICIVMDLL GQSVFDFLKGNAFVPFPNSQIQSFARQLFTSVAFLHDLNLIHTDLKPENILLCDSAYQ AFTYSRRIPSSSSTVNRQAAQRKVLLDTEIRLIDFGSATFQDEYHSSVVSTRHYRAPE IILGLGWSFPCDIWSIGCILVEFFTGDALFQTHDNLEHLAMMEAVVGKRVPMPLVQQV NGLAKRSGGNPAAKFFKRLKLDYPQQETTRASRRFVKAMKRLEEIIPDNNNKFLNNFL DLLKRIFVYDPADRITAKQALQHQWFKEAATPDDGTEATKIRLQRQQASEEAAALTAM ATQSRQNGYRH LY89DRAFT_672843 MSASIPGNRELPASQYDLSTYWGRVMHSANISDPRTLLVNAAGL EHAKSLVAGYKQGKIAEMNDELWKAKKVVDSTLHPDTGDPVFLPFRMSCFVISNLVVT AGMLTPGLGTTGTLLWQITNQSLNVAINNANANKSTPLSISKITQSYFLAVGASCSVA LGLNALVPRLKRVSPATKTILTRLVPFAAVASAGALNVFLMRGEEIRQGIEVYPVLSE ADKAKLAAEGKSESSVPSLGKSKKAATLAVSETALSRVLNSSPIMVIPPLILVRLQKQ DWLKKNPRLTTPVNLGLILTTSLFALPLALAAFPQRQSVDASSLEKEFWDKGGENGKV VFNRGI LY89DRAFT_687673 MSGFKDTLKGGWHPKGKDGKSKESWRGDFKGIDQVAGWMGKKKS PGEEASDHISRPLHTLKDPAAFGPPPKNVKYHGGAALPNQTTPDTGGWGAPIPKEELE AKEREEQEAAEREAEEAAKPKPPPVPYRANTTGLTTSHLPPPPGRKDGADGRTPAADK PKPPGLPPRLPPRQNSNTLSSPPPSYSSANETDAHKGILNQGALNRLGAAGVSVPGFG IGAAKTKPTLPPPSTTSPSRSPAPNASPVQASQLNELQSRFSRLSSSTAPKPETQSEG TTWAQKQAALKTASSFRNDPSSVSFSDARAAASTANNFRERHGEQVKSGWQSANKLNN KYGIADKVGAYGGVSSPQTPEPDNHQIEMHDNTNDTVVAAVAGKKKPPPPPAKRTDLT NGGSSSPAPPPVPLSSKPKPQFP LY89DRAFT_785298 MGFFQRFLPPNDRYAALMLYGMVFSTCFNGYDAGIMTVILADPQ FRAYYAVNATKQGVIATIPWATTGFAQLIVGGSLANWIGRLWALRISIAVMIVGVVIQ VVPNTYGVLILGRLTAGLGFGCVYIATSLYVAECAPRSLRGSFVGTVTQFGYQLGTFI AFWAGYGMSFHSSPYNIAWRVSNIIQIPIGITFVCISFFYIESPRWLLEKNPDSPELC LASLAKLRSGTINDEHVRLEFHELVASHEYRKRFNTGYWGIFSSAGMRKRLAYGVYAM ALQQFGGIAALTMYAALIYESLGWNAGHQALAINGIQAALQLVIVLVNTFTVDRFGRK PLLIAGFTIQSLALLILSSLTTTYPDNTNRAACVAEVAMLFIVGLTYCWSNGPITPAI ASEIFPQEVRDKAFGLSLLGQTVCLLALTQPWPRFNQEVGAKSYWLLFGLNVVALISV IFILPETKGISLERMDKLFGEVDAVAAGEESTSAEKIEAITYSGGDVKTAVTDHVEDH SQAAARKDEL LY89DRAFT_622645 MAYPQNIFTNTTLPSPSFISRRREVIYANTLLYQLNVLKTTGRY DAFKLQWHQSYSDAPDVPPIPNHLFWDSDVAKWIEGACYFLQWKENPEIEAAIKELVD MIRAAQQPDGYLNIHFTVVEPEKRFSNLRDLHELYNAGHLIEGALAHSHHYQNDFLME PILIYVDLLCRTFGPAPDQKHGYPGHPEIELALLRLYEKTKDPKHLALATYFIEERGN PKGQDGQHYYDVEAEARGDKENERPVYMPKPRSYWYQQAHEPIVDQQTIEGHSVRAMY LLTACADLLRVENTKRENLRTAVERLWTNMVDKKMYLTGGIGAQKQWEGFGIDYFLPS STDEGGCYAETCAGIGVMMLAERLLQLDLNGKYADIMELCFYNAVMTGMSASGKQFTY VNQLASTDTDLSKRAEWFTCACCPPNVTRLLGYIGGYLWTFNNDEPDTLQVNVHMYSS AQLSIPVGNKVVELEQKSNWPWEGRVEFALRNASEIKSTIKLRIPSWADEWRFVPNAP DQKLEQGYLTLPPDWLKKNSSFVLEVPLKPRFVTPHPYTNQDILALARGPLIYCLEDI DNPWVTDHFKSLVLDPTSTIEETNVSAEEMGEPYVALAVNTIPSILEVGTELSPHIEL SAIKQETGMTSDKLHFIPYALRDNRGGKGHMRVGIRKKR LY89DRAFT_785300 MFSLLSLGFAASVAVATPLLVQRDAVTSVVDLSNNTGTPAHLAS GFIYGIPDTPNQIPDHFYTDIGFNYARAGGAQVPSPGLGWIWGLSEYKVRFASALSNY KTARKYDANFIFLIHDLWGADGTQNSSAPYPGDNGDWTFWDEYLTQFISDMNANGATA GLSIDIWNEPDLTAFWNAPQAQYIQMWGRTYHRLRSEWPDVLLIGPAFAGEPDASNIW WTNYLSFVKSNASVPDQYVWHMEGGGGDMQSAYGALYNMLKTYSLPLKPLNIDEYATY TEECPAGSAWWISQLERVDAHGLRGNWLSGWELHDLMGSLVSKTNAATDYTELGSGYY PNGDYQVYKYYYQNMTGHRVGSQPSTDLLIDTYATVGTDKVRVLTGVRPSTTGTWAIT IENISAVGLATSGTLDIHTWGFPGAGGHFGEVDAPTDLGWYSHTFSGNSVTFPIYQTD TVTAYAFEFYVG LY89DRAFT_651971 MERTSPEGSFGQDASDPAGRFQCLECRQTFGRAEHLTRHSRSHT KERYLKCSVCRKGFYRTDALRRHELTHKEPRRSALARGGRACITCAAARRKCSGETPC SGCQKRALECRYPDRAKRATSDHSSPTNGDIRPPDTTTATRLQTTEHPMANSDSPMSW SHTSDSPQMSGHEHVQDQSTMDNQIRSSPTFTTTSYDNPFANQGPTQSEVNRSQDPVA IQSLLQETQQAQSLASQGNMNIDSVNTSTPWFQNNFSSINWLPENWTPDFRIEENQPG SFHQDTLTFGPNVPPSPGMSRFAVAPEHIDVGPPASIRRKDYVGAQELSSPGSQSTHS GGHFYIDGDGARLPRVRKAPYRHSDSYSHNFLHDHQNLYPTFTFPETDDYHQDGTGTS TVNTIPPNVYSEICRIFNLTCISSNHYPNFQGGSSFPSPQTLSRFVHHYTQNFLSILP FTHSSTFDLSTAHWLLILAMATIGSHYSENPGAEIVTVALHEFLRRAILTVVEIGGNS KPELLVLTQIKLLSCVGMIYSGNERLKALARGTQSDLISFCERDWKRLSECPQLSSEN QDQIGQDWKVWCQAESSRRTGYCIWLLDCMWTFHFQSRSLLSLEDAKIPIPCQEVLWE ANSALDWQQLYSCATPSPSLHSAIQLAYIEKRIQSSTGEFSRILCIHALFRRTWEVES YFKQPLTQWTPTAEKQNISTLERSIPVWLPGISTYAKWRNSACDCLDILHWHANSVIG AASGMEHPTVLHLHLARVILLTPYRQILQLAQLMTSELQPSDELDITSLRKYIQRWAL EDQHKARLAMIHAGVLFWHVRRYSTDAFYEPSSVLLATLVLWAYGSFALPTSGVSAQR EGESPQADDDDAESLFPTSMQLDRPADDELVQLFVKRGAGMKANITGVGNLCSVKGPV RVLVEGRNLLAGLKTWGYSRQAIRTLSALIEVLRPDGAT LY89DRAFT_737628 MERDIRRARGQWRGCYAFEDIICDGDGGSSPKRTGGLKMGSTYY YYYELDDGTEHYDATISFTTSCPYLPGQPVNLLYVPVEVQPLRYRSASMSSMAIGDIK TMNPADKFMTPRQPPPLPALPRLNTATSVLTKKRSARSLSPNGDKSPWSPRTFFGLLS PLQQSDNRGRSSSLSKMSQSSADRSTPSLKARPDEMRKVRSVPQTRDASPHSFKSKSR EASPFRQAAVQEHHFSATTFSIPDEIAEEAEDDDNFASHVNRISMNEKGIFTPLAPPP SRQRSPPARASTAKDTAKPLPQLPEEDNLMPSPLRLRTVASAAELPRSHFSTSTISTN FTSPSDSQFSFSEEELNDEDLTTDMGSGDEFTYSPILAETPLSGRFSGYSLPKAEYAS KQTLRNQTPLSPFREPASRTTFGGPSATYTSTSGNEPEHMSALEQLLSEMGYLGDVIV GK LY89DRAFT_687677 MISNGLHDTTPRCYPSDLRHQSSANAVQQTIQEDISGGGEVQLG PQTALQHPDTYSFLDIVPLYQAGPTAVASNWTNIARNNPSIGWEGKFQTSD LY89DRAFT_592377 MSDLPPLPSYTLSPVQPLISFIPDFYLSLMLPIAAYWIVSMFFH IIDVYDVWPQYRLHTPAEILKRNHVSRYEVARDVVIQQIIQTVVGIILGITEPEEVTG REEYDIAVWATRLRIAQRVLPQLLGLLGLNAAAISKNIAGSHPMLAGALAGGKYPSLA LGMDALGGSPVPTFASWEVFAAKALYWYIVPALQFWLSILIVDTWQYFLHRAMHINKW LYTTFHSRHHRLYVPYAYGALYNHPFEGFLLDTLGASIAYKVAGLTPRQGMIFFTAST IKTVDDHCGYALPWDPLQHITSNNAGYHDIHHQSWGIKTNFSQPFFTFWDRLLGTMWS GDTKLRYARDRKAAQFKVDADKVEL LY89DRAFT_699387 MIIFKDILTGDEVMSDSYDIKEVDGAVYEVDCSMITLGAVEVNT GANASAEEAEEGVEDGAQQVNNVVNSFRLQSTQFDKKSYLSHLKGYMKKVKEALKEKG ASEETIKAFETGAQGYAKKIVANFKDYDFYTGESMDVDGMVILMNYREDGVTPFVTIW KHGLTEMKV LY89DRAFT_651979 MAPATRSQASSSPQKPDSYFPHFPPSSRATAPVCSSSRTSPKSE ASDATAASASGRGSTLAQPSLSLPDNYQKVYLRQIRQNFVSGQYAPQAQPMSSNNSQM SEQQPAPSTGQPVTPSMAPMKRPTAVELIRLISGPRVDIYIGSDKEHRSIPKDLLCSY SPFFDRCFNGSFREAHEQKLELPEEKIEDFDIFLEFILRGTIAVKPGLRGAEETISQC LDFLKFADKYQLGDVSEAIFKPLFDALWFYTAEIKLPRASRTDNLSAPWQTLGSDPPL VVFKRPNGLERKDIELVFTTCPERSSIRTLVVQALLSVAGGLSGLHKLQEYGDSIEGF AAEVMFVIGNDLRDTYVPAKEWLEGMRRK LY89DRAFT_785306 MASCTSYKMMSAAERNKMLSGPRTVLLVGPNRKYYGLPTQLICR MSPHLARLVAESKGQKLELLKENVEEFNVLVEFMLRGVIPGANAKRSIEGNKSTIDQC LRFLESAYKYQIGDVSDVVFEPLLKAFLDLTNHKYRNDSNDMKRIAKSGIRCGIEAND IETIFELCPPGNRLRTLATQAALSYSGPEGVNIWDEQMENVSGFATELMDQVVAGFSR HSWVEPLTKIARRWVEPLAKITRRH LY89DRAFT_699388 MHLEWTFDSVRLMKKDWKPTRLLKVSEDPDSIRLCEKDEIPHGT VYTTLSHCWGAVVLGTLLTTNIESLKKSIPLSSLVKTFQNAVEVTRQLKVGYIWIDCL CIIQDSRDDWKFESMRMEAVYGHSYCNIAATASADGRGGLFRERDPKMIEPCTVNMST SVKGQKTDYRISDFSIWWKHFEASPLNVRAWVMQERLLSPRVLQFDRDQLLWECNELT ACERHPGGMDSLMPKDSIVRRFRLPPKKVKGARGVLDLWAPVLRIYSATRITKDTDRL VALYGVAMKVQRLLNCDYQAGL LY89DRAFT_592296 MRLINTWSLELEEFFDNEIPPYAILSHRWQPDEVSFQDMQNGTG NLRAGFTKIELVCGEASRRRLDYVWVDTVCIDKTSSAELSEAINSMYRWYKKAEICCV FLFDVGSRSPVSDQLFCNACWWSRGWTLQELIAPSEVAFFDSSWNFLGTKESLQLEIS EITGIDVKTLAGKYLERRSIAERMSWASKRSTTRLEDRAYCLLGLFGVNMPLLYGEGE RAFIRLQEEIMKHSDDHSLFAWSFSGGGYRGLLAQSPAEFDCPHIMVAQDRMNRTPYS ITNMGLSIEFQMKQWAMDTYFVTLDCQYDRGHGPRVGIFLRQLKENNQMARVTFDGSS LRAMALVRSAFKPKSIYVRQTVFRMSPPPVKKYGFWLRTLPTIIKIQSRSHNEEHILK TVTSWNTWNSTERFIQIPVGQHGTAGVFLYRSSEKEFSIMKLGFDSEFRPVCLLGGPA WGPSAASYVLEKNMDLPDKFILHDKWLDTGDNNWVFPGERTRGLLTEKFRTKISIQEG RMSDDPAWIVDIEQLDNDLLGRGPRHEGVQCDGCWTENMRGTRYKCRVCPDFDFCENC YSTARLNHPISHTFKTFRKPGDADEDDKTLASNASTEKTGESEDLGEIRTRFDQILSI SNNRLSMVPDQESQNGPTLDSKGIQHAGNRTVEDTSFK LY89DRAFT_592176 MATNSAPGEQFEVRQTSATEDWHPDPSKSIPLDKARQALVDDII ALYSCEPTIERVKRYTPDCVYDDQFVYANDRYKMAGQWFALPKLFKASKNEGYQIVRS DDEVIQFKNEQSWTFKIIPKTATINALVTLSLDPETVHSDFIRVKYHKDQANDKDYSH EGLGFSFKKWQADQVAKHMDSKEVAVFEGDKNAAKEHVRKYGSGTGEAPEKNL LY89DRAFT_672858 MLSTLLMNIEKKMAAAKRGTANAQFPKLILAESMKKPLSAERMT KKVVRTLLNTETVGSAIVNPHTSIKFLEGVDTIIFHTELSPAIQLRCRWMLAAPIPGH GLQFLRHYAFFGKAEGVGLLPHQFSSLKERNVAVDKLRAEANELCSKIELCPHDLNPR VLDHCEVHVTGQGWGAVSDKELLQHLLDEAAKIWHDVVGAVDISASCKERMQTLAERN DISNTKIQLEGKLGKFEELKLLEEQSKDERPWRERFESRVQRWTSEFPDEFVSMEVPV THIPDEFLTEIPDIHFKKPTRLTSPWSPDWSTQVEEAFDSALQLGEEAFKAFEVIVDE DLGDLRETQASAVNSDSLEETTKRKIRSPSTEKPADPKVTIIKMADPGMEGVKFELDL KVPFSEAAQVWLNCKGIETTRFLPRGTLQPKGELKVNQLIRGFGNGLHPTNYLEMETV VYRGRKAMDLSIFHDVLLKPDSLLAEWWPPVAESGMVVPLLTSRSWAQTVAATKLELP RIVTSDKVVETQQPVETQQPKVINSYAMAAAAKPQPSQAVGEPVAKKTATATSNSKST KEANSVPKIVPPEEQEWTTVTRKKTRRAASRVQKELPQDLRGSVQVEPVHVEPEWEVV TRKKARRGALRAQTALAEEQIGI LY89DRAFT_672859 MASTNSSPSILKLEQSTKRKLSCTSKSDMNDLDIFPRNKRTKKN APPFKIFEDATAEKPWQLDDEEWEKKMDKVYQFVHDHIKLCAFWYSRMRASWSEPATW FELETAEALAALLLEMSTECDSLGIEYAKGEDPLNRVEKLAGYSQGRGCNLEYPCITD EADVASHFPKKGKDYFERFGKTWKLISGIHMGDMWDEIGDHQLEIQWKEGSSRWVRSL LKEMQECDGLEYWEYIWLKWS LY89DRAFT_672860 MENEELTQNTATPIRGTRIQLPLPSECSPEDLDPYHSLSRPKKR RQNATSKFIIYEDATATEANIIGKEDELYEREMEKICVWTNRSYKEKALKAAIAAVEW AIPVAALLDKIRGAEAGNKSLNRQFERFKEAICRVSTVGSNGTANAVGFKLLDTRTLA RLMARLLATAKKLKGIPEVKNIKTGKMAVGTFYFVAGYCVGGGCGLESPDVLKSSTTG LNSTPAADQETGPVYYQHLCESWALIFRIHAGRDLWKEGYKFSTTISDDPPLPPPWAA TMIRIYYTRANNNEHVLDSVRAIYRKWRTTMPWFEPRPFGTDVTDQRDGAEAFQDFYE GEENDIGRIRWRVLGLQNAGGNETKLFDRGPNRRKS LY89DRAFT_687685 MASKKVSAAVAKANDFIDFVNASPTPYHAVQSAITRLTTAGFTE IRERDPWTSLLHPGGKYYLTRNASSIVAFTIGAKWKPGNPIAMVGAHTDSPCLRLKPV SKKSGAGFLQVGVETYGGGIWHTWFDRDLSVAGRAMVRDGKGGFVQRLFKVERPILRI PTLAVHLERQDKFEPNKETELFPIAGLVAAELNRTGQKEGKDHSIEGLEKEGEGEFRP LKVLRDRHHPYLVEIIAEHAGVDVEDVIDFEMVLYDTQKSCIGGINNELIFSPRLDNL GMTYCAIEGLIESVSQKHALDDETGIRLSVCFDHEEIGSTSAHGAASNLLPAVLRRLS VLPAGHHDSGSEQSYEKIPREQDLDIATAYEQTLSSSFLVSADMAHSVNPNYAHKYEA DHRPEMNKGTVIKVNANQRYATNSPGIILLQEVAKLAKPSEDSTTSHGVPLQLFVVRN DSSCGSTIGPMLSAALGTRTIDVGNPQLSMHSIRETGGVYDVEHGVRLFESFFQHFSE LESKILVD LY89DRAFT_191225 MFGLYTVTAVKKSSKKDLTPPPSPPTDGNDFVSNSLEPAIDGPP SPERIRAYTEQMKRSSLFGNNSRTNTLSSATSSFRSRESTSGSTDNVSLSRKSSGRSS NASSMPSIRSERPESVQIFGSIFSRSGRKSRREHNASSLRTSGSSTTLDESVIEEESA KEHYYGKRSVSRRRHLISGPYNFQHVTHTRQDHLPNLERTSRMDLVSEFSAIRASQAP THGELKGIRAEDLHFENFSSEALALTPTEELSPNSSTRPRHRAVLRKSVNQLQRTMPY AKSHDELRIAPPRPPRSPLSPECPVALPARTSSRTASVLFDTFDPLASTTIERPYTNG GFRRPAPFHLPIPPPPSWDERKEDFSNRPLSHAVTTPGDEAWPLTASPSGNFGVELTD VQEEDEDVLSRRSRFSTASAELRMSQSVPALRRKSVEQANDKQEMASSTTPLPEATSS SHELQQAPAFQFTTDSWESDIDWCYEHEVEADCNYDWDRCSAVEANAAGESVSTTSQP ALQLRLQNEERTYHGRFRPSLLVPSPSDLPELSPVSIMSTPSDPRTPNFLRPAHVRSP SHASSFKETHGFTLSPTLLIPSDFQSQMDQDSIYNEHFGNDSTSGTIFAPEPFEHSVS PVDEGASSTASFRSSDFSRGSARSSSSTRVSANSRGSQDSMILLARAASLSQEHRSIG SASSLPDLIRSSHRPEVDVSSSIAALTISNDEGVAPAITSLQHRRNKSLAMENGRRMG ETHAAPTPAMLTIEKTDLVEPSSALSPVAEFPAMPKEAVVRPLVHGRKTSAPVVSPSV KAFKGRARAKTSATRGSYVLFPQN LY89DRAFT_191227 MQIDQPDDMVHLVSIAVLTLGSLDSRFPPSFASSSNHSASLQLT PLARLLIPSHPIPSSPSSTPPPHPDPSPANLFCSWSKARPPCPFLMCSGSKLCLGCGW LARRPPCCVGLRGQGSLRGRRLFPATGTRTQAQVEPEIREGSARCTGQSSINALNAIL PEIIRCQSVFDSY LY89DRAFT_191240 MKISMLQQIVLTAVCAVVEEAVISQWVTAFRKDRETLLLIHFSS DDGRSSTTRKRRWNLAWKQSKLHAFSLYQTLSISLFSNPTNTHFTHLTSPRGTMPTPA GSTSSRAAPGRLARAAGLITKSHRHRR LY89DRAFT_191303 MYKGWKRTLTTDLARRIRSHAKAVITPPSELRDHRQLPHNNQVH WPIYEPTCESSRCWVPKRRNEMRNGDPDPTTTARKMSNKVTEEMKQGTLEKQSWLYKS RNLPFLRCWLWLVDASHSRIKHEITSQRSE LY89DRAFT_592304 MATATNGHVNGGTNGYAAVSPGHRVMSKRFSDIPSAIDIPVQGE DDDQAVEVDLEDLLDDPTELCTLLENEGAARTYWMTVSLAYAKQKKVDHAIEMLQKGQ TAMRGGQKEKLSMLTCLCWMFLWKSRESPRVLPDDAASNLKTKEYWLQQSTSTLNEAL RINPAFPPLFLARGVLQLLKASLQPPSKSASAGATDHEKQDMIRSSLRSFEDAIRVSQ GRNIMAVLGKARANFSLGKYPEALEGYQDALHKMPDMVDPDPRIGIGCCFWQLGFKDD AKTAWERALEINPDSKIANILLGLFYLDSSAHVPTNGPEFIRLYKKAMTEYTQKAFKA DKDLPLTCATFAGYFLSRKSLPNVETLAQKAIQYTDVNAIASDGWYLLARKEHYNDDY DKAADCYRRADEARGGADRGFLPAKFGVAQLSVLKGDYGEAKLRLEKIIQNSKNVEAM ALLGTLYAEEVFTVQQSGANTKEEKSPEYKKAVGYLESVRTAWKDPKKNLIPDASVLL NLARLYETDQPDKSLQCLQQVEQIEFDQVPEDERPKDPEEEATWRQRVREGLPPQLLN NMGCFFYQSEKFDQARDMFQSGLNACIKVGEKQEDMDTDALVTTISYNLGRTYEANGM LDEAHNVYDGLLARHADYTDARTRLAYISLRQNPTDEGPKAVVKLYNESSADLEVRAL YGWYLGKVHSRKRGGNIAEDPELRHYKHTLQNYEKHDRYALIGMGNLYLITAREMRRE TDQEKQKRTNMYVKAVEFFDKALQLDPRNAYAAQGIAIALVEDKKDFKTALSIFIKVR ETVKDPSVFVNLGHIFNELRQYSKAIEHYELALSKDRAHDAQILACLGRTWLSKGKAE KSLQAFKNALDYSQKALEIASEQVHFKFNVAYVQLQLATTIYALPETQRTLAEVEAAA AGLEEAVASLDAISQHPQTPYPKHDVEQRANMARNTMRKQLERAVQAQREYEEKNAEK LQAAKQARETELRKREEARLAAEEAEKERKRAIAEERQKIAERDRELAEARAEEDKAR EAAELTTDSETGEKVKRKKKPRAAGTGGKRKKKNEDGITDDEDSGLDGKRRSRGPRSG GESDEEKPKKKRRLAKKAATEKPNKYKSSEIVVESDSEGDDGAAAAAQEMNDDVGDEP AADMDVDAGSDEDETVAAPIKRKSRRTMIDSDDDDEDEPAAANGGSPEANGNAEDGDT PMAEADNAADSDDE LY89DRAFT_622675 MATVAPAVQQPGSAMPQNPQEMKITLQKYKQMKESGVSDKDPEF QRVYQILQSMQHQRAYQQQRAQFAQQQQQQQQQQMLNQQQNATTSAPNGANGTRTAQA PTSLPNTQALTTTSLGPSAIPATANAPGLQKGGVTRTTAAGSGYFTQAQLSILKHQIF AFKCLSKNMGIPAATQEQLFAAPKKSPITEQSLEASSAKVDDATPTINGNVEKKTVPK QHEYTGFKPPHANLPSKISYSLHKVREKRPLIPSTVPSGVNLEKIRQERENIIYNRMQ ARLQELRSIPANIAHLDVRSDVLAPEDTLKRKALIEMKMLGLFQKQRNMRERIGRQMI QYDNLAMTANRSHYRRMKKQSLREARITEKLEKQQRDARETREKKKHTDYLQTVINHS REINTAGHNQRQKMQKLGRMMMAQHQNIEKEEQKRIERTAKQRLQALKSNDEEAYLKL LDQAKDTRITHLLRQTDGFLSQLASSVKEQQRKAAERYGDNADDFIDDESDEDEEEEQ DTRKIDYYAVAHRIKEEVNVQPSILVGGTLKEYQLKGLQWMISLYNNNLNGILADEMG LGKTIQTISLITYLIEAKKQNGPFLVIVPLSTLTNWTLEFEKWAPSISKVVYKGNPMA RKQQQQQIRYGNFQVLLTTYEYIIKDRPVLSKIKWIHMIIDEGHRMKNSSSKLSATLT QYYSTRYRLILTGTPLQNNLPELWALLNFVLPTIFKSVKSFDEWFNTPFANTGGQDKM ELTEEEQILVIRRLHKVLRPFLLRRLKKDVEKDLPDKTEKVIKCKFSSLQARLYKQMV THNKLVVSDGKGGKTGARGLSNMIMQLRKLCNHPFVFDEVENQMNPTSTSNDLLWRTA GKFELLDRVLPKYQRTGHRVLMFFQMTAIMDIMEDFLRYRGIQFLRLDGTTKSDDRSD LLREFNAPNSPYFMFLLSTRAGGLGLNLQTADTVIIYDSDWNPHQDLQAQDRAHRIGQ KNEVRILRLISSNSVEEKILERAKYKLDMDGKVIQAGRFDNKSSETDRDAMLRVMLET ADAAETLEQEEMDDEDLNMILARSDEELAIFQQMDEQRAKDPVYGNGPGSKRVPRLMA ENELPEIYMSDGNPISDEPEEIKGRGARERTRVKYDDGLTEEQWLNAVDDDEDSPEAA AARKQARKDRREQNRLKRLGQLPGSIENSPEGSRASTEEPEPTPKKNKARKGAKDKRK AEEVDDEPPAKRKRGPAGRPSKGAATNGDSVSPKHRATLQTSLRSIYEGLMALTSDSD AGSDDDSSSAGPRQIIGPFVKLVPKKDFPDYYIIIQQPISMAEIDKKIKKEQYSSLSD MKRDVRQLCANAKQYNEDGSMIFLDAVTIENACDSLIRDELNEHPELGDVDDSSRNGG STAPGTSAGTPIASSTKLKLTFNSSQQANGGGSGAQSDDD LY89DRAFT_622679 MSPKSRFSEISYRPVVNDPSASYHLIYFITGNPGLIAYYDTFLA TLYDSLSRSKNQSSNYFHIHGQSLAGFEEEEPELSSPYSLEDQITISLTSLQRQRIPS GALQGQPYASVILIGHSVGSYILLELIQRLRKSSSSLNIRAGILLFPTVTHLAQSPSG VKISRLFRIPDFAKRASSVAKALVSIAPKSVLKWLVGLLTGMPNDSAEVTTRFLRSSM GIWQALHLAKDEIDFITEDKWDEDIWGIEHEETDQKPHIPKLIFYFGEKDHWVANHTR DALIAARGRIDIESSSSKPVMLIDENGVEHGFCIRHSESIAEKVKIWIENAMDGESEA LY89DRAFT_737646 MVRPTVKARSTSDQITAIVERILQGTLAFVILWVVYRYCWIDVS DPFKCGALLHKGQWLDPGPRWSPRNPFQNWQPPGCIMHEYKRRDIHECFHNRRIVFIG DSTTRQIFWAVAKKMDQEKADEAIADVLAHDEKHNDLAFETESVSVQFIWDPFLNSTG LDRELTKFSAVPSLEPTGASGESAALLLLGSPGLWFARHEQENFMKDFRDSIEHVIPY MDHGHGDEDALPPRRFNAREASPNLLLLAPIQVPQYEVLSPSRAATITPEKIDQMNDF LQQASAYSEADVVWSYNLMTWSGKAQYEESGLHVVDNVAHRKADVLLNLRCNAGPASS KYPFDRTCCSNYTQPNYVQWIMILAGMLVLPLLLSLRRAHVAWLSRFLPSTQTLTTWT IFCLVLCLSYYADRTQIFEKSQRQFRRKEFMMACSAVAIAGLVSIKKNKDPPAIARES RGLRDQPFLSRQQTEEWKGWMQAIILIYHYTHGSKTLWIYEIVRLLIASYLFMTGFGH ATYFLKTGDYSIRRAAGVLVRLNLLSCVLPYMMRTDYMFYYFGSLVSFWFLVIYLTLR IAHVRNSDQPFLFGKMVLSAAAVTCFIKIPGILETVSFVLNKTCAVTWPVMEWRFRIS LDLYIVYVGMVLAALTVRRSQLRVEMALPGNLLDTLIKASITYRGTFNFVAAVLSTGL LPGFWSLTRRSPDKQDYNWWQPYISFIPILSFVTLRNCHHLLRNYHSVVFAWLGRCSL ETYILQYHIWLAGDTKGLLSLGLGPRWLDAFILTPIFLWISWHTSKTTQMLTGWVVGS TKFTRMSSEDIPAGEKDSPYLIPKTQNRGSSDMEDIALERQKTGARSCSWKTPGKVED EIKWKLGVILLLMWLANVTYR LY89DRAFT_687691 MAAALDVRALLDVYPEANHFTCVGTTKKGLRCGNSFIEHAYLDE ASEILDELPAVITSPRRSAELLLKLRRLAYLTLCPLWHQSKLPQVEPVANRWSAIIRS AGTLMRPPYAPLTPPATPMLITRQLTNYSRTNFPASTVLTPARSPLPVTRQGSGHSTA YPSPPPTPPRFEQAMAPRNTTSSSNHQTRTHTSRAGRNVNISFNINVDSNQNIVEHGR HTHGNTPPSPTASTVSSRSSRASNSRSSSSSSSDDHRSIDASQAQAHAHRPRASRSSN RSLNPSSPAPSTISNLSSPRSLVRSRSSGSGESSLPASDDLDDSDFQPNSSDSDSDSD FRPGGATAHVRTPASSSRSSAITSPRSASQARSGAPSPSSAASAASVSVRRRPITADT FCYVCYDPIVRDADAAWCHGSCGQNVCLVCYATWIMTQTTAGEQITCGFCRAPWVF LY89DRAFT_672868 MGDDAWPKRQREDAVLWDDKFVEMVVAEESREAVVEGSRLNQDA RFLRPLSGHSPGKGKDVEFLVHAIEKYLPAEDKEEDEKEERSRKSRDPEPPPHHDNPA SVTPSMSRRAIKPRCSLRMAPWMTSPWTKNEGGRALQPARLVP LY89DRAFT_785318 MDATARVALLAVVQADWDTRWQSTTFPGVWVMGTDGWPRRQREE EGDDEELLCRTSNGCHYLCVDGGPHLKIVVAEEFAEKIFGLWLAARDQGHSKRRFRAG FFRDHTGHRYDLDFIVRTIENHCPAVKKEKKEEEESGPGPCLHGVGHAPGPKRRRAAM PKKFNQPRPSSEEPLPEATRKEGWKVKGEWTQEENGEWARTLVRTVGGVEEEMLLIDE NKSARTPAGVGDTRNQYWLQKSEQRRGRR LY89DRAFT_721611 MSSATIESLAPLAAALPDPPTELPFTEANWRTLLAIMDTIIPSI RRETQATDKSTQLTISDIEYNTTVDDLKKTVTNPPDSESLDEYFNERPSEIPRFQELL KRTFVFNVRDDARKGLGFILAALNTRVGCLMLTGSATSFHEQPPHIRESILQRWQQSY LPPLNNVYSQMTRISRSLWYKTSPTFHKVSGFPPVPEHYKPGSHFEYDFMQFQPGTEP EIIETDVVIVGSGCGGAVAAKNLAEGGHKVVVVDKAYYYPPSQLPMSEEAGGIHLYDN GGADNSDDSSVTIVAGSSWGGGGTINWSASLQTQGYVRKEWAQDRGLTFFETAEFQNC LDRVCHRMGVSTEHIRHNHGNQVILEGSRKLGYHAKAVPQNTGGNEHYCGHCTVGCGA AQKQGPVVSWLPDAAKAGAKFMEGSEVHHVIFDESSGTKKAVGVKGTWTSRNSEGGVD GPDSGKTVREVIVRAKKVVISSGTLWSPIVLLNSGLTNPQIGQNLYLHPVNIVLAVFP EDVRPWEGGILTTVCTSFENLDGHGHGVKVETTAMLPSYVLTLANWDSGLDSKTQALK FRHMNGFISIARDRDTGRVYPDPVSGKPRYQYTPSAYDRAHVLEGVIAIAKICYVSGA TEIRPCIGGVAPFIRNTSEMPSSSSDLEADPGITDPSFKAWLEALKRTGNKPPIAPFA CAHQMGSNRMSVRPQDGVVDPKGKVWGTEDLYVADASVFPSASGVNPMVTNMAISDWI SRSIGRELRGVEARL LY89DRAFT_592469 MPVQQFTASPSQYTLYNSQSHPISPVNSATATPNNSSPTSPRSN PPPHLPAHTRQLRPPKSPLYVPAVLRPTDPPRRVLRHSPLTPPQSKDNSFDDLENARA LSRRSTGDSGKFGLGAITEAEWSAEGLGKVTALPTREHWKPDSESSVCDDATCTRYFG YFTRRHHCRRCGNIFCDTHSLYIIPLDQDANYHPKGTRVRSCEHCYTDFRRWTIARSS RSNSESSQLGDEPSTPTVNCAGRAKGAMGSVFGQKNNGTPESLAASVPRDWNWSTF LY89DRAFT_687695 MDKRVEGYLVGNRKWAASKECQAPITFKDMQKKGRDREDGTVIV VACTDPRVTPEEFLGMSTEKGNKATVVRVAGGRVDAAMCSLLVLSAVGNAGKKGAIVV VHHTDCGLATASDEEIRSIVINNVAEGDRGEARALVKGLEFGSIESPEKSLEEDVDKL RRSPFFTGMQIYGLLQDTETGLLEVKFDPSTDLDT LY89DRAFT_785322 MYHLAKGLYLYATSKEEYSVLLLGLDNAGKTTFHEQVKSLYLPS NPAPKLKTVPTVGQNVTTVTLPDMYIKMWDVGGQHSLRKLWQSYYQSCHAIVFVIDST DIGDGNLEHDNSGRLEECRLVLEDVLQHSETEGVPLLVLANKQDREDCVEVVRIKEGL VKKVFEGEKGSSIRDSRVLPVSALTGTGVKEAVEWVRSRVKWNKEARPPVMR LY89DRAFT_699399 MAPVEIHTSPSYVPTAVVFFHLFAVGYISAIAARSIYRSYVALP PSSATRHREPLRKGHVQTFSILALVSLVFAGYYASRFASLSYRVWATERGIELPTGFF GDRGALRGGEHPGRLQIVTWLNDTPYYRDALEIVTEKARHFWWGQQVTLGLISWSTYL AIEGQRRNISNIWSFLALSQLVSLSYAQNLFFVAILLTPVPLPENVREITRTSVPGTS SRYTQLLERVVPAKPEGFVPSPYSYAVLLALNFMAVLLTPFAANTPSFMSVALLARVI PFSFLALPYVIPESWGTIHSHPHDSHASYTKLFQYISAFSAILHLKSSFLALFYNIPD STYYRHSLLHPFKEEHRSTFDRSTTALGRVFGAIGEHPAVSAQGWDVLLSGLTLGIWA AIRGLEANDMLTSTLPFSELLLKPAQKLVEDTSIALKEDAKDAVDNVVSRTTRRRPGR PKKHEEIDSNVPRRGRSAKKALELTNGVDEDDAAYEPTESDPLEGDEETGEDWEMGAL TWGLLSVGGLGVGTAAVYGAEVVGR LY89DRAFT_737655 MAKPNPTGYRSSSVARWLELVPLSCPDWQAQHQASSTPDSWALG IMASGSVGDPWDWSVDRIVQELCNPEKRSWTPRSRNLTVGDPVLMERIIREQEVDGST LLTNVNHDFLEKHGVKALGRRVFVLDAVDKFRQLSAEYQKLTTEIPTRIRESAELVSA ALLPLQQALFGLEAGPTGSLIQPAPQRNHNLRTPTEIFDTYSDAELRQLLAAQPSSKL EQLKAAIEAAQLDGPLASQNQLSRGPPSTQMGPPERPNVIEDANQTSQDTTLVPEEPS SKRQKLDDTFAGTALHDEEIAHGLEFTATDREEDLLREARDETPKSGLNPEPASPSSS NKRKRSNSPAEQEPLANVDNDQQEAPRIAILSTQASKKRVAPSFLGPNSAQRTADYEK AKAEDLALRGASSDQTAVSTSVIQDASEVEIGIELAQASSQHSHIEPDSTPIQEDGDD SHDISQEVEASHDRAEEQVVGALARSEHSQMNQQHPTRQQVHLNNLSTIVTGDKENRP SSSGTNQRQELVSKKIARSFYLPKTKLHVDDIFYEGTAVGEDLTNPEASTEIMMLPCN VPPSHRTYVHRCMKSFLRYKPQEFENATEQFTVVRPYRDSLLDASVTVLRPEPSCTVF YTDDEGEVHAERGLVPAIKELEKRERAERASRRMSSRLYGWPGPASGKDLPFETTYRG LMKPKSVRKGQGDAKFFAGSFEALDPDEYYDKLAEKYEVAPEKDKLLAVYGESDSEDD YDEQTWKEICQEAGEEAMKTVRQLRKQTQKSILPEEINRVIDDALAVFKSRWESKKLP KLQRKAWYLWTKARKEGSKNEQILLEQRDLDHDSNRLEEWRKDFMSQSWSSKSELRRV CGALEHTVFGINKTRWMISTLQAKTAPAKPDKNSSLRERKQQSTSDEEGEAINSDSEG EDLDDSEEDLSDFVVSDEEDLPDADVEDEQDQEQQAGILAHPSPDRSSPEYSTSPVAD PDTPSKSLQASIEAPRASGRRRLADDIFSSPPNDMSTPIKIRMSTPSRSKDTSDLFED HIDLTMTSPSVIDLVTPEKSEVDAKLKKSQVYKKSRSTPTLKLRNPDSPFRNSRSDTP VLGNNTMPDLDNLPPFDDPAAINRYSHAVWTKLLDADRLLIKVFFNMAPAPRAKLFRY IATHGFSEKSQNKFLTRMKKVVNAMNTGMSSVASMEQDDFDIFTRFIELFHIFIKGHN GVYEPLSPFPSKEERLKMLVNVLDGAEKFFFSFWKLCSKMEEFFSSYQQTSAPTTPSQ PPRHEKIKELLYSSEIEEDDDLPRSSVKRRRDTTMSTSEALESEVSSRLKRKKKVHED VSARDRREADKARQSQQEERRKNLQERLVRSGRTEDLDLARLVINATDDQDSSIRVHS HIAGSIKPHQIEGVRFLWNQIVTVGEEESMQGCLLAHTMGLGKTMQTITLLVAIAEAA SSIKESISSQIPKSLQVSKTLILCPPSVLDNWLDEILTWAPQDILGEIRKVDTSIQMP ERLQVIADWYEDGGILLMGYRMHSLIMENKKKTIEAEDHEMVKRHLTEGPNIVVADEA HMLKNSEAGVTKAAQEFRTKSRIALTGSPLANKLEEYYAMINFVAPGYLGPSTEFKYK YVDPIELGLYEDSSNSERRRSLKMLKVLNEDLAAKINRADMSVLKHDLPPKKEFVITV PLTELQKKAYTLYVRSMLQGNAYSTTKSGDIAQTTIWHWLAILSLLCNHPACFKAKLL ERKEDARKTLISVNGNSDLGDGIEADAVDVNAPLWKVGVSANLIAEEMGLFEKEVPEI DSIDLSYKVTIMCQILDAAKAAGDKTLVFSESIPTLDYLTNLCKKQGRNYARLDGKTK MGRRQQMTKDFNQGATDVYLISTGAGGLGLNLQGANRVIIFDFKFNPTKEEQAVGRAY RIGQKKTTYVYRFVTGGTFETSIHNKTVFKMQLASRVIDKRTPVAYAKKSLGDYLYEP KDVPQLDLSEFQGMDPEVLDKILATQGQMSTIRGIVQTDTFERDDNDKLTAEEEKEVK LLQLEAKLRRSDPNKYQEMQRKQNDVKLRRSDPGRYQDVQRPQSETNLRRSNPNNYQR QRQSPAPQVAPYLGTVAGPSISYAYASPYQNQAHRQSNVSANQDHTFGPNSKTSAQGN GTSLVNKETRIIPPLTQVPKVATPIGNSTVTAPGQAPTQTEAQVDAQSLPPASNTTSV VSASGRNSTLKKLVRTDAPGGPSSPILGGSTQREKSQSSPSPVPGVSGRPVHKVTEKK PMVSMQHFLLAAIESIENYPLSHQEAYTKANEIQKFIHAKLAGLRDRMILKRAAYDAL KNDPQSCRQIVTSELSVEDFIRPLMSFLDQPAPSSSSSNGQIENEQVCVPDSSSLSPE LQHSSSTAEVLKSQIEVNKDSASTITGPQSPSNVQIPNQASSSSHETRIQYPSELDFD SWETSALRTYLKGHLGGVKGTREDLLALCKDWEQGELTERAQQNHHSKQVSVDAGARS PISEPLPAKDSLYRQMIKKFIGDP LY89DRAFT_191608 MAPSRASDDVYPPTLSTLDAEHLLCTIKDWSVAHGLTVRPPLSV VAAEADPHGILATTAPVTLFPSPFPKACFQQAKSIQKAYNSLYAAIAQDEIFLRDIVQ EIIEVDDFIAELWQVHLKVKEEGYSQNLSLGLFRSDYMVHEATSDSAPTIKQVEFNTI ASSFGGLSAQTSKLHHFLAKNNYPLLDKPLPTGDLELPENSSAKGLATGILVAFEAYK NLIEPLHDSCVVFLVQDPERNIFDQRHLEYEIQEQKPGVPVFRLPFAEILSRTSIAET PKRQLLYQLPSNPKKVFEVAVVYFRAGYGPGDYPDADAWKARLQIERSHAIKCPTILT QLAGAKKVQQVLATPTSDSTPSILNRFVKDAPKDIEELEKTFTNIFPLDDSPSGLEAR KMAVDPEACKGYVLKPQREGGGNNTYRSAIPPFLKSLPETHWKSYILMEIITPPPVHN IILRNGALEQGGVICELGVYGTCVWNQETKEVLHNEEAGYLLRTKGDKSEEGGVAAGF GSMDSVRLV LY89DRAFT_737657 MSTFTPINQPATAPAAVETTQPPIDASALWSHVSYEYVLRQDTP QWAIDFQPGMLARLGLKHGEYDVGPRTIEGHSSQPRLCSKTRPSMEYMAYCILARMPG GKATKAVLIQMGREWTGKDKEPSKPSKRSKPSASRAKFSPCNTGTTFTGIEMPGKVSK LIRIATTAEVAAAVAVEKAKAAAAERKAAEEEAARQAAQEQAAQGSSATATPEPALQK PGKRGHDSNMNKSNDKQNISAPSSSKRPRVMSKKTKPEQARPAPAPETPEPSSPLRVD TSPLWEEVLNKKPEEEESVPALPTLAALRVFPALVPEVHLEPSFSNPLGHTVSYDSWK AETDALSHEFVALSREIRDCLFFYINTEKAEQVAAQASETL LY89DRAFT_191652 MSTLLRQTTLRTLPSIRRTFSTTPLSSSFAKMTLVGRLVAQPEL QATSTGREILKYSVATSHGPRDNQKTSFFNVTSFMPEGAGRDFRQGLEKGTLVYVEGD ASISQYQDAEGKNRSSLSVVERTLEVLAYRKPAETEATPEAAAAH LY89DRAFT_737659 MMVHATRSRILTILLLYFCVNVAVAERWALHLHRRDGPTTSSTD VSTSQSNEPSQTGSSSGDNGGTTATQKATITGTSNSTSTSSTTSSSSTSTEAQITPVT SNINGATPTSLINLNYTGYNFTLTPDKLPITPEISPGFAVGGVILMVTGAVYTLVGIK NKWLHIYLSAAYLASVAVTVLIIYVMNPPVSNAIQGAYIVAVVMTGLILGGAAIVFTE MTEGLGCLLGGFCLSMWLLVLKPGGLLTSTSGKAIFIASFTIAGFSTSFSYRTRPYGL IVFVSFGGATALVMGIDCFSRAGLKEFWAYLWSLNSNLFPLGATSYPLTRGIRVELAS IIVIFLAGVVSQMKLWKIIKERRAQRAAERLKDERTMEQEEENVGRRVEHANAEERGQ WEAVYGDKDANKSIDPSNRDSGVGDMDSQKKGPTSTVTSVKGEENIEMVDMPTHTTGA GLVMTNKDGGPITVRVARDPEPTPELDEFGIPVATSPTSASPRNSTSQKPEEEKIWVV GADGEARLERKSSKRHSKRNSNVAPEVVPLPFKVPEGEFSDDRSSVATFADEDPAGQK RRSRHLSAGSMILRKLSQRSHASLRSPRSPRSNRNSRTFTIGEGPSAEDLVVTHGAIE DDRASSIAATMDGLSDDEEMRSIRSSVHQAPDTTEVAGPPQASEIVLAKDVEKLVMTP EPNPLTPEVEKSEKDEPRPVTETAVSDDVRPESDAKEVEDVPQSLTTSTDPKPELDIP KQRKSASNVSAVDSKPSSIKKEHLPAQMSRVVMSYRTNEWAKHLSMADAPDLEELKLA EYPVAEQSTAPVETAVPVRVEELQQTAENATPAPAPSRSASQISNHLPAPTRSNSALS KHTANPSVLERSDSAASAYPPLEVLNRSLSQQSLASLKSVSNPPIPRGFRSVSSPAIP QPIVESPSEAEYPSHSPNLPSLSKFSGPNVPYGAPSTLIGKRDSMIRQKYNPPATLSS TPENPSWKFPSVAAGSGPSSQYPSGPTSVRAESDAGSIYNYPNTNAVFYEEDDENMSL SARRELIRQSSLHQSAPNLPIAAQPLQTGTPPPFDSHQPRRQPSGPSPMAREQQLASW RASVQYDLAAGGAPRNTIERQRSQLWLERQEEEKRRVAEERRRLERDGAFDERMRRGD MLDAHREALRKMQASANRHA LY89DRAFT_191730 MACMPKGTATARERELYRYYNPALMPCPNSNDNEKTAKKASCDP CLTAFAQLGALRLNARRGLITLSTRDTEFILAESGQKLSLQQDDDEDDKLWHGVGLIQ NDVGMAPEITKLFMEKDPPSHVLVGDLSKHERFKDKKGVVCEPHLRAMACVPLKTPLH GIVIGTYIVVDDKIRGPLPEEDLHFLTDMAITVMDYLEAGRVKRQQYRAERMVKAIGL FIEGKTTLREWWLEAGHRYQHSSKKRDKDSKHLEKLADKEFGVQEGTDYFSRNSLHGL GHALESQPQSPASSAPTSTAPSVSGRGDGRPAIPRGDTLLSASDTTVTSPHQVHYDGR PSIPRGDTHSSDSTLVSKSWQERNSSVTTFDTIDHTPDPEKHHQHHSSVSFDLPPENP VGQEVSKELQNAILTSDVRGVFARASNLIREAIGVEGVIYFDASVGSFGGSSDKNVME EKAPGAFHPDQAITTSEDDLARRSSDTDAMDPPKMEGLTPQNPNGAEKYCNILGFSTR KRSSLRGHQANEEHQRMPEGILRKLLKRYPHGKVFNFDEEGSFSASESDQNPSSTLRD AQMDAGTGKPVSENVRRRNRTSREQEAAAILSVLPGARSVFWFPLWDQNRERWFSGSL VWSTCPTRTLCPVEDITYLAAFGNSTMAEISRLSAQVLSKMKTDFISSISHELRSPLH GVLASVEFLQETQMTEIQADMVSNIHASGKVLLDTINHVLDFSKVNRKSKNKRRLPKK RKQKLGRRESVDDGGEEKADICILSEEVIESIYAGQSVSKKALGLAGHRRHASLGNGE QHVIVIVDIHWRPNWTFEIDAGAWRRVLMNLFSNAMKYTKSGFVKISLEMEEDVASQK SKSRQNLILKVKDNGKGISQEFLKHQLYKPFTQEDSLATGAGLGLSIVKAIVQDLGGR IDFQSEPGTGTEATVRMPLTERLPKADSMKLIGDVKHLVKGLKFNLQCFDMFPDIAET PTGILSSEAEAAMLLKSTLQSTFTSWFEMEQVGSCLPGAQTADVCVIMEAGMGDRSLD DMLEQYHTNHPEIKEGEKLVAVVLTSSYHSGPKVDAHQRFKIFYLQQPYGPHKIAKVL HQAYSPEGHLYIDDNQSEVTSPLSPLLDTASPLLQMNGSPSSDLKDFASITSPPPIPT PIESQTPIPATPTVTPPAPNFPTSEPNPTHDSDTEPVKITTDPPAPAPSPTIQLTLGT APPKPEGLRVLLVEDNEINLKLLIATMRKLKLDHATATNGLEAFNAYRECGGNFDVVF MDISMPIMSGIESTQHIRRYEKEHNLPPVSLIALTGAANPNTRQEAFSSGVDLFLTKP VPMKALRSMLDDLKREGRGAFAG LY89DRAFT_785331 MRTESSSSALQFTTFSSSTTSTPSTIPPQPSSSFSRRRQGTAQT ASHAEPSPAPKTSSSTIRSPDRPPTSNPRIPSPSFQPPYPTPSLPAPSSTPEPQVRVT SPTRWSLRNALIGGGSEFASPFRNRSGTGGSSIAPRGRPRRPSAARLWNPSNSTPRAP AAAGSAKRRHRSPAEERVIAIPLQHPEVLSPREGAGAGGEYPLLTLPEQRASRHSGST RASLQVERSGSDGGGSNRVSLPRSVSIDLSRRKSGEVSPALSTVPPLDKGKGKETAES KPRVTGLRKRGQSIDHPKATAGITFDKGKRIEMDTDIERGPDVQGQYEEHGTSNLPRN ESNISLPGGIGPAISSTNTSIIGSDGPVNPAEEWGPQHPCFPHMNPHVPITSPLYQNT RIIRIRRDWMLEGDLAPTFSNLYPEILDPAGVSEQEFRSMIEKINNDLVPAFNPYGFR NVLDSILGLLTGWVWDDLGFTAVKGRLSRVEKYLEDWNREMEGRSKEGPENAPKIVPL RRTGYMNLDIQVPDPEISYPASEPPDERTVTGLSRTSE LY89DRAFT_721623 MEPGRSAPMPPKDPESALPSIYDDFLRPLDKFGQSIDLKFSFEK NLMVLVDDISTGNTKENLPHYDRGQITKRFCIFGLVFAWLVIIACTALGILCAVQVPG FIYPTALSYPTTVALSFIANLLVALSTDALGYIHGVSLRWALFRDGRLHFNTNLRLFT STPKHTPNRWPVNIVCIFSLVICYAAPSQLLLSLDTENVLDVTCLNGFALLALALGLL NMALLATWIMLSSSNEMITWSSNALNNALAILHHQLCVEPNRCMTAVNGPRIWPVGSK PLKRQPCAKVAHPAMRYVVILSWIWPFIVIVWFVVLALLSIYRFQESFTLATNLGSNN LDSNIDVVFQLDPDTPSMKDLWPVPLLVNFFIQCIVQGVQSLGFHSIELIIGMERDEM AWRAAGKSQTGARMNTNAFISILKNLPSIVLYISKPLMHWVLGLATRAVIADDNSIPR RYYYACGFRYPMVFVYLIFTIALAILTTFIAYRKPRGPQPAAYGHYQTLNNLIDDWTV DEKGSFWWGDKGVGPTGMRHAGTSSKKQSLGEIRMDALYL LY89DRAFT_721624 MSSQVEELIPLSLGKGSTMRTFIDHSKPDDSPDHNWVEVTYDGK DDSEVFDVPRHWHREHDEIMEVLEGRMIFNLDDKEMVISAGDPPLLITRGRIHGGRGI KGERVRLTERTRPSGTFKAQFFQDLLQNERFPGFLLATRVFYDGDMFLALPGGFKTLD YLFITIVGFIAKAFVPAKPATLKRMK LY89DRAFT_699403 MIWSGFALTALIALFAQNALTQTGSAKGSDFLRFACSQLVIERT DPLVNPGVIPSSHMHQVVGGSSFNATMDPAVIDPSTTSSCTSCKMSEDFSNYWTASIF FQSPENGTFIRVPQMANGRLNGSLMEQQGGLTVYYMHAFSGTNKNVTVFPRGFRMLAG DPTLRTKQSGPVTICHRCLAKNERTSGGSGAPCSSTDYASFPPKPCPGGIRATVIFPS CWDGKNLDSPDHRTHVTYQPGSALAGDKCPSTHPVRIPQVMYEIMYDTSGFADPKYYT NGKQPLVYSFGDPLGYGAHGDYLFGWKDGALQRAMDALGTNCFSETCPVLKLQSWENT VACTKAQQAKENTGTDTCMYALSVL LY89DRAFT_737665 MSCQNCVQGLFRTVIELFLCFAIVGSFVPQAIAIVRHGTFGISS WLILTTTLFSTTQVAAYLVNWEMKDAFVCVQSGNLKGFQAFSALLGYLQISVQLLCVI VTASLYIKYRTTIESSEFQRSTGILAHPISSGALKVIIATYSITTLLCAWHLIFNAQA ADDAVEDHGNIGPAIIWMLIKGWTGLIWRTCLLIPLTLLQYLPQILTTLALHARGNIN LVSLGLQIIVFVVLGICQGLRLGALIHTFPSPDRTWVQFYFKFGYMWMNYLGAALGQI ALFIVCIHVDRGRLRVGGQLRQGDEGHLGAVLSHLDIVGYNCWTSKRRLNDHVGLNRG GSDLVEQPDMLSSSDMAWSFAFKSIVLFVTVLSFASAEAVDYPVGINLGYYHWSSAYF NAQNELIYVATMNSSKEWQDYFWATWMDETKHAPAYDAETVAMFTRSIVSIQSSTAKA LQVNNPIEFRAVTGPWHFVSRKSMSTLMLAAYELGYFGKDQDYQVINAHNAARLAYNL DNCLGFREPFDCVVEDQDYYVLVVEYSRKYLAMNFLDLGVYLCISIDQRKFPGMGEEE NAQGHEGKVDRDLELSIRTFIDEMITYLTEGNIKNATSRIMGIVLTGEASRDGMKEMK QVIGKALPEYKERFLFDIEPEKVGATGAAHRARQYVTDHAILNPREPTFHQEL LY89DRAFT_687709 MADPLSITASVVGITTAALQSAQFLVKTIDNIKDAPGTIKDLSV DLRVVECVLQELNANVQYASSQIIRSSQIGPAVENCDRACKAFQSQVERWTKHSKEDK IFWISRWKIGLFGPDRIKTFKGQLNDCKSTLNIALTTASLLEIASQKELAKEQKDNIL EANEADLEQELIRAGKEMVEIEQSLQQFIVSGGTERDEELEQSKKELLQELERQQAAS TLLRNMCEEALSRTVYQRTGQKIKGIKATNDGIALAGFINVPGEELNISQDISDVSAN NKGFVIVGVANNVDIKDLRPR LY89DRAFT_687710 MPLARQDDPPWSPQLNNQSISGLSATVNSTLLAGHFLGDVHIGE SKSLDDVLGSLPIAKDAPFNAYIRQDEPACLHNTRVDLLQEIYDWADGKAGHNERCIF WLSGLAGTGKSTISRTLARRCNEQKRLGASFFFSRGGGDVSHAGKFFTSLAVQLADAV PSLQTHICDAIRKRSNIANLSLLDQWRELIIHPLKLVKPDKPSSPSSYLLIIDALDEC DNEGHIRTILQLLAEARSLTTMRLRVFLTSRPEVPIRHGIRAIPQAEYQDFVLHSIQP TIVNHDISLFLEYELGMIRQEWTLGANWPGEVILRQLVCKSSGLFIWAATACRFIRDG RRFAPKRLDTILNGSSSTVTAPEKHLNEIYLAVIKHSISLDYSDEEQEEAYDMLKNTL GSVVVLLSPLSAFSLSRLLHLPREEVDQIFEDLYAILDIPEDPTYPIRLHHPSLRDFL LNKDRCEDFWVNEKEAHQILAAGCIQLMSQTLKKDICEMHAPGSQAGQVERNCIQKYL PPEVQYACLYWVHHLQRSGSQASNGQEAHRFLQAHLLHWLEALGWMEKTSEGIQAILS LEAYVSVLKSPNLYAFIYDAKRFALHNRSVIEQAPLQLYCSALVFAPEKSIVRKTFEK CIPCWIQQRPKVQEHWDTLLQTLEGHSGSITSVAFSPDGKQQVVSGSYDRTIRLWDAA TGALMQTLPALEGRSSWVTSVAFSPDGKQLPILQVSDHWVVEDNTNILWLPPNYRPIC SATWNGSLAIGHSSGRISFFCFTKGTKLVI LY89DRAFT_191880 MANTKLSQSPFLSIIATAFGTISLGFGINSILNPMSELSFFELQ GLAATADPILIECLMIAFGAKLIGAALAVFATAFFGSRKALGCVLIAGGGVAGVDGWI CKSYMGTGEWNHWGYGSMMVILGTVLMGILDGK LY89DRAFT_737669 MLPGPVTGLLSGDEDFATSTLTLRKQSLLPHPPEITSTALRPPR TLLENRDGVNTCSEWSVYGFSSDYVTCDIPYTCLYTSVSSNNYWGCQLFNATLLALTT CHDYSSTSTSTPAITTTTDLKSLSSSSSTTPREKFCDATAPYCINWLISAPSSQFTQF QCTSSLPSSSSQRTLLIVPWPTSATSQIDSVITSTLSPTTMTVMPSPSATTVVEEGGP SSTTLIAAIVETLGGLGAFFLGMFVARRMLRRRGGNSR LY89DRAFT_687712 MKSKGAGYYHWPVVGKVIEAFLPFGIGRPSSSSSSSSSSSSSPN LSASHDTVLEASPQVQFSSPSKLIPQKENRSC LY89DRAFT_709350 MSRQHKSDKDMEAQHLIRPLEKTTQTTISEKGGPPILSPRLDSE YSVPATTKFAYLSVYFLCNVGLTIYNKAVLGKFSYPWLLTALHTGSASIGCYVLLLRG DFSLSKLSSREHVTLILFSFLFTINIAISNVSLAMVSMPFHQIMRSTTPIFTILIYRV RFGRSYSTKVYLSLIPIVLGVGLATYGDYYFTMIGFILTLLGVVLAAVKTVVTNRIMT GPLALSPLEILFRMSPLAFIQALTYSHLSGELASFSDCFTSSLPLIPISTSSSTANPT LPLPSQNLLLALLGNGLLAFILNISSFSTNKNTGALTMTVCGNVKQCLTIALGIVIFG VQVSVLNGVGMAVTLGGAAWYSFVELRGRGKR LY89DRAFT_687714 MLHRRLVRPVIVTGLLACICYLWLVYHSDIVVLIPKTVALNPLQ DPVEPAKSSSSRSRIAKVTVAANSLNTSVIHQALRTHQVQNELHGYTHFITTGELVGD LSEHDVQGRPRGAWSKPAYLLSLIVAELTKPEAERLEWLFWFDADTVVMNPHTPLEVF LPPSDIPSVANIHLLMASNWDGLNSGAFGLRVHPWSVSILSAVLAYPLYKEDKLKKDR FRDQSAFQWLLQSPDSMLVRGGNLGGTENWADVPMRWFNSLPINNAFSKRWDWIFNHN MTGALFDNGTREVYPDGNGKTVQPWKVMQGDMLVHFAGTTNVRQSWMGPWLERAVKYL PEWSNATRKEELKQEARFFWNITASRIDVAKAHEKERAEKAAKNPGLFGEKKPTTKQR PPGKWEAKPDIVDPTLNAKSGDEAVKSNVAIEVVTTTQSGPVGIPTTTQSAPS LY89DRAFT_191920 MVEDQINESVKRLLFHLMSKIGIKRRTFWMLVVGMCGVYFSGTD RSTELTLRWTTQTLIVTHVFGHDQEWALISRHAPCGEPGNACSSVLSIDGLSEVLSLG SSLALIRDFTCIHVEDRRIQRIYGAISAQPCPVLPSCRCRNLQRLISGHLQKFKRRLE SNWESNIKQIDAAAQITTTTASNYAFGNMAEKSNRLTWRRPIRLGVPRCWDFASGHDL KIDTGGIFGVRLKGLRLGSWDIGCSDGVRNCWDEGVTSCLFRVGN LY89DRAFT_672890 MSASFSRFSHLPTELRLKIWDLALLPPPQLKLLTISRLCYTVDL ASYDGWTYVFTPRCAIPTILHVCHESRELGLEYYNAVMSGEEVTDRNALVTATDFGHR RSSYHYFPALQSRERIPVYWASKKDLILLYYPWETLKAEKLQGFLITQSRTWRLEGIK YVAMEWATWRSWSNASMADELILQKVDVLFILMDMVQIPWCEGGAFEQWFESLGSDGP LALEGSTVSKWGIKTIEVVKDIDAAMAMINTRRV LY89DRAFT_687716 MTANLSNPGAQVVKPIRLAHIVLKTQPSNFKKMVDFYATFLSAT VSYENEYIAFLSYDSEHHRVAILAMPDITPRDPKSAGLLHFAFTFANLNDLALGYLQR KANGITPYWCVNHGPTMSMYYHDPDGNDIETQVDSFETAEKATAFMVSKEFAENPIGV DFVPEDLIKRLEAGESEEKILVRENIGPRGVPTFDE LY89DRAFT_755726 MDFFTTCGAKVERSSRKGKIGYLLEETTLSEFSTLLNNQATALN LLLTALSCRTTLEQHALLNKEQNRQVLRQVQDDRTSVLSLSHSASLRTLRTAGADNWS LDFDFHHEIITSNAYVSARRSNMRKVGIPSDGNVPVTYETLLDIAPELGKQSSDLTAN KRSTLKQRLTRRLRISKVSPNAGQVDPRPLQPIPPKIFVFGSFSSGKSTIVRSLKWIG DGNWEEWERDIWKRIISSNTVWSMRDILKDMEERETPLDSDTSKRHVDIIWGYDRYMR GVLSEMVLPEIVSEAIQCLWQDSGVRTALDRLDGRLRCENAAYFFEDARRFADTKYTP TKVDILRSHVLTTGLSEWRILLKDIPLTVIKDSGHRQEREEWIHVFDGVDIVIFAVDI ANYDLVLVENDTTNRMADDLSLFNSMVNSRWFVDTKFVLVFTKMDKLEKKLRKSPIEN YVTGFEGNGRNIEVVKAYMENTFLALMKSKPREDVIVLYTSFVDGYEVSGKMVDDALT KQLASKLHIAGGLAT LY89DRAFT_191990 MNINKKLDRVKQWAGEKMGAEAKTNTSEEFKALEMEMTLRHEGM EKLHKSMTTYVKSLSRREEVEDREKILPVGYLGQTMIHHGEDFEPDSEFGNCLISMGR TNERIARSQESYVANATTGWLESLERSLAMMKEYQAARKKLENRRLAYDASLSKMQKA KKEDFRVEEELRSQKAKYEESSEDVLRRMQDIKEAEAESVADLGAFLDAELEHYDRCR DELLRLKRDWPAGQTSSPQRDNRRPAPRSRSNTAHTYAERYSAYDEPPPMPEPEPVRP SIRSTGRVASSSRLDRHEEYPEIDSPQRPAYSRSSTFQGPTTIHRESTPVNGMRKASI PQDPGALRAQLRPSNRINTNGTDVFGDPSDDSTLNSASPDRSYGERSVSPATSQGSVA SRSASYSTLTNSNANARKGPPPPPPSRAKKPAPPPPPMKRAEISSSSINRY LY89DRAFT_192064 MTSVYSRIVGDGRGCRAYEVAAFLVDYCCRWDRKVTSHQLSFVF IQRAGEFWYRGPSHEEVAEATDERREGILSKRQRIRNSQIQCYWDLRPQRERCDPGCG SDWSRWSRESGCRMVDGVRYEMGEGKSGLWARDNEGLVCRLSLSCNADGEQKSREAGA TARRARQLELFRFGSMNLQMLWMVVLAMYSMRLSQGQGTPLKPACLLLARKNILVDAS SPGPSVSA LY89DRAFT_721633 MDPITFSLAVAGIPAIFKSCVDCFQYIHLSKSFGQHFGFCLAEL EAVDVEFTRWGEAMGLLDEPFDPNTLFQEGSWKEEDIKKAKKWLALILDTFEDAKRMS DRFKASNEDDEPELVEVPDQKTELEKAQQPVKKLVFSLRKLTKKRQRVESLGRKIQWA LYKKDDFDGLIKEVSGLVEKLVKLFPAFHQKQVQLCEQEVKDIEPESIPTLIKVLDGR DELLNRALAKKTLVNGHKLRGAVITKEGKIVIGDDFENVFDTLAPGADVSDVKVDDKG SLSIGHKYKISTEVAMAKLKLGQ LY89DRAFT_192295 MATTTLVRRESDLLLRDARRLVEEAREASTKLQGQNLRQGHSVH NFQASGQARVDIGDHFEYNISIRPAPEGENGPTRKEVLDWIECIDFTGYYRDHLERLY DKMGVGLLTSESFLDWCKGRTNWLWCYGMPGAGKTAFTTIIIRHILETQKTQPKIGLA YLYCIYSDDQSALKFLGALLRQLAGQSEQISPELLSLYKDHNSGSSPTRPLLEGYEKA LGLELSRFESVYIIVDALDECRNDDENESHQATRIRILQTLASFGNKIQLLFTSRDER PVTAPPLTSLAIMKVSATDGDIKLYVEGRIAKKASLQACTTKEPLLATRIKRIVVERA AGMFLPAKLHMDILGDAADASLTPYGVAVALQTLPSFRNKSRAQASEAYQEAYQTILK NIWDQSHADLARKVLSWVVFAEKVSTLTISIVQRAISIDALRTASENIERNAALDDED QLSELEDEDDISFTSPQSNEIAEEVLLSVCRGLVTVDNSSGRIRLVHYTAESYFASEV VQRRFFPKAQEDLAEACIACLSSDDAYEHPLYKYSSRHWGHHARHAESQLQQKIEYLI QDTRVSRDCSRSILHALPPSWLPSKRSSLKHPLKPLHLAAYFDLKLTAMQLLANGVQI DCQDSREWNAMKWAVVGESEELVTLLYERQPSLLCNEIVFWAINSRPRETIFSSLTLS GRSKVSLGNILTVKSSQSFATTLPKTVIPNSSPTVVQFLLNNVHDIDASREVDGRTLL SLVAENWQWDYVRTLLDRGANVNLKDKKQMTPLLWALQSPRQRTTIASLTVCDRALLS IGDITNVNPSLKINISDNSISEKHIESNIRRLIGQDLEAMDWTKRTALSLAAEGRFHT IVEALLTQGANSNTSDANGMTPLHYACCLPCFQTVTIQSLTCLDRANVRLGATKIPEL SVVKMHQIINKPIERSVRLLLTHEASRTARNAFGETPLSLAVSEQLESYAELLRNHGT QDEQQDSTKRGNQQMKDYARLLSAMLDRRGQFDIHSVTTADRSNLLVYATSTISSLTT TNRSRVVLIDRPRLSSVTAMDRSTLIIHASAFITSLFTDNRSRVFLKGQSNVSSANGM GRSFLRIGANTQITEIQASENCKVLTEDQSQSTTVLGMDTSKLWFQGQTGISSIEMSG NCSIHTKGTSEVTNILVCQNSRLFLEAKSQVAKIQTQGRGRVWTTGSCQLALVDGTDS SALILDGETKIAQIEMSVRCSMKIMGRSEVSKMIGHDSSALVLDGNTQIAKVEMFGRC SVMITGRSKVSRVTGCDNGNLFVVGDAKIGEITVPAEFKVRLYGLNPQVPVNVGTINI QVDETYEGSDADLQAAGEKMRQRLSEAEEIVEEASQHEEVGDVEEQPFSLEKELQEDP EYAKLQERMRKLEEVVKEDEEDEDADDEEVEVRSVFHVEELPEFAEFEKEMMQMSDEN GHQSQWWDLH LY89DRAFT_192370 MDAASSTAADMATATVPSATASATSVASNFGWLSITEVFWITVL YVWYMFLFIVCFAIVCGGIWLVCMAVFMLFQFLAKHGPGLYSNSRERLEQYWEASRER YVKRQSGGRGRSEPDDVQMDGFEIGEENKVRSKFGKEDV LY89DRAFT_542272 MKFSVAVLCLASVAYSQTIASELAKLPTCSITCLHNAISGAGCS LTDYTCQCGTAKSAITTAATPCIISACSTSDALLVQSISAEICTLQAASSPSGASSTL PAQSASSGASTIGPSAASSAGASRTTSTLQSTSSN LY89DRAFT_687722 MARTTGLFQALVALPTMFFMGEVSMVALFQSRIPIYDFIASTFN ALFAAGIIFIVFVQRNVTMSKTITVYFEEAKSGLATAVWLWLLLDTICGPEHHYWYYY SGPRGARIIRAAISIILLFIIYYPTLIYAVYEKRNESITPPQDPEAPVDQGQGERQPL LS LY89DRAFT_672899 MPLDNNSLTLSPLPDVLSHGLVAVSSFGLLSFFCSTSLFAYLTW RLVTWRLKSGANNPPNQFLLLIYNLLLADIQQACAFLLNISALRNNAIIVGTRTCWAQ GWFVSTGDLASSVFICVIAVHTFFGVVKNYRLPTGAFYCCIAAAWTFIYVMALIGPLL HGKEFYVRADAWCWINDRFSIERLWLHYFWIFVAMFSTILIYTFIFIFLRTSTRHSNP SPRAPLLHGATPLMILYPLIYTICTAPLAAGRIVSLAGHDVPMSYFVMAGTMIACNGW LDVLLYASTRADIVFSEFPPGEETGLETFAFMGRGHRLGTVTTIEAGNAAERTGSRMR RRGEDSVENLYGLDQIGIKGEVTVSVAAAGANEVRSTVGTRGSGGGGGENRTWDARST RSGKSFET LY89DRAFT_687725 MKSASKQAREAKKQEKLAAAAASGDPKAVKKTVASRSKAGSKAG SAAASTDVSDNEESATSAKKMDEVKRLADQMDKHGLSDRVTTGVLSSLKQSRDVKITS VSLVFHGRVLLNDTTMELTYGRRYGLLGENGCGKSTLLKAIDKREYPVPDHVDIYLLN EGAPPSELGALEWVVKEAENEMERLDKQAEQILEDDGPESPLLMDLYERMETMDPSTF STRASLILTGLGFNKITIHKKTKDMSGGWRMRVALAKALFVKPSLLLLDDPTAHLDLE ACVWLEEYLKKWDRTLVLVSHSMDFLNGVCTNMIDMRQKQLLYYGGNYDSYAKTRAEQ ETNQMKAYQKQQDEIVHIKKFIASAGTYANLVRQAKSRQKILDKMEADGFIQPVEQDR VFTFRFADVEKLPPPVLSFDDVTFSYSGNSKDDLYRHLDLGVDMDSRTALVGPNGVGK STLLRLMTGKLSPTGGVVSRHTHLKMGVYSQHSAEQLDLTKSALDFVRDKYADKSQDY QYWRQQLGKYGLSGDSQTALMGTLSEGQKSRIVFALLAIDGPNMLLLDEPTNGLDIPT IDSLADAINAFTGGVVVVSHDFRLLDKIAKDIMVCENQTIRKWGGSIGEYKNHLRKKM MAAGAV LY89DRAFT_592256 MRHNGMTMDAPIKQEPVVAATPPSRPADPMSFSSILSNADPISK PTPAPTPTIAKPRKPSRQSIPAPVHETMSRDSSRQSSLAPTQTPTVRVPAKRKANGEP KKPSPKQKQISKEQEKEVAAALARIEADTSDVEMPEFDEDKKTFKVRSLKRKLEVENV ETSKTKQRRKTFSDKMAQKLGRHADLGELRFREINVDAAITAVQEKEVQAEKERKKDM QRKRRREKTVATNVEQSQAALAKAQAAEDEHERQKYLKEAQRAEKKAQQTKMILSRGD KGPEIRAVSPMEPNFSGGMMSTFTAVEEKVKPRRGGARPRKSKEQKQAEKDSAEAAQA AIDAGEEPPSLPPPPKEEPKIRLKLNAKEHKEPREESPATFEPFVSKGYNQIYDQIWR DLARKDVSKTHKVAGESYGTRASNLKKTAILASKEAKRWQLKTNKGTKDLQARAKRAM REMMGFWKRNEREERDLRRAAEKQELENAKKAEADREANRQKRKLNFLISQTELYSHF IGKKIKTDEVERSTDHPDEAVSKSDGNTNKINVPDNHGAPGAKVTNFEDLDFDAEDES ALQAAAIANAQNAIQEAQNKARAFNNQDDTPAMDDEGEMNFQNPSGMGDVDIEQPKML QAQLKEYQLKGLNWLVNLYEQGINGILADEMGLGKTVQSISVMGYLAEKHNIWGPFLV VAPASTLHNWQQEITKFVPMLKVLPYWGTAADRKVLRKFWDRKHITYTRDAPFHVLIT SYQLVVSDVNYFQKMKWQYMILDEAQAIKSSQSSRWKSLLGFHCRNRLLLTGTPIQNN MQELWALLHFIMPSLFDSHDEFSEWFSKDIESHAQSNTKLNEDQLKRLHMILKPFMLR RVKKHVQKELGDKIELDIFCDLTYRQRAYYGNLRNQISIMDLIEKATIGDDGDAGTLM NLVMQFRKVCNHPDLFQRAETTSPFSFGHFAETASFMREGPLINVGYSTRNLIEYQLP RLVWREDGRLSMPGRTNDKAGFKSKYLDQLLNVFKPEYIRENMAENKAFAWLRFADTS IGDVSQAAHKDLFSRAVNLAQKPRRLGVLDVVYDEEEEKNFTPAQAMLRIVDRKDRKP LAEVTDEGYLNKLFNVSKDVFAKSGLSRLEQCGRPSASAPPIEVVCSSRGAVIEKENI LFNVPMRRALYGPSPLEERALIASKVPTAMYPPPKLLPAPTSEKQRFTNIRVPSMRRF VTDSGKLAKLDELLFKLKDEGHRVLLYFQMTKMIDLMEEYLTYRNYKYLRLDGSTKLE DRRDTVHDFQTRPEIFIFLLSTRAGGLGINLTSADTVIFYDSDWNPTIDSQAMDRAHR LGQTKQVTVYRMITRGTIEERIRKRALQKEEVQKVVMTGGSGGGVDFNTRSKENRTKD IAMWLVDDEEAAEIERKEAEIAAEEAKMPEKGKKGKGKKRKAEAGGSLEDLYHEGEGH FDDGSNRPSGAATPIPGADGAALGSKGKKPRKNASAKKAKTAKQRLAMADGDVDMG LY89DRAFT_192465 MNAFRLTQKRSRLHSVTVTPFTRSKSRTRLKNCNAKRHLFSLSP PAPPHNSFSGHQLRKRQRPINLY LY89DRAFT_622743 MSSSKQNILIIGSGWAGSTLATSLDENKFSITVVSPESTTPYTP LLASAACGLYDYSLVETPIRHTGKRMKYIKARVLDIDFKGKNVKCQSVFEDAPTNEFV IDYDIVVVAPGCVNQTFNTPGVAENALFLRNVKDAMKVSSQVQNCFEKASIPGLTEQQ QRSLLHFVVVGAGPTGVEVSSELSDLFADTYSKLYPHLNGKVSITIHDIAENVLSGFD SKLQEYAMSSFDKRNVEVLTGSHIEKVEKACLFTKEKGRIECGVVIWATGNKCSPLVE TLPVKKTPRNPRILTDDFLRIYTPSSTLIDSAYALGDAADVEDARLPTTAEVACQKAS YLAKSLNRGFEKPFRYEQAALVAYLGQNDGVISGKKDYSGAQAWIAWRSKNFLWTRTW RQKVLIVVGWLLDLVTGRAIAPR LY89DRAFT_592320 MPVLKHSKHLFSQYGFIAGMSDSLDRESTDAEDPVAGTQTDPRL FFNISAPSSAFICGSQGSGKSHTLSCLLENCLMKSHISKLDNPLAGLVFHYDSFTSDL KGAPCEAAYLSSNPNIKVRVFCSPTNLQTIKRTYLGMNVQIEPLRINQSDLNTKRMLD LMAVSSDDGPMPLYLHAINRILREMRMVQQQTNGSFNYAEFKRLVADCNMTPGQLAPL TQRLDTLESFMPRSQTQVDNKEQARKKKNESGNDWTITPGSLTIVDLSCPCVTSEGAC ALFNMCLSLFLEQKTTVGRVVALDEAHKYMNSASEATALTNTLLSSIRLQRHLGTRVF ISTQEPTISPKLLDLCSLTIVHRFSSPDWLRCLKSHLAALDVEEDSVHSQKSKIINIF NKIVKLRVGEALLFAPSATIGIGVSDSPGSKLPEAKMLRLGLGFLKIKIRARITADGG KSVLAI LY89DRAFT_785354 MNANAIFKAYVGPCARNSPDFWLKDVQTATKKTPNAHIVLKNKE LAITALETERKFQSQQANTLANLKAKKAHHAILQQKEMQKFTKKFQRLQKAMWLAEAG GIPKGFEEYPLYGGGDDEVTEAIKDKLHKDLLAINLAVEKTKAVAAEIEKSIKFASKK ITIR LY89DRAFT_622749 MVGKKSGKALLREEGLQRTDNGMKQSSWPEIQMINQKNYYTDYM KRDDQHLALRLQNEANRDRMIRNAKDKDRALARTGDTDVPIPMGVDGEEDDENAINDG LDPSKIIVIHAGSQNLRIGLAGDALPKTIPMTIAAKFPTNESETCEPRPKRQKLDAHP EQQFGEDFTKKFTKMSNDLKIDMRANKRKVLPNSKELVINYNRRIDPEKIPEHNDPMR IEWTDVAKDDPKDHGTFFTGTEALRIPDESEPKYKVFWPIQHGWVNESDYMSKSHLWD DLESILESAIRKDLGLNHRSEWPQYSCVFVIPDLYDKKYVETVLDFCMKEFEFKQVAF IQESLAATYGAGYSTACIVDIGAQKTSICCVEDGMCIEDSRINLKYGGYDVTETFMKM MLFDHFPYQEINMKRRYDFLLSEELKTNFCTMSQADISVQLYDFHLRAPNQPTRKYQF KTYDEVILAPMGFFDPSIFDNSEKLKGRHEFIDRSYNAYDPDMPDDPTSAAQLAILTS IKPSLATPAIGFSFNGNGNLDAATPSKEKPNPLGFFRNDDTNGNSRVTSAAASPAPEG ANTPVPSGPFVVGANGTNGGSPGPSGSIFQFGKGSHNSGTPVPPPGMFVDPQARTQKD FAAERDLVLPISPLDVAINTSITHAVKGDEKKTKDFFGGIMLIGGGAKTPGLGPFLEE KLKKLRPDLAAKQENLQVGTSPREMDGQVVVWKGASVFARMKTHESWVGPVEYERLGS RVLPTKVLWNY LY89DRAFT_192758 MSDRRPSAIYQLLSLGLACLCADTACIITIAHGELQLYKHHLSS HSVITSFALVYRIRDISQQCRSSTAAAGGRGWLTIGSDEDRSSRCHADTFVNSSVHQL YVCFSFRMLCKDRQMIRFKNHSVKTCKMRKYHQGRVERHSHPICITATEKRGLVTCIE SDPGAGQNM LY89DRAFT_591931 MAGDPEKSEQSSHRASHGDSTDENDSTIKPHADDDEKQIRTPSS GPTTNDNLAKLDSSIKVAVKDDIYAHLTPQEKEIILRQIDIPEVKSGFKTLYRYSTTN DIIIIVISSLCSIAAGAALPLMTVVFGGLAGDFNGYFAGTQTHAEFSHTISHMVLYLV YIGIAEFVTVYISTVGFIYTGEHISGKIRAHYLRSCMKQNIGFFDKLGSGEITTRITA DTNLVQDGISEKVALSMSALATFITAFVIGFIKSWKLTLILSSTVFAIVVTMGSGSRF IVKYSKQSLESYALGGTIAEEVISSIRNATAFGTQDKLARQYDVHLGDAEKYGYRNKF VLAIMVGCMFLILYLNYGLGFWMGSRLLVDGELTLSQILTILMSIMIGAFALGNVAPN VQAFTTGISAAVKIYNTIDRISPLDPTSEDGEKLDHVDGTIELRNIKHVYPSRPEVVV MNGVSLVIPAGKMTALVGASGSGKSTIVGLVERFYDPVGGQVFLDGHDVSTLNLRWLR QQISLVSQEPTLFGTTIFKNIEHGLIGTKYENQSEEKKRDLVIEAAKMANAHDFITAL PEGYETNVGERGFLLSGGQKQRIAIARAMVSDPKILLLDEATSALDTKSEGVVQAALE VAAAGRTTISIAHRLSTIKDADNIVVMTEGRIVEQGTHDELLSKQGAYFHLVEAQKIA ETNELTAEEQAAVDAADDQLVREKTNRSHKSGQEYEEDPDDKNISRKLKRTQSEKSQS SVALQGRNTAGEEQASLWTLIKLIASFNRNEIGLMFVGLFWSIICGGGNPTQAIFFAK EIISLSIVVKNPVTGAEIPGARHTLRHDVDFWSLMYLMLAFIQLIAFCGQGIAFAYCS EKLIHRVRDRAFRTMLRQDIEFFDKEENTAGALTSFLSTETTHVAGLSGVTLGTLITV TTTLIAAFVVSLSIGWKLALVCISTVPVLLGCGFFRFWMLAQYQRRAKKAYEGSASFA CEATSAIRTVASLTREADVLRIYTNSLNAQAQKSLRSVLKSSLLYAASQSLMFACTAL GFWYGGNLIADREYTLFQFFVCFSSVIFGAQSAGTIFSFAPDMSKAKQAAQELKILFD RKPTIDSWSEDGAKLESVEGHIEFRDVHFRYPTRPEQPVLRGLNLHVSPGQYIALVGA SGCGKSTTIALLERFYDPLVGGIYVDGKEISSLNINDYRSHIALVSQEPTLYQGTIRE NVLLGADRQDVPDSEIEFACREANIYDFIMSLPDGFSTIVGSKGSMLSGGQKQRVAIA RALLRDPKILLLDEATSALDSESEHVVQAALDKAAKGRTTIAVAHRLSTIQKADIIYV FDQGVIVESGTHNELMQKGGRYSELVNLQGLGKHK LY89DRAFT_785357 MDFSLKLYTVKPADRNSGTEFTTCFTLGGFLHPYRTLNEDEKKS LEQTKEKTRQAAGVSIHPPEWATTLKDHRLWRLMDKDEVTPLKELIRLVDTSAHTELT EPKTESFHGKDSFTDAAYMEAVENTLHDALNSARISGDENAMVKGINAHYKSRNFDKK ASNKFVKKTWKIAEGLIERLEKWETLGEDQKTGIGLWCLHMGLFRI LY89DRAFT_192736 MDPVIGEPFQEHEKRYMLTEALRASTIPLERLYIMLQEANGPPA WDDMVLPRGRTLKQCKAAFESLRPALPPPLPPHPVHAYPAPTQPFQIPPKRKSESAIL GPPYMQAEPPAKRRQSGMEPMVGARDIRPKPPNGGSPISMSSTPPTAPQKRRGRPPKA EVDRRNRDAMQRGEVFPAQFLPPTTEEFATSPYTPIAPTPPVLAPGPPTPQGYIEQTP PDTQAEDSPGRKKRPKAPPKPKAAPPRQPGEGSFSVNPQLPIVREPHVHPPVPNIAAI TELEQTGPSPQSAPSVMPSPVSATIEAATSAESQPSAQAAS LY89DRAFT_192734 MWETMSTVPDLLEWEGRNKRRNPPATRPANLDFAGNPNHITATS QGKEKLLKHLRAAQFIVLLRARPLHIFSILRWGRVCANVEMRCHSFIGRELAIQSTYL CIMPITDVADRLPALTPLLSLPDRPQVRNAGCRGAVVCCHLLSRGGVVGRVGLVNTSE GTPATLRQVNKRWIAEC LY89DRAFT_192605 MADDTRDIKPEAVDAALGDMKLEEGGSQYGELDTANGNYEDFPT PGGAKMSRSTTPAANKSVSQSPIKKQSASQTPRSEDDEGLEVVGGDIIVTSEPGKARK LQRKGTQKIRARTPLLFDALPDATEEAVSVFQVIKECIYGSKYMGYSEHDALDCDCSE EWRGGKNHACGEDSDCINRATKMECVDGDCNCGVGCQNQRFQRKQFADVSVFKTEKKG YGLRANVDLHPDEFIFEYIGEVVNEPTFRRRTDQYNKEGIRHFYFMSLTKNEFVDATK KGNLGRFCNHSCNPNCYVDKWVVGEKLRMGIFAQRHVKAGEELVFNYNVDRYGADPQP CYCGEHNCTGFLGGKTQTERATKLSHATIEALGIDDGDGWDTAVAKKPRKKKTGEDDE EYVNNVQPKGLDEDGVRKVMATLMQCKEKWIAVKLLSRLQRCNDDRVRNRVVQMHGYQ ILRTTLTTWKDDNNVILQVLDILYKLPRVTRNKIIDSKIEATIETLKSSEHEDVAFEA KRLLDDWSKLEVAYRIPRKKFDPNAVSVFERRNTDRAEEITRSPTPAAIVAPTGPRNA LPQRTFVPSRAPVRRNFNALPPGWFTATDQNGHLYYYSKTNQTTWHRPTLPAVDPPPP KVPPKSVQTAKVLQNIIDSITKPDPSSQTPQSAPTADSTPKEKKTPSEKWRSLSKEKQ MKLYENTLFPHIKYVMQKFTKQLPRDDLKKFAKEVGKKLVASDFKNNRVEDPTKISDK QEKKVKQYVRTFFEKAVEKKKAMDKKKKEKEALKLANGGPSNGVSKSFEESKTEVKEE ADDTMELTPNTPVDMTASPHPSISATPSFSDLKRKREDTESELTPGGMDGAESNKRLK EEDATPPPPPPPPPAESPGMEIEVEETEEEKELRRQEEDLMRENEEALMMDMDGSLPS TEVKTTVNGLSTAADPVSVNGNGNGVTHETNLPRRR LY89DRAFT_687740 MASLRAAARLRPIASRVTPFQAQRNYAAVAAVKTSEPSGEQPFF PDEPAGPTVKTAIPGPQSKKAIEELHKVFDTRSLNMLADYQKSYGNYIADPDGNVLLD VYAQIASIPVGYSNPALHKAALSEQMASALINRPALGNFPSHDWADILKTGILKVAPK GLDQVFTAMAGSDANETAYKAAFMWRRQHERGGAHVEFSEEDITSSMLNQSPGAPQLS ILSFKTAFHGRLFGSLSTTRSKPIHKLDIPAFDWPQATFPLLKYPLEEHIQENQKAEQ EALADVERLITTHHLPPCAVVIEPIQSEGGDNHASPAFFRGLRTLTKKHNVLLIVDEV QTGVGATGKFWAHEHWGLESPPDMVTFSKKAQTAGYYFGNPELRPNKPYRQFNTWMGD PARALLFRAIIEEIERLDLVNHTAQVGNYLFNEIEKLASKYPGEFMNLRGKGQGTFIA FDSPRRDEFLKKAKSVGVNIGGSGERAVRLRPMLIFQKHHADILLDALEKIVKS LY89DRAFT_652079 MRFLKLLTVALSALLWTSANATDDGLTTAVTWDPYSLSVNGSRV FIFSGEFHYQRMPVPEMWLDIFQKFKANGFNAISIYFFWSYHSPSKGVYDFETSGKNV QRVFDYAKEAGLWVIARAGPYCNAETNGGGFALWGSDGSLGNLRTSDATYYESWLPWI TQIGNIIAANEVTKGGPVILNQIENELQETTHSATNTLVVYMEQVEAAFRAAGVTVPF SSNEKGERSMSWSTDYENVGGAVNVYGLDSYPGGFSCTNVNTGFSVVRNYYQWFANYS YTQPNYFPEFEGGYFTPWGGSFYDSCLAEHDPAFADVYYKNNIGQRTTLMSLYMAWGG TNWGHSAAPVVYTSYDYSAPLRETRQIQDKFYQTKLVALFARNSPDLLETYMVGNGTG YSVSTTGIWTWEIRNPDTNAGFYTVQQATTSSRASVTFSAYLNTSEGNITVPNVNLNG RQSKILVTDYTFGTHTLLYSSADILTWGTFGDVDVIALYLEEGQVGQFAITNSPSNAS HTSFGPTVYTATSSGSTTTFVYTQGAGQTVIQFNGVLIYLLEQQTAWKFWAPSTTTSP DLKPNEQIFVLGPYLVRNATISHGVVHVSGDNDNATTIEVFSGDSSIQTIDWNGLRMS ATQTPYGSVTAQIPGNENRTISLPTLDNWRSADSLPEKLASYDDSKWAVCNKTTTLSP VAPYTLPVLFSSDYGYYTGAKVYRGYFDGANYTAVNISCSGGLAFGWTAWLNGVLIGG NVGNASLTTTTAVLTLPSTSLKATDNLVTVVVDYHGHDETSTAKGVENPRGILGAQLI SASKSTTTTSTGFKTWKIQGNAGGSANIDAVRGPMNEGGLYGERLGWPLPGFTPDSTF DRSSPLTGLNSSGIRMYTTTFHLNIDSDLDVPLGIELGAPAGTVARVMLWMNGYQYGK FVPHIGPQTRFPIPPGVINNREENTLAVSIWAMTDAGASLDEVSLISYGAYQTDFTFN QDWSYLQPGWDASRLQYA LY89DRAFT_543875 DYEAVSYTWGTESHNRPITIDEKRFYVSPTLKQALKQFHNAAGL RCLWIDAICINQADLEERNQQIQFMARIYRNSSRLLVWLGVSASNSDYAMKFLEELGD QEVEDQNRFLEWIEIDSIASLISRPWFTRTWILQEFVLA LY89DRAFT_193119 MTVAGIVWGVVAFHKTVHTGPLMNSLHGTLKETMKRPCQRIWRF LAQLHSYPSKQLAQLAFLRALLTVFQNCRAHSHTSSTSSQTLSDHLPQELLSQALGNW PADEDSPLWENTDLLACLKDNAPSERTIIVTANGWIGQMGHPNRVRTGDLICVLLGCS VPMTLRPVDDHFEVVGDAYLDGVIFGEAIEALRRGVVGLRDFELR LY89DRAFT_785364 MEMANGEAKKKEKLHGRAFYESIGSPKFVLAPMVDQSEFAWRML TRSFMPESAKDTLLAYSPMMHARMFGETKKFRDAHFQPLRSSLPTAKEGEEDKIPFLD GNPKFDRPLFVQFCANDPDELLEAARHVAPFCDAVDLNLGCPQGIARKGKYGAFLQED QELIYRLVNKLHKELEVPVTAKIRVLESKEKTLEYARNVVKAGASILTIHGRTREMKG HKTGLADWGVIRWVRKRLQGDDVVVFANGNVLRKEDVEACLKETGVDGVMSAEGNLYD PAIFAEDPAVGEEGREFWRGRDGKGGWRMDAVFRTYMDVMYKYVLEIPAPDRTPLYLP SDPEPEISEKLNGAETGTKRPLDNADPEQPPKKRPRKEVKKEKTTSPNLLAMQPHLFH LLRPLVAKHHNVRDALARCRAGDIAAFENVLALTETAVREGLREYEITDGASWERELG EDERLKAGKKETEGGIEVEMEESSVETVRLCKRPWWVVQPYVRPLPKEALAKGSLTLS RKEREMLEKEKAGAVEEKKVDEGNGIPNGHVEHEKIGDGEGKEEVEIPKEGLVCG LY89DRAFT_737697 MAALVQSYPQQSNTVTMLQTRPASASGIIHSSSQTQAHHQYPTN PQPMQRNSFHGMNNSMGVTTYRGHTAVTPIAPYAFTSTPNLATPGQRIQNGPHLQPDQ RTTSAPSIPNAGSTRSRYPAPPSTSTTSSSSSDFSSLSQKSGSKDDSVITGTARVVSG AARPQSTIITSVGAQSLAPPAVSSTAKTSPERYRRPNNRRAESSTNAPTPAQTTSASA TSMPNVMQFYGNSVQQSAAPGSYQNLNLQMPQISKPTQGFASSFGSADDLQLNRNVVQ DPAKRYRRRSIHTIDAGEYGGMSASLLQQGSRQVSSANGRFDQQQQQQHPLRSSPVVV NRPNSSHGRNGSSESVNSGRSNHSRPSSASKREAGASMAPNPAPSSLSQSSAVQPPPA NALAEQTSIKHDVPRLVNIPPRASSTDAAKRVGTPSPLSKPVTMSPESPSSKDSFASA VNAALQEPAKPTYAQAASANMNASSPAAQQLAALNEKEGKKSKTSRLRRAFSFGSAAE LRRASAENSAANNAADRSKLRKEKYQDEQDAEQARIAQQQEAGGIGSGIYNGQGNFFT GSTDNLSISSTASSASVMIRKMGKGMKKSTRSLVGLFRPKSVIGVPAADSALPQASQA QVSMVTVEAEREKVNVNLNPHDQVGGGTGYPKLERNSIDAASASVAVSERLGSSSTEN SSARRSIVGGEKERAEVLAAVKKGILKRSGTDSGNSSPVIRPLDSKSPNFQLPQIPHV NESPISSAPSTPNDEQQGHRRMGSVTLGGEDYFMSALRFQGNSKSVPGTPQGPNGIKR NATFSPRIQFHDTWPSGEYDRRGEIATCNRLTPMLAQQIKEELNTFKMACYQYDSRNT LLT LY89DRAFT_193128 MRPACMSQSRHKKKHTFFFSPCQRCNRLRACVRYRRTPPSLSAC SSEAVVYLCLYSGGTGLIDHTASTSNSKITCQKAIE LY89DRAFT_652090 MPPEIVPSDEEEYLSDEDSDFAPDAVPAQPDSDSEPEETSAPQK KRKRAAEEEPEAEDLGFENSGDEAIIGDGLKEKKRRKKKGKKDEEDEGGEGGFVKTRS MRAVEEVKKKPLANTAAATIDVDAVWAAMLSGKPVVEQTSQPQSQSQPSTEPQEEIRK EVRSPSTTFGEEPKSMVMIKRTYTFAGKVHTEQKLVPRNSAEARLFLESQSAPSSSKE KEKETEKAPPDLTPSTTHPNKRPLKLARRSIFEPVPENLPQRKDLHFGIRRSETGVAL VEVRTGKEKKLNTVEKSAMDWASFVDKEGIKDELDAAGKSKGAYKARQEFLARVEAKK VEDERRARGLPVL LY89DRAFT_193531 MRLLPLLSVLLSLPATVLSWQSALIAAPSRASLEVPGDNTLSYC KDPSPYIFDISYIDLSPNPPVPGQNLTITAAGISSTTIDDSLGAKLFIQVKLGYITLL HKEYDFCEVIDAVDLECPLEKGYRKLVKEVAIPGAIPPGKYAVTADAYTDGHAEQITC MEGSIVF LY89DRAFT_558860 CTNITLQASLSACIQKQCNYTEQARVAIVDNQLCEGVPIQSRAW GVAIVGLVCGPLALIAIALRCYSRYSITRSLGWDDWLAVVTGLVLIPLIVLDCYNGIV NGYGRHYWDVDPLRVVELLKIFYVAEILYIVVITLVKASILALYFRVFLSNNFRIAAG IVLVLVVFSGLGVIAAIVFQCSPVDLAWDRTIVGGRCINVNELAYAAGAISVALDIII LVLPLPELYHLQMSKKKKLNVMFMFSLGTIACITSIVRLKYLVDFAKSTDPTWDNAIP VIWSFLEICVAIICACLPAIRAILSRYLPSV LY89DRAFT_194038 MYQAFPISAPSPDLPLHRHSFHVKTLRRSRDHLAVHVNTSSSGS CSSSVCEAVRINQDPSHEINILILFDATHRVMNLISTTPGERAQSFFMRSRQRQSRDK ARPIKGIVRFVGCATPQGVCLHGLQRGAVDLITHATLPSPPPLPRSRERLPRFPALIS KQRLPPLVTSDRTVLSRTSIMKKVLFL LY89DRAFT_592538 MSKRNIANPSPLGLCGFALTTFLLSAINMETMGVTHPSIVIAAA FAYGGLVQLLAGMWLMSIGNTFGATSLSSFGGFWIAVAIILTPGGFEIEASYDSLEFQ HAFSLFLFGWFIFTTILLMCTFSSSVAHCSIFLTLDIAFLCLALGRRYPHLSGTVYVP HENLTYVGGVFGMLSAFAAWYNALAGIAASNHTFFTLPVLQFPWSEASRKTRQRALAA SNARSQV LY89DRAFT_687755 MSSPNPPFTIHPATPADIPRLTHIYLVALSDDPPAQIKFPSSTA FNAAVTAMLEKQIGDPKWCIMKAVDTFSGEIGSWGSWLEHSASDADQRPSKHQHEEGE NGEEEEEEEEDEGKFDFNPGRSRPLRYGAHECAF LY89DRAFT_193282 MPFLKKSEVAEDVRSFDAPPTEEHPAMRGSNKSNIVDSINLGLT VLALLSAMTIVGTSAETLQVYNTTRLGNGHFLSMWPNEFDIRPTVALVVCGSIMIVAA ALGVVVKKVPAIANHTLLATPLSLLFPSISLVAALIATSFFYGVNTSSSTFSLQSWTC QWSDIDMDVKPHWGTLCKESKVALYLSVMMIPLQVLVLGTVVVGFLGRVRGWVAGKGK GNGGMEEERKGSPALS LY89DRAFT_699434 MKISNFIVRSASILAVALPFVSATPVTARDQLEYEYIVVGSGAG GGPLACRLAMAGHNTLLIEAGNDQNGNINISVPGYQAVVTNDPKLRWDMFVNHYQDQE RAMQDPKYTWEVAPYQYHVGSDPPPPAGAKPLGILYPRAGTLGGCVTHNALILITPHA SDWDNIASITGDESWAAVNMNQYLDKVYEWLPVEPTDPTILLSDLQLTQHLAGGAAVM GVGPDPLAAVTGLTNTLLNDPNSRLNPARDSTEGFFQIPLIMESGTRTAVRDFIADTV QKGYPLTIRQNCHVTKINFDNSTTPQATGVNFLDGNSLYRASPLSGGTSTPGSATATK EVIISGGAFSTIQLLKLSGIGPASELQQFNISVVSNLPGVGQNMQDRYEIPVNVKHNN SFPILNGCTFDSKPDDACLTRWQDNPYILAQRGAYATNGLAATMGVRSNYASTTDLDL YIFAGPVDFTGYFPDWNDAAVADHQHFSWYTLKAHTRNQAGTVELRSADPLDTPVINF NYFDTGTTAGGADELDLLAMVQAVNMSREALRRYYDYDVLGGTAFVEVEPGEEVQSTE EVGEYIKQRAWGHHASCTAAIGADDDPNAVLDSQFRVRGVQNLRVVDASVFPRIPGIF IQAPIFMISEKAADVILNG LY89DRAFT_785373 MRYLPAVVCLAGLATAFPFIAKQPGIQNTHLLLRQQSGSGPGSA ATCPFNPNHQDAIPVSDEYPYNSAINGSAGKGIGGYLVPAPGDPDHQFIAPTDQDIRG PCPGLNAAANYGFLSRDGITTYSELTDAVQNVYNMGYDLAQFLALISIIVADGDIITK KVSIGCDATTRTASPLSISGSEPGLDGHNKFEGDVSLTRDDFFLANGDDFDFNGTLFG MMAEVTGGTFDLEGLGTYRYQRYVQSREENPEMFFGPLGLFQYGAAAFVYELMPSGAD NYVPTLENTASFFGAEQQPNGTWTHVPERIPANWTNRISPFTLLDVVGQISAMYGAHP VGIGGNVDGAFVGLDFPPYIEGGNLTAATPADYACFLYQLISGPIPSSLNSVITPLVE ALEFVLVEVGGETFTNLGCPVPLTR LY89DRAFT_785374 MTEDSPPPPPPGRTFSPPKGPPRRSLTVDESAQYRHRPPNNRFS TSQDAPAQGIRRRSSNFSDYSLREARKSFQSSTDNLLLPKPSATGLEPKHESSNWDSA PLAFALLPALGGMVFTNGSSVITDVMLLGLAAIFLNWSVRLPWDWYHSAQEIRKKEEY NGDTLISEESEGDDALSFSQATLEEVPEDEQAEPAPKPVRRLPAHEAATNELYTHEML ALLSCFLFPLLGAYLLHTIRSQLSRPSEGLVSDYNLTIFLLASELRPMAHLVKLIQAR TLHLQRIVNTNPYDTVSGQSTSPDVKDLTRRLEDLEARNSIAATPSTLEPTLNGKQSA IITTEVRRGLQPELDALNRAVRRYEKRATLQAFQTDSRLHELEARLNDAISLAAAAAN TAQHKRGFTGVLIEWAATAVVLPVQAAGWLIGLPFRITASIFNYGKAKVKGKQAEKDK GRKVINGNGRASSKSRVQVRSGKRIAT LY89DRAFT_709372 MVNLILLTVCGAALWAIATLIRDLRINIEKAKKTGLPYMLGPFI PIGYFFLFVAEILGPIGRAIPIVNRWGYLYLIDRQVSWSHPREAENKWGDTFLIVTPG MIYLKTSNAELITQFTARKTDFLKPDGYKIVDLFGRSILTQEGQEWKRHRKIVGPSFS EKSNRMVFEESLRQTEGMMGLWASQQKASKGELKVGNTAVDAATLSLHVICAAGFGVP QLWPQEGEEKLLGNGVPGFSEHEAKGSHTLTFKDSINQLLKKLMWYVLIPPSLLKISP FKVHQTAYTAYSECMAYFHELLDIKKKQMYLGESDKGTMDLLGPMIKANEESTTSSTS KTQQAPTLSTAEILGNCFIFLFAGHETTANNIHYTVLELALNPKIQRHLQEDIDNIIG PEKPISEWSYYEDMPRLYNSMVGATMSEALRIVPAICNVPKITTGDQTVTLDGRQFVI PNETFVHLNVVGINLNPRYWGEDSSVFRPERWLPKSDANGHAENGAVKENGNGHIEEK VEADGLETTSFATSTSTSLITPVKGSFLSFSDGQRACPGRRFAQVESTAVLSAIFQKH SVELDVSDFASDEEVERMGKEERRSVYEKARIRAEEMIARSEQMITLQLRAGDEVPVV FRRRGEERFLGLYE LY89DRAFT_737708 MSNIPRSPYLQEAIAVIGMACRLPGDCNSPNELWDFLDQGRIAK NEAPETRFNLNNHHDGSKKPKTMRSPGGMFLENVDPQDFDAQFFGIRRDDAIAMDPNQ RQLLEVVYECLENAGISLKSLDGKAVGCFVASYAVDYSDIHARDPEDRVPSVIVGTGR AILSNRISHFLNIRGPSLTVDTACSGSLVSLDIACRYLTTGEINGAIVAASNLYLSPE HNMDEGTMIAVGSPSGRCHSFDAKADGYIKSEAIQAVMLKRLSDAIRDGDPIRAIIRG SATNSDGRTPGIASPSAEAQAAAIRAAYSNAGISDIGATAYVECHGTGTPAGDPIEVS ALASVFAHSKTSENPLVIGSIKSNIGHSEPAAGLSGLLKGILCLEKGLIPRNPTFLTP NPKIDFATSRMVVPTKTMKWPRRVLKRVSINAFGYGGSNAHVIIDHFETSSEKPSRIH VSSFTADFDDLFNDQDGTRPFTLVFSANDEASLRSYCKAICKHLINPNVAIKLPDLSY TLSERRSRLFHRAYVVADETTLDEGAFVFGKKNASAPRIGFVFSGQGAQWPQMGKALI ETFPVVKAVILHLDEVLQGLMNPPSWSLLHELVEPRSAEHMRKPEFSQPLATALQLAL VALLKGWGVCPMAVVGHSSGEIAGAYASGFLEVEDAIKIAYLRGQACVTDQEKPSLGM LAVGLGQVQVQEYIREFADFVQIGCLNSPNSVTLSGARLALEDVRDLLVADGHFVRML QVDFAYHSAFMADAAVTYEAMLLQHCGKAHPTMNDVVFFSSITGKQLDRPCDAEYWKT NMTSPVRFDDAVREMLLGHESADFLIEIGPSGALAGPIKQILKSLTNSGSDITYLSSL SRGKDATKPLFDLAGKLFIMGGNVFLSEVNKDQTSEAGPSIIVDLPNYAWNHATKYWY ENDASKDWRFRKFPHHDLLGSKILGTSWNAPSWKKTLRVEDLHWLKDHRMGQDIVFPA AAFIAMGVEALFQTTQALNFVARTELEEKYHYRLRNITFSKALVLSENSSGQKIMTTL SVSQDSWHEFRVSSLVGDIWTEHNCGLICLEQNSKQVAPESALMPFEHAVPGHTWYKA MHEVGYNFLPLFQKVLEIEVLSGERKSRSRVSLSEPVEEYQQSYYPMHPVCIDGCLQT LVTSLWKGNRTSVNYVLIPSIIDNIIIRPLEVRPVVGISVTSAAYAGVGRKDDTKNFR SDASVYDEVSGGLLFAVSGVRYHRLDVLEQQNAAQTYGELVWQPDVAFLTEESFSKVL NEEAACAAQEEALSHHVERLLDMIVHKSPNLKVIEFSLYPNDVASTWFDGPSTREFSR FEFATFDATSLMTLQETYGVKANTSFSLLDLTKPMLNGGPGTASQDLCIVKMPLMSQS ASVPLFQNISGLLRDGGYVLCMCGDESSTSSSDFEGAVIVKDKILQFGCDVDISNTLQ ANGFQSKISMQFDGRSLHLAQVQSTTAVKVAADRELHIIHLTHVTETQSIVSTVLIQL GWQIREYSVNLLPKIELDPKSNVLVLDELSASVLTNVSGEQWEAIKGLVSKEGRILWV TAGSQFEVAKPDRALVYGLARVIRAEEPMVSFVTLDVESSSSSETTSAIHNVLKYMQE PGSGLPMDMEFVERRGIMHVSRVRPYEKANQAEMDDKYGADFQTKNFHESDTCIRLIC ESIGRLDSLCYVEVSCEELPLGDNFLEVEIYAAGLNFKDVAVPMGIVPGNDHLLGLEG AGIIRRIGRLIDHLHVNQRVLVYMNNGGAFANRVQVAAQLVHPLPNSMSFEQAATMPS VYLVSIYSLLHLASMQKNHRVLIHSASGGVGIAAIQLCQYRGAQVFATVGTPEKRQFL IDTFGIPPEHIFSSRSTVFATELMAATQGQGVNIILNSLTGELLDESWRCVADGGHMI EIGKKDILARNSLSLEPFNRNASFHGVDMSHEQNSDELIAKLLSELMQLVKDGHVKPI APMSIFPFEDIVSAIRFLRAGTHLGKVVISNGAGNSFEVPVRPAARSLCLDPSASYLI VGGLKGLCGSLAVYLASLGAKHLSIICRSGYSDPQSQAVLANIASNRCDIELFIGDVA VLEDVQRVFDDSTVPIKGVIHGAMVLRDRVYSAMTSADFHTSLPCKVLGTQNLHTASL TQSQPLEFFTLLSSISGVIGQKGQANYAAANVFLDSFASHRHSLGLPACSIDLGVIED VGYVAANTSLQQKLDGDVWISITERRLQLIVRESILQQETTSKSTPKNQVSQLITGIA MPQSASSALLKDPRFLPLCFGNSSNSASTSASSSPEGKALRAFHLLLSSSQTALTPDS SLSDPALSTMLVSIICQQFTTFLRLDSPIEPAKSLSSYGLDSLAVVEFRNWTRREIGA ELTTLEILNATSIFSLCEKILAVLLITKERKDLE LY89DRAFT_194452 MFVPQVAEQFQLLGVTALIFDPRSTGASDGIPRNEIDPMKQVED YSDAHNFLSTLTIVDSKAIGFWGMSFSAAVSLCAAALDKRAKFIIAVCPLLSFGYSKE RLLKVLAKAMQDRASQKKGNSPFYLPMLNDKGENPAGFGMGMDEEVFDHITSAMEWVP SFENRTTIQSYFKMVMWQPSGLMQYVSPTPVMFVVPELDKVSPPEDQLALFETFSEPK KLHIAQGKGHLNVLSGEDFPSLMKMQAEWLATVLEKRP LY89DRAFT_737710 MSNPEVFVIEDSRSDSENARNSRPAPSVDNPQTALGTYKNASEE GMEDTSEHKVEEGNLIEIDVIKKALEKCLNRVGTSGSFAYGRPWRDPPNPGLYLDGYG DVRFPLGPTEVQRIKTACTGIDLRNPEPELLPRNLSPPPIWQLAATEWKTGNPSWTKI LAAIATTMYTQLGIRDTIPILTPSSLILQAAGSDLSQLHRPTPRSTAFGFLDVVLPSK HAGGELLLRYTFGFEQRSSVQNYPAAPVCGGVSRLYFVLTSWERHLVERKRKFLAYSL DSTYDELILARQKLVGRDQYVVSQLEDACQATGFCLFLATIERKLSGSTNSETTIGGY HLMDAVDETSCKLQHVADLDGREFSSDLELLIEERQVLVNNSCWESRQRKNEAYDKYN GQLIHWLRRQAVVIVPRSSRMSLLLGACAVERQDDITKLLQAYVLHDAQYMDEDARLD IKDLCSIAVSSSTWESQSNKFEDQTVIGALKAIIKYRDVHLFESALPLLFSKDKYFRL IETEMSRLGKDWFYARLESCVHDSRTFDLRHERMFLIYQRIRDHDWASAQLKAAVTLL ASHTAEEGTLLGKMATLWQGHKDILSPMLIPLILRHARNPRTLISRLLFSFKVIYLTA RQK LY89DRAFT_194462 MPTEVQANWTRLIRGCGCSHCRALDRFLVDREAETHRFSGHVGD RKHLISVLSTDEQNGSIKISTDTSAISHTIHIQKIGEKIDRDFGKMEAWSLAQQKVQE ISSSALRIILGDEDFRLALDLKPISPEPFSHSILAGSTTSPSFASPFVGSIGQPLSSP SFEVNIVSLASPTNGQRPKQQRLDKLPASLSTVSANNQLGIRPAFVSPVEPATNESLR SGASVPEAARHDPQTADQFPRWLILGLQALANSHPNDRFQVEMKNTIVDKITEVPCDA PLPAGKSFSDHRKFMVLPQINCMDCPGEPHFPGPGQSVRMFASHLETVLHRTRVELAI ASGRERVAPGTLDRVVTSLSYPSLAKLPRNCSLVARRSSEKQEVRDLELCANTELVFR NGNRSSKLEAALAYTRGEEAKKVCMNCARLGPNDPFQKCIVMPNEFEGACTNCRYNNA GNSCSLQRSIVVPRKPRKSKTASAEETASRPPRVKATRYPSWLKAELNRSGSDRISGL DEGSN LY89DRAFT_785380 MHILNVVFIAALLAVSIDAETPGVCANLWVTIWETPGAEPPGIW ISSSDTYSIVVPYQTGGGVPQAPGGTPPDSGTLTPAIPPPANPTNPPPMMSLPVPEIT LNPTVPAIIYPTHPPSPPGFGTLCSVNRVCGPELCCSQYGYCGSTADFCSTGCQVGAG YCWPETGPPPTQNGYCGALHNGTTCVGWPTGQCCSQYGSCGNTTDYCGGGCQSEFGIC DIVSSSPAPGPNVTPSPPPPATPLLTLASVPTSYP LY89DRAFT_687763 MVHINFGCSIAVFTLVSTATADCTRASLLAASENYLTAQGTGDL QPLFASDFTYLENNKTKDFNSSVLSSPLNITHNHTLLDTIACATYTELVITDPKKQYL IGTQIHYINTSGTSLQISMIDAIVSTTGDWQFNATKSLSYIESEDWSPLPTSSQSTRT YLLSTADAYLDLWGANNASAHVPWGTPCDRMEGSAYTGKGTPTDSCNVGIPTTIQLPN VARRYVVDEGMGCVSVLCVFQCMRNAPDSHEFRVVDGRLRYVHTMTVMRSNA LY89DRAFT_721664 MAGRKRKAVADASETPATRRHSGRVAKARVTYQESHSDVEDDQS DDDFKAQESEAEKPQSDDEFDEAADDAESDAPEADDDGSDDEYEKKLKKEGWKKKKGK DGKWEMVMDVPKAKDAGNMPYEDKKIHPNTMDFLKDLKKNNRREWLKFHDAVFRQAEK DFHTFVEELSPIVSEKDPTIPELPIKDVIYRIYRDVRFSSDPTPYKPYFSVTWSRTGR KGPYAHYYLHVQPNGESFFGGGYYASDNLTLACLREDIDQQPHQFKSILMGEKLRKTF FPGAGKDEKKVIAAFCKMSGGNALKTKPKGYDADHKDVNLLKLRNFVMSRKISDEEML SKNVLEVVADIVEAIEPFITYLNNVVMPDR LY89DRAFT_194528 MLGFQQSDHAGRRAEAEQLSFLPSGLIPHGNSDIPTFCSSCFQA ADGRAATQSTFRPNRRIAGPEAERRVLCKQAGRSNNGLDR LY89DRAFT_592495 MALSQNNPTLLVSLFLVSLLCLPSTALQFQQQYIDYNLNQNQTA VEVENYYGIWENHSFYPSPSNWRVPFYTLFLDKFVNGDPSNDDINGTAFEHDLMQTQL RHGGDLQGLVDSLDYIQGMGIEAIYIAGTPFINQPWGADAYSPLDFSLLDQHFGSIQA WRDAITAIHDRGMYIMIDNTMSTMGDLLAFEGYLNASVPFTPKEHEVLYRDSSRQYAD FTFGNNYNTSCEYPRFWLENGFPVGTDVTSQLTGCYDSEFDQYGDFEGLGDFDGWKRS LSKAAGVQDRLRDWIPSVREKIEIFSCLTIMMLDIDGYRIDKATQVTVDALGEFSHAM RECARAVGKENFFITGEISGGNTFGSIFLGRGRQPDMQVSSQLEAVTLTNMSNSSLFI RGNDQNGLDSAAFHYSIYRSLTRLLGMDGLIEGPYDTPVGLVDAWNDLLLTNDLVNPN TGVFDPRHMFGTTNQDNFRWPAVANGTAKMLLGQFLTTLHMPGIPLLLWGEEQAFYVL DSTSDDYIFGRQSMSSSQAWKMHGCYRVGSSQYHNFLEDGAPALDGCYDDWNTLDHRD PTHPIRNIIKSMYQMRKNYPVLNDGWRLQELSKQTRSTFLPASDGTATEIGMWSAMRG NFEEVQDLSASGQGNQSVWLVYQNDNQVVDYSFDCSNASLALVSPFDANTTVKNLFYP YDEIVLEASPVQLGINGSLGFNGCLSNLTLSPFEYRAYVPIDTFIGPGPMITKFLPGH DSRLEITDPQNNTIPIELHFSAAMDCTEVFTSISIISTTEDGTTAVLDPNSVTCFSID PEPVAPWFGSLPSVWTFNASLINVSEGIHVITIKDVPTEDGLASTQSTDKLFLRVGRS DNPVVFPGSANYTQDLLHRDENGDLLISHKAAGADQFRYSLNWGSSYSPWQTYTGGNS TLAPRQWSGTKAQDWKGEHVIVQYHSSILGSSDHVQHGDLDANTPSRRFPHLFLHGDF NRYGLDSGMDNSMKLSTNGTWAYNMLAEWPATLQVNEWGINPDGQQDQTGVYGDLDKD GVLDRLPPSSLIPATLGLNATPPSPFLNWHLELNDGTLEYEFKPTGNRWHQLIMFILM WVIPVLTGSLAVWAYLQSFYQVKFNKIGIPMQKYSPIPMLSRAFKRKNNLGYKESPFG SSASLLRYNGPSSSAGPIPPQHMRRTVLIATMEYDIEDWAIKIKIGGLGVMAQLMGKN LEHQDLIWVVPCVGGVDYPIDEPTTPMDITIMGKSYQIQVQLHVFRNITYVLLDAPIF RAQTKTDPYPARMDDIDSAIYYSAWNQCIAETIRRFNPDLYHINDYHGALAPVHLLPE TIPCVLSLHNAEFQGLWAMKNDEEAKEVCEVYNIPTEVAQKYVQFGDVFNLLHAGASY LRIHQKGFGAVGVSKKYGKRSWARYPIFWGLNKIGQLPNPDPSDLAEWTPAQIEGDVE IDEEFEKNRADLRVQAQQWAGLTVDPLAELLVFVGRWSMQKGVDLIADVMPGLLEENE KIQLICIGPVIDLYGKFAALKLDVLMKKYPGRVYSKPEFTALPPYIFSGAEFALIPSR DEPFGLVAVEFGRKGALGIGARVGGLGQMPGWWFTVESTTTSHMLSQFKKAIKGALAS DIETRKKMRARSAKQRFPVAQWVADLEELQNTAIRIHRKETACPKCDRPGNRGRTGSP YSRRITSAPCSRSCSPNGRVSSPAPTHHRGRRSEVQQPFIRDASSNGRDLTSRSRSGS SATLNRKAISRTRSPLGNVVINAEDDGAMVSSMSTPPNMPELTRSMAAAGVWNAAGHR RQTSSQSYLTPTPGLMTPSSSNYDVLTNDGDSMPGTPPMEDDDDFLPPNHNFYQNENL STLSLETVIGTKQDFSLQKVDPSFTDSTEEYFNDFKVKLRKLEASNSESALCIEDYLV KSEKAWFGRFRDAKLGKTPRSSPAPSIFNTSKPSTPQLGASNPLISDPLDEFKLSESY RPPRGVKKYLQYKIGDWPIYTFFLALGQIMAANSYQITLLTRSQGQNAEEFYIIAGIY LIASLSWWICYRSLKSVYVLSLPFLLYSIAFLLLGCVPFYPSNAMFSIARVQNAATGF YTVASASGSLYFALNFGDEGGSPVKSWVNRACIIQGTQQIYICALWFWGAHLNSASYS TDPLSKTMAYILIPLSVVLSSIAFLLLYGLPSYYHQSPGKIPSLFPSLFRRKIVLWFF ITVIIQNFFLSSLTGRNWSYLWSSKHVPSYAIALLALFFFILVWASFLYFFGLAAKTH TWILPIFAIGLGAPRWAQILWSCTSIGLYLPWAGSPLASALLGRALWLWLGVLDALQG VGFGMILLQTLTRVHISATLIGAQVLGVSVTALAHAVGPQRGGVGSVFPDFGRESVSA CLGSGWFWMGLGCQMVVCVGFFKFFRKEQLSKP LY89DRAFT_687766 MRLKGALYILTNFAAASLADDVFNCTSLGPKCEIVQNGTSGIFA CNCIADSDQPHLADFYTNNFYKGFLWRGYGAYGSCNNLPLAWQSNTNSIISANDPPAV CCVYTDLDCNTDGHAWLQLNGDGTVQDVIFPYDGTQSYQCSLLVDEYSLCTDDA LY89DRAFT_652124 MPSIRSSIGLGLLSYASTIAALATNISGTYHQYSAASYALVNTY NSGNFFSDFSFYTGTDPTNGWVNYQSQSAAAAAGLYNTNNGQIYMGVDSTTVNPPAPG RASVRVSSNEAYTHGLFIADIAHMPGSICGVWPAFWLFGPNWPNSGEIDVIEGVNLAG TDTITLHTAAGCTINTAGSQAGTTLANSNCNTDNGNTGCGVTTTTSNAYGNSFNNNGG GVYAMQWESSGIYVWFFPRGSIPSDITAGAPVTGNWGTPIVAFNGGSSCNIDSFFANE NIVFDTTFCGDWAGSVWGSSGCQNLASTCNDYVGANPGAFAPAYWLINSVKVYQL LY89DRAFT_592077 MATVTETPERIEIPELPSKTVKDFIPYIAKHPDTAIGTLLEPYK AFESELRKVYAQQPDHEVVKDGTVNLVPIFDGHEHELKIRARDLDAETDDEKAKFIMA LKPEDRKPNNVPAVVGSFKDFQQNFNLFSESALTDLDWNNVVAAGSAVTTALLPVPDK WSESKRSMREYYHEHLAPASDVDLFLYGLNEEQALEKIKQIEKNIKDSILHETTTIRT KNAITIASQYPTRHVQIVLRLYDSISQIITGFDVDCACAAYDGKQVYAAPRAIGAFIT QCNTIDLTRRSPSYENRLSKYSHRGFEVYWPLLDRNRIDPTIFERSFGRTQGLARLLI LEKLPKSVDRDSYMDQRRAERGRPALHRGYRNQFKMGGNIKDQEEDEVAEWVEQEEVA SYHTMTVPYGPKYHAARINRLLYAKDLLLNAEWNQPDDREVYLHRHPCFFGTAEEVLE DCCGYCPAPKTDEEHEVAEEETKTYISGKLKFLMDDPGRQEIGSFNPITDNEWTTMAY VGDTARLCQAIVNGDLEHVQDWCSQEGVDVNRRDYTGRTPLHLAAMASTPEIVQCLID NGARMIDRLVDGRTALHIAAARGNATMVKALMDRSMANEEEEDEKEETHRAAKRAERA AKGQDTSMQADESDAESEASEITLESEDDEKSDAMTMGSFVKVDKEKQAADEGVPEDS EEDPDVYEIDVIAWDYGLSPLHLAILNGHLDIVELLVSEYGADVLLPVKLVQPGTNTA RGAIMTILLAMSLPTEKCKEMVKLLLELGATSAQADMNHYTVLHFVVSQNHHDILDVL LSNDRPLALSVLDNVSTSQHYGQESNTPLATAIEKEYTDMVARLLKLGAKPTIEFDQW VKMYLAKNEWAKSQNADYTLNQYRNSVTQPLISAAAKDMGKTVEDLVAHGADPCTLEK SAHNVVENPQNYSYQVAEAVLDIIQKKLKALRDWKEPEQNIPKEPKTLKAQSAYTYGL NEGTYEYWSAEQDFKSKKAQNEAEWKKWEEQSKPKKQEGLEGKKAAVAKLILELECAE KALINAGGKTFAQMHPDIHQQTQIAPQPYNPPPPAPYATSLPFLDPTLNDIKRDGYKM MFEAAWNNDLEKIKSITLAPWNWRDSKTMEPPLKVAIQDGNGFSPFSIAVLRGHRDLA RKIVEICATQYHKDDGLNSRQRWNVRTGVDSDDESDDGEDLPIFSELVSDKFTVDNLG EISNVVKSNVLPTTMIEWSMLPYRILNPEHKIRESKIDLLDTAIHEDDMGLLKFIIEL ASHQQASLAQEEDDQKSYTVGRSSFLLAIKLGRIAMLAEMIKTTGVGIPLNELIQQSG VEVKTKPRYYQGLSVGGKKRADWAQAPGGNVHVIEEKIPPLLRAAQSGSVDSVEWFMS DAPLRRYKEFAEANKHDKRIKTLEASGKGFDKTIEKWLGAKSELALHCAVLSHESDAA SAIALLKHLLSVAPDTLEQKSSEGWTPLQVAVIKHRVPIIEYLLSIGANQRHRDKMGQ NMVHSMLRNVSQWYKDDRKRLQKMLDLFDKEALKEMFLERCTNQPGALTPLAYFMSNH RGNTNAHKVMSILSTYSTGEDLSMINGEGDLPLHVAIKSSRSTQTEFLLSLNNSLLYR ENATGRTPLEMSRDIYIASCVENGPLITRQFGYRYYYPGHEDYQSLIHKPLSDFVPKK DQPEECKKRTWEICNEMDQKLRSEGGERKRRLVSLFEANEVAKRVTGMKRYGGYQMVV NGGLVDNEGKPDVFSEWM LY89DRAFT_785387 MPDTMIRSSSSRSGWIILVFSLLLHAVYGSNSTCGALITYNQYT KIFQSAPSCLQQGCGAGNLTSMSSTCTSNSVCYPIWNILHDEYQQDWCSTCHEEDRAC RIPGWPILNSTAACDMSPDTWLFKNEGCCTNGGNEMASLAAWIQTLCNGTWREQFTYY DGMALLDWEQYILPDNHTVASTNSTQAHCTSNKARYLGILGMENVVVVLVIIAYFFLT LWFSREDARNKRWVRGVKGILKPLKWVTLPFISAFKFVFRIKGSSGSTWPVIKTILLA ILFAGIQLFFNFASAYVVKNAPGYEHVNVHLLAMLFCTRPRLGWIVCFLSSIPSTWVE RYFNTQQSDVLSKGMMSIARVGISAAMGEVIMQLLGSFYMGKTVHVGVIRGLYKHNHL YPYWKGHQARDMYNGAILWAIALPAVVAVWVAVIVLLGLVMIFFGAIVAGWKEIKKVA KKQKSKIKKDSSSPEAKFFIPQTPTVHISEPPAHDSGHQTRPYDPDPNHATSEPSSVS LLEDDDGATHAIENAEDVYIPQYAPRMATVPRFSLSSPLQSHPINNNETNALEDPFVD PHPTQPVQDLARTDITAQNNTQTDQEQDITDPFPPQLRPGHRDSITHRPYSDEFDTTS PTTGLPHNPFANPTPLPKNPFSDPQSIRRRHTPHFSSNTAYQGVSTQDPDPEAENEKP KPPPWVHTPRNLEEWKPFILVLGILLGFVSYIAQWLFWSGFVNASDDRFCPPNTGVLG MVWVLAGVLGFGSVFGFSYPGAGG LY89DRAFT_785388 MELRRRRKVLVVLLSFWQSLAGAANTTSEVANLGIAAQACLATG FWDCSCIMLDFQCGCECSRFSSDVRACIVNSCSPVQQNQALNLFSSTCATATIITTSI STKLSSTSSVSSKTTSSISQMTTTSKGTILSSSNSKASASQTITQSKATASIASNTNT SPTSMGSGTTGLSVKTYRLSSTSTGTSENGGVFPILISSSDTGPTTAGTQSTWGPYRG NTSAMGTATACWQVSASGTARTTCAIQANPFQASAGSLEPGRVLVPMIWGIGVFVFLN LN LY89DRAFT_721671 MNPPTLRDLGPVPSPMHSVSDRLARRDNLSFQDIRDLKEERNKL PPRDVKDIAADLAARVKRAPQFRRFIKVEELSMWNLYMLQHKILSMKPDSMRVFQGLD MKSRAMKEMRAWRNHDKNSGAPLGSTTSPITATLEGGAAINSITPAAPLDSSIHKPPL ITSTTGPPSTDVPDGLQLLLKQYHESLKAFKEMQTWREASNTSCRIARSDLAFHFCDE NYLESEEKMFDLSPTLKLETGGSVDCIRRMLMNYLPLRYVNEDAWNEKRGQLDPGAVP ERAQTFSSWRTDFDTPPFVDNLARVLVSLLAGLFLLVPMIVVSFIISQKVRLSVTVAL VVRFAVSLGWFGKSTNDALMGTSAAYAAVLVVFVGQTSPIT LY89DRAFT_755905 MDQNYDAASELPVYQACREVPSRTRENDRKGVEHARNAHAFTKD KRIKSDAKNPSATVEEYREDLTVPYKPCTLALDRIYDESRWTLPEDHEPGAKKEPKSG VRFPLDEALVLDSIEDQTHINMDDADIRQLVADLQDIKSKSASDITDVNSLSLKYEYG DKRSIEKFILHGRTIARVKFEDAEVGEKNYKQVMRGKKLEKDIDRGTNGKQQADLKIE NYVTAHILLCLGGEVGARAQDSWRAARNFSQQQLELNPGLEEIVMKKLDEEHDPALKE MLEVLNDVHQRKESSPYHQAMRDKWGVDHNLFDRIAHTDIVMVLDKNNEVIFLQLSDS FQKLLQKQLEDQVVKAFEIYSTLHAVPFPDSTRHGIHWSEWLLKRPELDFRNSANILR MAKSGPFHIGCGNMTGDTHGDVKGPTLKADSKRNIERYPHIVKQQETLRYGALGACTE ILKLLLSTVAPELCTEYENVARELAERYEMETGTNLFETRREGGIFTMRAILINLLTT DHKDQNDWSNGFAGLVAVGDHEGGDLLLRELGLRIEARSGAAQLMRGRELAHSIDFYT GRRFCVVCVTREANRKWAEKRMQSREQPSASSEQSLGSNEQPSESREQASGSTEQALT AKKRKNSDVGSTASREGEKRSKKL LY89DRAFT_699440 MHDVIILWHFPFFSAVALLCLLKIFNTNDPVHKVDHFDIITYTW GKTVPPYNCGIDGVNWNVPIQEKKLEDIKRLMIKANVQYLWADCICINQDDEKEKAVE IPKMYQYYKSARKCYILMDMDEVWAPQEIVDNLHFIDHILSHMGGAALATEAKLTENM TNRLTMWANENWNFRIDASIVRSAAIDMGVLNCYSTCISRVRSLFENDYFTRVWTFQE MLLGKNITMYGVHSKTLAIYPLGDLETWMDLATDSNDKTWKLQGWIETSRVLKTASVN AILNIIDEDCLALDILQKQVKGLISARTDIIAGGPSWWYENRKGVSNIFSAISITPRK CGQKVDIFRGLLGIFSGLFTPEEVERDLSGDDIEKISFAFFKQLSIKTGHAWTKLAIS SEERGVYDWIPVAAKNSKLLSTDIFAAVVNLGRLNQKGLAKAVATTGLNGAPRKYMKI QISKENRGFQFTFKGCNCGKTVKTGLFSSEPIPTYDQPRSVSGDETGRILVQCAIILG SLIDPGHDVVEYRGRLLHLPCLCKLRIIARAKVGYSPSSFWRGKLSITGISIFIFEAI TAVEGGSLGNREAMALEDDRIVMRDGLGLVQVDDVGRAFNLAAFGGDIDAYKSYASGC RSTKLHKALVREELTHSITDLMRNYGYVETGGSGNLLICRTSPVGQYKIIGVCIDVSI ESKKGNHTVTIR LY89DRAFT_672938 MKAKTPRQTARFSPYAISSQPLYQLSSQLSPEHQDEEYTAPTGN ATPDSSQSLSDSYQFDFSKHKGRLRPTLKAALAARASSQPTPSKSGLARAILTPLSLS NLQSSGPLDKYELPSRPREHVLPFGKFKGTKLKDVDGTYLQWVAEQICEGAMTLGPTL TNALTSMGYTTSYNSDLAPLKADYPYPDLRTAPTVFREGGHEDIFWSRITIEEAIVEA EVGKEVRQTADKHKLKFDVPTRIGLCVLFRRAYRALFRDGIVSFHP LY89DRAFT_687770 MSESSAMRNCISWVEIPATDLNRAKEFYSAVFGWSFPNFGSSPI DSFMAIFSHGSTNGCLVKVEAENLLSAALHPDNAELKRVGVRVTITVESVDKKMAEVE SKGGKLYLEKTEIPGGMGFVSYFTDTEGNVMGLWSKE LY89DRAFT_755911 MKASREAKCVVSTLPIAGRSLELETTQSVPNNKGHNVVWQPDSE LSNINVPRYGELDGNSQEEFREALASISDLGCLSEATLESVVSRLDKEEGDIVLEIAH AARRARLSSNLREHTILPEEEPHDDEGVYMEEIPFCSPPSKSEDETETALQRTTYKSL LFCIDRHIDFAVVCTYCQALHTGHTTELQCPTVRQLSRSSTKTSTPSCSQTRWPRVPK YDIFPRPMNFSKLQLAMKLYRNQDFSQFSAITISFGRRKNKNQGPKFFWGGYGVDASF SVSKSSPSFGSTKGEERIMYRTQFSWFLLEKHRNDAEVLRLIEEEMRNLNSGNSALAV RSLCSHVSGTFWSFENPKQWTGVRRCKLCALEWEVCLGEVSSQKLEENTTDVTRKETE TKKKNKGLLVVLTCWRDFGMCKSIDDPRWMAHFATEYSHHELSDTEITKDNSWDNTDL EEPAQNWELGGIKKAFEGIDEYPGQGDDGEGDLKWRLEEKQGKALKLLVAAWKGVTST ALSVRFQ LY89DRAFT_737726 MPSITHLTSAISHATFTSAAETKGTPSYEDLASRYRNIAFAQMD YGPETSMLFKFAPNQLPMLKLMYRDKNGGMWARTVMGADLRELKAGIDEMLEKAGLEE LY89DRAFT_737727 MTRLSIPLLSLAISTSASFLSQLPITSGTGELPFGGSEVRVLTH ESHPDHSLIITAHNVNDPSIKDDRPSITDVCPGATSGYTGYLTSGPKHFYFAYFESRS DPTSDPLVLWLNGGPGCSSMVGLFMELGPCIVNEGGDSARENVNSWINKANVFFLDQP IGVGFSYSSNHSAPGGQGGTFAASEDVYAFMRLWYKAFPESKSLPFSIAGESYGGHYI PVFADHIDKMNKISTLDDQIPLESVLIGNGIFSDVKQRSSSYDISCTNATGIGPLLAE DVCGKMAKAVGRCEYLLSACHDYPDPLVCEAASNYCSKELDSPYFRSGRNYYDVSKPC EGYLCYPIMNSITKFLRTEKVRGALGVDKEAPPFEGCSNKVGDEFVKVNDYIIDTRPY VASLLHSGIRAMIYVGTYDWICNFVGNERVFGSLKWNGMPDFRYQQENNKQVWSGGLW WESGLLRYVRINGAGHMVPWDKPAEALHLFTAWLDNKGLK LY89DRAFT_622819 LVAVHGIKGHPHRTWTHPNGEKWLEDYLPKDFPNTRILTFGYNA QVFTSSRGCIIDYAEQLLQNLASVRASRDQKASFVLPNRPIIFVCHSLGGLVVKKV LY89DRAFT_721674 MDELGSQFGSLLQEEKIKVLSFYELKPLKIAGIAEAVIVPKGSA KLGLGPPLENVIPVDADHRQIAQFSSRNSKQYNVVKSNLDLVLSSQSVRNQTLGVGKT VLSDYISDTLRSENSGTVLTLHCHSYRSLNDPLHALLRDLLFQALQSQHMAVSLKAQL LSLKAQIIAMSDVPFDTILKVVEDTIRNTLAETFLIVDGVDELDSRSVEVESFLRTIG HLPQSSAQSISELDQLRTWNAVEAFLEKGNPGLDVTYATIIEELDKSSKGLSPVRARA LPLASVACRPFRLEEILELLAVDISNGSVDPGNKLLGGWEILSRACGPFLQLNDLGAI ELIHVSAKEFLKSYSWGPRPKGEFAETEMACLCLSYLNFTVSERTLGTDVQVHVDSLS KQYPLLEYASQYWFDHFMRSEEIVLQQLILLDSFLTSRSSVTWTSSFYPHFAFKRGDS QGTINSTEQSILANLQIMLRVSGRMVDASLSNRCIKRLSHLLLDSWQDSLRMEMFYSG PRARITIEKKLRLAQCYMAMNEMKAAEEIASEAFRTAQESLGPLHSLTIQLNRERIYS QFRSRKSPGSAEAYEFLPDFLRLLTEHVEVFGPNHRETDACRHALASVHLSKREYANA RKILEPLHTRMIETFGYSSSVAQNVTNSLAACANMQGEIDYAESLLNTNPSLVKAVSE PLEIDITRVPVETIHAVSIFASVLGAKEENRRSEILHQRAIDGLMALKGPNTFRVYES AINKGQALRDQFKYAEARKHYVQWLKTSDQNLGPDSRQSKKIRERMIDVDRQEQKWKE MSRSVRNQQASRGQLTVSAIENSSRPGLRNS LY89DRAFT_687774 MTTSDPRIAILGAGPGGLTIASLLTKHNIPYTLFDLRPLPTSSS PTSPLVPSGSLDLHPDSGLLALKRCGLYDKFLTLTGECSEDCIITDKAGNIRWQDNGN GGERPEIPRNSLTDLLLSSIPAQNIKWEHKVLSLTSSSSAKWTLHFSHQGSEFDKEYD LVIGADGAYSKTRTVLSTTVPYYSSVSCITLTIPHITTTHPDLEAIIGKGTYSASGDH KAVMAQRGSVDSARIYLMLQCGDSSSWLRDVGLDSLGPEELKTRLLTDPALFESWGKA SKDLIAAGCSSGFEITANPLLMLPIGFKWEHVPNITLLGDAAHLITPFAGEGVNAAML DALQLAEGIIESLSEGSERSIDDAVKGYEEKMFPRAEELEADTWGNLKMIFADNAPEG IVNFMASAMGGMPPPTGEA LY89DRAFT_687775 MLSGILIFNQKGENLIFRAFRNDCRPRLADVFRIQVISNAQVRS PILTLGSTTFSHVKHENIYLVAITKSNANAALVFEFLYRLTALGKGYFGKFDEEAVKN NFVLVYELLDEILDFGYPQNTETDTLKMYITTEGVKSERTMEDSAKITMQATGALSWR KADVKYRKNEAFVDVIEDVNLLMSATGTVLRADVNGQIVMRAYLSGTPECKFGLNDRL LLDGDSLSSLPSGNRMGTKATKAAAGSVTLEDCQFHQCVKLGKFDTDRIISFIPPDGE FELMRYRATENVNLPFKVHAIVNEVGKTKVEYSIAIRANYGSKLFATNVIVRVPTPLN TARITERCTQGKAKYEPSENNIVWKIPRFTGQNEFVLSAEATLTSMTNQKAWSRPPLS LNFSLLMFTSSGLLVRYLKVFEKSNYSSVKWVRYMTRAGSYEIRF LY89DRAFT_785395 MQAFSRCARPALKAVLRRQNYSTSTGAYAATAENLRINKDTKVI YQGFTGKQGTFHAQQAIEYGTQVVGGTNPKKAGQTHLGLPVFANVADAVKEAGATASA IFVPPPLAAAGIEEAIAAEIPLVVCITEGIPQHDMVRITDILKTQGKTRLVGPNCPGL IAPGQCKIGIMPGFIHKRGRIGIVSRSGTLTYEAVNQTTQAGLGQSLVVGIGGDPFSG TNFIDCLKVFLEDEETDGIIMIGEIGGSAEEDAADFLKEYNTANKPVVSFIAGISAPP GRRMGHAGAIVSGGKGGADSKIAALEAAGVIVERSPALLGKTLHAEFVRRDLI LY89DRAFT_592216 MATETLTMTLAERQKKPKDQNLPPENERYIRCCSDIASFLIADH EAQQDQNAPRKDVNLNSLRSKMSKKHRLSNIPPLTAIIAAIPEQHKKYILPKLIAKPI RSASGIAVVAVMCKPHRCPHIAYTGNICVYCPGGPDSDFEYSTQSYTGYEPTSMRAIR ARYDPFEQARGRVDQIKSLGHSVDKVEYIIMGGTFMSLAPSYREGFISQLHNALSGYQ GNNVDEAVEAGEMSNIKCVGITIETRPDYCLPPHLTDMLRYGCTRLEIGVQSLYEDVA RDTNRGHTVASVAETFCFAKDAGFKVVSHMMPDLPNVGMERDLDQFREYFENPAFRTD GLKIYPTLVIRGTGLYELWRTGRYKNYTPNALIDIVARILALVPPWTRIYRVQRDIPM PLVTSGVENGNLRELALSRMKDFGTTCRDVRTREVGINEVKNKIRPSQVELVRRDYTA NGGWETFLAYEDPKQDILIGLLRLRKCSAKYTFRPELTGQPTSLIRELHVYGSAVPVH GRDPRKFQHQGYGTLLMEEAERIARDEHGSTKITVISGVGTRDYYRRLGYWLDGPYMS KHLKPRDEDDSDDDF LY89DRAFT_737733 MKVLSGAALLAGAFLSCSVDAAASQAFTWKNVRIGGGGGFIPGI VFNPSRKGLAYARADIGGAYRLNSDDSWTPLLDSVNNSNWHNWGVDALATDPVDTDRV YLAVGMYTNDWDPNVGSILRSTDQGATWAETKLPFKVGGNMPGRGIGERLAVDPNSNN IVYFGARSGHGLWKSTDYGVTWNNVTAFKWTGTYFQNASSSYTSDPVGIAWITFDTTT GSSGSATPRIFVGVVDDGQSVFKSEDGGVTWAWVAGEPQYGFIPHRGVLAPADKTLYV SYANGVGPYDGTNGTVHKYNITSGVWTDISPTSLASTYYGYGGLSVDLQRPGTLMVAA LNAWWPDEIMWRSTDHGTTWSPIWAWDGYPNLDFYYSYDISEAPWLQDNTSTAQFLSR VGWMVESLSIDPFDSNHWLYGTGATIYGSHDLLNWDTEHNVTIKSLAYGIEETAAEAL LVVPGGAPLLSAVGDIGGFLHWDLDTAPAQAFHTPTYGTTHDLDYAGNNPANVVRTGE SSTAIQIATSGNYGLTWSPYYGASLSIGPGKVAYSANSDSILLMSDTNGPLISKYSAT FSAVPTLPSGAAIASDKRNGTVFYGGSAGSFYTSSDTGVTFTMTAALGSSTMVNQIRV HPTVAGDVWASTDTGLFHSTNYGHTFTQIGSGVTAGYSFALGAASTSTGYPVIYGFFT VDTVTALFKTEDAGLNWAMISDATHGFASSSANVVGADMATYGRVFVGTNGRGIFYGA PSGALPPVTVTGTKTSSSTLVTSSGSTLLTSTSSKVVTTSQTSSGSSSSKATSTTSTS QKMTATSTSSSSTATGTSSAYGQCGGSSYAGPTLCPSGYTCTYSNPYYSQCLQSS LY89DRAFT_672948 MEGNPTIADELGQAVSELTFNSESDLKKNVEYPDESQVKSVDHE AVNSQEPLTEFQKFPDLPIELRLRIWDYASEQPRIIELQSGTRTLNHADEINRLPPQS WVKVSVHGRQTPAILHVSREAREEGLKHYTLTNLESRDYPAVERPCYYNSRLDTIYFG PLCNAASIQQTLNKKIAMPRIAVDVRVGTSYRVMAALQLMHSHVRGSLEEIVFVVPSF IWLKECNFPPNVCFASTKSTGFDPRHRRVCRYLRKRVQQVVARESEPFYLAKNNWSEE LMPAFEFKCLTPIPDPGKVYNNLIIHEEELLNQDDWCVVREIEWKTGCMIKRAPHDVN AYEESWGDAGYELGFYGTFAAVEEAMRLVKEIADERERLEAIERKEREEREREALRGA SGTYWW LY89DRAFT_672949 MDASLVKPGPHSRTVFYNDLSDQEADKWVKKLRPHALLAFMTPI QYSANDLQCPHWYLMCEKDEAVKSASQERMVGMVKSMRIEKLPTGHSPMLSNPDAFVK ILDKVATS LY89DRAFT_538476 PTILLIHGACHDPSIWSLIIPKLEPLSYHYATVQLPTSNPKSLS LTPYDDVTAIHKIMIPLLEEGKEVVVVAHSYGACPGLASIEGNSIAERKDKGMEGGVR SVMFI LY89DRAFT_737737 MPNDELMRLVDFTSVLTELIDDLSMRYKDPNVHALAESISQTAP RLFAALVYSDLRHYVLVFHNAGLNDEDMPFTISSRIDSSTGKEQFQLRSSKSERAVLL DQGWRPDLLNAFLHFQWVFNAPIFECQNENQKLYGDVPHWTFDQHCILPFVDETEIPN NFGRKMWSVIIDPAHQLLYRDKQDPNPAIVIMKFIGTETDFQHELSVLKCFISNDQNP RLHLQRLLASYYYRQAYYFMFLMADGSLRDYWNCNPKPEFSSSTISWVLTQCCGIAQA LRTIQEPKTGQPGWYGILQPETILWISKDSKGKGQHGYSPIEQLVIADLNFSYPDGWS RRSKSRLRGHIPSQYAAPELASGLEISPSYDIWSLGCLYLEMITWLLGGCDFLHLFAA RRNKHDHGSQSQISKGSFYTIVEDDGKLEIPRNVIVLPEVVQWVMDLRKSPLCSKFLN DFLNLICDYMLVTYPRGRMQAAHLSRQLSSIRYEAANAIKDSIKPLDLEKLPEVRSTK SPSSPAGRDTPPRTPPSEREPRPFDFYRIAASNATLINSTTVFGSSPQNLESQINYEK SIIDPEPSTRVDESSLGGEKSRGQDGHINSVVYDPVQVYTRGLDSALASGNLESVLTF LSDHFELMAKGDYVWLLELRDHGYTVEEISRLLIDERQDSPWIFFQPSTLPKLSTKLI LDDYHQPSCVHMIGQDLGGHSDVISYMDASDPFSQLMELSSDESTTLLEELCGLAGVV PDHRNGMAWTGSVRFEKQDNLLTASVSYRRNDDEHSLASLAEVNPFFSIDTVDRMYRM IHVLSNLCSGIAHAQRRGLCCDSFTFLFLQSGGLGRPIIELCHLKVMCASMLLEGLRT LRTENILRLPLTAMEDVKGFTREIFHAFDLVNFSAITEVQIVDHASLAIQFLSLAFAS YLKAHTSPFQPFFLDSPLQSIELFGSQDLSTGRPSITASFYQFTCLHEMLQEPVLVFK EGRVEQPTGERYDLSCFPEDLVDTWGPSQFIPSNNAIEATKGELVAVVIGGGVIKPTD STLKTNKIFHWSKDIDPRHDSLVRFTRGDKITVAGVVRVNGSCQSNPIQRWSSFISSF ENLGTTPGYWQFTEFQAGISLIGQQFAGAQLQFNKTWTWHPGNSWKHQYLGLMADDLP LAELDRPWGILVSACTGVARRVPLRVLLADVLPAFASNLTSTPKAWAKLQPGIVKALQ ESSSHVKQWHDDLCNQPDFQEIQNFSRRLIRHILLVLRDTGIDREHKTFRIACPQGHN AAAPITMCLPVPCEKACLWAQILTDTENCATFACMTTQCLESQEHKCQTTTPWHCPSL DTAVQQLRAHKDPILLQPQTWRLIIDALYWIGSPESGLQAKVLKSATSPIPRLHISKG RIPQKTRARLGAMMSKRDRLRERQIDTWPAEDVLVLS LY89DRAFT_687778 MTGKDQPVALVVGASRGIGRQVAIDLAKNGYTVVVAAKSTSDAY AKPFPPDPNSQQSTISTVEREIREAGGRATAIAVDTRDYESVQRLVNETVKIYGHLDV VIYNSGAIWWASVENTPMKRFQLMQRINPEGLYGTIQASLPHFKQNGWKGRVIVVCPP IYSRFFRGKTAYAMGKVGMSVLVKGLAMDWEREGKKDMAVTGIWPASSIESAATGQIT KTDPHSVRDLRKPTIYSDAILAMLRAPVSEVNGLLDLDEDFLRRVEGVTDFSKYSVVE GAVPRRIMPAEFPDLTVAEQADEGRRTDSTKLRASKL LY89DRAFT_687779 MGGHIDCYLDCASFYGVIAFNYLLKNRDLLAGHDVSVEFHPVFL GGINVGSGNKPPWTLLAKAKYGQFDGDRAKKYHSMQNISAPSFFPPLTLLPQRALCYI KATFPPSTFERTWSRLFNALWIDHINITIPSDLSSVLASTRIFSEEEVECIMKATGEK EWKDKLLANTEKVLEQGAFGAPWFWVRSGEGREEPFFGSDRFHFMWEFLGIPWRDIAI IPKGTEKAKL LY89DRAFT_622845 MSTLPSSGVLDVPLPPCDSGTIDTTSPSCLATAFGTLQQATMKN NLSANGESNRDMKESQRALELRKFFAPHHNSAENDFNATLNNDGALNAYAETVVWRLK AVHAMVSRSDRIGTQYFLAGAIRTNVAHDPDDVITTSEWFGCSTVPTPGGLCEACIAI IPIDHSEDEYPCFVVNDLSRDERFASLPVVDGTIVSYRFYAGTPITTDHGINIGSFFF FDDKPRDGLTREQKRFLHQQARNVMRHFETKREAAERRRVALMSKGISTFLERTSQHT ANEDSIDPHEDGAHVDEQSQDTHGITPELTAAEPNDTSAIAKETVLDKIRYALDQAAE ILRDSLELNVGGVVFLDTAVGYTDTGNTDAYLDNTTNIGSQVEIEKSEDKRRQASNES NSGPVLSVDDYHSRQVSQESTRSHGDQHKAAKVLAMSAAKIAPWDSDANVLDAKTLQA LINTYPKGNVWYTDEEGYFTSLDQLNGLQETTSSSPPGRRKSVHSVDLAHSRAEATVL SRVFQGARQIVFLPLWDAGGDRWYAGCFVWSRSPVPVFTVDSEVAYLSAFTNSVMVEI SRLDAITSNKMKSDFISSISHEFRSPLHGILASAELLRESKLDASQREFIATIQNCSG TLLDTINHVLDYSKINSFEKNQKGTISNELIQTTNLALLCEDIISGMISASEFHGIIA DDPSSAISREFRPGLASQDQPSRRATLDIILDIEQRDWYYNIQAGALRRVVMNIFGNA QKYTETGYIMVQMQIQEEARSHPNASTGNVLNLRIRDSGRGMSTEYMERKLYHPFAQE DSFAPGVGLGLSIVWSIVNQLGGQINIRSQIGKGTDVEISIPVEKVAEPDFQKIEADN TKNMLLEAQTCITKLQARAAATSVCFSRTQANNSRTKDINWTCIERYCSEWFGFAISK DPVDMIITDHHNESGYEAGQRVLVVHDDMLCPGKHVGEYQGYAVGHISPPVGPFRLAR CLLALMEQDISPPPSEASFNLHLADRATQTPLGSPEERTIMDGIILTDYGFTPQTTFS NTPVSIDEEKVDEKNEPIITQHPETDSLPASISQLTLSPATTKSAIPFSPHTNSPIIP STLFGILTLPTPKKSPPTPTSKSLNILAVDDNALNLQLLHRYLLKRKSDTIVTARDGV EAVAAVKDAKEKGVRFDVVFMDISMPNMNGFEATRAIRGLERRRGNGSEGGSEIGEDE SGENGAVGNGDRALIVALTGLASKRDRSEAEESGFDDFLTKPISFGRIGELLRGLSEG K LY89DRAFT_737741 MRSTGVRRAPRPNITGFDPKAFAAASGSPANDPWARAEAWRYSG PFTRFNRFKGSFPGLGIATVAFAAYCGYEYMFLSDDHGHGEGHGEGHH LY89DRAFT_592375 MPPPMQRFAGAPNNLAHYQQQQYPSHSQGLPPPSLASNPGFMNA NSMNNPFSVNGNALSLSGGFGGAGLGMPGATGLASQAAQLGFASANMHQGHNGMSSDA GSRGGQGSRNRIRDVWASNLEEEMILLRCLADKFPYISMDTEFPGVVARPMGSFNGKA DYHYQCLRCNVDLLKTIQLGISLFSEEGNLLPPEISAEELGIPTNEPLFRKFAGQMIP LPTTWQFNFDFSLEKDMYAEASIESLKMAQLDFRKLETDGIDPFAFGSALISSGLVCD EDIHWISFHGGYDFGYLTKLLNVLPLPDDETTFKATMSKFFPSVWDIKYLVKWASRES QVNLQNNSVSPDTSVTEIFQKFDQKASLDNLAESLKVKRQGLAHQAGSDSLLTGKAFF RIRDKLFGGVIGEEHNGLVWGLSTPEYTALLQSNLHSTPQHHYSHNQENVTPGQNGYA NGGPSTPNTGSAGLASTPGHNSNGGLAPITPGGMGGVFGNFQYNGK LY89DRAFT_194793 MSGNSSHFIVLFCRSLFWMSSPMILQSCSRARYPYKPALESKVR HPQPMLSLSSTLYLDISSTRIHISFLLGEFSYHRSRPKSSVLKKSKEFRHRDQQFVRR K LY89DRAFT_737743 MYTKRIEHKNPEANIKALQDLNRTGRTIFAKTSLEYKTLMGAPP RLAGEPGIDIENPDHCTSLIPKGTLPVLLSPRSFLEYAFRYPYYAYVEHELKLGYKVD TSVGSTSLLHSATCAGDYKMMQILLRYGADPNYHSEIDPTCSNSWEQHIELSLLRNSW KVGGSNELDREAKTIALFLEYKADTRAVVQGQSVKSIIYRFKDWDKGRTAELLEKFDV ARKAQARPNRLSIMFANLGRTNSRTK LY89DRAFT_699451 MDPLTALGLASNIIQLIQFTSDLVSKGREIYNSADGSLVENLEL ETIAQSLQNLSIDILPSHSSRTDKQLVDLCKKCNEISQELVKAITAVKANGPDKKWDS FRQALSSVWNQKAIDALSARMERYRSQLDTTLLVSLREKLESEDRTRLIFPQGLTRIN AEAKKPWHAELIETLKQNDWQAKSQQDRIAFSSRLSDYSKDDREQLCKAHILEQLVFG DMGDRYKRIEEAHQRTFNWIFQEGRDGDFVKWLSNDEDLYWITGKPGSGKSTLHNLMR WSGSKPLVTAGFFFWNSGTVMQMSKMGLLQALLHDLFIIRRVSELLLFIDGLDEFDGD CTKLASFVLEHSARPNVKICAASRPWLVFEVAFKQRPSLKLEDLTASDIMLFVGERLR GHSMFNHLESIQPQEAKNLIAEVTGKASGVFLWVRLVISSLLEGLRDGDNMKDLQKRL YSLPGDLEELFSKILNQLSSSYFEQASKLFQLVRAAGETLSLLSLSFAVDGLDEAIDA EVEPLSSLEKNTGQKG LY89DRAFT_195318 MKFFTLASTMLLASGAIAAPGTARRAERHRKRVANRSGNPMIKS NGAAAVAAANISNVEYSENWAGAVLIGTGYKSVTGTFTVPTPSTTGSGSAWVGIDGDT CDTAILQTGIDWTKSGSSITYDAWYEWYPDYAYDFSGISIAAGNTITVTVTATSDTAG TAVIENVSTGTTVTHTFTGGEDGDLCEYNAEWIVEDYEEGDSLVTFADFGTVEFTDCS ATDGSGTVGTTGATIIDIEQNNKVLTSCSVPSSSEVLCTYV LY89DRAFT_195265 MYARDVQKLSGFHIRWVLHHVMLSVARISTSSSFLSQNQSPHLH HQQPSYHHPLGLPYLPYNTLTRASGFEAICTVVNAKMGMLSFLQQAKQNRMPGGKPGL PNIRSCSNKLFTRLQELDRTTQTGFRSLACLSFGAVRITNRDRVPHCLQKGQSS LY89DRAFT_592586 MSSHAESSAAPTHPNIDESSKTQQLQKNACLVCRRRKLRCDGVS PSCGRCARLGHECIYNDARKKSGPRRGYVKGLESRLAQVEDLLKKYETSEGGKHESSN TLHVDIGDPSVFDDLDIQMPLDLDQSLMTSAEDNETFSRSFDPLITTPFSGINLSDLS SAPLDDASLWAMIELGVEEPLPPQDTIDELTEIYFTKVHPSIPIIHQSRYLASLSLPT HSRPPISLRYAILSHSASITPSYHHLHIHFHLLSRKYAQIAETSPNRQKYINIQHVQA WLLIAMYEFKMMMFPNAWMTTGRAVRICQMLGLHRLDGLGLDVKRTLRGVGERGEREE RRRAFWWGFSMDRYAAVGTGWPLIVDERDIVTNMPCSEEAFEQGMDDAPGISLAEAMS PAHASSLSPFAGCVVVASLTGRVFQHLHRPTTTVSEEEENLEFWKRHRSIDNTLLNMS LYLPAHLRLPSGSSNPNTIFLNMSLQSAVICLHQAAIFKAEKQMAGTAELVVEESKAR CFAAAMQVSHVMKWVAHVDLATLNVYTPFSLYVATRIFAEIAKRNPYDDEAQSSMRFL LSALVAIKDSNPLAESYLLQLDLEGFGLPALQENVTFSNLEQSVV LY89DRAFT_622860 MSTFSSLLDVRATPNQFENCTFETCSLSSSFWYYRPSLVANSIF LSLFALSLLAFILTYLLTRRFGIFTVALACCCTLEIIGYLGRIISYSNPWHETGFLLQ IVCLTIAPSFMAGGIYLCLRNIVEVFGSEKSRIRPESYTRIFIPCDVISLILQALGGA MASMASHQGHSSALGDHIMVAGLAFQVSTLTLFMAVCIDFAVRTSKHPDDNRDDTKLL SVRSTKRFSQFIFSLAIATICIFIRSIYRVAELSESWTGHLIRQQWLFVGLEGVMIVV AFAVLNISHPAFCFDARSAASTGAEISDEFTSGDQAKEMDSENRV LY89DRAFT_785412 MASPTSEAGNVPSSSFDALDERAAADKPEALWQEKFSYDLPGAV IIDPDTTSALAAARSEYNSKEMTSQGGRMVFWTDASTNGGFVGRSGMGVVYKRGPSRW ISLSYHVRKEVNIWAAEMGAIAKALQIADEEVTKTKARPSVVVIYSDSHRALWNYQKG GMQSVWFSRPLAKPGLKAAYNLRRLGIEIELRWIPGHFNQDHKLPIKGHVLAHSAARN GARFKPLPHINDFVVDHKSNPKKKKRATVAIFKTGVTVE LY89DRAFT_755949 MTVLFSHQSQKITYGEWMDLITLCLAPLLVHIIAGVPTPTILTS KKLSWHDKFGFYNPTSIMWRYFAILDRRVRAKSWSAMEMAASNAVFWTDGGWNGSEYM IWSSRLHCRIPPSQTHIDIISGSAAATLVVALQGISAIYALLMGVIFPKSYSYGDTVS ISKVFIPLTIFGLFRLPIAFWLSDDYKFSFSKSATANASISIERLSEAEMLTSDGEQE EGAFHRTSSWRGYLHRTIFMGILVALWGITMYYISP LY89DRAFT_687791 MSIWVPMGVLIAWICCFIIGGGLIGAFESGSICIENDEFTTTCY GNTGEWYAGIAFIVIAVLLKFTFWVLLIVRCFQRRGYVAVTTVVQNNNTIHNETGMPL RQYEPQQEQQLPPGYATRPYQDVPLQSQYDTSKVGQMKYCGQCGTGNTTPYCSKCGGH VTM LY89DRAFT_709397 MSLITNLRAYLQRSLTSFTAVGTPARSQSPSGGEPPPKCRYRLD NDSSDTLTLPDGRNLGCSRLEAAGYDDLGLKLGARIIAADRPGYGWSSSHPDRTLLDY PKDIEYLANHLKLDEYSVLGVSGGGPYALVCAMSLSPDKLKSVSIVCGLGPPDIGMRG ADFIHWIGFPYGWRYSPAILLRWFLQRDPAARLDWSDEKRFEVLQQQIASSKATTHKK DLEVTTDEDFLRLFLRSSRESYAQGFDGTLQDGKVMCTDFAFRIEDIRHDLPIQLWYG KHDTHVPLNHGEQIAARLGGRATFRVEDETHASISQHWKSEQLEALIKSM LY89DRAFT_195374 MPVLSVSTSYPRQPLVDWDLITEPQTSANNRRIHFARGKTLGGC SAINTLGYHRGSIGSYQYWADLVGDQSYTWPNILPYFKKSTTLTPPDLAKRNVQNATV LYEPKAFDNSLNGPVQVSWGNWVDITGTWLALGMQSIGLPLSPTGFSSGILSGYGGWV TSEIKAKDATRSSSEASYLRQAIEDTDIMVYTHTQAQRILFDQGTPQKANSVLVSTNG YEYIISANKEVILSAGVFHSPQLLMVSGIGPKATLEANDIPVVVDLPGVGQNLQDQIF FDVLSGVNTPNTAALVADPTQTANLLSNYFNNATGPYSSAGGFIAFEKIPPSMRNFTK RTSDLLNTIPADWPELEYIVLAYPNTPGANATTVGAMSGTIQAPFSRGNVTISSSSML DPPLINMGWLTDPADGELLVAAVKRCRQAWASDVLKPIKVGPEILPGPDVQSDADILV WLRGVVAPVWHPSSTCSMGKKGL LY89DRAFT_687794 MELLPIQNLLKSQIALRQNDIISATQLLVAAGSPNPPGDTTRVA EAATHLLTKIPEIEIIQHETAPGIVNLVARISSGRPGQRLVFNGHLDTYPICEHLNWT VPPLDGILKDEKIYGRGASDMKGGIAASIVTATVLAEHKHLWSGEIVITLAGDEESMG PLGTGWLLENVDIAKGDFMICGDVGSPNIIRFGEKGFCWFEITATGISAHGAHVHKGI NAIDRLRKALNSVDLLENLVVQAPPEIEEAIEEATKVSETTCGHGESHTLQHVTVNIG TITGGTLLNLMPSQASAQGDIRLPIGISVSQVLEHLHQHLDTMAGVTWRIIRSHEPTY TAPAHPLVQSALLASRQILGKDSSSMVNMRVGASDSRLYRATGVPSVVLGCTGYGLGA ADEYATIGELVNMAQIHALIAYDLLKPQ LY89DRAFT_737754 MDYAFDYEFFMLYPRIFIYTIIATAIAVYITFVAFRRPLGPQPA TYGHFQTLVDLIDDWSVDEKGLFWWGDKGVGFDGIRHVGTSSSRQDIGKIQMDAVYA LY89DRAFT_687795 MATNTPNALPHVLVMGGCGFLGSHIVHALLALPSPPSVSVASRS PSQNLVKGAEYHTSDISKQADFEALLSTLRPTIIINAASPRAKAGAQASAATTIAGTR LSLACAARCPSVRGYIYISSASIVSGVPFTLLTESAATLVNPTSHFDPYSAAKASADA MVLAANDPPQLRTAVLRPSGIIGERDTQVIPALLTALNQGMARIQLGNGRSKFDFVYA GNVADACACCAEALVKEETADVPQEQKVAGEAFFITNGEPIEFWGFARLVWRLAGDRT PQEKVIEVPMWLAFSLAWLAEWVMWVVSAGTKRPEKFNRMQMENCSLDRTFDITKAKE RLQWEPKVTLDEGARRGVEWAVAKSAEENGKKNI LY89DRAFT_591877 MLPTAKNYCVFISAEKNIKEFASSPNFSLHQAYDDGESIQMTQI YYFRVLREGLTTKLADLYPYMHDTLLNGVENHLSRKKDFINFIQYTVLLTRGKPYWTA ERIILLMESLWRAAGHSVPMQQEMNFSVLESLPLLNSFMKEVIRTNPLDSASTRRKAL KTHTFQDGPHVPAGNLVCIPSYGIMNDASIYPNPKVFDGFRFAPQSCFEELKNTNGEE KEAKKSKVTDVDLTFPFWGYGKEAW LY89DRAFT_672968 MPSLNIDPKLLPELVGLPAAMPPPGVVPNFINPYTLAPLSYWGG SVFVFIMICFVMARFYNKTFLAKAYTWDDFCKAGVGTHEWDLKIGQMISNEFLVDGGA FVVVTLPCMMFAKLTFFIFYVQIFRIRTHLSRWIWAGAIVTTGFYIATSIAQFYFTIP GPGQTWFDKIDLNPNSQSSIIGIVSSCFGIISDFYLFFLAVAGIWKLQIPKERKMGVI AVFATGLLACVVSVVGLVYRIKSREGSDGTYQLLPPAFLIVVELAVGVSCSCMPSVGC ITRKYSKNISGLTSYWSKLRTRYGTSRSRNDQTQPKEYSSSQVTPNRSMTNARPYSVL EGREIAQLKAAPISSDRISTWELETVGHDLPHKHSLDAELLHSSSPV LY89DRAFT_709401 MDVETRPFAFDSKTRTPWSVNESWFDDNISCDGLDDLSNAQNWK LGKNEPFSFHGRIHALESGSESSFGDVVDFDGLDDPAKAQNWRPGKKWTMITVLALMT FISTLASTMFAPSVPSVMMEFRSTSTTLSTFVVSVYVLGNATGPLILAPLSELFGRAP VYHATNALYVICTAACALSANLSMLIVFRFFAGAMGAAVLSLGGGTITDLFIPEQRGT AMAVWSLGPLLGPVVGPVAGGFISSALGWRWIFWILTIASGVVTIAYYFFVPESYSPA LLEKKASLLRERGNPYLRSKLASPLSRSATLYRAIVRPTQMLLFCPVVTLLSIFIAVV YGQLYLLYTTITFVFEEQYHFAYRTSGLAFLGLGVGMLLGAGIFGAVSDRTLKAKEKE NGLKPEYRLPVMMYGIVIIPIGLVIYGWTAEKHVMWIAPIIGTAFVGAGMMATFAYLV DAFPLYSASAVAANTVLRSIAGAFLPLAGPRMYSSLGLGWGNSLLALIAVMLIPFPAL LIIYGERLRKLSRFEFVLSSVK LY89DRAFT_672970 MSDREAGDNGLKLRPLVLVKKLWIDVDVGPRTIATGHKVNQLQT RQGYCPSQSILSIHISSPPRSESRTSNPEVKLAKHFSSLVQIQETENSSPDYSIPQGQ QYILRGRSKETIGEAIAEIKSTAPTTGLAAILKSLHRLDSSDLRTVPTFETLAKQEKV LHIIKNNAAKGLRSGCADPIKSRRAYGSECNRTVPSDEPVGIFSSSCGKAIRTASAHR LDWIGAD LY89DRAFT_672971 MTSHKNDRGNADLVIKKEDTKDKETGEPVVKQNRENTDAIVEKK KEKEVDGGREAKRAAEGTEASDSIKEYDEFSKEEGEEEKPSERVEDYYELVSSHSSDD FVWDYLNPPAEDFDEDEF LY89DRAFT_709402 MRLINTETMKMEEFFNENVPPYAILSHRWGAEEVSLQEWNRIQA IETKMDTLLQKAGFAKIISFIEATKQVRYVWADTCCIDKTNNAELSEAINSMFRWYQE AEICYVYLADVPTGLTESELEEHICASKWFERGWTFQELLAPHVVMFYDQRWDFLFEK RDRCSLLSRITRIHDTFLSESRRIGEACTAMKMSWAATRTTTRDEDLAYCLLGIFDVS MPPLYGEGKKAFVRLQEEILRHSGDLSIFAWGLLTLLYY LY89DRAFT_709403 MPMFLQGYKRTLSMNDLYAIDDDLKGTRLYERLLRSWHAVNRKK NHALTTAVLRTFSPELSFAIVPRLAYVGFSLAQPYLIKTTISYITYHKRLPENYGYGL IGAYALCYIGLALSQRLWMQIAFRTMTKIRGALVTSIYKKMLTVRAETTNSSSAVSLM STDVDRIVMTTFMMVNLGPDVVQIVIALCIMGTQIGATAVAPVILCGVCIGIAAKLGQ LVPPRQRRWMSAIQKRVGITADIISAMKGVKVAGLSVKVDKQIQGLRNFELERSVQFR KLQISTQLLGTSPTLLMPAVTFTVYAIVQTISGSAQFNVIQAFTTLSLLNLLVQPVMD LSIAWTTLSSGLACLDRIQRFLLKENREDYRILMSHSDQGSFSTRTSTEKQDYSLKPV IKIRRGCFGWKKDSDSAIIKDIDLDIMPGELTLIVGPVASGKTTLLEAIVGEARIFSG SVELTVPEEIAYCGQDAWLLNQSVKENILAFERYSKVFYDEVIEACQLVEDLRHFPKG DDSIIGSRGISLSGGQKQRVALARAVYNKKSIVILDDVLKGLDADTYTKCFEAILGPG GLLRRNRTAVILATHNVQLLPHAEHIVVLDEDGRITEKGSFDDLNSSDGFVSGLGLKK AAIQEIEAVVAEEEEIERTEKQIVLEKIAMIQELPKTDEKRKSRGKRNSSSLFSYIKS MGRVYFPIFAAFTVCNIGFRSAQPLWLNVWTAHNVKNPNTSMGYYIGIYILFGGLNVV FLGLQFWTFMIIIVPHSAKLLHRRVLTAVMHAPLSFFVATDTGEIINRFSQDMTLVDL QLPQSFMQSYSQVINAIAQIVLTCVGSGYLGIAIPVMLLTLFVLQKFYLRTSRQMRLL DLEAKSPLYSHFISSFSGLTALRAYGWTKLAEAENLHYLDDSQRPFYLLRCVQRWLTM VLNLIVAGLAVLLVGIAIALKDSINPGLLGVALTSVMGIGQTLSQLIQSWTQLETSLG AVTRINEFAVNTTREKDGPDMPPENWPSKGAISISGLSFKYGDNTVLNNINLDIEPGQ KIAVCGRSGSGKSTLITLLLRLYEPTSGRIVIDGIDTSTLNLNELRESLVALPQDPMF LAGTIRYNLDPLSRETDETVWDALERTGIRDVIEEKGGLEADLNTDWLSAGQRQLFCL ARTMLRDSKIILLDEATSSLDRETEARVDELVRTAFTDWTAIVVAHRLKTIADFDKVL VLQDGCVIEYDSPSNLLARDSKFKMMWDLQEA LY89DRAFT_785424 MALLRTESKTELEVLSSLLGFKGEKMADVIFAAIDFQGAANIEE GYKTSTNSEFGIATLDTRDLLRTTQIRKDPSSFISTRTFITGTHKHWRKVTRKYRFGT PERVSIYELPAKVRHALMIQDDVAESKPRILVTIQHAFNGHSMHALRVTKENGLQAVS EELYISRIAAKVLHRRDNCRIPLTELSTELDLPFREDPDLHVCGDFGVAGNDANCIIR ALLLLAVRNQETFQCDEDQVRLLAQLRGIAEAPLPPQKTAMEVKRLLALQPTKPLDDS DVPIDTSFFASRLPKSKDEGWRRWSRRKELHQAHFPTYRESLGSTSEAQESEVEDVSI QNFPAT LY89DRAFT_737762 MALQWVEEYKTGRYYLDYTCPDGQIVRRYEDEFTMEPAKNNSAV SGSDERMEEPGVNRLSMNDTQPNESGGLTIRRYADELQPYAYESKKLHSSGSNWGFTS QPSPRKSNGYQNASGYATFIPSLESGVEDHKILDSESQELLFQYELETKSAQKPRITQ TASDRSLLMLIRDAWGAEQDAVALLDSGSDDDWISTRLVKKLGFRVEPSTSPLEATCA NNGTITSEGTFLLKWRLKHRAFQLKFNVSDFEHIAVILGHKFLTREGVLHWDHSGLYP LISASKASEQEKVKQEAEKQKQAEGRRRYEERKQRSDRHQSQGYGSSSGNQTSRASGS STATT LY89DRAFT_755993 MKGYAKLGWLMGTFPDASIMRRFSILGAQNLLYLQAELTSLEAD LRKYAAEDDDSLHQDRSVYSLDWLALKESIAEHAESGNEGRQWETMLAIRNKLEEYHL SFLNEWMSRTDMGNVYLLGNDSTIWTDSALRPDLVTLKSRTNDDLFYRWISEKIIKRF HRLLGHRFKKSRSEEQLSGTVIYGDSSVRRLTKSIATILACMLPILSTVILYLVQNMS KRLGIVTVFTAIFSISLVTLTNAEMADIFAATAA LY89DRAFT_687800 MSSPVAIVTGASSGIGLALTKHLLSQNWSVVLLDVQNPPAEAAL PKDKSLYIHCDVGSWDSQAAAFKQAFEWKGRLDFAALNAGIDDRDDIFRTAALDQAEF GNHAPRKPDMSTFDVNLLGAYYGAKLFTHYYLRNPSKSRKLGCIVMTSSMAGLYPHAG VPQYTATKYGVVGLVRSLAPVALKVSGIRVNSICPAFVPTNLAPPGLMEAWPKSGITP MSTIMRAYDEFLDEEKAWNGQCVEASLEELTYRGTPVPPGSEKVEIDIHPLRLFDEIY RERNIKFARRSKI LY89DRAFT_709405 MSLLLLVSILSLTVERTRAQNTTSSPAVAFSQLTPSTNLTWTPC LGTFECALFDIPATTTPYKGMVLTNPGGPGDSAIDDLIQNGAYEASTVGSNYDFVAFE PRGIGYSTPATNCTFNITPIPGIQQRSLSRRSSQSTQLHGPYLGSTFWNDDYEGAIEV GQVCEDLTGGYTDAGPYMSTPYLVHDMISIVDAFASSPLSEGVEDPSLVNFWGFSYGT FVAQTFASMYPDRVGRFVIDGVVDPDDYRSGNLLTNLQFTDEAFSSYFVYCHLAGPTV CPYAAGSSAFDIFERFEQTVERLDVVTAEKEALEEIKQFAHNIAYEPILGFPDLAPIL LFMDTASQNISMDSIQQLETLLGQNITIGIGGLEWLRAVACTDNGNTVYNYTLDELSY IKGIMEAQSYVGGEFWANLRVECSGWSIVGNGRYTGAFGGTTKTPMLFVSNTIDPATP FPNGIKGTKIFPGAEIVLIDGAGHTTLGPLNKCGNSKINAYFQNGTLPGDDNFCSLEQ GPFN LY89DRAFT_709406 MSFKLSLIGLVASLLASIPIVHAAEEYPLLPTWKCTSSGGCVQQ NTTVVLDKDSKQAAGAAGSRTAADYAAMGVSTSGNALTMYHYVKTDGTLNAASPRVYL LGDDGKYVLMSLLNQELSVDVDLSALPCGENGAFYLSEMAADGGTGGAGGGNGYCDAQ CQGYCCNEMDILEANSMATAMTPHPCKGNTCDKNGCGYNPYASGQHNYWGPGKTVDTS KVFTVVTQFAASGGKLSQLTRKYIQNGKQIGGGGTISSCGSEGSTGGLTGMGQALGRG MVLAMSIWNDPTQQMAWLDAGTDGPCASGQGSPSNIQSQHPDTHVVFSNIRWGDIGST TKN LY89DRAFT_687801 MAFFKTMLWLYALPIIGFTRADILSLPSSTITSDYCIIGGGSSG TYAAIRLQQLGKTVTLIEKNSRLGGHVNTYFDPVTGSTFDYGVISFDNISVVTDYFEY LDVPLAPLNFEAGSSVFVDFANDTVVPATALPQGNVTAALLGYLAQLDKYPNISNGYN LPTPVPEDFLITWGDFLQKYELGDMAYTVYTFLQGVGNILAQPTLYIFKYLTKRTVEN ILGLGFLSTSHHDNQQLYNNALAKLGPSAFLSSNVTQVTRLSDNVEVLVSTPSGPKLI QSSKLLIAIQPKIENLGFLDLDYEEAALFGKFNNSYYWDAVLRNTGIPDNISLVNVDL AAPYTIPAMPALYNIDYSGVTDLQTAYYTSPSYFSDEEVKCQILATTAKLVELLGYPA PNGTTEFVGFNNHAPFELTVSVEDIADGFYDKLNALQGARNTFWTGATWQAHDSSEIW NWTEYTLLPQLLA LY89DRAFT_737769 MARVLDQTFAFGSELGPGWKGKKILGKGDFGIVSLWEYVGDEAK TPAITQIVVKQTYGKPPPDPFFENRVMKQLSIGKSKHIVRQYRDAYEAQFARGKSFVG IYLEYCPGGDLTVFLDPLQSLIPSSKLKKDLLLEVDLWAMFFCLASAVAFIARGTEDF NAAPVLQGLFSTELVHLDIKPDNIFLGNRDEDHVRYPILKVGDFGSARSEAKNQTKSE FSKIIPDIGGAAGWRAPEYGKEAKNMRNPRRGACSNIFQIGCIMHALLMLEKKYPALT KSSPWQGPFRGNNLQRGQAKYTHGQDLFRQQWAALYSNTLRELIMECLMFEPDDRPLP GDLQRRVAAGLDASKAAAETLKLFENNQNPPVKSFEHIAIVG LY89DRAFT_195516 MDRYSQQKNTGPATNQYSTFDQQFGYDQDSQNNGSLASDEYWCQ ACALPFTECLCYIDSSPLSSQQNYSNYNAPQIKSLGYSQLAFPSTYATSSSSTTSSYY TTATGLSQPAYSTIAPATSSGEGARNLTPPESATKRKKRKGSMPPEEAREKRLEQNRR SQRHFRDRQARLVVDLKQKVEILTEENEKLVNELSKVSLRDSSRDSKSPGTDYSSY LY89DRAFT_652205 MATVVWTLDHSDSPPETEASSIQGADGSKNEKNEKNENVRVVVE PLPLGEPLKDTKKYWWPRSRPVDPDAIATQISVYDDPEVAKLYIPRPDCENLHRFDPS ARWTWREEKALVRKIDLRIFLFACVAFMSLEIDRANLTQAVSDNFLKDLKLTTNDYNL GNTVFTASFMCAELPSQLVSKWMGPDRWIPMQMILWSIVAASQFKLNGRASFLATRSL LGILQGGFIPDMNLYLSCFYSSAELPGRLSCWWSTMTSAIIIGAFMAFGILHLDGKHG VEGWRWLFLIEGIFTAVASLSAFFFMPASPTQTAGRLRGKKGWFTARDETIIVNRALR NNPSKETMHNRQPITPYLLLKSLKDYHLWPIYLIGLVFQMPMGPPNQYLTLSLKGLGF DTFQTNLLDIPSQVLSIINLLAFTQLAGLTNGLAFNGIIGQVQASAPILNYSIISLVK CGHCHFSSPFTYLLLGYPSNHSVQVGWASRNANTVRTRTVSTAMYNMCAQAGSIVYSN IYRADDAPRYRRGNKDLIGIVSRNIVLCLVVNFYYDWVNKRRDKIWNAWTPEGRENYL QTTKDEGSNRLDFRFTR LY89DRAFT_592240 MIKLIVILGITGEQGSSIASHFLTLPSYTLRGLTRKLTSPAAQS LLSRGISLHACDLNDPSTLPSAFAGAHTIFATTDFWGPFYNPSTQSALGPNQSLGEYC YEIELQQVKNIFDAAAKTEGLQRLVISTLVDVQTLSGGRYENVFHCDGKARGVAYGRA KYPELWGKVDQAFVPNYMSNWLGKIKLRKEEDGSWRLGLVGTGARPLPHLDVEADLGA IVAAILEGRPGKKVLAAGDMVSWSDQMRIWCEMNKVPFGGFDSLPIEVFDKFFPIPGL GRELGEMMEFMEVFGYVGGDPEVVLPGQLESPPKLTSWREYVEKHEWSSILNA LY89DRAFT_592310 MIVRALPRASNVWKRSFSSTSARREILDAESLPHRIIPRYQESQ TSDLLSLQWPSPPRNILIIKKDRAPQVTESLVEFAKHIHSNYDNVSLIFEPKVAAQMH RHFQFPIYTTSSHDTLPAKVDMTTTLGGDGTILHASSLFSTTLHVPPILSFSMGTLGF LGEWKFGEYKRAFREVYMSGAEAGSPFLHDQKHPHIQTETAETGDIVTGWSSVRGKSM GPTRSSKVLLRNRLKVGVFDANGNRVIGDNTAESADGDVHAMNEVIIHRGNEAHLAII DVFIGGRFLTEAVADGMIISTPTGSTAYSLSSGGSIIHPLVSSLLMTPICPRSLSFRP LVLPSNTPITLRLSEKNRGRELEVSIDGRRRSRGVGVGMEVRVNGEHIAKGQEWIGGV PCVMRHAKTGNEDDDGWVGGLNGLLKFNFPFGDHE LY89DRAFT_592138 MANELRQRHTTQPEKTETVAEAGHPGGEIKHGGAVQILRLLLVV VFFLSSCTTICITQFIGAPLYWIDRDLYYAYMALTKQSFGIFVTTLTHLWAPTIIKVS GDSSVMGELRRTEDGGVECKFPERMVMIANHQLYSDWIYLWWIAYTNRPQMHGHIYII LKESLKHIPIIGWGMRFYGFVFMSRKMSTDQPRLAHRLKQLKTRHAGPLSGSSGLDPM WLLLFPEGTNASNNGRAKSASWAEKIGVKDMEHVLLPRSTGMFFCLNELKGTVDYVYD CTLAYEGVPRGEYGQDLFTLRSMYLQGRPPPSVSMYWRRFAVADMPLDDHDKFELWLR ERWYEKDSYMEQYLTTGSFPSTTAAINGVKSTENQEFIETEVKLAKWWEVGNIFIVLA TCALLANILARVWNAVWYGRQA LY89DRAFT_721711 MYTPYYYYYPAAQAIPLPRPVPIQYTYPRPIIPQLTPGPGSYGT PLPVPANTPTDHHLQYIGGRWTVVPHYHLNQASPQVRYYSPPAAAAAYPRTGYNTVHG YDYGQAPPGWGQNAGVWNWVAAPVQMVPLPAPVGYQILPVAIPAAPAPAPAQNLNPAT QNQAPAPAPRGDDPTPAPMPHSQTDPATQAAPPRTEASRQANEDTTPAEPTHGRSAAS SADSTRAQNGH LY89DRAFT_785435 MEFDTKTPPSSTSDKNSFAYESARSRWPVIITGVIDDVHKAVSE VEDADKRVEGKKIIEDIAKLKYELQHDRKLTPLLDDGGADIAGYNKELEQLGYPTWFN IPWLYAECYLYRRIATSFALSKHWKSYDVFARQKIGTFRSSRPAVIELANRYHELITQ MQHKDEKSQEEINAAEKILFMEMCEICLWGNATDLSLLTSLTYEDIQKLQGSEARKAS EKNIIVNDLEAAYNVLKKAKADGKNERRVDIVLDNAGFELYVDLILAGFLLSSGLATN VILHPKNIPWFVSDVLPGDFGALLSALVTAQSFYSTPSEDELNSGKRPSPLTDKETKE LAFLFAEWSHFHSEGQLTIRTNGFWTEGGSFWRLPGTAPKLLHDLKDSELVIFKGDLN YRKLTGDAMWDPTTPFTTAIGPLGPGSGVNILSLRTCKADVVVGLKPGLDEELRAMEG GGGDSGQRKWAWNGKWAVCSFSGGK LY89DRAFT_195693 MDAPPDRQVSISPVPTSTETGYKVEQVISSTPLPNLVKPLEFVL ATPSEGREPDTRKKVRAHVMRDYHRTRRMAETKSFVKQKRLESAATLGLKPRPAEKQK GPEHQNDSENVWLQPFWNISPRVALPYQDNKVPHVFFVPDGPMMYVRPPKWPSNKAFS TWRQHLDTSGCVNSLFPRHSMWMPNWIRPQEGPIRGPLLFGDVLSFLMTTPECMAFRL ETIKWIQAQLQNKETATGEETMGAIMILAMWEPGVGSSQLLRAHMDGLENLVHLKGGI HDIQNPHLVTRLIIFDFLIAVSCSASIRFSSVACAAPPFLPQPTASQLTTSNTNPLIN SPLCGNGDFASITCAWRKKDIIYILETMHGLTNFVLSTPSTTWVSKRQKKTKQLISKS QLSLKPPSISTQKQATQVAKNTNSESETRVFDTIHCASQIYQRALSTPPIQFTSPQNE QDIQELYESMSASVSDGFWKRYTGIWMWVLCVGCATSSDRMERPYWMHLLSKVLFAFS GGRPGWIEITGALRKFLEVQERIRKSL LY89DRAFT_195714 MGLMHGLVDPWMVLLLSYTNQVVSLAPGLGLQSIQSPRHPAPSQ PRMESVITGLTCLTKVDFSTIHVDDVSADSQSLRSLYHFHSPNSYTVHSWNSIELATL FALPRVSWARIHVWERTMLGSFDFSKYEALKLKTLHLIVCFVHARFIGPLFEKTPDLE SLHINLLLDPSDNCRLARRINCVELTASLSKLTNRPLQVPLSATVQLYSNLRNLTIVT KVQTSASATHHAFTLNESRNGLVDWGVEASVVSLEFLDRLEFLEIEMACLFGWDRSGA RPICEIVPDSLKVLKLTSALGALSLPVSFSGFYQWDKEGLLNYFRFYPDSHKRCNIEE IILHEKLQCPLDSLQRDQIHTVRLSSLLNSSGVALRIQSL LY89DRAFT_687812 MSVWTKLKSTVLSKTPTKPQLKALLQPKPITGHTPLTFRSFLYS LSLRHLVLISLPILSLLGLYGTWALGFSNGLFSSIISLLEDPEASLPGTDELLLRSYT GLGWVDNQLAVLVTFFAPVLDAGTGREALLLFSLYGLGQFGGVWTLMVMESLRVGNRG LAVSFIGTIGLIFQNISYTITTPLWLMLHLLTSPVSKPFPGTHANGVLLIQPWDLRIL PFSITISYLLPSILMGLPSPAFFSTQTHQRWIALWQAFPLWTVLTHYLLRTTIQWISN RIYKVDPKARTPAPQGASYLNNAKWVYQFVIGLCMATHLLVLLITTLPSWLFPSFSPL LTRLGKETLSSVYVPYFPSLSHELSSFAEGVHTFLIWDLYIGSTAFVLWAVLLYRNAT TEKTIVDPTNSLPVYRELLTGEKPKKNGGNKATRKLVLKIAGWCLASGPIGALAVLLW ERDAIVRQKIKQGI LY89DRAFT_592295 MYVYVPSKKLDPAPIIVAIHYCTGTAQKYFSGSNYASLADTHGF IVIYPNAPSSGGCWDVASTASLTHDKGGDSETIVNMVKYAVTNYGGDADKVYATGSSS GAMMTNVLAGAYPDVFKAGSVYSGVPDGCFYVAGATAGQATPGWSNSCANGQNIKTAQ AWGDLVRSYYPGYNGTYPKMQIFHGTADNTLDYPNLAEQMKEWSNVFGISFVKNVTNS PQSGYTQMVYGDGTKLVGYSAQGVGHTVPIHESMDLSWFGIA LY89DRAFT_195731 MEEIKSLSQFNKLKASSSLLIIDFYATWCGPCKAISPVFEKLAK QHESSTSIKFAKVDVDKAKDVAQTCGITAMPTFQFFKAGSKADEVKGADVNQLQTKIG YYTAAVAKEGSGQGTKASASTGATSGPVSLRSLIDISTSRLLNTSNLSSVRNIASPPP AGYAIASATGTAQCLIHLSFTQAVTPSQIKITVANDSLSNGPSRIQVGTNVPVRVTKS PDGVESNDLAMESISKAENTQAFNIYSDEYTNGTTELKLKASKFKSVKSLTIRIDANI SGEAKTITKVKEMDIISSKA LY89DRAFT_622918 MRLLHTTSLKLSEFFGAKIPPYAILSHRWGDSEVTFQDLQNGKV FENPSSEKLIGCCKRAKEDGYEFVWIDSCCIDKTSSAELSEAINSMFQWYQNSQLCYA YLSDVYSVPSLQNPEPMESDIRKSKWFTRGWTLQELLAPQQVTFFDHNWFEIGTKDSL QTVISSITGIKHLFHFRRASIAQKMSWASNRETLRSEDMAYCLMGLFGVNMPLLYGEG DAAFIRLQIEIMRISDDESIFAWTQFVP LY89DRAFT_785441 MLSALYSKLHLHKQSHRLIGRCFVLSQGLAQERNGGVRVCAVIY RSTSTCPDRPIFSFQVYDTTENSADHAHLDAVATKIHEDTYEERDFYGGMFSDRLDFY GLAMPSDTTQEERVQKCIEHQKAEIAARNATGKTDYYIPGTFDQRDYQRQFVIIDKTD PQWEQGEGGFLKVRYDLLHGEREEDDQCPEISCRRADGINALGKWLYESKSIGQWFYE TYVGGGLIQEEMPRARRSRK LY89DRAFT_591944 MSKEARRITRDLTRGSPGDQAIFSNTKTAEQKTLAKRKSQYYGE VFANREPIASARERIQDEFTIITDLSSFLSTRFQRPENSIIVSLSHSCCMLYGGNFDP AYTLTITALTSQLQPVTNKRNAALLAKHMEESLGVESKRGVIKFVATPEENLAVDGKT IAGEIEELEKATADHNSSLQRSLSRGTNSKRRQSMKSLRGIKTSSQLPTHNESMVSLP STYEQNPPMPAMPSEKSTMDKRAEKAQRIGRRKSFMATIFGK LY89DRAFT_737785 MNLLQNGRRRSKQDGTYNGPARQGPVRHISTASLSLERVYEQNR AIAQKKDAATAPAPAPGPAAKGKPRLLLMGQRRSGKSSISSVVFHKMPPNETLFLEST ARVQKDSMQWVPKNENIYNMLMGGYSSFMDFQVWDFPGQIDFMDPTFNLDAIFGEIGA LIWVIDAQDDYLEAVNRLNFTVLNLQPNYPNVNVEVFIHKVDGLSDDFRLDIQRDIMQ RIQDELSDNGVENAPINFHLTSIYDHSIFEAFSKVIQKLIPHLPTLEALLNNLCRASG FEKAYLFDVLSKIYIATDSSPVDMPSYEICSDYIDVIVDISEIYGWARPKEYIESLEG PPWNHKLEDQVACKDAESCIVLSDGRRPIMLREVNKYLALVMVGKEGSYSKMCVITEN VNATVGGLLKAFEITKARK LY89DRAFT_195988 MFAASDMRFWSTVGDVIKNSCETIKELHNFCACFKAIANKDSNV SQKPLQAFWLDATAEKLKKLRDQTYAHHSSLFLILQTMNICLCSPAPDVIINDLNRKI DLLVQLITQTSSAHRSDIEGDDQNSLHSHANTELIDAVNNVAKAAKLIQSQSHTSHPP SQTFDHVESWRQQLRAAANEASSQASTTSTAHPSQRTPPSSLETSSETTETPAHDESD SEDELELEVLEQCLQEANAALKKSRHEDAALFYREAFSIAESLSPKKQERFGLSDVRL RTAVCCFFENNFKEAEKIATEVKNRETISPTNADRIRKLDATQLLAEIYLCSGKYREA EKECRAAWKGRYKALGKQDLSCFVSLSLLSLIMEMQGNYARAITYKNMIPSNINDATV RARRDRVIESAAGGPAIMHSKNIAKVKNITPEARAKGIDEFFNKFVRNSDDSSVGRLL YDACGQGLANLVHLLLTGWSPRFELYMPGHQGKSTVTTKDIAAVYGPFQETVLHAAIQ GGNVAVFKLLLEAGADLKTTEGREIFRGLIKSKDSALIDHIMGSKDSQLIDYMIGKLG PDFTALKDPRGRNLAAIARDTTGGWEWLNERIDNWYSSRNRTRPAWQ LY89DRAFT_195986 MADHITSKLNPDTHLILDQPLLRLPFELLRKNFKLAHFNVEKDS LAIKTSLKETANSSQSSSSPDEVLKNVDSMLAKMRGLKRKLTACSEEEARLHQQSQSR IRHLGELYTMQSLDDVKYEEWSRTRLDRLLVDYLLRNGYKESAGALATEKGIEDLVDV ETFVQMSRIRESLQNGRVTEALAWCNDNKKELRKMDSNLEFMLRFQQYIELVRTQDQS KLLESIAHAKRYLLPFKDTYPKEVQQACGLLAFPPGTHADVYSELYSPSRWTTLSTLF MQTHNSLLSLPSVPLLHIALSAGLSALKTPSCHSSHNNNTSISPTSSSSLTTSVCPIC STELNLLARNVPYAHHTKSHVESDLVLLPNGCVYGLQRLEEYSRKAGLEAGFVKDLRG GEMFEVGTLKKVYIS LY89DRAFT_195981 MADMDLEHSGLQEVANAMRGEDGVPNSKRKRDSESADDTRRGNK RISPNNDNHNGSAEHASTAAAALAGIYPTMTVPQPTDLSFGNPGSDSDRNPESSFMDN SQQQDSFMDSTPSSRNSKPAVGSDEWHKVRKDNHKEVERRRRETINEGINELAKIVPG CEKNKGSILQRAVQFITQLKENETQNIEKWTLEKLLTEQAIAELSASNDKLKAECERA WREVETWKKTCQSAGLAPKKDEGDN LY89DRAFT_687820 MPHGSSASSPAHSSQALLYSTGSFTGFRASIVELGYGYLSAEKK NPQPLCGNREGWGPLSRERYDFTPCFMDVWVSSVAVYGILFGAIAVWWLVRRKQKAEV ERDWNFWTKQALIIAIGLSCAVQLVFQILNYPDVWAGDFRFWTSVLTILSLGVIFTIQ WLEHSRLRNANGVVLFYWLFILIAWSVKLRSLISQQIYDKHLPYFIAYCIGFALSWVE FGLEWLVPKKNSVYNAIGDEDECPIEYATVFSILTFSWMTPMMRHGYKKFLTEDDLWN LAKRDTTKATGDAFQKAWEHELETKKHPSLWMAIFRGFSGPYFRGAVFKTVSDTLAFV QPQLLRLLINFVDSYRYENPQPVIKGAAIALAMFSVSVGQTMALHQYFQRAFETGMRI KTALTASIYAKSLKLSNEGRASKSTGDIVNYMAVDTQRLQDLTQYGQQLWSAPYQIIL CMVSLYQLVGLSMLAGVGAMILMIPINGLIARLMKTLQKEQMKNKDSRTRLIAEIVNN MKSIKLYAWGSAFMQKLNYVRNDQELKTLRKIGAAQAVANFTWSTTPFLVSCSTFTVF VLTKNVPLTTDIVFPALTLFNLLTFPLAILPMVITSIIEASVAVGRLTAFFVAEELQP DAVIVRGPVDSNGDESISVRDGTFSWDRHSDRNALEDINFSAAKGELTCVVGRVGAGK SSFLQALLGDLWKVKGQVVVHGNTAYVAQQPWVMNATVKENITFGHRYDPVFYEKTVK ACALIEDFAQLPEGDETEVGERGISLSGGQKARLTLARAVYARADIYLLDDCLSAVDQ HVGRHLIDNVLGPNGLLSGKTRILATNSIPVLVEADFICLIREGKIIERGTYEQLIAM RGEIANLVRTAGNQDSQESETSEETTSSDSSTIIDTDRPDGEREDEIEEAQERLTQLQ PIRPSGSAVKKRKGSMATLRRASTASFRGPRGKLRDEEESGKTKQNKEFSEQGKVKWD VYAEYAKTSNLYAVAIYLLMLIGGQTAQIGGSVWLKQWAEKNGEEGRNPNVGKYIGVY FAFGIGGAGLVVVQTLILWIFCSIEASRKLHERMAFAIFRSPMSFFETTPAGRILNRF SSDIYRVDEVLARTFNMLFVNSARAIFTLAVISTSTPAFVALIVPLSGVYYWVQRYYL RTSRELKRLDSVSRSPIYAHFQESLGGITTIRAYRQQLRFAAENEWRVDANLRAYFPS INANRWLAVRLEFLGSIIILSAAGFAIISVSTGSGLSAGLVGLAMSYALQITQSLNWI VRQTVEVETNIVSVERVLEYARLPSEAPEVIHRSRPPISWPAAGAVQFNNYSTRYREG LDLVLKNVNLDIKPHEKIGVVGRTGAGKSSLTLALFRIIEPAIGNISIDQLNTSTIGL LDLRRRLAIIPQDAALFEGTIRDNLDPGAVHDDTELWSVLEHARLKDHVTTMTGGLEA KIHEGGSNLSQGQRQLVSLARALLTPSNILVLDEATAAVDVETDALLQTTLRSPLFSK RTIITIAHRINTILDSDRIVVLEAGVVKEFDTPRKLLEEKGLFWKLVKEAGLEGSASL R LY89DRAFT_687822 MNSQILVFVHAHVLLPCITPSSTGSRYGCTGDATGSVRIPSATN NTMWRKIWVQGSKSSIYGKLTRGGKSSLLEVWIRTGTRSPPVDRANLVPDAMTSAVRI DASKRDSPFILEASSYIRTAILSREEDQNQHRHRYELLRSSREVSGSTWTCRDPITNC WSI LY89DRAFT_196281 MLVCWIRWLPAGLVRSLVSLLSLPRMAPQNHEVRSFESTSPHGP RTIWRRSGKPGRSTLQSGGSGSPSASATFAHHPRMNAPETRTRLLPGVLYESRSSRRQ TISDTSDTTPGSFVPGPGTPGPATPHPPPHGSQPPGLRQTPPTEKKKICHADGLLLRT RPDRDERLAPRCTVQYFSMFVLSPVRRW LY89DRAFT_673000 MLNKWFMGDAVLQLENRFSGGGTVQSTARLKQRATIYQAAPSSR LGQPAVLLQWSRGTAATDGEQCTRNVVIDQRPDVCNECSVRCLWIIYLNTKSIRDNAR EGQEDQESQDTGILLIVAREGEGKERGGARGKRHTLAGQSELTVPGLEMEDGRWRWRW R LY89DRAFT_196326 MVQRQNPLQPGDYYQREGTWPRNERFDVQDNRFVPAPAATPVHS RTQTIEDALKECVGIPFKEFGLIVRLDTGEDRVYSSPALAGFRDKIFTEKFKQDFRRS IRKASAPSNPYPSSGFSQDVMFNDFDADASSSTGYNRKHSSSGGSSSEYGCHVRRKVE DSDDECFSAKKRRFPNYRHREESNDDTPVPARIKKTQQLRIGDAGEVEKFYHTRFKDM QQSSCKVMGKAFVKLIEPKKQTHHPYTKGDGKAPPWWPKTTGDHLVRHKEPDHLLKPE RIRLLIHILRMVVEPANQQHPSIEKFGLNVRKLEEVTMEAMNNWFNDKEHPDNAQKKP FLKEIFKIARAEEKYKNGEIDGSTYFSVMYGEQSGTEDSDNESDMKPEGNEDEIVTSI AASMPSPDVVSPTTGHNPHMLDDTMRMRLPMRQSVHNPMEEQQPQYNDFGNYRNMGFH PQSPGIQDRRSFVPTASYSSPQPQLYNNWSMVSNSGGQSFYTTSPQQNLPPSSGPYLP LPNAQPQMLPPPQLPSYDGLPSGRYDTSPGLGSSLRTGSIGHPHPHPQHMPGFENFMQ EHGFQQHDNDLKDEHNLHHHQ LY89DRAFT_196433 MSKYTPTQPQSPNHNPFGAELRLYFTSLTALAAAPRNQNNRPAT NDPASQHDIYISNPSRSQNLSTFSLPSNFLHQLHITSHHITSHHITSHHITSHPPSSN PLIPPPLTRTPKKNKPQNPISSSPHAAISSHIITLSLPPPRTPTHLPTYLTYIQTSVR QPSAIK LY89DRAFT_652250 MASEPTEIYDLLILVDATYSMRSYLNSLQTSLPQIIQISALTDC FSRIGLLAYRDYCDKDLLDWSGWLTPSSDTATVVLVARAKKLNPLGGGDGPEATKTGL ARAYELMRVDATTIILLFTDAPPHSFSNGSLKDTSSNYGAELKALSDETCYGGHGLQF VDWVSAANSLRSGDKKAQVFSILERDMRYEEAGYYNYLSAMTGGTCFYLAKSSAADIS QVTVDVLLSWMGAGKAGAVGNPDLPAFLTRYADSAGMMVLKNEKDLAACKFFLATSDS AYDSVIPDAGRAAIKRGAANVIKIKASSDVLKKFLPKKNTPVLNFAKRYAVDADYRRV ALRELKKIIDTDVVVISLNPIFGSLWRDAVADRDHEARDELVKVFGSQVDRIADANEK ARMRIWLEESYDFTSEVAEAIESVPVDQRFPCVCLDPTLSFTSEDNGDEDDRPVTKFR RDELLEIGRSCDYRILRRLGRILTRLTYINSVAEMPAHIAAAESDVVRIPMALASREN KRKFWKILLHIIVPGTMLAGRPAAVLAALSLKLGIQPLMDAADQELLRWRDRWNNLES PETWNSSCLSLLLDADDSYRKRREVEMGLEGLALDDNATPNAEGLLNAKDRQLFNLLV SYKLLELNLDTNLEARIGWTPEKSSAPLGPTVVCASCKYPRSVTIMGKGGNCGLCLWN QVASWNQFPSREERERIINAHVSKFDDENTPATWVECNLSTCRAQYVVYRAKCLNVKP KCHYCRHSTQAPALKCSDCKNRIIYPLAYRPADMKVEDFKCFACTAGRQTIVKVETTA KKLRAENGTKWLLRNDKKIAEPFNQRSLFHTISTAGTDGFTQDVELFPAAGRRHLTIN GKSVLNSPELIATLESWISRRKVERGTCSLCCSDKRKSDLLLACGRSGCDQYTCKDCL DSWYGLNKIGRVINTAALACAFCRRPPTAKTLFKYGQGIHAVGNLRLAVEKGEWIFAW CRTCGFARRYMERVCAQAAPANLSNWECDGCKKYEGSPTVKKCPGCQTWTEKSAGCDH IACIVQGCGTHWCFHCGKKQTSSTIYDHMQKEHGGYYGGGAYEGAAADADDGYETDY LY89DRAFT_721728 MTPMFVLFSVLIALISSPALRTYKNYQKARTIGLPIVFSPFTTL SPIWALFGPRLGPICMRLPFGLGDFVRHSGFSFFWHDRYRMHEQYGPALCVVTPGDVQ VIIADGAAADDMLARRRDFIKCPAMYQPLELFGPNVDTVNGEAWQRHRRLTTPPFNER NSSFVWKESLTQASGMLKTWIKAGKEGVVQTPNYTLTLALHVLTAAGFGKSYDFDAGL AMPADGHTLSYRDALREVLKNLFVSIIITSVTLPSVMLSKSMKDVKTAIAEFKQYMVE MVEEEKSLVHEKEAEKDNLMSVLVRASEAEAGGRNGLTNEEIYGNLFIYNLAGHDTTA HTLAYAITLMAAHPNLQTWIREELDFVFGGEDAVGDEDYEKAFPRLKRCLALMYETLR LYGPIVVVPKYVGDAPTKVKIAGKEYTIPAETHAPINVAALNTLPMYWGHDSLIFRPD RWIDNPNPTDLSTEEHFQPAPGVFVPWAAGPRICPGKKFAQVEFVAVISKIFRKHKVG PVLLQGETFEDAKKRIYEVVEDSHMVITLQMKHPEKVNLVWEEIV LY89DRAFT_721729 MTQAIPVDEALDFEWHVFEQQFLDWQHMTPEAVSPETYTSTLPT RASPAIENGSCSPQSPASPENGGVGEADLEISNDSLNKQSRASSRRRIQNRASQRAFR ARQRQHVEALEEKLKSVLSEYEQLQQRYTALNIAYETLLKEKRMDSMSTVTCPTYRSS WTSDDLGVFENVESGSGDGLGRILFSGLETTDRC LY89DRAFT_737794 MDKTSAGSKEHTQGDLAVTDDPTGSTSNKTAPAQGFEWIAHGDA SARRRARAHVTRGFRRAKAAQAQLEKGGDVVRKKGKKSKSISPPESESGSSTSSPAES TPIYNEEVQVAPSAVVVQNLGAGLGSGRTDPFSSLPVNMSPDAYALLDHYFFGMAPLS FAGDQRSNFQPVKSLIFNVGLADSSVFHLVLSAAAKDIAYIRGQEESQDVVRHRGIAL ELIKKRVLDWQASSADGTLVAVALLAGTELLFGTPQNYNTHMAGLETMLNLRGGLEAF RETNPQLYSIVCWFDCSGSCNLLSKRRFTPIKYVPDLLYTAIDGVPEKILQGLPVGYD LFKELVSMFEGMHNVTSLVQSSGDHIHERPEFIVQSEAELYKTLCMPDTEGVRSRRRH IHQSLLILPLVYMALVSEYEGASAELFLYRFQKVLAGDAAPWGEAVANLFRTLLVSEP WESRLFAMQISLLVDVCTTLEWQSWREIKSSLLQFFIYDPACHGPMQESWKNRIITIT K LY89DRAFT_737795 MLEVTQLDDDGVGMPPSEILETELAQLWAMQLNSTYAEDDGPQD ALPSLTSNEPFSGSRLDSVSAEDANTQAMSDRLPALKPEEEFPSSQLSIHDEGDCHVP ERLMAERETPLGLQYLIQWEDCPEEKDWTWEPQDVILQDAPDMLMAWMTRDVGLESEA NAENVANVYVPKKILSKRKLKGATHYLVQWEGYPKKEDWTWEPCERFNVDAPLLVENF EKGKMKKKRR LY89DRAFT_652258 MPKYVFMGATGKIGTVAADYALEIAGPDQKLVFTSHSFPTNPTD KMKEWTKKGAELINADYDDVASLQKAFEGVEAVTFVSTWMFGEERRRQHKTVIDTAKA CGVKRIGYTSFAGAGLEKDLPYLSEDHKYTEGVIFDSGLDYNIQRDYLYSDNIPQLFA PSWTYCGHKWLLNSKGVPAAYVARDDCARVAAALLLGKGKHKSVYEVTGPEAISDYKI FEYICRKTGYKGEIQDMTDEELAKWWTDKGLPLSVKGDFSKFPMKLSVPDLVSCGNVV ERGLMKDVSGTVEELTGRKPLTEWEVVDRYSDILPRP LY89DRAFT_196587 MFQATKYAYAFHISWTELCREQTSDEDEDMSQEVWVRLKKSYGT IPGDWLTTKSYTIQAFPPRQMRPSKHFSEHVVHTHGWTLLLLTCSNSTVEVPKPVRDG FTRPWNQHERTEWAETQEFQSPILHLYARLDECLLVRSYFDNLSADVNSLLASIDCFR VLDRLRDVVPRLEHAIEEWKEWQQHHINRDSLRANPFVIATLDEIDASVQVIEKLRQD FDTMGKRAESMNTAIFNLTSVKEARESRCLNENVRLLTYATVFFLPLSFCTSMWSIND MFGSGIKGFAIVTTIFAIITYAIITYAIITYAIITYAIITYAIIAILLSPSTKKATHR LGRRMWKSTKDFVAGKTESLRKRKTKTKDQEREVVSEVMDPEKAA LY89DRAFT_196565 MNSGHFTTENDGFPHEEPPPYIAAPGGKRDSFAESLPEYTTKAR DAALPPIPKRPLKRYGAWICLGILVVIGAIIGGVVGGVFHRRSSNSDSYYISYNGTGY IQYNSPDFLALAAAECDQVTYVIYQKNNTGIYLRGQLKTGTYNGTSSPNIPEMQFHIS QENFPATGTNITAVCQQTNSTGVNLYFYYVNSYSGLFNLVEASVSFPDPSRFFTELYP TIAQVAPYVASSTSNVPSITSVLLPPTDIRVYYVYPSSINSNSELGIWETSRTDVGAW TPPTMVPDILIFRNNAVFTATAVNVSSAPPEIHLLYIDGGDMLARKTWNESGWDSEII LPVEYSSNLTEILGMATVVEAVNPTVLRLYSVQPFHVHQGSISMESNIYTDFGVVNSP SYNAFPVITQKPPLIAATSPGLNSTDNIAQVFFVRASYSITNYGPRTAILNATIPVDG TMWSVSTLGQDPNMPPNSLMQMPS LY89DRAFT_756082 MSSTATQTIPLETIRNDNEESDFNNDPTAASTAFDPAEDAIIAE SRLADSQVPDGGYGWVVIGACSILAFWFVGTSYSWGVIQAALVNKNVSSPASLSFVGS LTTACISFFALINAKIVRMIGGRFTALLGVFCLGLGEILSGFCTDNVGGLFFTAGLQF IVVSTISAQYFNKKRGIANGIVYAGGGFGGAAISFAMDGLIQKLGVEWTFRILGLIVL ATGLPAAWLIKERAPIKTTTFVEWKLFKDVRFTTLFLAGAIATFPLLVPPFFLPLYSS SLGLSASAGAGLVAGFNFSSAVGRLCCGFMSDSIGPVNTLLISLLGSALSMLVIWPVS DSLGPLIVFVILNGMANGGFFSTMPTVVISVFGSRRVSMAMGMIVTAWAGGYLMVRRM SYQKPLFGAPIAGYLLAAYGGEHSTLKAYHPAMFYAGSMALGSAGLVGTVKIMLNKSV FKKL LY89DRAFT_687830 MEVFNLLAPTLSGTKDEYGKDFVPPLPLSVDRVMENRPFKSPAP LLQLAPEILGIILSHIDSDSLSSLALVNSDCRQLARSRQFASVCFDYSDASLDLISLL LDEKASRNSTNNNLGSKFGAIEPCVRRLTVAAHPGWVQYRHHIDLSEFAELDESVQQE RLAKASDAFSLYKRDIESILSSRAALLHLELLDWEDKVPLVQSFFNSLTTSSIQHLKL YRVSVDEDFEVIQPSVSNRWDLRSLNMEILPKMRHMRDQRSTSRLCASLLQSCSHSLE TLVWGTCRGGTYTFGSNPADFPRLAKVRNLGLSCIKFSDIGTVNALLESSLSVLDLEY NYDPVIVEALRKHGRIRSLETLLFSISNLLGGTTDNYIEFLKENTQISKLTILGSLHC KEGEPGGDIPETVILPILSSSFHALTSLRLGWNENVTSVPDAALVLISKMETLEQVCL TVGCQFGWKYDWLVDHESIRNHLSSLPRLKKIAFTRDSYQSINSLGEIEHGLYYSDRT LPIAQLDAAGVDWRYGDLRQQIEQFWEDEHKKRMILEAGKYAAVIPRLEWIYIG LY89DRAFT_709428 MDVWHTLVDHSAKRLCLRPVGTLTTISNPSRPTTRSIPSISLQT TAASFSITSRRYLQPQDVQSTRLVPGDKNFVPLGDQGTGKTAEEPLENSVEGRKMRHY TVNFGPQHPAAHGVLRLLLELNGEEIVRADPHVGLLHRGTEKLIEYKTYLQAVPYFDR LDYISMMTNEQCFALAVEKLLNIEIPERAKWIRTLFAEITRILNHLMAVLTHAMDVGA LTPFLWGFEEREKLMEFYERVSGARLHAAYIRPGGVHQDIPAGLLDDIYQWATQFSDR IDETEELLTDNRIWISRLRGVGVVSAADALNLSFTGVMLRGSGVPWDIRKSSPYDAYD QVEFDVPVGVNGDCYDRYLCRMEEFRQSLRIIHQCLNKMPGGPIRVEDYKISPPPRAA MKANMEALIHHFLLYSKGYAVPPGETYTAIEAPKGEMGVYVVSDGSERPYRVHIRAPG FAHLSGFDALSRGHLLADAVAIIGTMDLVFGDVDR LY89DRAFT_571126 EKKNYVAISYTWGEHPNKSKSLFLDGSVFPCTEATYNALYQVSS MWTSPLIWIDYVCIDQYNDTEKGGQLQLMRYIYSRAEEVRV LY89DRAFT_592380 MVAWNDALERCAMGRRLCVTSKGFIGMVTPRTEISDEIALIFGA ATPFVIRHPNGRCDGSKECKLPFLFVGECYVHGIMDGEAFSHIVPSNGSRLAFV LY89DRAFT_196620 MLLCAQGVSREMVGEPASLQRSGSRHLVPAGDLDAHKFKEKLVR EKARSGLSSSDPRGWDIMDLVQERSPIRMKETDIQESNGGWTDLAQDLNVVVLFANGL EDLIRPTINFIWFLFVKSSMSSPCYSLIDDARPHLEL LY89DRAFT_196638 MAHMMYSQHHATMAPPQKPETFMLSSEAQQSLPQDAQVALQQVD NLKYFLISAPVDWTPDQYIRRFLLPTGEYVSCVLWNNLFHISGTDIVRCLSFRFQAFG RPVKNSKKFEEGIFSDLRNLKSGTDASLEEPKSGFLDFLYKNNCIRTQKKQKVFYWYS VPHDRLFLDALERDLKREKMGQEATTVAVNEPALSFEFDSSQSLFEQLTKAQQANSSS FSAQQPSYSQSQSQSTSPVMRAIDSMPPPQMIPQAMAMPEEMNPMAAYQQMAMGPSVA QQMVKREADFGRVQYNQNGVPISQAHQRHASMPAYGLEYSPAPSFVSSHYEDYSNRGI SFEPITPPQQALGMGAEPAYIANEETGLYTAIPDHMGGVNGLHGIMQLPPSNLTGPQF SHATRGYGANNVYSVIEGSPTYKQRRRRSSIPPGVAAMVAATAAGQAQAHTAHRPSDL RRSVSTSVGPVAEGDESGNNSPPGLAYSNGMHQLAQQHKDLIDMSRHGTPLSTVEDSP AMNPMALQHNDYSQLSNEELSGDGSIHDSPQRRHMQGPNGVIRRARSATMMELGPYPQ KSHSCPIPTCGRLFKRLEHLKRHVRTHTQERPYICPHCNKAFSRSDNLAQHRRTHDRS DGSEGPYGSYSGEEEDYEGEDQLGSLEEASPNSENGYLPQQFGGMNSLAMSMSMQNSG MAAPSQLISNHQLMQQPI LY89DRAFT_196776 MMAACLLAQIVSVSRGGRTMTRSILSSIFLGEKKRPTEDFLGRS TKLLPPKCLSFFIIVQIHVQFSSTLTPAEVGDAETVLKRARLASGYAAIHLPYASILR LY89DRAFT_196787 MYALTLRCHVSSFHFLSLSSILYLRTYALAQVCTVCRVVFLERR VLYCRIRIASNARPRERTVPPEYVSHHQAAEPTQRLNSITITSPHT LY89DRAFT_673014 MTTPSPSPSPRSTAYSTGNSPSSIKLPSPAAMPSIHSYVSIFDD LHARTRAEAIAQATALADGDIGLRLKIESELNAKFDKICEGAYIAMQLTPFFKEMSED LKSAWYDKFVWDSVYSDEEFPGDDSELEEFKEWFFTRLEDADEDTAFEYSGAVKNGKA VEDDKAVKDDGAAKRGAMVDGHWVGDGESEDRYADGETESTDGGAKLSWD LY89DRAFT_721739 MEGTIAPYKSVWKIIDSKALEKARSDDKYDEFYMIICDSVMREL VTPRVWDRCVTDDQKQSWAEYHVWMFAHAEEEVFPGDTTLIDDLVNRLQLTMNEPRIL DVMQELEDNRRAMEQRVTPWAGLERQAKYVRMEQLRKMGPYRYAKFRELKNRESRITA LQLERDAEGIKIVELFTTEVNGRPPFNIEFEPTKDSNVFISALSVGLYQVALDLGVTI DMEWYGLDCAILEKKVYGEAAGEPTLLIWAESNHPIDYQAVARDPESFRRPLENAHKV LKFWKWCVEMDHERGIPLTTLQQAHELLKKRGRFKNLWLRDCGEAEESLEDDDEVDDK FTFLYMLTSNFGARSSSSSYTTFQPTQDQHTSSPLKLFLYHPDTTASQSIHHQRYRSV WHTITEATARIGISVSDTIEICKGINEDMKGIKGYEIMSDDQRQCWMEWHLWEEHFSG GPAFPGDKSILTAFYEERKKPHGSNDQVQRAGDIESTLQGFDQCWAWRIAKWEDRKKT MAEYVKDRMQWKEIRAERRLNDPAYVARVAESDAFYKLHPLQLRSRGRRYPTIEIAGD LENETAFKGAAPDSIKKIEAELGLAFDVAWYLEEGVTHRIQAHAPLRDGGLCLKKPEV VKERLERASEALHFWEWCITRDYRARITLTTFPEAYEEIKRAGSEAVKEWKEEKAAFK ACTDYDEASFTYAQRMKE LY89DRAFT_622962 MIPLSTCFALVLLATQAYCIPAIGNQKRQSTLPSFVNTYAPIVY LHSTENYFPSDLSAQLANTKPEVNYTVVSGAPNPLTLDNLDSLNALGGTSVYLTSIDD TTSNPQPQWLKGVVPDSTGKTDGAVSCSIIVCDYGNGTVDAYYMYFYAYNWGGTVLGL NFDDHVGDWEHTMTRFSNGVPQTMWLSQHEDGEAFDFSAIPKYNNGLRPIVYSANGSH ANYATSGTHDHTIPGLDLPFGVFLVDYTNDGYLWDPTLNAYYASVSFPSGTTPVFASY DSSTPVNWLNFVGAWGDEQYPTSYPGQYDIFGEYRYTSGPTGPEDKDLNRGDGTCPAG IPDPCVILPTVIAGEKV LY89DRAFT_721741 MATQRYSQLSRTPLTENDGDLASSSAQHEDTSDYAHSQFQAGGS DNARHVLWGESYELDDRRSAISSAPLLQQNNAPTKKSSVMEPEIKEDPILDGQKRRLR PSGWKAGVRICAATAATICILNAILAIWAVANHEHVDGLSYLYTGGCKEVAKMNFWIH LGINAMSAILLSGSNYTMQVIGSPTRRDVNDAHTKRRWLDIGILSTRNLRAISWGRIA LWTILGLSSVSIHLMYSTVIFSSLSANFYDYIVVTKDFLEPMHESYLDGRLTNYTSTD CIAMYGIRFVSKAFNVLLVTGDTGTSNNSVLAAGAWGYTIEDIPGTAGYEVEIPYSWI CGDSWDEYPYQDRQPVCTTAVAKAAASSPTGWIVANHRISYCMVELVEEECQLNFSLS IMLIVIAFNVLKACLMILTALKINEPTLVTIGDAIASFLQEPDPTTEGLCLSNKIDFQ NKKWALRTPKQWKPKKHFWFRPASVKRWLTCNILGVLALHLLVLHASLIRGRYIGFVC LGVDLLVKGMKGISIYNLKLLWELGFGAVDFESTLNVETDGLIATTLLANLPQGILAF LYLTYNSLFSCMLGAFEWNRFARFSKPLRVSAPQGKQRSTYYLQLPYTYAIPLLIMSI CLHWLLSESLFLVRVNIRYGPGGSDSRVTTIGYSCIAIFCALIIGGVTMLCSIAVGFR QYDDGIPLVGSCSAAISAACHPPKEDSMVHLKAVK LY89DRAFT_756113 MAEQNSKEVQVSFSGETSSNDVKVDGSLEFVHEYGGNESKPSYQ EASGAPVENVSPLGLQVTWFTTIFLNIGQMIGTGVFSTRMCGLRWSEYAILALGLLIA GSQLAVYVELASYFPNRSGAEVVYLEQAYPRPKYLVPTAFAVQSVLLSFSSSNAIVMA EYLYATAGHTPTAWEQKGLAVACMTFIILLVIFSTKISLRISNTVGIIKVLTLIFISI TGLVVLGGHSRVKHPRANFEDSFAGTTANGYGLANALVKINFAYAGYTNAFNVVNEVK NPIKTLKKTAPASLLVVATLYILCNIAYFAAVPEASLKKSSQLAASLFFSAVFGSSRA SRALNFLIALSAFGNIISVIIGSSRIIRDCGRQGVLPYPRVWASTRPFGTPLAAYALK WSLTVLMILAPPAGDAFNFIVDLQSYPANVFFFATTFGLLLIRRRRSRLNLPKTEFRA WYAAIFFSLAVNVFILVMPWYPPPGGRDGGDVTFWYATYCVVGLGILLICAIYYAFWI YILPHFGGYRIRQELIVLEDENAKTHRLVKVPVAELELWDAEHDVLGQKLGVSSFGKL PADNSNFGHEKKDD LY89DRAFT_699490 MLIGLVFFGRREMVKVLDCYLKRNLKDNGGLLDQVIFTVHTDNE EDLAYLEDLLKTSPRYSKHIQTQTYSDGWYTANWEPVKDPKAVYIKIDDDVVFIENKT ISAVVTRLLDNPQYFAVSANVLNNPSLSWVHYHISDFNKDGSTPAPYAGHRWLPARVP PGSPYNIEDSPVSTFTYDAFGPSLGNWAAAAQSHYSFLHHLEKGDTWRYEFDTWDYSY TRLSINFFAIRGKDIMDAFPFPFSDDEAFLTEIRLAELKGMWHGLTDTDLMSRYKAYA DEMVCVNIPVM LY89DRAFT_673020 MDVEAIDKGSAGKAGRKPSSSGPRSIAALSAEQIARKRATDRAC QRVFRQKRRERVEQLEARYKELLRNAPDKAELQAAKQRGIELEEELKRLRAVLDKRKA ELGIELTEPSQSSLTVVPNPTPRPSFRDSQAVKSSVSTPKPYLPAVSKSEPDQSTSIG SALIQDSSSGSIWPTTVATDDRPHLSLRPSAQVQRRVSINDVDTVELRSTPLITSPDR KQRPATYFMRQPCLHEWEIPPALRSPSTPIDKILYGMVQHQRALSKKGIHSIELAGPR NPSMTALVAPEQSATVHTVARVISDLLNKITYRTFVDKLGAFLVIYPIYQWLIMQSYE TYHNLPSWLLPLLSQRTTPHPIWIIAVGTPTLRDVIIANQEKYDTEEFQFLFVASINV NWPHGLEAALSRKHGGIAASKAFWDHARDLKNWTLDEPFQSRYPELKGFVPFTKYPGK DVRVGNLPA LY89DRAFT_756137 MFVRDEPVEEWEDPIYEPPMNLDVIANLNVCHNLADAMHKMGLV NFEAECPPGALDMGSTDQGKVTYRCPGIHAGYFITDSVISNHTKKFTSVADSPEAETK TLQCAAGMGMVASALFLDKDFARRVH LY89DRAFT_721745 MSSDTDIRSKNQPFYLSLSQHPPTPAFRDLLENYSKILPKDVET HLEAIRDSAWTHHPYPSIGLFIFTDLGLSGDDLPNTPQEITSTYSTILQILKDGGKFL DTGCMFAQDIRKLVHDGAPSTGVYGTDLHGEYFDFGYELFRDKNILLRDHFIAADILD ENAAGLKELEGRIDVLNAVHLIHVFSLEDQKLLLKRFIALLKPERGVMVTGRLTGNLN AGYHELANAKATVKGGRAEIFEHNVESFKKLWGEVGEETGTRWDCKAWFWRFGIHTGG EDKPANWHRKKEHGFISFVVTRTE LY89DRAFT_652278 MDSRQSGNFDDPPASESYRETADRIVREILNNTTQSSDDISPSG ELYRAIERFHRRRGHPPGQTSNSSTMSSDPDSERRQRWLRREGHEASPLFVLPSQPTA AEPTPRERAASRLAGMSARRGRPRMSVSDRYMERHRAIMGENASSNGLADLQHAGRQL EQASSNLRALLDDPVPNISSPTLEPEYGTESERRVKRRKIDSDRLDSGFKGFSYGRYG QVEPGKLTMEIVSCDGGIFQEQAGHYSAENVLRNDSTVYCTKSNRCNLVLRHQGATVF SLKELVIKAPHSGYTAPVQEGMVFISMSSDDLLTRTAQYQIQYSPPRPRRSGSRDLPP IMSIRHNDDGTMTTAQARARRLYNIGLEDEECDYRTAQIPPEFTENAPAFQVTTECSD SEGDGPTHPSRSRLHRVMGLRFEDSDSSDEEPTPEQTSAWHEDYILNMGRSPRLRRRE TASNITLAEAEEASQIATQEAVRAVGGELMAPHAKFFIERDKSKCTVRFDPPVTGRFI LLKMWSPHHSASSNIDIQSVVAKGFAGPRFFPAIEMR LY89DRAFT_687844 MDQITDASMMPPPDQLPITTDAPIPAPEGISADEIALYDRQIRL WGVQAQEKIRNANILLITMKALANEIAKNLVLAGINSITIVDHELVTKNDLGAEFFIT EADVGTNRAEAAAPQIRKLNPRVNVIVDPTDIKSKGPDFFGAFDVVIATDLQPDSLNI INTATRINHRPFYAAGVHGFYGFIFSDLIQHDYVVERDTSNRATTIGPETRTRSVIDV KEKKENGKNVELVTKRELYSTWFLASDAATLPTEFLKSRRRLKAVTPILSCLRALWEY IQIHGRMPNNATDDFIDYTKIVQEKHKLLGLPIETMKSEVLRSFLGNLGSSIAPVTAV LGGQLAQDVINVLGARQQPIQNMVIFDGDAMEAPMYAIHPEGPLGEALLPLSTGAQPV MIDGILPANGAMNGFLPDMIQT LY89DRAFT_652284 MGRNKRQRLTSAPKSNRKQPKPLSRQSSISKAASKPRAHTQARH KEPTIPFHPTEHILLIGEGDLSFARSLIGHHACTNVTATVYESSRNELEEKYPHVVEN IDVIEDGGAVVKYGVDAMKMKAFTVGGKRGEGAMDRIFFNFPHVGGKSTDVNRQVRYN QALLVEFFKHAIPSLSPKLGSSIVVTLFEGEPYTLWNIRDLGRHSGLEVKESFKFQAK AYPGYRHARTLGVVKGKNGEVGGGWKGEERPARSYVFVRKGEGAVVGNGKRHREESSD EDEELEDSEEWEGIEDRSDEDHESEVTDECGD LY89DRAFT_196973 MSESTYQLQTFGEAGDDGAEQSKDDKASVQVSFRDDEEAPYTDG QTVPLNDDETTPLRDDENANLTKDDTQPSIFTRMGRAFSTALFYIPMPSFKLLALWGI CAGLLKLHLDLLHRWPQGDYGIGVLKESMDCGSHGAAYMAFAVQLPTNILATFLATAL GSARASMAIDAARLADSGMLVLAIPLYILQIVPLLMTIPTHLLYNSLIFRSTSAYDSY EVLVSDSFLHQNSTPFDLSILPLHPTSGHVNPLRNDYNMMPPGLNSLTDSIMQLRTAL VSNHSHAEWENLTFSSCQNRYKDGTYESFSNVVLISNYTSPSTANNSALELTVLSGQA GPRHQTAQKLVSLCPESFLSTHNSTEPEWKYVPSKASGLFDAYAPKNFPVKDQNVFVK SCFSQDVPQKCRLLYSPLVLRVATVCLMVTVGCMTFAALFCGWTLRKSADLEKFEEMV SMSSETQTGVFFGGAILAFTAFVIQLFVMAFSAAAQREPSGKSDQRWLLNVSPDTNIP RRPMKYPFHRIEDHQH LY89DRAFT_687845 MEGTCLCGAITVRVKDDELFSRRRGHICRCTNCKKTAGCAMATN LTIENEKIEIVGEENLKKFIDTKTLSGTPLARYFCSTCGNPIKSVTPLYEGKTVLKTG IFPQIPTPEWESFSLNRQKWELPLDGAVQYKTKSLGEKME LY89DRAFT_709439 MQFLKPLLVVSSLAASIYASPFSKRNTNEKKYAILDNDWSSAGF IPFLLAVDAGIEVLALTSSTSNSWQKQCAYHALATLEAGNLSCIPVYYGSTYPLINTY ERFQAWEAVHGVLPWQGVFAPYNATAEALGSDPTADGTNANRIAASAFVEGFPNTTAV TGKTAAQFMVEQVRKYPGQVSIYAAGAMTNVALAVRLDEEFASLAKELVIMGGYVDVN MYQATGTTNQADINSDINLMIDPEASKIALTAAFPNIIIAGNVANQVISSQEFLDEIY EVKNPYSKLMYEYYGTIFPFWDETAMAILIDPSIVTNATTVYLDVDVAYASPSYGNIH VYQSALMPPNIRNVTYVNTIDTAKFKSMIKHAVQYPKSCSDF LY89DRAFT_785476 MAATKFELFPKLPGELRNEIWVAALPQPRLVRIEEQITEEFEDA NYDDVIQVAEKRLAALGKSAFHDPPKSCAIILSEILGCEYEQLHQRFRHIWNNMSPYS WRFMRAQTQLEDHGFRTNRVQPSLPPLFLLEAGVKEAIFLATRSSRLWSQCPIPALLH TCRESRHAMELCGYQLAFAAKNSEPQIWFNFKHDVLYLTSARWENGIDDEYFVNGPWN LHQISSTDLGRVEKAALEEAWEPNIDDFLEAMFLFGNLKELLWVTCHVVVSYELDKDK KRLRLDDWGHQETEADLWGYLECENIDFIEDDVLGDMRGGMWGHYASMLRAWRKQNSG SSEGFLTSKNAELEELLQGGRDSGSKTWKIPTIKHAYIVTKNQARAILGRRYFLEQKL QDAERLEDEEGPRPQDRLPHKTANYWAPFDDENAVLEEWYYQYWY LY89DRAFT_622984 MFLISLDRTIIATAIPTITNEFHTINDIGWYATAYMITNCAFQL MFGKIYKFYSTKWVFITAFTIFEIGSAICGSAPNSVALIIGRAIAGLGASGVVSGSMM ILVHTVPLHKRPIYAAIFAATVGVASILGPVLGGLLTSNLSWRWCFYINLPVGAVAVP VIVFCLKTPGSDKTKSTLSEQLAKLDPLGTTCFVPSIVSLVLALQWGGTSYNWSDGRV VACLVIFAVFMMAFMGIQIWSGDKGTVPPRIIKQRSIASGAWLQFCGAGSVYVLAYYL PLWFQAVKGVNAVQSGLRILPTVVALIIGAFAGGFITKKSGYYVPVVIASAVIAPVGI GLVTTFTPDTSHEKWIVYQVIYGLGQGMSMQVAPLLAQTVLSKADVSIGTAIMFFAQQ LGSIVFIAVSQNVFLDRLVKNLSRMSGLDARTILDTGATDLRSIVPADHFESVVRAYN GAVVKTFDIATACTCLVLLSAITMEWRSIKKREITKAATQIEKS LY89DRAFT_622987 MSASSAHGPRNQPCKVCQQRKVKCDRKDGSPCINCTKMGVDCIL VESSRRKKNPPHYSELLIRLKRFEDALRGYGADVEKIARGEGVSRDNLVIRGKEFSKS PQSPGEVSTYLEKTNGTGLTDQFYDGEEILQSSSDIETLKDPIMATYDAIFNNGGDRF AVESPASDVGLLSLHPSPVQIFRLWQIFLDRINPLTKIIHAPTTQQRILEASSNLDNV PKSLEALMFSIYYFAATSLTPEESETLFGQDQTSLTKKYWLGFQQALANASFLKSSDI VTLQAFVLYLNASIMNIDPRTHYSLVGLAIRITHRMGLDRDGSTHTLPPFQTELRRRL WYQIVLLDLQTAQISGGGSPLLHQPWDTKLPLNINDSDLYPEMTTAPPSSTAGATEMV FCLIGYEIASYLHTTRHHETLSELESRLKKTYLKHLNPTLKFHQLTIQMAHSTLSKTY MTLHHNRHFPKTPTPTPAPTAAETQTFFTHLLTQLSSFNSILTLSSPSPILVDAFAQP SAQIKRSPYHWYAQKNFPFAAQILLLLFLRTPMFASGEERERAWRVLNEGFFLKRMGG SRLGR LY89DRAFT_197085 MHIISGVFPFHLISSHLISKIHMRKKINPQYEALGHDQMSKQNA HKPRPPLPLTLTPGPRIKLRSFAPLPHTLRPLLCPWSAPDPANLPNAPRSEKLTSSHR PPPPDAASIDERSRGREVSESEMGMLVRCSFVTWLGFCSCISLAGVE LY89DRAFT_197086 MKYAYSALALMALSGLAQAQIPACATTCISNAVTSATTCSETDL SCQCSASNEAAIQTAATSCVLAACGATEALGAFSTFLLLNSEKIYILTREIAVLSAAQ AACSSVNAAGTSTTASSSSTAPSSSSSVVVATTTSTAESTAESTASSSSYVASSTSAS VSTTVVSSSAYSTTAMATATSSAVVASNGTSTTSSAPIQVTGAAGNLAAGAGSVVLAA GLAVLAAF LY89DRAFT_622991 MHSSILLPAALLIAETLSHSPNSYKFDPLQHLAGVAPPFDPLDP PLDPSPPQGCNVTRAAYLIRHAAIYANDFDYESYIEPFVQKLSNTTVNWSTIPTFSFL STWQNPISDAEQEMLTRSGKLEAAKLGVDIAQRYQGLRTPEKIWTSTAERTVKSAQSL TQGLADDASSIDIVQVSEGGEEGANSLTPYESCPAYSSSAGSDQATAFLNQYAAPITA RFNSMSPAFNWTASDTYAIALLCGYETVIRGSSPFCSTSILSPNEWLAWEYTNDLMYF YNTGYGSAVSGAIGFPWVNATMNSLMGTQNNMSNATTDQDLYISFTHRELPPTVIVAL GLFNNSAFSGANNINRTMPLNTINHRRAWKSSHILPFLTNVAIEKMGCDSYGFDEGTY YRVLVNNSPQSLDGCSDGPGESCEQGNMTTWLGERAELVGEYGTSCMVDYGNSTDILS IYSS LY89DRAFT_591882 MAAQAKYTLPDLPYKHDALEPHISAQIMTLHHTKHHQTCVNNLN AALSSLSTAVAKNDIVSQLHLQQNINFNAGGHVNHTLFWENLIPASSPSTSPSAAPKL SAALTSRFGSVEKLQEKFNAVLLGLKCSGWGWLVRDIESGNLELTTSKDQDIVPVGKK PLLGIDMWEHAYYLQYLNDKGSYAKGIWKVVNWKKVEERFESSPEGVFGILSGLRSSI LY89DRAFT_721757 MQPLDHPEPTPAPSSPRNLFSSFRTHLNVPIPLFGSRSAPSQAH TGKQTQLYDLEAQRFDIPRRGSGVIIPTVKTSIRSGPAPFVLPHATSVPAAIHEEEDE DIDPLAPKMGTRAYREREKREMQRRKDEEKVAKVIADDVKVEMGGGSVGKGKEFASVI KIVKSFDLSEESKAGRS LY89DRAFT_652303 MNAVALPFFALLAILVTYLPCRSFWSHRNVPAVSIVVATNVYNA MSVVNGVMWPNDDWASWWPGYGLCDLEALLRYPITMALATSLCGLSKGLADCLDTNNA TVHPTAASKRRELLFNVMFCWAIPVLQMALHYVIQNGRYQIFPVFGCSDVIDDSWPTI LIIMIWCPIFTFSTLYYAVILLIRLRKHRRTISSALKTSGSGFAARKYLKLVIITMSL IVIYVPVQFVFFFQVLPRKLEPYSWSRIHDPATWHLIEYQHTGDAPLIQYQGWAGIVT SFLIWAYFGFNDTAVDTYRSWLVQLGLGRIWPSLKLSRETRMMMRRSQGSSIARGSID SHFDLVGKAMKYFDTDIRQESGATTLVDRHSNRQVSSITPLMHLLT LY89DRAFT_623001 MPLTSDLTLNAAKFDPASNSKQTSALNEALIAKLEQGPKWFEVG AAKYREMRWAGETALPAPTMLPEAIDITILSRENGRDIPCRLFFPSGRKSEADQKKCK GVVMHIHGGGWVLGDQKSNDDLLQFYAEVGDLAVVSVGYRKAPEDPFPKGPQDCFDAG DYLVKNSESQYGGPLRFIGGESAGAHLSLLATFHLLKLHADLKLSGLLLHYGCYDLSW LPSAKLFNKSLILDQEIMHHFTAAFLPGMSFEQKQDPSVSPLYENLENFRGRLPSALF TIGTEDPLLEDSVFMSTRWLMAGGHAILKVYSGAPHGFNRFPKQALKEAGDAADDTEA YIKERLEQV LY89DRAFT_687855 MAPLYEAFPVLNSGHLEVSSIHSIYYEECGAPNGVPIVYLHGGP GGGIEDTDRQYFDPSHYRSVLFDQRGSGKSTPHASLEDNTTWHLVSDIEALREHLKIE KWIVFGGSWGSTLALAYSEKHPERCLGLILRGIFTLRREELLWFYQKGADMLFPDFYD PYKAAIPEEEQGDLMQAYYKRLTGDNEEEKLKCAEAWSRWENSLSKLIIDPKYIERAE NPQWALAFARIESHFFVNGGWMKDGQLIEDAHKIKHLPIVIVQGRYDVVCPAKTSWDL YKALGGAGNSGVEYKILNEYGHSAHEPGIEEALVDAANKFKSIKA LY89DRAFT_709446 MFFKSIGAATLLAAKAAATIYYAGVAESGGEFGVYSSTATVGTG LPGRFGVDYDFINEAAIDTYVDQNKVNLFRVAFLLERMCPLATGLGSTFNETYYQEFA DAVNYITVTKGAYCILDPHNYMRYNNPSQQPTTGSIIGDTTDAKAATTAQFATFWATL AGRFKSNEKVIFGLMNEPHDMDTNLILTNDQAAITAIRGVGANQLIIAPGNGYTGGHS WTQEYTGNSPASSTVMYKITDPINNTAFDIHEYLDSDFSGTHANCSQAAATNLAPLTS WLQQYGFKAMITEFGGANGTECDSYITDMVNYMAENDVYIGWTAWAAGPFWGTNSACC SDSMQWGSLEPGSTAADGSPGMYTTVWLKEIQPLLPTTLVKSGISSLNPNGTTGGSSS SSSKVSASTSVVASSTMSTKVSTTSTAAAGVPLYGQCGGTGWAGATVCAVGTCKYSNA WYSQCLN LY89DRAFT_756171 MFKVFTLLAAMATGIIANEITDAAADYVGCTVNAYNTADCSGTI VSSTLHDCNVAQPCEAQAGASLELVCFNGCEGQLFVSVDDSCAGNLVVPAGECPEVQD VGQIQSQVVF LY89DRAFT_673041 MAPYSLNEQKLKLLGFEDEGVIQYSSLQSSLPIPILAAHYGVSI FDYMKASLVSLNIDSDHGTSNPGQSDLLFTDMFLREYPRSSLALYVKAGGIISSTCEP SFHGFTWSALLDRGRRGGDPFEVNEFFFGFEPLANFDAALYQKLPYELRKQILLESLE PRTVELLFSENADAAHPGHTEIGLFCSQPPPSLVQVNKYFRSQLVDGESALYKPIFDH RKCSRFCFPPKETVVLRQKCTDPRMIHHPSDPIQDTLSGSNTWKANFIEANKKKHKKK KNKEKKKVLVLAIDCKFAHISSISGHEFLPWRGESGHTEKTKSINHCCEQMHDGSR LY89DRAFT_737835 MNLRLEDALRDFNTPKAVEILESSHNLSQNELNSALRIAISSSS PDTAIDHLLSHGAQITETVFYGASARKYVISFQAFLDHGWDINSLEFGQPALRLAVGD EQLVRWFLDHDADPNLQGTIGCSILATAALHPSTTLLEPLISRGAQV LY89DRAFT_652314 MNSSKNLLSLLWRKKAGASQHIQAQSCPQAKETEHPGVPERPDL CERCNLIFATADNLRSLVSSQGLTYYGPQELDEHAEAGCRMCRLLRYKFTPDRPVGTL YLQGLTRDVPKATTQYPSHIRSMGHLSIKWNTIEIRIHGYTDDPVFSYIEIDPLSYDT GSAFVARRYKKALQECLEHHECGSRHFSTLPSRVIDVGLEDGSIEPKLYVSDSTEKVP YTTLSYCWGDIAQLTTTNATVEKHRHCMPMNILSLSVQDAITVTRHLGIRYLWVDALC IIQDCPTDVAREINKMGDIYSNSTLTIAATRSMRAADGFLERYFFDQPARPTEDRYTM RGAAEPEPAGRFFLPILLADGTFGNISMSKSPPREWDRGHLESRGWTLQEFLLSKRLL VFGHDGDVRWHCLRTPGFNSVLFPSHSEETKTINSSLFFLHAAISGRGERVWSDIINE YSGRKLTVIEDRLLAIAGIAAELNKEWKYSYVAGMWVEKLQQDVMWEILDEGVEIYPV SQQYIAPSWSWASVNKQVICPYNDRRWVAEILSCYVVLADPGVPFGRVKEGVLSIRGE TCPESRATEGDFSGPQYYTKLDDMSSGSSSLNILLRVTHTTKGSKGTSHIGSSGFILQ PVEDGKFRRVGVFSYDARIFSWEPQRQTPTNKTDWTTQVVIIV LY89DRAFT_721762 GKALQRISHQQRPATAFRTVGTYGTYGRRIVPQETTSRSRARKS AKGKEKAPVDIISTDEDAQTVTVDTTLYEDQPTVRLPTQSPTGSHDTILQHSAQRDHS TSSFHSTASNSAATIRPSIETEDQRNVRFGVTQPDPSGEPALIPEDDP LY89DRAFT_687858 MAPAPQNLLEAGDGAAASRPPHDMLNSPIDWDEMPDFGTEPARA APPTSNSQVFSGNITQPRDSLQVLKGKVIFGRNFYSFNQAETPEWKRVTGARTRCKAC DPIVPHFKNKRWVFYPSNSDVRIVVSCSWSSCERLRPKVKDGEFGLDRACKDLQEKAK CSETGAVP LY89DRAFT_756184 MLGIMSTSRIVELSCIIHEHTAKVDAYLESQKLPTPSFDTSYPA KVPLSPNIQSCQDAVLDAADELTALMLGPAAGSMSRYPHSAWASLQAIQRYGIAKTFS PTTSTTFGNIARACSLSESDTRRIVRAAMTYYIFREPSPGIVAHTAASKYLAEIPALG QLVGFLRSEMWPSAARMVGAMEKWPGSEEPNETGFALAYGTDIPMFDVVGRDPERAQR MAGAMEFMHSSPAYNVRHLLENFDWGDTPSGVLVDVGGGREQPVKWADVYYLRLVLHD WSDKYAIRILRNLIPALRKGARVLVSEICVPSPCTLSPYKERPIRNFDLSMKGVQNAK LY89DRAFT_540042 MGKTIFTTITPLPAGITRETVLDTLHDHLEMIDLNPAHTERHRV GPPPEASAEEYHCTWYQITDKISYFPGYKGKISFKACFHDLSTGVQIHVYAPMGLDIK EKWSLGGNMPGEPVQPAEIGIGAPIAGLYLREDVELKCNFMMTSFVKKQLKDALGVLV ARLIVKSQLIEAKETNRKIAEGWTPTSPVPPGSPPLSPP LY89DRAFT_591892 MPFIPHTPESLLPRSDSKNPASTCKGLTANGQPCRRSLSKSPNV SPSPSPRRASSPEAFCWQHKNQAVTQGGAFPQGIQSATIRERTSVDTLIDRLGLLEVE ERKKERRQRKPIPRPQPDGWNSRDDERHRPQPKQSSNLELLCCIGVADERKRAPRPVT RPVHERRTASVPVPRPQATTKSSSSHLPVRPPINRDPSSRTGEFLSLIPRSASPQTTA LLLGELAKPVSELDNEGFIYMFWLTPESVPAAPPAETASSLLAPPSRPEPGRRRTSDV LNTFATNVPNTANKKTIMLKIGRAQNVQRRLNQWTRQCGYDLSLIRYYPYHPTTLSET NVNEIPKTPRKVPNAHKVERLIHIELNGQRPQGGGKCNACGKEHREWFEVDASRDGVR AVDEVIRRWVDWAERDAR LY89DRAFT_197357 MAMIKCFLSKGWGCSFNSGDLSVSFLESSAIRGMIFKYADFEKC KLTGVRLIGCEITDSELDGCDLTDCMIKKSASKDSKMSRCTISENVDAINTTFTKCIV NNANMQRCKFQTSVCFESTATDTNMDSCRADTVVVKTSRNVTYTNSELRFCSIDGGFV THSSLSDCELERQSGLFFRIKDCQVISHPLALRKFIPEIRDLIFEYALAGNGKDSSLI AALRGEPKLYHEALEVLRKTCVFRLIDDFHYYYKAIPYWEVATTRSPPERLWSPHAIL MDTTYEYYNAWQHIQHISVRQVQPTSYDRVLQASD LY89DRAFT_756189 MLSTRQFFTSKPFPWPCAVEQAPFTNYLTGISLRQTDLSRSKIR DCAITDCSITGHTLAPIILEDCLIENSNIDACELINCVIKDSKVNESDMKRCTIYQNS RVTQSTMDQSLMLNSSAQRVHCENSYFYESELIKCKMVVCKLNNTHAVGIEAKSSLLR FCNIAGSRAKFTRSTLDDCKVCAKDSTVVESRTLSHPLALYKFPPEIRNLIYEYAIDG KGRGSPLIAALRGEPKLYHEALAVLNRTCVFDADKDILRKASSVLRTARPDEHADVLG QVRHTIIGADLLFVLATITFVLNEYKVLESIHINEWDYMQHGRANEDGHSDLLRFCKK LFRAKNLSMKKLTITFGSVLDLPFKTDTRDALMKAVNRDLENMLGVQGKKMQTEGASD EFTPKTTWTWTATPGTKLWLAMEDVDSTFKKFAESESESNAMDEDE LY89DRAFT_197426 MTSTYVSRSTNVRENHLSQYDCASSPSPSSSQLLSHPYRTSRVA FLHPFRHDLTLLLRSRLRSSGLLSCTRLAGMSSSSTSSLLLCLLGFGLLDASLLHSGL CCAVDGHCDRFF LY89DRAFT_687863 MASSMHPFCCTTFLTKNIDQEPPPQVAVLLECVNMQRLISPPPP PENRSIAIAALEAAGWLMRLTPQNDIRPTMHTYVVPCQDRYLMEIL LY89DRAFT_623017 MEQVSASSDQDQNVAIIQPDDDPVERVSASTILAIFFMGMSYVP AISMVLVLPAGILEQIGQSLGDTENIVWIPGGWSVASAVSFAIAGGFSDIFGRRYVLI AGQVITLIGAIVGATAQKTTIVAAGSTIAGFGAGVIFVSYPGITELLPNKYRGAGIGW TEFCMNIPWNCLGVLLANELVLHASWRWCYYIAIMYSVVCIAGTAIFYFPPSRPRNDY DKSRWQEFIELDFIGLGLFAAGLTIFLVGLTYLGLSTYSKALVGTTITVGAIVFAACF IYDFTIPKNPIFPFHLFAMMREFTVHLIILFIAGMIWQAVTTLAPQGTLYMYTNDGIR IGVTQIPSNMSGVLGGWILPSLVHKIKHVRYQIILALVIQTVFTACYAAVVPNHRYAW MILQFFGQACFTWVTSLAYVASGLFVPQEELGVSAGLIGTFRSAGGSVGNAIFSTIVN SLINKNLAPNIAAAAITNGYSESNLTELIPAVIKNAVGVPFAFAKVPGATETVVAATA KAFKDTYAHAFRTVFLSTIPFGIIGLVAAWFVKDPSHLLNNHIAIHQEKEVLSGKKTV HEEQVEVQT LY89DRAFT_785495 MAATFDKTKSNFPLAGIARDGWSTEDEATATCFCGAVQLSFPTQ GEGLVDTFICNCADCRKITASMFVSNFTIADTHLKHVRGQDNLKVFKQTYTVGGGNTS RTMANHFCSTCGTLMYRVGSAFPGMSILRIGTVDDFHLHESKLFPQYEQFTKSRVSWL HGVEGVKQVEGSYGT LY89DRAFT_785496 MTSDSERGKRLLSTTIDSLALTEPDRPWISVPRNDDDLSKGFTD ISFKQFSNAINHAAHWLDSSLGKVASKFKAFAYEGPADARLAIITVAAAKVGRKILLP FPLAPAQIKGYLLDKTECTAFIYAETSRHSIQSILDEQPHLRAIVAPPLLEWMTEAQA EPYGFDKSWDEAADDPWIIFHTSGTTGLPKPIIYTNRMMTISDTAEFLPGSNQESQAS HFANRRVYSTIPMNHLVGMVVALQGTVWLGTTVVLGPTKSTTPPLAAQVIQHGRVEGL IAPPILIKALSLQPASLHHLRALQFIDFAGAPLDHVTGDLLKGHTKLSPAFGTTEGGP YLTLPCADPNDWAYLRFRPSQGITFQQRSEQFYELVFKKEKDAHWQQIFLLYPELDEY PTKDLFQKHPSKEGLWLYSGRADDMVVLANGNGLHASSMEDIIERHSSVKAALVGGDG RDRPFLLLQASDEVLEVAKERDDVLEVVWSAVEDANSVSSEMVRIDREHVVLSEPARP FLRSGKDTLLRRETVALYKDEIEAIYERSE LY89DRAFT_737851 MTRERGGSVSTTRTYAGLMGRPLGLLISTIATTGFLLFGYDQGV MSGIISATPFNSYFPETDNDSVYQGFVTAIYEVGCLLGAIFVLTFGDRTGRRRAMMLG GLIMIIGVVIQITAIKGSNATAQFIIGRTITGIGNGMNTSTIPTYQAECSRTSNRGLL ICIEGGIIAFGTMIAYWIDYGASYGPDNLTWRFPISFQIIFGLILIIGTWFLPESPRW LLTKDRHEDAIDVIAALNGLETTDPEVHLQHTLIVDGIKASGGVNGKTPFSALFTGGK TQHFRRMLLGASSQFFQQLGGCNAVIYYFPILFQTSIGTTHNLALLLGGVNMIVYSIF ATVSWFIIERAGRRQLFLWGTVGQCLSMVLVFACLIPQSGKGPSAKGAGVGLFTYIAF FGATWLPLPWLYPAEINPLRTRARANAVSTCSNWLFNFLIVMVTPVMISSISWGTYLF FAVVNACFIPIIYIFYPETKKRSLEEIDLIFAKGYLENISYVKASFDMPFLSDREIEA MAREYGFIDSDEEEKVGEKADKGVDAAVPATGNGDETRASSGEKARVERSNSDRTLHM SDTQDHGFRND LY89DRAFT_699513 MAPHTLSSEGASKIREAIDSVTSDPKTQIPGFVFVSINNQGDEL IAHASGHRGADSKQAMDLESVFWIASCTKMVGGIACLQAVERGVLGLDDGEQVEKLCP ELKRAKILKGFDENDRPILVEKKNKITLRMLLSHTAGFGYTFFNPEIRKFGLPKGLDE FSGDIKDIEHPLLFEPGTKWNYGTSIDWACILLERATGDSLSTYIQTNIFSPLKITNM SFFPPDEMKQHLAHMNQRYPDGHLTEREHLLRKPLYEHTDEQKKGILNSAGAGLFAQP REYVKIIAMLLNDGTCPKTRAQILKPSTIAEMFTNQIPSMPNFAREPIAAATPELTNP IPELYPQPREQEQGWGLTFMLTVHEGATGRGRNTAWWAGLANLFWWADRERGVGGMVA SQILPFAGEWFCLREEGGVWANCV LY89DRAFT_737853 MATNSEIDIIMEEEHSYDRSYSIAEYHAFAHTNTYDTSFPRSHG LELSAWHIQDIKTPWDWLCASMPGFWLVKLPVELRQMIFKLVFDDQVWNGKPVPLVVA LRGHKDLYREALALFFKHPTNTFRLWLSNINRAMNMSKTAARGINKLEISVSSLTPTF VREVFTSGATFTDVHLKFSYTTSELEEKMIPWIKFMLQLSPKIHTLSVTYPTCLGFPE FPEIRKDYLFKRQQALYYGQTLPDRPDNIIQYIGNAFDIDPAIEDNEVEPCPKWTYAN GMGYSSPKFPDKPVAACTYEETTYTWKAAKEKILVWRFEDEE LY89DRAFT_699514 MRLINVHTKKLEEFFGDKIPTYAILSTAGATTRLSYLWVDTCCI DKSSSAELSEAINSMYQWYAKSQVCYAYLEDVEELNYDPDKKPSRWFTRGWTLQELLA PKWVEFFGLNWKYLGSKTTMCETIFQITKIPRGVLVSSHEIRRQSIAKRMSWASERQT TRMEDTAYCLLGIFEINMPLLYGEGERAFQRLQEELIKESDDQSIFAWDAEGFLNNGV FARSPADFRNAGDILYNLPKIPGFFAFNHCQDSQNLQN LY89DRAFT_673059 MNILSTVKLSDMKASNSRLTSDTAPRIAVFVGATSGIGKATLTR LVAQQTALKVYVVGRNAEKQKSFINQLQQSNEKAQIIFIEGEISLMADTKRIYNEIKS KERSIDALFLSAGYIPWGGREKTSERIDTFSALAYYGRILFILNLLPLLKASPHSPRI VALGGAGLINPNLFLEDLDLDQLDHYTMRNTIDHVATCSTFTLSHLADENPDVVFVFA NPGLVVTDIYNHGWGGRIYLRMFVGLIKPLMRMFAVPAEDAGERCLYLLTSARFGRKG AEEVSRALTMKKAEEGGLFCVTWKVESVFWETFLTEEQRQELEEKAWAKAQEVLKPYL LY89DRAFT_721774 MESSSSSRPSKERNISFSTMQTSNRMSERNPDNEPATPISLTPD NPTPAPTPSDLEESLTNDPISHQDHSNNETAQNLESAVRRSGPSRSASRGRRRGRVAR VTFPRGRRARVAAATTENIEAASTEPGPHIERGNNASGNAIHEPFLNVGNTDPINAFR RNFNGGLLPTATHAEVVSFLSQVSGVHPAVAHGELIHFLSHLSGWNLPPGFNMIGQEE MAGTRWNPEEDSAVVWETDSDESGGSANEDQSSDEDGSTSGEERAESQDEEADYITNQ IARLRRGPPRRDPGSLEESDRSFTGSEDREFIDERARAEERIDRLESAIPQLESTPRP QSSAGATTGSTAATDDAVMPITGNIIHPRGQEQLMREMVADIQRYDRQRESELEREYG YSRWSPESSPERYTLRSSSVAIRQAVRSMAPRGRWDLGGDEGDLGAVATLASLPVLEG STVNERQRQQRIRNVRAGLAEGFGNGEQADSRQPLQRLAMDQPDPSDEFLRMLIASHP PYSYEMEAHDEDTMLTETQRAFRYGFADPSIPMTQQQEDDFQDLRFDLRTRLESVALG MEIVVRMLDAVILDGMDRRDASHILLQWSLYMSGLHAAQLYHNLPYMLPPPVLALWTA VRRGWGSWSPDASFLEEVKDILQGYTVFDYRIDVDPTSLERNGLLSNVRLSALPPEEK AFFEELIEQRSNKLVSVAIVFRDWAMFAPTIDDTMRLESEQHFSRNQLRMDRLARLVR EERAINADGIDLKHYVTSREEISPGGQLVMQVRSQIRWSHRPVWQEQDPGSAFLKFLC YEELDSFVETGSRFDERRWDIVNPEYRCTVATKAPSDQDEAQSIGAGLPVPERALLAT MIRVAAHDGMEIRERVGFLSVWTDFEEQIPALLQTYPAIQTFLSLPVVNFWVALRRGW GAWRPSDSMLASMRGFLNHITGVDHAPPTPRRAGQISTISELVEQTILPNEEKERFLL LESIIPLVRIHRLFENWAAYEVTVDDTRLPSEEHFSRAELRLDRLERIIREERIINDH RSIRGARQGDSDRVYLFSRT LY89DRAFT_687866 MDDTETFDLLPIRLDPQSKAISTTSSSRILNQELKTLNELHKTL LSLESPAPPPPIPVNPKRSAQITKLRETGNDSFRKGKHAEAIQYYSLGLKMALSRPLW EPSGLVRDEVAGLYANRAQAHMAMQSWAEGSVDAESSVEAKKAGNAKAWWRKAKCLME MGRLEEAEEWIGRGLEMEGNESDLVALLKDVQEKSKKA LY89DRAFT_197528 MLTKVLSIFLLLLVAMPFSSQTPLNPDHIASIGHLLIPRISPKT TLYIPSATRTTSTQTSSTFTSSLSTSTTTPTIPFTTEISKSGRTIYVKTGISGCNQEG TAATSIRVLGQGGPATDILSCQAGCRFTTACEAYSFATASSNCTMYNAGLGGNVQSLE GTGVFFSMKYPADGSDFCYDDVPFTAGGSWLYPYPTRRAKGL LY89DRAFT_687868 MSCPDCFRGGITTTHPTGTETTIHGLRTYVAQPSDGVTPKGIIV IITDAFGWEFPNNRVLSDHYAQNGGFLVYCPDFMNGNSLDPAAIPLTDKIMNPAPSWF SAIVYKPIYVFQVLTYAIPWKSKSSIEKTHPRVLSFFQALRKSDPPFPTQNLKIGAAG FCWGGKHTMMLAADSSSSRVQRPGSSTPEALLDCAFAAHPSYIEIPGDLEKLSVPTSV AVGDEDTVMKAPQARKMKEILDAKKGDFEVVIFPGAKHGFAIRTHPEDRNEVECAEKA ERQAIEWFTKWLA LY89DRAFT_699518 MIPFLAFFPWIMRILEALPQSLTSRLSLDYEQFLAEKNVCPSPD LFPPTTTASFEDRQHRTIFHDILDSKLLPEEKFLDRLADEAAVTLSAGTLTTAWVLAV AIYYMLANPLLLKKQKLELEAAIPDPSQHTPLATLDTRPYLRAVIQESIRLGYGAPGR VCHIAPDETMLVSSSRDLAILPATPTCMTVYLMHHVESIFPSSYTFNPERWIENPRLD KHLFAIFRSWGSKECRFESDKGVLKLWETETWDVELVGAMVLTKVWNGTKGVRIRARK LY89DRAFT_687873 MASSTLLGLPLELRIQIYSYLFTAPRSRIELKKGSYRALNEDGG EEIQMCLLRTCKQIYNETRDIWWKYNTLHLRSILNAHAPAPEGIIAPNVAMRIKSQVR SVQMNIDTLFYKTIADRLVFGHNLSILAGWAQEGQLSSITLNIKSVAGRILYTHRQWM RVLGRHRDSEKDRTHKQYLEELRFASTAPNPLSTIKRRIVLETRSSTFEPDTWRPRHS LRVPKQGHNPIDMLQELASAWGGRLEVNGMLAYEDGNPVGGDILLEQSEPRLFYYKDD VHLWLMTEIVKEPVQGKHIGQFLLDMDRSSRAAFYGKYETELQALKQKYGIHRVGESS VAKPAAGEDIHRP LY89DRAFT_721779 MASRASSARSSRSSKPRPAHHANNSATLFRNPWPSAEKPTWSEL LTISNPLSWYNNHDLHKDERAREIKVVQPDWGISSPKNRGLKQENCIVGTWLGHAGVM VELPLEGVRNASMSRASTGSWPSLPTQRKPSLWVLFDPIFSMRAGPTQYTGPSRMKPS PVQAADLPACDAVVISHNHYDHLDLVSIKAILLRFPKCKFFVPLGNKTWLTATGVPSE QINEMDWWSDLEFSPRDFGFEVEENDMDSTVLKFTCVPAQHNSGRGTLDQGSTLWSGW VIEQFLHPKIEESDKLVVRRTRKGGIYHAGDTGYRRTAKSEEVCPIFEEIGKKLGPFD LSFIPIWRGGTLSFISYIGLRLSHQEIPSAFHASPADAIDIHKVVKSKNTVGVHFGTF IGSVNESYEATIEFDEAREKQGVAKLEDSSQAEHGRAGLIDIGESIAIEIETR LY89DRAFT_673067 MSTKNLEKFVHTQQVLWRSKLTLVRRALRPFKKLCNYEQNYKFG DWSKLSCEFPNAFKDHGRPFNVEDWQLAHNRLLRAEHLMKAIDSRIKSIKEEPKLSAA ASIKDTNTLEGDKSSGAVEFDSSDVDKAVKTHASTEVTEDSAVEKKSDVAKDRQSKVK NDEHSDEEPILPRKRKRAVVKFSEDEHKNQNATSGKKKKLMKGLASHDDMPEAEKPVA KGPAANRKPPKKRVMPSRFFSRY LY89DRAFT_623042 MSPKPTLIFVPGAWHPASIWDKVSSLLKAQGYNCIAITLPTTTS DPSKTFSDDFQAVRNAIKTETAQGRNVVVVVHSYGGAVGVSAVKDLTAAKGNTSTITS DPTGHVIGICSMASGFGPAGKTFMDALGGAPPNSWKLDYESGFAVLVGDPSESFYHDL PEEERKYWVSKLLDQSLKALTEGAEDTYAGWMDVPVWYLATTEDRALPFQAQQYFVQT VKDAGGNITVREVESGHSPMLSKPKETADFISEAVTAFVG LY89DRAFT_673069 MEAIEVRTSRDNEHTSQDDVRANYGEGRETRTAQSKYSLLSESK DSISAAEHARMAILAILQSYLHNLRNGNLTTGEELDPDVAAALEDLLSITADNVSGLC VLDRCGSDLGNSVELCELSDEAGVVQEIKRYSRALMESGGEIPDSVHDAAQSIICWTM L LY89DRAFT_673070 MAHNTNPSGQEEAQSPVQSKDDISENNARKIRFLDAEASSDSGA ADSNAQNSDAASNAAQKKNKSHLLAKHDRIMEDLLKQLYELPILLQNTKKIMEDPRVE VELKKVIDLAATANSWSSDVEDVREKVRRDEKRSMSDFDLLVYNLRRYRVLLHELDGT LAVNMEILEKSADLLEKVQEHKLRRHRELHRQDHDDIRISKKQKNEAQKNIRGSDNKP PGSRPDRDGEDDIQMKSTPGNDDPKTQALAPETGSERVGVQELPEIIITPPASVDDSE VAMQATTWEDEATDTGLAEADEMMDSIYDLYGMSCDKDTIEDEVEDYEKLINQILETL TAFAVQLRPEGEFDTKIAYQMDRILQLSRGDVEKEWEISQLRLDLLQGGGESVEERVL YLLKSYRILLHALVSYGLQMEGRVTEKIIEVHELMSQYQNSLPDIEEETSSQEWDGDV KSEFAESLESLEVEHVFQDGNCSCGTFCHFARARPVTPEGELDATERGIRDWYEKTQA AIKEHAYSIAVFLKLHTEQVKAGDTVDPIILWHAKKLRDLNVGKQREGLEPQQYDQSL EETRVELKVFRQQLINYFYECQDSGSLMELDPEVVAACIELLKANFQQHWDIEKKRVL GSVSETKE LY89DRAFT_737868 MATWWLRIPTRLEILLLIQQHNKLRPATNQQIAVTMTGEETFKL KILQQELNATRKGYNAVQRQAHRLSESIEKVLAFNPSSGRGKYDIDSCIEAARKYLTK LEAEKRISDALEDAVRGAKQLEQEANDIQEEQEQGRYIEDSKRNTCARDGNNVSDRIT FHTRMLGCETAQETPRAIANESFSSDDQEVSQDIGIADTPTVLPQSSFDMEISTDTLP FRQNGNFKASQAILRNPIENLASGNTTWQARKGILRKATREPKSIISKEVDSSILTWN PGKQQTEQCCTELKEREGKTGSSLREAQERAMALYSEVKHYT LY89DRAFT_756223 MTQCCLQCGVVLWPNLHSPYTIREQEILAQKYPYCDQYHHALWA QRQAPYAYCKLPGTPISRSEPAAEGIKKPEEKRHRVQKGVKENEGTDGRKGKEHKPQA PKPKEQPKKNATPSQGGKHRKNKEPESEQQANKGAVPTQRNEANKKAAAESKQRQNDG NKPEDKLKGAIKQQVEFKLDRRDEGNKKATAAQQNAKAEEMKGKAHLEKKKVENGRPV PGTQTKIAAAEKAAEKARRLHSEVEKFTK LY89DRAFT_721782 MSRIPSRMEILFLIKKYNQYSDDHGMTDKIKVSNMSDDDRRRVA ALDARVAALQNSHDRLPIFKSGERAKTWLDDMMKMEMKDQSMLNFPWDGAENIFRELY ESSKSQRKTVDKEKLKRIAATEEAKRAEDKKAREKADTNVADSAKREEKRKHGAKANP MENMLKWMRKDGEAKLVKPEKQKGKSSQAPLALDNVKDDRRYLAPSPPRHASPRRASP RLSTPQNDRHHHGERKSPQKIPITPARTPPRPSTPQNTRPKHDEARIPQKVRQSETQQ TKQTTPPSPATPKAVASPKGILKKRSEESVLKTYMPGVTHAEGKKGEVVNNYGSKDGV LQDAFNRAQELHSEVRKY LY89DRAFT_756226 MKHSNLSRHEILALVLQHNRSQGVGPKDRILVDQVSREEMAIVE SICVRNNQRKEKYGLIQKAAVALMRDLEQIEKINCQADGEAVDWKAAMKRCREMQVLM DDGRKYVERVRSDELRRAAKGKGLEGKVRKQPEQLQKYEEKTKEKHGKDPQHVVQPHR PGPAKLKTVEGKRVMYADSMSPPGPLKKKPQGDQQQHTSSEHERRMLTKITMSEKGRR ADDAYLEGLRLASEVRKFDLEDI LY89DRAFT_687879 MSDDEDFDVEVPELEGDFAAFAPQAFGKKSREADVAAQIGRTKR TTGDAPGPTKQDGEQQRLAQEPASKDGDSDDDDDSDDSDQSDDDEFPVSHELVLKTHE RAVTTTALDPSGGRLVTGSTDCSLKLHDFASMTPTTLRAFKSVDPSASKTSANSETHP INHVEFNPLSGGTFLCVSAHPQAKIMNRDGEVLAEFVKGDMYLRDMNNTKGHVSEVTT ATWNPVDKNLCVTAGTDSTLRIWDVTHRREQKGVIVHKSRAAGSAGRTRMTAVAWGSP LQGGPNVLVAAALDGSLVMWSGDGPYARPAAEIREAHKPNTWTGGIDISSDGRMVVTR GGDDLIKLWDTRKFKTPVVTVSHPSTSDHYAMTNIKYSPNSSSIITGSASGHLHILNP GNLKAELVTPVTPGSSLITVQWHPKINQIVTGSANAEVHVLYNPNTSVRGAVDVMSRA PKKRHVDDDPNFTTDQSTGISGDSIVTPGGVLTSNTGTSYSARHPTVGLTASGRSRDP RRPQIPMVTPFMKSQPDEEHINQSIPLSSMRDEDPREALLKYAELAKKDPLFTNAWKD TQPVTQYRDLSDEEEDDGPDKKKVKR LY89DRAFT_687880 MFLSTSILDAATTTVLTPPSLISATPFANFGSPVYELEDGDSQL QRWSSLIGIITAIIGNILISFALNIQRYAHIRLHEEQSHRREKLKSIPKSAGGYGTTG TNGHVTEVNGQNGEEADNTLQSSFSSRSTGCGDEDHKEEVTYLRSPYWWGGIVLMTIG EAGNFLAYGFAPASIVSPLGVVALISNCVIAPIMLKEQFRMRDFWGVVVAVAGAVTVV LSAKQEEKKLGPHEIWGAITTLEFEVYLAVTIFLIVVLMWASPKYGNRTILIDLGLVG LFGGYTALSTKGVASMLSSTLWRALTTPVTYALLLVLVGTAVMQVRYVNKSLQRFDST QVIPVQFVMFTLSVIIGSAILYRDFEKTTPERVVKFIGGCLLTFFGVFLITSGRPNRD EEDEESDEEGEERIGLLNQEHNAEENDPQGTLRRYSSARPLVQNGGDAANDEESISTR RSSRVSFADTTSRPKTPQRFSNSSAQPSARVVPPEGAPENAPLLSNPWLNSSDDFLYA ARHPGLPTTTSSPVLPTEAQTSTESLRPPSGPSRTISQGPVHTHPNHQTGPTPPQGDR PMTPARHSIARMLPGPLLSPLSGGLAAVVADSIRRDSSIRRSFRRPRLGLRRTKSGSH RYSQATDGEDDEAVETPTKDDDGEPDYSKSLGTERQTEWSRLTRARSLSNTLGDLFGR GKRQKLDRPNTAGEDEEAGPSGS LY89DRAFT_756231 MAHDPDRPAYQDLFAPASPKSPKIEIWGLRSDVLYHFYAYRVFA RKHPETCLDLVNLNDADVEYYNRTRNMVHSLNNYHKLATYMKNFALKHPVEDINTHRK RFICHVREYNLLRTDPINRFYLVNLSVDEAEFLSKLSAPSFKPTSTANNKSDNGSSSL NLENKVRFADNHPAVDAKLPSVVVGSVESVSSAVNLEKGKRRYRGKPVILKDVNRGSI WQRRKFRDGVKKIHGFSQTELSEPKSDNQVPIRIDFNGPCFQRQKLRDGVKRVDDLGQ TKLSEPKSGDQMPIFKNEEEIESLNNFAVPDTKPSSTAVKTGVESVHDVNSARSVEEN VTASGNDLREADLNEAELVPSDEQAEVLNTLPVLTSSLSFATDTESANEATAAHDADV NENPKGDENDLGVEELALNDEEVDVSSPRVLTPNSSSVTDIESDHDNNNQNSLGSGND LDEAELSEPELALTHEEIEALNSVPALTPDSSSLTSIESNYDNVNEDIMSGGIDLNGG ELNVLELSEPEFALTREQFEALNSLPVLTPDPSSHFGIASINEAAFITSGIDESIMAA GGDLDEAELSELGFSWDDEEIEVSNSRPISISMPVLIDKESIDGGAAATADVEESIMP TGDITEGRELSEPDFAPNDDEIEVSNTLPVLNPNSSAVTRIGGAFATADGKVTTVNKR ESLDNEALQKLIHSGNIEDLIRAVDILDFHEWKDEIYECQKAEAKAAEEKAAEEKTAR IKAAEEQGVKGLMRGFFFPASMAHNNPLAGSLIVAKYTLGWVTDGCPDVHTRTPEVLD KEELAVDRMIEKTLREYQERLAVRRRSL LY89DRAFT_737875 MASTSNPTTKQSSIPPPPILAETMAPRSSKTWNRALTLPRPSRK LRTTFSKTRKPLTLTRFPAEIRENIFSRCISIVDPRRSPNLIIALRGHPLLYAEVLRA FYRLNVFVICRDTLKTWERLGKNTAVLEMVRWVDVVALTPHQQVKFNILPGIFKPVFG KNQIERLNFTVSTDVELSKRVAKVLKGFPNLKYVGVWIRSVIYGVEERGKMDRAMDVV DKAIGVKRKMSTVSATSAEHWFWEHASRLVLPLPGKEERMTASKVGRLTVTKG LY89DRAFT_687881 MPPKNILLLGGHGKVSLLMTPKLLSRSWNVTSVIRNPDQKSEIL SAGKNGPGKISVLIESIEDVKSQADAQKILDKVKPDTVIWSAGAGGKGGVERTNAIDR DACIHFIRSAIATQSVVRFMVVSALSIRRNRAPWFDDESYALMTKVNTEVMPGYYKAK LAADDVLAVEGMERNKKDGFGWISLRPGRLTDDAEVGKVSFGKTRARGEVTRADVAEV GVGLLEKDGVSGWYDLLGGDEEVGAAVDRVLNEKVDCMEGEDLKEMRKSAAKL LY89DRAFT_623054 MENLDIIPLPIWAACLGLLSHWLYFIRGEHHMQAPLYGCLFLGT SAIVYYFELQLHDHDIFLAIAAEAQLEAAYLLALFTSMTGYRLFFHPLRHFPGPLGAR ISKIWHVWHVRHAQNHLVLDKLHHEYGTFVRTGPQELTIYSPEALWAISGPGSSCTRP DWYDSVAPLKSIANVRDPAEHDKRRRVWDQAFGSKAVRNYESRITKYGDQLDQHLAST KGAPVNVYDYFSFYTSDVMSDLAFGKSFGNLLNNTFQPSVQGVRDFMGVFGTLSPVPW FIRLGSGILRQLNGWKSFIDFTKRSLDERVMVEPSVPDVSSYLIGASMEKGTLEEDRD FLEGDALVLLIVGSDSMATTLINTFANLARYPRYQSLIRNELQTLSSLSNFSALLDLP VLSSVIMETLRLWPPIPTGPGRKVPEGGLMIGGRWVPGGTHVFAPRFTIARLESCFER PTEFVPERWTTKGEMVRDRHGYAPFSIGRYNCVGQKLAMRNVSYLVALLVSKYDIELA PGDDGTRVVRDLQDNFTMNPGRLDLVLKVRGE LY89DRAFT_785515 MSVLVVETAPSATPLGLELVDLKLRVLESNVPKGLDTITRAIYE TQDIEIGNQDTVAAAIKKYKGTSINLNGLSIQGRNQHLATLVHEQSITTGFISGWKCL VEAAPNMGLHPKVVEHVRIAVDVYERLRKGTKGHAPIDVKMSIGSQAAYDSIYRLGPK SLGGTAWRASEEYMAFLKNPAWSDSGYSTGIPPCVAMGWVGVQMKVTPTQDVQEVVRM QLLGTIDFDLDHLDENKSGFQSGFRSAAMHTRAVGTRLQGAALIGMINYDLQNYIRPI QNRWAAENIGPYNLGPADVSPQDWTAYLIADCAALVPFAYDRDYEISSIGMVIGMILL QCQDLLFDTGCSNRVATVAYVEAAGVAKYGIHAAYAIAGYEAIAKTFVDSLLVSDGAS TPHFGYSACMAAGPWGPYGTRYRVWERCVKYIRQLKQSEHPEAKALLKLSSQDMMLRD YDPQNDIGGGEWARAMKSNASDLVSRPTVKYFIPASASELFDTPGVDKSELCEVCVVE FDAIMASKELEEVHAQAGLPSSVLVGTFGRPARLAVGLHRAALWATTSKCCDVCACRV GYWADAAAYGVLSALMHDEPLLGPSLWMLQNYFVGCITFWPVSLPFLLPGFDLIADLS FEDGAMGDRDVVDI LY89DRAFT_756235 MTITFEETEFQCSRTRPDIPYILYVGIDYLLLVLREVNEIHRSF PNMPDPPQRYKLQLTEFWASEFSKNYGSSPKFDDESVGFGMEENAVIAAALLTGSNIV AYWEFRALFKGENSYFLDVSENGKSTFVGMEMDLSKAAEAPVTDAQTKTGQLKSTIWV DKIGKRA LY89DRAFT_737880 MKSPLYSITALVLGALAQNATELASTCPEVLVFNSTNIASLVPC TLLNYRCGVQPEYSSPNGSDRIIWNATASGPPAAGCTPYSFQIEVDESINGSLSIPNI TNVASAVFEGGPVEDLNLTSLALDDLVTIGGDFYFKLADNLASLSVPRLTSVGDLEFH LGGENPASIDLSFPSLVSAANGIILEGNIDSIDLPVLSSAFEVNITSTGKLDCVSLAK SVVDTLVPVGKSANNTVICNSPQGSVTTYKAAKPTSGSERSFRLRGDLKVLGAFLGLL IITSC LY89DRAFT_687883 MSKQRSRFLGDRSATYLKVVDEDTDEIVSIARWHYYPNGYSYTD GIPWEIHSPVEGQSFPLGMNIELHNFILSERDAERKNWMEKGAPCWILMHLVTRPSQR GRGAAGMLIDWGVEKARKDGVPAYLEASAMGRPIYEKHEFSQIGDLIQLDLRPFGVDM DIVMAKMGVFPKN LY89DRAFT_591866 MTYPKPPGQERPYPKPTRTGKIPFKIPNHDIQGETAYEIYGDLK SGKTPLITLHGGPGFQHSYLLPMSLLLIDYGIPVIMYDQIGCGESTRYPDRMGDTAFW NPELFIAELGNLKEALGIEAFDLLGQSWGGMLAAQYVTTVQPKGLRKLIISDSLAAMR LWQNSANKLRKSLPAEIQETMARCEKEGKMESPEYEAACNEFNKRYSCRLDPIPAELA GPIEALTTDATVAMTMFGPSDFIVTGSLKDWSIEEDLKKLTPEVVPGGMLLMNGYFDV AQDEVMFPFFKEPSTKVKWVRFGLSSHCPQLEETEKYVKALGDFLES LY89DRAFT_699526 MKAINVRDGTGPAEALFFDEVPDPELEGDDILVRIKVFGVNRAD LMQRAGTYPMLPEYGAIMGLEFSGIVEAKGPEASDAFKVGDNVFGLAFGGTMSAFCLY AEKVATSEKTLIHLPKELSWERAASLPEVFMTSVQDLRLIANFKAGEDILIHAGASGV GLATIQISKLLGANKIFVTAGSDEKCRLCLSLEATSAVNYRTTDFTSVVTQETNGKGV DMILDMVQRRRISILTTQLRSSGKVYQGKVRDLFREEVLPGIVKGEIKTFVDGVYSWK EIVEVHKRLEDSKTSGKMVCIVD LY89DRAFT_709465 MAPQRGPTKRTEKRLVLCFDGTSNRFKGNETDTNIVKIYEMLDR NIPDQFHYYQPGIGSYVEGQMSNSSGGNIFTRARGLISTSVDSAFGTSFVQHVIAGYR FLMRYWNEGDKIYIFGFSRGAYTARFLAEMLCNIGLLSCGNEEMIRFAWSTFSDFQTN RSKDPSFKAFMEKFKETFCRGAVKPHFLGLFDCVNSVGQFDIPLFRTSLPYMPMSPAK HIRHAIAIHERRLRFKSAHFLFDLKAPIKDDIKEVYFAGNHGDVGGGWSCNGEKYLLS DITLRWMVQEVLDLPDTVSLSSNLAYRNLNATKPIREGPYHFRDLVHDCLPFGGGSGW FEVLAWWIVGKWTFLALSKQLSTNNNQEWVPFSYGYISDGKWVSRQLPPNLGSRRDIP VGADIHPSVMMMNRAGVLPEDQMPRMGGEELYNAQKPLSFLRPLIKVPKTKKPKPDEI NALRSGESLDSEESGNRWSFDRMMEIGAKTWDLL LY89DRAFT_737885 MAEKYQAGEDDTTSLATGLLADLRAMGSTREAIGDLHCLIEGMK HKEKYIDDKQLMMEKMIKITTKLPSTSASRIKLTQMMINGLWDSLQHPPLSYLGDKYQ YRSADGSNNNPLHPRLGAAGTPYAKTTRPEKKLQGVKPDPGLLFDLLMARDPEDFKEN PAGLSSVLFYHASIIVHDIFRSNREDCNVSDTSSYLDLAPLYGSCVEDQYAIRTMENG FLKPDTFHEKQLLGQPPGVNVILVMYNRFHNHVAEMLLKINEDGKFTLGPGDTEEEKR AAKKKLDEDLFQTARLVTGGLYVNISMGDYLRALTNSHHTNTDWSLDPRQEIDKTFDS QGVPRGVGNQVSCEFNLLYRFHSAISKRDEKWAEDFFRGFFPNLKKPLEQLTPQELWA GMGKFEASLSKDPSQREFGGIKRGSDGKFKDADLVKIFKESCEDPAGIFGARTCPKVL RVIEIMGILQARKWGVASLNEFRKSFGLKPHATMEDVNSVPEIADLLRKLYNHPDMVE LYPGLFIEDSKPAMEPGHGICITYTVGRAVLSDAVTLVRGDRFNTLDYTPATLTNWGY QEVNADKKTLGGSMFHKLVHRALPGWFPFNSLHIMQPMYTRITNQKIAEKLGHISLYS IADPAPPPTPIYIKKNELVRKILTDKQTFMEPNGVCLAGLFPGKRDFSKYMLAGDGPH NTAQRNLVGDILYGSKSLQSSLRNFLSNYGANQLKIESLELGIKNLYQVDILRDVAIP VSARMLSDLWCLDMTTAENPDGSLSMKQLQTALVDVRQWQFAAHDPATQWTHRRKRKR ERSLCKKSQIFAGVTPFGGTAVTVGMFAEVLQFFLKKENGTHWANIQDLAAINSPESD KIIREYVIEAQRLTTKQLLSRIVLKDTEIDGTRFEKGNIVLMFLGDACRDPESVPDPL AFKPGRPKEAYVQFGWGPHNCLGREIGIMFLMEMIKLVAKLKNLRPAPGEMGVLKAVQ VHNQRQYMSENWCALTYDATSTSFYSSMKIPLD LY89DRAFT_652373 MDEEFDHDKKINRATDFKYPTIQAKRQVRLLRARFDEEHKNVVY SLEVVPLSELPWECYKALSYTWGHAHTADDVRAIQIDNQPFSVRRNLFQFLEAAADRE EYGLFFIDAICINQLDHEERQSQVQEMARIYRNSNEVIGWLGIPEINQIDNIRPLSGT KRNAHEGCPTWSSSQWEGFRYLSQHNFWSRLWIVQEVLLAESMTIWCGSFTFPLSLFG SATKTSPILDVRIAPNGRPHKVISPTARLQSPATTIVTHRLRSVPRPLRGQDPLAQGT IIGTLEEMTTGLKNPTERMTTYQSKIADLYHEVISKFGRLECSDPRDKLYGFLGILNE KSRAKVDVDYRKDVSYAFYQALKIGIQEIFLEHGGVVYPDDRNCKSSDYLAFYCDVRD AFGIDDKETLVILGKVLEELNFRKWVQEAEFEVQNQQQFVWRDQIVDVHPGFKWLSMF AEIEKEGEDGGNGLYRYHQRQGKMIERLMAWFRDRSRRKDHFRRLEKSRQQPLIVREK S LY89DRAFT_709467 MRFAIFTALPAAVRALSFSAYDLGFHGIYPTQNYLTLGRPSPWV QISHWDSRCESDDALILLTPRGSYVPNPGPVIVDARGNLVWSESKYGQAMNLQVQRYR GQEYLTFWSGTSHGAHSNGSYYMLDSTYEPIHRVSAVGADLGGDLHEFEITSDDTALI TIYQDVEIDCRSLGFESTCWINDCLFQEIDIETGNLLFQWRASDNVPLTDSYKSRGGD GRSQDTSYDFFHLNSIEKDSNGNYLISSRHMHAIYYVDRTGDILWTLGGKHSNFEDLS NGHASNFRWQHHARWHENYTISLFDNNGNNVFHNRAELSRGMTISLDLASMTVELQNT YVHPDKILAISQGSMQVIPESGHVLIGWGNTPAYTEFTADGDVLCNMYFGALLFSEIL DLGWVKSYRAFKSPWVGKPKAPPDIAAKDDKVFVSWNGATEVASWRLQSAEFPDAAED EFVVVGELPKNGFETAFVFDGVEDHFVRAIALDAASNVLGTTKVIDSMPPPVVPLWSI ILFLSSLIISGFLVRRYRVMICIQTSRLWRSLKRYRKDENHMYELLETQPERTSLAND VNRINT LY89DRAFT_592203 METYSFYNVSVVLFAAFGSLFTGYSLAIIVQTVGQPTWYKSLHL EPTTGAPGYSHTTSIIGASNGVFFAGGTIGCLLGGWLGDRLGRVHGFRVAALVGIIGA AIQTGAVNQAMWLVGRVITGLAAGQTMAAMPTYFSEVSPPHSRGLMAGAHGSVPESPR WLVSKGQDEKALDILCKLHHDPADPEDHFAHQELRFIKSQLEVDFALITEHGQWQLFT IPTYRRRSILGFVLMMGGQNIGVLVINNYNTLLYQSLGLSNTQALVVSAAYNTWAAFA NMGGATVSDRLGRRKALLVGYAGCIVMFTVATGLIAKFSENASKTYAAAAVTFLFLYV FFYGAFIDVNQYTVATEIFPSHLRSQGSSYSLAAFFLTDVLWVDLAATAQATIGWKYY LVFLCLGIVHFIHLWFKLPEVCISPSTLSIS LY89DRAFT_687886 MAQQGGTYRKPSRFTFETHFGAPRNDQKTDPVSEESESLVPKAE TNIIPQPNSPSQARDPEDWELVANPDTEKDEHECVGGFSSSFDLKVGWGTRKVTLLSW YIKVGEPACRNAQRRKLERERQKEGKKESREAMNTPDHKELL LY89DRAFT_737890 MNLFTFLAVQIALVMAGPMFIHKQHLNETTARVHPATGLETGIT TGSCNGIKRGLPRIEPDESTLAVPEALAARQDPNMIPTIIIDALAEDAC LY89DRAFT_623077 MVYRVCPGSDILVLGSFEHGHGKSPNDTNVLRFCKTARDLLITR SERRFLPAFHIYNSTMEMWTFDRAGAVSSDSFNIEEEPDYFIAVITCYTRLDAADAGL NLMLKEDGQAMFIEQHDARKIYIDDDAFVKPDCLVGPGTTCFKARDVDVKVQRLVVKF AWSESDDGGGGERNLLAFANKQNVWGILKLEGHQALGDVAQLRQGLQFDTPYRFQLSR PENQGVDGVKTLVAPSPKSHHETLESSHAHKEVSKLDNLIFECIITSPLGRSIDTFST ISVLMTVFRDSVKALRSLYLDAKILHRDISRQNIIIVPKIPGDTDPDSPTEMLIDLDL ALDLANPPSEEGLVGSEGFMAAGLLGGNDHTYRHDLESVFYVFLWIAICHDGTTSEHI PDSSRLHTWRGTDFLASFHSKRKDMQLTEFPKLLEEFTEPFRKYVPLATTLHRLLFPV RNGKIFIGTDFDGDSTERLYAGMMTAFQSHIS LY89DRAFT_197981 MAPDLKFYYAPGACSLAPHILLHEVGAKYEPVILRIGATRTEFP ASFKDVNPKMRVPTIVIDQEIITEIPSVCTAISQLTPSKNLMGKPGLENIRVLEWMNY LSGTLHGGGFGHLFRPGRFTADESSEAKMGVEKKAREAIGESLVFVEGRLRDGKWAVG EQMTTVDVFLLVFWRWGVAYRFGMEKYPRYRALMERLNEVESVKETLEFEKIKAML LY89DRAFT_197985 MSQSSDTSEPSKQFSCTVCGKRFNNKRSQIRHSSYCRKRARNPP KSRKRSCTPCTKAKCHCDGSFPSCSRCVKRNVTCIYQHSTPHANQLEQQSRAEVLSSD RDMTLVCHSTQDYRETAPTRDFDATDENLFQTETLFDEISSTAIVEEALENYGSTALF PHGLANLVSSAFLDPRYGMDFSNLEACYNLALSPALRAPRAFKPKMVKHRQLSLNRKY VICTLRSYPQMLLPGKGPPPFLHPHCMEQEYDQSESAQTSLIDPLARCSGIVAMWSVK NKDNSSFIWRSIRMEQERLSEECHTYDTRTAVAALQAVSVYFIFRVSEQDEDVTDFDI PLIQTMLNIAIRFKECSTRCFDPSASSALTWELWILIESLQRTIFVTFIVDILFDISA GTISDSNACIKADHLLQMRLPCSKSLWKTKTKSEWEKEYATQTNFQLENNYRHPRFID LIRHDANANSFGSSLDRWMSEVDDFGMLVINAASLVDEFDFGAGC LY89DRAFT_652386 MTTITDNQAAWQTAIKTRPLEVGPGRTPNPGENEVVIKVAYAAV NPCDFNMQLNPYFDLEYPFIWGVDVAGTVVQLGSKVTRFRLGQRVIGNCEGMVTKKVT NTGYQHYTTCLEIFVAGIPDSLPLANAAVLPLSISTAAAALFIHHKLPFPTLNPKPTG KTVLVWGGSSSCGSSVIQLAVAAGYSVATTASSANFEYVKDLGASHVFNHKDSMIVDE ILKVLGPGDLVVDCIGTNETQIACGKIVGKIGGGRLPLLNPPQVQFPEGVDAVFVIAL NVGLVNVEVGDAVWRKYIPEALAVRKFQAKPDPLIVEGGLEKVQDALDILRKGVSAKK VVVEISKE LY89DRAFT_709472 MACGSGIGSCPTEQCCSSGGQCGTGSTYCAGPDCQLAYGPACDG NVPYGQSATLCGAGLYHCTTPGVIALTFDDGPYNFTKMVLATLAKYNVSATFFINGNS LGKGRIDDPTTPWPQILKNMYAAGHQLASHTWTHQDLTSLPTDLMQNQVIYNEMAFRN IFGFFPTYLRPPYGYCSGSSNCSPYLTSMGYHIIYWDVDTKDYLNDDPTLIINSENYF AGNTSSPASGHSYIPLAHDIHLNTALTLVAYMLDTLNARGYKAVTVGECLGDPRANCT GTVTNVALSQVASTSHPGVSSTTLTPVPTSDGNGLFASLRTASTLILWASAVIVSELL LY89DRAFT_673099 MECGTIPCIPDLYKQSNFLNESLLLPLGLFTLSLERHSHENHSK CHRILLQPLKSSIQPQLSIPPPRATLQSAQLVIIQSKARLRWDIITRAGEVRDKQENT IFAVREKVLNEESKVRQDREERFLQMRVGLLIEEVSLRKRLFEARWKEKIDRDESEGE KLSEAKRDVPVANEENGLDKAILKDSTKVVDDNGGT LY89DRAFT_756265 MATEGHQASRARLDAAETEVDIAKAVAAEEIDTTAAESRQRIED AAAIRRAQVEAIQEESRVKAEIMRDEREDRRILEQSKFAEELKQIKESRSESRRQMRK KGDLEKELMEKDSKRKIDRMDEESRAKTSAMWDDFNLRERRGKRARED LY89DRAFT_198066 MFGQPANHQNPSWPYGSQPPAPQVSSVAGASDNQTLLIQAQIKA IQESSQAKISQNNLLHQAQLKAIEEESRAKISLHILLVQAQVKAIEEESKTKIEILHS EHAAAEKREESLHRDKELDLRLTGEAERSELLKTTECERQLSKAESVARIEMMG LY89DRAFT_623088 MAKSCIIIGGGIAGITAALSLSQIGISCTIYELRATPATIGGAV NLTPNALRLLESLDVELYGCRVDSIEIFSLHTGKKLGELPFRKFGPALRILREELLRA LLRALSRKGVVVSYGYKLVAMKDESFEKEVTAVFENGEEIKADFVLGCDGVHSAVRSK YVDPERLPIYTNVAVAYTVVEDYGTKPHFHHTSMNSGRFGSLLTSYVDPDRTKVYLGA VMEVREQNDRQGWKVRGSDRQKTLDEIKRRYRNTAILCVNQLVQRADDFVFYPVYTLR AGGRWSRGRVLLLGDAAHCMPPQGESTRLAIEDGILFARVFETCVEVSVEEALQVYEK TRRPRIDAAYKEAVSRWESVKDKSWLMQKIIEWLMWVILWYKMSHFETSISYDVRKEV LRS LY89DRAFT_709474 MASNPIVLVTGINGFIGSHIVDQFLAAGYKVRGTVRAVPKADNI KRVLSEKHGEGRIEVVAVPDLAAPGAFDDAVKGVDAIAHCATIINFSRNPKEVIPDAI AAVTSILHSALREPTVKSFVLASSSMATTLPKPNVRFAVDSDTWNEEDIARAWDPELV NEDHHDWTVYAASKAEAEKALWKFRDEKKPHFTVNSILPATNFGPVLVKEEASSSGAC VKEIWNGEINKVLGVRPQYYVSVQDVALLHVAAVHFPDVNGKRVLAGTKPYNWNDVLK ILRELRPGHDFPEDVEGLGHDLSTVANGEAEKLLLRFAGYGWIELRELMEQNLSSLGY LY89DRAFT_721803 MGDHDCPTTQHKGEDMPNPTPARKVPYYTPRQAIPSGTAIIHPN INTTLPKLFQPITLRGLTLQNRIWASPMCEYSADDGHFTDWHLTHLGGIIQRGPGLII TEATAVTAKGRITPEDAGLWKDSQIEPLKRICDFAHSQGQHVAVQLAHAGRKASTVSP WIDRKAAATAEVGGWPDKVISASDIPYSKETCIPRPMTLADIDSFKKSFIEAVKRALK AGVDAVEVHAAHGYLLHSTLSAATNQLLTPYSGSLKNRMRLLLELTALVRANIPDSMP LLVRIPGSDWCEHLPTIPAWDISQCVALARALSAPELGVDFLDITSAGLMAEQKITSG PGYQAHFSKAVKESVEGTGVLTGVVGMIKKGKQAEEYLQEGVADVILAGRAFQRNTAL VWDWAEELGVEVRLANQIGWGFGQRAKGGMVGEKPVTQ LY89DRAFT_785531 MASGAMSAVDIAREARRQKANRYWDTTSQFLPANLRAKKVTAYT ELAKKYSHPIGQWYPISDHRILRNTGKSGITPLPRLDPEEMITDTVQAEREKREELEI YQRRRLNGFTPAELHDMEALAALIDEPMRNNLENDVHPIFERRWWMEEKDLPKHQGLI PLLGDYEGFWVGSNDMVWAVMEPCLRLATVMLTCSSTYPWLDAIFKGPLTRTPGEGGK DDVWSVNERTPAERNTDAEYQDLQEQLIACAGRIRFTFQNSHTHWVSSIEQLSGILGL TMTGRNWMPEIVVAFSVEPIQVLLRDDLTDAERLMAQHRVAQIAIHEFGHSAWQLVAD KRGMRNKMRSIEPNFAPETVMELGFSFVQSVFGGEAEHAHGPAYHRGGPGLAGYAVFK QSYFEREHARRIDRANREAPDTTMASSSITEPVQSKPSFDTYPVPFSWVKSLFQPATW NVVMKNLGTASHMGPCTVGTRIFPMFGQTPDWYTYLTSENPNQVAAALGLMKVDPQLG KMYGLDRVKQVEEIYSRLGMSASARSQLRASQASSEPTLGQRQAMITANKWDTLPDEE EIPLEDWKCPRYQEIFAYLCSNRAPLELALDTMTILPFTVFYRYIRDRGGITLSAMEL WNFLGLASKREELFLFMPYPGPGLVIRLEVGWPPGDPFAFAKIPEPTFVPTAESKNTI MEIVRRSDFPKAIFGRLDARSMQDIDFETLRRLVTGGGYKIRPVPFKATIIQCVREVW DFNTEFTLGPEGIVRLTHDLRTVVAQEGGPILAAQLADIEAKKNKINSEWRRKQLQGI LY89DRAFT_687893 MASSNRLRRIAKELADIQSDTASKILAEAANGGSDLSHLHASFP GPPDTPYEGGTYVVDIQIPNEYPFRPPVMKFKTKLWHPNVSSQTGAICLDTLSSAWSP VLTIKSALLSLQSLLSTPEPKDPQDAEVASMLMKNPEQFQRVAREWAIKHANAPKTTS WETTIAHSPSNSKPKSQKVISREEQLRLEAARYQGYNKDLIDRFVNMGFDIDRVVEAF TFVNIDKNNGEDYELEEAYMGDITARLLGEP LY89DRAFT_737903 MGGAGPGGGDNKDEKDKKKDKPKYEPPPRPTTRIGRKKRKAAGP NASAKLPAVYPTSRCKLRYLRMQRIHDHLLLEEEYVENQERLRKAKAAKEGGAPATAG GDSDAMDRNADERGRVDDMRGSPMGVGTLEEMIDDDHAIVSSTTGPEYYVSIMSFVDK DLLEPGASVLLHHKSVSIVGVLTDDADPLVSVMKLDKAPTESYADIGGLESQIQEVRE SVELPLLHPELYEEMGIKPPKGVILYGAPGTGKTLLAKAVANQTSATFLRIVGSELIQ KYLGDGPRLVRQLFQVAAENAPSIVFIDEIDAIGTKRYESTSGGEREVQRTMLELLNQ LDGFDDRGDVKVIMATNKIDTLDPALIRPGRIDRKILFENPDQVTKRKIFTLHTSKMS LNEDVDLEEFINQKDDLSGADIKAICSEAGLMALRERRMRVQMADFRSARERVLKTKS EGEPEGLYL LY89DRAFT_198170 MATFQRVGIKEARLLLPGTILWLPPKDQIEEDRYTSPLLLDGAF NHPVVILSFQEPNRVKYHSWAEIAIITSFHGSTIKSHLAAKGIKHSTGVEAAEKAGYL RIVTASKPHAKDVLHLRDGKGMKRDSAYVNVKETFWVEVSALAKYGLGEDLNAYSLTN QSLKKLREGAEEYKERKRVKEEKAAKKEKGAKERDGKEKEVTQSKKKVQVERKSRAKP KRSTGKVVSTGRVTKPRKSKLIKMLGKKA LY89DRAFT_568063 STPFRIMALGASVTFGTGSTTGDSYRKDLQDLLVANGNTVEYVG SRKNGNFSNNAVEAVPGFVISQVAALANVSVPKYKPNLVLVDAGTNNCNKGGTVPDAG TNVTILINNIFRQSPNATVILTTILVNSVAEQDACRVTVNTQYTALADAMQAAGMKMV LVDMRGPGGPLVTDLADGRHPNDAGYVKMSNIWFGGIQQVISKGFLTTPS LY89DRAFT_687896 MPPRTPRRTRQRHHNSSESHAQPAPVQASDYDSEAFYNEPAPER TRDQINESVIRRYVPSLNHIVAIAPNAVIYTFAADSGNWDKANIEGSMFVCQLAPSPV TGGTRHCIIVLNRKGMENLIIESGEIEDVEIKDDILILRFQSHEEIKTMGVYIHGDQF NSRDYICQLVRAHWETAMEERTEVGSEEYDEEVLQSIEAPEENRPMGRRISLSELFGR REQTEDEEYYHH LY89DRAFT_652409 MAPPFRAEQIGSLLRPEELLKARASSDLSKSYVPVLPEEVKRIT CEAIASAVQKQESLSIRPFTSGEYERHIFYGGFFENLEGMEIMPELPIPSGFRTDFPT VLTLQQLGVKFRPGVVATGKIRHVKSVYGEEWEVLKGLLPESKWKECKLTMPSPTWQH MQLAKGTAYTPSAYTTDESYFTDLTAAYRAEFHSLYTSGLRSIQIDDPNLTFFLTESF LSGCTTDNIDPSALLTLYIAAHNSILASRPKDLHVGIHLCRGNMKDSTHVMSGSYEGI AKRLFTELEYDTFYLEYDSERAGDFEPLRHLPIGKNVVLGVVSSKETELEDLGDLEKR VWEAAGVIARGQGRSREVVVREVLGVSPQCGFASMSQGGGKGMGMERMWEKLVLVRDL ARRIWGEEAV LY89DRAFT_673112 MSSETFDYIVVGGGIAGTVIASRLHERDSSLKILLIEAGPDSTR TSLAPFTANPTKVAALKGSELDWNYATVSQKHLDNREIYAGGGKALGGSSVINYGVWT RGDSIDYDVWASMVHSPRFSWAGMLPYFKKTETHYDPSAPQEYHGHHGPVYTASVSSS GRDYALREPLRAAWAEVGLSQSEDINNGSPLGVAEIVEARTKGQRVIASAAYPLAGVT VLTSTLAKRVLLATKHGKTTATGLELADGKTYTCTREVILSAGTFRTPQLLLLSGIGP AAKLAKHSIPQIVESPHVGKNLWDHLGVLQQWQLSPKYTALASSVGSSAWTDPKFQTG NPTDWYTTASIPSSPLQAAISTDLSGSVSDSYPLLKEPRCQLAFVVQYVGFPINGSRI TTWALNLIPTSRGTVTLRSGNVSEKPLIDNRHFETEADRYRLREGVRMVARMFRTRAG KDMVVGEDVPEGVMAVGEDSRDEDIDQRIKSGAGTINHPAGTASMGKVVDTEFRVKGV EGLRVVDASVIPTPITAPIQACVYALGELAVDLILG LY89DRAFT_652415 MLYLHATLVTVNPDRDVILDGAILVKGDKIADIGKSEALIAKHP DEEHVDLTGRIIIPGLITTHMHTAQTLLRGAADDLELVSWLCERVWVMQGNFTAEDGY AAARISIAEMLLTGTTCFLESMFADRYGFDGLCRAVEESGIRGCLGKIVMDIGTYAKD SAWVMHPGLVENREMSLLGALSMHEKWDKKADNRIRVWFGARTPGGVSDGLYKEMTAI SKEKNIPITMHCAEVKADREFFAAQDHTPMSYCNSVGLLGPSTVLVHMVHLDDSDIAK LAETGTHVAHCPTSNAKLASGICRLPELLNSGVNVGLGTDGAPCNNTNDLLQEMKLAA IIHKAKSYDPTMINAEQVMEMATINGAKALGLQDSIGSLEVGKKADFVSIDMRKVHLQ PYFSAVSAVVYCATGKDVEMVVVDGKVVVKEGKLQTMNEEEVWKEADVRAHQILKRAG LTEKVKGRWPTK LY89DRAFT_687899 MSTFKNIALWGIGGQNIGSSILTALLADNSYNLTIIARESSTST YAPSLPLIRVSDDLSHSSLVSALRNQDVLISAVGFAGLSSQLNLARAAIEAGVKRFIP SEYGFDNADPKNAALSPIFRPKHELEKQLVELVKQNPGFTWTALATGIWLDWALDVKF IDIDPEAHQVVYWDEGTQAPSMTTLEYTAQAVIQVLKHPAQFRNQRVFMEAFAASQRD VVEELERVQGVEYESQRIDGREKVREVMGVLEKGFELQAALATVRAELFVGEYKADFV RSGKEPILERVVDMPKITLRDVVREYVARH LY89DRAFT_592152 GVKAELSKLNVYSATSGQLQKTTNKTKSTSQFGTLIVCLPYSHE GGQLFVYHKRYNSAFDWSQQDEDSNTIQWTAFYSDCEYEIGPVKSGHCITLTYNLYLS ERVGGLLQRNPSIDPTLFPLHGKLTELLEQPGFLYEGGTIGYACTHLYSHTTRYTELH MPYSLRGLDTTIFTVFSSLARTFDIRATVRPLLSTEPWDEHLQYREENNEDDEYWDPQ AEREEHAMTDRVGEKFERVKILDKVLTGEVDPSSVSLTLPFAFGFMVCVC LY89DRAFT_673116 MMNSMQEYTTSIVFSCVGVLAFATCVVFGIWCHKIWLTYGFYVA DFKKFDTELRQISSEATQMRKDVELDHTADCLTKVRNAELLSAADDCDNGLRIALCAW EDIMEPEEWKLDIESKGDKMIKGRRRSHGRFVIRLMRQKCIEGLAKRKGMEKELQSMY NEVMSRKIDLQSNLFNRRIASGHEIRAERTVLQPERHRGPSHVPPKVQSSLEPIVPNS LSALSLPTTTRKSSCPVPDIEGLRVYTKIEG LY89DRAFT_687901 MQLSHGREIHLDLRNSKYRNDTFSVDSSITQYRIENGRRYHAYK DGIYWAPNDDKQNENLDISHHKYLLLLEGNLTAAPIEKDIERVLDIGTGTGIWAIDFA DEHPNTTVIGTDLSPIQPDVVPPNLRFEIDDVRDRWTYPVDYFDFIHMRALFGSIDDW DAVYGQVYKHLKPGGYFEQVEISIYIKSDDGSLQEGNPFLKFTRMFEEAGEITGQTFK IAEIMKEKIERAGFVNVVEKVFKAPMGGWPADPKLREIGQWTLLGFLSGLEGYALATL TRVLQWSVDEVHVFLAQVRQAVRDRSLHSYHEIRIVYAQKPQ LY89DRAFT_699545 MGSWGIIQDPKYPTPPGTVNLNEKVDSAKLDDWEYEEPKKIGDI VLNPQPTDSPNDPLNWSMATKMAILFILSLTAGVTVSLGPMITTGLDEVAKAFGVTDD QVSFNLVGLLQLTTGSGTFFTAAAAAVWGKRPVFIISTLFLFGTSAWGFFAGSFISLT LMRVIQGFSAAPLETLVSATVSELFFVHEKGKMLSIWNLFVMGGVKLGQLIAGFIIQN LGFKFTFGICAAIYAAIIPLMYFFVPETVYFAKDPETEVIFDKSSLRVYEIILPEPKK TYPQRLKIFQGRVSHASFWRTAFKPLPLITFPAVIYAAFTYSVYAAGLTLIALLQDTI FSAAPYNLSSSAIGLTNLPLFGVGLVGTLVSGYCADFVVQFMTRHNNGVYEPEFRLVL MLVATSLSTVAYIGFGYSVAAGATIYIPIAFLGVQTMAVPFATSAMFTYVMDCHPGHA AQAFVTMNFVKALLSLVMSNFVNGFFEGSGARTVFTVVAVANLGVSACSLPMYLFGKR VRSWVARSGFHQKI LY89DRAFT_687905 MAPSALLPDPEPEYIIVHAGKGTIKREILKGDKKKPTFETITQV DFSKMNSSSLEDRKAIAKEIGAAFRDSGFLYAANHGIDEKLQDDLLAVIKEFFDLPFE KKMKIHVNKSDTIKGYEALLETKLDACTRGDLKEAFATGDDPNDPEQNPPATLNRSLY PSNGNQWPASPPTFRKTMYAYQAAILSFSKSLMQLIALSLSLPETYFDHMSSFPMGGL RALHYPPQDVSTDVGIGAHADYSWFTLVNQLSATPALEVLNHNGAWVSAPPVKGTLVV NVGDFLEMATRGRYVSTVHRVVNMTGMERYSLAWFFSPSAECVVETVPGCEVEGEEGV RVVAGEWQRERLLRARYKHPSSVAARARGEL LY89DRAFT_737916 MTIVDPAAHILRAFQAVKDVILFEYYNPNSPWRIRKRAAGYEKG WPRPKFSDTGIDTLDPPIVKARCDNDLEVVDELVKRKEEDCVRALLRLGADVNLVDYN GMTALRGANVDAVHSIGRPPIHLAVIDGSIDSIKVLVEVEANLSCIQPTTAQMTSLSY GTFDDLLGMPTRLYHTKDGEPVLGIAVQYNSPDVVNYLLQNGCSSKDRNNKGLTALHH VAMTCPILPPLPQSTLSSIRVCRDGCQHVAIGLLLLRSDADIDAKDDFGITPLQCAVS RKCPRMIKMLLEQKSKIYKAKTRRFSLSPQSLVL LY89DRAFT_198634 MASSARTSTDREHSDFEHIHATSTPQSLSKAVHARRAEYTRPQK IKVKIGTWNVAACPGTEKDLGGWFVQGKGIDKRLAGLQVTEGIEEDGSQAYVESVEAQ EARRSKKSSTLPIGDQGTIAGGDEIGLYVLGLQEVVDLASATQYIGRVYTDLEPTNKW RKALAEALPTGYVLIAEQQLSGLLLFIFASPTVAPTISSVSTVSVGTGVMGYLGNKGA VTTRLILGETTRMVFVNSHLASGNDPAHFERRCWDVTQVLQRTRFDPISWAGVLDDGQ EAIGEEDFAFWFGDLNFRLEGLPGDDIRRLLMLHTKGEYDVGAKSRTKIDGELEEGSD GPIIVHSVESDSDSEGESEPRTSSSFDAADDASSSTLPDPDDFVQDPSQDPASLQATL DSLLPHDQLRRAMKTKKAFHDGWREGPVTFLPTYKYDVGSMGMFDSSEKKRAPSWCDR ILFRTRKDKFEYDGKLQEEELARIKDEEMKARGIDHALDEEDVLFDYNPDEDGVEDVA NNVYDEYDEGGDDDMGEAVVTKEGYIDRIHLDVYTSHQRVLSSDHKPLDAVFTLEYDA VVPELKAKVHQEVARELDRAENEGRPGITLVVDHSADDTPPSSSSREHSGGSTENISV DFGKVAYGHRKSRALTIANTSQVASTFAFVERPTESGEADRIAPPWLSVCFVGYGADE DERLLQDMKREVTLEPGEAINATLDVFIDDITMVRALNQGTAKLEDVLVLRVTEGRDH FVPIRASWLQSCFGRSVDELIRIPEGGVRALVPPKNGSGGAVNRGQEVCWSAPRELFK LTEAVELLIERVIADSNMLENVALPKDSPGWPFDEHTWRLKDTKAREVWKSNVLEALD SDKVLNESFPPEIPAFEKLEIMSEILINFLRSLKDGVVPASLWDKLDADMTARGNKPI SDIEEKKAWVLDVLSSSPNHNISFVFLTSMLNRVAGELAPIPKSGWNPIPVSGTRKSL ESVRRSLSWKGRAPPLPNDPAVQQREKVEKAYADIFKDVIFRFETANLKDKERRAGED RRKEILEAFLKGGREI LY89DRAFT_687908 MLCCLTSAAVSAILSPFGLSVVSASRAHSLVSFHLALDFLFHYL DENSSPCVHAKKLQETSANHSYRVSRMEFFCIVWKEWQAAVSSVHWSLPCRLRNLLHA NQRKKSFQAFLGIAYQPCMLTVPGMHVEKASKRMRHIILQSNGWLHRGAALETEFFVS NVGERDARELIREHRRMGVTAGCRVP LY89DRAFT_198607 MASLYAVPYNGTGETGCDSLTENCNIYYESGDIAWMLTSSALVL LMIPGVGFFYSGLARRKSALSLIWLSCMSVGVVSFQWFFWGYSLTFSHTANSYIGDLT NIGFRNVLGQPSVGSARIPDLLFAIYQGMFAAITPALAIGAAADRGRMLPCIVFMFIW STIIYDPIACWTWNPAGWTFKMGGLDFAGGTPVHISSGAAALAYSIMLGKRAGYNDVS GLPYRPHNVTHIVLGTVFLWVGWFGFNGGSALAANMRAVMACVVTHLAASVGGVTWVL LDYRLDKKWSTVGFCSGAVSGLVAITPASGFVPAWSAVIFGVVGAVCCNYATKLKYIL RVDDALDIFGEHGVGGIVGNLLTAFFAAYVSPPLPLPRRRKVLTAETSDYIAHLDGVT EIPGGWLNRHWIQLAYQLADCVSGFCYSFFGTCIILFLMNLVPGLSLRATEEEEALGM DDAQLGEFAYDYVELRREFMMRRFKW LY89DRAFT_756355 MNESQKRDIQTGDYPTKHLLQHHLFKPNSPYLAEDERVAISYQR AREIGRAYEFTAHDIHKLTPKFWKLHADPINTRDNAAFTLLTIQYNLAAGTIAPYAAK LPHLQTLLKSILNFDVSAQYLLTEVGHGLDAPNLETTATLLTDGGFELHTPHDGAVKI MPPTSPRGIPCVGVVMARLLVNGQDRGIRPFIVNINNGKHMYKGITSRNLPTRTGSKP LHHSLTTFTHLNLPPTSLLGALSTPEPHFQATIWRVGVGSLALSLTYIPILKVSLYIV SQYSLRRLIQQNRLPIITFSTQHRPLLFALSRITVWESYAGAALRLYLDANLSDKVRA GIAAAFKACLTRGVQGSLYWLAERCGAQGLFVHNQIVELQLAARGVSIAEGDVLALCI RLATELLIGRYALPAPTNPSCFLALHEAGLFQEARSLMSTMSSHRSPEFNQLILPLCQ PLVESIGERMAYEAAVADNIDKDILDLYEVSAMMRDLSWFTENLGMTREEVKRRESET YGKVLGKFGACLRGLGVEEYCTAPIMTEQGSKAFIDGLKVFGSEERDEGTVKAKL LY89DRAFT_198681 MGRFGPPHKVPTEIVMNIIIYTRYEDLQALCDATGRLTFEEAKY HRYDPRRYLRTLTPYPDVLLGGMRDTNTILSGSRAAAFFYQMACRWDSDWDFYCSGGD VAVARFTHLLFTRLGVTWDKSEVDVGQHIPLDDWSTIYEGDFHILHGNLSGHKLQVMW YRDDQNRHSVFNMILEFHSSIVQCFISGWCAASLYHKISGQDKMVAWEIDGVLHPRKR AKAPQCVSKYQYRGFQLVHYSRQLVGLASTEPDFLSLDAACLPRSVSDKACLLIDFDV YSRPSLTSSKLVSAMHLAAMEEIMNLRWGENTDASLKFTSLKLTSTMSEKFGMYRLLR SLEEEYMVEHTRWKPSEDRLGHTDIYLRTQTICAVPWMPPVDVFIAPAKSYGSETLFE RKCYPPI LY89DRAFT_687910 MAVRAQFENSNEVGVFSTLTNSYALVAVGASENFYSIFEAELQD VIPICHVTIAGTRIIGRLTAGNRKGLLVPTSTTDQELQHLRNSLPDEVKIQRIEERLS ALGNVIVSNDHVALVHPDLERETEEIIADVLGVEVFRQTIADNVLVGSYMSLSNQGGL VHPKTSIQDQDELSSLLQVPLVAGSINRGSSVVGAGMVVNDWLAVTGLDTTATELSVV ESVFRLGEGHAGAINTTMKDTMVESFY LY89DRAFT_198691 MAPGRHETSGADPPEPPDNTSTHNTRKSKRKASEISNGTNEASS FGKRSSTRSTRKSAAANETSEASVQPPTKRRRSSNAVNSSEPEFQPTPATQPETPEAF VKANSEEDNVASPSNINDIPNITVEAPAEEGSSGETKSDEDNFLSQTPVTWDALPANE EENGEDVTTTQATRGGRGRGRGGARGGRGRKRAGAKPKPKPAPKPVAATRGRRRGGRR KASVNPRIDAHNIRAGELKVHYTALAKLQRHALDVLAERSLKMLMDDETYHESLPEFE EVTDGLREAYEKAIKIHDNKREQEEAQAKRMYEADQKIAHDQCKDQCENVDEAAFARI EEHTMYVWHQYVRGDELDEIPIPKVHDATDGKLYDVTHIPVKQSLHPFATPYTKPQDA VPLPAGEGDTEYLQHPATLWHSLNAKQKNALVKERDLQTKKRREAAVEEEKNRGKPSK KRKREALFQPQQTHVTIDVEGEEEEEDAEDPAGAATTGGANSPEEGDIDSVVSSDAEE YPEDDLGVCVPRKKARRNATTLPNNRIRYQPTFKFKDHEIGLRQHYFKRKTNAEIEKS KRPNEQFTLLGIDASPNREHFYFEQRANGWNTAKMKPEDFDERIVKTHRLHPRFGIPL PKSRNVDRDERKRPYFKASTNWNEDLRMPKSKRVVETFADGHRKLFHSSRSWVQETEQ KWAARPAREAMYEVLKASQDYELLNPAEDFRGMDVLASAAQNQAELDKEIEAADKAKA KVDYEKRKARDAAEKQAREAAKTASATQYAGAAAIPAFGTMSMASSSRYDPVRDTTYQ TPYPRPVPPPRAQHNVYRQGGPLSALADMADVGNTIPSTAGMSRQRGFLPGPPPPSTR AGPSRSWVEPPDLRSELPPAHMMLPHVHPQPMAMQPQPQLGGPTMTGMAPNNYFMPPH PGPPPQFQSPRGGNQANLRPLQPAPPRGGRRTTPPQSQNRTWYGN LY89DRAFT_199339 MKKGRLITTTQEQQNISNSQSSRSSRMFRMCLRPLLRRADGAVG IHSFNEQVTTTTTPLMTTTNKIPISSLSKITILLHQQMLQPTCASKTQRESNTFHFDV AMILHKPPLITPKISSSNLTRALVVIVMKGSDWHTTTYTYKSAYKSPLISQYPLA LY89DRAFT_198761 MRFLFAMGGGGSIIFRAYSLELYLTIVEFREKMHDDGMGTVSTW EGMLPGAPVIRIHESATSFMLHVMFCAMFHFVPLSVRVKDCIASLVHGTLLILLYFLN LTSTHSPSPTPIYLYYRTEKCLPLGGEEAGHCPNLSRTSGLGAIGSELR LY89DRAFT_623137 MKLTFKDLKQNKFVIDAEPSELISAVKEKIEKEKGWEAAQQKLI YSGKILQDANTVESYKIEEKGFIVCMIQKPKAAPAASASTSKPPSTPAPASASTPAPP PAPVQSTSTTSALPATPSPAGAGNAPQAIPSTPSGDTSGLAMGAERTRQITEMVNMGF PRPEVEAAMRAAFYNSERAMEYLLDGIPENLQQEATPAAPAAQAEASPVPAAATGDAA AEGGDEPLNLFDAAAQAAGGRGGGAARTGSAANLLAGAGAGAGAAAGGLGNLDFLRNN PQFQQLRQVVQQNPQMLEPILQQVGAGNPQLAQLIGQHPEQFLQLLSEDGDNDTPLPP GAQAISVTEDERAAIERLCLLGFPRDLAIQAYFACDKNEELAANFLFDQPDDDDMQQ LY89DRAFT_592238 MAMSRVLPVTLGALAVSSELAMLTFDVIFAVTLSRSSSPVNTNV VAIVASVLSSIAALLLLLLLGRQIRYRNGAHIQDFGHGRQHTYLLAGFGGVFGLLSAV ASAVLLGMMRTRIADLPKRTIHSSTESMVTGAFIVWAITLISQAMFIICMVIVQRRDF QQQIQPYRADTEEPEQFPDMVESRPRASSVQRSEHRATPSVDSKSPPPSSGRSRAGSD TMSSFRSSLSQVVRPINSKTKLIQPNHRSPYRPQSTRSIDSSHRDTSISIEDGFDSWD TSAVDPQSREAVASVSPTPQRFLETIPASPTGSRSPSPGFPLDLEPPKSRKRSRSYSP ANSYRDLPRTARTTSPTESVNAEAHIHPLFRTDSPTPPPAATPGTIVTAAPGAGQVIS DRQSIRSIHRMRSGSLPSSPLVHSNSLDSIRRAMEREELERLEEVGGERSLTPPIPDF ILNGGPRNSMQGYNSRKKTQAGLGKLGEVRDE LY89DRAFT_198893 MSQYPSLQDSHADRLGSSYPGSLSSSFHSRMKFALLPAELRLKI YTLSLPGPRLIPIHYLSANTTPPPSPSPYSYSTSYPPQKRICRRRPTITTSPQNPSHT GCTSPAAIPALLHVNREARLLASSHYTLSFSLAGPFFEPKIWFRQGQDVLYFPAVPGF LATVKHWTSICCLIKGGELGMVRRLAVHEDLFLEERKRMRWMLYLGKRESFVEKVRRI ECEVEEEAGGNWRVPRWRVLKGGVEFRSPY LY89DRAFT_785555 MRTNVGGSPRQFKVSLGLHVRHKHRRTRTTAEENKALDVRLLRN PPGRAVVPYSPKTELEDSNLRFLIYHFVTVVSASSVTNVGPRFEWFPLAVRDEAFFHA VISSTSSHAAYLQQVELPANFYFHRGTAIRLLNRRIERGAHDEGTINTVAVFSQQESF EGRAETALTHVHGLLSIVSAAGGPHSEKLSPHTRRHIYLTDLAACIALKSKPLLTPAL DVSNPEKYFRKPSVLTASHARTFGARLYNYTNSTLSDHAVNVLWGLRNVSKILEAIRN GTEQLDTPSATDIQFTDRVEVLERLVHPLWYIENPASPQHPIFQTFGWTCCIYIYTIL RELPKELGMNIMLANRIKTTLEACQDLNVLLATFQDLLLWQMFICGRVADFRDRPFFV QQATKILMIRKIENPEEIMAVTTAFLWPERYEGFRSEVNDEFGAEAGNSDFSEGVEEY N LY89DRAFT_673130 MGSSSLFGNVTEHTGSWVPEPTTRGTFSLVSSCVITLSLCVWSC VHLNLPEHNGERKHIWRKIGWVVVGSLVPEIVVFTAWYQRHEAKKMLYFMMDQCGQDH PELFFHRLDRWRKRFSSNYRNKATASSDEPPSENSHEKKSTHPASKTTWTLTHGFYAI MGGFAIDGSTAEIPFIPEGRDKLILTKRGIMISLTLGYIDEFSNISVGNIRDKGKSSS VAKCLIAMQTLWFCTQLVGRLAQSLPISLLELNTFAHGICTLFAIYFWWEKPQDIEQP TLLSLNRIQPLCAFLWMHSNVSREQHSHTVEALRWRAPVHTGIDDCLEQPGRKSWAIR SSLASEVNSDSSDSIGNLSLIAGQYASEDISRKQIVPHTGTEPSSPLLRQQSSDIPSN LPRESQSPSTPPGIVLIKRGNRVPGTVFFLANSSSEPESVLVDQIQVNRLTLASKVLV LHPSFFAEAAKTGSLSNSGSNANYLAPRAAEITSTTYSAGIPVIFTTAMVYGGLHCLA WNSQSFASSTERLLWRISCVVLMAPIPLGTVVLATCAIPQILLRVVVGFLPSLASYLK KAFKDDGILTYLGMGALILLLCSFLIFASLTLIFGRAYLLIECFINVGYLNSRVFILP SWTNYLPHIG LY89DRAFT_199112 MASQNAGSGAQVKRSIPARVQCSGSLEMKESSMYSGIGIYTAKD IEENELIFSIKNPVLAVITNVEDGYKTACDNCFAALFKELRTVTNPQLAFKACSACKI AHYCSKQCQKAAWKHHHKHECQTYATSDIGDIIYVAPIRLLVRMLCLYTSNTIPKDLW NEFLSLPSEKKYRIEESRNAIKEIAQFMSGVTNLPQSLVVETFSTVIHNQRDIYLPTL RLHSKTDPLATGTMGFMGDCIDPFASLIKHSCDGNTWLVFEGTELRCRAMRRIQAGTE LTMSYIRPSTPSFIERREKLRQTWNIDCKCSLCQYPSPEPLGDLKKQLTRYNTHPKEG ITFTNQQPTLDEIQKDIEQMKAAGFGAATLPMLALHRLALWAHLS LY89DRAFT_687918 MLGVFLDLRSCYLPWSTSFLRNLPRGLLSHFRFALAFLRDLRFW LRHIFQSWGIW LY89DRAFT_623144 MPAQPIQLAPFNPPLGVNPNYCMNKQTTLVMKEKVWSLSGDTFH IVDENNHEVVQCRGQAMSLSDRKEFATSSGAPLFSLRTKLLSIHKSFYAELPSGEILF EVKGKFSIGSSKMVATFTNASNKQPVEMLVKGDWLDRSAVISMNGATVATIGRSYFNM REIFGGQQSYFVTVAPGVDLAMMAAICVCLDEKENEK LY89DRAFT_673134 MVLEYISLALGLEALAERIVKQVLDLPGTRSHFTTLIGELQTLK HVLDRVMEYGEGNVSQKTQWSLERCIDDTKDTCEEMERLVNRVIHWKQAWIADLMGSL AKKPTEALCRRLERNKSTLNVLLNAMRIESKGPPPDYPEGRKEPCPPYTKARQAFSTM KKVADGMMVGGGVLASVGLIIMAVVHAIIPVAAAAVIGGGILLGAGAGTLVVRRRMKL SR LY89DRAFT_199443 MYIKTFIDICPSKESMKVKLVIEKSCILKEKGLCRPLELASIYH PCLLINLLCIYFMLLS LY89DRAFT_652452 MSATSSNSDYLVAGAGAFGASTALYLKRAYPDKSVTLVDRGEFP CLLAAAHDLNKIIRAEYEDPFYMKLSLEAQGVWRSDPIFTPHFNQTGILFKGIAGIGQ AIVDNYVKLIGTSPAELISPEDAKGRFDGVLRDADWTGVTSCTWNPEAGWGDAANALK SVIQAAIDLGVNYVAGSIGTITFAPDGSATGLALDEGRILTGSKTILCTGAYTAELIA DSAPERDEIQVKGRMVAAAAIMCAFKVPQEELSKFASAPIVISPMGDYPSESIPPGPL GLVKCTHERSFTNVSYHSSSKQTFSMVPRRTQQMTWSQEVPRGLKGEVGISRVKIYGN WVKDVEPEFYRMCWDAVTPNQDFIISPHPKCQNLYIATGGSFHGWKFLANIDKYVVQM LDGSLDSAAAQRWAWDRKDDGAACGLYLPSRDLSEVKGYKAMVDAAGK LY89DRAFT_699562 MELSDLPLAPKQPTLQDDIGQPHGYDKAPTTKFLALMGLGASWI LGIVCVIVGSGAVTKSTTSEATKAYQNKSAIAGPYHDWPGAKVSPAAAEILPLLVSFI VTALMESTGLIHETSLRWALQDKLVFNSNLRLFTFVRQYFCFGAISNILHAVFIVVSY AAASLMFAISPSQNFCNHFSHTLGNEIYNGCGDFVALATPAVCALGIGLLGQAGLATW QMLSVRIPTWSANPLDTAWASVEGGTRARVPGRCMMSVHEAGLDTGPKYPKARQLSIW KAHKEARRIMYFVWTLTVFSFVWFGVTQGTLAYKTKNPTNGQPCSGCNAYLGNNWNLI PDQGKSPTSAAVIVEFSSSFYAGIHTPLHCAELITNLSRDEKTWRQCYSSRPYAPRPN ALFRASTSWVAVTLFVLKAVLHWLFGKGMTYAYNWGIFLRPPQLLYLSFGASILTAFT TFLSFQRPNDEQPATFSHVQTLVDLVDEWHLQIFWGDKGAIGEHEVRHAGTSAFPLNT IIRDAMYEGSLLVQESNSEAAVN LY89DRAFT_687922 MQLSTLTPSLLYALLSLNPAAVNTLAGFSSTSTTSNTTPLRQDT YIPPATNLLTHRSLLSHRRRQELPTGLVNATVTCRDGEFQNPDDDIAAVVCGQYYKCG DGEEAEQVQSGTGEVVWSAVCEGCVAGQASDKVSGCVWTEM LY89DRAFT_673138 MSSVKVIWSQIPKDERRKKLANAHIEKKNKLDEAEADKGDLDIE RQRGGMVNENRVADLERAIIVYGNEAFLLDLTLKIYDLTCKTTKTPDDKQRLTDFWRE LDNRASKPQKLKDDLNLDKLWEQLKLDSGYTG LY89DRAFT_199624 MSYYSESILPLNQGSPVPSSPSVSGSAVTAVESIAQSTSSRCQK SSHCSQIFERDADDNPVNDLTNPCHGWPQLVKLMVESPGLESFQTFRDLNIKSLLYYQ AELVTLKKQLHVLEWDDHKTGTFRNAGELCANVRFLLQSEYSTDPEASRQIKKMKEIR RVLKEYNEALLQYAKLNQLPAADEFNVNGLRTWMGEHGLGDYTVQGPGHESWGDPSAA DPLKKKFWAHLVQLLRSITIFWAERPEEKLMKLHPELIVPRQKKEIDGFTQWIANDWI PFWHSLKSKFAAPQVLPTEKDRSYSPSTSTTTTLVQRMSAKIRLWFPSEDSSLEPKDA SESKPTLQVYQMSRMRRFTTFFSTVVACLLPTVAIAVLSTIHSQAKLIGYIALFTAIF AMGLMGLTGSGTPRTEIFTATAAFSAVLVVFVQNQQSVPGEMQQPAQLGG LY89DRAFT_199479 MSSEIGPDSSDPNVHETKETLIRRLEEKPIRVRRIRARPQPWIP PQDAIDKSWARSSVKIFSAATTVLPISDADRADHQQASVPPEQGQLVAANFEEAATIC KQKVTKIVKEHQRVNQRYRDPHFDIDLDLKSRRGICLGTLDEPTKWIIDDGPDTRPNA QLPKSVKRVDKIFDKRKKTFSPDTASSADIIQGKIGNCWLMASLIALATMPEMIGRIC VAKDEKIGVYGFVFYRDGEWIPSIIDDNLYLSTADWDSQTEYGGFSIERYVLEKSELE DKEKEHLKLHQTGSKALWGCHCRNENETWLPLLEKAYAKAHGDYYSMNGGWPGEGLED LTGGVTMELLTSDILNEDEFWNDDLRNVKKKYLFSCATGVLDAIHQDWKRQGIEELHS YTIMEAREIDLQDKDGSSRQVRLLKLRNPWGTSKHGSWQGAWSDGSKEWTPETLKELN HQQGIDSVFWISYEDFLRKYEVIDRTHLFLEPEWRIAQQWTSIEAPWSASYHETFQFT FKKPPASDGHVVIVLSQLDDRYFRGLEGQYFFKLNFRLHSSDNLGGDDYIARSHGNSI MFRSVVAELPDLQDGTYSVVVKVVAERNQKAYSVEEVVEKMCRDKTDKYREKFSQVGL SYNMAHSKGASMMEAQIQKRKGEERRKAWKKRHEEKKRHIRKFMEKRGMVEARPFDTP PPKLEKTEKDKSQWPPPAANANLRRRRQSDQPGLKPTRASTLPAMRIASSDGAAAQIP STIPISHLQNIPLVQKPEVALPSITEKVELVEEPAEMQPPAANNNHPMAEHAKLDHPT QQELRPHYQERDDYFQDEFSEASSEYHSDTDSVNSFGPDEEYQRLFYDPPRPTQRPEE QHPFRDDDEDIVSPWNAVCVVGLRVYSKDPDLRVDVVMPGEGEKPGLDIDDKQAGVPV ESPTEFSRELPLRKLNRAFTSPVVGNSSWPSL LY89DRAFT_756372 MAIFSKLLLLSLTVAGSLASPVEIKRDGVDILERNSDYNVIEPD FELGAHSVVKRTDPNYSQNYIASGANVQFSPNGNSFSVKFNTQSDFVVGRGWKTGDNT PIHFSGSASFTSGVGLLSVYGWSTSPLVEYYIIEDSKNPPQQGTIKGTFTSDNGTYTV WEHQQVNQPSIQGTSTFNQYLSIRSDGRSNGTVTLQNHFDEWAKYGMKLGSLNYQTIS VESWGGAGSVSQTVTKS LY89DRAFT_673142 MCSSPAQMSPHLEHHFELGNQSLMHRRSVWEKNDVKERVPFRQG KLLLAGKGVAPFHLKRNAHAFIHRHIPGRDGIIIKQVCGQESALRFVLPCDPDPRNLS IPGLVKPQTPNNKANSLISTMSGTPVLVRIVSSHNQMIKSGIRLPGKAKGLGKFG LY89DRAFT_687926 MATRLSIADVLPLPNSSVSIPQLGFGVYQSPSKVCVNSCLTALK AGYRHIDSAQYYANEKEVGEAVRNSGLKRSEVFLTTKILVPGGSVENSYKQCLDSIHK IGGEDGYVDLFLIHSSKSGSKSRKEMWQALERLLEEGKVKSIGVSNWGIGHIEELKDF AKVYPPHVNQIELHPFHQQREVVAFCHKNHIIVEAYCPLVRNQKANDLMLNEIAKAHN KSVNHVLIRYCLQKKWVPLPKSDTPSRIIENAKVYDFELLKDEMAKLDALDQGAEGAI VESVVNTL LY89DRAFT_721837 MAIRLTLKNGRNSEVPKGENVQNGKRHRSQDRHSAELPFPLAYF TRMKLSVCADVPLQIPFLDPKNFILESPSRSTLLQSRTTSDPSAPPSEPPFQYIRMAN DKEGNAKHARKKPPQNPPSATPQLMNGVQDSQAVAQQNADTTSTSTPSGSENASKLIE QAIQTLTRATIISDDTDNYLRKKVKDLEVALINAAKERDEAIKEAVEIRATQGYHDAE LTKAKDNHKEHVQKVKAGHQKEIEELKTTFEKEKVEYQKKIDEPTKLRQEREKLTVEN KSLKKNLAAMQVTNKTLSEETEKLRKERDLLDKLCKYLKKTLCKTEAENGERGDLLKK VCERLSLEMTILQENFLALIPQYKEEQEKEPSYYHEVISLYEEETARLYKQVSDLEKD QDVKAPLVEVGVKIRNRYRVQEARTGRRDEDDQLAVDEGNQAAHGQNGPADKAVFDLG RWTEKQYGTTLKNVYRSKLDGYDEAMKKYNQVLKYWSWLNCSQPTACTFTHYYRANLL METMLLVIGSEDGHDPDGDSNLREKFDFFYDRLERLLEEIMRINELGELKVDIFNSLQ MQALGSSTTFHWSYMHDKASPTGFSYMSVRYPDIMKEGWFELGRYAQTVWSVTYHDPA DGKKPVEKKRRKKLARKTYASVAASQDFDDIQDPYRPYVEVLYEETEEQVGEVFDETG VWEAVGQVEEGFIGACQELDASTANHFNGL LY89DRAFT_199712 MESQRPLQGGCSCGRNRYIIRIPQGTAEVPQVFFDNTRSHRRSQ ATPLSAWLRVPLSWYHSTTYAFMEDEIPSAIRRTYTSPHEEHCKRHFCGFCGTPLSYW SESPASEAEYISLTLGSLAGSDLRDLEDLGLLPKEALDDAENDKEKIENVVPYAGNDI EGLPWFETMVQGSRLGNMKKSWGSRHSDNGRFKVEWEIVEWTEGDEDLATPSKRKFGE VAEPDSHMEEAH LY89DRAFT_199713 MTTKVLPKDFLWGFATAAYQIEGAPEADGRGPSIWDKFCAIPGK IADGSSGAVACDSYHRTGEDIALLKETGAKAYRFSIAWSRIIPLGGRDDPVNPAGIAF YKTFVDDLLKEGIVPMVTLFHWDLPQELDERYGGLLNKEEFVKDFANYAKVMFEALPK VKHWITFNEPWCSSILGYNTGLFAPGHTIDRKKSPVGDSSKECWIVGHNFLLAHGTAV KIYREEFKPKNGGEIGITLNGDAVYPWDTEDPLDVEAAERKLEFSIAWFADPIYHGRY PASMTAQLGSRLPSWTPEEIALVQGSNDFYGMNTYTANYIKHKTGTPPADDFLGNLET LFENKAGECIGPETQSFWLRPNPQGFRNLLNWISKRYNQPKIYVTENGTSLKGENDLP LEQIVDDEFRAEYYRGYVGALAKAVGEDGVNVRAYMAWSLMDNFEWAEGYETRFGVTF VDYEGGQKRYLKKSAKVVGPLFDSYTKKE LY89DRAFT_623168 MLKGRSKSFAPKSVPRAPKRKAQDDAQEPPAKRVSMTPDRPSES TPEVQQVDNPLPTPSSSAAVVQETSSGVSAAITNTPAREPSPANHTPDSQRAAQHIPA IETVASNNAPAIESSSINNAPPVSRSVVSAESTNEEASQASRVVAQSQAPEGPILASI ETNNRPQSRSPSPAHNASHDGGNYRYPSPENIARIVESEPSGTPANMGPPGGQLGAAG DVAAIVRTGEIVQMAVLNPDGTTGGIVEEPASGTEKGKKKRKVVRRRKVQTAEDDGDD NRATIDMGLSKAKRQTGKRSKRKDADKKKQREETPSDAEDEKIDPTTLRMTDLCKDLR IGKKFSLHDVIKQRVIKKKLEVQRNRLRENHPELVTILDAEDEDDEARLVAARQVAAA GPSGTNAESNSEDAAQTPAQEATTEPEGTSSLQYRLVDGNIVVDEQSLVVNRQREAQA AHAEMEEVEENDFTRVITSATFMKREKSNAWDALATDQFYKALSQYGTDFETIAKLFP HRNRRQIKLKFNNEERKNPAKITRYLTQPKKAMDKEQFERLSGIKLETVEEIKKEQDR LDAEHEASLAKVLEDLAATDRAKKAEIAKTSEKREAARRALNSVGDDSEEDEAPGRAG ESSKENAAPVVAASTTAKGKKKAAPKKPRRNKHSNEAGGEEVVVLGTIE LY89DRAFT_687934 MEDRKRSAGDDLAPPTKRQAVNGKASADSDLSWSGDIENYQKDA IFRQMLEYKREKDTLESRLKDVQRRSLDHDDHLRVVDGWWTQLLDEVSLLAEDHVPSD DDIDSSFPTALNFKGIEEFQGHLTSKAKEIKSKLNTMFTHLASARGKQSPDLGELQAK LTKLLASQKEYVVKVDRLRTEKEELTERLEVASLRYIKAEKKLDRAKSHAVQKLEAQA IAGSANSAGSGIGAVENGGDIEMTNGIAEHTEASQTALKEAQAVVAKQQEQLDAISAE NKALTEQLTALNTRLTSLTEDDYARTELYKQFRVQHEDVIRRINHLEATNIQLREEAE KYQAERTAFRTQLETESDIVTGELESQLQRVEADLSRIRSTRDELLADVATRKTAQEH ERTSVEQLKELSAAKDDHIGSLELAAERLQARIDEQSCEPTPRPEIDSLTAEELRRKY ETLEKEFDSINKEMPGLQSAYKRVQALSSKRVMDFSALEEKVQLLAAEKAKAEQKYFA ARKDMDTRLMEVRSLKAQNAKSSEIISQLKDVETSNRALLTNLEKQLSDMRQANTSIM TEHKKMETTSREATSKSESMKNQVTELTNLLKAKDSHNANTKLRIQAVELEIEQLRVK YEQAQKDRDQWKTKSLSNQSGEEEMLRAFALCTICRKDFKNTAIKTCGHTFCNHCVDD RLANRMRKCPNCSKPFDKSDVMTIHM LY89DRAFT_565121 PALAAKKTPKGAILLSQVKTLTLRSNAKTAHRRVSAIPQLSCKG AGCAHYKIDVMRCTNQGASYGDEDIEWSCTATMPEEFKLGSTEVTCEGYSSSSDPYVL KGSCGVEYRLVYTDKGEEKYGYKWPGSGDGEGSTLFKYFFIAVFLGVLFWILYSAWRN LPAGQRQMPRRPGGFWGGGWGGGGGGGGGGGGDPWDPPPPYPGRKPYSTGQEGWRPGF WSGTAAGAAAGYAAGRAGNRQQEPLLNNNRGSSWFGGNSGSTFDSLRRSGSSSGSSAR HESTGFGSTSRR LY89DRAFT_687936 MRQRITYLQDPQNAVDPSTLQITKDSISRKDLKAAREERITFGF DELPQELYRVLKASHELHIRWVSEIPYESITPLVSRLSPGIHVFYTPQRGSNASDLLC PLLKKVFGEIDCMSPEESFTTLPTERFSHSTAAQYYSPLSSLGDLQTYLSHKLCPASD NACHSRVSSLSAASTLDVSFDTISHALTLSAFFPSTTSAVSISKPATSSPSRLEVGIL SFETPLEPEELSLSGFLTVVGEDTKPSPTLFSFPSRHHPSTSFFTSDFLLPTGLHPTL SLSISDATPPLDDRKCTLHTHLTLPRQLFIDKYQLSDPLFLSSKNISALHYISSPVDL EAPAYTLKTWGSSALLELSPPSSSSKEWTAEIPLHLRYLPPNYGQAGKKETQIPYPIL FWACTADEGSKFGVNPFDRVNLGYDGLFGPRTLFFHLSPKPEGGKLVCPLSVPVLDLD RSGLVEVGTAAVVALGFAWVVWCLVGVWRRSGFGSGKERVEGEKKAN LY89DRAFT_673151 MAKVSAAIATVAYQLLKRESEDDSENGPDSSQMEIFSSWALFIM IMLLIAALFTSYMLQQKKVEAVHETVISIFAGMTVGLILRLSSGTSIQNLVSFNYQIF FNLLLPPIILASGYELHQANFFRNIGTILTFAFAGTFISAIVLGIILWVYTRIPIDGF EMTFVDAISVGATLSATDPVTILAIFNTYKVDPKLYTIIFGESILNDAVAIVLFETAQ RYKEGGAAGTLGIVSLLEGIGIFLAVFFGSLVIGMAVGILTALALKYTFVRRFPKIES CLIVLIAYASYFFSNGLHMSGIVTLLFCGITLKHYAYYNMSRRTQLTTKYLFQVLAQL SENFIFIYLGLSLFTESKLEFKPLFIIVTVIGICAARWTAVFPLSRAINWFIRYRAKR RGMEVADELPYNYQAMLFWAGLRGAVGVALAAGMTGANAWALKATVLVVVVLTVIIFG GTTARMLEILGIRIGVVEEIDSDDEFDIEAVPGASYYKNNGGGIVNVPRRSNGSVQLD GLNGHGRGPERGTYVSGNSSPANRPSSLVRKSSNARHDSEQELLHAVPGEDSDFDSDT SDLPPAAKRPERNNNTADGDLGSSPYPTSGTAENHGPSITASGAISSLLHGTAEDHAA WFRQLDEGFIKPKLLLDGGKHGGAGPS LY89DRAFT_721844 MASWVNTLTIPSKKRVRDAESDNGGEGSWKKAGPKKVVKDKGKG IAVEENDNTSEGSSKGLGKKAGHKKVIKKGKAKATEKDDNGGEGSSKRPGKRAGPKKV DKGREKRSEMMIMVARSLQKARQKRRKGCTSRKETCQKRPEGQRNPSKTNWFTVNYGD FLLNLSDANVIEEVRIRKYEGQERYHTPKDGEEGENAFDDGEAVMVRRAGENDRPHEV IDFERNIQEIPQEVEGETKKLKKGPRLKELLNS LY89DRAFT_591887 MSEDSPLLSPSGEGEHEGLIDAQAVLADDPQDDQWQETKSLAYM MLLTLSIGGLQLAWAVELSNGTPYLLSLGMSKSLMALVWIAGPLSGALVQPYVGILSD NCKSPWGKRTPFMVVGSIATVVSLMCLAWVREVVGGLLGLFGADRTSEGVKVTIIVVA VFFVYILDFSINTVQAGIRAFILDCCPSHQQEAANSMASRVVGIGNIIGYVAGYSDLP KYIWWFGYTQFQILCAIASIALSTTVLISIIFIRERDPRLEGPSPKGKKGIIGFFKTV ASSIKHLPPQTRKVCEVQFFAWIGYFPQLFYSSSYIGDIYVQPYLIKNPNMTPEEIDT LYEMATRMGTFALLIYAITSLATNVLLPFFVAPSYDAEYEASIHSKSDNTTWLSRFME KLVIPWLTLRRAWLCSHLIFAGCMFSTLWVRSIGGATALIGIVGISWALTLWAPFAII SAEVSKRDKLRRQRNIQAMSDPDSDTEHAVFEKEDQAGVILGIHNMAIATPQILATIG SSIIFKFLQKPRGVPGDRSYSVVLAAGGISTLIAAWLTSRIQDEVPLPTPAEEHFRPA TREERRSLNQERNFVRSHSYTSLGY LY89DRAFT_200147 MSHPQQQSNKHCSKPAFRSQSRPSSSAHGRLSFMDLPLEIRKMV YSMALISPTPLIAWSGMPLPELVKSPFALNMISVYETPHSFYIDDYLKNALPVERLMV SLSLVSRNISSEIARVFWSYNTFRFIGEWIWDTVLEWLIRIGSVNRSYITRLEFQMFQ LQHVWQLSEPIGARTQLALPEASTAQSWQEEENRREIVYPLSRHLIRPESKTSTGFST GDQFLDPPQGLSGAVENISPKMEVVMKMLARAGKWTKVRLTMLLPSHLVPGVQMWGRG SSPSQQRKYWMSMDLPNVIESCIATHAVDGSKQIEVLWKCKERGMSLQDNKHLLQEQG WEIIYTRHEDYCSFHRDWDTFFTMKRKEVHGPFIASDPSPHSEAYLQE LY89DRAFT_687940 MSESSKTSAVSTPRNVRKGTRSCFECRYRKIRCIFRSTNPSTCV SCFSHGIRCASQRESEAALPSHAKMNISERVAQLEKELAAIREQSATNPLDSGLPTSA AKQANELPRSIITLRTSSLEVPIPNNSSPAGNLPILSLFDNAILASDPRSNASDAISR EFYTGSLRPMPMGADSATHLRTSRLKRSGVCEALTDKLPSHTAMYEVLEAGSRWWDIV RRMHPYMCSEDTKLSIQSYVCWAFNQDNPAIIGCALSWIVLSLQCLPSDFDNSHLGLP MTVNDLSQHYLSNVNRLIVSDDELAVSLEGIECILLQAHFYGNIGRPRKAWAATRRGL SYATLLGLHRSSSQVPSTMSAHAKRRENAWWHLIDADAQLSLLLGLPNFILPASWDSR LHEYHEANGYYERKLPVLMNIISQRNQASSTSLASLLSATSQIDLDMEELAIHLPPLL SAHDLSTRNDLDFAALYEIVVKHGRHYSTKVYLHLPFMLQTPSDSQFDFNRTQCLKAC CEMIELYTTMRRLADGIIHFCQMVDFQAFMATVILILALLGYGPKSTRQDTNEVVKYS NLICETVGVFRRVSAEPDKALAAQCLQVLEKLHSIVQGQFPRTDNSAKCKIFIPYFGM IHITPGSCYGALETRWAQRPGQCSAAPTEEIFAPREAGVQAQNTRVEIDIFNAPFLGT FAQSTDSTSYCTEPDKNPFNDTLAMDLDQDWTWMLNHDF LY89DRAFT_709506 MLIAGRAIAGLGAAGVGTGCYTIVAFAAPPRMRPLFTGILGATW GAGAVVGPLLGGAFTLHVSWRWCFYINLPIGGLSVLVIAFLFKTPSTFKPLEATITEK VRQLDVVGTFLIMTSTVCFLLAMEWGGVTKAWGSASMIVVLVLFVVLILAFVLNEWWM GDQAQLNFNILKDRTVLVAYVFALFFAGSFFVTLYYLPIYFQAIGGVSAEASGVRNLP LIIALAIGSIASGGIISAFGHYVPILVGGGMITTIGIGLLYTLEIGSKSSHWIGYQVL TGFGVGFAFQIPQIVAQSVCELSEVSEYTATSLFFQMMGGTYFISAAQSIFANKLRQH LSVNVPNLDPTVVIGLGATQFRSTLPATDVPGVVLSYMQSIYFVFALGIALAGVATCI SFSVKWKKIHVRV LY89DRAFT_785580 MSNSQISTNKSFTVAKSGNNYAYIHHPPSNPSKPTVLFLHGFPS TAHDWRHQVPYLTSLGYGVLAPDLLGYGSSSKPLDVEPYIGSSMAADIVSLLDYEGIR TVVGVGHDWGTYLLSQLIIWFPERVERCVFVSVPFHVPGRKTDAKAVNDKSKKALGFE LLGYWMFLTAPGAGRTIGDNWEVFYDLVYCADASLWKTHWAGLGAMESTLKTLTPSSS SKHLAPWTTPSDKTHHHNSFGNDYSPTLNWYHRGISSLGVDAEISALKEGKISSKIDI PTLMIGGTKDVVCDATHARKVMQSSVEREKLKVVDLETGHWINLEEKEKFNEVLREWL EETSGEGGARPKL LY89DRAFT_737954 MQQSRTDLIDAVLNSSWSQFTASRFQQSQPTESKFVQSRVGDSQ QKNLAETHQRLKIDIDAARQGITKHLVAASYAHRARPPRVKAEGEVQLNMENVPAFEA AASQNSSSIETKQERVVPAYHPHLGSRAQFEKQYGLTPGASFATSRVHSQAVHIKQEV TPSRNIANGSFNASPKQTQEIKQEDGVPTGASNGTFSLQGLPQSVDHQSTAPVAEASW DTVDAWSQQPQQQARVPSPSIKEEGTESPEVTFILSHKEKSQQVVSRPNASGLSASRY ASNLSQYPIPPVVKKEALVSHPPQLKNNNTNGYSQALADPLAFMSATGTLSNFKQSHQ SQTPMPLLAKRVAPQQTQDPPGPLTVAPQKPESKAFQASGWPEFPSASSNVVHTKSES KPFQPSGWSRFPPSLDKPDKAIVQSGVVSAGISSAKAIPSAPAAARGSAPAMQARATS GSQSFAAEESKKNKKPLEPVKFVPAAWPDDDIDKQPELPPPAKAVEQKTPASQVSVRK APVLIESSQYQPYIDADPQHRTIAHSIQPSSRVKPLSEVVNADKIMIKNLEAQLDRQG ARLETVEQELEAYKEEYTELDHELATYKAEAEYTKNLREENKKLKADYEALKAHLETP ATPGAAPTAAQMEWLTARNQELQLENHLREPLFRIGRDIRARFLEEGRESVLHITRRE MDKSLLERGHAANSNANAEADAAVLNGRFLTNEQYKKLVPVFHTLYGDYPDVHYKNSP RVREAMEAYATCQTSAACNSKHPSLPVEELRRVAHLTKTLCQQSTKMEHKEFDEDTGG HAEKHIVMIKEAMVPILKFDRKWSRFAIKEKLARDATGSSTTKSPAKK LY89DRAFT_756393 MAKKKKVAAEAENFPPQAGCEGKEKMSDEIEDISNNDCLENLFR EDTIREVEDDDRTKYLHAQTAETVGLESMTASIAAVSITSIAADTSLGFMASKHSFLQ STHTEVVESEPNSSFPPKSRAGKGDFRTQSIGLQHQLDKKEKELKGTKQRVVAVEANL EASKEESQSLKARIKVLETERSKMKTENRQLRTQVGEHTKVVATLKAQHKEDLRASKE REEADSEMLFEALRTKNALTEARRILAEQEFKRSTIMQLQTELAQKTAANLSLRNSLV QAEQNRLQSENQCTIYEQAYDAAQKELAQEREESSKWYDTEQALRRMNADISNQLVQE QQNHRKTKVRLRIETTCLRQTERAQSSIEMDRLAQQEQVAELKQKLEGQQPLVNVGVA IRLRYLEKERALTYGLSGKDLDKNLIKEGNIAAHQPDGEQDAALFICNLVPAENSFDM SEIYEELYGTPPPDSRED LY89DRAFT_200309 MAIAMQRTTFHQLLALISVLFQCVLCLPGGCGGTLEILDISSPT YNDTVWACAGIERSSIFGGDALLLAASNQDNVTNCADYCATTSFPDGLMAGIWDSDNS TCECWYIGDLNYYDLPAASPGVTFIDFFEAWNPGGRPSKAQNWGVFTCPAGAESGWAD AGNAAYCGPLTLEPAQPALAELGIIGDCWGFCLEYPGVEWVLSRSPSDCNCYGDLAGL KVTLNYTPTDFVIDYYDFEPHSTSSSTFVTTKTSPSTSSHSTKSSTSVASRRSTTSAL RSTTSPVTRSTPRSTLKSSLRSTSKSSSHTTIKSTTKKTSTSSEVTSPKSSSHSPTST AKTISKTTSSKVILRTDTSSSKTSSPSKSSTHSTTKSA LY89DRAFT_737957 MEAITVFDFDAEYAKQLARMSLFDEVRDQRRELEENRTAVVEQQ SHIQQLKEDLAKMREHCQHDEEELTAAKEKFRNDCPSWILDNDNSIFESIASYMQTRL EDHEPGTLSADIEGADLRAEVYDKDCAVWTENGRKSLDAVQQLTEMVEQKHRIDILNG LLAGKIVSRIRKEQAVKNAETLVSGLQASSEICQQAIVVAEAEWNKKWAAIFKAVDDK IKAAVAEESQ LY89DRAFT_591916 MNWFRKWASSEFKPDAIKTYKTRPSLPISVFIPATYSAKSSTAA LPTLFTIHGGGFTIGDPADDNKWNRTFANTHDVLVIALNYSKAPIHPYPAAIYDIEAL ILAILADDSLPIEKSKCAIAGFSAGGNLSLAVCQLEGVKNGFKKHGSDGFRAVIPVYP GLDRTITRDFKATQRYYKPSLSPNRNTAKDFLLPLGKTFDWSYIPIGQNLRDPLLSPI FAPRNALPPHMFFIACELDLSCHDAWRMSSRMAGRPEPSMDQKCGREEPGKEGELELV DERFAWEVKKEGVRWLLIPDVVHGFDRMPPSMLGDATTVQDAEVKNTKVIKEIGEWLE TRVWPE LY89DRAFT_687943 MSTTTGMAVPPAQGPALSKRSESIAATRTNTPKSTFVTINKADP HFEVEKAKAKLDLALKEVEIYNQAQTLEEKLRDLENLEMEVDEVGQGLVNSLVVAKKA FEALENKLRNAEGNKQNFVLAVQFDNGDKDKVNLRRAELEIMKLKATMVEKEIEIMED EMNFKDHVRDKQTTDTRMADAQHAVDAARNQLDDLKEALGAAEVEFGDKYGTSLGGFA DAVASQAQLTAEVQALKAKVEQMGPLYWIGHATRARYILWELKYLRGTPMDREIELES HTAWKMAKIVADATMYLEFCDRQRLPTEDFEFLYGVTAAFAWEHRDFSMLMNMLG LY89DRAFT_737960 MSYLTTNIFKAAATQPTHAGDDKDNTIAQLLKELALSNRRQRED KAASDFEVGQLRAEVGRLKEEVADLREESGDQKPLAKLGEYVRSRRMVYGETNGDPVL RRAIIERGDSVAHRGYAVEDALIIKSCSQERVLRESGFLEFYGFKSTLVLRLRHCLRF IQILDWRGSMVEFMFNISVRWTLQRAFTEVFENSPLANYVKDSESQDPIDIDDIERFL ESNVAALAAYDLMAQEYSYHLELSRNHYRTINQSRRNASQGMESNKKRKFDTN LY89DRAFT_200389 MSTEIKEIMDWRRAGWGNFGKYGSEHLLPCASWQGFVETKACIA CFSCVPSQHLLIMYAVLLNIGLLGLASFGLGATIRLESRSINTTNPAYNKTLTEELEL APTVVDRFTILKNQGPSDAYFKYNFNLAVNPSPGGGTGRGGSGVLANRKNFPALIGLG VAASVGFMNPCGMNTPHTHPRATEFLTIASGGNVKTGFIQEEGEDTELTTVLDQYEGA IFPMGSIHYEFNDNCEPAVFIAAFGSDDPGLSRTAQNFFGLNPDIVDADLGFPTFLDH TNIAQFATTIPPAFALGARSCLDRCGIKY LY89DRAFT_200398 MTKFRPCIDLHSGQVKQIVGGTLTTASADLKTNYISKLPASHFA KLYKDNGLAGAHVIMLGPGNEKAAREALLEWRDGLQIGGGITDENARQWIEWGAERVI ITSFLFPSGKFSQERLHSVLKALGGDKEKLVIDLSCRRKDNTWFVAMNKWQTITDMEV NEASIKSLEPYCSEFLIHAADNEGLQKGIDEELVEKLAQWCSIPVTYAGGGRNLQDLD HVKLLSNGRVDLTIGSALDVFGGSGVTFDECVRWNQAQLKPYNYHGSRTCF LY89DRAFT_592510 MAPTLGKRKREVANLSNKSPRISSDEREETELDAQEIFRRHFEA QFQPLPIIPKPKTVVEELDDEQDEQSDWDGISDGDENVVQVVEHTDTQLRISAMSKEE LKAFMTSKPPSSNPGQSLIRDKPGLVEDDDPSEAANLKKDLALQRLLAESHLLESSKN PTLNGTNRHKATELRLQALGSKMSILKQEKMPMSHRRGIIAKQTEKEEKRRREARENG IILEKAKMKKNTDGKRDRGVGGPGIGKFSGGTLNLSKKDIFNIEGPRRSSSTRGGRGG GRGRGKRGR LY89DRAFT_709511 MESTTTPKKIVVASKNPVKIAAALGGFQAMFPNTIYTTEGITVP SGALNRAQNARTQEPAADFWVGIEGGVEDTLEQTAGSLQSFAWVVVIGREDGKTGKAR TATFYQPEEVARLVRGGMELGHADDAVFGRSNSKQANGSVGLLTGDVIGREVYYMQAV VLALIPFKNATLKFS LY89DRAFT_200413 MDTARPSISTEAPEPDSPSQTSKPKADTPAMLEDLGILTPEEIA DVRRLMKRDSLASDRHSYWLQAQFWWSPSWSTWGSDGSRMIQAEFIKSGSPCITKGIG NEVMMCNKATAFYWMESAQTIPRDGNGLAILGSIFKYWTEALGRQPYVQLHDFFAAPI SVKAKSATTIPEILYGHRCIYAQAQELLRSPRMGKEIDSRYFRLHPLFEALITVFDEY IYVEEAMESFETLKHEALPLARDEDLNSIDIIRVPLRTGVRFVANLLLHEDAAHPEST LSNTAEAPDSPFAEWDAKWEKNSRREEASKIGWASKSEDPDCEPVFEFSFNWV LY89DRAFT_737967 MEDPRQQQLMALQADISRITQALIEENASLKLQVNQLREEKSAL VSRNRELGVREETLKLDKNTLELEDGTLKEKVKLQDPLVKNAALVRRRLYERTKELRG HGQANEAMVEAGNRAAHRGDYFADLAMFKLGYMKSEQPLPDPRMAETAFERVNKLVFK ELYGSSVDHPDNPDHNLELIMQLCESSSKLLQYMNLEATIALSSPTTLTAPSDILLHS TLSVHRQACVRIFKQANTSDLFAALDTDANLEVSLEEVRQITERVVRNGKGRSHSRRM EARVPSNLG LY89DRAFT_673171 MDPLPLLSQLEQPASTSSYEGTDLSHNPARTDHWIQEEKDINEI ASQESTLLDSNTTASTSSISSQEPALEIANLRAQVAQKELNLKKASLRIIDLQAEVEV LERVSESQESENGELRNQLSKEQSEHSKSKDLLAKFGKVGDALAKVRIGWISNCVQYD TGKCIAKLYGVPDIKHNRAAIKARNMITHDGQWELDNLLGELKLLPPHIVEWFPVVYG STLGQKFSPLGIKVRNMNGSMISYHAGSFLSSDKDDDSHFSEAWKAFWRLYEAAKKIY GNDHKAIERYLREKTNALALLDAMSSVKHRRLQTLILSRRGKRPVTRT LY89DRAFT_737969 MATSKSYLKISNEVDTLKPQLLSSFNDLNKIDFNSLDAEINSHS DGLLMKHNESLAKEKKANTKSIDCEALGSDAESDDDEALEKAVETAEKLWQSKLAARK EKKLVELFKEAFKKERESVKSFMDVASQILAALDTGIGDCQVDVDISAVDLPTAMPDT NKSSGVSGSEDKVASCVTVQIEVSSDTAVGITAVTPLALTPEDKDTRDDQVEDTNGNI TASPVLVELSKQSGAIRIVHHDEAAVQQLASAIADAKKHNLRAEKLENDLTNFKSKVK AAFEEVVSTSVAHKEAVMESKEVLLAKKLKDRYIAEQVMTIPEVLAILPTRDDHGGKG GVVIREILKLHRRMKELDHEYKTLKDKNLDLDALSAALEAVKKENTTAKTAVAKLKRE LEEKDPLFQVGVAVRRGFLEAVKRTWVNGDMRVVRGDPDKYLIIAKNDAVHRGNYLAD RDLWVGGYLKTKEELADFEYVYHVALNPKGIPFPKFISLYNMWGSMVACYFKTAYTHD KSKDEAFERAYLEIRALWTKYSKGVEAKEAHEKLSACALAAGKFHRMNSILEDTAHKE RNRLRPGSN LY89DRAFT_652505 MAKEDEDEPAHTPAKRKASTNNVNNGISGPRTPTTARKTSAQKN ATPIRKASANAANKTPNSAPARARGSVKKPEPTLLGDFLLGRPSPARKSVKARRKSLD AVKAEMRVNNVQQMQQPGKVQERVKQWQKNNAKAGEPEIERGLDEIVVEYETESEVEA EVKRPARRKSRTPEPGLRAENSRTKSKTPEPRLMSEDVSPRPGRRKERDSEPKEKEPE KPRSKSVAPKKRVISDEHWMKNRRRSPPGKGATIPKNFLQATAINPPVERKIEDWVKR MASPEPPERVETPKRKVRRAESEVSEDKPRSRKVSRRSPSGDRIRVQPSRSPSPDDRI RIKPSKDRPSGGSSRSTPSKLSAANDGIRIRASDVESVQSEIQIKRTRNDGSKRRPKE ENDTPTPTPRKKSEHLAPPTESESRSRKTSYNTTIHGDDATSYMSPSPSKRRNRKSDV TESLDEIPFGNSAFSVLDLPLGAEANTMKRAERPEPKRNNSFGVPKVLKRVYTEGMKR VHDTEPARGGPNQPPSIETWLNGTTDPFTDRPATADASLPVPESPVSRMRSFKEDDRT ERELTAEREHKQKHQTPKPEKEQNLPGSPLKRGDNRETLPSMGNSPPISPLGLKRTPA TRITSSPKAAKKFDLKNAIFDAFKGESAMAKTAIPSPFDFIGLREGHIDPTETESSIV SEQEDIPIYQAPKTSPRATDKPVFEESKQTRPSHSYQKRPPPVAGGPSLSTIASVTSF SNSSSATSDTGTGSELSHTTITQGTVLSGTTGSSLSRGSKKSTSKKEGQVGQTGLKRR LTKHADLVSMLSLPDTTQPGRAGSIRSAHSIRTRRTRLEDATIEDLLREVGEDEVKYM RELNTLVDGVIPVLLTCVLSKSESAVAAGLFDPLAYPSTDPGTSFTQPIVDMGIALER LKSLHKRIPLTDPDSFLHWAEQALKIYEDYLRAWRTGFEDVVVNLAPASRKASLSSEP DMDTLPVNQNGDVIRDSGEVVDVAHLLKAPMRRLKNLSRATKGFVMKRPTKKAGEVHE GFERVNTYRQRRVKEEYARREDMKANNTDTTRAQDPKTLQPAEGFTIDRSRQVSMKDV FKFDMSHSTGQHLVCQVEVTFREAQRNLSTDLRDPSDFLISQITEHKTSLLFPPVLTS NISARKGDTDTELVVMIRGGEGNEKWKELIVLEADEKEVRDEWLEILPLGPFPGIVVQ KTMELDPEVSTIISAPGVDLRSVVGTLKKKVPEEPPIGERRRREYEEASATKQDIEEP PSPDLPPPKSEPHGPMTNARKKLGNIIKPLSDDHVYDLKDLNDALARAGHGKLRRFKP EPSPAGRPYDNVTCPFTELDSKMDMVMTGALPLPDKERRVEEAMDEKHEKSGYIYQAK NETTEEMDGMLQIPKLRKPRVENSSTPLKESMRPDPDTMSKSRPTTPRDDGAPPPPAH RSPVTPPTLKNIPAIDSATPKAKNRRGSSPLKHEYQPSAASGASSGEDLSTSSDDYST SDDSLDELDPLERAEVDDMPGSLPAESAIYGRRQVSPSGSLYSLPNGSLAPSNSASQA PYQRPEQPVSMEPQTFMAMVTYWNGNKWEDIHTVPCKVTVGPGWIDTYEAENHYAGLG RDDFDASTTSLITAEAAVKNPLVCQDLTPNVPIYSSNAIDVQVRSQMATRSRFQKVNH IQYRHLTPLDARRFYATVKYASTKNKKWIALSQERMFNDFGPKEPAAAERRRGWLFRK RSFRRDGAEPEIGAPASVGDSTSSSPLKRFRGGGLFNIGKSSVSRVPGGTAGSSAPTS SSGFSNVSPPDTPGGSQAPSTSFWGSRSATMGTKDIPILLYSRVRHNWAPHGQALLSV SDPQPGQHQASSLDHGKKKHILVTLKPVGKSHRGRVIIDEVLGADAFSRGGNTGIFVT IWRDIVDERGRVGIMPTYGGVSGRTEKWCFQSGRQGDCGWIYTLLKSVVDLEGM LY89DRAFT_737971 MRFSRSLKSSTQSLPSPNGAYLATIFPSQLSIRETRSLEIQRVI SLPPDLAVSWFLWSESSNRILVASAEIIRVYSLTNAKFAANITNAGTTKVTLVTFGAG DDEVLVFSEYGLKVSVYNLSTAKAVDINAPKFYNAGVAARGYSYRPQTSHLALLTRSG GKDVVSIHTPGTLDVLRSWIPQSVDAQGLYWSSDGRWLVLWESASQGHRLFVYTADGH LFKTWNGPMSADDDIALGAGIKIFEWSGNGAYIALGDYSARVTLLSAPSFSESMSLVH PAAVKPAESLQIWQEQIVPGGFDREFIQATQSICPPTIASPANTETKTGTNLLMFDNS GTLLGTRTENMPTTIWIWDIGSTRTLRSVIILHAPIAKATWHPTIDELLMIRCEGDRG LVHLWDPSWESPKVIDFAKQIPGGKLLGKTAVRWLNASQPAIFFSDSQDCILASLSED ESEVPWRDAEVRGFDIYGQREESPLQLVPADEKGGKGKVSIADLIDEESEEGGMMMSG GSDEVDDTFQFRKFVD LY89DRAFT_592308 MARQSDVEKTTTAPNLPAYAPQPAQTNTHPFIGRLGGNQGFVLD RNDSANASLLKDIPDAAPYMTLREQLDLKGFMCLSLWKSALAEGMGAMMLIYLTIWTS ISPHVLPTPPTTQLGPFDNAAFIGPIVGGITNWLILTLFTFSFGAVSGAHFNPTITIA TFCVRLCSLPRMVLYVSFQTAGGALAGLLARASYGTRAFKVGGCWLFDDIVPVSNAFV VEFMCCLISIFLAFGVGLDPRQKQTIGPSLGPFLVGMVLGTISFASAFARYGYGGASL NPARCFGSFVGSAFPGWHWIHWVAPIVACIVHAVMYFIVPPWQGQV LY89DRAFT_737973 MRIGMSTSLNSLFFFYHAGHDNEGEFLMVPTKTYPSNCGVPWRH IMANPRKMTGNVLAVIDACYGAAATDPNALLGNMRRIEFPTAYDDDGEAQGPGECSCT QIILDFLNQQADTSEVIIAFEIHTRMRKWMLAMRAMIRKFGPPPKEGKFAAECESYHR IERGRTGESWGDAGYELGFYETFAAVEEAMRLVKETVDERERLEAVERKESSLRNYGK TFWTSFRQEQRL LY89DRAFT_200632 MVSSRSDQMLRILPNQSTNRSGWMTFRGTRDLPRFEASRLPHFG QGRPISSDLCGIPRKKILPASGRTTFLILPSIAQVFVPFRIHQSTTPIEQKIFRERAK LALLPKLFSDYYYGPSPSRPTEMSSSSGTPSTLEQETILPPSGTQSSCKHIRPNADRS SLRAQQILLNGT LY89DRAFT_200486 MAEAASSHNVPYYKHVFVLVVSWVDDDLGVLEEEAIPLAQVFRD KYNYQVEHFLLPLAQPEAVFHQFFKERLSAILCSPDNLLILYYSGHGSKGSSGLIWGP GNEGHEKAYEEYLYPPTIRWNKFQERFIDPARADVVLMIDSCHSGAAPSASLAATLLA SPEQANFPDLPPLNNHHRVEVLASCGKDSLALAPGRRSFSHSLIKELKSRAKTGAPFT ASELLFAIIPRLKAQDKKWIWQKKSVPPLFLRVCGDPDIPSIELRVMERREDNYEDED DTLVEKKGFWTRVFRTC LY89DRAFT_785593 MRNLTTDRAINSDIPDNDAFLEAEDYKHISSWRADTPETQSTGG EEYEQVLASPLAATPDPSNLSQHTRQRTSHLEAEILDLKLKLIKTEIERDNAVIELEL ATTALVNSRAEVFEMDKEITVMGHDLGSFRLEVDDLTRQLKKKEPLFQVGVAVRLGFM DAANRVRIKGNVSIISQDLHADQSILQAKTDACHRGNMVADASLFDPELEIRSARDQI RTFNYLYNANPSWPADTPQRSRSSSFDATQTTISLSNADVSFLDTEPHVANYDDLRKF QACSDAIVSHPKLLEVRNIKASMIAYHSWTKYSHSKKADERFRKLERKAAKLIERWEE EYGIEDGGTNVQSKREQLDALLKAMSAILKETVKKEHGRLQGRRGR LY89DRAFT_623209 MAQPPESDISSDFPFILRHTAVLDSEMAYIDTGSTKSSATTAIL LHGNPTSSYIWRNIIPHVSPKLRCVAPDLIGMGKSGKPDISYRFVDHVRYLDAFLDAI TPTGKVVFVIQDWGSALGFHWASHHQDRVAGLAFMEFIRPFPAWDDAGKSQAVETFKA FRTPVVGRKLLIEDNLFVKAILPKGVVRELTEAEKTYYESPFLDEKSREPVYRWPNEI PIEGSPADVYDIAEKYHSWLLDSEVPKLMFWATPGTFVREEQAQWYMENLKNVRGVFL GKGTHYLQEDHPHRIGQEVA LY89DRAFT_737977 MASVSQAQGELKSSDTHVSGQSTVDTSNTGSGNAATTPSQTLPQ LSPVPSYPTAPTQMTHYQQFPTAPQNYLLRYPTRSHLAPRLPPLKRPFTPMTSRLNHT QVHVLALSFENHGLAETHRQEINKLLDTFQYRYNYTVTDFRIPENGSQVDSENAICKE IMSYKNGSANFGPSLLWVYYGGHGGHGGFSGGEFCLEGDTVPGTDMNIVRWAPIRNAL ASLYCDVIVVIDSCESGAAYDPNLELRPNGYRMDLLAACGTDKTTKGPGDFSFTKSID DNLNQMADGDYRISLHDLTTALRNRRDFVAWALVYHAPNWWNLPRWNTETQPELVHRN MRDDGYSTIHLNVYASQSLYPGQSATVSGGFKSLAQSEMEMEREVMRRVQFVFFDEKG TLFNDRQEYEDYQKQLQEEDERWEAEEKELDEEERRMEKEMENDKLRRRDEDEARKKY NGGESI LY89DRAFT_756449 MATTSSSGRSNPYENIHVHISWWNKDQMGVPPEIVELEQVFREK YNFRTRVHRLGWSDESRAVVDLDSGLEYHDNLDVVKSVLDSSSLLIFYYSGRVRSNAA GDLMLLPRVGEQGEEIAWTYILKQLMKLYSDVLIIWDTDHTSRACIQAMYTMEFIGAA DNSREMWGPTTLFSFTQFLIDVLREAAKNDDGLTAADLHSRIVSRYLDAGLVIKKEKG QESNCPPLPFYFKPRGSVVFGSIPIIKLPVEDEDEDMSHSNASPSSGSDIDSDDDDED TSSDKGVEAIKRDLLKRPAKRAVAQRKKLLTTLKERAQRPERKRHVPIQRGGLCLPG LY89DRAFT_673183 MDYAACNVVYVDRTAQEDKLVKRDDATLSSLDKPPNHAADNHAP VSNAPSPVEENLQVLLETFSEVHVCTSGKACVSKLSELNQASIVELIPTLVLIDIPYE DQLNERPGREIRTPSPSSKQQIGILEERSDEAYGLKLLQWIASEIQYQSLSRLVVPVA VVSIPEHNLLPTISRSRTDSESRKQFSYSFSQKKRAGLVKPLDQIRTVRYLDIGAVDV LTSPLLHERLPSLAIHAYRAHKDASKEQRALLEMKRGRKRSWVGVDDSKPYAYLREAM VSGLMDGICKLGGEDESFGHVRISIALDRREKISAAVASWNFSAHDFTDDELLHAALL MLQHALAMPELEKWRISTENLTGFLIASRSAYNAFVPYHNFRHVVDVLQAIFHFLVRL GRLPPYPAATTSSSLPPSPIAQLIRPFDALTLLITAIGHDVGHPGVNNAFLVTLNAPL AQLYNDRSVLESFHCAAYSQILRRHWPAAFQGVEMRQLMINSILATDMGLHFDYMKKL GWLQDKLHENGGTDGWNGRLIEEQRTLACSLLIKCADISNVARKYNVAAQWTMILTDE FARQASMEQDLGIPSALFAAPVREVVELGKSQISFMNMFAIPLFQGVTDVMPGMAFCV EELQSNKAAWEEKIADEQAKARQDSEDSLMKDGMFSPRTMSVANPSDASHQKLSNATL SPEPDLRKTVLSKPSFTPANGVLDETTPHHQSLPEISTSPLSLSEASSPASEADTASK RTVKPSQLQLSFATASAPGLLDHPSQDSHVLPNGQLQMNGFAVTPSLVTDAVVVDPPT PLEPKSRGSDKLRTERSSEGTEGSNSAIGDWTSQATSATTGKMPLSPSTQGTSIMSDS ESLSKEGNSVLTPTGTPNRIETPNHDYRSSPCPSSSPTPSPRDSRQKDQSETSSAGDD GKEKEENKGMLIMDKVKTLKKKPSRFRMNFWKRSKSSSPPMPVGGARVGGSEDDGRSQ LY89DRAFT_200651 MNTTPSQASSSLLPHTYALWTTTCSSFSLSRRSCFYYLRLSILG AIASIEPSYALVSVSLSSPLLSPTRKIPFLPQSPSSQPNSIPAKLDLLIQPITAHCYC APHSSNPSPSHPGATSWPAFSTHGAHGGKNPNAQSDRGTTPTHHHQPQCRTLNSVTPP SRILVAGITSASASASASARDPRC LY89DRAFT_687954 MNPQDLNEKAHPISLNFIETRKDSITSSPSLLSQMRHRLRFISF WNIKPGSRVLEIGCGQGDTTVVLADAVGEDGHVDAIDPGSLDYGSPQTLGQAQQHIKS SPLGPRVTFHCPMDTITYLTSYTGPKYDYAVLSHCISYFPHPTTLPTLLTSLGPHTTK LCIAEWSLSPSLLSPAPSTPHILATLLFTYMETLLSSPSENNIRTALSPAQIKASVPS RFRLEREEMLETDREMQDGYWEVKDLLGRGKREWWGLDEIARDEKERAVLEGWFWALR EATEAVGGVEEVRCMRVWVGVFGLGGDGEA LY89DRAFT_756450 MLALLEETILQSNLSPSTHPLANPNRPLKHIPILRLPTLPPRIP IPLIPVPILLPPTHTNPIIIIDIILHKITLCRMHGDRTYQFIGRHRIRPVAQSRPRGL RVHGLDVAGVVEEVDPCELVGRVPDYGGGAEVCAGGGEADGSREAEVVVRDRGRSGDL AGVEGCVFGGGYGFCGESERGCCCC LY89DRAFT_737982 MFAFVTLLAAIFTSTVLAIPTQDQSAKTSPAISARDIIEYPIQA TLCKNNPNDGNMCFISYVDNSIWVDPVLWVFDHNCVTIGYNGAVPRSEIEESYFGMSS ELPDLVVIHAGLVFDDLNDIDFWYNNVHTYPTSDWGNIDAGWTENGVQAVDSSGTPWI WYRMAFSC LY89DRAFT_673187 MCTAKTLSDEASVNNFTLLGLRTSGRNKHKHSINKMAPNKRNIF TILFAILLLLASASAAPYLNSSSTSVEELHSRGASDYRAYLVNALLCKANPTNLNMCT LATIDIQIPIPSGYAYDGVAIYVFDHNCVVIGYNPQVDRKQLNGQAENDCFGMSSELP HYVIVCQSGLWFPDPIGAMGIWYNGEFVAPSEDTANLVAGYTGIGTAQDDVWWNYAWA RVAFPC LY89DRAFT_200794 MATSIPPVFSEGVGYGIIVGLGAAFALGMGAVSWGLERYFAEVQ TSEMFMTAKHSVKTGLTASAVVSSWTIAATLLTSTTEGYLYGVSGPFWYGAGASVQIL LFSVAAIELKRKAPNAHTFLEVARTRYGAGAHITLGCYSLFYQIIQAVNLLVGGSTVF SALTGMNRDAACFLFPLGVMFYTLLGGIKATFLTDWAHTVVIYVIMLTALFTVYTTSN VVGSTDKMFELLQTAAQLHPVEGNADGSYLTMRSQGGGYIGLIFVGAGFAAAVDSQLF QKAIAADPSSTLAGYLIGGSSWFTIPFVLATTFGLTAAATEHLPSFPTYPNRMTSAEV STGLAMPYGALAVMGKGGGVAVLLMAFMAVTSAMSSETVATAALLTYDFYQAYINPQA TGEQLVRFSHYVVVGFGLFASAIAVGFNHAGFSVTFLVTAIGIIVDSAIIPMACTLMW KKQSKAAVILSPLISSTAAIAAWLATAYTHFGSVTIATTSENLPLVAGNMMSLGGPIV LTPLITYLRPDNYDWHLLKNIKQADDADITPVVLPTMPSALAADEALATKEEIDDSVL LRARKWSIIASIFLTLAYLILWPIPMYATSYVFSEGFFKGWIVVVFLWAFYASSVITL LPLWEGRHSIASFALFTFGIRSKKSKTNGEFIEGLRTDSLLENNPKVDEKTTDVEMKQ TG LY89DRAFT_756462 MCSVDGSTTSALFRREMLPWMIQSPLMPHIAILMASASQTAEPT SLIVKPSEMIAIKSQVLGLINDFLKQDFTLVGGEALRAVIHLWWWGTTSSLWAHMAGI KQMIKLRGGFQAMNDPVLQQVFLVTDFELACCFERDLFLIDPAMANHMDIPIPPTYPE FLRSPLLAYHETFRHDRMVLGLSPEAAEILDDVRFLTLSITSPSDTTSTRKIQSTAAW LHERISTSPPLVPSPNAPFITVTTSMINLTALLYTLSISMLIPISELWTTTLLHEFYT NLYSISMSQWKEIPAIFLWVLLVACPGSQEDQRGKWLRRKMAVAGMQVGMEDFGVSIG CLRAFWRVQRWVQDEGSKQEASQDGAG LY89DRAFT_756480 MAPLTTYPDFGESTTATEVTKVFSEQIKGKHVLLVGVSPNSLGE HMAVAIASHEPALLILASRTASNISQVIDKIKSSTANIPKSVLVDLSSQASIRTAAKD ISSLTPKLDVIINNAALNIPTWQGTKEGIETHFGTNHIGLFLLTNLLLPVVLSAASSN TPGSTRIVNLTSAGHRLSPIRFSDYNFKKSYVDLPEEEQPPKGLPPQLLDAKEPYNPF MAYAQSKTANILFSLSLEEGLGGKNVRSYSVHPGSIWTGLARNLDDEGQKLIKSTGKS WKNGDQGAAGTLVAAFDPALNEPNGVYMADCQYAEAAPFATDPKMAQRLWALSEELVG EKFSLS LY89DRAFT_200917 MISQKSSPEPLAAHLRQIAGRVGIAQLLLLLLAGGLTWLLVDYA RMLLLRRKMPPGPFPYPIIGNTFQLPDKKPWIYFEQLAKEYNSGIITFWIGRNPTVWL NDAQTASDLLDKRAATFSSRPHMVVFGDLAFGQASLVTMYYGDRFRVHRKLTHMGVGM QQVRGYQNLQSDENKVVLYDLLTDSENYVTHFERYAASVVSIIGYGRRISKVTDPIIT EVIYLMQRAAEHNVPGKSFPMLLETFPILAKFPKWMAPWMKNFRKPRAKNFFYALAEE AATNPDGNDCYSKKLFEQGPKYNLSDVELSTLSGSLFGAGSDTSSSTLVTFVLACCAF PETLTKAWEELDRVVGPHRSPNFEDEANLVYVKALVKEVLRWRSVAIIGGQPHAPIQD EIYKGWLIPKNTWVQGNVWAIHRNEREFPDPDRFDPERYLKDGANSRPFPNERGYMTF GWGRRVCVGQALAEQGTFISIARLLWAFNIQKALDGNGNEIPVDIFDYTDGLNIRPNP FKCRITPRNDEIRRTIEREGKQAQEDLAIYNGETKYRITTYRETE LY89DRAFT_673192 MQFPSHDVDAARRWLLAPASKQLLSNLTPSSSRLAYDWTPLESL IMGVVGLTRELFYASFADACLTILGGKQNVSIELPQDARRRICFSVGTEAFSVILRIT KVAWKDVMRDWLTAALIAFAPQAINIWRSRDWNCARKVSQNRQEPQTLPGEYKTASMH CASSSCLVDQGRSSSNEQKSHFSVAVENRREKHQEPEYVTGNHDETEWDYKEAAMKQK EKRAVLDISYVKALKLDDMAQRAVLQNPANRQVVMDRVRCSVEARRQIIDFHASIHRS STPAASIDIDNILLSFNGLLNHHSRSLAGTKIHTVKKIIEVGILSKLDTDFSYQTKKD GISTLVAMMTSILAKGGDGDYASVLEDEQLPNVLGQAILEIAQLMSTFDREQLAKEKH LLQMISSIKDFKYQGQRPWELLDEFVSLFSRT LY89DRAFT_200919 MAESKVALQVCWTCKMGKRKCSKELPSCARCSKLLLKCDYDYNL TEANPQNKQVTSPASSVEGSTLPSLQTASRLMAALSENSPRTIKLEANIPSQVLNVLI SNGEGVPSVTSNYFRTIDVWLPVIAPEPCLKRLETITADNNVELASLLLAMFLVTRPP GQNSGIKEMQTPLYFDTKTLYAFLVTFGRSSIEIIQAGFLISLYEIGHGMTEAAQVTM IVTSRLATKTKIRQYRNSSANIANTEFGRLWWGIVTLDRYLNQGLAADEIQLLVGTSN DGVPSMELPTDDETGLPPPISMRTTSGISASNQPTTRLGPFCRASEAGHLLGQVLDLV AESSNTNQMNETKSRALDKNLQELAVVLFQRAINGWEECCAAIGLCLSALVLLHERTW ILAKDTIGQETRKQAAGMALSSAIRMVIDISRRFHMDLAFIDLPALPLPATYAVYRTT LLYIQLAGDEFQGSEWSSNMESLKSTLGHFGKRWNVGSKSWNDRGYAPC LY89DRAFT_709519 MIDFTLSESQNAIRAKAAAFSSSVLATAAAKYEKHPTQIERFRA LRPFYRQAVEAGLVRGLIPKAFGGDAGTVVEAALLVEEMCKYDRSLSLSIFSTGLGLS SLLIAGTPEQKEELLKPFLSGEGEPLASLLHTEPEGIANWLEKGGKGLQTVARKDGDD WVINGEKIWATNCCGWDDRGADVQCVVCRYSQDGQSQAAEANPAEATMILLVTRDLIA SNDLGAYQILKHIESTGHTSTAGPHVKFTNFRVPGHRLLAAPGQAAAVITRAFTSSGA LVGAMSTGIMAATFEAALDFAKKDSRGGAQALITRPTVSDLLMDIKMRTDAARFLTWK AASALDNGKGGELALEAKLFCSDLAVKVVVDAMTIVGVTSYNKDSPFPKLLNDAMCLP LTGGGNIGVRRRQLEQLFMADGYRPWASTYD LY89DRAFT_201335 MLCVTSAPTMNEITSTCKEFIQQSRNRNNRNITMDCSRILSTWN HHINKASFRKSIPIALHTANGFVLSLGHAETTARTSLQIRTRNRTEHQHQHHGRGPQD RYWGGPSRSGSARGVNDLKSENSGPTGSGRSDPSSNMSATCGCKSPHPEPHHFISNIH ILRWKRGNLCDRKLKR LY89DRAFT_623226 MAPTLLMIGSGPGIGLSTASLFASKKFSKVALISRNKERLVTDR EAVLAAAKNTDVKTWVADVVDSEKYKNVLKEVEEWSGEGVDCVIFNAARVEPSTLLEF PEEEIVKDFMTTNIALYTTAQWALPLLSKMKEEQKPSFLVTSSLLWKQPVPFVFSLSL VKASQRNLVETMKMTFPDIHIALLNVGGVVSPEDTYLNPPAIAEKFWELYAQEKSAWT FDLEILGAQ LY89DRAFT_756488 MFLSVRAFLLCVFAFTTLVTCLPGRHGPTKVTGLGVPISNTAAK NVIANRYIVVYNNNATDDEVQTHQASVMTSLRKRSLVKRTLDGKVLSNQMNTFSMMGW RGMALEADDGMILDIASSSMVSFIEADTIVKTTALVSQSNRTAPAGLNRISHADGLGT GYVFDNSSGSGIVAYVVDTGIKLPHSEFAGRATWGANFVNTNQNTDENGHGSHVSGTI GGTTYGVAKAVSLVAVKVLDADGAGTNSGVIQGLQFVATNATARMLSGKAVTNISIGG SKSTALNNAIAALTKAGVTVVCAAGNEYVDAINTSPASAASAITVGAIDSSSDAKASF SNFGASVDIWAPGVKVQSFGITSNTASTILSGTSMASPHVAGLAAYLMSLESLTTPAA VTARIKALAGTTGSNATGVSTGTTTLIAYNGDGL LY89DRAFT_623230 MYSPRLSLIPVVCLVASFFRSVSAHSSPKLKTCVVEAACDGSDD APSVIKAFQECGKNGKVVFLNETYHINSIMNTTGLQNCEIDLQGTLLWSTDIPYWLNH SMPVGYQNQSSAWIFGGENVHWKGHGYGTLDGNGQVWYDFNNGTSNYPRRPHAITIWQ TKNSIFEGIRFVQSQMWTMTVIHSENVLLQDIYVDSTSSTKASTVNTDGADTIYANNI TFKRWSVTNGDDSISPKANSTNIHISNCTFYKGVGFALGSIGQYNGVFERIENFTAED VVFHNTLHAAYIKTWTGQQVGYPPNGGGGGIGYVKNVVLKNFQLNSVQLPTSITQCIS FSSASGNCNTSLFKISDITLSNLTGTTTTDPIASFQCSAAAPCENITLTDINLTLTNG TQAYGWNCNNLEESIGFNCTGSTCGTASADGTC LY89DRAFT_673198 MSSNEALSPATTNGSIPSQLRRKHPCVLCQQRKVKCDRNEPCQN CTKARVECISPLTLPPKKRKRRFPEAELLARIRRYEDALKGYGADLDAINGEGGRTSL SYQGQSTLAAKQAETESNVMPRSEVVAQPTRSLSIRRSLRHVKNNLWTGINEELMSWN FYRVSQCGQTPEAFNKAIISPVLNSADGLHDQFRDAEEILQGSSDDELYENPISKTYD AINGDGSDFLFTSPNSATPIRDLHPSPVQIFRLWQTFIDSVNPLLKIFHAPTVQQQVL DASADLDNVDKGMEALMFGIYSISVNSMAESDCSAMFGEDKTILRERFQEGARHAFRR CGLLRSSDMTILQAFVLYLYSCLNFCIDPRSLFCLSGIAVRIAQRMGLNFDGTSYGLL PFEVEMRRRLWWQIVLLDFRISELSGAGNSILTHVWTTKLPLNVNDSDLFPDMRDPPT EHPGRVTEMIYVLQRCEAADLLQKLRDSTDPVAVKDAAIDELSKRIEQKYLQYGDPSV PLHLFSTIMARSGMCKLHIGPRHPHLIHSKELKKDERDQLVKYSLTMIENHNILMATK CLKPYLWHILTNSPFPAHIYLLVALRHHANDELSDRAWTHIGESFRLRRETWAHNESV KHSGTALQLALANLTIKAWEAREKERQGIATPDFITHLREVISTKKASRAAQQQTPTD SGESEIVSGAPADPFGGGYQWGLNEPTSMMDFGQGLDPNVMPGLMQDQGGAMGWEFWN DLMQMPAIPGFDGSAGQGVYPG LY89DRAFT_687962 MGLEYCTKTGRQLQLISGNHHSLTYSRAKADHVFSSLGKRALKD RDQGLQHAASKTGQRTNVGSRGQHHVKHYTVFLHNSHSNPTHGLGFRSNRQFALIQNN LEIPKQRLVTNALSSTAHHVAVWCRCRTPKTFQTFYLPSSTSTQPEWGRSKCSP LY89DRAFT_591904 MWKTGNIYLITAIAVIGGGLFGFDIASMSAIISTQPYLCYFNQG PDGPGYNDVKTCSGPRSSVQGGITASMAGGSWLGALLSGVISDKLGRKRAIQIGSIIW CIGSIIVCASQDIGMLIVGRVINGLSVGICSAQVPVYLSEIAPPAKRGRLVGTQQWAI TWGIMIMFYICYGCSFIDGTAAFRVPWGLQMIPAIILFLGLLILPESPRWLAKHDRWD EALHVLALTHAHGDEKNKFVERELQEIRDEVEFYRKNSDVTWMELFKPSMLNRVHIGA FTQIWAQLTGMNVMMYYITYVFSMAGLTGNNMLVSSSIQYVINVVTTVPALLWADKWG RRPMFLVGAVLMMTWLFINAGVMAMYGHAAPAGGLNGIAAESWVMEGKPAKVIIAMSY LFVASYAPTWGPAGWIYPPELYPLRLRGKAVALSTSANWAFNFALGYFVPPAFQNIKW KVYILFGVFCATMFIHVFFMFPETAGKSLEEVEAMFTDPKGIRYIGTPAWRTHVVKRT LEQRVKEGDEKGAEHREVQEHERSSDEEMGMVRPEQPQQAVVKEERA LY89DRAFT_652544 MVAATSDNIEQVKVFGLIPFGGGGIFISVPLAASLVKDEVWNKC METEHNQGDGIVNECLNAHSATRPSFDPGLNQMDLGGDPSGYFESGRRMLTVHHWKTW FHVDVPMAGNVSKACGFECVFQRFRFDDDLVLSNGYSIAEYPGGIEDDDGSVLVDLDQ VEMTWAGLKSNYEHHIGPLRQPLEKHEKKQMLLVEATILPGKGVRQTYVENVDTSDND DSESPLDRVVELIWLFGN LY89DRAFT_721877 MVLKPCGDETGEYYLIVSSLPWSATWQKLKDFVRYPETGGCINV EHVFIYPSATDGWVKIRGREDFERAYDRSVNVFTEFLNGRVFEGKAIVADGRNGHSLV NLRDLEPIQTSSKQPAYIKTSPPDTHAYTSSSTKYNQQTAGAESLSHYQPSLNSIQDF SSQSQSPIVSYSSGSTTYSNEMSHSLQSANYASSSPCTSFSTQSFNYAAAPTSQNLYM ANPPPTPIYARPVHMGFALVPMAQIVPAPPAMKPQYQYPPAEEAHHVVVHTEARKVII TDLSHSITEKELRNLLERAAQKSRSRSATPAEEYPIASFDIPRHSDGKAKGHAFVVFS SPHIAQRVMDALHGKRFQRRELKVRWTKEGADSKVQQTYSTQPPIPPRSQVALATFAP QMDQTYSAQPLIPPRSRAPPAAPTLQTGQMWIAGDSNTTSTSTEPKKEKRDKTRTRTQ DRNCDADSEREIISRTKSRNSERAPMVVDGTGGKRRHR LY89DRAFT_652548 MAYAPRGGRGGDRGGRGGFGGGRGGDRGGRGGFSRGGGRGGGDR GGRGGGRGAPRGRGAPRGRGGAGAKGGAKTIIEPHRHAGIFVARGKEDMLVTKNLSPG ESVYGEKRISVENAGPANADGTPSTTKVEYRVWNPFRSKLAAGVLGGLDEIFIKPGAK VLYLGAASGTSVSHVADIVGPTGTVFAVEFSHRSGRDLINMATHRTNVIPIIEDARHP LRYRMLVSMVDVIFADVAQPDQARIVGLNAHLFLKVGGGIVVSIKANCIDSTAAPEAV FAREVTKLREERIKPLEQLTLEPFERDHCIVVGRYVRSTT LY89DRAFT_721880 MLFNTLAVFGLIATAAAAPQLHSRFQKDVEAREAITHAARGVNW SPRAIVPRKNNFGNSKDLFQGSYQANVQITEEQITILQVDNSAALEQQVLQTEIALSQ AIQLQILAQQELQFAIDNIRTNTFNSLNSNVGDEANEAQNTVLVIVTQVVDNRNSGSS NNRYLVRQLQSNPTIQEQVFVQINEQASMTIANDVPSSVFAAAQASGTGFAAPNLGSY TPGGNISLQAQGLNLLPQGVSVPSFGNAQNFNDPALIIQPNVQAFVQIGSSNEFSVAA IEAQILGQVIN LY89DRAFT_201964 MPLKDRIRRAMGSVSSSSGSSESNSGSRVPTATNTPGASGAATP TPSNCNSNATTPTITLTKTNNSTRLSLTKTLTWSRDKRSKEEKMADKQRKRLEYWAEK DRDEWSTPEDGHKRSGNKKRSKQHQDMLRQFEFDFNARRRSMSSWISGISPGQTRVGS VDSTEGQQLSPLPLRKRSSGAGMGASTLSRQVTREEQEPGHAIPSVAEE LY89DRAFT_673206 MHLSTLLLATASTLTQALPEVIPRATTATITIDTSKTYQTMDGF GFSEAFQRANLIVNLPAAKQASILDLLYNTTTGAGFSILRTGVGSSPDSSSDHMNSIE PKNPGGPSAAPNYTWDGKDSGQIFVAKKAKDYGVTTFYANAWSAPGYMKTNGNENNGG YLCGVSGETCTSGDWKKAYADYLIAYMKFYANEGINFTHVGFLNEPQNAASYAGMLSS GTQAADFIKVLYTELKAANMSNVAIACCDSEGWFVLFLSLDSQVSNIHRNDQVGMTAQ LRSAGVEPMIGIITSHTYTSNFNGPIKTTAKVWQTEYSDLNGGWTTAWYSSGGSGDGQ TWASYVHNAVVNNNCSGYLYWVATQGGNTNEKMIQVTATDYTVSKRLWALAQFSRFIR PGAVRIGVTGSPSGLSTSAFVNDDTAPVYAGALVVPCLNTGSSSQSVTLSLKGYNGTT VGAWVTSQTQEMVSMAATLGSDGTVTATLPGRSFVTFLVAAK LY89DRAFT_721883 MDEKVSSLMGVSSLKTRISLPFRLLIARVGLVLCVLGLVLWRYG SLWEDTKALGRQLGHCGQVVDLKSIGVQSWSEVKPSSNLVWYRCYDEYDCAKLEVPLD WLDESDPRRATIAMIRYNATDRSDYKGPVFINPGGPGGSGIWYVKHLAPYYQSVVGRN HDIISFDPRGIGMTLPSVDCWNSTYSSVSALLWELQDPPNVDAHPGSVYDAYAYAAAF SRHCADNIGDIGRFVNTPNVARDMLQMIDKLGEDKLQYWGFSYGTFLGTTFAALFPDR VQRLALDGNVDAKEFSSGAGTHFLDDTDAVMNAFYRHCHRAGPLLCAFYANNPEAIEE RLKNILADLKIHPVIVPSSPSSNPRPEIVSYSKVRRMISAALYRPLVVFPSLAEALTA LENGDGRPFVDLTSQGGEELPLCEKQYDSKDGDPESPTPEVPEAEGNRDATKAIMCTD ARPAEGGVEGFRNYVDTLAGMSKAAGTTMSNMWMGCVEWGIKAKWQFRGPYEGNTSHP ILFIANTADNVTPLRSALNNAKGFPGSVVMAQDSYGHTTLSMPSRCSALNIRKYFQTG ELPTPGTICAPDYLPFERWDVTSSLSGTTDEERELITLDQSLLKLMEAPVLALGH LY89DRAFT_738003 MANGNNGAEGHEKVRVLLLFWEADDMNVKAELEPLKFFFAEECH YSVVEFMIPSIDAEGRLSDQIRETENFCMTEKAALIVVYCGHGSVDESKQYRISAFGR GSRHNGGNPWNSSPWLNWNSVQNWLQTINTDVLILIDSCYAAAAAAFDTGLKSLQRTE MIVACGYEAVTHSSMQHMSKKMTKYLRKSHPILGPMPSFTEVLVECLSSTLKTGDSFS VTRLHRDLIRHIVEQNFAQRRFGEATRTPIYIKIDEDNTRSSIKFNRGSVVLGPPVKP LKTLKDFEKPLESEQA LY89DRAFT_738004 MAFHQDFLFDKIATHTFITSVVFGAMIVYAVSSFYIIIHSSKFP GPPIAAVSNVWYAYHWLSGRYPWAVERALRKYGDRVRIAPNELVFVTPQATFDIYISH EKNHELFKKTDFQNRGTDLAADLSWDEKMHEMRDMKNSVYLDVLLGFNLFSTVIQVFK RFPLLDMFQYLFVPFNKLKTFVNIERHTRSKINQRIEMNGHTEHPDFFDFILPAGTPR PTNPREATHLGSVSMQLMFAAFGPMSDWFYATIFFLLEEPICYKHLIEEVRNAFKTYA DIVPRSLTSLAYLNACLAESLRVHPSNDSGLPRFSPGALIDGEFIPKGSRVQTSIFAL SRSPRYFHDPLHYRPQRWLSSNHPLYEDKFAKDALEHLFSFSLGPRACIGRDMTWMQA RLFMAKLLWTFDVIRVPGQQIDLERSLLHYGFLIKPELKVRFVPVRKDETR LY89DRAFT_592412 MLPATPTVVASLARACLNSVPLNKTAAIALVTAMEPYLEWQSDS AYLRDPPETYFYPPHDIFAYLASVKTNLESDKYANEYEFQEDLYQVFARAHDGHFVFY PDALTKAIEWGRQRSLVSISEDGTSLPVIKLYEDVVSGANASVVTEINGEDAATYVAN FAYTASFNQDADAAYNTMFFEKAFVGGGTGTGYFNGGGRVRYIYPGDNTTFTFANGSS LTLENVGHVKGDFSGVTDGSSFYTKFCIPESSGDAAAANSDETDAFVPDVLVPGYPSP VVITNDTIVSGYYLNGADYEDVAVLSLLAFESESPLEFQQVTQQFFADAVRDGKTKLV IDLSANGGGYILQGYDEFRQLFPDIVQDGNSRWRENPTFLAISEVFSSISASFNPLTS SNFVINEAESVFNYRYDLNISNEPFLTFEDKFAPHVYKGDNFTNLMRWNLNDPLTTSN DTYGLGTDITGYRSRTNFTQPFEADNIIMLLDGYCASTCTLFTEFMRTQAGIKSIAMG GRPMEGPIQGVGGIKGAQILGWGDIYSAAQTALLNATAEQAAILSTLSPLPASRALST GINVRDNILPDHVEDGLPGQFVFEEADCRLYYTEPMVTDVTALWKAAADAAFGGASCN YGSLAKRDEVKRDPKSRDALIKRAEHPRRAIITEENQAWVARHGRKAIP LY89DRAFT_738006 MAMSPSKQRPRRNALSIDVSATVPLNATAYRPSPSVPNFMVDGI QSHPQWGYSTDHSPYSSSPSCLAPSCRNEIGCLRQQIEILSEKLRWSELRNNELNQRC TELDQENAVLYSKETVYQQEDKVTNGPIFFQAEVVEKKDGREMEDHPNKDEDGVNTEN ISIVVESELAAPATKEKIISQIKDADISDIKAIADDISKTCKSWKDEYEQLSKTHELI RSENHQLRIIAENYCAMRAKLWEEAKLGYSTVVTRWKFHEACSRGNFAVDGALFVTGI AGEKEQEVLQIVYGDYGVRLTGGDFENLERLKQSPWELRRLNARGTMARFRTRNRGGD RGAIWRFAGVDTSYDLAKGEEISNSSVDRHIKEVCMNNMVVEMENIAKSLYW LY89DRAFT_673212 MATRRQPSLNTRSQSLRCCSSLANLLNPTSTSSEPTTEAKVYTL EARIRELEGTVELCRQQAAIEKEGLENLRSENTLLKAEIKLPELNFKQSKLSPLSQHG DGQEALPVLGLEELSGNFSKWARHLIAANHQLKFSLEHEKLEVHQLRQDLIGVKNSRA TDRIMHRAHVNSQSQPQQEAYPTPSERPDSFFVSLVDMIIDIVLLQRQQHPSLGGSVT VILPRSNSGQPQTEVQRLGTEMNHLVTENACIKRDMAAVKYHSTCLQSSLSGMEKQAV DQTARLNQFKMRVGKLNKSRFDSEFLAQKKARLQDVEIRQLRHEVDSKTKEGSRLQIG LDVANMALASQKRRNQSLEESLINAQAEHAALTQEAGELQAENRRLVEDKDNSAAGRK NLLLRDRIDLMGPIVENALPVRRRFLLQGRKKPESKSATEAGNRAAHDGNSSADASLY RLCHLLLSDEAWFEETYGASLNEFWLMAPFPKQVQLLNLRGSIAIELKWPRREHENRV TRFVELEAECWDILSSLRNKRQSDREASEAFENVVLVRGNIERMAAIVAEIQENARER KS LY89DRAFT_202082 MDAVLRQSKSMCPFLKKTSPATLRALSTSTRQSSPGGGSMSNLQ TIARRCPIMGKALAVQTAKSGKVGLGGVAALGVIRAFSSKVNSGKAKLHTSRQHGARA VEDTLFGRENVPYPQHVKPTRQPAHHTAPKFDYEGFYNTELDKKHKDKSYRYFNNINR LAKEFPRAHMSKKDEKVTVWCSNDYLGMGRNPQVLKQMHETLDEYGAGAGGTRNISGH NQHAVGLEASIAKLHAKDAALVFTSCYVANDATLATLGSKLPDCVILSDSLNHASMIQ GIRHSGAKKVVFKHNDLADLEAKLAALPAHVPKIIAFESVYSMCGSIGPIEGICDLAE KYGALTFLDEVHAVGMYGPHGAGVAEHLDYEAHLAGRPRGTIMDRVDIITGTLGKAYG CVGGYIAGSTKMVDTIRSLAPGFIFTTSLPPATMAGAKTAIEYQMEYQGDRRLQQLHT RAVKDSLSERDIPVIPNPSHIIPVLVANAELAKKASDMLLDDHGIYVQSINYPTVPVG QERLRITPTPGHIREYREHLVSALESVWNDLGLKRTSEWAKEGGFIGVGEPGFQAPEP LWTDAQLGVSEVVKEMKAGSGPVGVMEALLERETKETARAVSAAA LY89DRAFT_592270 MSTIRDTSPEKGKLKKKPTLPAVDSSPSVNTTPVKNTSAASRFT SFWSPKTPSQSNTVQVPADLNDKFLTLDLETALFPAGTPSEQDTFSPAAFSNLKQNAK GLLSKLHNAYKVRTVALHELSAEKEAISEELEESQARNNLLKANLADMAHQVSERDNT IQELMNELTKEKQARADEKEARERSIAMVTARAQRDAVKRGSTSDLSIDTTAEDLGIS SARWKRQSGGTDFTEADSDTESGAGGSTFSRARSPVHSIGTVDSTPTMTPEIMQASFG RMVPNPTHTSGFEKRPKMVQQKSTFQKVLGKITAAESAPETLEKDPYGGLGLGEQGCS NCRGKDASVAWDTVGLMRAENKGLKERVGDLEKAIDDTLEMPFMIGVQGLTKDT LY89DRAFT_785617 MTTTTPSSPPSSSSTSTTFITDQVSIAAFNRGPVTASFTPPVSC LSTLTLFNSQFYFGHRAEGYFDTACYPSSTSSLAADAWDVYYYSPAQCPSGWAQATSI AYSFGRSATQLSLGSDTTAVVCCPGYFYVGNDHACQSNIASTTTSVLYISPVLNNNLW YSTDGNAPSTTTITETATSANWILGDGIAVWWQSSDLKAFAAATGTSSTPTQSTGTQS TSTATHSVTSTPSSHGLSSGAKIGIGIGIPLGVIALGLIGFFVYFRRRRRNPIPQTEP QETSEFKAPGEPELQDTSKYGAPAHEMYSDNEPRSHYELSS LY89DRAFT_756508 MRLSFVLCASIGLASASLRPVANEEAADLLKRQSYPYPAFTIDQ PIDHFPNEHKYAPHETGTFKQRYFFDDTYYKPGGPVFLYISGETSGTNRFSNLQTGII QILMQATNGLGVILENRFYGTSYPFNTSTTDQLRYLTTEQSKFPPNLGDFEANFVPAI ADNAYFAQHATFPGVAGNLTAPGTPWILYGGSLAGGETAFSVISYGDILFGGIASSAP VKTVLAYPQWYDPIQKFGPQDCIASINAIIDNIDDLVDGKNDAAITELKAIFGLEVLD DIRDFAMTIAYPLGGPMNYPTNTWQELNWYPAYSAPDFFNFCSNITNINAPANITAVD YALANYTGGEPWTGLGNYANYFKTQFLDLGICPQGDYDTILECYGTQNELILQASYWA DTTNNPGRSYLYTTCTELGAYQVAPSSGPSLIMNVLQVDYTQQWCTWAFPPGRYNQIP STPDLRAFNKYGSFDIKADRLAFIDGGVDVWLDLCYHSNFAPLRTSSDLNPEYLIAGA GHHWDSAGILDVSAEPLFIQQAHLWEIKTVQKWLRTFPDWKN LY89DRAFT_687981 MDTINALLHPHHVSLGKNYTSIHMLHQHIDDPYYSLDHHASHKG VSVPRFNIREGQKAFYLEGELPGLTHLSDVVCEFIGNQTMIIRGAIKSFVFAGEGEQT QAAALKDSKAPVETSLTWRLNERHIGKFERSFTFSSRVKPTEIHTSLDSGVLSIAVPK DKEADAKEAAKSEIENEE LY89DRAFT_673218 MIPTVQHLFNTIHSLSTARITFWPDSSCMIQSQRREVSVKMPFS SGARRLRIGSGRKRCFLGAATVTFTTMFVARNSISTITSRQISDIKESIMRLRRHGYQ WHSLPGGLDFVTGPKEDTETKERKSKEEPAETALEHKTGKKFRTKNACA LY89DRAFT_756530 MNGFRNLTPSLALSICLFLSARGVHAHGHDMSKIQEGEFASEDP IDAILWVHILLQMVAFGIIFPTGMVLGVLRNRWHVPTQVVGTVIAVVGYFLGHMHKGR VFAKNVHASFANWLMLMLIVQVVFGIYLKFHITKGFHGKIRKVAVVGHGIVGKAMPVV AWVQMLFGGIAALGFCREDHLGQCLAHFIMGSAFIGYGVILTLIMLVGQVWLKRTGRS QEFFDSALIAAWGCVNTFTEHSWGGPWVGNDIQHTTMGVIWWCAGLAGVWLSRRRDGS PKRNFIPGFVILITGWAMSAHPQHLPLSTMVHTIFGYTLMAAGLSRIIEVAFVLRDQN TISADGDANSFQYIPPFLLYASGFLFMGATEEQMQLISDAGITHVSYILVLYSFAFLV FLFTNMLIHLYAVNSVPPVPPKDDEQAPRLSRMNGHTRATDSRQIRDAEEFELEGLMS DDEHPESPSTLGKNNEARVE LY89DRAFT_673220 MLGNILLSISALGQILGPFIADFNETHVMNPRWPPHARFHNGQT MSMGLALGLSSLYYAFRPTANVIVKQESIKTAAVFGTLYWVTGLSAILYPGSKGMDPE FGEGFPQFWMFSIFALCSLMGSYLETRQLKSQTPKVE LY89DRAFT_592498 MSSSVRLLVCSIGNPGSYLNTLHSAGHSVLSVVASALSYPPFQK SRAFGNGLVSAGSKFTLWQSTSLMNVSGAGVASAWRQFQKESRGESIRLVVVHDELEL GLGQVKVKSGSASAKGHNGLKSINGLLKGSDYTRIGVGIGRPESRDSDAVASYVLRKM NGAEKSKIEGSAGKVVEELRRLSEG LY89DRAFT_202331 MSWAGFKKNVNRATTQVMMKTGHVEKTNDRDYEVEERRYRTMEA ASMRLQKEAKGYLDSLRAMTASQMRIAETIDAFYGDAGAKDGVSRSYKQAVEDLDAET IKALDGPYRTTVLEPISRFCAYFPDINECIKKRNHKLLDYDAMRAKVKKLVEKPDKDV TKLPRAEKETEMAKAAYEQLNEQLFTELPQLIDLRVPYLDPSFEALVKIQLRFCAEAY SRMAQVQQYLDADTREQYAQGHLDSRVEQVLQEIRELSISGTV LY89DRAFT_652580 MSVPINNANGMLSDDIERNDHGLSVQRTGSLSMDPVMFEKLFLS PQTPVHGQLRQMFGVPTPLALIGFGISLTPLACCLMGWRGSDNQKMGAANIGAYFWFG GAAVLLAAIGEFLLGNTFAFTVFAGYGAWYLTYAATLQPFYGAAAAYAPVPGYYSNLG EATVSGQLTPGYTASFGFVIVFMTVLSLIFLVCASRVNIPFFLLLLAVTVAFALLSAA LFIESQAIHLIGEAGTLEGAGDNAAAVISLAKGEAKLKITLRLVVGAGASFFAASMLN WFLTLAIMLAVVDFPFNVQVGDLSTVMHSRTQVERAKRAAAVQNGR LY89DRAFT_721896 MVSPPSPAYLYRPVDAGHGGFRVAILEPSIEFLRRYVAAYLRLP SSLILIMRQFPMFGAIPTSRHLWRSKALRHIRFPDKKRYIWADALCIDQTNLEERGQQ VQQMKEIYSHCTRDLIWLGESNERTERGIQVLMHAQIVIGKHTMEWSVLSAILDHSGI PDRFHGPFGHGTFEQDIWDIFTSVQVIQHQRDSFTRVQPINSTLLDVLSRFQQTYSTD PRDKIFGLLGLATNEHGIVPSYFKSVREVYTDVAYAQIQAEQNLDMIAQSMWPLGAGS DESKDGLSASVTAGLPSCVPNFWLTERETLLFAQRGIFAAGSSIFSEPVTVTPLGKLC IRGTFLGSIKVLRALGSEIVPRNPGESKWVKHWLPESLKDDSVGQSMYPTGEDLFEAY WRTLLADCRMYPAQRLSPQDIEEHSQIFGRWRQFSDVALPDNLFQYTSQVPVTWSERD EEAYKAACELGRINSLNHKMGKWQFAELDAGLYAMVPCPASCRADRTAVQVNDWILVV DGGKVPLVIRQVKSGLEQVDEEWEVLGTAYVHGFMDGRANEWVNEARLERSSITLV LY89DRAFT_202603 MTCVPSEASAMMVDPAACVPKAILGWPVVTDAILKRCGVRIYRM IRVFSHRCKCRRDFFFSFEESISEQKCISLEYRSTIRYRVPGPLKWRCIGVSIGILFH SMKERGLSSSYNPVAFVDWCPKRRCCAIQARDLREASRRRSLYFWRGSPRDQSFPQIQ VACYCGEMWITSWRSRSD LY89DRAFT_785626 MWTSILQSFVSTLLFTGLTSAGAVPRALASCNTPSNRACWVTGY NISTDYEKSTPPGGVTRPYTLTITEVDNYVGGDGQVKAKAMLVNGQFPGPVLTADWGD TLQITVVNELELNGTSIHWHGIRQLNNDINDGANGITECPIPPKSSKTYTFLAQQYGT SWYHSHTGVQYANGVTGSILIHGPASLPYDIDLGVFPISDWYYGAADTLAEQIAHGTG APPPSDNVLFNGSNINPKGAGGQYAKVTLTPGKRHLLRLINPSVENSFSVSLVNHSMT VIATDFVPVDSFTTNSIFMGIGQRYDVTIDASQAVDNYWFNVTYTASGACGSSNNPSP AAIFSYVGAPNALPTSTGTAPPDAACADIVGFTPVVTRTASLSQFTPAPDNLNVTFNG ADDPTVFWNVNGNSMQVEWGKPTLEYVREGNTSYPIRENIVSVTAANVWSVWVITNLT PIPHPMHLHGHDFLVLGVSPPQSQPFGAAQRTFSSSDVSSLQLNNPRRRDVTMLPSNG WVVLAFETDNPGAWLFHCHIAWHVSSGLSVQYLERVQDIPGAMNLAAIEPNCNAWNAY YPTDPDKQYDSGI LY89DRAFT_721898 MAFKSREVPRIVDAAKRVNYARVAIASLEPLDLEARAACSGLGS EGMLVLAELFDRLELVAGEEPEAGLEGLPLQLVWRGTQASYELLSTAKGLEKDAPILL WKLKIQQARFVSWGQYFGAGSGVLDAQLGDNGLFDLVVGLLQQTKQMLSHADTLATNH IHSAVLRETRNASQVESVNAACRELKESSSSSSRNVALLYDRIQTSAQAKLAMMSPED KAMDMSQRRSRTQIETFKARITSELWTTGRYRIHEKDSNGQEFIDDIRILIEWKEFDP KNPVRALIDRRVNRIAELLSTKTPKPTDFRVQDCLGFFEDDEFPRYGMIYRLPQSASR EVVSLYNLLDRSEDPLLPDLGDKFKLAKILVTALLRLHDCGWVHGSFRSNNIIFLADE DESEARHLRDPYIHGFTFSRPTDPSEITLEYSMTHFEHDLYRHPQIAQFISRKVLRDI TDHQRFQTVHDLYALGIILLEIGLWRRINTLWKDKYTLARFHEKLVTAYVPRLGPKMG ITYRDAVQRLLTLPVMQETGRDAASDGAEQDSDTVLDDHREALVPEILLPSDNTELYW EIVAKLSECKV LY89DRAFT_673228 MSDAFIYKPEWRVLLCVECGFCLQPRRDVWPRYLRQQPHCLRGA PLKALVELFGSYDLLAPEQVVVPTQAVAALHKKTALWRKCKLQTFFAEKQHIRYFVVD DAKEAAGASDASTKSLDSGEADFFKLVDEDVAVAEADAKAEANIVHGFDSHRSAVIPW LRRTGIEEHTRGLKKDEMHASFAVLKTAESEPELFLILEIIDEIFTEAYS LY89DRAFT_738023 MALICHEFRGNRYSSPLLSFCAMLSVKPYTKTWKEPGNYNSCLS GVIWVVQLIIFHASACLEKAELGDTLERIEQYCGQFLKQDTETPMGEILGWRLLLFTV SKEVVGPHQTQWDVDEKVLTYWDVDLHMDHVPRLLLSDF LY89DRAFT_756564 METKQVVVIIATGEGKSLLFILPCILPDARVTILVLPLVSLRGD LLRRVRELGIDHLVWAPGEQQDAPLVFVTVEAACTEQFRTYAHKLAATQDLGRIVFDE AYLTITASDYR LY89DRAFT_623283 MVDLALIRNVRTQFVYLIATLPPTIQATFEEQNNLVNPKVIRAS TNRRNLFYMVQRATRLGTLLEEGARRARDAWENSRLLDRARDKIILYVRTKEDAATLA ELLCCS LY89DRAFT_756572 MFELYLLAATTLLASYFYARLNYVRFSQYVHIPRLPNHLLWGHL QTFGEFMQRGIRDRHPDVIFEEMWASMGRPPIMLVDLRPINRPMLLITSNSIAEQISK PTTLFPFSTPKSPTWTHMIPIIGSTSILGREGTDWKDLRKRYNPGFTPQHLRNHFFIY LDQFAASKEEFSLEKLISNLSFDVIGAAVMQVDLNAQHLDRSKQGEVIRLFGDLMQTY NDDKNNLPWWIVPSTTLKRHRLAKRIDLLIKDTIKQKYAELKEEGEGNRSKSIVALSF QDTGTLTPQLLSETSDQVRSFLFAGHDKITITSTLQWAFYELSRTPRALKAVRDELNE ILGPETDHRAVCTALTQNGEHALQKMHYINAVIKETLRLHAPAGTVRMTEPGTGFTVR ASTGEEYCTDGLIMYACHSIIQRDPTVFGDTADDWVPERWLGEASQSIPATAWRPFER GPRSCMGLELANIEARAIIAIVARKYDFIKTGLGESALDEEGLPTLNDKGQYRVKSEL YNTRRMTSKPVDGTTMTIKLASD LY89DRAFT_652591 MPMPTDFDADHKVSAPSGVDSSPNTSIEDQTLLLLARLMEGGQE DEDTCKELNKLTKMLDGDSSDPTRSPEPHKPINELLDTDCVETILGYLDMRQSPTVRG YATLTTSAYLKAAGDRGVKELSSFFHLTVGKGTYDDFILAFSVAASLFPVVPDVIAEL FLKEGFVVSLGPLMKRKWKSKKVEQAALEMLNAACMHSACRESIKKYCMEWLDEIVND VPPTSLDVSSPERHHVAEDGAIQQRIHSPEVRHLAAVVLAKLQAVPFAPRAGEDDKVQ PAITSIEELSDMFKNMLSDKASQHSSIEGLAYASLQPKVKEKLAADGNFLKELINALG NAPAKSPETYGALTTIVNLTSYQPALTEEQKRMTQLKAYANASKSSKPNPLNDDEHVQ KRCQAIFEAGAIPVLVTHSQHGSAASLMLVVLIVFSLSKNPKIRGQMAQQGAVKLLLH AYSVFPTDNVAARRTTAHALARILISTNPQHVFGGSNPLSMTSAIRPLLLLLSDDPTV EHRDLLPVFESLLALTNLASTDDTARNPIICIAFPQIEELLLSNNKLVTRATVELICN LMQAPEGVAKFADGGKQASHRMHILLALTDSEDYDTRRAAGGAMASLTEWDTAVNAIL ERDRGVPLLLQLCKEDEEELRHRGVVCILNVVSAPAKVGEWGVKKVKEAGGLDALKEC LKKSRSQDVLEITVEALKRLLDDETSGPALLEG LY89DRAFT_652593 MNAVDGSDHYEVATGGASPSLLVLILDTNPHAWALLSPTLPLSK AIANILVFINAHLAVNNANQVAIVASHSHRAAWLYPRSPSRAEDVEMSDGSRPSLDNA NKYRPFALIEEALLSSLRELISTTTETDVATTTTTQMAGALTLALSYINKATMSYSGI TTESKPAPTSLETTDTPTGLQSRILVISVSGDLAHQYIPIMNTTFAAQRLRIPIDILK LAGDTVFLQQASDATKGIYMQLRNPQGFLQYLMMAFLPDQAARKHLVAPTQEVVDFRA ACFCHRKVVDVGFVCSICLSIFCSPPEGAICLTCSTHLTLGDYGAKPAVVPKKKKKKK KLNGGVDTGSARGTPAPGE LY89DRAFT_202690 MPWQWQTIWVKLPRYHLLSARFMLSLQLRYLLLPLQWICPHLFD ASLCRNAQTSSNGSAHNSPNSVATKY LY89DRAFT_699604 MDGATDGATDKLFGRRKQVSPTRVANASAIFVHAGAGYHSTTNE HIHLSACDNAARMAMRILKAGGTAVDAVEAAIKVLEDREITNAGFGSNLAIDGVVECD ATIVDHMGRSGACGAAAQIKNPINLARAILDASNKPLSLRRVPPNLLVGQGATDFAWE QGIPVVPHEILVSRNARDRFLRWREDLKRAEGRLTPNSSTRGSQAGEDEQLNLQYEEQ LRAKQRRDHTNAILSGTWNEGQPDSPSPQVAPGLDVFAQDASARGRSPSPGAGAQYRN PRIHQLSPTGSNNKRPRYSRQASDDRRTHSLLSPNAGTKTPADVVITGSETLLSEHRP GSSDGNMSPRNDVEGPPGSSAFFQEGTAESPSKPFEADDDLITDTVGAIAIDMYGHIA AGSSSGGIGMKHRGRVGPAALVGVGSAVIPADVGDEDGISVAAVTSGTGEHMATTMAS QKCAERLYHNTKRAPGGADAEATEEEAMEAFVCTDFMQHPGVANSNSVGAIGVMAVKK TSWGYFLHFAHNTDSFALASMSSSETEARCVMSRLGDTGSCVRGARKIRID LY89DRAFT_623295 MASHTPAVVMDNGTGFSKLGFAGNDSPSFVFPTAIATKGPAAGS GGSGSGRPAVANKPSYLTGGAGPGGHLSMKRGTEDLDFFIGDEALAAANGPGYGIHYP IRHGQIENWDHMERFWSNSIFKYLRVEPEDHYFLLTEPPLNPPENRENTAEIMFESFN CAGLYIAVQAVLALAASWTSSKVSDRSLTGTVIDSGDGVTHVIPVAEGYVIGSSIKSI PIAGRDITYFVQSLLRDRGEPDSSLKTAGEIKEEYCYVCPDIVKEFSRFDRDPTRFAK HVVTQPGGRKVTVDVGYERFLAPEIFFNPEIYSSDFLTPLPTVVDGVIQSSPIDVRRG LYKNIVLSGGSTLYKDFGRRLQRDIKHLVDDRIRASEARSGGARSGGLEVQVISHKRQ RHGPWFGGSLLGQTPEFRSYCHTKAEYDEIGPSIVRRFALLGGPGGS LY89DRAFT_785633 MLPLGAALLWLLATLVFVSLPGFFLYSPASGSVKLISFVGVSAL AVYYHYAESADILLADLKAQQSAHPWYSLCSIMLFCYLFRKVQQKLRYVRVYALMEKH GFTANASTFNNMSIEVAQEVEANMAEYEFPRLYQFAWISDFLRTSTDPGVSRALIRSG HMVNPDPMIEHERLQATIHLMGAFMAYPLKSPMHSMVMARINEHHHRYGIWINSDDIL YLIIHFAMIPGQWINQFGYRKLYPFEQQALWVLWREIGCMMGCRYVPESLAAAKTWRK AFEKHCRWPCDENEQAGMAMLNEIIYSCPSFLKPVLRAIVVSILDWDIAFYCQMLKLG RNLLIGFTMFRIFDILGWLIRHFGFPRLTPYKRTFEHSRKDHRIVHVRHTPYDTLPFY QPRTFWNMYGFGAIIRRLLGFPAPSFEFYSEGTTVEAMGAAQLTPASQFAVEQKVRQK AVELQRSPPGYRPAIGYQAGRLLPAIEDVDEYGSELNRYPPGTPILPGSDKRFDKKYE VRCPGYSKIDVMESPEDILAFDIDEAYPKKLDKVGSVVLAVV LY89DRAFT_699606 MSSPQIPTEQWAQVVEKTGQPVVYKKIPVQKPGPDEVLINIKYS GVCHTDLHAVNGDWPLATKLPLVGGHEGAGVVVARGELVNDVEIGDHAGVKWINGSCL ACDFCQRSDEPLCAKALLSGYTVDGTFQQYCIAKAAHIARIPKECDLEAIAPVLCAGI TVYKGLKESGARPGQTVAIVGAGGGLGSLACQYAKAMGLNIIAIDGGDEKREMTAKLG ASSFVDFMTSKNVVGDVKAATSDGLGPHAVILVAVNEKPFQQAAEYVRPRGTVIAIGL PAGAYLRAPVFESVIKMITIKGSYVGNRADSAEAIDFFRRGLIKAPYKSIGLSELQKV YDLMHEGKIAGRYVVDTSK LY89DRAFT_687992 MAAASNFYSVVAGVGAGTGRSVALKFAKAYPVALLARNPANYES IVKEINQAGGHAIGISTDVSSPDAVKKAFAEIKKEFQGKKLAAAIYNVGGRFVRKPFL EMTLEEYEAGYESNGRGFYLFAQATLPLLLESVETSPHPPSLIITGATASLRGSATMS SFASGKFALRATGQSLAREFGPRGIHVAHAIIDGVIDIPRTHDWPVNGGVPDGKISSD AIADSYWYLHTQPRSHFTQELDCRPYVEKF LY89DRAFT_785636 MTKINSSLHSSRRKSRKAHYDAPSSVRRTIMSAPLSKELREKYN VRSIPIRKDDEVLVVRGSNKGREGKITSVYRLKYIVHIERVVKEKSSGQSVPIGVHPS KVVITKLKLDKDRENILERIKAGREIKEKLKSKA LY89DRAFT_721908 MADLPTSFDHPVRIAVIGGTGLQKLEGYVPIATVNPLTPWGYPS APIHILEHNKVPVAFLSRHGAYHQIAPHEVPSKANIAALRSIGVRTVIAFSAVGSLQE EIRPRDFVVPDQIIDRTKGIRPFTFFEKGVVGHVGFADPFDAKISKVVSACGHALAGD GVRMHDKGTIICMEGPQFSTRAESNIYRSWGGSVINMSALPEAKLAREAEMVYQMICM ATDYDCWHDTADVDVAMVMAHMEANGANAKRLVGSVLDELIKEEHSDLVSGKHWEGQT TGMIQFMTKKEGRGAEGVKNVEFLFPGVFS LY89DRAFT_756583 MLYKFALPDEYLAITGAGVKTVKIVKAAWVWPLQRCQRFSIQPH DYSMNLQAMTREKLQFLLPVVFTVGPDVNQRGAIAGQPPRDAEHVDQVPEDHGDALMK YAMLLAEADDNGNVRGQHIENIVKVIIEGETRVLVSSMTMEEIFTELQGELDQFGLKI YNANVKELRDAPNSSYFDSLSRKAHEGAINQARIDVAEAQRTGNVGEAQRQGEQNREI AKINAETAVQKTERDSEKARAEATLATRKTNFNKEVNIAQIEATRATEVRDEELRRDV EVKRAQTELERLRASDVVKATISRESKQQAADAKNYEEQARSNAALYSEQKAADAHAY KTRIEAEAKYLAAAKEAEADLIRQQKAAAGLSAMAGAYSDLSHAFGGPSGLIQYLMIE KGVYTDLAKANADAVRGLNPKMTIWNTGAQAGGEGGDSTGMGGVDSIRNMYQMLPPLM TTINEQTGLTLPEWRYGKLTEQISDHETTAKPVNGVNGKSQKALN LY89DRAFT_203008 MDAKSSSNSSVRYEDYADIEAPPSYNDTISSFPVSSTSSSPSAY YSSQISSQLQTLTTQISSLESQKSLLAHAQDEKILSCLTTHIQTYLSDFASTGLRRGT LILVPASGLKSTNAVPTDYDFKDPEEYDRVVRMRGKEDGSGDGS LY89DRAFT_738038 MALSSWKTFDFFEVSQVKPSDDESKSFFENNEISCVCSGSDSLF LGSYDGFVRILSPTFKVLRTFQAHDTGSITHMKQVEGTSLLVTISEDISNEPVLKVWA LDKPVKKTGLPTCQSTLSIQNGRKPFPISAFTALDDLSQLAIGFANGSVTVVRGDLVH DRGAKQRTVHESEEPITGVEFREESRLTTLYVSTTSRILKLVIAGRGQGQAARAVEDS GCAVGCMTLDKRMGDIIVVRDDAIYYYGVDGRGPCYAIDGPKSLVAIYEDYVALVSPP SSSTPAKTNTLRRFGGAQADELFNTSTFTLLDTVLKFVAHSESLVSQVKTLFMIWGDL FTLTQDGKIYRYHEKSLQQRLEILYQRNLFVTAINLAQRSGMDASGQNVIFRKYGDHL YQKADYDGAMQQYLKAIDNTEPSQVIRKFLDTQRIHNLIEYLEELHEHHKATADHTTL LLNCYAKLKDIDKLEKFIKSPGDLKFDLDTAISMCRQGGYYEQAAYLATKHGEHELVV DILIEDSKKYADALDFISRLEPEAAYSNMMKYARVLLEHCPKETTQLFVHYFTGHYQP KVDVVVPQEVVAQQPGYAAGAVNAVQNLKDLLPLPYMNTSAVASPPTQGNVIPTVSDN QVVSNPEQNVVPQYSPPQPRTAFSSFVDHPDEFVVFLEACLQEKDLKERDKIDLYTTL FEMYLHKANEKKGANREEWEAKAKKLIEGKDIPIDTSNVLLLSHLSDFRDGTILVREQ AGLRFDIFRSYTSAKDTRGAIKALRKYGPEEPQLYPAALAYFTSDPRILDEAGDELDA VLQKIDEDGLMAPLQVIQTLSTNAVATMGMVKTYLQQTIERERKEIASNRRLITSYRT ETSDKRQEIADLSNKPQTFNNTRCASCSSPLYLPTVHFLCKHSFHRHCLNMEVDEDGN VEGPCPNCRKDNDTIRAIRKAQDESADRHDIFLAALAGSRDKFGTISEFYGRGVMEIP SME LY89DRAFT_687998 MGSIQEDYTLSEAQKAHFLEHGYVKIPQCFTREQATKFTEGMWT RLGMSPTDKSTWTKEKTNMPYHYHVPVSEFSPKAWSAMGQLLGGVDRISEKPGFRGWS DGFIVNLGRDGYKAEDELNLRSLDNWHNDGDFFVHFLDSPEQALLVIPLWSDIEHKGG GTAVCSDGIKYIAKHLYDHPEGTTPWMRSVNDPSHSAYEGRDFWSEIAWNSSKTRDES FHEVTGQVGDVFLLHPLMLHSASKNLLRVPRIITNPPVSLKEPFCLDREDPSQYSLVE LKTLKDLGMPDGLKGWKITGPRRDWISQRIRRQEEERTKEVERLKSK LY89DRAFT_203174 MFVLPPPPRYPSQAAYNLAVANGQAAPMIETNNILTHPTGPEYQ LVVGEGTYILKEDLHLATPPPHPSEAPIINPNPLATTPQPATAGTKISLLSFSSRSSA PLLYKIGTNGSTTSGGLQSSIQEHPNEGRDSADVIPGSSDGGGTSITGSARAALSIGS APAFGEGNSMLSATGKDAGKRRKPKNNIAKSNSSFISRCIVHENMAKRLVDRPSDGYF AFANINRAFQWLDLSSPQKADHLTKILFTKGHCLCHDINQVTKGPSHIDLIMGFSTGE IIWFEPISQKYTRLNKNGIINATPVSEIRWIPGSENLFLAAHMDGSLVVYDKEKDDSA FLPEENGGQTNGNSEKTNGNGHNGFGEHAAKLHVDKSVHSKNQKFNPVSFWKLSNQRI NAFAFSPDNRHLAVVSEDGTLRIIDYLKEQLLDLYASYYGGFICVCWSPDGKYVLTGG QDDLVSIWSVTEATIVARCQGHQSWVTAVCFDPWRCDDKNYRFGSVGEDCRLLLWDFS VGMLHRPKSSVRQRGSISSRFASPLQRAETQTSTSRLRSNSSASAEGEDEHTIEHPVE PRATTAMLPPVMSKVVDPDPLCWLDFTEESIITSCKSGHIRTWDRPRDTTASKSETTL ASAS LY89DRAFT_699614 MATVRICVCGDEGTGKSSLITSLVKDVYVKNKIQSVLPQITIPP TIGTPENVTTTIVDTSALPQERNTLRKEIRKSNVILLVYSDHYSYERVALFWMPYFRS LGVNVPVVLCANKSDLTTNGNTTQVVEEEMLPVMAEFKEIDSCIRTSAREHHNVNEVF FLCQKAVTHPIAPLFDSKEGNLKPAAVAALKRIFFLCDKDQDGYLNDQEMHEFQAKCF EKPLSPEDLDNIKLSISKASPKSDINRGIDQKGFIYLNKIFAEKGRHETIWIILRQFH YTDSLSLKDSFLHPKFDIPEYSSAELSPAGYRFFVDLFLLFDKDNDGGLNDSELDALF APTPGLPSSWLETSFPSSTVQNEAGHITLQGWLAQWSMTTFTSPNTTLSYLAYLGFEP ATGPRTATTAALKITKPRKRRRRPGRVERNVVLCYVIGAQESGKSSLLDAFLNRPFDS LYRPTIKPRTAVNSVELHGGKQCYLILEELGELEPAILENQAKLDACDLICYTYDSSN PDSFSHIVSLRQKYPQLDELPAIYTALKADQDKTTQRSEMQPDEYTLSLNMNAPLHVS VTWNSISELFVTLAEAATNPSLAFPKSEEEAPDRTGMYIAAGATACALIAAAMIWRRA TNSN LY89DRAFT_756601 MAPNPVKIKPGRDIVHVKVGLGLQDFGIHKNLLCGYSPFFKTAF TSGFEEARSGIMKLPEKDAKMFELFYNWLYTQELWDRDARREEWPELEGLMKLYIFAD TVKVPVLKNHIIDTLQAISNATRRLPVSVFPYVWSNTTATDPIRTLMVDWLVWEFNER EFDENPEYFPPELRLQALKAMRRIISTYQRLKEIKDENLLVDMRKYHHKEDNAAQ LY89DRAFT_699615 MSTLGKISKLTGYLPNGAAGGHTGLPPGAAPLLPNNGRIIQTGG VRVLCVADVRGNLRSLNELAKQARADHIIHTGDFGFYDGTSLDRIAEKTLKHVAQYSP LISEPVKKAIGAPGAPVKQRFNASDLPLSELPQFLDGSLKLDVPVYTVWGACEDVRVL EKFRSGEYKVTNLHIIDEARSMLLEIGGVKLRLLGLGGAVVMHKLFDNGEGRTTIAGG QGTMWTTLLQMGELVDTANRVYDPTETRIFITHASPAREGILNQLSVTLKADFSISAG LHFRYGSSYNEFSVNPTLDHYRGKLAASKASFNDVWETVKGEVQPAISQNEAQQNLLH NALDIVDKMPSTALGGNPFGGPVGGANAAGVGQVDESAFKNMWNFNLADAAFGWLVLE VQDGRIGTEMRAQGFNFAHRGAKQHPGHAQRSPAAQPAQQPFNQGQQQRPTQQPPRGP AVPEVKSESPAPKPATPQPQTNVNLPGTADKKENEKISTPAATNGTSNGEAASPVAKT QPSEPIIGLFVMNVTSDDAVRDLFKDEDKEKILKIEKWGQSNKVAHFKSIEERDAVLA SLPEDVKSRTGEDRSRPLVKIFQPRENKSFGGNRGGAGNWGSSRGGNSSGTPQGGYRS GGASDSEGGRGGRGGRGAPRGTRGGERGRGRGRGFKGESSSPAPSNATPATGDS LY89DRAFT_623321 MATRTAFRPLVRAARPQLQSAQVHRCFTSTSQRAESPSQSTNHE RTTHFGFETVAEAEKEARVAGVFSNVAASYDTMNDFMSLGIHRLWKDHFVRSINPGSS STSPSPGWTMLDIAGGTGDIAFRLLDHCTNINNSPQSTITISDINPSMLSEGKKRSLA TSYANSPRINWLVANAENLETIESDSIDLYTVAFGIRNFTNKEKALREAYRVLKPGGV FACLEFSGVTNPLFDAVYKRWSFGAIPLIGQLVAGDRASYQYLVESIEKFPKQEEFRD MIKEAGFVVPGKGWEDLTGGIAAIHKGIKPAGTP LY89DRAFT_673249 MLSESAAPIAQLVPRRQHKKSRTGCLPCKIRKVKCDERRPLCQN CARHYKDIEKCDFPPAPAATESTLPDRLPPRTRPISKKSPTSFRRAPKYLLPLFPGSG IDPFECFPSCNVPEAQKFMHHYFQNFVSKSFPVHLDHIAQPIMQSFWSLASDDIVLFH ATLQLSALDLEVLRGGDNEAAQAKFLLNKECITLLRKRVEDSILGISDQTIASVLFII IVEFQRSNFKMVTMHIQGLKRMVALRGGLHTIRSTNHMLANLIFGISLTVTTELQFFP ETPLEPLASDDPIELAHEPHLAQDPRLFRLDNVGLSRDHVQVFKVLRYNTNRADIEWP DNAAPTKCSDIMARMMALPIPVDEGPVIASISESSRLAGVGLCCLPWKHDYPSPELMI NTMIHKLRISLETVLNLVPSDHPLLPWLLSVGGIYSVQPERNWFVGHLVPVVVALQIR SWEDMRPHLTKLWEEVEAKRKDLDLVDLDVWQ LY89DRAFT_688005 MRCMHVSRLYCTHITSSDISEPPRIESNYLPHPLDIGLCARHIL FLQTLAKTEPLVSYLKPDGKRNHPTAFLKDLEDAKANTRATSVPVCHYSGTCAMLPRE KGGVVNVRLVVYRTKKWRVVDASIMPLIQEGNCQNFCLCCGRTGSRSHEDRPRVGGLY CVEVDLMRGISVQCHANKDDITYRKD LY89DRAFT_785646 MKISLQRLQRCPHFPTAKHPFIRPLSLQRWNSSLSQKPGAAGVR FPGAVDSKFTSALQFEHPSTYPAIPTYRAMSPDGDIIDPSFTPAPDSLALQMYENMLS VSIMDVIMFDAQRQGRLSFYMTGQGEEGTCVGSASALEMGDVVFCQYREAGVFKQRGY TSENFMSQLFANKKDHGKGRNMPVHYGSKALNIHTISSPLATQIPQASGAAYALKMQR LTNPNIPPRIVACYFGEGAASEGDFHAALNIAATRSCPVVFICRNNGYAISTPTLEQY RGDGIASRGTGYGIDTIRVDGNDIWAVREVTRRARELALKDGGRPVLIEAMSYRISHH STSDDSFAYRARVEVEDWKRRDNPITRLRKYLEKKGVWDEEKENEARSRIRKDVLKAF AQAEKEKKPPIRSMFEDIYEEITPETRAQMKELKDIIDRYPQEYDVNEFEGGKDSLSQ LY89DRAFT_652633 MNSAGLQAQLLEEYSKILDASTVLAILSDFNLSDPLQLEEARQT LEILKSTVSTDEATGFDPSGASGLDALIDDQDSARGDNESVSARSGARGWRSQTDDTS LNQDLSSLDLEGLDFSEGSREESPDHSYPSPLDDLDSDDKEKVLVGMFPALKAFDIKW TLKKCKGDAGLAIDELMTQSFLEESGTRHRGIEAFSESEAALRPRKGKGKKKRKTEER QSNKDPATNAEILASKWDTGRQDVQFIADKTGMPMQQVSSIYHNNRGSISTTVAAIVE AHKALNIESDDPMVQINAAEIRQDFPSISVSDMEILVQITYPSISHARDLAKALASRP TNNSGIQLDIRHAPLNLDEPVKVKPRAHNAVQPLDGAVAAAMVSGYHEARHTAFTQAQ AAYKKGKSDPLMGGAAAYYSQVGRDLDARAKSAESAAADALVAAQSTRTELDLHGVNV KDAVRISREGVTTWWHELGEGKIGGRSVGSGYRIVTGAGRHSEGGRSKLGPAVGKMLL REGWKVEVGNNGGLLLVTGPASRK LY89DRAFT_673252 MPPSNRITRAAARQAASSSETSTSAAASSISAPTSAPAPATSNR PPVGSRKRKAPARDSSPAPEPEPTKASSSRRPKRQKVAEPEVPPAGPSVPAALPPTRR RKGKTPAVMSSPGDSAGPSNEPPSTTASSKRKSSRNKKGGQDPSVFTPSSSRRAKKAT TGNKDGDNTIDNSLDDKPPPVDDDDDGSDDAAEDRIARNYEGRDDDDDQDPFGGFGGP GGPPQGLSGTLRALSGMLQGTSSRLREILVNLKQKDDPSVQLIALQELCEILLVSTED NLSGHFSPDAFVKELVALMQPSDFGEENPEMMLLACRCLANLMEALPASTANVVYGGA VPILCQKLLEIHFIDLAEQALSTLEKISVEYPASIVREGGLTACLTYLDFFATSTQRT AVTTAANCCRNIPEDSFPVVRDVMGILLNVLSSSDQKVVEQGSLCVSRIVESFRYHPS KLEELVSTDLLRAILRLLLPGTTNLIGPNIHTQFLRVLAFTAKASANLSAELFRMNVV ETLYQILTGVSPPGPHEDIASKLDSVVIMQALIHRPREQVIETLNVICELLPGLPRES EVTFDEIIDHNPASAPQTAPPSSARKKSSNEKRLELLEGCKDEVKRFTIILFPTLTDA FSSTVNLSVRQKVLTAQLKMLSNLDKDILMEALRSVPYASFLAAILSQQDHPSLVNYA LQAAELLLDRLDDIYRYQFYREGVISEIAKLAVHADIVLSTSKDSLVIEAVDSTEANG TKKLSEKVTRGGDPGDDDDDDSSDDDNEDENEHDDVQDDISPSPASSRGSTMSLDGAQ NRAQHDNSSMQQLIAQRAKKFLDVHETEKNSKNMKKKATKILTSLQTLASEIEAHYLG QATGNGIDLFSTLASYFDGDVLESVTSFELLNSEVVRVLLAIFNNPDERLSNDARSAF LEVFMGRTVAHKPKTASAESPATPFSILIHKLQDLLSRSEHFEVITVHQNTFDGNRSS AASMLAKQIRLKLVADDDSEIPKPYRNIMVSIHAIATFKALDDYLRPRISLSERPRGS RREGLSSALAALAAAGMPNPFSGSSNAQARLLERGLASATAATPAAPTPSATRSSRKA KSKTAPAATPASGGQSASITPQDKSSSRRSSRRHAQTDPPAPAPPAQEEDSLASALEC ADERQLTDDEDMEDSAALDAIVGDLEDEMDEGSPPDPTAVNLEVAAGGKVTARTQDGQ RVSTPSQAPGSNPRLASAQAAAGQSAMSTPSASRPMSYAAAIQAVPQDWHIEFSLDEK VIGNETTIYRAVHSTSTTVDEHTNRSVWSAIHPIRFKRVPGPPPPEPSSLTQAIEVST ETTASGIPASLDKHPATSSILRLLNILHALNANLDDVLAENKDALKLNVESLSQFVNT KLTAKLNRQLEEPLIVASNCLPSWSEDLARLYPFLFPFETRHLFLQSTSFGYARSMTR WQNAQSAEESRRDRHRDERPFLGRLQRQKVRISRSKTLESALKVMELYGASQSILEVE YFEEVGTGLGPTLEFYSTVSKEFSKKKLKLWRETDANDADEYAFGFRGLFPAPMSEEQ ASNENGKRILHLFKMLGKFVARSMIDSRIIDVSFNPTFFRIGDGSKTVTPSLGAVKTV DPQLAKSLKLIKKFATGKKSIDENPSLTPAQKVAHAEALEINGVHIDDLGLDFTLPGY SSIELLANGSQIAVTIDNVELYLERVIDYTLGVGVQKQVDAFRAGFTQVFPYSALSAF TPDELVMLFGRIEEDWSLETLMDSIKADHGFNMDSKSVKNLLQTMSELSLPERRDFLQ FTTGSPKLPIGGFKSLTPMFTVVCKPSEPPYTSDDYLPSVMTCVNYLKLPDYTSLDVM RRRMSTAIKEGQGAFHLS LY89DRAFT_623334 MRLRHFACLAGLLSLASGELYTPKHEAGRCAIRGSCGGSGFFAP PLPCPDNGLAKDPEADVRKQLVDLCGPKWNSGSVCCEGSQLDVLSSNLQKAQQFISAC PACKENFYNLFCTFTCSPDQSLFINVTQVEEKSGKLAVAELDQLVSDDYCSGFYDSCK DVKFGPTNTNAMSFIGGGAEDYTAFLAFLGKKSAFGSPFQINFPRPSDYPESGMGPLG MGAKKCNDEDESFRCACIDCPAVCPKLPEVAESGSCHVGVLPCLSFAAILTYGVILGL LVTAIVGHVAYAKHARAKSERLRLLQDTNPSDDEDEGDMVHNGAMYDRPQRNYWINTV CDGAFSRLGHVSARFPGITIGVSVLIVGLLSLGWINFAIETDPARLWVSPTSDAAQEK AFFDESFGPFYRAEQVFLVNETVTNGTSPVLSYETLKWWIEVEDRISGLTGNKTRAIL DDVCFKPTGEACVVQSVAAYFGNDIESVTEANWVNRLRGCVKTPVDCLPDFQLPIERA MVLGGANGSSDPADSSAMIVTWVVNNYADEGSPELRRAMDWEESMKSELLRVQQEAID RGLRLSFSTEISLEQELNKSTNTDAKIVIISYIIMFFYASLALGSTTLSLKSMLRNPA VSLVESKFTLGVVGILIVLMSISASIGLFSAAGVKVTLIIAEVIPFIVLAVGVDNIFL IVHEFERVNISHPDDVVEYRIAKALGRMGPSILLSAITETIAFSLGAFVGMPAVRNFA IYAAGAVFINALLQVTMFVSVLTLNQQRVEDHRADCFPCIKIKGAGIQLGGSNGNSYP RAYEGQDEGTLQAFIRKHYAPTLLGKKMKVAIVVVFLSLFTAGIALMPEVSLGLDQRV AIPDDSYLIPYFNDLYDYFESGPPVYFVTRELNVTQRVHQQELCARFTTCEQESLTNI LESERKRPDVSFIAASTASWIDDYFRWLDPASESCCLDGRKTCFADRDPAWNITLSGM PEGDEFIHYIQKWLNSPTDADCALGGQASYSTALVVDAERDTIPASHFRTSHTPLRSQ EDFIAAYASARRISNSIKESTGVEVFPYSVFYIFFDQYASIVRLTATILGSAVAMILV ISSILLGSVRTGAVVTATVIMIVVDIIGTMAVFNVSLNAVSLVNLVICVGIGVEFCAH IARAFMFPSRAVMERAKNKFRGRDARAWTALVNVGGSVFSGITITKLLGVFVLAFTRS KIFEIYYFRIWLALVVFAASHALIFLPVALSLVGGDGYIDPESDGGLEEDLASRRYRA MLPEEDEDTDDEY LY89DRAFT_592653 SLDEATSSVDALVADNSQDDFVVSAIPDLYDKVSRSSTPSVPPG LSLPHAHPSLVTSEENSAKPTSRILPTTAPFQPARSSNFIPGTATPLANVISGPSATV SQGNRVPPVETTISQAKQDVKSLATSTGLSKTIASQSSQPGLKSEDFPALESSGKNKV DTSKSNTSNTSNTSNTSGVVAKKSATAKSTVATTVGKKSDPSAASSQPTLSISKAVEK RTAAGLNISVPSRSTAKIPTGPATPSKSTVPPSAFPPLPTSTSTTTAAQSPLTRKAPT TLRLTSTPKTEIPPTGIATPSSVTSMFPPAFPPSRQPSLASITRMDRPGTPTSEMMSD NASITSASMSRASSPPPSNLIGSAPVRTTTKSMQRKQRKEVQREKEKAELEASTAKTD REPEIAPILGRKKKVKKERTTHSAAGGSTPAVSRPPSPGPSEPAESQKAKEEMIQQQA KAEDKTVSVQDTKLKGKAKLQRQPSPEPFPAASEVEEETMNKPMATPASILKDLVSEG AIKDANDLAFFKHPPLAFRHPDPITDLSFNQKLTITADDRTTLLAGRSVHKTTNGLVR MMLTPNGECVRNLTEEEERTYLALQRSLAEQSSATHFVPSRHTTGSGFALIEGRAVPN GPPSYFPTSNAGTAPMDPVAKINRDEALGYINQFVLPSLSTNMQLEQALNSNTLDPEV MRSGASAAWASWGTDPTNPHLENNEGGYGGSTNREGMIATGIENMTAHLAISGDMTRN QPNTVSLLSLPESETAMQHARKDADILEKKLSALIKKNRRMVIGGSH LY89DRAFT_592684 MAPQDSFIDDDEESCPLCVEEFDLSDKNFRPCPCGYQICQFCFN NIRQNLNGLCPACRRPYDDKTIEWKVVTPEEIARFKAETQKKAKKNQEQRQKEAQKRE VENLNRKHLSGLRVLQKNLVYVVGLSPGIPEQEILSTLRGDRYFGQYGKIVKIVVSNK KTNESGPNGQSLGVYVTFARNEDAARCIAAVNGSQNGERVLRAQLGTTKYCSAYLRNE TCTNKNCMFLHEPGDNNDSYSRQDLSSLNSVNTQRPLPVTASTSAASSSRQTAQIQAP IQQAQPIAAATQSMVRDNSKDGSDSGDASALPSSASWANRGIPQRSRRGSVATSGAAS SPAFSQAIPSTAEVPEPPPEQPQDQPAELMSEASPLEEPSTSEPPRPARDPVLLELLR AINSPDVCYTRTSQTTESSFPPLFDALGGEKRKAMREGQQEEARLHVDQDSLADLQSV AEPLEEEAPESGSLQLGGEPEDRHDIRDSSLPMGFSRRPSTQLPIQRASNGGPFGPSL SQQNFSQNLGNLNTINGRTLTPQQIEQIRLEAARQSQSPSFVEQYPPGMRNQLTQGSA LFQQQGHNRQSSRYSFANDGSSSSSSVKPSANPKLMAQQSSMMPSAASQFYGSSMPGP PPGLKSSGTPPIGGMFGQGFGGSMNGNSTFGLGKDNNSDMLRDMLRSRGAAGGQSHET GKREYMFPSFLQQYPSASSTPAPASGLLASLYGPQTGAFHDFGQKQKKKGKKHRHANT SSSGGGGLVDLADPSILQARMQQHQQQSNAGVGQGLFGGQAQGGYNPSMMYGAGMQHR GW LY89DRAFT_592862 MAMRASNRGALASALRSTRTVLSRRNDTTLRSFTSTQHARIVVS PDTPNMRFAQREPDVPGGLRVPPINPADKYAAKADDMHRYGSWLMGCLPKYIQQFSVW KDELVIYIPPSGVIPVFTFLKYNTAAEFTQVSDITAVDFPTRDQRFEVVYNLLSVRHN SRIRVKTYADEASPVPSITSLYDGANWYEREVYDLFGVFFIGHPDLRRIMTDYGFDGH PLRKDFPLTGYTEIRYDEEKKRIVVEPLELTQAFRNFEGGSAAWEQVGPGVDRKPETF RLPVAKPEEKKEEPKK LY89DRAFT_721923 MAGAGSCLFAKSLQDPVSSDVTDNQAPMRFQSSTTTGRRELLLA PRTAQPLFQLYHGLSGSRNREIFSAAALMLKDVSTLSVSTRSYRDEVVMADFLRVLPG VENLALLFPELFPVRVRPYLDAMKIRVLRSLRLSHCKATASEFVDLCKIHSRTLKELH FQDLSLMEGHVEPFFESISDILLTWEQFTLKGYLVELENETSITPQGLDTPGPQESNL CTTGIC LY89DRAFT_593117 MLIWRKLSVFISALDQTIVATAVPTIVSDLGTANGYAWIAGAYS LANAASGPLWMKLSDIWGRKPIILTASALFFGSSIIAAKSTSMKMLIVGRSLQGTAGG GLYPLATIIISDIFSMRERSLFMGLAAFMYTLAGSTGPILGGTFTQTLGWRWCFWINL PISGSAFLLILGFVDVHNPRTKFRDGIKAVDWFGSLSILGVTLMLLLGLQFGGAAFPW KSPQVICLIVFGSLMIILFIISEKKLANYPVMPLGTFRDLSNVACIALGFFHGFVAVA IEYYPPLYFQAVLGASPLHSGIFSLPLIVTESLMGISAGIFIHRTGRYLELIRIGPVI MSIGVGLYILFSTTTSTKEVIGFQILTGIGAGLLFEPPRLALQASVSQQNVATVSSTF EFARGLAGTMSIVIGGVIFQNSMNLRVRALSMPPLSLPSNITDLLSKGQAAAHVIEVN LIQDSVQKMAVKEAFAWSLRNMWIMYTSISVLCILATIFMKKQVLGTEHVETKTGIAV PNP LY89DRAFT_203730 MFQWARLTFNATIIAKSLTLLLFLPCSTGMITSPNAASSNSASF VEPGGTKAIASTAFILGATELTTIVSSILKVRRH LY89DRAFT_688014 MQFTISLLAIASVSGLVSAAPKLAARQTTSVIYACTNSTFPVGD PCPNGISMGSGFCAALNVEPFLRQLGLVP LY89DRAFT_652653 MASTRTPLLAPDNESFTSSSSSFSRKKLLRQRNITRYVSFASAI LSCLCAGSITAYSLYGHLFQERLRYTQLQVNIVVIGAELALYLPVSVFGYLCDRYGPA PLSFVSAILFGAGYLLAAFTYRSGAKDIYGYTTERGWPLSVMVIAFVIIGMATTLMYL SAITTCAKNFGKGKHKGLALASPIAAFGLSGMWQSQVGSRILYERRRNGERGDVDVFK FFLFLAFTLLAVGLLGTFLLKIVDEEELIDEAVEELERSGLLEDSEFFRAGRSYGAVD NVPDEDDLEARRIEDNKAHEEEEARKKTWLLNEETRRFLKDRTMWWLAAGFFMVSGPG EAFITNLGTIIGTLYPPHPVVAIVPTTAATHVSIVAITSTFARIFFGTMTDLLAPTPA AHHYQSAANSLASLPPRDGRFTISRVTFLIVSALLLSLGQVILASGLVQNHAERFWLV SALIGSGYGALFSLTPLVISVIWGVENFGTNWGIVAMVPAAGATLWGVIYSNVYQWAA NQGSAVSDMDEDVLCYGKHCYSTTFWAMACSVWIGCGLWVWAAAIWRKRGISV LY89DRAFT_623352 MKRLMSAEHLHFILADHTLFYRFSSFLNRYRSHLVPTLIRYLEM RKAMKAIEYANSIVRTIRWPSHTDFCKFTRVQAASADVRFEDYAAREMLLLCTEALPA FITHTLIGVVADCVGRDITGQGIPVVQDLIGNLAEVFCLTDPSVHDNPIIFASEEFHR TTQYGTMYAIDRNCRFLQGPETDKDTTLRIAKAISLGQESNEILLNYRRDGTPFANLL MCAPLYDDKGIVRYFIGAQVDVTGLVKEGMGIESFRALLQKDKDEQKNLEVRSGTPRL SRAVNPNRPKETLAKLQELSMMFSQDESDIVNRNIRGGDDSTDGGSTRSGVPTSVKDR SQRKRIIGTEEMQDGIGLNFNHLNLNGSLATSSLPGVYKHYLLVRPHPSLQIIFVSPS LRIPGLLRTHLFTKLGGPSATIAALESAFRDGASVTAKVLWLPKSTYAGERGRGPAEV KPRFIRCTPLLGSDDRVGVWMVVLVPVDSEPEHRHGFGGAGSGSISLSGLGIGRRDHI ADYVMDDIDGKRRYGFASSRPGSRAASVRKASSVDGDIDGGFGLKKARGVRANGLVED ERELYAEYLRGSNSPGSRVNAKMNGRSTSVSASITPRRGRKDSGAAVT LY89DRAFT_203800 MPPPVEQDGDKKQPRPFTKPTRGGLVSAESPYTEQSYKSPYVPS NNGPSVRDSVPARERFETSSSLPNGQQPAARGPFGGVEPAFDVTINSALHSPKLQSKQ FPVYQSAGPPSQRPSAPLVPYQVQRSPQFQAQRSAQSALSSFSQHRQPGPSYPTQRPA PSTVSGHGHDFPQPQIQHQYYEPQPQMVPFNSPVQQFARSHSSPHFQSQSHRASHIPG QKPYYSSHMEVATPNYSHTQQQASSQPILYSAESSVYPASSPSPTPSTGGPSRRGRPP GSGKAIKPSKLAIEVHSSPVSKRRGRPTRNAAENPPVDSMSKSEGSSLKRRGRPFKSA ETAAKAKAKSNGESVARRRGRPMKSSDQVDIPIPMAEYVPFLCEWRGCKAELHNLETL KRHIHAIHGSKNVEGVRFCAWAKCGIDAKGEVGVDSEPPIMGGREIAFKSRKEWKNHI EKSHLIPFSWHMGDGPKATVLDIKPPPTVDPWLLDKHGKQVTPSVENLTIESGVAKIN NQKRWAKEKRKLELAMESESTGTYMKTEAQIVQEEEMTGTGSGEGEAELEVGISTGDD IEEEDAVNRGDEQDMGLGNEERGQDTVGVEGEEDDTGSDEMLLDQD LY89DRAFT_738058 MAPSIIQAAVSLFCVASAFASADSNAVVLEKRGGVVPSTLPGTW AYQGCYTDPGPRTLSASSYTTTTAMTDESCINYCSNLGYYYAGTEYSSQCYCDNAIAS TGAVAAPSDCAMTCSGNSSEVCGGPNRLTVFWNGKNPPPGPSTDPGTLGYGFLGCYTE GTNGRALTHGQTVTGGQPATTVALCIQTCKNLGYTLAGVEYADECYCDNTIQNAATIA PEGISGCNMLCAGNSSEYCGAGNRLDIYKFNYTGVSSTSSSTLISSSSSSQLSSTTSL LSSSSSISSSVASTSSSSSRANGVANVASSTTLSQSSSAAAGSSTSSTIKPSSSSSSS VLSSSTSALSSVSSTSSSATPSPTLGHKQTVGLYSFQGCYTEGNGVRALSAASFYNYG GMTTEICAADCAGYTYFGVEYGGECYCANSLATSSTLAPLTDCSFICPGNSYEYCGAG NRLELYELTSVAYTSSSRSLVVSTTSSSSSIAGSSSVSSSIVSSSSSKPSSSSSSLVS SSASSVVSSSSSQSSSSSSSLLSTSGSSVSSSLLSSSASSTSPTASPTLGIKQSIGNY AFIGCYTEGTNSRALTGAASYSYGAMTLEMCAASCAGYTYWGVEYGGECYCGNALSAG SVLAPTQSDCSFTCPGNALEYCGAGNRLEMYQLGASTGGSTSSATATSSVSVVSSSIV STSISSTSTLSSSSSTSSQTSSATGLPPGWKYDGCYSEGTNGRALQHQQPDQDQTNTV ESCINTCIGLGYSVAGMEYGVQCFCDNYVYNGAAPAAASNCNMACPGNSKEICGNGNY LSLYNTGNLTVYAPPAAQKTNLPGSWTYQGCYSDNINNVRALFWQNILTNNNTATSCL SLCAQYGYMAAGMEYGDECYCGDASALVASGSTQQPETDCQVPCSGNSAYYCGGGSRL SYYTWTGTPLYVWNSPTGNAMGSYEFLVGGVVVPLITTVGINDKVVFMEKSGTGAPNT TGTYELDLASIDDFPNAWRALHVKSDIFCSAGVTLPDKAGRQLNIGGWAGASTYGVRI YTPSGSPGVPGTTDWEENVNELSLLAGRWYPSAMIMTNGSVLVLGGEVGSNSAPTPSC EILPAPPGGYAKYLDWLDRTDPDNLYPFLFVLPTGGIFVVYYNEARIIDEVTFDTIKT LPNLPGSVNNFLAGRTYPLEGTGVLFPQYPPYTDPVTVLVCGGSSNGAAYAIDNCVST QPEVGSPTWTLERMPSQRVMSCICALPDGTYLILNGAQEGVAGFGLATDPNYNAVLYD PSKPVNHRMSIMATTIVARLYHSEAILLPDGRVLVSGSDPEDGVHPEEYRVEVFNPPY ALSGATPPSFTITNTDWLYGASVSITANIPTGNLGAVRVSMMAAVSSTHGNSLGQRTL FLAVSCAGAANSATCVLTTPPSAHVAPPGWYQVFVLDGPTPGHSNWVRVGGAIADAAG LGNWPAFSDFTRPGLGAVGS LY89DRAFT_203927 MSSPNSWQSNLYGDRAPSRTGPSAGPESSPYNSSPGPGWGERDR YTNPRENIPYGADRGGRGPYRSPYFSSAIPYGADTGYSNRRPSPPPYRAPVADMGYGG ESGRFSSDTPYRAPVADMVYSRSTSRRPSKPDLPYRAPVADMGYGSDRPQYSSDIPYR APVAEMGYSRRSITPPSTPSLPYRAPVADMGYGTFGPYSVSPSRPRANTNADPYRSPG AYPQYLDRDPLDIRERDTRSRSPDQYRRRYYDDGPRRREW LY89DRAFT_652664 MVQECLQPVFEQHKLSKYGFLLKQITHPLATSKQHYFKTAWVFA DTRSPLWAEVNDVFFNPHAKPIDDDRVGVALGYPEVSRSFAGESKFSAVDLTEMGELE VEMGRRVCSVRAFDFRCGSEHFGNLVPYFERCAAAAKEVGTVLRM LY89DRAFT_204190 MRSNRAILSSSHLTAPSMDENQPPLSAKIDPLAIAKSLSCFTKE DVQDLRANLSEAAKVAEENDYEYEEGIRRWSKVAERRASLVVFPKTPEDVSKAVLFSV KHRLDLAVCGGGHGTSGSSSTFGGLCINLSGMRRVSVDVEKKTVTAEGGALWKDVDIS AGRMGLACVGGTVNHTGVGGLTLGGGYSYLTPAHGLTIDNLLSVEFVLADGNIVTASQ TQNPDLFWAARGAGTSFGVATKFVYKAHDQPKNIWAAKVIFDDISAFGPVIEFANSLI ERGDKKSWMFVGSGHMPPSEDKASILCVCFYNADNMDEAMSVFGPLLKLDHQDYSKIC LMPYYEMNAFVNDGLEHGRRRSMKGCAFIPSPTTSRDVAWDAFKPLKQFVRTCPDAKH SFVLLEFIPYGKICEVEQTATAFANRGAYSNLLYIMSWDSPDNDKQVREFAREQVLLA RRRFEDDKAKLKREGNIDLLTASSVGEYFNHEGTQSDGKSVFGPNYERLVELKKRYDP ANLFCKGPKLLRSAHNGGSNSIA LY89DRAFT_756619 MSSTTTLRSLNINNINPHIKEAKYAVRGELAVRSEEYRVKLAKG SKDLPFDKVISCNIGNPQQLDQKPITFFRQVLSLVEYPPLLEKEDVLLNQLGYKSDVI ERARWLLKTVGSVGAYSASAGAPGIKDSVARFIEERDGHPADPKDIYLSAGASSGVNT LLHIICASPKTGILVPIPQYPLYTASLSVLNAKCVPYYLDESKGWGTDLEAIKGAYKK AVAEGTDVRSIVIINPGNPTGSSLPVEDVKAVIEFAAQEKLVIMADEVYQTNVFMGKF SSFKGVLRDMQKEDPEKYKYVELASLHSISKGMVGECGHRGGYFELCGFDPEVQEQIY KFVSISLCAPVIGQCLVEMMVNPPKPGHPSYELYKQEWDGIFSGLQKRATALWEAFKE MEGVECGTPQGSMYLFPTISLPPKAVEAAKKEGRTADEFYASRLLDATGVCVVPGSGF GQKENTLHFRTTFLAPGTEWVGSIAKFHKEFMDEFR LY89DRAFT_204015 MSSLRICTMQPVSGDGGRCNAGRYFSFTYAFHISPTRGCDNLKQ SSMLLAKYVVGFMKYHRPQFSILAIWCYVLFGPIIPASLFEKLYITCLETR LY89DRAFT_721933 MKYIIYTSFLALLISISSLSQVIVAEKANNALADRSITTTMTVS LTLYSTTYVTRPITTTTAKLESGVLTLTPSGPLTTSTKIYTETESYRGTGPSGEIVIT WFTRTVTELFTFGTSHQIPTDTQDGGFVGLTRVSTPTLAAASTLNTVAPSTPYGEQFF STTFPGETEPTTAPTAPYGEQPTSGEQPTSTLRAAAHRLGDSLGRALPLELRLCRSES VGRGRTF LY89DRAFT_785664 MAGDAAGISPVDEQPQPFNATKLEPAKKRKRSRSPSTSTPRRLD PRSDSKRRSTSPSNPAKRQRSPSPQPRKQKRPGQRARITDAEREQIRQKQIEREKELE AAVAKQGIHDAVKQHYNTVPERGRDWRKTESRIKGLRSFNNWVKSTIIQKFSPSEDYT PGAQERGGMVFAEGPTETKGLLVLDIGCGKGGDLGKWQQAPQPVELYVGLDPADISID QAKDRYRQMSSRGGGGRGRGGRGGYNGRPQPRLFQGEFFVQDCFGDTIEKVPLVRDVG FDGSGGPSRFGGGGFDVVSMMFCMHYAFESEEKARRMLKNVAGALKKGGRFIGTIPNS DILTGKVKDFNDRMASKKKQAGPAEDNEEGEEKEDDGEVEEGEAEETAGWGNEIYKVR FPGETPADGIFRPPYGWKYNFFLHEAVEEVPEYVVPWEAFRALAEDYNLEMQYHAPFD QIWKTDKDDETLGPLSERMGVRARGQGRLLVSDEEMEAASFYVAFCFYKV LY89DRAFT_688022 MGSDPQYAKYPLLPLAQHIFTLTNPSAPKATQQTSLKSLQDAIT EHKMAPLYRYLAHPAEGILNESGVSASQPTKPLGRKPSAAGMVASKHSIPKVDLPWDE ALYEKLKKENDEELESFKKEEEEAAEKAGDTEVQAARGKRAEFWARVGDKDRAIAAYE EVFEKTGVLGTKIDLVLAIIRMGLFYGDKALVKKHVDRAKTLVESGGDWDRRNRLKAY QGLYLLGVRSYNLAAPLLLDSLSTFTSYELCSYSSLVVYSVLAGSVSLKRNDFKSKVV DAPEIKAILGDGEDKLLALSGALSAGPGADEEMKDVSSATPAKTAVNLTTLGSDQPEA EAALDFSPLAQLVSSLYNGSYRSFFGALAAVEVSFLNQDRYLYEHRGWFVREMRLRAY QQLLQSYRVVGLESMANDFGVSVDFLDRDLAKFIAAGRIPCTIDRVTGKGIIETNRPD DKNKQYSDVVKQGDQLITKLQKYGQAVRLRGSERA LY89DRAFT_688024 MNPEYQAQAQKLEELRRAYASRNAVNGASGSTFVGPVTDLPVSH GTTTTYSNQQARPSDPLIYPGLYSPSGIDIMSILIRVYNRPNPTIHIGNVDGAVALVL ADAEKPDLPLVYCSEAFEALTGYKSDEIMGHNCRFLQYSPGQAHQDIRVHEANALARK ELREKIATGEEARVELLNYRKDGSLFANLLTIIPIDWGGDGQAKRRYIVGFQADGRSN F LY89DRAFT_204393 MAKDLDLLVREILVVNSTDIPHKGPHVTKVPLQTISKLAPFSAL IISIIFVVYFVVRFYVLEGFLLRRLYGETYTRMDETTRRGFVNHHIAGSTKLLILVLA AYPFVDVAFGTANFHTPFAGSHYVTMGDVLIIVAQMLIAMYVFELFYRPKISPVSVGH HIGTIMIGQSAIAISLNLVRERDATIEFILCTVWGAFDIVSEFLPHISIILYRVYPNE HKFLYKIFRICCVSTLIGTTAETALTMYLFGVLWYDWTIAFKITTPMLHVLFASAQLW GSYNFYKMFKKQERIILRLDGKSGDEEAAPKPEQDNTRQEQIFISSMDGDRSGQPSRD GSEVELTVNPTR LY89DRAFT_204398 MKVNLPQGGCGLDWTVGNSRRLPPSGSLHDPAHLKCKHTREAAD AGRCFSCCLFLKRDRTLITWFASHDSVPLCPCEDWAWTRQDRVESAVDMGLRWLFSSW VSEEEDKCKETSVRPLAYQRFGVSSCQEHWKGETLRCTLFFIASAMVPYAVVDSVIAP SHASSLLSYSFSAAGVVCQTAVVVVVVVVVRLFRHGARIMLKLLLLVLLKFLLASCSL PPRSHFTRLGRTWRDKLLPSTVTPRSPLVDHFQGTNERYFRTEYCMESDTPYEYVIAA ENYQYDPAPPLLPYAYLVFPPEPEEAPAHRTPPSGWNNADRLRDSIAKMEMHPSTPD LY89DRAFT_688026 MSSTISMTSTATSTASATASCISVTPDKNGYVPEWACNSNYNYY PSFAAAIIFTVIFGLTTMLHFYQAFAYKKFRLCWVLLMGAIWELASFAIRSVGTRNQQ NTALATISQILVLLAPMWINAFVYMVMGRMIYFFVPEKKVFGIKGIKIAKIFVWLDVL SFLTQLGGGVLISPGTSQSVLMAGIHIYMGGIGFQEFCILIFTSIAVKFFIDANRRER TEGGNQILDSRPRNWRKLLYVMFAVLALITMRIIFRLCEFAAGLDPSKNPIPYHEAYF LVLDALPMFVALVLFNAVHPGHVLQGEGSEFPKGPTRKEKKEAKRIAKEEKKAAKEEK KALKRAQKEGKLSMEEMV LY89DRAFT_204578 MVVSVREPEAGTVFSVVLSMVSIGSLSICLSRRVQNVRNWSRLP LVCWLVLVIYTDSIFFASGTAVLSNGFGIDSSDSICTKALLLCLSCYMTTKVFIYFFL VERAYIIRRSSKPRLKDTLYLFNTFGMLIPYCVVIALNFYFRFAIYENRTCRIGMKRV AMIPLIGFDILVNVYLTSLFLIPLRSLYSYKNNRNSQARTVALRTFIGSCCTLTSSVV NLTVVMVLNGEPGWICLMCCNIDILFSVLVLHWITSKDNASTLPSLRSQPTPPSPRPQ HPTLTSTSSPLSDSPYEIAYLPTPPKRLVPRPPLCDIEAYELGLSEWGLGLGGKGMKV GVTTMVSARDLREEGEEGEEERLPLGVVTVETSTGDAGFG LY89DRAFT_721940 MSFSFSIPETSSPSSEEVLSLPSYAQNELPDLDLPKLILISHAH SPPLNPAPQLRFDLRNLPNPPKRIRDAHVGTSKRLQEWMEADPAFLARLEEIREEIRE AMDGLIRRHEKKEVLRVDVDEEPKEKDRGYEHDEGPVVNGDSQKKTEEDESGYRRVYN EQDFSGLELRVGIVCAMGRHRSVAMVEELSRVSWPGWDVEVEHRDVAKKRGTGGGKSG GKGSRGTRGSFASYSTNDDSE LY89DRAFT_785671 MDQIVSFLLERADNVHHDCTKETCTVKESVYGYYPSKPVNLILV IVFGLSLIAHLYQGARSRAWTFMVALVVGTMTEVIGYIGRLLMRNDPFSKAYLGIQLV CLTVAPAFIAGGIYLTLKHIIIVYGAQFSRIKPTWYTRIFIFCDVMGILIQTAGAAMA AGGGNSVTIGNDVMMVGLVSQVVTLAIFGLMAVDVFFRVRRHRGQFAPSAEALRASKQ FKGFLIAVGVAYCTIFIRCVYRIAEMAGGWSNPIMQDQIAFIILDGAMCVVAVVALNV FHPGFLFRQSYATIKAEKMQSENMVMA LY89DRAFT_785672 MEYLERTISYLTKSIRAHDSKLLPSTPAFTSHPTPTLTVTSPSC GPSDSRLDNDYIAGEGKNLIPSLSWTLPPSISAASVKEYLILIEDADAPLGFPIMHAA FYHIPPEKTSLGPEDIEKGEEGGRLRGGFKYAKNMRGNVYMPPRPLRGHGPHRYFYEV VALSESVGVEGKGLSAVAKKDELLRGVEGKVLGWGQWVGVAERS LY89DRAFT_652687 MASVSRRLVSRSRLNEESSPAPRGRGRESRAPARQQHDLPPYEP PACPLTVDAKRKLDDLRTNRSTAKYANHIKTALKTVSESAARCNERLTDRKEAVAKAA EKRKGKGIEDANKSQAEIDEENYVRQFSKQVKTGTEKADIAVRELIDLGDELAVQDTI LREVDENITAAPAPRPARRQRDGEEEDAENEADAPADDPEIMSAIELLKKAKEDYARQ YQAKSLMQRYADHNDYKGFKRQVHDAQHTGQDKPPLPRASAWFPEENGGNAEESDDEV IIESSTTALKCTFTLQYFEVPYSNNKCPHTFEKTAIVDYINVQGTTFVEANGQRGVKK ANCPQSGCDKMLSLDDFYEDRIILRQVQRAKNANARQDYDDDDDDDGEDHAAPRGTQR NRPERIDSDDDTMDVDEGTARKNLAKFKREQTRGLSIAPSHAAAESSEDEEIEVMDMA LY89DRAFT_204650 MPCRRPHRKSRLGCRTCKLKRTKCDEIHPVCGGCKKYGLQCDFS IPTNNKSPGSLPQSLSPVRIPSLERTASHDTSLNSRGWSQSHDLGGSNPSSTPASENT REAGDSKPSNLWAMTMNTPQDRLLELRLMHHFTTMISSTIFRLIGNDNHPQAMKKDFF ARWITGLAMSNPDLMDALLGFSAFNLRKLQGGTDRQLSIASHDYMTSAIKAHSQQLQK GINDENADILFAGSSLIAFVAVSSHEYLFPGEYASLPMHWFRPWLGVRSIVRSSLDLI RSEEILMLLEAERQAFLDDFSTALENSKRYDFLLEGLDKSSLDQETLEAYVQSIYWLS LIEDNPNKEYCFKFTAKVPPRFVEMLLDHDPRTLTIVGYFFMIVQQSQQVWWLPRTTG REFRALMRLLPEEWKPRMARAVEVFEGCDA LY89DRAFT_204655 MFGSKKESEAVDQPVDNGSGQPYVQNVDPLADIPKSRWQRLWPV MACGAGLFSDGYINNVIGSVSTMLGTIYGTEYTDSNALKNISAITFAGTVVGQLVFGY TSDKWSRKNSLLVSTVILIVFAALGAGSYGYHGSLQGMFAALTAYRFLVGIGIGGEYP AGSVACSEATGELKSGTRNRWFIMFTNVMIDWGFVIGAFVPYLMVVICGDKHLRAAWR ISLGLGVVPPLILFWLRLKLQEPEEFKKESMKYVKIPYKLVIKYYWWRLLVVSAIWFL YDFSTYSFSIFSSTILKNIYGSGAPLTQTFGWNTVINLFYVPGAMLGSIYSDKIGPRY ALASGVLAQALVGFIMAGLYPHLAKPENVAGFAVVYGIFLSLGEVGPGDNIGLVASKT CATGVRGQYYAIAAAFGKIGAFVGTYIFPYIEKAGGSNKNATAQYPFYVSSCFCVLMA ALVLFGLPNIDQDTITKEDIKFREYLEKQGWDIRQLGLLKGQNITSRPTAFIDDNVDD QPVTEDKKY LY89DRAFT_593273 MDFLRRLYNILFSSPATKVETPIRFGLLGASKIAPNAIIGPAKT HPEVRIVGVAARDAERARVYARRYGIERVFGGYQELIDDPDIDAVYIPLPNGLHYEWA LKALKAGKHVLLEKPSTSNATEANSLFRHEVLQQAKPPVILEAFHVLFHPAWQTFLSC LDPKNIVSAYSIFHVPRGILPNSDIRFQYDLSGGTLMDLGTYKVLDLRQIFGTEPEEC LEATPRMMPKGYDQKCDHAMTAKWRFPNGGVGTIDCDLSARGAAGLPKFTMPLCKVVH KELVIEDAGLGHAEGREHVMVKTVTILNPMFPTFWHRIDIEEQHTIRTIKDKKIVRTW THKEHKKAYTWEEGNGGPRSGEKSWSTYRHQLEQFVNRVKGREGSGCWMSGEDSIKQM EMVDSAYKKAGLPLRPTSTYH LY89DRAFT_652694 MSPSRTSQNGTENQQFGDLNLSITGIGTEYPLVLLEPSCVDILC QRHYPDSPAMQKIRAINQYTGIDTRSSIGTVDHPMANMDRAPTIAELCSIFLKDGVAL AVTAARKALHEARLSPLDITHVVSTTCTNSANPGFDHYVCKQLGVTQPVEKVLLHGIG CSGGLASLRTAANLALGSSFRGKKARILVVALEISSLLVRSELDSIHELQQTRIGVTL FSDCASACILSNGIGGEEAEPVYELLGWDHRMIPDTEEDLGFDVHPSGWKVVLSPRVP KLASAVVKPTFTDLLSTLPNLPPTYKSAADFDWALHPGGATILSGVERAMEITPEHMR ASYDTYIKHGNSSSATILSVMDRLRQKDMDEIASGGKVKDFVVGCAFGPGIAVEMCML KRNMSHVRRVLEGVSGEVTPPETESEGSRSEGEPEIDFRPEELEGEIRSVKEQEAALS ETLNAVHPEVPEQGDSLAEALNGVELD LY89DRAFT_688036 MSFLGRLPFWLHILIEFPASLNFFFNPSEQLPSPGPQAHAIIKQ YAVLLLVSNLIAGIFALRPLDRTSRNVAGALAVYHLAPLVRAASRIGNARYGNGLGGP VVHVVVHGACLLGLFGLYSSKYRRR LY89DRAFT_652697 MVLICPRIHFYEINDQAWFPQYLREKVQSCLTLCWTFRVPILQK ASPAQLVTSTLYRVLGDSVTSYTYVDFCAGAGGPTPFIEKDLNAQLSARGAVKFVLTD LHPHIPDWTEASKRSENLSFVSEPVDAANAPTSLNRNPAEGKKIFRLYNLAFHHFEDK LGMDILRNTIETADGFGIFELQERTASSLLTIFAMGILMFLITPFYFWRSPGHLFFTY IIPIIPFVLVFDGYISSLRTRTSEEVQALMKECGASCDGWTVKNGQEQHTWPTGYMTW VIGVKE LY89DRAFT_593197 MHTTTLLLVLSLTSTFLFYVFRTPKLRRNGEPLRRPPNTLPLVG NGILFLQDRHKLFSWFVKCEKLFGSETFQISVPSLPPGVVINDPKNLEYVFKNEGIFT KGDFFKRRSWDLFGNGIINADGDLWKVQRKAGLNFLSNTNLKVLTDVALPQYLDDTIQ DLQKKDPESVFDLEEVLHELTTQIMGQMAYNLDIHNSDPFSKSFDYASGATGKRFQNP LWQITEIFFGEEFRDSVARVKAFGSMLVANAVEARKTENVVEKKTSFGQMSGSLINSL LDTIDDHQMVADAALNYLSAGRDTTAQALTWTFYLLMRNPDKTQAARLEVSDYVGPSS GRFDTSKLHPTALPYIAAIFYEALRLFPPVPFELKQCGKAVTLPDGTFLPKDAVLLWC TWAMNRSKAIWGDDADDFKPERWLENGSLISKTAFEYPVFNGGPRTCLGKKMAELVAV QVIATLVLKFDFNPIDGKERVSKNSLTLPMEGGLPCRVKSRC LY89DRAFT_688038 MAAYTTNFVEASGLNVFYREAGPKDAPVILLLHGFPSSSHQYRN LIPLLSQKYHVIAPDLPGFGFTEVPAELKYKYTFDNLAKSIGAFLDALSIKKYSVYVF DYGAPTGFRLALSRPESIQAIISQNGNAYEEGLGDAWAPLKAYWKSGSNSDREFVRAN VLSLDAVKWQYDTGSKVPIAPEAPYLDFALMQRPGNEDIQLDLFYDYQNNVTKYPEFH EYFRKSQVPTLVAWGKHDPFFIPPGAEAFKRDIKETEVHLLDAGHFAVESNAEDIAGL VLKFLEKTGI LY89DRAFT_204730 MGTRGLLGFIIVGQRYASYNQSDCYPEGLGKDIMNFLLKLTHED WGKMLELVKEIKWVDQKAEAPVELQDKYMKLGYHLTAEMAESREEYMKEGYSVYDETS DWYSLLRAVQGSRALEEILKGDLKHMTQNVEFLEDGVCCEWAYFINFEKKALRLGQMD SFWTKFGLSGSV LY89DRAFT_204834 MPPRRKSAPAGRVYKSATPLQQTKLANPKKRIKSYGKQTTRRAK EDSTLTQIGFVKLKDRPEEESEEEEEEEEDEESDYEKASKKRKSKRRKTEGDKPSATP QYHTQTITQLDWSFSTINDDEEEASEEVQGDVEERGSIFDVPSSSQSVHLPRNFQRLS GPASAGPSRREESPILDRTREETSNLEMPPPQTPRRVLPQEIPSSQSPATPLSIQSRG STRQRSPLKEKPVNAPIPFNTNRKAQNGAEKRPKLKIEDTFESRNTTSQVGDIPFSPD KPSSPAKSVRFALPEREVEEEVETPATPSIKKESTPYPSQMATQQQIKFEIFDSDEDE EDDLDEEEASNQAEIDAPESAVSVSQPQHDEEPETFYGDIGRETQFEADRIVSSLAET TSSNISGDTDESEKVTSGVTQTRQTQRISIKEVNSMAPRTENSDIFISIHPQHVTNIV NRTKNHERRSWAFSPSVCRVWIYETKPACLLKYMAEISPAKKPGEILDTNGLGNAEFN SNPRKKSWNAYEILQLYELVDLVPLSRIVSNGWMKAAPQKFAWVPPAVADELIANLKP PLFTNTTQTGSSPSDPASSSTDTQEVEEQLLNTISQFTQPAQPSPSLQSSGPATSESI IVKAETMPPPSSFHQETPGRPSSPPHLSQAETVDLTQTPLPNHPSQAEEDEIVWESPA RPVASSTPLKLPTPRSARSDRHGPDSILPYSMSSSQFLSRSQLLPESLLNEVVPGPPQ FVHDSDSEDDEL LY89DRAFT_652706 MNDPGLDEPISNDAKDVENQVEDERDEKIEDEQEFLAPSRWWFA STAFPLIAGTFGPMASAFSICALVVHWRVYIPLGAAEQNGIPIEDPKWLIGVNAAQLA IAIISNFFLLMNMAKRVRFAIAQPITIVGWYLSSFALIGLCACASGPLVIEPRSDHAF SQGFYYAIFSAGLYFMVATMMLVTVYGAYAGHYDKEFKLTMSQRTLMLQTISFLVYLL LGALVFSHIEGWKYLDALYWADFTLLTVGIGDYSPATHLGRGLLFPFAIGGIIILGLV IGSIRSLVLERGKVKMGARMVEKERQRLLKKLQKKNKTYLLQPLKEDKPPISRQSSRT LEQTPSSERDRRQQEFEMMRKIQERAHEKRRWTSLVISGTTWFFLWFVGAAIFERTEY GQDWSYFGSMYFAYTSLLTIGYGDFYPQSNSGKAFFVFWSLLAIPSLTILISNMGDTI VKMIRDLTLWIGNFTVLPGEQGVKATLKESAHKLTQGKLFNDEVSEQPPGLLGESKRR NSNDSDDNKNDPESAAQRAAGDRANQETVRAAKQGESNDELPESRSHYHLILIKEMGK VMKHLHSSPPRKYTFDEWAWFLKLIGEDESSAETHRKAKRKPQPDGEGLGAALGDDDK TVKWSWVGNRSPLMGNKEEAEWVLERLHITLQRELDKLRKEELESKGNSGNEEKGEKM TQKRPDVENGMEGPSIDSSRTATEEKDARSS LY89DRAFT_204884 MPPRRRGRPSKNTSNLNDDENKFPGLAGPSASPASSSKKRASAR KGKGKVNPSQTAVPDVYREMLADLPIQPDVPERPLKRRRTGKRNFNSTLASSPTDPDP VTQEPDDEEELEFEDVLAPQAEESSDEDEFTLPRKLQQTAYRDTDESEDEDFDWEGIG FDAKPEVEESGDLELTLVKKPEPSPKTPIQRRRAVSKEERVARLEIHKMHVLCLLSYL DKRNEWINDSDTKEILGTLLDKKTLGSLRPRSDLSQFSQTESLKRGLDQVCNMWRSKF QITARGMRRALWAEDDKDLENYSPPDDLDNTFEKSDFQEAARKLKGSRDLGALLFCSL LRSADVETRLVCSLQVLSFNTGGPPMQRAPKKKPNPATPESDKPTPVDINGPNSPSGN ARSAAAPGMSMNPRSRLGHPHAANYFMPEMSSPALLPKPKPKPIRESPFPVFWVEVFD EAHQKWLPVDPLVTESIAKPMRFEPPANDRENSMTYVIAFEEEGCARDVTRRYTKAYN AKTRKNRVESTPGGDKWWRRAMRPYRRGYTNDADQIEDTEFAAAEAREPMPKNIQDFK DHPYYALERHLRRNEVLISTREAGKVAAGRDANAPGGKKLESIYRRKDVKIARSADAW YRLGRDIKVGEQPVKTVAPKKRAEADDMDDEDDRAGTNLYTEAQTELCAVPPVVDGII PKNRYGNLDVYVPTMVPQGGVHIPYAEAQRAARIVGVDYADAVTGFEFRGRHGTAVIK GVVVATEYQEAVEAIIAGLRDELARAEEAMRASAVVKMWKRFLVGLRIRQRISGYGGD EDEPDAVQEDVGESESDISEFVDDEEGGGFIPE LY89DRAFT_652712 MAAEGKKRPRPSSTDGPKSKKRQKIANAKNASIKPQKRALAVDA LPWNEVKMPEMFDDAEGFFGLEEVEGVEVVKEGGNVKFVTAAAPKYDDEEEFEGFGDD EPESTATSNDEIKPAEVSKAESKKEKKPKKEKTKRQAAKVDEKVQSSEVLSNAFKALE DDDAEDGTDVSAWAELDLSADTLSALSKLGFAKPTPIQAAAIPEILAGHDVVGKAATG SGKTLAFGVPMIESWLERYGDLDEEEQKKARPPTGLVLSPTRELAHQLTEHMTALCKG LSISPFVAAVTGGLSVQKQQRQLAKADIVIGTPGRLWEVMSSSTELTDSFKQIKFLVI DEADRLLTEGHFKEAEEIIGALDRQQDVGDDDDANIPTRQTLVFSATFHKGLQQKLAG KGKHGLMDDSQSMEYLLKKLNFREEKPKFVDVNPISQMAENLKEGMIECAGTEKDLYL YALLMHHPNQRTLIFTNSIHSVRRLTPMLQNLNIPALALHSQMIQKARMRSIERFTKF PGATLVATDVAARGLDIPGVQLVIHYHLPRAADMYVHRSGRTARAESSGTSILMCAPE EVVGTRRLVAKVHAQNAVAGGGNKAKYYMRSLDLDRKVVARLKPRVTLAKKIADSVLA KEKKGHDDDWVKNAAEELGVDYDSEEFEAAGGGRKGRGTGRKLKEKESRAMSKSEVGA LRGELKSLLSQRVNVGVSERYLTSGTVDINELLKGTNGEFLGKVDGITGLDDV LY89DRAFT_673290 MGKSSKDKRDAYYRLAKEQGWRARSAFKLLQLDEEFDLFNGVTR VVDLCAAPGSWSQVLSRVLIKGEKFGRSAWEDEEGKLRQQLLKVFGQELSAEEKEVIN QREREKHILKPRKDVKIVAIDLQPMSPLEGIITLRADITHPATIPLLLRALDPSYDPE TKSQQASQPVDLVISDGAPDVTGLHDLDIYVQSQLLFAALNLAMCVLKPGGKFVAKIF RGRNVDLLFAQLKIFFERVIVAKPRSSRASSVEAFVVCLNFSPPEGFKASLEDPMGVG DRLAKMSKTKPREQPIMAATTLQDPTTGTWSASPAMAAHRNKDGVLELPMPENMDRMA RDGRWIAPFLACGDLSGFDADASYHLPKDRVTLDPVQPPTAPPYKRALEMRKAAGGAY GKITSK LY89DRAFT_204858 MSTSNSIKQAAEAARNKTSEAMNATKQLAETAKADPIAAKNDLL HTPFMRAALPFINGGTAGMVATTVIQPIDMIKVRLQLAGEGAKTGPKPTPLSITREII AAGKVMDLYTGLSAGLLRQAVYTTARLGFFDTFMKALTTRAQAKGEKIGFAERAGAGL TAGGLAAMIGNPADLALIRMQSDGLKPAAQRNNYKSVFAALSHIAKSEGVGALWAGAT PTVVRAMALNFGQLAFFSEAKQQLKDTSLSPRAQTLTASAVAGFFASFFSLPFDFVKT RLQKQSRRPDGTLPYKSMMDCFAKVAKEEGMLRFYRGFSTYYVRIAPHAMVTLIVADY LGFITK LY89DRAFT_688045 MPSEKEVRRVEWGRNLLGEQGRRNETRSLVEEGGSDMRLRRWED DDTVDEEEEEEEEEGEDDDGSGRRCCFKARPLCLDGRVYLPGSRWPTCLVRGSAWRRV VVDAGLPGTRRGLRCKDTPNARMDIYLLSRLSSEVEQSRKTLFFMRGNPIGGWGLMSA GFCYE LY89DRAFT_593218 MTVVEQVDSTEPQDVETSNDSTNNVAMTIPPADGGKGAWLFLAG CFVFEALVWGKSFPFSFGVFQSYYTTHPPFSLSPNGIAAIGTCSSGVMYLIAPITLYA LEYYPSIRRLSSIMGLAIAVTALIASSFATKVWQLILTQGILYAIGGSLLYSPTMFYL DEWFIKKKGLAFGIMWAGVGTSGLIFPFLLSALLTTHGHATTLRIWSLILLTLCLPLI YAVKPRLPFNARPTPRRPISYSFLQTRTHLFLQTANILESLGFFIPAIYLPSYASSLH LPAYTGTLLLALLNLFSVFGAVFLGHLCDRFHVTTVILVSTVGSTVSVFLFWGFATRL PLVLFVVTYGFFAGGFSAVWTGMMNEVRRSGDKSAGMGQLMGVFAAGRGIGAVVSGPV SEVLLSAMKGKGIGGARLGGFGGEYGVLILFTGVSAFCGVVALGVKRQRVMEVEVEVQ EGTEMDGVGC LY89DRAFT_623417 MSSLKEILVIGGTGAQGQEVVKALSSSQRYSVRVLTRNISSERS QTLASLPNVTLIQGSQDNQKDLHRAFHGVYGAYVNTDGFTLGEKAELFYGIRAYEIAR HEKVTHFIWANTDYPLKKAGWDEKYHWGHNDAKGRVGDLILSHGQNGMKSSLLTTGPY MDMLFDGMFVPTKQPDGSFIWANPARDGKIPLIALSDIGIYALWLFDHISESAGMNLE IATESISFPTIAKTFTAVTGLPASHKYLPLDEYLPLAEPYPNAPANWAAGPSVARDES SMTWRENFSAWWRFWGEGWGDDRDFEFLDRVHPGRIRSLAEWMEKVGYRGEGKSVLKG IADLKAKAHQA LY89DRAFT_652724 MPEIAEVARIVHHLRKSFVGKTLSVVKAQDDSNVFGKVGTSASE FQKALTGKQVMSAGQQGKYFWLTMSSPPHPVFHFGMTGWLYIRGEPSAHYRPKDTDGP EEWPPKYWKFSVETEEEPKVEAAFTDARRFARIRLVDCAAEDIRNTTPLKENGPDPVI DRDIVTEEWLTKKMRSKHVPVKALLLDQANISGIGNWVGDEVLYHAKLHPEQYSDTFS DAQLKQLRESILHVCQTAVDLLADSSKFPEEWLFNHRWGKGKKDAPKSLPNGEKITFL TVGGRTSCVVPSVQKKTGAVAGDLKKEKIEELENSDERVESKKPAKGKGKAKKVKEDP EDEVKTEGSPAEKTNGNTSRKRKTSTQPEQPEIEDGGKGRAKKRQAGKESTKPSAKTD DTSKSRRRSGRATGGKVKYNVDESE LY89DRAFT_738093 MVADSLVYHPSVAHYLKFVATTVGRDKLLRTFQYFSRFYAWYLF RTNGTPAEIAPFEAIKKQFGLARKLMRVGKNVEHFKAAAIAADSKNLDPVVKYCAVGR QLGYAGYLTFDAVTYLDAAGIRKSPATQRLQREAYRCWMIGLLFSAVSGTYSLYQLRL QQSRIDKKDGEGAVATKRIEKERSAINLQLLSDLCDLTVPTSAIGLTNFDDGFVGLAG TVSSLIGVYTVWKKTA LY89DRAFT_559163 YSIFNRKERLLLVFLGTFAAFLSPLTANVYYPAIVELSHEFHVS TDLINLTITVYLILQGLAPTFIGSISDVIGRRPAYMICFMVYFAANVGLALQNSFAGL MVLRCIQSAGSSGTLTLANALVADLATSSERGSYMGYATLGAFFGQAVGPLIGGLLNA ALGWRSIFWFLVIFSATVFLIFIIVVPETCRAVVGNGSIPPQKWNISVLGYLTGRRFL QPNPSIPVPESPRAPRRPINLLGTVQIMFSKGAGCILLYTGFLFGGFYTQIAMVPVNF TEHFGFNSRQIGLCYLPFGLGCMAAALATGKAMDWNFQRIAKKLNYPIVPGQQNDLSK FPIEMARIQIVIPLAFLGCVTVVMYGWMFHLTKSLAAPLVLLFFLGFSLIGAFTAFST LLVDFYPDSPGTATAAANLLRCWMGAGAVAFIGPLQAKIGSGFACVAVAICWILSSPL LWIVWKHGPAWREERRIKAE LY89DRAFT_623426 MPKRKRSSNDEEDVDRIMRRRKQAAQDELIRSKKLLHRALKTAK GFERQKLSKRLKLATGKNEANEIKRINSEIEALKTLDLDKVTVAQLHRSLLKIRDFKD TEILPDEVRKELPKPEGTEEKVKAVHNITSGMCNAKLVREEIMGAVERMYGAMGIPIP RKEPKGKTAKEADKNAKFSRRDGEEKEILAEVDEKPTVVKREDDSENDSEDDSWDGLD SNKESEGPEGDADDQESLDEEELQQFDALLGASSDEESFHEDDWLPKERPEQTRFSFS PEPSLSPSISESLSSSPDPEPAAEVRPNKTTKSKSEPVKPGNSTFLPSLMGGYWSGSE SEPSDFEDSRPVKKNRPGQMARRAIWEKKFGEKANHITSGQGPVASRGKDDGWDAKRG AKDTSGSGRGRGSHARENATPIQPKTEPVKPKRDDLGVLHPSWQAAKKAKEMKKTASF QGKKVTFD LY89DRAFT_688052 MARALALNGAHKVYIIGRRKEVLEKASQSVTTNNIIPLVGDVTS KEALDSIVTKIVSEVGYINLLIANSGTLGPQKTKVLTAESTIADFQEAYGQTSFEDFS KTFELNTVAVWYTVVAFLGLLDEGNKKGNVVQKSQVIATSSIGGFNRNVPGGYAYGQS KAATTHMMKQLATGLAPFGIRSNILAPGLFPSELASGIIGDGVFPRTAIPLERVGTEE DMAGAILFLTSKAGAYVTGNVILIDGGRLSLNPATY LY89DRAFT_756657 MISSVLALASLLVAAGAQQAGTNTAEQHPPLTVSICTSSGCTTS TQSIVIDANWRWLHATTGYTNCYTGNTWNATICPDGVKCAANCALDGADYSGTYGITT TGNALKLNFITKASQTNVGSRTYLMAAGSTTKYQMLKLLGQEFTFDVDVSNLPCGLNG ALYFSEMDEDGGMSRFSTNKAGAKYGTGYCDAQCPQDIKFINGVANSVGWNASANDVN AGSGQYGSCCNEMDIWEANVVSAAYTPHPCSVSQQTRCSGTDCGIGARYSSLCDADGC DFNSYRMGDTSFYGAGMTVDTTKVFTVVTQFVTNDGTTSGTLSEIRRFYVQNGVVIPN SQSTVSGVTGNSLSDTWCAAQKTAFGDQNVFATKGGLATIGASLKKGMVLVMSIWDDH AADMLWLDAPYPPTKDASTPGVSRGTCGADSGVPASVESVAASASVTYSNIKWGPINS TYTVSK LY89DRAFT_592863 MVPKGPYKLCTVNTAPDRAKRLVGRLVEDVKDTYTIVYVENCER VEDVKSMCERNKPDVLFCASMWTIEESEEIQRIAREIVPGVRTMAIPHGLQVEKGPDA VVEFLKERWPQLVER LY89DRAFT_688055 MSRQRYEPIPQRDEVIILDNIHGSPTYNYNTAIPNSLASSIHTP SIAPSIPNSPPPSFHTHSPPGTPRPTPSTSTRQSGGGVSYAELWGVAPSTVGGAETDV GGTSNDALATIASLKQRIEWLEESIGKLLIEKDSHICSTAAALQDSRHSNCCVTFDDA SPELERMLTQGKGSNCCVAFRSNDRKMANRRTGIVVGFVTMFMVCVMLTIILTAGAAK GGDTNGGRRRPGNQNLVPVIYGEDET LY89DRAFT_785697 MSLYRGWDRELLRRAGTEMNQVESSSDQFVQRSMSSFTPINPIA PPVQFISSQKTLHERDIYEIVEVPAERPDVVVPKKRGRAQNKGRAVSVDPLWEYVDEG DLAGQNKGGDFETTFPKRRKSASAKRASSSKAMPYTLSQFSKVDTQADSLDYKDQAGA QLTTLDSGNNKKKKAGSAKAQTTKSKMGPFKPPTITKPGASRKKDAVEDPVQTMPNSY LGHVTTQESVKLAQTTLDKLAAFRYMPPSTAEQTKEASSVQHNVQSRAEPNISIRAAE EELFDHATEGGFMLGDDDLSHERNLNEPGAYEGYAIIANRDLSKVPIEDHPVSGEDAF FNDVTWNVQVSDEPQYNILPTEEQHQSSASAPELIGDPHIAKSHLRLESQSQWLKTTH SSRHEERNDSGSIGRLCGVAVHGDPSYGSSQFEPNSSETRALLRVLDNSQPAHQFQDN LSEQFSGRVNEPPVPRNLPNTDDDMLHVASDTQAPAGEHEPSSQALPALDPGHNRRTD PSKDVQVERSDLDDANMVMIDVSKDYDLEDFDEGLNDSDLMDLVSQPVVPATQSIMAS EPLSSGHGVLPQKQLESQTKGSGQRVLVPSPHGIQHTSTSSRPSSPFILGSDIDDDFP LDEDMEEEMMNLADPAGVLERFQPPPSLHYSSGEGSASGEVYDSSLQFSPQKHYFPAE SAGQQKFPDNTANSLADSEDLLDDDEDWSFIRTNNESTAEKYTPDRRHSKRPIVSPAE EAEVVEISPVRSQAVSGVRPSFRRQPSISQLTTDTTSIILDDSHEYEPLKPFARPDFP GLIRDRSPIVGLSSQSFLRVCFRVAEMFKEGAKCHALKENAVIELYARVILSSREPGT TKQHFQFADLWHDRPPLPSGILANYKSPELLQRESGKFIGASEGMMARCFGRLKRDSQ STTGWVLDIISIRTTDWEEIRWTKRIVSAGLMKSESKGLSKL LY89DRAFT_688056 MFSSKKPYSAVTVAVENLTSEHYEEDDVGGIPDLVEAIKLQASG PAEAARAIRKKLKYGNVHRQIRALVILDGLIQNAGARFQRTFADEMLLERLRVCGTSD LSDPLVRDKCKELFRNWAVEYKNVRGLEQVAGLYKQLPRRKQVVTQDQSKVLRETAEN PFEDDEEEVVAPPPQPRHASVASSPAQSSRSSPPPSFFSSISSSTDTKKSKKEKDKKK KKGKPFNLESEKETMKNCIAESSVASTNLLNALRLINREREQISENKNAVHHFEFCKL LRRKILRYIQLVESEQWLGALLHANDELVTALMTFEQLDRSIDADSDSDDELAHQQHL YKTMSDKGKENAAANQFAGLSLGTKSPSPPRPPRQPPRPAPQPEPEEEDDFEEEDEND PFADRNALQTPKVEKKEPVWRDV LY89DRAFT_688057 MGGYDPKFPPNELNTNPTQISSPSMQSSKPNPDFHIKNLPNTSP RNHNLLPKPSYLQTPPSSH LY89DRAFT_593225 MALIRLLQCKPDGEIVFREPTSGNVPAYAILSHTWGKEEVIFQD MEAGADMNKTVSKAGWRKIQFCAKQAAADRLQYFWVDTCCIDKKNAVELGAAINSMFR WYQNAARCYVYLSDVSTLNTRADDQRAWEEAFRKSRWFTRGWTLQELIAPRLVDFFSS EGERLGSKLSLESKIHEITGIEKNALRGDLLSNFSIKERKSWAGHRKTTIEEDIAYCL IGIFEVSMVPNYGEGKDHAFRRLDEEIHKLYKGVGFEQFAVELNLTSFPETAQFVARE KELSKMHELLQDHSSRSCIILHGLGGIGKTQLAITYARRHKEKYTAIFWLNANDADSL KLSFRDVAQQVLRHHPSTSVLSSVDQDKDLDQVVSVVKGWLDSPQNARWLMIYDNFDN PKTSGNPDNSAVDIRQFLPRSDHGSIIITTRSSQVRQGIRIHVQKLLDVREGLEIVSN MSGRKGIEKDSDAIALVKELDGLPLALSTAGVYLEHVTTSFSDYLQLYKTSWLKLQTT SPLLNSYEDRSLYTTWQITLDRIQQQNPASASLLKLWAYFDRQDIWFELLRYATSADD RWIQELTKDELNFNKAIALLCSFGLVDPDGALKQQFGSGGYSVHSCVHSWTVFVLNKE WDEGFAQLALYCVASKVPMRNEIDSWMLQRRLLQHAVRQEQAILENKVGIKRMEWALH SLGNLYADQGKLAEAEEMLILDTVHNLGKLYADQGKLAEAEEMYNRALQGYEHAIGPE LLPSYLPALRTMFAFGDLFSRTGRKDLAKAMYSRALAGYTTVQGPSSKWCRRLEDRLQ ALQVASARLEAA LY89DRAFT_688062 MGARLSVAGKHYMTLQYRVCKFLRTIPPPQGYCFDCGYLFHMKI TRLILFIRDFSRLLFQRPFLFSSISIIIISTTNPELLPTQLDARLTQGIQCFVQFFSV RGFLGFVSASGYVSRRCNYGKAAVGAHEIMGGLLAVDAREVWDWEDDLS LY89DRAFT_699657 MPSRLDAPVLTVDVGLIHKVDTRNVENLFSMWTVFSRCAGSLEE GRRLENLSWRLWNRETFCCDPVSEANATTPAISIASRSSEGRYSTTEVPSLSGSLDSL VDEEALEFESDNTSASTSAPLDISRPQILRQDSVHSRSRGRERHITPDDLEKMVITIK EKKNLEPLYLTPHFNLAPLPDRIPEPTAPPTIPEITTSSMESESTSENASEQSAQPAS TDSASGASIKSTTSVVRGFSPSHVSSSYRSIPLLSAPSSIPTADLTDAPTSKGPQPKK GQMFALGGSSGEDSMSEQPNSIDSKLVPQQKKKNAMFSFGGSSNEDESSLPQKMSSRG ALSEGQYLTKNKKQTSFREEVTTRTIKEEQPFDDDVFETDEDEIDESAIDDDDDSSDW EDSIEDSGNASIDEKTFFQRVDSRPNLTSRRSLITTMLHQNDRANALANAAAASKSTS ALQRSRTSSPNGPSLAASPDSDDAAPLMMKKGLKPIAEVPRSAARPIIQTTTNITPHQ QALSPRTTRRNMLASELTVSLRQHLLWERKQKSQTANAVLKRRHTAHDVANLKEYPDK PCLKDDSEPTKTWNEIFNNGLGEYHSRGW LY89DRAFT_738105 MATPRCYQGCREQCRGSSMWKQPCLPDPGRSPPLLLSSSNVHTP PEHPKTPSPFWPLLPFPMTPPFAGQHPEALDPTSIKSPALCARGHRRRQIRNPGDAYL PPQTQQATHHTQTWTLVVCFYD LY89DRAFT_688067 MNYPPPITHHKCFIADSLETSARSEVRLGSLPSNEDETVPVGIW CFVLFSMIVAHFGSLDVGRDSKDLHDSGLCLDG LY89DRAFT_205373 MMPETLIQVIEISGYEINQEQERASEPKELDPRASNPISPTQLL NIHFGISFLPNAAIHSLAHPQTLHISDTPDNGPPRGIPSRNEEEPLALITLQASLQST ATTLPLLTTLQRTKLFSNQKIKSSTPAERA LY89DRAFT_652748 MESNNTNSNSNSLTSPNTGLTSDGDGARNPGHPLHSARICRYFS RTGSCRAGTSCQYLHDDTRLPGSAMKGKEAEQSESSVVGDDGKTQDRRQAVTKPVPAS RVVPKPVPQAQAQDPREFQLGQIRRRFSPKETNQHGGALLKFSLAPSDPDFPFEMSAL DCLLSVPSSYPKDKPSLKVGNKDIPRGFAINVEQGFEGLVEEKPDATLLELMKALDKN LETFLSAPKADTVKLVPNKDTRHLSSTPSRAVEPIIAPRVEVESTKPLPTSSTSAPKS VENFTSQQKSEALKTREAETRQLEARMGRLPLYKKSGDGIAYTLPLEPRRRNELPVVL QAVKTTTLFVPLLYPLQPCRIGFEGIDGDAPKSVEAGFLQRATEQKATLMAQVNYLAS NMYILAKNPLATPPVKAPEPIATTITQAPTTEGHQDPERSHIQYISRPPEWEVIDHED VSDADTDDLYSYDTGDSSDQGGGVEVTHEAEAGPAQPAPNPERGTAISFPFIELYGIE LLEVVNLNITVKCERCKETTEVKSLKTGITKSESCRKCATPLSITFRRDLVHAHAVRA GFLDLEGCIVGDMLPSTFQPTCSQCSTPYPLPGIVSVRGETTTNVCRECHQKFTFSIP TIKFLRISSSHLPSSLPPRRKKETLGLVPGTELPKRGRCRHYAKSYRWFRFSCCAKVY ACDKCHDAEEEHVHEWANRMVCGWCSREQNYRPEDCGVCHGNLTGKRGGTAFWEGGKG TRDRVKMSRKDPRKHRRVGGGGVKKS LY89DRAFT_592692 MDQLDELGGQEHHLWEFCDEIWTLVLKYIEFDDVFALGQTCKRL HSLLLDDSVCEAILQNTIPYSKEALKARNLSRGYASAFWRAAKRRAAFRAGDPFAVVN LGFANSFLYNEGLLCYLLEDRIRILDLHSSESTETVVNMADLLDQKVWRGPDQNTTVG LTLLHYSSGVLSCCYKQAWNENAWLILIKIETGQILGTVRLHSTEKLFARNNESMLYY GTHSGKDGGPEGHSWEVKCFDLVTRFSFETKLEFFDVSNANIGSTTCFEIYDGYLYAI SNRLPVTGPNSELETEQDEWSFYRCRRFPTVFPTNLFCEKATDHITWPRNYQWGPTDT RRTTLNLRKDEHSGALKIVETRMGWLASMHRSQRICYMTDLAFVIQHGLHLPRYPIPY SGSALAKDIFELKWPVDEYFKQRIASLDPFLRDSRPLDKKLREAHRITHPETWKGPPQ EIQPCNDQTPHMANKFQIQYYDSASGTFLDIIGDYIPTESPARSHLHLQAQSRRFGPP ILDREGHLTPPSRVSSTTLNKIFMPSTIKWWPEFYDSDLDVIRRLLNPPGQLSDVKGI VDERSLVYSAGSRDIPGALIFISFDPAINLAGVEPWKPRRQSKYTAEAYFNDDKATKS SGLMKPQSQRRHDRPGEVVVNETASSIQQGTTTKDMPAPHKWCWKERARYLDVGQGFD FGLR LY89DRAFT_673310 MSIISTTTRSSTPCNVSIFTVSSFYSATETIFPCLHVRMLHEQC GHISTLTIHESPCPRPYYGWVLAPLGSSTLPREPPRIRCEGMEFHVAIEKDKCKNCKA VLALAAGKTMCEKFGWGRATKRKDPVVRRDRERQLDVGEIVKRRAEWKREVDAWKARR FERMQEEARAKGRI LY89DRAFT_592922 MSTFRFLLATLLLSSTVFGHMEMIYPMPLRYKNNPFATTIDYSM TSPLTVGGTNAAFPCKGYQSDMGTAAGTSTATWTQGSSVNFTLDGSAVHGGGSCQAAL STDVGKTWYVIHTYQGGCPLAAGSFKLDIPSDAPTGSALFAWLWYNNVGNREIYMNCA SITIASGTGAAPATAFKDRPDLFVANLDNGCTTVETTDVIIPNPGPDVTTGGTKEGAV SGTCTPVNGIGGRTGSASSGGSTSVAPDASSGSTAPTASSAPPASSISPTVDGQCSGS QTCAGQSIYGPCCSQWGYCGNTNAHCGAGCMAGFGTCGVNGTASSARRARHVRQFVA LY89DRAFT_205464 MKFVTPFLALATAVHAHYNFPSMISGTTTTPAWQFVRQWTGYYT FDPVQNVASEDIRCNVNGSTAFAPNTLSLAAGSTVGFSANPDIYHPGPLLVYMAKVPA GKTAANFDGSGAVWFKIYEEGPTFGGQALTWPTDSATSVSFKIPAATPSGDYLLRVEH IGLHVAQSSGGAQFYLSCGQITVTGGGSGTPGPLVSFPGAYKATDPGILINVYYPVPT SYTPPGPAVWTG LY89DRAFT_205449 MESSLLSPHTYTGGKRKKITFFTSSEYGQANVILAVVYELLLLQ AYEIDIASFAPLKNRIQDINALVSNNSSPARFHTVTGLSALEALTAKKEFIGPYRPGI RGASDTYRVTLPAIATTWDENDYMTGLESCINILRSISSDLIVVDPLMSQGLEACKAL SRNYVVLSPNTFQEICKKQQPLFTQLCRWPAVSSAFRYPVPWYLIPANIYLKFLLLWI LITSPKVKGLINWRQSRGLPKLPPVFNIWEKENKYLVVSVPETEYPCYVPPNVIPCGP ILLPVRPVSEHDPKLQAWLEGAPTIMINLGSHIRMDDQMAQQFASGLKIVLHQMPGIQ ILWKLKTSGGLAVSSDAKTTSGFSGTGIKKESLEAIEPWILSGSVKVLEWLSVDPMAV LQSGNIVCSVHHGGSNSFHEALSAGVPQVILPCWLDTLDFANRVEWLGIGVYGSRNAA PSVEADELSQALLKALGNDHEATRMREKAKELAMISARPGGRRKACEEIIGILLSSSE AV LY89DRAFT_699661 MSSQKFNDIIFEISGQIGTIKFNRPKSLNAFGGNLMGETVAAIR ELNDHPTTVFTVLTGEGRFFSAGADVRGSGLDTQDTHANAAEKKIAFLARFGAALELL RSIIDHKKVFVLALNGPGVGGGAAWFTGIADIVLASSSCYLQVPFSALGLVPENGSAI NFSQSMGVHRANEFLMFGRKLSVEELEQWGMVNRIFPKENFHQSVKEYLEEQLRVNDG KSMMETKRLQNAPLRDGRLIAVVNSVDALAERFVEGAPMKRFEIKKKELEGMFPGRSK I LY89DRAFT_592825 MAPSPTRLRQIALVAADLDRARQLLTRVIGTEVIFEDPAVAQWG LKNFLVPIGGDIIEVVSPFRPDTPASRQLSKRGDGGYMIIMQTLDANARNRYIEEHKL AKVIFCHELEESVCIQYHPKGIKGGVIPELDSHHPSTSYPNPILERFSPWHAAGPPSA YTAYSAGMKRHSGLHLLSVALRLAPGDRDTSAAAEQWEGIFGVSKGKREGEIEFTNAT MSFIPGEQEKSEGIVEIVIGVEGQERLSGIQRRATEEGLKFTDSGCIDMLGIRWRFLQ VAPDEIVKSRI LY89DRAFT_738114 MVQNKQLIFASHPTGFPIPGTDLKLTTTELDINSPPPGGLIIAP KYVGYDPYMRGRMRPAGALYISGFQLNEPLYNFAISTVISSSCPRFKPGDILLGSAHF AQYQVIEKEKAEKSEADGGFDILQNPLGLDLMVFLGPLGMSGLTAYSSFYEIGKPKKG EVIFISAASGAVGQVVGQLAKREGMVVIGSAGSDEKVKFVVEELGFDKGFNYKTEKPG EALKRVLGELGKDGLNIYYDNVGGETLDAALGVMSTFGRIVSCGSVSQTSKKPEDTYG IKNMPMVTGKRLTIRGFIVFDPDFGPKYHDEHQKNVQKWIKDGEIQVKLSVTEGIDNA AGGFVGMLKGENFGKALVKI LY89DRAFT_721976 MDHTRDPCPWVALNDFGGAFCMGAIGGAVWHGVKGFRNSPYGER RIGALTAIKARAPVLGGNFGVWGGLFSTFDCAVKGVRKKEDPYNAIIAGFFTGGALAI RGGYKAARNSAIGCACLLAVIEGVGIGFQRMMAENTRLEVPQPPPAEPGTAMAIAH LY89DRAFT_738116 MFTPSILILLASAGLIESRSVLDARHHHGLHRRATDSSDASATT LAANAIQSGSFVDGSEQVGANEANQAKSQTSTNNFINFCSGKTLSNGLQIVGGSCNGI PMGDLPAKTAMVSSVITFPLAGSKNIESDTTFNITVQMSNLVAGSFTNADATYFSAPQ FLSGGQVVGHTHVTVQDMGASLNPTVALDPTQFAFFKGINDAGNGKGLLSATVTGGLP AGNYRVCTMASASNHQPVIMPVAQRGTADDCTKFTVIGSGTTANAASNDGSGGLAAAA LAASAVAAGPGAIESSASSVDSSTSTSEASTTTNAGKGSKDTTTSTSEASTTTKAGKG SKDTTTPASEVSTTTDSGKGNKDTTSTSSAELSTSTTSSKAGKGGKDVSSFRFSNTTV AATTTKSNGKGGNQGNALTTSSSSLSTSSVSIASTTTKSDKTGKGNVVSTASSSTETT IIQKVVVIETFFEFVLSLGGLPPSVGKQGESFVVLEEVFEDIVSAAGAACTAQFNTCV GFSGPGFSFEECSSQKESCGSAASTQTSASSPATLTATATVPPSATVTGSVISEATIT TSVAATTSQEAVAVVTSESAAQTTPAAVLASSTESTCALVTSTVWVDAPSSTLAVADV ASSSIPCSSVIPSSTTSAALSTSTASLIADASALGGIAAPAITNSGDSTRPFEVNGNT FVNEAAAVQRSCDIQFNACANAFNSGAAAGAFNLADCQNQEDDCISAGSS LY89DRAFT_688078 MLPTSEKKPVLQILKGIHLPTQKSKDTGSTTSNSMPDMPPIAPT NSRRGTTRRRYSTNSLEGQRGVLQMSKSTLHGDLTLRDRIHHFTLAWYTCTMSTGGIA LLLARTPHRFHGLIAIGDIFFILTVVLFILFTATLCTRFILYPKSLAKCITHPTESLF FPTFWISISNIISNIQSYGVPHSGPWLVTTLKVLFWTYAALTFSVAVGQYFFLFTGKP LTIQSMTPQWILPVFPIMLCGTLASLMGSSQPVSAAYPILVAGITFQGLGMLMATFMY GPYLRRLMTAGLPSPNTRPGMFIAVGPPSFTGLALLGISEHLYRIFPDYTSISYITTP SLIPDIFRVLALGIALFLWATAFWFFSIAFVSVLHGAVRSKEGMSFHLVWWAFVFPNV GFTICTIEIGNAVMSEGILWVGTAMTVLLVATWVVCAVAHGRAVVRKEILWPGRDEDH DQGGFTEEMEGRFDR LY89DRAFT_756713 MWLIKLSLPFIIGQGNVLNTIDQAGSISNGQHDGLFLPWGSRRN TMSARIMELENQLPRTQIPENANHGHQEISPAPTTEQGQSRARVDTSIQQNPNPTASA EATQSRQPCKISRWMSNVKRKSTDSSRNETTVPCARGRRHSTRNTERLGSTAPAAPNS SRINFAEERQIYHGDDGVVQHQHEESANPFKPKVEEEQAYTEEQDFTHIPAETERNPT PRSQPTVATQGGPSTRAGPSRSTNIEDSFLGNLAGKEGRTSRGDLMHLCNDLGHDEFR RLRDRFYRGEQVEQVEEVQEAEGSDEQPPFLVEDINPERRLSIISRTSNASGIPPSAR QSSSGSTGTRIEPSDTPTDPSVDEEVPRLTLGEIRDFTTQILRGISIIIAESRVSAQM TDFQLQQILALLNRLAYEVEE LY89DRAFT_688081 MEALFSNPLILRDAEDFHLEQWNCCASRQPTTTSHTMCSQSISS WKTTSSSSEEDQMPSLWSASDGDESQESRTEASDDETYEVTDRSSAEAAAHLKERPEL AHHLQNDSSILTLAVSDRFVYAGTQDGEILVWSLASFELVLRIQAHTRAVLCLFLSAD GKHLFSSAGDAIVNGWCPVTLKRLYHIYSTYDVGDVFSVAYSAQFETVYLGAQNTSIQ WVSLKDSSTRPPPNPANHPDRRNHRFFDSVAKGGTSTPRPPHPRTAHGGEILEIDKAH MMHYAHFGYVYCMLMVRGVTRHIDSDEDVLVSGGGDGTIKIWKLSEDQTQGVREVACL GDDDAESVLSMAVDGSFLYSSKLDGVIELWDLDTKQKLRVIKAHRGDVMTLQMGWGYL WTASSTGFARKYTTVQYGKYQSTSSFSGKYDCVNRWRAHEGRILASALTTFDKQQLYI TGGNDNSICVWNITGCHSGTYTKPDVQEDHLLKSLREFVSFKTVSSRPEHAEDCRRGA TFLRTLFKKHGAQTEMLNTENQHNPVVYARFKGNPATSAKRKKILFYGHYDVVPADDK QNKWIIDPFEMKGVNGYLYGRGVTDNKGPIMAALYGVVDLVHEKELDSDIIFLIEGEE ESGSRGFKEAIRRHKDLIGDVDYIILANSYWLDDDVPCLTYGLRGVLHATVKVDSKHP DVHSGVDGSFMMDEPLFDLTSILAKLKGHHNRIQIPGFYDDILPLTEVEEARYDDIVE TLIKRNPENGPPETLKASLMARWREPNLTVHRYKVSGPDGSLVSSHASAAISLRLVPN QEVDDVIKSLTAFLEDAFARLDTHNRLTITIDNQADAWLGDPDNEIFQTLEAAIMDVW GPIGETRRSSVSAPRLKQQAKLSSQPTISPSLKPTPATTTTLTNGSDHTSLAVTALDL DTSEDKPAESGNTNGTKKKGRKPLYIREGGSIPSIRFLEKEFNAPAAHLPCGQASDSA HLDNERLRVSNLYKSREIFRQVFKELPRK LY89DRAFT_699668 MQLPSPSPSLSPSPRSHSPSLVLTDALAEHIGTISSIAQSHRLS GSFEKDLGGPLPGGKDGAAFTSPAPNSKIQPPHDSKLHDIPEEQVKRPRKSSTAKSNG DVLKLSAAEMEDLMSAPESLPVTSPAKLPSPSHQSLAPAPTIDRRSSDISPSYSETQF ERPRKISAPDSVTPTTDGHLERRARAETTSNSTLRRPGGSSRAISSPMTSSHGQSYYQ KTTPGQTSPKRKPLASGIRPEPLDLSHLSSKATGKSTGTDLPSPIPQSIPLPPMSIPT YLQLELSSTRPSPLYIYRSAASEFPYESSKVKFERLLNFLLLPPQLEQVLYFGTLACL DAWLYTFTILPLRFFKAAWILMQWWGQVLDREARFIMGFIYHGTGRMWHRSRGRRESM DSIARSRSASRASRPSASTAPSYQSQSGRPFDVPAPNGQPPNFEHLKAEIERKTKPGW GRRHRRTKSQPSSLSSYHKADLLQGAVIICSCMILMKLDASRMYHSIRGQAAIKLYVI FNVLEVFDKLLAALGQDILECLSSNETLERDIDGRSKILRPMGMFILALIYNVVHAAA LFYQVITLNVAVNSYSNALLTLLMSNQFVEIKSTVFKKIEKDNLFQLTCADIVERFQL WLMLMIIALRNIVEVGGLSMLGGGADGDLMRDPTAPSRSNSIMPNSFTILPSWSGEVL SPFLLVLGSEMLVDWIKHAYTSKFNNVKPAVYNRYLDVLAKDYYTNAFVNQNLIKRLG LPVIPLSCLFIRSAFQTYHMFLATHLPSPLPSPSLSTSLSVESSSPSTTAALDHFDTI IRRALGGSPLPGHWMIPDTDSLLAATTMIVFFLGLFLVLLALKLVLGMLLLKFARNRY KSMKKREHESQSKNGEKENYNTEGKRLGSWGMTEMDEDKKRWIFEDDKEALRVGREKE AKWREKSERMGLQEFGKISRYEMVKRIW LY89DRAFT_721982 MSTLLPNAPCPFAGYQCEGTPKGTDGLDPDGNPWYLCRPNELYA NQCGIIYFPDAKGIWHNSRVLADQFAEAGYFVVVVNSENLVRGEGEPYVAPNRRTNRY PSLAQQKVIFAHAGDWLDQQSCEMIGLVGICAGGRWVIEFLAEDKADAGFVAHPTEIE RAQILKIQKPLSVAFADNDSRINKAQRDGIEEGLLASNQAYQISLYSHVHHGFAARRA FTTDAEVFAKRQAFIQAVTWFQEHLVTENEREKIRNPPAV LY89DRAFT_593208 MPKEVQTIDGGKAWYKCKPGPMVLGEAPIYRASDNTLHWVDPLK EPPELHILHVDPETGNPKREARVLVLEDSVTVQYFRKDIPGSYICAYYQGVAFMDEET GKLEVVKEIIPKEERGERRFNDGGVDAKGRFWLAEIDKKAMIYGAGNLPESYGTPRGR LWRYDPDGSLHEMASGFVCGNAVDWSRDNKIFYINDSVGQKVYSFDFDLESGSISNRK LLVDMAGTVGEPDGMVISTDGSLHIAVYGTNTLMVFDPAGKHLKSIKFSGKNLTCPTW GGKDHDMLFITSARQGAGDDTGLDEGGNIFSHKVGDGVKGLAKNEFGG LY89DRAFT_205961 MRSLHILSCATAVSAFNLFGDLFQRQQPNCTVYTVVEYPCAVQT FLPSNTVVWVDACSTTLNITNAPTSLKTTITSTTTIGLPKTSTSTVVVSLKTTATIFH TLTLPGPAQPTTTSSTAPVITGTNSGCVPSSTSYPSAPNIPANTTYVISVTSPEIIAH LGNSPVYLTREGGVVDNTNLAVEVFFYDGVLFALDTAFGVGWYGMELSEATMPFALEQ YSSSSQSIARTFTTVGSSPPTLAWNNCQFQNENVTWTLNESDGVVSAWFSDSDSNSFG LKPKCTNGYGCSCLVLHSAFDDWICSWQ LY89DRAFT_688084 MGSSGPQPPYPLHASITSKLDPDYAAFYNTHLIDKQQVHLQPVS ASRTSGVLIPGAGPKLPVGKTEDFLVPRTQTSGPDIPIRVFTPATKKPENGWPVMMYY HGGGWVLGNIDTENVVCTNLCKRANCVVITVDYRLAPENPYPAAVHDSWESLLWLQST GSFLLSLDLSKAAIGGSSAGGNLAAIMCHKALSSPSLVPRFKAQLLIVPVTDNTALTT NNASWKENEFVPALPALKMLWYRNHYLPDEESWKEPEASPLLYESGWEEQPKALVVVG ELDVLRTEGEEYAGKLRKAGVEVDLRIMKGMPHPFLAMDGVMRQGAETITAMVEMLVE VFK LY89DRAFT_205879 MTANMSNGIHPRYVPRDQKEAQTLASSIQVLRVSDNKPFLAAKI PSMLCKDAIETDDEGEPTITTNLASALLPVAALPISRILNHPNIISLVDIVHKSGEEG SNQNFGAFSDITIWEDMNAGSLAYLLPPVNNYPTFSDETAWHNLAAQNFRRFSLPESL CWHVLKSMCRALLWLHFGIKETPGIPGEYRPHDDDWQPILIMDVSPPQIWFKRARFGE MYGECKLGGFQWAKVTGMIGGRMAMANIRYDAPRRNQYYWAPEIYRNTNSWSRPSEIW SLGATIYTMMTGIPPPRFHDWNWQVSRMCDKGFSQPLREIVGAMLKHHPADRPTALDL VNKVNTEWKIWRSNSVEGRRVVDVDDKIKLKMSLGPGVGQMGSM LY89DRAFT_738126 MAFYEAATQWHTGEEEMHKLLRVPQMDNPTQPYLTPHATNILMR SPIMALGTLDSEGRPWTTLWGGESGFSQPVAQSIIGLKTTVNRELDPVVRMLLGDVAD GEVYQEKGVGKMVSALAIDLESRRRVKLYGRMVAGALAATEEGLGEVQMVIKIEQSLG NCPKYLNKKHIVPHLPNPKLVASNLPLPQSAIKLIEKADLFFLSSSNHKSDMDTNHRG GPPGFVRILSNNEDGLTLVYPEYSGNRLYQTLGNLNTTPQAGLVFPDFTTGDVLYITG KTEILAGRAATDLIAHTNLAVKIKVEAARFVSDALAFRGVEGEFSPYNPPVRFLSSEN RHGLAGDNKQIFAKLVSKEIITPTVGRFRFHIADPAKATRWKPGQYVALSFADELDIG YSHMRDDDPKTLNDDFLRTFTVSSRQEDLAGYDQFEITIRKVGPVTNLLFRHNVRSEL EIPLQGFGGEFFIKQDNEKISFIAGGVGITPLLAQAQDLDLSRLQFFWTMKGEDIAFA NDTFEHIPGLAKSTKLFVTGKINEESEAWKKLSTSGVLAESRRMERADVSDDSISRWY LCTSPPLRSTLLEWLAGKAVHYEDFNY LY89DRAFT_721986 MRGVNLLALLAVVTTVAAVNMHVDFTQACWTVVNESIQQNPSLA NETTLQCGQSYSPSTPPALNVNISLPVCIERWPGWQMSDVTTLNQWVGPLVGFLLPAL VFVLVIPRNYRVPRGDPFFNKHIIVSVLWLIFAFCLLMLDVLIWIMMVFGLAGLIIVG AIDEGLKDRRILRSIEKAGEHGIYSRAQASYALAVTLVGTIKPREDLHDVQPNGLVGD VIAQICDTPNLIHAKEKLGQLLNQQMSYGIQIGAPVVFYLGPYVYSLFDATSRLGDND TAHAIAFGLWYGLIVLTATSCCCVVGLNDPSLIEGIFDTHVEKPRTVKAFFSPYESQH RSVWIFNRPWCARTWANAKIVHVGSPDNDKAIHPNVRKILNSKGLSVLSCFLAISAIS IICGLAFSISFFTPRIGFGCRATTILCHGASQIILIMCWFYYNEEDERKTQLIKWPVY ILSGLLFMFSVFIAIGGTIMQLLGVYRNCICKAGLRFWFDQAPGVVNGYSAGSRLWVS VDQDRNSWYLLCWSVLYLGMGNFMRMKAKTREQIEALR LY89DRAFT_688087 MSNFDINNLFGVKGIVAVITGGGTGLGLCVAKALDANGAKAVYI VGRREEPLKKAASEAVNGSIIPLPGDVTSKSSLSSIAAQVEKEQGYINVLFANSGIIG IEMKKTSLPQDRKPTVKEFKDAMWEPSIEDFTQSYHVNVSGAFYSALAFLELLDAGNK QGNISQKSNVIITTSIAGYSRIPSTGVSYSASKAGTTHLIKVLATILAITRLGLMGLR RASFLLR LY89DRAFT_785722 MTDPSRRTRQACVNCRRKKSKCPGEKPQCSICKRLDQVCSYETL DLPPRKRQRLDADNVAPNGDEVSRRFGSIEQKLEELAASVQRAPPSSRTASAAALNPA VSDVPLGRVPSSLGLTSSNGFASQSQQSVPAVQPQKSRNFGGTETLPPDVVEDGIMTY FKYFHGQPYSLLCQKSLIGAHQPLSPVVLEPMLALTIRCSSHPFWADREKSKFWIECW TEKSWRDLLHMYGEGNTGLHYLQGLCLLAQVDFADGRVQRAHTQVSLGIRIAQSAGYL LIDSDEEPKAGSEEAVRCTWTLFMLDRTFSCTRAVSPVLIYKDFRLRKPESERERSLK AATSIIATNGRAAAEHPDEDQDIAALIIGVYTMWDDAIRYVFGSTSKSTTPPWQPGSE LATIEYRFADFEPEFSTHRYREVNFPRRAIHEPLLRPYFASWLCFQLTYMSIQCCIHH PFIMFIKLKHVIRKVPPSFLQKSYKSSLIHSRWIIRFLDEMDEAGMMLYDPFIGYLTA IAATIQLEHTLSKHADVAAAARLSFRNAIRFLRKLSQYWDSIHELLGVVEELAARLRH RHTLYYSQDDYDGILPAVETERVGLAEDDATLMWKVFDYASMSASPNKRPRLHVDTSV NLEKNGQPELTTAAPDTAQRPGTFDTPISTGTANIPMNPTVFQNFTRPEDNLESMDLS MFDQLPEDWAFTIDDWTLFGAPGSTYFYNETS LY89DRAFT_709600 MSEHPWSRTPLIESAALSKVAGCRIFLKLENFQPSGSFKYRGIS NLMRSATHGKPSSELTNLHYYCSSGGNAGIACATAAKSMGYPATVVVPTATSAFMVAK LQNLGADVHRVGASWVDADRHLRENLLSKNPHGFYVPPFDNPIIWEGASTMLEEVYEQ IPEDGAFDGVVCSVGGGSLLTGIMMAMERHPRKDGKVPRVLAVETQGADSLNASLKGN KHIALPKITSIATSLGVVRVASRAYELAQKSNVDSIVLSDAEAAMAAVKFAEDEDMLV EISCGAGLATVYNGALRKSMSQDLSDEEWREKKVVVAVCGGSDVTTRLLDEYRERFSS MHQVWVLSRDLILSANQVWRSDAETLTLGKCDLNWMVNQ LY89DRAFT_721990 MKLSTSILSSVLFASAAVAAPSTLAERVQARKERRANPGSSHLP IPAPDSAGFATEPGNATLYYTNWSGGIIQSPPSGTTFFAIDGSFVVPEPAPPVSGAGT WYGTAWVGIYDNNAILQSGVDWGVTVSSSGAYSYQYNAWWEWYPNGWTDYNLVVNAGD QISVLCDAGSTSSANCLTVNQNTGDQVSQTLTAPSSSSTLQATSAAWIVEDFSSGGLV PFANFGTVTFTDCTAVAGVNFANGQNLYPNSGTATPADIVASSGSVITAVTFPEDTSN IVEVTYI LY89DRAFT_559254 MRLLNAWTFEIKEFMGSDSEIYAMLSHTWETEEVTFADFRASSI PGPAGSMNGFKKIIGHCEKARMEGYDWIWIDTCCIDKSSSAELSEAINSMFHWYENAG VCYVYLSDVKSDEIPALADSSFRKSRWFTRGWTLQELIAPQEVVFLAADWEEIGSRWE LSQIMSEITNIDEKVLKDPSRTVEFSSAQRMSWASMRKTTRIEDQAYSLLGIFNVQMP LLYGEGSNAFKRLQIEVLNSSNDSSLLAW LY89DRAFT_699675 MASPLRLRLLQDIAEMQTNHYPGIALYIQDDDISTGCLILTVKG YGPMHLTINFNSDYPLTPPVIRMDSKMDYTPAYTLKGIAIQLLSFFSNDKIQQVSDFF FTLEDFRNLHVEQNAGMPPYECVKCDFSTHIETSISDSVQDLQNQTKSNQIIDTSSRP PCIQAAKLPDEMLLSVFEYLESENLLAFAEAWPKIADVIVKYNVIRTRELHCFCLKQD YMTSKLGVGISLTKEEKGNKALLGSEFDLLSEDAFELGIRRSVQGLPFRFWLPLPLSE SHWERVRDDVDPALAIIADRAGYGDVENVYVLFKLLNDVIVRLSKETEETTYRSPIYP YEEKAKSTLKHASEKAIESYYHIFHLLLCKATGDPWIVDYANTIIDSFEKGATSRDYI QNLGHFLIATLISDREVSKKMIQNIITEAATRNVNRVLQKHPELAYLEPTTVSKYRLQ KSFEASKTSYRILMFLNIFRRTALRDSAFARHGAPPPGGAKELAEDIKRIHAIDNFGH FLLVMGMPMMPKEWVCQFLRDRMKDAIRLGYCGMSLTQGQAMTLRREKEPNIAVAPGI FFLNPPKPEKDGGYNFRAKHGGKGRGRK LY89DRAFT_738134 MSVVRSNTVSERTSITRFSFHNNYIEASCTIVKHEETVQVQLLP RQANHLAQLGAALHLSTMLWAFLVESLPHMFCVLVRHYWQIWFSELIHAFSLLLGWLI LYRAACGKKLFSTRVRRTIRHDLKLRAFQLILTMWTSLYLTGFQAREMLRQVYYCGER GRNCKLPGQIWYTAGPDAEVWTTYLWKFIIIPITLNLFAGIRLLGDWHNSRDHMDSLT I LY89DRAFT_688090 MSHEGTNIPSHPYYPLDIKLINYLANDKDPLFLISVFATGCIII LTTTILLIRKTNPTLPTSDFFTVLWFVMCMFPLPSHFQVHLTNDQGGFIHLLIEGHYT LNFLTLHQSPTVLSQMWKVYSLSDSRYLTQNAFIFCMESITAFIFGPLSFLLAFLILK NHPLRNALQTLVSTGQLYGDVLYYATNGFDEYVHGLTYSRPERRVLWGLYVGLNAVWI VVPPILVYSSMRASGKAFAALAKMERVLARNGSAKKV LY89DRAFT_623503 MSTHHAVPAKHGQTESKSLPNKAAPGISYFTPAQTPPSGTALSP QPSGAPIPKLFAPLKIRDLTLQNRIFLSPLCQYSAQNGHLTPWHLSHLGGIISRGPGL AIIEATAVVPEGRITPEDSGIWLDSHVDAPFGLKTVVEFAHSQNQKIAIQLAHAGRKA STVAPWLSAGAVAGEDVNGWPKNVKAPSAVPYNEDHATPIEMSERDIEDVKKAWGEAV KRAVRAGFDVIEIHNAHGYLLHEFLSPVSNKRTDRYGGSFENRVRLTLEIVEVTRKAM PEGMPLFLRLSADDWLTHDGFEGESWTVEDSASLAPLLVERGVDLLDVSSGGAHPAQK IVGGPGYQAPFSKKIKKAVGDKMLVTAVGSIKGGKQAEAILTGKGKEEDARGEQELDA IVVGRMFQKNPGLVWTWAEDLECQINVANQIRWGFGGRAGG LY89DRAFT_688092 MSLQIPHREKNKGAHGAATKAVILVGGPSRGTRFRPLSLDLPKP LFEVAGHPIVWHCLTAIAKVPHIQEVCMIGYYDESVFRDFIKDSSKEFPQIKIVYLRE YQALGTAGGLYHFRDAILKGKPERFFVLNADVCCSFPLNDMLKLFEDKDAEAVILGTR VSEDAASNFGCIVSDSHTRRVLHYVEKPESHISNLINCGVYLFATECIFPSIRSAIKK RTERPRLVSYPSSENLESSFFQDDDEETKNEVLRLEQDILSDLADSKQFFVHETKDFW RQIKTAGSAVPANALYLQKALQTGSKELAKPSANILQPVFIHPTATVDPSAKLGPNVS IGPRVHIGAGVRIKESIVLEDVEIKHDACVLYSIIGWNSRIGAWARVEGTPTPVNSHT TSIIKNGVKVQSITILGKECGVGDEVRVQNCICLPFKELKRDVTNEVIM LY89DRAFT_652811 MAPGRRAVKSESIVAPFGGLATPGPSNYSASVSPKREIELVDLS GSYSDDQEDDPQPTSSNHGLPSYPVPSLRSGEGSRSASASISLSNISPSSLLASRLQV SRQLSRNMPPPSQTMDDPLGFEFVGRHLKASIDTISDLRKFSLDHVVQLAEVVMVGDQ SAGKSSLMSRLTGIELPRDQGICTKCPANIKTSASSDGQWTCKVSLQQNWRYEKSKAR SISTKSVNSRNPFPPWVEQPMEIKEFMTLDHKFQLEEAIKWAQLALLNHDEDYTAFIP GQGHRTSNGFEPERDRAEAKFSPNLIAIDISGPDLPALSFYDLPGIFAVAAKPEDQYL ANVIKNLAILYIKRPNALIVCCIAMKTDPSTSSTGKVIRDCGASHRTVAVLTNPDHVH SRHLEYEKILLGKDHVVGHGYYVTRQPGDAASIPPGPDYLAQARRLEKEFFDTDPLWT GEWSKFRNRCGTEVIQDFLSYELASSIIQSIPSIQEKIAAEACRVDRALSECPDLPDK DIRNIIRKILSDFGNDARTVMNGDSLDTIESFQSEWSTLSANWFSLMKHIKPMVGVAE IVQIDLSVEDDEEPIIDHTNRRKRPGVDAASATKRQRGNEPHTPQHSITFETPPPPST GRTPKREDLDSRDPPVMRPLRRTVASNPFVGTVFESFANLGKGFTDVGRIHQKINQHA RSGLAGLVDPKTQSTLCVESIKPWPAPLKVFLDKTVEMVRKQLQDLLIIHLGVYEQTE LYRTAQKYLNQLIDRHTTELRRDVDKLLRREQHKSWTLDDESLQAVESVEYKRLQLAR RKVRAKAEVEKQIRDDPKKRLSLDMAPDEREKIVSKRVGEIVGHKDANKEDDPLPADH LDKEVRVAAYVRGYYNTAAKRFVDSVCLSIHNEYFVNLWTDIKTYLEDKFHINSVEGE EICRSLMEEDDAKARVRRSLRTEKENLVGFANRLEQLVVQLTQDQSEPANGNAANRSD LADENDDEGSQCANDRRRSLSDDVDMNIEGSEDTIGVGGAV LY89DRAFT_206066 MTSLNESILSIRPSTLHVQQSSGTSQIGGPTRETNHDATERKTL TKGRQRPSRDDRMLKGVDKLIKNIYNEFSEVQEEACEAVAIRNYESIASYSWKDIEHP TIYVPGLPPKFTPPTLPVQLQRDKLTRSKPRSPAAAVDPLFESLLQQHPNFDMSSIHL VTDRNSLRKLLTFASNRSEKWRIDVDMINNTMFFNQWEEFRLMMINGHQDSGYGHAFE NCVTAREPTLEDSIHHERIVRYELGGLECLVRFEADAYLNADGSREHLAIDPPAPKPS RPVLRPPYKLVHVVSRGHYVDPDLIAEIKSCSTLNFNINKTLDQLWFSQTKHLCVGRH KEGLVTEKLEMKDMREELKKWEASNQEHLINMIRVIREIREIAKTTRKCTVICTMIDG VKCLSVFNRKGADMAIRPEVVKRCWRTLGEA LY89DRAFT_738140 MTASVTVQNMPPSAQNRLGMRLGCYVLFALATIAILIRAYVRLF VSRKIGLDDLLILTAWIAETVAIVAVKEQFESGIGFHVADIMSLPNAPDVLTKMVLWP WVAQITYFFGLGCIKASIVALYLRLAVNPFQRKILWVALIFVFCQGLSSAITVAAFLC SPISIVWTGSHGGFGGPACVNILAFNYYNAALFIATDLALALAPIAVLKHLQMDKKKK ASLAVMFSLGLLAIGGTISRQVTNAIAIINTADFTWYWAPAELCSVLESSLGIIFVCV PAMAPLFKGVFGNIGSSGGKYNKYNDGENLNSDRPSTFGKLGGRPRLRPDDESILCQT QITTVDPKERDAHVEAYEMDLRDSGDASSERRIITPPKESQVKVEVEYGVKEYSSRRA SRVTVK LY89DRAFT_756765 MAAPYASAEEIDKLVENVNVASKAFKAESGRGTEDARRKLQVEA TKLLYSLQEPNTEVWPRIFQVNQSAAIEVITQMGLWSKFEDGKILLLKDIISTTGADE VMIIRIFRQLVAANVLSDVPGPGYKITPLGAPYLNPDHAAFSSFVFTEILPSILSLPR NLSTRNYKAPSKDSGSPYKWANGEELWTYLGSHPERALNMVNGMRSLHTGSLETNAYP FAEELAKMDIKDGEVAIVDVAGGQGHIMAEVRRTCPEIKGKFIVQDLASTFEAVAAPP EGIEYMAYDMFTPQPVKGAHVYHYRHIFHDWSDGDCSSFLQQLVPLLKEQPGSKLLLV DLVLPNTDVSMQECVRDLSMFPIGGLERNEGQWRELLEKNRLKIKKIWRGTEPEACVE CEVL LY89DRAFT_738142 MSDMTSSETGSQMSTLDRNNVKWEVNKNEIRFMYMDQDKNLTAV MTHIESVHGFFASARKWKMKLKEWGFEKNIPAKEIGIMAKIAEKRKREEGKETSFERG GLLVPQNKLDAFKKRKRDDAITTQMQEPETPPNITYHTPRVIEEMSEVSNSTGIPDEP GEQTIESSILSGVSSSSGISESLVEAAQSITIPGTPQRFSANGSQEMCLDQELSDISK VLQH LY89DRAFT_206162 MAITSITTVHDGSDKDNTLHGDNLAVRHGTPSLAAVHFNMGESS IPLDPPTFERPESSDIIEGSSKGHLSIENHEDTQHSILEAQLGAYVDPSELLLKMVVE RNEQVHTSAEIEPGQHNAAFRALRRSKACASLSGDAPKSPQAISALDRARQLTLTGEP SEVARLYLKAIYETVAQGHSSNDEWNECLTNLSALLRHPTLNKRETRIMMNSEFKLME TQSLQPASAFLIKQSFPDRLRCVWKTFEDHSPSGRCRWSEPANDVAEWIIQAFSKARR WRARLELAKVLSAEASDKDGQGEHQLLLSLCESLIQWKGLCDEQDHACREHIAKIFSE QAIVKYLTKVLPRLDILHKGPAAALHPWRPTYLIASLACHCFSKGGLGQRPSKQIQEI PR LY89DRAFT_623511 MTNNEHPEEHTTEQTPLLVDGPADDSHHLESSNLIRFRNAIGIN VQSASSNPHELENARRKPSGLYREIIGIQRSRTRQYYIFEYFYYGALIVQILIGAILA ALGSLSNVHPTVITTFGVLNTAIAGILALMKGQGLPDRLRKDAYEMKKVQDFIEETDI RLAIEEDVHPGELNDIVQKVFDMYNTARDTAEMNHPTNYAHQVDGPENTGRGTTQSVG HDGTEDDSMGIKSVNRMATNVTTGSKGKTKFVIE LY89DRAFT_738145 MILQLLAEAQSLPRVRLRIFLTSRPEIPVRYRLGQIPDTEHQDF VLHSISPAIVDHDISLFLEYNLGLIGRELWLHDGWPGKEIVMRMVHNASGLFIWAATA CRYIRKGKRYAAKRLDTILHNSASTPIGPEKRLDEIYTTVLQQSVVQNTRTRRKENRI KCYDKSLEMLRVTKEDVNLSLLDLHSVLDIPKDQTCPIRLHHPSFRDFLLNEDRCKDP NFWVDEKQAHQTLADSCIHLMSQKLKQDVCELQAPGSQAGQVESSWVESCLPPEVQYA CLYWAHLLHWLEALGWMGKASEGIQAILSLEDYVLVKDGPNLHAFIYDAKRFALYNRS VIEQVPLQLYCSALVFAPENSIIRKKFKTCIPDWIQQEPKVQARWNAALQTLEGHTSW VTSVAFSPDGKQVVSGSLDKTVRLWDAAIGVPLQTLEGHSSWVNSVSFSPDGKQVVSG LSDRTVRLWDAATGAPLQTLEGHTDSVTSVAFSSDSKQVPILRVSNHWVVEGDTNILW LPPDYRKTCSATWNGSLVIGHSSGRISFFAFKKGVKLVM LY89DRAFT_206271 MRLLQYNNDGELSLTKDFIGDEIPKYTVLSHTWGADTEEVTYRD LINSTGKSKVGYEKIRFCGGQARRDDLHNFWVDTCCINKSSDRELSEAINSMFRWYRK AAKCYVYLTDVSTNDQIDLSLQPWEAAFGNSRWFTRGWTLQELIAPLSVEFFYSNGNR LGDKKSLEGQLHKITGIPVSALQSSPLSEFSFDERIS LY89DRAFT_722001 MRFSTGLLVVGIAMTADAQTQYTSTGVAAVAAAAATAKTLSPVS SIRGNQFDRFINIWLENTDIDMAAGDPSLQWVAKQGITLNNYNAITHPSQPNYVASVG GDTHWVWEDWMFRIDSDVRTIVDLLEDGGVSWGLYQEDMPYSGFEGNWVNHKTGANDY VRKHNPLMSYDSVTSNEDRLAKSKNFTMFYRDLEADTLPQWMFITPNMTNDGHDSSVT VAGAWTKRFLEPLLSNPTFMQNTLIMLTFDETECYICRNRVFSVLLGDVIPSSLRGTM NDTSFNHYSIMSTVEHNWDLGNLGLNDEKAPIMFNK LY89DRAFT_785737 MGFYGLMPADKLSAVYNSKTKILTLTGSGKHAQESTTSIGFTQQ TLYGGMLFDFGGWTGPLIDPPTYFDYTRSSHIPMVLPNPAYPTNGLTIKTSNHPEGIS VEIVFKGTPDEAAPAPAATSGTDEPGHTVQYGPFAAYDSLPFAVTQVISGPVFIKYDE TLLNLKSAMVANTPHPPGVRVPETTSIIWTFVPIVNSGKTEVVVTYEVGSETVVAVWS YDIYKP LY89DRAFT_688096 MTQDPKAGTKHRRTVISRSRNGCITCKHRKVRCDETTPSCKRCE KFGIKCDGYSKPCPKAPTTAGPKPLLPKGHFNSRTQPLSSALFRQLFRNEQEYRYFNI FCTQTAPRISGHFSTKLWQTLILQTSQAEALIRHAVIALGALINKPTSSSPSLSRRQF AFHQYHLSISLLRSQMLRPQPTHLRTTLLACLLFAIFETYNGYISSATTQIYSGVRLL SDWQSTQIHLTTKISSIKSPRPLVIEDELIHAFSELETEAMCRHDERSAELHEAYRYY GQMSIDTMPASFQDLKEARAYLILIGQRALHLTAWMKQKSNGALGLRSPEVFQMRKET EEAAMRMGMEYDRWERAFEKLWRGGVGVEKGVLALRIQLLTAYAWRNAMQVGEGVFYG DNTELLTEIVELAKRVRDNSEGEPDGGFGFEGGLITGLRTVGFVFRHRRLKREAMKLL LERPWKEGLWDSYVVGKALEWLIDLEDEGVDDDVEHVPEEKVLKDFTMSQDEAGRRTV LSGLQVVQGPDGEEMRRRELVILWLV LY89DRAFT_785739 MSLTAATSLAERGISTSAKIGKRGQTWCGCRNGMDHSTCDQAND DLRNQLGSGPNINGGQAYYSIKSPSVAFVCNKDQYSAQVFGGSVPTGSQHVTQACGLY VAGTWRIDGLPAMDYRYMDWNNGLDFCAHAEGSGSHSC LY89DRAFT_688097 MRPDSAKPVASYVQLAMYRGWQRYIALTAIVVFIVYFGFLLRQD SLNPITPDLSPSQFEHPALKVSNHPIDHLIKKARFGFKQLLGKRSVTLEQAASRYRER RGRHPPPGFDIWFKAAQKKDAIVVEEFFDRIHHDINPFWALDPHDMRVQTHTQPQVIH VRSGKASWVTDDDKRPPWIQLWTALVEEMLPHLPDLDMVVNVMDETRILVPWEKIDSY VATEEKMRKLIHPDDAISQYSGLSDVDAANEPYEPNWIHSETNTYWDHTRAACPPDSI ARNISSLASFNDSIDYPTGPMEYTYKGFVQNFTASQDACRQPHLRGMHGTFIESVSMS TLHELLPMFGGSKLPQNNEILIPGAMYLTDDPFYSGGRTHGVKWAAKKDALVWRGAAS GGRNKVDNWWHFHRHRWVQMMNGTTVSAMEAGDESRGPSFKLIPPETYSVLKKQKGKL GQWLSSFADVGFNILECFPRVPSGKGYLKTCEYTDPFMEVMQSVPMKTQYKYKYLPDV DGNSFSARWRGFLLSTSMPLKATIYAEWHDDRMIPWVHFVPFDSSYMDIYGIMDYFLN GHDAEAQRIAEEGRVWAESVYRRDDMMLYVWRLLLEYARVVDDNRERLAFVEDLR LY89DRAFT_738152 MGLGEDFKDLENDATGQGGNDGDNNANNANGSNDKTEDTMVDSA LDQFASKEGMPAGLDPEVNNLVNDEVNKF LY89DRAFT_206351 MEYKQSHQNITPRLIIHGGAGNILPSNLPPSKYTKYHDALLTIL AESHRFLNSPSSFPLSTASYTSAIDAATFAVSLLEDHDLFNSGHGAVFTRDGVNELEA SIMVSKGKKKRGVGVMGLQHVKNPIKFVREMLLHGENDLEGGNGEHRGPMLFEQDSST LSSGAQGHSQLHKYSAEKLAEKWGMEMVDPSYFFVQARWDEHIKGLENEKTFGHATWH EEHFFPQGTCGAVALDTEGVICCATSTGGMTNKLTGRIGDTPTIGAGFWAEEWEEDIS SITETLMNQQGPALVISETLKGMIADCFPSPTTYSPLPAVDFDPRTLAEGDRVFRSTG MSGTGNGDSFLRINAVRTASAIARYRGAKSNAKTSLQEAITEISGPGGDLVKSAGSRW RKTGEGEGGIIGIELTVVTDENGNKKSAVSNVVDDYNCGGMFRATVDKYGKAVMRVWK PGQHSGLEKYDGEGRQYDLSEWLDAKTL LY89DRAFT_206348 MAQAPLTFGVEFEIYVGHLKAGQRAPPGTNPRYTMAFTPSDAAV QELADEYVAKGTRPTPVESDDDSQNLVGQAARIHISEALSVAGFPAYCSDTIDPARSS THWQVCTDATVDGRYAGNPDDVLDAPFTWAGVEIVSPVLPFTPESLEQVSQVCRFIRS NYRYKNNNDTALHVHVGDGNTVFPVEVVASLAAFFYAFEPQFNTLHPIHRIDSTFAEG MRTHSGIAERDFKKEATRSLPIIGVYELREPLTIQDITDGVSAPDNKRYMYVNWQNLA RVAGGAVIGSVTNDEKPVKPTIEFRQHEGTLNPVRAIMWIRTVVGVVDAVRKLPAQES TDLVTICSREKWQKCGDGRDAEREARHGPIVAESTFTVVDLLRHLGLNEEANYYQDKM FQHDYPERCQPHGFVWLDGPDGAVRYQLDGTPVDGDQVAPFYTKWEYETTLTRGTEEY EAQHRLRLIWEEAVQSVDLLRWMDPDSPLIDLRSPHWPARTYQTVLPTPVGSPSSSND SGASI LY89DRAFT_709610 MTFKRVREYITQPYEHPGEGRLVRKLDFFILTFCCLMYFTNYLD RSNLANAYVSGMKEDLGFVGNQYNLINTVFTVGYVVGQIPSNLALYHLKPRIFFPSMM IVWGALTMITASARHPRDIMVIRFFQGIAESSTFVGTHYILGSWYTARELGKRSGIFT SSGLAGTMFGWRWLFIIDGLITIPIAIYGLVLFPDTPSTTKAFYLTSDERALAISRVP EVPERQPWNLAFLKRVFGSWYWYGFVMLWIIAGETESFSSNSLLALWMKSTKRYSVSE LNNYPTGVPAVGIASTLFWATLTDFLGGKRYLVGYWIAITGIVTSAMILNPHAGTASI FGAYYWAGTVYACQATFFAWANDAMRWEEDSLRAVVIASMNCGSNAVNAWWFTKGMYA MIGTSIALAIWTSGLLYLTTRAEKKRLVEGQTQGSDEKTFEVEASGDVKM LY89DRAFT_738156 MPLMETVTIINKSGKVISTGKHLVNIFKEAKEAYQDRKAELKAD HYARVKHRDAQRLIDARDEVRSNGSSRRSHRSHRSHRSRAPKALEDTPEFPKSRPPLT ERNLSHVSEGSVASSRRSRSSHHGSRRPKSPVGYRNPYVETGTELAPVPGITRRHTDM PIGPTDSALSRGTMPPPYQSQLTARTPVRSQSNPDFHDKDIDMNLAYGKLPPDLQPGH SERIAKEEELKNTMSRLDTLLVEAQCLQHSATAIISTLQANPEAMAAVALTLAELSNL LTHMSPSILGMLKASSPVIFGLLASPQFLIAGGVALGVTIVMFGGYKIIKRLQADNEA KKEANKMEEALVYDNIEMGSIESWRRGIAEVEAQSVSTSVDGEFITPEAARQKKERIK ERRKEERARSVAGETVRTERKSRRAGSDDGQTVKSDRTIRRIGSDSTIRKRDIPIRTS SRVAESETGRSEKPKSRVAESETGRSRKSRKEKDDIESVVKDVISKEKKKKSLGSLFK RNKGKDDIRESVLSAGPLIEV LY89DRAFT_722008 MSTTTPLVWFITGCSSGIGLHLALHALRHGHHVIATSRSPSPSS PPPSELITSLGGHHLTLDVCSPLPILAEKVNEAMSIYGRIDVLVNNAGYALLGAFECI SDEEARAQMETNFFGPLSLCRLLVPHFRHRRTGTILQISSAAGLEARASRSLYSASKF ALEGFSEALSNEMQPFNVRVLLIEPGAFNSEFADKCVTPAKGWEEVRDEYRGSEMERV MGLVEGMRGGNMRNDVGKGVQAIWDTVMGTGVAEGMEAFLRVPLGRDAAERWEVVGEL RRRTLEGTRRVWESCERDS LY89DRAFT_652832 MATAAVQSMPTRAIPSSQRVEPGSVNLHVADFSNIKVVKPEDID KATDEWVSSFNKVIQSSDFAGLSDLFLPEAYWRDHLCLSWNAHTFKDPESMKEFLKKG CRLKNVAVDRSSDFRRPTITGFDGQGKIQGVQTFLTTESDVGRGIGVARLVQHDGKLK AFTLFTSMRELKNHEEAVFGRRPEGVAHGGRPGRKNWQERRIAEENCDDEDPAVLILG AGQGGLTPAARLKMLGVKTLIIDRNERVGDNWRQRYHQLVLHDPVWYDHMPYINFPPH WPIFTPKDKLAEFFESYAKLLELNVWMRTTIKDSKWDEAKKQWTVTLEREKEPGVVET RVIHPKHIIQATGHSGEKNFPSHIPGIKNFKGDRLCHSSEFTGAKMNGNGKKVLVVGC CNSGHDISQDFYEKGYDVTMVQRSSTCVISSEAILKIGLAGLYDEQGPPVEDADLAFW SIPSPVLKNIHQDVTAIQNKHDAKLLEGLEKAGFKLDEGPDGAGLFMKYFQRGGGYYI DVGASQLIIDGKIKMKQGQEIAEILPNGMKFADGSELEADEIIFATGYKNMRTQARTI FGDELADKVTDVWGFDEEGEIRTIWRKTGHPGFWFFGGNLALCRYWSRMIALQIKALE EGICEYSDR LY89DRAFT_756790 MLIESSTSMKYTTTLLVVLILITTSICRPSLPSTSSLELALEPN TTVETRQTGRWQTWPSVNYEPSFGPPYCYKNNPEKANQCILTNRMPEGNPDWTEFYLY DHNCVPIGGNDNVPYRWLHYNFDSELPWVMGFKEVLGGYNMGWGFSNRWYDVQHFDQA PYCYNTGGSKELLPACQMYWGCSH LY89DRAFT_536641 LELLHFFTTTTSLTLSNLPEQQRLWQQVVPKIAFSHAFLLRSIL ALSAIHLGRLYVERRSTLCPVATLHHTAGVILFRTAMPSITPENCDACFAFSTLLVIY AWSSSCQTGDLFFVDSSKTDGGTVEWVSLLRGCHNLLQLACTWLKNGPLRSLLLLQIE ESWSAVPDPDSNSKFRSLAALWDKSRTHLKAEEADALDEALTFLIEVHAFLSLRDQAI DEVGTTLSWPIRVRDSYIAMVSQQKPEALVVLAHYCLLLNKIDHFWFMAGMSRCLLQT VHRTLGAEWESWIAWPLQ LY89DRAFT_722011 MVDPLSIASSVVSLSSGCLLTVKRLIEVAGKFKDAPKLIHSLSS EAKVIAISLSQLHNIFVSDEHSILSQALLTPDIRTALDIALTGCTVTLSCIEDETRSL AAKLESDQKIKFADRAKVVWKDDRFKELLQQLHRQHNVIAILQQGFQMKILADIVPHL WSHHPAFTRVAADTESLRGLYPQMKGAKSFLESTEEGGGEEATFSIVSERQFEFDDIV TNSQAYRRVVVAATQTLRATHSRIVSAEGVSKDTSDGQANNSDSKVLDSNSANQSRKL GGSRWTNTVEENLIQKGYFLPPSTIDTSNFVQQLRGYVTHVDDIEKKLRDAGEESSAL REMLKQKGSAIQDLEWDVQQQFGERKKISGTLCQTLYDMKKVEADCWKWKEKYTLVQL EVLGLKAKVDEIKENFVQQRKEWGQKRQELEEKLLKLGSERDEFKSNWLFESEKVEKL SKEMEEDADMARKALENKIWEGKTLQIGSTLLIQLQPPISLQKASNGSRLLQAFKSPE APEGRVTRWNNSQKRWLFVEICTDKSQFKKPTASAVRPPRRPSSPALEPESAEETLSP VTSDFDSAVTSDSMDW LY89DRAFT_623534 MLCNCYISFLGLIAALSFVRAGIASSHSNLELRNVGLSSEVGLR TPTAKFKRDTVIKNSTTLDKSWNGATLFSLQLKASGESKRNTDVTAGINIVCTTCYIK GTATAQLTISDNFNLTQAFESFKSAVEYDIGTVTNVTVNDFETYFDNLESDITSLNFA KFESDLTFPTANNTDFDLEIPDIPQATLLFQFDGLELYMDIDTTLSDEATYTVNIYKS KTALGVSAGEESLGIIFSIDLILSVDSSIDISSGFHIKLNDGVAIDLALFSQNVSSIT MNGGNFEFLPVTIESAGVQLTALLKVGIQAGLNVAAPPFKLDGVKFDTFSAGAAVGVY MNVAEFITNVTANPAGNVTGCEVLVEEVYSMAIGAQAGATLALNDYSWGPTPNTSTAV FYTTLASRCALSSATSAALTARAAQATGLTTTTLTTSDTYTATQCLSTGLLNCPISMQ TTSKQTVTSTLVTSVPSGSTATFPTTIQNSVANTIAFGTNVKALTSTSGTPTSFNPTS TPTGITGVIDGKIGGVSNKLIIGVSVGIGVPFLVGVIAGCFFFAGRRRSETRGNVSYA DAQGLSTSEPYTPSQMSVKKMPNVVVSENLR LY89DRAFT_709614 MNLLLNSVKGLPFLIGCVIASASYPAIPSDLTTPVQQRLAINGA NAISVGWNTYEQLSNPCVQYGTSSDALTLEACSTTSVTYPTSRTWSNAVVLTGLTPAT TYYYKIVSTNSSIEHFFSPRVAGDKTAFKMSAVIDLGVYGADGYTISMDATKRDTIPT IQPALNHTTIGRLADNINDYELVVHPGDFAYADDWYEKPKNLFDGVNAYEAILEQFYD QLAPIAGRKAYMASPGNHEADCEEIDYTTFLCPTGQKNFTDFMNRFGNTMPTAFASTS SNSTAMINANKAQQLAKPPFWYSFEYGMVHVTMFDTETDFTDAPDGPDGSAGLDSGPF GAPNQQLQFLEADLASVDRTVTPWLIVGGHRPWYSTGGSSNVCGPCQTAFEPLLYKYG VDLAIFGHVHNSQRFMPVNNSVADPAGLNNPKAPMYIVAGGAGNIEGLSSVGSNLTFN AFAYATDFSYATVSLQDANHLQVDFIRSATGEVLDSSVLYKEHTEQFVVQ LY89DRAFT_738164 MNHLCVCSILHDRCHHRLPCYTGPAISPYQSPRRASPFSSSNRA LIEDAEQVEIVNSAEHGEKLEPINIGDDDNHDGDDDYDGDSSLKNNHSSLADSPYQEP YSGYLPDWVSPGKRADIPLNIRVGVSFTRLRQASGSGCWLCATIFDGIRLKAESNALF PWHPVSEDNVMVRATAYGIFKVIWTSLQPGDLSFRALEISTEIFAANARDFREECRAI PYLLELRYPTSSTESFDFLKMNLERCTTQHNVCKVGKEMVLPLRILSIEYKAAKYSVN LQENKNPEHGEYLCLSHCWGVNNTALKTMKASLQAMKSNIPWKSLPKTFRDAIVITRK LGFRYLWIDSLCIIQDSVRDWELQSSRMGDIYNGAFATIFALYGNDSDSGCFSGQIEH HWSKVIFRRCPGDSAARSVSDGL LY89DRAFT_738165 MLQNFPLVTRAWAFQEKILSRRKIYFTNAEVDWECSQDIQCFCG GIRNASREPATAFEMIQNSFTGEDLKHRERYKWMGIIERYSSRFLTKETDRLPALSAL AQKFRTASELGSYLAGNWTQGLVASLKWTASSGKTPTSDYIAPSWSWASAPGGVTFVK EINPKYAVSGAHVWARLIEATCIPASTDPMGAVSRGHIIVVGPVIAMKLTCDQEDLDY SQSDRQLVPWYLVKVNGVYSGERELTFLDAKMTLDETMKMKDKEVLALMIDRMFSLIL QRSNTNDEAFERIGVMSHGSKYRSGVEIPMNHSIDKWFEDARQKILEIV LY89DRAFT_738166 MSFCGRKSPIYVSLVPFLSNGTSFTNTKANEHDMSIINIRGRER DFDLDRNGFTYVSYASQERPPDTIKGPDDPYVKEMAAFLENHLGARSVTVYDGSTRKI SSPEFLQASTYAHIGILVTMIELFVVWNNVVGVHYLGSAGQLIPFITGVAGFVRVESL YTDLHGSVPNLRGVDRVVFIAGGSGGSFTAGFAVDLLRNLGDDSDTTIEFIWVIRHAE MLTWYESQLAELSSSPRVKLYRHVTHHPSTSKSSIDGGSQSPPEKAPVPLANEMVNNF PTSPTSFYDLEKILPSAQDLPSSYERYSLLPGRPNVSGLIGSYLVNAQKSERLAVVAC GPSALMLDMRKTVADNISVEGPALELHGESFGW LY89DRAFT_722015 MPYHTALDWHTWLGVEGHTIIAREDLLDVVSQLPPVSDLRGGFH YNNYAYAVVGKVIDQQTGFPWYEFLRARVFEPLGMKRTTTNRKKLPDENLAEPHVVLD DRSIIKPVDVSDDTVMGAAGGVRSSVTDMMKWAKALLDGIHNDTNYSEEKLSSPLKQV STIVAHRNLITTSSVNENTYALGFARAMTPSTELWMISLNSPLRENVMGRASPSRLVL YHNGGQSGYLSAFYLSPETRSAIVALGNSYGLGDGPDWTCQAIMQAMFDLEPKVDFAE ASRRRAQFEFERYERLASDYARHREEASHRKYPRLDDFVGRFENKSLKMSLDIRLPDA ENKGPKEEYLILIFNDRNSQHHRLQHYSNDTLGFLPISREELIVREMIDWFDWDQFVL TFRRDESGKVKSVDWALQVGLPPVNFQLLE LY89DRAFT_709615 MAASANNKIWEKALLEYQSKLSQIAVSLSTKVRQQSPSSANNST PNATIEGIKRLTKLLAIFTTSIPEVSNVVWISVSLIHEVRTASLINIQRSIVNSETRA LFLQAIEDISSSIPQFNDYEEVFPDMADVETAMIQFYCKVIDLFLAILDRIKGCSSWT HHIGLLPAPIAINRALKDIETQKEVVQKRVIAANAILQNKRHKEIHFLLSQMAIQARP TSQLPCRDIPYSANSHFHGRQEILDKIKAHLVVSRNERSSFAISGLGGVGKTQIALKY IYDHLEQFPALEPEDSQKDVDAVTTVLKTWLGETKDHWLIVCDNADDLSVLKPFWPPG NQGCIIITSRDPASARVASAGIHVPPFSPLEGETCFVSLLTSRAEAGFTDPHDPALIR RIVKELGYLPLAIVHVSSFIVEHDCTLEEFEDLYAASQQSNQGLWDLEVSTTNLFYEH SLATVWQVSITRLSTNCLKLLRILSYFDPDGVPEVLLWEGAKESDVLSFLRRGLPYFN ALHELISRGLNQLFGDALEVLLGAWPVNNDNPFRMNALWPQCSLYLPRVLALETRCRD SPYIKPRVGVIRLFFYASWYLFERRMSELTFPLLQTARGICARNGDSDPFFAKLLTAY GCVCLECDQVPHAAEKFSQVVDCYRKRAEPNDWLLATALSDLGCARTDLGDYARSEQL FSEALRVANAIPGPAGKDWQVHVGHNLSRLLIMMGRPEEALRLQFLQGDEFAGGLIQE DSQRGALFLYGIGNTYLALAQKKGIEGAEERRMAFQYHTRTLKVRTQLCGDHYITGTS LHKVGVLLHEAGDHQSAGNVLQHAITIFDESFNADRELARSLFHFSLVKSDLGEAMEA SRLLTEAWQYQERITGTKRNPDIEKDYSLFDKLVLYVHN LY89DRAFT_785756 MATNDETTRIRSQIEAQESLISSICRIGGAPSLSLGVIHGGKEI YSNHFGHRDIQAGEASDGNTTYFVGSITKAMVSALTGIYVEKALLDWTTPVSHILPEL VGKMDGRGGIDTWSAQPLVRDFRTDYLYNNFGYEITCRVIEKVTGKTLGTNLKEQIYE PLGMSRTSLNEAFPSDSNFAKAYFALDDGSPFEFPIPTISDKTFMSGAGSVRSCTNDM MLFYKNFMHATNDHCLTFFDRTTNLPPISLREQSCALGWARAELPGTLGVFNYNKYLV PAMPVIGQAAASRLVVYHGGSMQGFTSAVYLLPETETAIFALQNLTGLCDPCDWMPQL LIETIFGAPNIRVDFEHLAKIAAKTGAGLADRIQSQLEQALKSFYISVFIQEDGGLYM CFQDVQNETYRLRHYHYDVFIWNESHNETAKRGRFQTRPVVSYEIGFESAGQTEDGRI DRLRWIFDETHPTPGTFIRETDVHGRI LY89DRAFT_593083 MYTGVCAPVTIIYARGTAESGNVGFLAGPPFFDTLRALIGTNNV AVQGVDYAADTAGFFEGGDIPGSELMATLISAVLTACPSTKLCISGYSQGSQVVHKAA TLLPAATMAKVDSAVLFGDPYYGSAVQGVPTYKTLVLCHTGDDICAHGDLVLGAHMDY AQDALTAAEFVVQQLYVP LY89DRAFT_785758 MHLGYNVEPESFSQYECGGEQVLSPVDLDLYQEIQQPTMINWET PVKHMMFDECWLWPDTPVSVSSCDSSSPRDNQQPMAIWEESARAATISRHGRDLHQDP ILFPWEDLLLDFETTSSSRRPGGRQSERRKQQNRASQRAFRERQRTHVQDLEDRLADL TKRHRELERAHQHLNQKYQSLAKRFESNEEVVDSEVSL LY89DRAFT_738173 MTKQVPDTRTLSEPLMGNPLPPPTDTNTSPVTSSVYRDSCPKPN PESGPFVKSGGFFGPTNFSAVFQEHSSNLGDEDIQITAESEAFGASYESIQSQTFLML PGNELRGSPRVALGTKVLGALPDQQTCRFLLSWYQEKCRGYCSPKESHVALANSLWIT YTRQLAEPRRLESLEELSSILCKNGESGLEEFEDWDGWFISCSGANLRWETLGGVFGA LTSAVLSLPERDPFFSTQLGKRRNRKEFSIELKDCVQTCVTLSSYTDLMNVPMVELLL TNLRLQTVISGDISLVVWRQLGDLVSAVTALGLHRLSPGGPITFLSEIKKRIFNRTFY FSMSNSLLTGRPPHLSHRFCHIELALDVSDEVLMKGEQELQTAIAALDSNGWNREGNI DLMARIEQTYDSFPDYLKFKLADVSNPEIPDRKLWTRLTLRLMMLEHRLLIERLAFKQ NILDGQSMVDCAREMLDLSVLIWVQRDRFIEHHHNYDWMLMCRGIPASGVLCVELLKQ TKQPQGTRMLHIPRSEVVQNLSLLIGFLEWVKPSAGNYQLCARIKHIIKKILDQILNP NQPSSAPPASQQLATEWNGFDDSMPYDPMVDVGFDNGIDDLDWLNTVDWSRGPWVDLQ DFSGTMWD LY89DRAFT_593203 MSSKEIVVISCASGKQATPLIYFLAKNPYRLRLVVHSTSSQQRL QKSYPEADVRTAELTNPSVCQEIIKDANIVYHIGPSLHPHETAIGCNMIDAAKSTPSV KHFIYSSVLNSQLRKLMNHDCKRYVEEYLMESELPYTILCPTHFMDVLPIPMFLKQME ENREGALVFPAMWNPDIKFSFLSLQDFAEAAFTVIKGQEKHFRAKYDIVSTKPLSYNE VLKKVGDVLGREIKAEQKPYGTSVSMFGARVFGGKIDGADPAMVDGLERLLLYYNRHG LQGNPNVLEWLIGRKPTSHEEWAKGVLGELKKA LY89DRAFT_738175 MAHVWLDNIEVLIDAIQMVEAEKETIEKYKQEHATSMKQLGVRM KLIKASAEVFDQLEPNMFEDWQDLAFRMNGFVNTFNDIIVKNSSDRMAFHARNQMADI ELEVLRRGHLLKKNKLDLDRMHAAEAEAEALSEENKNLRKSTFNEAIREGAARMRTQR DLRLHKSQGV LY89DRAFT_673370 MSLKTDVKGAPLLHEYSGTHFERLAVFMAYHDVLPPLEKSQMDP QVFVAIVAEHHSSRAHRLPLMRKLRIVQALCKLCVNGHLSAESSLRKYCSDVTTAAIL SREYIAKFPQAFSPPGANLPVPPPLLPPPQDPGSSWTSAAQILGVKSTPRPHQDHTKR HFTSRRVGGMFFRLCVSAKPRTAQRTWYRLCTARRTQSVVCRNRSATHSIGLAQGGIG KGRWIFETRRPVSQRRKEQASPQAYYTQNSWDPSLLSPPPPSTTYHDSRNDTRRCRVA WAFYNLKVFQLLRWIFVNIVNIIGKLGGSAVETLLYEDLLGFVEKIKEARKQQRLRT LY89DRAFT_738177 MSDPSSNAPQRTDLVLPDVDVAQLKSLFPKNMFDQMQADYQREL EPSHDRNRLMPLYTERQRRMLEALKKGGIDALAKTIYLFAAIDHARLSPPDDATTSLR EDRGRDNIDRPGVLEMAQKLIDDEEGDMQLLKLLHTSHKDVLEAIILGHVGFRWKNNP EYLAYKIRGPVLPDSAAGEVLTTAIDMDMATIDRMKDRDEVEKRCRSGERVLSFARVD RWIDMLSHRIDDELDPVGDDRQVQAPLYVGCSQHIGSQLMSHDPLLGMGSSAVGLRFL FSCIMASGIKLEIIRLPIWKIWDSAQLPIKKIGLTYLAGSMVFDGGINSIAPGGTYMD DDPLKFELTTYQIFGQNDWTYQNLKESKERRIRMHEALDLFEETRANPNLIKDNLEDL SKEFNQFINYCETVKFGLSSNEQAMRRRLKEMEEERDELLETLADQQLQLKFHKGFND TLRENGFGDLV LY89DRAFT_785761 METTFVSVLQQHSVISAGCFILLFYVARTIYSVYFGPLAKFPGS KLAAATLWYEFYYDVILMGCYTFKIQEWHKEYGPIIRISPYELHIDEPEYYEELYSHH KPRDKYPFYVNQFELPGSTFGTVSHRLHRRRRAALNPFFSKQQINRLQPMLTHMISKL CSRIDEFEESGQPMGMRPVYMCLTTDIVTLYALNQSWGYLDSEDFAPLWVETIKAVTV AGAVIKQFPWVLPLMRILPRGVVRVMDPGMIMLLEWQEKIQANTQAVIDGRYKTTQEG TELGLDKTIFHALLESDLPPEDKLHSRLWQEGQVVVGAGADTTANALTVTHFHILDNP DVQKRLRDELVAALPDKSASVELRVVEQLPYLNAVIQEGFRLSYGVSTRLQRIHPKES MRFQDYEIPAGTPVGMTSVLMHTNPTIFPDPHVFRPERWLEKRPEGAPPLDRYLVNFS KGSRQCVGINLAKAEMYLTLATVFRRYEGQKLFETTRRDVDLKHDLFLPSAELDSKGV RVVFS LY89DRAFT_623543 MRFSILCGLAAFITSAIACDSCYGPVDEVIHERLVRRMQPEAQA ATTSPKGPLEWGQLNFLHTTDTHGWLEGHLKEQNYGADWGDFVSFSRHMVQKAGNLAV DLLLIDTGDLHDGAGLSDAAPPNGVVSNPIFDNINYDLLTIGNHELYVTEIAYEHFYN FSRVWGDKYLTSNVQIINPATGNFEYIGKQYRYFTTDHGLRIMAFGVLYNFGGNSNVS KVIKAADMVKQQWFIDAVNYTEPIDLFLVIGHNPIRRTDSSSTFGLLHDTIRAMRPTV PIQAFGGHSHIRDFQVYDDMSTGLESGRYCETLGWLSMSGIQSDTYTGLMNPRGVPNP TRKATNTSTTGLVYSRRYLDWNRLTFEYHATNSQDSTFDYHSGLRVTTDITDARKALN LSALYGCAPATYCQSCQPFLASSNIFSLVQTALGAIVVNASRATIPRYVIVNTGSIRF NLVKGPFTYDDSFIVSPFTDAFQYIPNVPYALAQNVINSLNGATLNDKREFPGVMPQV KYSCIDPTISLISGYTGELKSHGVTRRQTIVTPGYTTTDDFGTDGDDTPHSKIPSYSQ PNYVAGNASFPTDGTTPATVDVVFLDYFASTVVSVLNGLGGTYTTADVSYYLPPSFTT QTYLPLYAQQYWQANVPNCPVGQGVGYPNGK LY89DRAFT_722023 MWALDLARCISFAQHQLPYVARKSFSRYSNILIFTGQGAQWHGM ARQLLDYPVFLNSIDFSEKLFCSLGSEWSLKDELRRDKPTSNLDKPEISQPICTALQV ALVELLGSWNVHPTAVVGHSSGEIAAAYCAGALSREAALTIAYYRGIHCALLLSSSLQ CGAMLAVGLSEAAIAPYFARVPIEFGQIAVACINSPHSVTLSGSEHGIDFVHGILEQD KIFCRKPRVNLAYHSSSMVHVVPGYLASLQNVPFQQPPLVSKTSRASMFSTVSGEVVQ TEYLGRAEYWTHSLTSKVKFSDAVEKMLLGEFESSTELHDWAEVIMIEIGPSAALSRY TKEILAELDLNKASYDTALMKGRSASQTTMELAGRLHYRGYGVDLVAVNASTSEAKSK MLVNLPEYQFNHSKQYWHESRLSKGFRFRRHARHNLLRTPSSDVNALEPQWRNIIRIH ENKWVLEHKFNGSHIYPAAGMIVMAVEAVRQVSDPLLVLTGYRLKDVKFVKALVISQS SESVETQIVLRSRSDSIGPKLRGYEFQIFMCSRDEWSEICRGSVRAEYDHVDGEKHDI ERFKSSFDAISNSCTVAVDSKQLYHNLETYGFCFGPSFQTLEAVRYSKTGKATASISL QKSACQETQDHVIHPTALDGLFHLTAVAVSQGGSVAIPTMVPTQLRELWISNSLVTGI AEDRLEVCSSLTFKGYREGEFDLLAANPYDNEPRIIVKAYRGTAITGLDIPAPSDNLD MMDKEQISRYCEAKGDGSGTQITDQIDELELACLYFMKETIHSLSEYKVNEANSYLQR YLAWMQYQLERVDTRLISEGNPLFLAGVEHTNEYLRVLENSGPEGRLYITVGSNLMSI LQGDVDPLDLLFHGSFAHDFYHSDAFAANYMKLAAYIDLLAYANPNLSVIEVGAGTGA ATRQIMKVLSSETGTPRYREYTFTDISLGFFEEARQTFHTQTDWMTFKVLDIEKDPLE QNFKEAAYDLVIAAGVLHATANLDVTLRNTRKLLTPHGKLIMFEPCNLTCLRVPFVFG LLPGWWRATEENRNMGPLLSEDDWHKTLLRNGFTGIDFCLRDSQEPIKHTFSAIFSTA SNDYTAPAGIAKITILVSEHSSLQNDLAQGFDSHISALPGTTCEISHLQDIQSNINHS RFFISLLELEQSVFFDLNDQDFVNFKYMVQRSNKVLWITHGGGEHALRPESDIILGLS RSLRSEKSNLNFVTLALEDISSISTAVNNVMKVVKKTLLPLAESNESEYMERNGLMCL SRITEANDLKFNINSKAMTRKPEMKKFGGNSGQGLEVAIESIGLLDSLCFVEDSEFER PLDANEVEIEVKAAGINFKDVMVALGQVPDTHIGFECAGLVARVGLDAELKVGDRVCC IASGALKTYARADIALVHKILDDMPYQHAAALPVVYCTAYYALFDLAHLKSQESVLIH SGAGGVGQAAIQLARSVYANIFVTVANDEKKALLMDLYDIPEDHFFSSRNTSFCQGIH RMTEGKGVDVVLNSLSGEGLSRSWECVAPFGRFIEIGKMDIMSNEKLPMLPFSKNLTF ASVDLSIMAVHAIPLMAELLRKVLALASAQPAVITAPTPLHVYPISEIEAAFRYLQSG KNTGKTVVEVHEHDVVPVLPRNRPTWRFDCDSSYMISGGLGGLGRSMTRWMASRGAKN IILPSRSGPVSGVLGNRSQSNYAAGNTYQDAIARHRVSLGQKCVSLDLGMILSVGFAA ERVHVTESLKAAGYQGIRESEFLGMLDELCNPSRDLPLLLKFQVITGLTTPQSLKSKG MDEMFWMERPIFRLLHNFERSKISTTANELNDKFDYKTLFRQGGKSIEELGYLVTQSL IMKLAKAVSIPEADIDASKPIYDFGVDSLVAVEIRYWILKEFQTEVAIFNILGSKSIE ELGLLVARKSPFLKESITDHQED LY89DRAFT_688114 MSPPKVTKDLQEFFYGAAESPTVKPVAVGGVWYPSLYSVESDSN KKVILHFHGGAYVLGGARQSECGFGADILVKTHSAMVFCPQYRLACYDNGQFPAALQD ALISYKYLLDQGIPASRIVVSGDSAGGNLALALLRYLSDQNDSHQLPLPSAVLLWGPW LDLSVDPESIDRNKNSATDYITSSLARWGVESFVPLSMSSSHPYISPARNVFSTNCPI FIQVGGGEILHDEIVTFADKMKESRGNRIELHDTPFAPHDILLCGELLGWEKEAEQAA QASRVFLESQGI LY89DRAFT_709623 MRIPLETLQDAEAFMLKLPEADRIRRCSKLYSAEPHLSGDLAHA ERIRDLWISYGISSKLVRYDVLQNTPTCSSLSLYSEDGNVTFVAGLEEPVIPEDPTSS PSNGFRPFHGFSANGKVCAELVYANFGTIEDFRLLAAKGISVKDKIVICKYSKIFRGL KVRAAEKYGAAGVIIYSDPQEDGEWTQKNGHLPYPDGPARHPYSVQRGSVDYFSIAVG DPTTPGYPSLPGKGTERKDPGGAIPKIPSLPISYADALPFLKALNGLGWSPDEIGGSD GDWKGELDGVDYFTGPSKVEVTLESYGHYEYTPIYNVIGTIEGTSDELIVMGNHHDSW SCGAVDPVSGSAAMNELVRGLGRLVEMGWKNERTMPVSFILASWDDEEYGLLGSTEWV EENAKMLSKHCVAYLNVDGATNGGSIFGGTGSPLLGSILRSVATLIPSPTSSNKTAYD DWLASYQLSNPDAKVPHLELMGTGSDYTAFFDHLGIPSMDFRFSGKSSSVFHYHSNYD SFYWMEKFGDPDFKKHTMISQAWGVLAVRLANSKILPFEAAEYASTLEKYASRLSAQD KLKLDTKPLEESISRFKSAAKKLDDLNASVSARLNDDDGPVFELSKDIAKINQKLRSI EPGFIIDEGLPGRKWFKHIVFAPGLWLGYGGVVFPGILEALDSGDEKEAHRWVSKIAA AVDAVTNSL LY89DRAFT_652856 MQIPDIDTEKCLGFLSKLVQIKSYSETSGEIEITDFISQKMKDI SLEADVYPFANGTRQNAIGRWKGKDPSKSKTLLFNGHLDTNPVTEGWTVDPWEGKIDE GFIYGIGVSNMKAGCAAYFCAVEDLKSAGWTPKGDIVLTFVVGELQGGVGTMAAIEQG RMNADYFINCEPSDIRAITKHAEALMFEVDLLGVTRHMSAAEEAADSILAACALIPEL DKMTFSGAKSEDHKRCNRCLVGVVHGALGKKLEEWRPSQVADVCRLAGSARYAPGQTK EGVMRDIEETISRVLSEKCPGVKFELRQRFEPTMPAFEVSADSRIVKSLNKGYHDVRG EEQPTGVLKPTCFYGSDAGHLYKQLGMEGIVCGPGGKYNTRPDEKVDIPDYLDCIRMF MRVIVDICS LY89DRAFT_206691 MGNETDNIEAAPRTQIHTTLDADLKGQTADEGYELFEEAQNEST SYSVEATEAVRRKIDLHLLPLVCILYGLNYVDKVAMGWAVLFNFRDDLGLHGTQYS LY89DRAFT_709625 MFYFGYLAAQYPANYLLQRYKTARILSIAVIAWGALMLAHLGLK NFAGLMVVRFLLGVSESVVSPGFVLYTSMFYTRREQVLRTMLWAAMQGSFSIVASLLS YGLGHITNTALKPWMYIFLVLGLLSILTGLGWLFFMPETPNKAKFLSHEEKIIAVQRV AENMMGVKGYEWKYYQMWHAVKDPKTWLLLAFVFFTQLPNGGLTSFGSLVVSGFGFDS FKTLLIGLPSSVVSAGSMIVWGYFSMKHGNLRTWGMIVPLLPAIAGIGAVYGTEGTGT NKYGRVVAYWLINSYAVTWPFCLTIIGQNISGHTKRATTNIMLFMIFAAANIGGPFFF RSQDAPKYVLAITIILVCFCAALLCGIGLRVYMSMENKKRDRQFGELQTTEEKLEGMR FGMHDKTELENVDFRYVL LY89DRAFT_699701 MDSSPCPMVVESLLDTDLCKITMQCAVFMYYKNLEVTYAFKNRT PGQKLSRAGFQWLEAQIQTLSSLVLSEDELLFLQENCPYLNQSYLNFFKDLHLDPRNQ IRVAFKLEEGSGSMHFDDLGEITLEAYGPWSITILYEVPLLALIKENAYEKGMRLLAA GCVFAEYGMRRRRDAVTQTLVLRGLIRATEEGKRRGLLGRLYGTSNILRAMQFGIPPV GTISHEWIMGIAAISGDYENATKTALTCWLHCYGEGILGIALPDTFGLPTFLRSFQRS VTELEHLDVGLKLNNLRTENEIEEQKSFANVFTGVRHDSGCPATFLKSMREFYDRECI AANEKTMVFSDSLNIDQCLENKRLAEEAGFSLCIFGGKKSLPLNIVFKLSSVMGNSAI KISDSVGKNTGDSATIDMVKRKLGYVERDWKGTDESTRWNK LY89DRAFT_699702 MSVTGMEVAKGTIRVFLNSAVGNDATGRNVLDEIERNGVDISQV QIFDGQKSGTCVVLVETNTGASRFIVFQGATKSWKFHGSGSVNLMAGGSLPDLIIAHL SVPHDELLQVLEAAHEQGIETILDPSPIGRLDPSVFPKITHLVMNESETALLSECGIH DLHDMVSCRVAAEYFLLLGVKNVIITLGAKGAYFATHVGERGAAILFLGTYAVEYVQQ KQKGNWDIKKAVTRACRAAARTIESLGAQESIPWANEIDSE LY89DRAFT_738188 MSIDLVGGSLPAGELPINCLIRESHEESGLSSEVVSKLAKPVGT ISYVTSSDTKTTSGGESGLIRAEVQFIYDMKVGPEIVPMPYDMEASSIDLFTIDEIKN ALDDGEFTPANACLMLDFFIRHGLTTFENEENYTQIISRLHRSSGMQTF LY89DRAFT_738189 MKSYMSLYEDCDRLPEYRESCYKFLIEGFSGTFGYLIPATVQSF SWGKHWEIDHD LY89DRAFT_785768 MAEAIALGASIIAIVQIADRVFGLCKFYVETVHDCPADIRAILI ETSMMKAVLENVGFLVSCNNKQSTMLDNLSGTGGPIQGCQDAITKLEELFPSMYAQAP GKGKRRRQKVKASLAYLMWPMKAEKAKKLVEDLGRLKMTISLALTAESTHDIKHIKNQ TTNIQAILSDSQRHQIYQWLQSTDPTSLHYRACKQYEVGTGNWMLRSAEWKNWLEGKT RCVWISGIPAAGKTVLASHLIENITERCGTFTSKKCASIYYYCYFGHNQEEARPFLRW TISQLCRQAKPVPTCLTKLYDQGREPSLVDLLRVLEGVLEAFDSVYLVIDAIDESMPR DDLLAVLRDLTTDSRFRKIQLLATSRQYIDIEQVMETISAPVSMTNPLLDADIKLYVQ ARLDANSRFRHWPRSLLDDVLEVVSTKAKGMFRRAVCQIHVLERLRPENNIVKKALGN LPKTLDETYERIIFLSVPDEHRMVVLYTLKWIYYHQEVRNESISLPILLQAVERSLCY LSQAGHDYFLDETLLRELCGCLIIVEPAERIFREDYEDINNKHFTINSVSFAHYTIWE FLNSSRIVESSAAFFALREYETKMQLTGTVLHGVLNADATDLWKSPHDHTDREDFDVF IAGDEHLDTYCIAMSVLCLWTLGDDLAKQDHLVSLSFDLLDESKTYVSSFKRVARIWL YPFLNNGAVIIDHFGTSKWRIIPKPKEAAILTNLLLVDQSCQLARRLIEQFSMPEILQ AELSIDEIIVSTSNDESDQRIYMFQGSIFELFAQLENAHTPQFRWLIDVGVRFLDPWP VLYSLICVHSYCWAPRCDNDCPLSQIIKLTLNVNSSKHAYTTSGADPNGLATNGGSKW EEGTVLAQYNDIGGLSPLELSSHEDWSEIRELLLRYGAVANVEGDNNLDNTDSEDV LY89DRAFT_738191 MSQNAESSTSTEDWRLPRGSWDTHVHVFDPKSYPYSPKRAYSPR EASFQQLTSFGESLTTDNSVPNMVLVLPSPYGNLNESILDLLRKGESGGRLRGIVVLE KEQMVKESLLEMDKLGVRGVRLNMVSSGGSVTGEALRKAMTETASLIKDAGLGSKWWV QLFIPGHFWDELADTVKILGVRIIADHLGGMKGSSMLLEGTPVTSQPGFNALIGLASA KHLVVKISGFPRASEKEEQAYPDLEKVVRRFAAEVPDQLIWASDWPHTGEAKDRKGRS LDIPEPFRDIDDTAILRRIRSWVSDGVWEKMMVNTPEKMYI LY89DRAFT_206709 MMCRTGSSGLGNVCFLVRRTHRELKCPGAFRSLATVSSRTPASK IPLSRYDPDSFIDYQSLDTNINAIRDSLRRPLTYAEKVLYSHIDNADEADIRRGNSYV KLRPTRVALQDATGSMALIQFMSASIDTVAVPTSVHCDHQVVAKEGRDTDVATSNQVN GEVYDFLKSVCAKYSAGFWKPGAGIIHQTVLENYAYPGGLMIGTDSHTPNAGGLAMAA IGVGGADAVDVMAGLAWEIKAPKVIGVHLTGSLSRWASPKDVILKLAGELTVRGGTGS IIEYFGPGINSLSCTGMATICNMGAETGATTSIFPYTNQMGRYLDATGRSHIRRASES RANRLAADPGAEYDRVISIDLSSLEPHINGPLTPDLATPNSKFKELVGKNDWPRKLSA ALIGSCTNSSFQDMSRAADLTRQALDAGLKPAVPLLLSPGSEQTRLTLDQAGVLETFD QAGATVLANACGPCCGSWERTDVKKGTPNSVVSSYNRNFTGRLDANPATSIFLTSPEM VLVKAFGGRIDFDPVTDSITAPDGKDFKFQPPISDILPENGFQNADYVYTPPPSERSD LTVDIDPASTRLQRLSPFPAWNNEDFVDMPILIKVVGKCTTDHITPSGKWFAWRGHLE NISNNTLIGATNAANKSINSVKNVFTGTYNTVPETARHYKARNQDWVIVGDSNYGEGS SREHAALQPRYLNGKAVVAKSFARIHESNLKKQGMLPLTFSSEADYDRIEEDDRISLV DLKNLSSGRQVDMVVKKESGEKWRTKLHHTFNKEQIEYFKAGSALNLMGKMKRGEFAT T LY89DRAFT_785771 MEAIESPTGSSSPTPMSAPIKRKHRPGLHRTQIACQRCRLRKNK CDGKIPSCTTCEKAGTPCTVVDRLTYRQYPRGHVEDLEAQIVSLKEENDQLRAELQMF RQSGISTPLSQEASVQAVPAVSEHDLASNIARLSLEGSSEKKYVGESSGVHFGNIVQA LVPLTDYKRAPSSGRFPLRVERPSSGSSPAMSDGGFHPKPVPSLEIANMLQVAYFETR WASFPLLHRPTFMEKHFSHVMQHGMAANHASLFLVYMVFAIASIDLQRQKKELVGVHL EFFNTATSMFLGGLMAADNLETIQGLLLMTIFAINEPQSINAWMVNGLAIRFAIDLGL HRKSYCPTRSLLRSEMKKRIFWSAYALDRNISVALGRPFCIQDREINVDLPLQLTDQQ ILEESAPEEAFAPSIYDMSTFRHIVRLRQIHSKILRKFYPVNASEQDGTQFQESRDQI RAELEQWMVSTPRYISPTTATFQSFEWFQIAYNHALILLYRPSPVCPQANLQVLQICA DSSINMITGYLALYSKNKITYTWIALHSVFMASVTMLYTLVAPEIRRSTTRAVVKSNI NSCLSLFEDMGKLWPAAASRSYTVIERLGRTTLNLFEDSTILNDGRTQGAAGNRFGEI DQEYMEWFGMKDHIGPFSDEFSDTAPRINVEADPVTSQSLLQDQAFNGIMDMEGLFDL GFDMSLPLMTDVCGMGDGFAQGDYSV LY89DRAFT_207028 MASAAIKSLRPFASCDIGDALVKLKYPFGGFLDGISMWSPERQG HLSGKVSAATIGEAVTVKIMYIQQPKDMYSACFGGLMGLRSKTLGAAGVVVDGRFRDV QEIQELGLPLYARGISILGSNTFTRASEINIPLQFKGDLWIHPGDILVGDHDGVVVVP PSLVEQVVALCSERKEIDDKTMKALRDGMPMGEALKTFRK LY89DRAFT_673386 MAPSSISMQNISRQELYTSLPARIQYLHAFLDFSSRDIDALISG SKYIKQLIPAVVNIVYKKLLQYDITARAFTTRSTSYEGPIDEVVEEDSPQIKHRKMFL TAYLNRICSDPSKMEFWEFLDKVGMMHTGIGRVHPLHIEYIHIGVCLSFIQDVFTEAI LSHPRISLSRKISIVKALGKVIWIQNDLFAKWYVRDGEEFRDEKEEVVIEKEGYLHGV KILDGDVEEMGGGEKGASDCESGEKKPGVCPFTGVASDLDGLKVSESPANVDPPVSNG A LY89DRAFT_673387 MTEAWQHTPGVGSEPQHRGSEAARLDEQDGSEIAISHSSSLLDD PAVADSNKDQRSTSTYPVDGNSLRKALDRGFRKVRRRGESSDTSMDEDPGEKRRRVCG DSILITGISDLSQTGTVKICKPASAYFTTKLYQQLQQKERHELPKSFISQKWSLTIVA AEEANRAFSSLLRSEMFDTSPQTETNQKWPTLPLIESPKPSQPHINLSTHMSSRGFGK SKKRKKRETAPGSMARHSMTSHQSDNIQKASATPFLTTLDSQEANCLEILIASQLENG LPGPEILSKLLSKQDLRAVRYIIKNHYRKVAQGKFDWLLDLREAGYSDDAILDSLLES TESGPWTTSNFHESWKFEEHNSDFENMFFRIFINLITFTKALIVETLFLSLMVLIGAP ILVDRAVLDQAVVSMIPIALEDVAAFHVTALELYRQHAYTSKIETGQAHDDIVLSVNS ELHRKLSMCWVKGRASLSGLLSSETPQFPVDLSCNISLQLHECVLACQFLAVAIVSYA QAHTSDFHPHFLKEPIETLILQGYAGYRCWTYEKETIELSYKQLACMSDLVGGKVIVF RMLDELPLKPPTISGSDAVKSRGEQERPAEATGSLFVGSIEDIIDSWGPGVLISDPEL GVPYGDKIKSVLIGSGAITHVTNEASHVFHPLYHFGRSYDLADTIPTFNIWDRLTTGA ISVQKACPLDPAKCRKSSEPYLDALGTCNNHWSLTERQMILQAGQYVGLQVGNVYSKV KGGPLKTTVLEMWSLVHDFRILLQPWGLRAPLRELIEEPMFLYIDKLALPGWDEIKSD IRTAFGGSIDEYISWTTGLKGSKRECLVQVITIFLEVLKDTGVDREGKRLRMLWPDKS SLSHAISLKCDKSNLWARVLQDSPSCATFAALTRTCLEAPGHSCKKNQAPIWTGKGAL LSTAVCRVLVPGDVGVLGLGTNHWELQNGQRCWIGKPGSEVWVYTSKTPNSEAQLTVK INRFPKGFSILRDWQVLRERQDAAFEAEEVVVYGTMA LY89DRAFT_673388 MFPPLKPAPPEIPPPDDGLDEVLLNYNEYNPSGKSTVLLIHGAC TSGLNWDLVVPHLADTYHLLIPDLPGHGQSQNVTPFSAEYSSRLLERLIRKHAHNGSA HVVGHSLGANVAIELITTYPQVVNTAFVSGFAKYPQTKFTPFFPYGFWADGRLQKLIP RPIVKWLMDGTDLGNSPPCSMQLCRQIAPALVGTWPSPWPARTLIVAAGKSGILPSSD RLEDARKLMEIGKENNTQTIAVTHPLMRHPWNRQDPPLFAQTARAWFEGEKLPDGFHN V LY89DRAFT_738198 MQYRIVSTASERLKRAPAAYYRGGTSRAIMFKQEDLPPDRKDWA PIFLGAIGSPDSNGRQLDGMGGGLSSLSKICVIGKCADSEIADVDYTFAAIGIKDTDV DYSSNCGNMTSAVGPFAIDSGLVESPSDGDTTIRIRNTNTNKIIHSTFPVVDGEAEAH GDFAIDGVSGTASRIQLAFINPAGSRTGKLLPTSNSTDIIDDVKVSLVDCGNPCCFVS AEELGVDGTTLPDAIEKQPELLRILDSIRRQASVLMGLSKDAASATGSVPKIAMVSRP STHQILSGDNMDKEEVDLVVRAISVGQPHRAVPITVAMAIAAAAKVEGSVVQQLAEKG RNADRDGFTLGHSSGKIVVGANFAGDGTLKDVIVYRTARRLMDGMVYWK LY89DRAFT_556877 DENPRPSKRARKSCERCRTRKQKCHGFPVCANCANAKEQCIQPP GPNQALLERISFLESQLAAYANALLPEKLDPVPFEKNVVSPTNSEEERRSNIADIVGF LSLGGEAAYVGSSSGFSLATNLGQMVQATVWNKAIAATVNQTQQKPISIADLKRNSAS PPNNDMGGRILDAYFTRLHLRYPFLDRSDILERHANRFVQNNSSPKDQYGTFKIYMIY AIGATMLKLTEQYDYTPPENFFMTALQYISAARESHSVHNVEAMTLLVLYNLRSPSNS GIWYMIGLAMRTCVDLGLHREAHYGNVSPYEGQLRRRLFWTVYFLERVIAVSVGRPYS IADRDIDASLPLEIDDTVRDDSLIARTLAVSPSPTFQASRPSSNITLGIQCIRLKRLE SHIQCTIYRVDKPIASLVAKISPTLKLLEDWHRALPPSTPYESDYLNMHYYKAVRLLL QPFLMILPPTDQRVALCLHASGQICQIFKRLHQRDSYGHSFIALHSTFIAGVTMCYCL FLSPTLWTFTVSNDLRACSSALFVMAERTPVVKKYRDALENVIGATMEFL LY89DRAFT_623568 MEIGVFIPIGNNGWLISTTSPQYMPSFELNKQIILKAEKYNLDF ALSMIKLRGFGGKTEFWDHNLESFTLMAGLAAVTTKIKLFASTAILTLPPALVARMAT TIDSIAPGRFGINIVTGWQEAEYSQMSIWPGNAYFGYRYDYATEYVQVMKELWANGVS NFKGKHFTMNDCKMSPKPAHDIKIVAAGQSGRGLEFASQFADFNFAMGAGINTPTAIG ASNERLVEASKKAGRECGAYVLFMVIAEETDEEAEAKWKLYKDGADVDALAWMADQGS KDTKADANATAKSINLPEGAVNFNQGTLVGSFEKVARMLDEIAEMPGTKGIMLTFDDF LIGMDKFGEKIQPLMKCRSKVNGVA LY89DRAFT_207164 MRRKCCINLGLTAFLIRECLITWLTNSITYALIAIAKKIFYGRV SAELKATSKPASVYTFKVPFIAKRPLVLSMVYHIRAEGNSFIAARNGPSTNREHMFCL IAGFKVSDMTQMITRILSRWQDISDDHSHSGAGLTPKLFLAIVISQYGFMNSKDVVKA GLSLKGKYDIQLQACLKSLWGLSPDSIQRIHEDTKNIRDLNKVLINMNSNLTGTRSIM HYLSATSEILIDRITAFETYVESRLNEWDENPCARDLVLGLRDMDSFKETLRDTDKLQ IVRKSMQQYMVDIDALHEQIDINISMVGNLIAEKDRAIQIHMAEQGNRDGSNMKIMAG LTAAFLPVTFMAVLLTTPMFKWDAQPGESVVVKLPTKIYWSASLSLSTLFAICLAALW RHRTSEDDKRHVAVQDEGEYALKDQGVQTVGTSRGSTPKEPKPVVKERSPSILEKGIA PPRSVEL LY89DRAFT_688124 MLTSNASPKGFVVSKPGNGGNRLLWCLLLGSPEEFFDFLLAHIR VVRFLHSDTVLLPVLAGGFVPVRFAIVNLWHTISLKCRNSFGHC LY89DRAFT_722040 MPPLSPSSESSESSQHIQDSSEQYLLSDLSHAASSSSNLRPAAG FEEPTFPSPTIQKISSKVETRNHRPKSDNQHSLVDAIQPNDGENEREEESKKANNWRV RGFASKKDLTLWFPQIGVDEHESWRIWMSKRSQALLLHTITVAVILIANFALTVFALS HYTHFNGVGTIFQGSCTTAKQLDRWLHLLINLLGTGMLMASNYCMQLQAAPTRANIDR AHENDTWLDIGVPSLRNFAWIGNWRRVSWLLLAASSLPLHLIYNSAVFTSLASSDYTV AVVNSYFLNSTSSSWNLTAAASRGLGDPGFSWVIDGHPGFGWKIPILTPDGVISGMQQ NATNTKLYTQMNVSDCFTTYSDYFIALGDVIIVTKNDTRHVQQQMNDTLLILASIIPN SDGWAKNQWAIENGTQASTKYRAKPPNGPVQTWYLGPSFYEVDYCLVQLPSTTADRCR FEYSPQIMITVCILNLIKTSIMLVVWWLRKYQWTSRKDHEKHVLYTLGDAIQSFVRHP EPKTEDMCLAGKSDFIRRRTRKTRFVKPELVLSKEPRKWKQQERHWASAASVRRWVLL ISICLLVLIVASALLGIAVQALAARGFSTSISSLASLGFGALQPYTYLNIGLPRTDPA GLISNILIANFPQLVLSILYLFYNAMMSCFLVQLEFSQMYRKRKPLRVSEPEGIQRSS YFISLPLKYGIPLYISSGIMHWLISQSLFLARITAFFPDGSVDDGSSFSTCGYSPIAL FTTILVGITLVIGVVALGFRKYEGTMPLVSTNSLAISAACHALQEDRGNEGHLLPMQW GVVSMKNGVGHCTFSTAHDNDQPDEKHTYV LY89DRAFT_709632 MNKELYPDIETPKGASEDENIAARKETSENVVGTPPERTQAEKA LVWKQDLRIVPLCAAIYLLCYLDRSNIGNAKTLNSSSHNDLLSTTHMTDYQYTIALMV FLVAYAVFEVPSNYLLKKLSPSKWIAFLMLSWGAVTMGLGGAHNFAGVTAIRFILGMF EAGLFPGLVYHLTFWYRTDERSIRVAFILASATLAGAFGGAIAYGVGHMNRVSGLSAW RWLFILEGIPSCISSIFVFFFLPDYPETVKWLNPEEKALAINRLQFEASHGHDESLTW AQAKDTLTDWRLYAHYAVYFGISTPFSSLSLFTPSITAGLGYKDLLAQLMTVPPYAVA YVVTLLVSFSADHFNARGLHSAAMATVGAAGFVASASLPAHAYHSRYGCLIVAASGAF SCIPPLLGWLSSNLHTTGAVGLAIAINISVGAPGQIAGVWIYKADQATRGYPTGHWTN AGLLFFVAVGCVGLRIYYGLLNRRMLRNGHQSPLLLLKIGTSVRQTRLTRPHL LY89DRAFT_688126 MDSPKQKFSSEKMTFKILGAGVVGLTTALELAARYPLARIQILA THLPGDRSINYTSPWAGANWLSVATDNGRQENWDRVTYKKFEELADGKGNESGVKRMP IWAFYDSEKEDAGILSQGTGKVWYEGMAGLRWLKEKEEMREGAKLGYEAKSFVVNVQV YLPWLQNEALKKGVEMLRTTVTDIRELTNDSDVKAVFNCTGLGSYSLKGVEDKLLYPT RGQVMLVENPKTPMERMYFRSPQRVNKDTTYVFPRNPGGGVILGGCRFDNEWDGEVDL EFAEDIKRRCCALAPELGKPEDLKVIQHAVGLRPSRKGGPRLERESMGKTMVIHNYGA GGAGYQASWGLAKDAVDLL LY89DRAFT_623574 MMQLILSWVLLAILAIAPRVGNALPTVESSLAVRTPSLPLTVNV LHTFEFPSWCENLAVQANGRLLVSRLDTPEVIQVDPTGVLAPTTVASWNASEYMGCLG ISETVAGVFYVITSAFVNDIFVKTSGVNSIWEINMNTFNVSSAGVVTSNATVSKLVDI ESADFLNGMITLNAYHILVGDVYNGWVYKVNTITGRYYIAINDPLMKFGANATTNLGV NGLKIHSPYLYWTNTAVGSLNRILINAKAEPYGVSQVVTANVPKADDFIFKSDGTAFI AQNQEDELSVLLSGQSVAEVVAGNNISTTLAGVTAGKFGRLSTDANRLYLTTSGALGL PINGSVVVAGSVLYIDTTGF LY89DRAFT_207237 MDGSVPDVNRGGSALGVIWTLDAIAILIVGARCYTQKFVTRQFG LSEILVVISVCVITGMASLISVQYHYGWGRHYEDIPTAEATQALKYNAIGQSFGVMGS TFGRLSFIILMLKLFGTTKARRWSLWGLFWAQLISNGVVVVTLYVQCDDIRALWDFTI QTHCWPEDVQTYIGYAHSGWNGATDLFLTFLPATMLWTLKMNVKTKIGLSFLLSLSFL AFVGVIMKIVYLRVLAHRADYTYNTVPLFTWVIVESTLVAIASSVPLLRPLFIKFIPG FASQRSSSYVLNKYDFKNKQPSYGSGRQFSKLGESSKGSRKESDTGSQEIILPIQDTH DAILKEVSYSVKVSDVEKGDAKHGRSASAVGHMGKVSPWEQS LY89DRAFT_709636 MLRSQLNDTLHPNVDMRDGDDMMDDGGTPRRRRAVSRSPSKARS LSDGGPPTLSPSPKFREPEKRKSKDDSALEASPSTPRRPAFPRGLSLQMPARDLMSPN TSAYINRVPLSPKLDHSQTYGSPSSVLPRRSRGLDFSRAATNLHHSTLAEQSSPDSSP TITGRAMNIPNRKNGLHFSSNSESMNASTSLWSTMANAERMTVSSSLGSVNMLGSESS SSSSDDDDLMDADDIDDSILTTPQVNKLAGPFAGPQASPGNAWTTQSPAMSSLMNFQR ARLRHGKSRKSSSSGSGASMASPSSKSPPMRGVDNMNSGYFGREQAEGLQARRESISW AANQLHISGSESDDGTLKSTLESVDPITPGRDGQRGVIRRPVTRRGNMLPKTKGFARI RAALAEESTPVETEVRREAEVVRQTREADMDIEPRHPPLSTTTTSQSSPSLGPTTQDL LEGIPEDEIMSDATSGLSSSFKQHAMRNSKGKEFWETFKDERTPPPPFLPRGSSSGIS EDICLDSPSTLTPPLFPTMSQSTTSDHQPSSPSRSSTPQPAPGPTPAEITRKVNNKRR RDDDFDPTSFKRRAVSPGMSVHNSPVMQSPMQRDLAPWGSRPPSNGDASKIAPNGGPK RVGFQGMVDTNDGLMKMSIE LY89DRAFT_722047 MSRSAQTTHPSKSMREWKSEDKKDASYLGIGCDRCHNILGSTLN MYEHLAFPGVLKDIPTVWLTYVNRFEAPNYSWYKGKAGITIPTLEIKGGDWMSTDENC SAHFVWHFCHAQGYAQKLHDMSLNHVDSAPEALEDFLHFLGNRMVVELHIMPCYWERA DLSYELCTPLTWLKTLEPYHQCIKHLSLDYLALSLCNKFDEPSTVNQTSDFVERCGYV HECLTNVESMILWLHLSKEQVEVALTRPNEQAWVRACKLVSVEKMEVKLRVFQDYEVR LKEPRHRGLYIWAEFWGGNLFRSHLWAPDVKNIVGFEDKESAARLKEIFMSKYQWEST NDIPENPGLENLFSDTGMVSEGSSPEAML LY89DRAFT_535545 TIEFRQHAGTMEGLKITMWIRVLAGMVKFIEEVSTASFHQLLIP LMLAETWEKTYNGKDDEKEQKLGPIPADGLFTIIDLLEHIGLTEEAQYYN LY89DRAFT_652883 MAAATLSHRLSMISLTSTQAGRREFTRLEKQAAAGHLTQVWSDL EADLPKPLEHRFVDLKKRLVPPEQYEALQASWDRLRIALKERAVEIEAAGPDYIPTVL FTSIGPDGTFPEDIAKLIKSRGCCVIRGVVSREQALKWKSDLMDYVRKHPLIAGPPSA QDPQIWKTYWTKPQLEGRTHPDMIKAQVAMSKLYSCDEDTEVDVGSQALYADRFRIRW PGDSGTLPYHLDNGSIERWEDEENSKTFSAIWEGRWEEYDAFDMNHRTEACVDLYGGP GACSVFRSLQGWLSLADNGPKCGTIELLPDIKLSTAYILLRPFFDANNKLDMESTYFY GADPGFGQVVKDTWHPDLQLSKTVVAAPKAAPGDYVFWHCDMVHKVEEKHEGTKDSSV MYIPIVPLTAYNISNLVEQRKAFIEGVPPPDMISRDGEELEKEHEDRGLAKDILTLGG KRIFGLAPFDLTEDGITRGQIEVRRLANEALGFNDLATYDRQ LY89DRAFT_673403 MEGRHISVIKQLYGLYWLDVWKISLQLWSEVKGMYHVLQQNLWI ITLFPFFAASNWFYMYQTNDFNVPNFASRTRSFNWHWSMFFNMVGVWTMGTFLDFPFK SKHVGRATRAKTGIVYVLVVTLAMWGGGWVFVKDAVRGVSPDPLIGVPESARYFPYLA IYIFYAFYDGCFQAYAY LY89DRAFT_756886 MFPKINFSAGFIVAYKKYQKDQRRFNKKPPNEVKLVGTVKLHGT HADIVVYSNDQILFQSRNRALDLEHDNHDFVKTMTPHKKQILSLRDQCIAKYEDIKGK GSVKSQYPIIIAGEWVGPKIQKGVALAQLEKNKFVVISISINNSWVPDQTFFTISNEN VGIYNLIARTGYWMETLDVTKPAAFKETMKKLTLQVEKECPFAKTFGIIGTGEGMVWK GDLVAETRHILGADPKFWFKDKGEDHRNTTTEDLSARKAELKANARKFAETALKESRL EQGLDYLREMQVNGMLDRHTQFVKWLCEDVITEERRMIKKMTIDINLLRKAIDAIGTE WYMKQIGFRSLDLGGSGGASEDRSS LY89DRAFT_756891 MLWSCYYHQLRRALQPPTDTTTRSSENCYFRSYLPPSSTINIHE MAPSAVSFEDSSSPSTPDRPKILIPEKVSTDGLALLSPHFDIHQPKGLSAADLLAIIP SYHALIIRSETKVTAPVLAAAKKLKIVARAGVGVDNVDVDAATQYGIIVVNSPSGNIV AAAEHTIALLMSVARNVPAGDRSLRNGGWERSKLVGTEVGGKTLCIIGLGKVGLKIAR MGVGLGMKVIAMDPYASPEIAASASVTLVGTLSELLPKADFLTIHTPLIASTLDMIST KEFQSMKKTAKVLNVARGGVYNEQALLEALDAGTIAGAGLDVFTSEPPVPDSAASLLC RHAKVVATPHLGASTVEAQENVSIDVCSQVLTILHGGLPTAAVNAPLILPEEYRKLQP FVKLVEKMGSLYTQHYSHPSTAGGSVGGKTFDLIYEGELAGISNTRPLFAALVKGLTE SISDSGGRDVNIVNANLIAKQKGIRINETHNSASQDLVYASLVTLKAEEKTISGYVSG KSIYISRLDRFSANFVPEGTLIVLHNYDEPGKIGGVGGILGRHGINVKFMTVAALESE SGSGSGTPGERVGEGEEKGQHEALMILGVKGVVGKEVERELQMEEGILEVSVVRL LY89DRAFT_592953 MKMIKKIEQPDAKFDLYFMGYDSPKAVSHGNDFSDREGLIELTH NYGTENDPNYKVTTGNAEPHKGFGHTCISVDNIQAACKRIEDAGYKFQKKLTDGRMRH IAFALDPDGYWVEIISQNPIDKTENITTTDLATYRMNHTMIRVKDHEKSLKFYQDVFG MTLMRTSENKDAKFNLYFLGYPGSKGVSTESVNGVNPTADREGLLELTWNYGTESDAN FKYHNGNDEPQGFGHICVSVDDLDAACKRFEDQGVNWKKRLTDGRMKNVAFVLDPDNY WIEVIQNEKLKNRANW LY89DRAFT_738216 MGEPVMFFWAFLCGLCMLFCSGVYLGYIGFEFKFSVRINRNNNV IPAQEAAIPPLDEHPDSANSTESASIFEQLLGQEDIIPSYDDYPDGAYEAADEREFN LY89DRAFT_722052 MPYIFPQPLPFLDPTPQTMLLNKRLTTFLSQNTTPQLPTLLLLS PTGKLLTSSSPLPASTLRTQATLACSLWTLYQPSLPSLISTSLPSRHHPDPSPRGSDS TISSAATATESELSAITIQLTHGVMVIRQLACGLLFVAIGAPLSPSAQPASPPSSPPA GNAEEYTEGGSLVGVGSAAASEAGSVRSVGGSRTGIMGIRRRADEVGRWLDGQLEGFT LSSGEGR LY89DRAFT_688132 MARTRKAAAKKEPPKKPSSGVSKKKAPTKHKPAAKGRGKGRGKA ATKIPPFTLTAATNTSSPDFIVRGTSENALFSFTAYRGEGMCLLAMNWKQGTPPDNFV GFAIEYMEPGATQFFALQNRIAFPSSSGQINANTLSSRLSPFQKFRWTHFPSNATTPG LYTYRVTSVFMDDKGVLSYGDFQEAAIELEAETYPGELNICFTRGFISSQAFVDKFGT NGGVGTILPTNANAGITFTSTDPQEETALAWMGFEARSAILNTLDAAIADTTANVRVC AYDFNDPEIVSRLEQLKGRLKIIIDDSGSHKPATAAETKAAAMLVSSAGADNVQRQHM GDLQHNKSIVVDGDQTKIAIGGSTNFSWRGIYVQNNNTVVLQGETPVQIFSDAFDNFW ANPDNPKGFDVTASADWIDLGFTNVEAQVTFSPHSTNNAKLQQIADDISSTQSSLFYS LAFLYETKGVIRDAITKVTSENNVFVYGLSDKTVGGLNIQLPNGNPPIAYPAALLQDV PPPFDQEATGGSGTRLHHKFVVIDFNQPTARVYTGSYNFSVAADTKNAENLFVIKDQR VATSYMVEAVSMFDHYAFRDSENSATDDKPLELQEPPASGSGVKPWWDKFWSDAQEER DRELFGQ LY89DRAFT_688133 MDHQQEVPQLGNLPPLYHPLDPSKHEIRLLRILPSHDKATTIHC ELETTTLDVRPSYRALSYEWNPPNAVKSFARVFVNGHEIDATSNLRHALAHLNDGPSF AYWIDALCINQSDKVERGHQVQSMRSIYHQARQVLVWLGLDSGNMSLGMDLVTELASQ GLFPQTKLEARQSLLLAKLVDPLLKPHWDGVIRIFTNTYWSRTWIVQEIVVSLPLETP LLCDLKSIPLEYISSLLRYIKIYVQPIKFGHSLAYDIVLAGRTITELLWHRTRWRELG TDFPPYDMSLLRNLVTYDMQECQDPRDKVYALLGISTPVPGVEFPISYTDPVSEVFRN VAKHIIEGSQRLDILLDCHNASNRSHSAPSWAPDWEHYRRGCRTIYYDTNGWDASSPL STKGSFRVDNMVLRSRGFIIGEISTISKEFQRSKPVLAAMSFRSWLNFVTSTWEVPSA SKRKPRNWLKPLISGWKTPQKQSDKAAKVDTENWESALEALYDAIVKTALQEQVYGIT CPFDKFRSYCEKLIYDSELPAETEWPFAVPHDAARYIAGSRLCSIKLSSEKGSGSLGY PGTNYDIDMKNTVGLCPSSAKYGDVVAVLRGCKYPLVLRRVSDGEGYEVINSAYVYGF MKGEVVKMMSEVDIELV LY89DRAFT_652893 MFERFKSPLSPISIPIFIFFLSYATSAFALTCQVAGGTSDDAPA ISAALSSCNNGGTVVLDKTYTIGTVLQAMALNNVAIELSGIIKLSPDISYWKANGVKL TYQSAFTAWTIGGEGIHVYGGGTFNGSGDTWYAAGETGPIPWTIYNAQNVLVENINMV QSPFWHNFIYQSSNVEFNNINLNSIQTDGSQAHNTDGWDIYRSTNISITNSHIVNGDD CVSLKPNSTNVLVQNLYCQGSHGISMGSVGQYAGVQDIIANVLVKNITMVNAKNGARI KAFGGSSSATSTTGGGNGYIQNITFQDFRCEKVGLPIVIDQCYETSTAKCKKYPSKVL INDIHYINVTGTGTKSKEVVSLVCSNVCQGITATGTKLVGASGSAEYVCRNIGSAGSL DFQCENATTSDKSKREE LY89DRAFT_785796 MAPPRPSNPVEYNEFMKKLAAYHEKRGTLLDPTPKVAGKSIDLL QLFNYVVAEGGYDKISGIKLAWRKVAADWGFPANNIAPPAFSLKSVFYKNLAAYEIST IHGKEPPPKEILEDVTAKGTGLMSRTLENYRPAGRESGALGNENSDISGDDGTPARER NESEEVLGSGGRVTRGLRQAPPQRILFQPETGSSRVTRNVSATSHAPSPQHNSHQYHQ QQQPRGASTTNNPSSNMENMSLAVANYEPRPHHPLTLRPIVTPGNNPAEFARRQKFLN DAAAGKPLPSKQSGIMLPGKYFDGPNIYVRCLQALRSGIFSEQNYALHHLVKISMERG DKYRFEGFPGLAEALVEKVMEVASLFFKVNWQVAYTEEEMMSGPYMLNGIDGTPDILL RMAKHAKLYVDDNIYTAEFADKMLQINEAALTLRNMVMLEDNALYVSDLAPLRDLLSI VLNLPVVDSVVELKHYALDIAEQITKYLHFDETDPLYISLLAQLQSDDRGAILTSLRA ISRISMQLEENNLLKGVPATAIQNIIDWTLLNDEDLAHACLDFLYQYTAVVDNVDFLL ANVQVEPLVNQLVRLLAYGARHAEREYALTRDGRVPAPQRIAPLPRDLLPQLIKLDEP ERSSQWLRCLFEEDPEESITQIALWQAYQACFTPTVSETGHALLPAADFIKNVSTTFG DKAAAQVQPGVVQKFIIKGIRMRSAPVGLNGEEALGCKWKIKENSPELCREYFMSPEE VHKHILKAHLGGVEKENGQWENKFGRQYTCLWTGCTRFKTAPATTLLQMANHIKVHLP PKPVGRKGVDDGPPPMKKLKPSYIVSAPRQAFSYQVTATDDRQDAAGIPLSAVLVLRN LARNLSKTEAEEAALKSEGGVSWVDRLFKPVEQRLFEVMTHNKSLTLYMTDLLSAIKD A LY89DRAFT_722057 MYQVSSQALDPDWISLSIAKFSYNTSPHGTKTYTWNHITTRPDL SFVIRNVRSISADREQEARVVMKITAGAEEMVRRLQVKFRSHEDYHKAYTFLRDLGLP ITNREISKPAPQLPPSPAPSNATSLMSAAAASLRPSSAIDLPAHYNSSPLKQEFKIPP RPESSVSEGKAQMNEMTRITRPFSTSFAPVASIPNSPLSRVNSFQQPATQASVLYPTQ MEKETRHLSYPASQFSDHFKHDIQPKTSLYVDQLQNLQQNLPERAENTSQPFSASPFF TSIGSVPTVHGHEAYSGLTAYNPGDVGRPASTPGAQTTTSTLQLDHRPVSALSVPPRS ELPFLNPAGKSKPRSSSQSNSTQVSRSTSVILPPSKSPNINSEQLSTPVKKPAPKKRV AQRKAPVAKPVDDVAKKIQLNADELVIAEPDAVADEPSPLAAKSAAQLTRPSSTPLGL VSKVAPRKRTATPAIRPPSSNKRPKMVDQSTQTDKPTSQHEDAEQEVPPSRTTPDTHL NRVCSPPEDYLQAIDGFVARHKSRPPPIELWQTPGWAEADDEHRQRLIDNFICENLEN ADFLKLCEDMDTSWRRIGLGM LY89DRAFT_756899 MASSFTVKARQLALAEATHFKLQSLKKISAPISVSQIVESDSTT QIWRISKLKVKGCQHQQGSIKVAHHKNFKLLKQIAKIAPIPLKMPFDMKKILACFSEP SLLVKIDEQKPSDPDLFESLNARRIELGAESGTLRVPLPVSKRTPLPSSPTQVIVQSP NSKLSQSRADLNKLLEEKNRRTVSTPRTVPAIRRSRPYIPTEASKEEGKVKDIEKWTK KSEKAAQETLAYKQRFVRWMREELSQPKPLQAVMSSIPPQHQIYFGKKHVETAPGPAP KPAVQRINNQWNVTYRPRKPSPLSQVSSATNVAKVVRVQIDNRKIPTREHEDPEIEEE SEQDTETEQEKMDADIYFTEDEEDQTTTTTKRRREQASSPPLSFRKKLRLDDD LY89DRAFT_738224 MLRAQLLACPKLSHNPVPYDPAAFLSYPPPTATLSVINIPLCAT AVHVNAEVARRTFWVLESQDHLYSEHNAAVAFPPRELVPYITFNHVELPPEPWATMWK DESLTSPNKAARDLFDPNAELSTSMENLKKQDDPPPDHITIADIFKAAGSSILEATRP KRRSQSKEVCVCDVKTSGGR LY89DRAFT_673415 MPPPVSSTFGPDPESYQSANLEHTTAPVTRSRASTSITQHGIND SAAQDVETQLNMGLERSNAQQFVNRTFGDGYVFSDNPVDAVQRSIKAYNGSRSGDEVN SETSTLSLANTTPLETSLAQATADFSAPGGNIHQGSVLAPPLGWVSQGAGTNSLAIAM ATATAYDSGHASQVKKSTSGTDELQFSNLNPASSLANTNHTSGLRDSRKKASKKNTGG GGSSAGTRSSQHVNALQSGLLSEYGMQQASGANMEASGSSCNNAATPLADGQSLQPSP NKARQEVVAGGSGTKPASGLLGAAHGVVGDRVPTLTQGAAALALTLPLAQSATPNSTN PESTSANPFKNGTVRLVRSASMNIATPDNEVPDTKSPSLGDLPVKTTVKKSLAPPIAK VAGARRPTKRGRNPAAASSSKPTTSKGNESGANMSDKATASSSKTMASEGNESGANMS DKATASSSKPTTSEGNESDANMLDNARAAALEQMGEQKGMVFNPLATKVVPVRIYIGR VDPKYVDPHPTTWSKSQAQLWEAKKQQWEQKGRDVLKTSSRKGTRSGYNYWEWTTRGA AGEKVQQWVLWQENVTRENEFVDRVYLTAYSN LY89DRAFT_756900 MTSPGDSINNPIVLDDPSQMSSTLAELGPTLLNTSSRESSTFSD PPSRLPTPEALRESNDPQDDEKSTIVVELPDSANSESSEDSVYESCHSEFEEDSKQEE EEPKRTQKRPQAPTNRLYQTSRPESPRRKAESARMIERERRRKEAMQAKILERKSRAR EAKRAKLLLDRKLRAREAEQANIRDQQRRAIEAQQAEIRQRAQQTRIAEDDGTPFQRH RNWVLKSRLLDRPTTRLHPARPGPIASNDPTRFQQPDIMLQDSHARSFVHQVWISPSN MPFPAPPEGCRTQEDLVEYIADCQKERNLWLKRVKIDKPTRRADEIIYAEYGWRPDEK RWNWSIVYVDEDDEEEQEEADVEDDGPPKKKKKQRRDELLEVDGLKTWF LY89DRAFT_688136 MEPRGHRDMYGALVRKHTELVDEGYADVGVLFMTNAGYPTMCGH AVIALGRLLVDIHDDENFPNRKRFTYKPDEQVMIIQLHAPCGVIPIAVPTTDNGSKSD PERSVSFFSVPSFPTGINVNISIPENLRWPELGQRTEVKADISYGGAFFCILADRELG FGPHLERADRAALENATRNLKSAIITNKELRVLYSHPKSEALSVLYGILVIDSKEQCM MELAIETRGCETGLCFFANSQIDRSPCGGGVAARVALAWQKNGRTEPWTYHSLVSNAF GGVMGVGAFIGFLCRGNISEVTLKDGRTVQAAPVRVSGFAHYTGAHCFVMEKGDTIGE KGFSMYSGNAFVAPTRVKK LY89DRAFT_652899 MRFPTFPNIIRTFYTLSNYSRVSSQAQYKALNNFSRVTVYKSMP TFPFLSSLFSSSSSSSDMSYPVQKSDDEWQAVLSKEQFRVLREKGTEAPFVGKYDKHM PDTGIYTCAACDAPLYKASHKFKSGCGWPAYFDNIPGAVTRHTDSTLGMSRTEIVCSN CGGHLGHVFKGEGYANPTDERHCVNSVSMKFSPEDEVVDKADSKV LY89DRAFT_623600 MSRRAPNPAAERAAQNTQTIKSLLKLEGNKTCADCKRNKHPRWA SWNLGIFICIRCSGIHRGMGTHISRVKSVDLDAWTDEQLQSVLKWGNSRANKYWEAKL APGHVPSEAKIENFIRTKYESKRWVMEGPMPDPSTLDAEGDDDVPLSLVKEKQAIERS TSQRASASPAAQGPSQIRRAPQPDLFADDSQPPPRASTAGPTATRPPPNSEPAPPKQT KPADSLLGLDFFGTEAPPPNRPSSAAATPGTQSRPDLKQSILSLYASAPRPQPQQQPS HVSQGSFGGMQSPMQQSPPPTQTSFGGMNDAFSSLSFSAPKSPPTQTQQKPSAFSGLG NISSHQRAPSQTSPPPLSGGSFFDTKPAAKPAQPPQPSRGFSSSSGFGAFDSAPGMPT PVSTTNASSGLSDLFDFSAPSPQPPVPPKQTIASPPPQSSVFNLSQLAPPKPQSPPAQ MSGWGNHDAWGSNDAWSSATTSPPAAKAPPPQTSSGSFGWGSGGSLANQSIVPGGGGG FNTAGATPKVAADDDFGGWSSAAPVTPGAGTTQSKPATGFAASEDLFSNVWE LY89DRAFT_623603 MSDAYERERQNNSRLSELSAKVTALRGVTVDIYDNVRSQDVIDN TSDTFSSMSTSLKGSAGRLGRMAASGNKVAILKLSGMIVGGVLSLWFIWGLIF LY89DRAFT_592906 MGIHNPLPSSMASECKKCGKILASFVDPRQAFGPDKIIPPQVLA NAKGLAILTVIKAGFLGSARYGNGVVVARLADGSWSAPSAIGTGGAGFGGQIGFELTD FVFILNDAAAVRTFSQAGSLTLGGNVSIAAGPVGRNAEAAGAASMKGVAGIFSYSKTK GLFAGVSLEGSAIIERRDANEKLYGRRFTAAELLTGAVRPPPAAQPLMNILNSRIFAG IGASDDATYNDIPVYDDRHDDVVWEGRRGSAFGEGVRRDRTGSVNSGDNDYVYQDRPK RASTWADDIYDRTPTGSSSRINSFGAGRGRGGFDDDYTFSDTPRSPTGSGKIGPGRPA APKPLFQSKTGSLRSNEAVALFTFDADQPGDLGFKKGDIITVTKKTESTNDWWTGIIG TRTGIFPANYVEMKK LY89DRAFT_722062 MSSPPANPPITDRSYGIIPLRLIPSTSPSSSSPTSPTPTTTNTH LLLIRQRTLASSDTFWCFPKGHPEPLDADHQATAIRELFEETGLKITHGDLIPLCSNE TGTETVLRERYITPGRKEGKEVLYYPALVQGNQELRIQEKEVAEARWCGWAEAVQLAT FGECRAMVGGLRGLLESGTGVGEAKEVEGEGRGKI LY89DRAFT_207546 MSNPQPTIMPRRGLPGYPAAPLPGITTFPIINPDGKAYDDFLDY FRQQMPPNRKKVVWDDFQNSYWPEVRPEGSVQLFDNMLTHPDGHIISAETDPVPFQIV AGLEQALKAMDPADTNNLVARQIKEILSYWYGANSMKALDRFLDARQSFLRQWPEGDG RNLLDPSVNVDEERDLYLEWYFRRLFTRVLHLPGLLTFRQDPDNPTNMTRVNDLVSVF LSSKLLLELEHEKVESLEWVESGEEFDWDQTDPRHNYMMWIYLSREIIDTALKNAFDA TKVPLGPVIAMAAAAAERGEPFDEETIVDNNVKFAGLPMLEKIGQTMNTALDENPFER LLLQLLDKENTFESSLSVEHVEDKLITKQISVIDEENVARGPFSMDRAEAIREKIEPS ILKMMQDISSINRQRRNHRLFRDWHMGHRPWIKLFQIYDSNLAGFTWLAGPIRTFTNH TNISLIDDDPIDGNWHHPSSYKNLAFQLFDVVPVDLASEKNIDYLQECKICKLAMVAE GSKPKRFKPTHPKSRFAKFYNENSPLAHTVMRLLCGHHFHVTCIIGYWDLAFQYTHTC PECQMTAPLNWEIVALPPLDYAPPTPDNPHPGNTFAHNTYAHHRATLMFGALEQTMTS KKLYDLPTQRDFTNVTGPKGSRPRPGTREEKFKAGLDRIAAEYWVRENMCNLAYEDNP VRWESQRYPAGKRPFLEMDDNTDLTPQRGAVFNAMTLATGSVNVAPMGSGLAGAQALG TSLFSWYVSSKLTNLGRTLGSRYMASQYQPFPDLPNYNPDVYDYDSERILQGTRFQPV VEAAVMRKRRRTRDTAFRAAAKAQGNTI LY89DRAFT_207550 MFLVRTEYVLLRAIPYRPCTIVTLGRRRSNPLLAALTDLGERSI SWTVANMSHNPQPCLVSPPTLSPSLILGGFEPESQRAALKRTCGAIPGHDSVASLLDL IFGLCGCACRPCGVPWAISWTRFLFRGRSLLGFCELPLGVIPELHSLTPGAATTAVRY TTDVRPRVQ LY89DRAFT_207618 MFSRQIVRAARASRVAAIPTVSRVSRAAFTAASRLREPVPDVVA AGEVSAATYTDGHIERTTIKVDQTSVEGAPLNKTVYNQLPRTMQRLSLMDKVVVVTGG ARGLGYSMAQACAEAVAELHKLTGDSVPVAFYNVDVRDENAITESIAAIVKAYGVPDV LINSAGIADSNMPAETYDTKKFARLIDINLNGSFLMAQAVGKHMIAANKPGSIIFIAS MSGSIVNYPQEQSCYNASKAGVIQLGKSLAAEWAKYHIRVNCISPGTNLGYMDTALNN VPALDAQKKIWRSLTPQNRLGAVEDLNGLAVLLASDASAFMTGSNVIIDGGYTLY LY89DRAFT_738235 MSPDNLFGDYNRGSPNAALCIILLWCILKEAMIVVKSSSISTYY ATINSSFVFNNVATILRAKQARQQDP LY89DRAFT_207769 MDGASAYSNSNSTASSPYKHTSRKLTKKPKAGSVAAAATQATPL SDVHVRGNDRQSLLRSQTSSSSLRRAPSEATPPTHNSSSRTQPPYTHSRRITSPNPGA YTSSTSSIDRISGPSPVLANVDQGGVVYPNTTRLTLTDQSSQELIGAPFDGSGILNHI DSTKATNYQNSLRKPPPPAAINTEQIGMSPPLRQSASFSAGDKMAEKTTSRSSDGNSS GKRYSDETKDPKTGMLRKKSGFSGIMSNILGTPRTPKISAPENPVHVTHVGYDNETGQ FTGLPQEWARMLEQAGITKKEQDEQDQGLLRNVVDFYKENAEGGDDQIWEKFPQARTP DLRIGTSTPGPLSPALLNTPNYSTLTSPPASPRFPANHETSFENPRSPPPVPRPGQSP MLNQYKDTNGMVPTRPAPRPPVAYPTRAAPPPPQGKDSGIDMPRQSEDLPALAYIPPQ QDTAGMLPEEHRSRSNSRANGVSGPYSNTLVQVSSPQATYQQQMVQQQQAQAMQIGRA PSKKVNGVENDEVNMNNQQVRVRQQEARPRPRQRPAPGTDIVARLTRICSQGDPRQIY CNLVKIGQGASGGVYTGHEKDNSRLVAIKQMNLEQQPKKELIINEIIVMKESRHPNIV NFIDSFLIQGELWVIMEYMEGGSLTDVVTFNIMSEGQIAAVCRETLQGLQHLHSKGVI HRDIKSDNILLSNDGNIKLTDFGFCAQINEHQSKRSTMVGTPYWMAPEVVTRKEYGRK VDVWSLGIMAIEMIEGEPPYLTESPLRALYLIATNGTPVVKEPQNLTEVFQDFLSFAL KVDPEKRASAYDLLRHEFMKKCTPLSELAPLVRSARTARAAEKLGKPNFHG LY89DRAFT_785807 MASTTSTAQNGVNGTDSGAPAHHEAHNPTVEEVPDESELNGKPH PQSEKTTLESVDDAAVAPTWAATPSAKAAGKRKAEEPTSKDKRPVLDTQSSELFPGLG GSKPAAAPSFNKSWGKGPVQVNGRSNGTPTTGASTPTSDAPTPPLSRGAPQSLASQIQ APLLVLQKHEVLPRTQMKKPLSDILKDINKKLRTNLTMTQGAGGVLEFRETSNAKEPL KQQAIRDLGQQIGVKSSVKVAIPRSARAHIIGKGGSMIKSLQESTGARIQMPKMDDEP ADEDDDIDIVVEGNPMSVLLAKKAIESIANERSANVNTKLRTIPAEFYPFISRSASDL EDSHKVRIEIPPHSQWLPQSSDAATAGQPPVFVPAGGDNHISLNGDRLAVHAARAEIE RLAEQLRTELAMRQFSLSRGQRDFVVGNRGMTPQDFLAQTQCALIMPPNASTDEVTIV GPAASLAAGIDFAEERASAVSNSDFSFSLPPVVARNFAQYLKERQEIERMEKAHEAYI VTSVDEDGEITYEILSQSGLNNKRAKDSMKEIFRAHPKERMAPLDVDPFFHAHLRRTI SPEVRQQHGVHIVIPDGSPAGAPVLLVFEGPSSAETGYEIPRTLPTAQEKSAFKQGLA DARTRILDIISKQAEIISTTIDVPRVHHDKLKKFIKEEQASRAEDEIPVRVSAAGTLV TLRGPAPAVESLAAKVNAFVEAAIADEKERGFVLPAFDFPQKHANQLIGKGGSHIREL RDKFDVEINVNEGQVELKGPKAKAEACKHHIQTLARQWADETTYTLKVDPKFHSELIG AQGALINRLQTKYKVQIHFPRSAKPVRDDQSSADAASVKGGRRDQAPDEVIVKGPKKG ADEAREEILSLLQYYKDNSHEEKISVQASQIPSLIGQRGSGMDEIRQVSGARIDIPKA AKDQDPSARIEISIKGTKAQAQQAKKMIDEKRAVYDSTVVKSVEVDKKHHRALIGAGG SALRDIVGNAGGSDDKRELAKTVQFPKADADGNTIKVSGKEDLVDKIIAAMQQIVIDR ESQTTETIDVPTDKHRSLIGRGGETKKDLEAKFKVAIDIPRQGSEQSGIKITGQPKDV EAAKAHIIDITKEQAGETVQVPRKVHHSVSDNGQFFRKLRNDHQVTVDHAGAKLPPRP SAEAPAWVLASDMPLITDEDVTDAHQFKTTSLSGSNDDGEIPWVFRGSPEGIAKAKTL LAAAIEQASKNDTMGHLTLPDPSTYRYVIGQGGSKVNSIRKATGCKITVPRDQAANQP IEIIGSAEGVEKARQLILKAVQDGSNNNNNGGGNRGANGRYGTAATTANGNGNWD LY89DRAFT_623618 MPPQIYDEEASDYISDAEEDPAPEPVKVKQEKRGKTKTRIEEPE PEESLMVESENAEEDEDEEIGEDEYVVEKITSHAIDESGVLRFQVKWEGYDNKEDRTW EPEDNLLETAEKMVNEYLASVGGRDQIFTQWEEKKAELAEPKKGKKRGRASSGVDTPT NGSKRGRKPKYEHPLDTTPPASASRAEFQPPTGNWENEVGAIDAFEGTDGKPMVFITW KTGQKSQHPLAQVYKRCPQKMLQFYERHLVFKRNDPEPKQEYDEA LY89DRAFT_738239 MASIPLPMASPSTHPAEFCCHFTKLSVDVFAILADYLKTEDIQS ARLAGRDLHSLSSPYLFRAVTFAPHQEHLDRLSKITRDPILSYHTRILRYDTTIFRLP EYKDELDPFEFRPRWENTTGTWVRTDPCTLVHECGPETRMKALAEFTAYVHEQYAILE RLEKHLCQIISSLPKLSTIILCNTAIKGSDRFKFALSENSISRRWARGNQMMTLFRVI STLGSNITKIGVQGHNIQKPFRLLDNVDFGYDGDKVGSIKYCLRSGFKTATYTKEKIP FIHGSTLTLNADELSMTKAIFGNLTHLNLGIDTAVPFQGFYVDPEDTPKAYWVDFPAI LQSMTKIVDLSLDMKYHRAVDQQHSCTYFHDDIRELFGNHPVTFPRLERLKLSQFRSC GASIQNLLQNHSKIKDLTLKFIIEIKTSHVAWDWSTSTWTAHPDWVQCIETMRQLKLQ RLVLRGIEGFGHWSDLYGSENQDLTLARIHDYILHGYGDNPLPTRLPLEKNNLAWDEE FW LY89DRAFT_699728 MATEHARRSQWKNDLLPHIVDRLARETPEALYAEYPVSPSTYDE VLTYVGPNDFRYTVLVLAAVKVGYVLFLTSPRNSLAAHLKLFHQLNCTKLLYPEPKPA SVSAILESHYMQHFAVPSVEDLLGIEYPVYPYDKKFEEAMSEPLMVIHTSGSTGFPKA LIYSHEGVARNMNMLAKDPPEGLGFMDRLVQGKRVLNCFPPFHGACLASHLFAAIPFG TVMIAPLAGTIPTAQGIVDALHHTSAHVALIVPSIIHELNQDAALLDYCAQNLERCLY AGGDLPQAVGDKVASKLPLICQYRASEIGMTPQLLPKEMTPYDWKYVFFHPCLGTEFR DIADGNYELYVKHDPEKESEQPTFTVFPHLKEYGSRDLFKQHPTIPNLWSWSARADDI IVFLNGEKTNPNSMEQHIVARNPEVSAAIVVGTQRFQAALMIEAATTAQPQSIAGEAT FIERIWPSIEEANRLAPAYTRVEKFMVLPLSSKKPVIRAGKGTIQRVATILQYSAELD RVYQNADINSDVDDFGITIRVQDIKSISQAVRAIVIEATGYTELDDHTNFFAIGLDSL HGLKITRMLRQRLRISDVAIATVYNHPSIAQLSNAILSLQISKQNLQTREKQARAQSL TSIFEEYRDCVHDISQPTTRVVKRPEKHFVVLTGSTGSLGGYLLEALLNDPSVDYIYC LDRKNDNRSPKIHRHNATILTSRQNHTRVTFLEVDLAQSNLGLDSEAYNSILESATLI IHNAWPVNFNLSLDAFRPQLTGLLNLFTFSASARYKPALFFISSISSVLGLRTPSRLT FEEVVTTTDAPFSNGFENPDFIARVGQIAGPVLQPGLWNPAEWLPSLVMSSIRINALP DSLGAGMSRVDWIPVDLLAEIIVDISLHHDGNLVCSGAEVLHLRNLKVTTWEALLPDV KSIIDSITSKNIAVVPAKSWLANIRQDFESTLKSKEGNEGEKVADEELETLLKANPAI KLLDFFSSSFPETEEVNVLALEKTLSRSEPLRSLGGVSSSWMQKWIAEWLLS LY89DRAFT_785810 MAPELGKGEYAKIDDNEGSYSEFTPRKKRTFLQRYIFTRINTII LASLLATGACALIWARSNLRNGRWHPQTMVPRIPVVPKTFHMTDTYMSYTEHSNEAWD DLVPIQHGLIRLEDPYRYDLQPGHADPEDPDVAWFAISVFHQLHCLSAIRDTIRALHT DQPARIWGGSNHLEHCLDYIRQGLMCAGDTTIEWPAYVKTGFMDDGPITGEGIAHQCR DWDSVIKFGSENGALKSTVGLNISRVIE LY89DRAFT_722070 MKLSIILAFLPFLSAALALPQPYAVAQRDIESIKRDIESIKKRE PEPVVGRDIESIKRDIESIKKREPDIESIKRDIESIKKRDIESIKRDIESIKKRDIES IKVRDIESIKRDIESIKKRDIESIKKRDIESIKRDIESIKKRDIESIKKRDIESIK LY89DRAFT_722071 MASEDTRPFLDEQMSLSSRQSTQIGYERDSIDDLDQIDIEKMRQ KEDHYTVLERDYPLGGLLAMCSSVKDASMKFRQYLLARKNYIYSALLLFAAGLFSVSL FGFLSSRSKALPPGRLSGFEYTSTGVLLSCGSNFEEAEAAGCTFDLMTFAWTPPACLD EEVYNDVLSDFSELAPTRGAGTWPWWRYENRTEPLEQNSGLKDYQGPWSNTYYHRAHC LYLWRIMHKAAGRVVAGEREVYVYSKAADWEHVVHCNKLMNDIDGMFSDYVRAMRVIG HCVRVDGVPGTEIVY LY89DRAFT_207988 MEIEHDFPAPKAVMPSFERAEQYFSHEESQSLLWTTEDSFFEGA ESVEGMNLDFLVSDFSPPSWAVSKSNENNSSTPLTLEMTMEKTGCSKSQLISPPESLP STALSQSQGSSAEQSAEQPQKAVCLNIDNLETASASAPSKEVTRNEKSYQHLPLLLET TLRFYFKAPSATQAPISDALRLAKDGLQAIQCCLREFYLSSRAQASSSGLSSHDPSPP EPDSESSSILACIILMEKVLFCYDSLLKRRSGPGDVSSPYAPSPAPSSTSTSNPTASS SSGHHCMQPIFIGDFEVEDKASWKMILDAVVAAQKQEARSTISKLEDWASDLAGKGKN EGRLAISFLEQLKRKFHD LY89DRAFT_208018 MPVAELRSDPIKLDLEITVAFKLTRNTTSCLPQFPSFRSKILNM PLFRKWSDWQWRRQQQHIHYESLIPSSEKLDDDESEIHLILPSSVQIPRKLRVLKRTL GLLPWALCVILSVSLAAVSSAHRRAHRHGSYETGFDTDLGPAKSSLGLTKVKFYGGIQ VDPNGTYYLTHNPDEPHYVGESSPEVDEAWERMLLHKRYLGLTSKEAAETDFEIDEKD WIFDLYWVSPNTFHTLHCLNYIRKSLDRDHYTDIEDLPDRPFRMTHRMHLDHCIEAIR QTVQCSLDMTPVPRPWIPQAGIYHADVDQWHTCRDFSAVRNWMDWRNRDENDDDFINV TQRYKDRNAPYAKWNPW LY89DRAFT_592608 MDSLEDQLDGSEDEKGPLSPTDSEDPILSKNNCDTVSLGWPSLF ALTCGIGGLQVIWSVILSQGAPFLVSLGLPKSLTALVWIAGPFCGAFVQPIVGYLSDM SRYSWGQRRPFIVIGAVSTIFSLWMLAWTESGIKWVVQTCHLEVRKEITANVVIAFAV FWIYALNVSIQPLQVGLRALVVENCPTHQQAQASAWASLITGGGNIFGYLVGFTPLPE IAKQLYLTHFQWLGIIASLAVAITVSITCSFVHERSSEALLLPSVRGPQQFLRTFRTM PLKIRRVFHIQIFAWMAWFPYLFYATSYVGDLYFNAIPLSTSETYQPSTSAIRSVSTR VGTFATFLSAIVAFLTNMLLQFVIRQTTCNDSHDSKSHGRKHLFRSFTIAQVWTFAHI AFAILMFSTFLVKTHIGGTVLIAMSGISWAMTLWVPYAIIGQELTAKQDRLREIGTAE LESPDENPAGLIMSLHNMAISLPQIAAALVCSVILWLSDGQNGLAWCLRAGGLAALGA AWTLLSLEDS LY89DRAFT_688147 MFGEEDSALWGPPQALLEKKRGGGRSMRLAFLCLILWMASSASF GGLIALWGLNSVNMNRESCGDSALAMTFWDPLIAK LY89DRAFT_208026 MFPPRQFILCSNSTETTKFSYHHNMALFTKAAETMGALPQKYEP LTGQQDDEATQQDSLVFNFPRDRRTLRLKIIGLGFCLGILVATFLILIVVGLILSSVV THIYPAAESHCAPGTEAVADLGHTAFGLQKTGPFTCGENADEARALGCIWDVMNFAWE HPDCYDAELVQEFEALGPWTFYHSSRADTEVPESNMLSVIPDDQVGDHLELMWTDRRY HSMHCIFAAKLVHRAVERGGKVQGKLASINHTNHCAMSLQNRDKEAVRWMERYHTVVP LDAIVTRATVQYPTC LY89DRAFT_688148 MASQQFFLKTAPFPGEEPSKYTNLYLRHFGSGMDSIVLTPGQPK YIKGHMEGKRIAFTSASHEGRKWGLELRKDGEQHAGWEKVEIVEREGSDGLLFTTGEE GEVLEYEEEELAGEKVWKGWMVCDWAHGYPQLFWVTHKLSGELPPFCHRVQIVREML LY89DRAFT_738248 MYGSESTNYGFWKSNVGEGITFEYYYPTPTVIEKPYLTIAVLLK PFDAIPSSPIKELLSYRSSNSTERSNELANLWIRTCNETHTYCKRSLAPLETRVLPAR LLEIGSRSGPELKPRLILTNSLPRDTKYMTLSHCWGDYQPLTLTSSNSEGFLDSIPWE SVPKTFQDTMLIASQIGVKYVWIDSLCIIQDSDEDWQEQAAIMGDIYQNSHCNIAAAV AKDARDGCFVKRPQTAFRSCKIKARWRGRSSQVYFCCSFKGSDETWDGPLHKRAWVLQ EMVLAPRALHFAKQIWWKCLELEASETFPYGVPDNKGISNRSGLDVYSVRQNILRAGS EKFQRFELWEKLAFRYSECALSRPKTDKLVAISSIARKLVGNGDDYIAGLWKENLAEQ LTWHIDLDQPEMMPPNTGFPSWSWAAVNRRTWIEVPHEYDEGQITITVRILEMHATLQ GADPFGNIEPGAFLRLEGPLVKTTIRPYDNGYHHSFEWLLGNSVADVYPDHGKYTEGQ TVFCLLIRVGEIRRVDTGIVLERDEAVPGRYRRSGFFRAYDGYKGDKWLQYFNLMRRD SLRSDEYEEALGVDPTLGLPFYRISIV LY89DRAFT_688149 MVSQQTNTVSWQSAFLGLVPLALNAMTQPSTLDAKLPESSILFA ARSSPFVCVADAVEVLIELCIHTYQRRKLREAAKLVNWRRARFRLGVELSSLEESAAE KHPRSFLIFFIATLLQAVKILGLEGLFWTKVWAGCYICSYVVLAGLQFLAPGGWRDDP PPRNATGDTSSTGGNTITSVVQLVWMRFALGVHIWVASGALYHVVYAPIARLSRTSSL GYGLSLLIGLIPAVSIASSGILLLWAMLDFFFQLLEHVRPRPPAFERMLSILRDLGSG KLLNIRWTVIFFAWFSILVGQLIKFFGWARYGEENVSSLGYGGGFLMLCCGVLLFFLH ISSTVLKRVHSLRWLMPEPDVPRMVLVFPFLNFLVAFLYYRFAYDPHGTYKPAWTENL G LY89DRAFT_688150 MDISYNDLSRSRQSCHHCQRIVIAPPASILDDAPFKSFINSFEF SLREVLDASESSCVLFQRIARYLEEDFFEDPPPNVQWFTDNQPDRKILLHFEIKPSIF DAINEDPPYTCAISWLWEWANESKDGQVCFGSIDKFTYELCSEPEDPAAELVKQKPRY PYVASETTFTFVQESLRECDLHPECTIQSHSLLESGPGPSRLVDVGTSEDDNVHIIIA KDNMRYLALSYCWGNNDSMKLTSDMLTDWIEGIQFSLLPKTLKDAVMCTRQLGLRYLW VDRLCIIQDDEMDKAREIAAMPQIYSMAYLTISAASAKSSEDGFLKTRKETHEAISLS WVELPYLSSKGASGLVTLKLSESCEDFNWPNTRAIDSRAWTMQEQILSPRLLHFCTFH IVWKCKAREKIGTSEAIERSQPAWSKEQKVGQENSILNSWENIIARYTERKLSCPDDK LTAISAVAQAYCVQSGALSKHHQALLQEQTSEIQPLPRAYVAGLWRHEMPFALCWWRP WDGQPEFRPLSPRAPSWSWASVDGSIFYYESTNTASYNTNATILAYDITLKSPLQEFG AVSSGELKLRGRLKEGIQLDYSSSNIEVQNVNGIAGNAIFFPDARDDQLGSGRMITAF ALQIGDSTGFFWNGVEPLKGPYGLVLLPVDDETFRRIGFFQADLDMGFDDLAFQDITI V LY89DRAFT_208058 MMLPWLDDPVMLHSSRADTCKLTAAQCEYRSGYWRYWYQADHVY GHATVYFMCAVIGVFMISNIISKVSKRSASGNSIVQRGLAATRLLAYKTFHVRGLGWY SPPLGVILLGLCGVIYFFSLTLGPKPYYWPNTKTLSYGGSPPIATRSGWMALGLLPFV MLLSQKTNYITLLTGISHEKLQVYHRWTSWTMFVLALVHTFPFIVVHIQKGDMVMQWD VEVTYWTGVAAIITQAWLNIMSIGPIRNRYYEFFKATHYLAIGFFIFFFFIHCDFRLT SWDYFIAALSLYAFTLIVSFLRTSIQSLDLHALFLTLPDGTLKITIPTKVCWAPGQHV FLRFWALGLHAYTTHPFTICSLPDSGEMVFYMKPQGGFTARLKSLVQSQKGWMPMSVD GPYGDTDTASTLASHEKPLIIAGGSGVGYLLGVLRGVLKEAGRAKDIKAIIAVRHSSS ASWILETVESILSETKSGVSVELHITDSVPVEPSSSSDDIEKVASRTSLPISSSHAGI TIIQGQGRPDLKELIRGVTSEGSGSVGVAACGPSGMMSDVGNSCAEAQGRILRGGKGP RSVWLHTEAFSW LY89DRAFT_208064 MSLRAASIKNTSSDDEKAHPESGRGVVEPYYPDVEDPLEENEVF KKTHDGVDFRTVGWPRASVIFLKVIFATGVLSIPTAMYSLGAVGGALSVIGWGALNTY TAMVQGNFRNAHPGCHSIADMAAVLGGTVLRELVGALFLIAYILCAGSGILGVSIGLN ALSTHAACTVWWSLIATVVIAATASVRKFHQIGWLTWAGFTSIFIAVFIVVVAVTTLD RPAAAPQTGDYELGYYVIAHPTFIAGITASATIFVSSAGTSAFLPVISEMRNPRDYNK ALFVCMAIVNAAYLSFSLIVYKWCGQWVASPSLGSAGPTIKKVSYGIGLIGLIVSACL YLHVAAKYLFVRILRNSPHLQKNTAVHWITWLSCTCGLASISFILAEAIPIFNYLLAL TGSICFAPLAIALPGFLWLYDHGSYRKGHLGQKLIYYAHCFLPLLGLFLCVGGTYGTV QLIINAYADKEIGSAFSCADNSGST LY89DRAFT_785820 MGFLPELRGRSLYALMKFICGCSFMMYGYDAGVLGGVLLHKPFL DAIGNPTGVYIIPMISSSYSLAACVTSFFVAFVAFKIGRRGTIILGCVVAVIGSVIQS SSYSVAQLIVGRICTGFAIGCISSAVPTYLAETGIEIGDRGPANALNAIMLISGVPIA YWIDYGFTKMNNQASWRIPIVFQCIFAIISGVCMFFLPDTPRWYYARNRLEEGDAVLA RLNDLPVSSPEVQQTRQEIMLAIEAELEATASLHWKQFLTMGITDRTPMKIVRRLCIC FWLPMIREWMGSSLMAYYSSVILSSIGARPSLVSLLSGILNIFFALGCVPLYFTIERV GRRSVLLYGAMTMTILITVFTVLVAVPPSPSIQWASIGVIFIFLFVFGYAWQGCVWLY CSEIAPLEYRHIGGAATATGEWLMTFLTVFAGPIGLADVGWKFWIWVVSGNIVAVVFV FFLCPETGGKTLEQVDFLFTNQKLAFKSLDVGDVEEHGHGRGEGEKDENVEVEHIN LY89DRAFT_688153 MAMNIDMSQASVIKDEQGRPFIVVRDQGKKKRQHGTDAVKSHII AARTVANIVKTSLGPRGLDKILISPDGDITVTNDGATILGQMEISNHVAKLLVELSKS QDDEIGDGTTGVVVLAGALLEQAAELIDKGIHPIRIADGYDQACDIAVAELDKIADTI EFTKTQRTNLVKVARTSLGSKIVSKAHDQFANIAVDAILSVADLERKDVDFDLIKVDG KVGGSLEDSLLVQGVIVDKDFSHPQMPSEVRDAKIAILTCAFEPPKPKTKHKLDITTV EEFKKLQNYEKDKFAEMIQQIKDTGANLVICQWGFDDEANHLLLQNKLPAVRWVGGPE IELIAIATNGRIVPRFEDLSAAKLGKAGVVREMSFGTTREKMLVIEECANTRAVTIFV RGSNKMIIDEAKRSLHDALCVVRNLVRDNRVVYGGGAAEIACSLAVEDAAVKSPGLEQ YAMRAFADALDAVPMALAENSGLSPIETLASIKSRQVTEKNSRLGVDCMQTGSNDMRD AFVIDPLIGKRQQLMLATQLCRMVLKVNNVIIAGDENEF LY89DRAFT_785822 MTIPNQSVNLAVVSKQKMFKQGKVCIQFRETGSCKFGTHCRFEH VKKQESSIQTLSQQSSTSQTSSVAPVVPNVATQKLASTSMASPSIINEPAAKENNGVT ATTKHQKNCPRFRKTGHCKFGAQCRDKHVEKPVTLNQPSPSTASTSNTLALDVKKPTS AQSDGLAVTNKKKRGVCHQFTRSGTCKNGEECQFNHILKHGASVVSGGQQKPQPVIAN AAVKKHISFAQAASKGLRSVDNPPNSTMKPNDAANPMPSQHARSASAASSVSTTVTET STTSSISSPASSVSSLASTTVSKASNISTASVASSKVSYLEITPIKTWDVFADGKWWA GKLKATTKAKFFAPYILANQVTAGLLHQYGLASKGKEDVFNKGDHGVFHYFPHLPGEL RNKIYGYIAVGTVNNVTIKCKESLTLDNIYQPGDGFKSMGAPPAMLHVSSEARSYLLG NGYYELAFGTLYAPARTYVNFAKDRVFINLDGHHQLRPAFGMMSRRDLLRIKLLALPC KHAYGDYQNFFRQLAKMSHLRKVDLLLGDSPDDAKYAQNMKYMSLWRHEMKSQWELRW ATAPKVLDRGVPKIDYCLIDGVDARFYGIDDLKWFAGQDNNTLVFDRLGYRVRENMGS GWYEGPKTVRIPRR LY89DRAFT_208156 MLLRPRWGCWSLHKVTSLCIRRSRGFSTRERWVERDVERYHVAC GSSGSITVDLHNPQVLTNPTTPLILYLPPTGTHLRSTHRSIPSSLLSSFPLLQINYRW NTTPSVTKYLASHPSFKNHAFPTPLHDIHHAYTHLTTTILPRYTSSPPTSPLHNSYRY SPPAFPRLKTPQRPLIIYGSFLGGALATSFALTESRTSLIPPVRIAGLVVRDGVFDFS QLALLEGPSSSPGSCGNRGGCWDGGNWDSHQLHRMKKILFDKPASTFDSFASPIFFLR TPGVEIPKFWPGEDDSSSSSAFSQEEDIWVDGDGESLYVPSPASPAYSTSTGTQTGDQ IVDGENINGDEKPSNGKTITTLETQKPPAKALLKFPPSYTDLILPRSLFLYTSPTSSP SPTSTSASKLASLTARRKPKPTSNNQTPTDHNPNLKAELGLGPLHPKAQTTQITTLLR RSIVVHESKTWSGRDGDGDGDRDPGEVAEERIQVLELGGADSEAQKKSGEDEAVGRWI ADIYP LY89DRAFT_208171 MAKKSCAKSFCSVLQSVFAAAGLYGLLYLGVLVIQRGSLPSPKD LIQNPPTLRPGSPSSDSVGQPGLRLPSSKLKVEKINPAANWFNRPESLVVPRDDYLGK RPNVDTVANLTKLVEECRGSYENIEKMPYVYDCLKYMEEGEKEYFYTPARGERASEQP PRRAEYLNSDGADNSLDEYPSYKSASKDSIGQCNGPIVPYHVYWTGPATWRVELFIKS HFYTQNIPCVRLWLWLDGDRDPKAVDKMLNHDPLFEKFLPFVERGDIVLKTWKFPSRI PLPKGDNTDGVGYYKTPGKRNSKNETLVADGLIRDANDQEWLVLTEKQMTFLPVAVSD AVRFVVLHLSGGAYFDMDVVMLRDMRPLLIGDEHSFAERWGGHPSPGDYNTAIMSLTA NSSLSSYLLRGGVRMGLNFHPRVIGVMSVKDRRNREFHMLETAAFDPIWTEFNWDRLG RCTVPCIHDYGQVFKGKNAFPLKDEWDSYEGEQLKLASETKSRGHFWEIKTKRDEEIS ERTTTTEISTQTAVTIDRDALSKAEYRIEQDNYPPTNRTLENFFRGAWTYHVHNQWLK NPEPSSWLNVVQRAQDGFFSHGRLNPYGEKWDGPAIPEYEISWEYT LY89DRAFT_208189 MPKITIHCIRHAQGYHNLSLTSHLLPDPSLTPLGTTQCTTLSTT FPYTTHLTHLISSLLRRTLYTTLLSSPSLPLPPPLPPPPVPPPPTTSKSSLCQKSKKR PPYPAIRGSAGCWGFGERRIDRASLRETEESRKRRRGSELPLTREEQLRLRDAAEREW RASGFQSGGAGAGEESRL LY89DRAFT_208229 MKLLLTLLNLLPLISAQLSGPVGPTTSRASKRTKVCNVLDYGGV ASKTSDVGPPLTSAFAACKNGGTVYVPPGDFGISTFVSLTGGKSWALQLDGVIYRVGT GGGNMIFVGSTSDFEMYSSTSKGAIQGYGYTFHADGNYGSRILRLGKVSDFSVHDIAL VDAGAFHLVMDTCTNGEIYNMIIRGGNEGGLDGIDIWGSNIHVHDVEVTNRDECVTVK SPASNMLIENIYCNWSGGCAMGSLGSDTAISNIHYRNIYSQNSNQMYMLKSRGGSGSV KNCTFESFIGHTNAYTLDLNADWSSQKEATGDGVLYQDITFRDWRGTCSNGVQRGPVN IVCPDKVPCTGLVVEDFAVWTEAGSSVVWKCANAYGSGACLKGGSGTGYAVVTSTISA APSGYAAQKMPDDLTAGFALTASIPIPAVPTTFFPGATPASTLLGG LY89DRAFT_738259 MPLPDKHKFKAIIVGGSVAGLTLAHAFSHANIDYVLLEARDTIS PQIGASIVIMPNGARILDQMGLYDELRREIMTPMTRSFLRQEDDGRLVSSNEWPRMVE ERLGYTCGISERMLFLRSMYGQLKDKSKVRLSKKVVSIQHGSDSVTVKCEDGSEFTGD VVIGADGIHSRTRTEMQRYARETGPPGLMDKDENCITAEYTCIFGISRPIPTLHPGDN HVSSSIDHSGLMFITSDRLPQWFFISKMPSKTIGSSIPRFTGPQMRAEVQKFADFKFT DTVTFGDLTERAEKMSWFALEEANHEVWTYGRVVCLGDAIHKMTPNLGQGGNQAIESA AVLTNCLVEMLDGQEGNVDLGELQGALRKYQDLRQRRAEKFIRLSGMITRNEALETLR HVLRFLYFEPLSGEMLADIQTEMYHTAPYLNFLPLPSKTRDNELWIEGMKRVKARKDV PLPKYALPGILFQTQLTAC LY89DRAFT_756956 MRLVNSLAVFFAALVTAQTDYPELPVPFLVTSSPVTPLPNGAPW GLKTANNTNTCNVIRSYDFTIKREKKSPDGYQKDVLIINGQFPGPLIEANWGDTIQVT VHNAIDSPEEGTSLHWHGILQKNSQWMDGVPGVQQCPIPPGGSFTYTFLADLYGTSWY HSHYSAQYAGGAVGPMIIHGPTTVPYDIDKGPIILSDWFHRDYFSIVEDVVGTDISKV GPTSDNNLIQGRNNFNCSTVAAGDTTACKSNAGITNFRFSPGKVHRLRLINAGAEGIQ KFSLDGHNMTVIANDFVPIQPYTTQVVTLAVGQRADVLITGLPGATGAYTMRSSIASF PCSFSSQPDATAIVYYTHGALTAGVYNSTAWPAWKTSAIAPICANDALTDTVPWFPIT PDPAPPVTQDIDIEFGQNETGHWVWTMNGQSFRANYNQPILLLSNVGNNSYPNDPQWN VYNFGSNSSVRILITNPGFLAHPIHLHGHNMFVLAEGTGSWDGSTVVNPSNPQRRDVQ LVQAGGYLVLQLTADNPGVWPLHCHIAWHVSAGLYVSVMERPDDIAKLQIPSIMAQTC RDWAAFTNSTVVDQIDSGL LY89DRAFT_785828 MNLVVRQLACLPSRRILHTSVRATTASCIFPTSRPNLTFTSPRR IRSFRTTARLRQKDKDEPEWLMELRRAAEVITSTDVSGLDTDGTVEAWEKALRKAGLG SVYDRIDRLADRMHRWVRNAFLLGVGLFFGRWIGGRNDAKETKAHDVGMSKTWDLD LY89DRAFT_652949 MKLSFPLSVFSLLPLNLARQPTSKTDYTQASTEVLSKLMEYYHA SPNVTAGLFDQSISPWWESGSIFETYMDYAKYAASNQFAVQVGEALVINSYDQAHDFF GTNHSYVSLVVGRWNDDVEWYAQAVAAGGELYGPESFMPGLSRRGNGTWISLVQRTIE EVYSYHTSDCGGGIYWSTDPSQYPTYKSGITQLGFISLASRAYLMTRNETLLAITEEL FNWVVSSGMVNLTSGWVGDGVDTTGCAVHTDQWSYSYGQLLGGLSFLYRATGNTSYLE YTKPILATAISTFAPDGIATELCEADGSCNQDQQGFKAIFLRQLAYLYRTTEDTAVKD SIAAVVTQSATAALATCDGYWNCTGGWTAKTVDYPAFRSTHLVAAALVAAEGISR LY89DRAFT_709663 MWLSRGLLLLTLVQTGFGLQNWNIRRESVLLQNIVTYDEHSLLV HGQRIFIFGGEFHPFRLPVPDLWLDIFQKIKAMGYNTVSFYVNWALIEGEPGVYRADG IFALEPFFEAATTAGVYLIARPGPYINAEASGGGYPGWLQRVPGLLRTKATTYVEATA LYIKTVGEIIAKAQITNGGPVILFQPENEYYNANLNTGTCDNYTSYDRPYNDCDADYM QGLLDMYRAAGIVLPFIDNDSSAPSKGGIFAPEWRIDNSSKGDADIWGHDSYPLGFNC SNPTVWPDGYLYTIGYERQQTLSPSRFEAITEFQGGTFDQWGGTGYEKCIQMYNEEFE RVFMKNNFASAPGLINVYMTFGGTSWGNMAWSQAYSSYDYASAIAEDRTLTREKYSEL KLEANFLRVTPAYSNSSVQNLTTELYTNNAAVAVTPLIGNGTSTNLYIMRHSNYSENS SLAYKFIANTTEGYFTVPNLGGNLTLSGRDSKWHVTNYQFGSHSLIYSTAEIFTWKEY KSLTLLIVYGGPGETHEIAISTNLTSNQLEGPKISTTSGNGSLILNWETSTTRRVIQI GDIFVYIFDRNSAYNLWVMDFESLGLWGNYSANVGNTTSAVVVDAGYLIRNAEFDRAG LYIEGDLNSTVPLKIIGAPESAQSLFFNGAELEYSSNPVTNEWSTILKYETPTIEILD LDTLEWKFIDNLPELQPDYDDSLWTLADHTTTNNTRRPLLTPTCIFASDYGYNSGGVL IYRGHFTANGNETSLWLATQGGMAYGSSVWLNSTYLGSTIDSPSYTQLNNTYNFTTSP GKSYVFTILVVNMGFEENPDPGADQMKEPRGILDYEFQGRNKTTITWKLTGNFGGEQY PDKDRGPMNEGGLFAERQAFTQPFPPSESWEAGAGFWTAGFELDLPYGYDIPLSFDVE PSVTNGTLDAFRAWIWVNGYQYGRYINHIGPQTNFPVPQGILNYHGMNWVSVEIWAQQ ETGAKLANFTLTAGVPVLTSLKTPRMAPMPSFTPRLGSY LY89DRAFT_738265 MDLQAQSERLLRDQLHQLQLEEQRDRLLLAERENELERLDNRLK DLNNKLESNRSVVNRINGQLTMQTNDIDSYISWSVTERAVQPPTCFPRVSSNDIQVVK KSFPISDETEIASAESARCKEVIAMYQERQAIRRHKMDTCVDRLGAAASVVGTIFQIA AFATTMAAYRVM LY89DRAFT_738266 MANLNTEIVDNLRQIIEDACTDSKNGIPGMTVVVVGKNGQELLA HSAGKRGFESSEPMTLDNIFWIASCTKMITGIACMQLVEQNVLALDDVAQAEKLCPEL RDVKVLGDGGKLVEKERGITLRMLLTHTAGFGYTFFNEKLRDCNKAIGYDEFSGHLYD IKQPLVNQPGTRWEYGVNIDWAGILLERATGLSLNAYMQRFIFTPLGLENISMFPTQH MKDKLVHMHNRNTDGMISRFDHIHRRPLIVDTEEQVKQCFNSGGAGCFAKPQEYCRAF SIPVVLSQFSSSKLLNDGAEILAALLNDGTSPKTGAQLLQKSTVDLMFTNQIPEFPQF GRQGIPDAKPWLTNPLPDLYPSPKEEGQGWGLTFMLSGGYTGRSKGTAWWAGLPNLWW WCDREHGVAGLVCSQILPFADAKVLGLWAELEAGIYQGLV LY89DRAFT_563837 LPQRLASSTCKSLISDGLMQIYHDSMENALSCWLNETTCPYSIS SSSRHNRPNILKSMTEEWGPNWSNRICSRVCRLDRVASTTRDRPLTKMEDKAASRALQ AVIMSFATQWAQSSQRSEREYSSFVKFEGVAYGSQLNGFSPEEPAFDEPDIALSVPTE FDRLMQESSWYRARQALQETAGIQSFRVIFAHIIFSLTQKPLKNSIADELDDVVQSDG PPLFLEIALRQLHSYRFKLDTWEREKGMLRRDANCTQTQRDPLNADDRKTFDMLFWLG VMFDTLSSAIHKRPLVISDEDSDVIPELASDPSAMNGYEEEFDNEIAYGSSLTQGSNL WGQFFFQQKRTQDRESIVRWPCPYEKAAAALSDAAPIKVLLFRKVSHLQILLSRRARH SSFEEGISAALRVYQHWNDAYGPFMLDCIANHDALPPRIQSWYIVLAGHWHLGAMLLA DMIEFIDKAELSLTSRRQRRQSSHLVTRLHIKNAYAVADLGRCSCPRSNASFPNFREF HFAVNKGALLTEPWTVVLIRSFAKAAILLLDLMTQNHQKLGEHDEREQNKARCEYCIE ALWNLGKKSDMAYIAATALSTAL LY89DRAFT_756968 MATSSRFPIKSELKEYARRRASTGRYADTITPDVLIVGAGFAGV YLLHELRKRGFDTVIYEAGDGLGGTWRWNRYPGARVDSEVPEYELSIPEVYKDWTWST NYPNYEELRAYFDHVDKVLDIKKDCAFESVVVDAQFHEDEGKWHVKTEDGREAIAKFL IVAAGFAAKRYIPEWKGLETFKGIMHHSSFWPDEGVDVKGKKCAVIGTGASGVQIAQE WGPEAGELTVFQRTPNLAIPMGKRPLTAEEQNIGKQWYHRLFELREKCFGGFFFTFSE KNTFDDTPEEREAFFHELWDHGGFRYWLGNYKDYLYDAKANRAVYDFWCKNVRARIGD PRLRDLLAPLEPPHPFGVKRPCLEQNYYEQFNRPNVDIIDIKANPIEEFTPEGIKTAD GKVHAFDVIAIATGFDITTGGMTNMGLKSINGTTLNDEWKSAANTYLGTTISGYPNMF HLYGPHGPTLLSNGPSTIEVQGRWIVDTIMKAEREGLKYINPTTEATKEWKKKINHLS DISLFPTTKSTYMGGSLPGKAFEQVNYAGGIPAYAVEIRNALPEWKGFTTVKV LY89DRAFT_699741 MIEGPPWDLEKIHDYERGGHHPVHLEDILDKRYKVIHKLGSGGY ANVWLCRDVTSEMPRYVAVKIIMAEASTKECPELRVNKLVQLGLDKDLLADHFCLPLD QFEIDGPNDLHYCLVYPVLGPRVSRLLNVDKLRDTGKALRQICFQAIEAMAALHSHGI CHGDFRPTNILARISGLDGLTEDEVFGALGKPKSTKVVTVSGDSHNESTAPQHLVYPI SWDNVELSDLGANFITNTACIIDFGESFEVSDLPSELGIPQIYCSPEYTLDNDVGISS DIWALGCTLFEIRTGRKLFDTFDDDPDEYLCKVATILGRFPEPWWSTTWRRRKELFED SPDENRRVVEIRRDSKPEDAKTDTTHDEDKPRITIMHPPEARSLQDALAAGLFYENRG GPGGMRWGICQEEIDLFSNLLAMIFNFNPRERLTPQAVLKHAWFEL LY89DRAFT_699742 MLSRPTGTCREEVDFPMHPNSQSMFPYHDVRGYWPDPSNFSSNA SLIDASRCSMEPPELHYSSASASSTMSSVSIATMGSPHSIHGHIVSPPEWAPHGVGLT PSTDSYDNFGPSKEYTFQPSGMDGFALDAFHSSKPNGFVDPSILHLQYTSRPTPSSQL YEHSYPYLPSGRTSVQSVNDNLSSEEYKEKGRCTYPDCGRVFKDLKAHVLTHAIERPE KCPLETCEYHTKGFARKYDKNRHTLTHYKGTMICGFCPGMGSPAEKSFNRADVFKRHL TSVHAVEQTPPNSRKKTSPSGNANATKNLSGYAPSATGRCSICDTIFNDAQEFYEHLD DCVLRIVQQEEPSEANDATRLAEVENDHAVHQTLDAKALLSSITTLISDKEESDHDSD LTPPSRSDQMTQGLTDTKGGVTLETLSQGKKRKDYPLSWGSPISQMKMKTKTIPCYDM MLNSDYEVRLELGDEKTYVTDLDVQTMKRADTFRTHWKMKKVHAFRGMYVGQTCRS LY89DRAFT_208487 MDDEGNPKDNFLIGVVRQTLLVLILLLWMLLHLVVAMMVVIVSS LGRAVRWLGGWRTDLGMDYELVERTEKEKLWPPHSVCDDVEE LY89DRAFT_785834 MEREIVYDVVVFDEGSEVGKLVGEGLRKEKGLNWTVTRVSSEEV GMSEENSSSVLEIRSIEAEKISALVKKTKVAISLLEQNSGAESVLETCAKNGVHCVTP SYKTPWLADIIRKYHDIAQENRTMIIVGLGAETAPQDLLAYISATELRRKLQIDTREV VFSQPETKSSRYPRTEKRATRLDPWSLSPVRGNFVSERTNAFGARKDLTLGHLSTSKN HYAQAIVHRSWGLLDPRTESVYGPNFCFNEFVERNSSTATLKRKLFGTCCVPRESIIC GNDRTGSGLSLGAVAIAHQEGPYPDRALSELKCAGTTENLTAVLLVQGAITILNGPKA KEIVGDGIVTPAMLGFPLISQLKEAGLEIESMLV LY89DRAFT_592605 GSGVSGKYPIPFQPCDEIDMALSMMHTDPEIWGEDAEPFRPERW YGLKQSWDFIPFSGGRRICLAQQNVLTDISYVLTRLMLEFRPCENLDECLEYIEGRVF TPQSKNGIQVALIAAQ LY89DRAFT_699743 MTQEFLRNQTNLDIPLIKEMRELSAPTDKIDLTLMSRAQGVGLN TIWNDISLEQMANYKDQLGNAIKLWRQFTSPVAKKVNDDPLDDCIIGNCLRRTAPTCK RMGATTDEWFENLEEDLRFGLSLLHKTKDPLVIEDKYQELKRNFPKSDPYVLTHGDLN LTNIIVKDDKIEAIIDWEYSGYLPWWAERWLSLIGGDNQSDELFDPLWADIGLEMDEA TFQTEVIDKVAPVIQAWEEGRFHVEHPDSHIAWVRPGFCKCKPWAGTINWTELGNQPE HKLRDDIVVKKLLLL LY89DRAFT_623654 MTRDTHRVHDDRTVASDADAYAALWATAQFPRLPDDAPHELKKI TIDIDDPRRVYAIHRAARRHQFQILVERYVHQIRYGCQSTICQTSTCFSCRKRLAAGA PVRRYNSTSARTLAVYLASQDNPEQGLCHHKSAEEPPTGGDKPPKSKPLSEDVTRDSS PLPGLSRRTSSRDARIHVSVGSSSSSSNIDESSIRDKTKRQKLYEDVLRRANGPDLTI LEEPTTLDHKSFIQNVFGTVAFKMVEWLTPRNLELLVRSQEPPGSSEEIPAASSSPRP KQSEGATSPRKNDDSDGSSPKLERKNSDKSNHPRSNSRSSGPNDLSQLPPSAKPLPKA DTEPKSNLFGTKPSTESIPRGSLDSSRHRRSYELPGARGILNKTSRLSDVAPDVTPFP PQGFIPTPKQRLSRQLITSPKVQIAELPPTLHEIPLPAGSVTEPSDSTEHTQEDTISE DQQKDSTKLSVTEPDNMSSPKIRSRVISMPQSLSRLSIETIEFLCRIMEMEGLSERHL LSPLTIPHRLTSNSLRRSYKLGLQTIEEIIHSVEQWQSFINQVLFDVLSKPDSLIKSF SDDNAVLLDTQTIWYMMLKLTRVAPSMVLDSLWNAAGTLFRPPETLEEAYDWQQDAEH QQLPAQQSVSNHDAAQVINICLHALVAILPLVIDARQLANMSRIRSYGLAMLGRESAF LEPLTLCLQYEDAFTNELAVRLARRIFSVIPIRRRFTELLELQKGSRSEYKRDLDVLE TVLGTLKYLDSGSSPTINFTDQERDIHEKRVPTLLLDWARTVMLQDWQGSAEVPSDGP FGGALAMMAAIYKNRKSLLLGDIHFRTEYFAERLDPIDMPLEWLEFCPNKRTVHLLDY PYLFNPSTLVTYFRAINYSRMNQFYEEAKATNGLMYSTAAENALMVDKNRRDRLYARM RTATSRFMVLEIRRTNVLVDTFNSIWRREERELMRPLKIRLGEEGGEEGLDSGGVQQE FFRLAIAEALDPDYGTFTIDARTKMTWFQPGSPEPLWKFELIGMIISLAVYNGLTLPV TFPKALYRKLLGESVDELHHIADGWPDLTNGLTQLLEWDEKEGLVEDVFARTYEFSVE QFGEPVSREMGKTDHWPQFSESSETAESAEAPMVTHENRNSYVSDYIRWLTDISVQPQ FDAFKRGFFTCVDRRSITLFDPDTLQSVVEGIQEIDISEMRRTTRYIGWDASHHSVRD FWSIVKRYDLEQKRKLLEFVTASDRVPVGGMRNLQFTLQRNGVDDGHLPSSYTCYGIL LLPEYSSKEVLREKLAMALENSKGFGFA LY89DRAFT_652956 MASITASRTSGLAAANPDSASTHPYTCNTCQVAFRNSELQRGHM RSDWHRYNLKRRVTSLPPISSEVFTEKVLQAQASTTAAASKAAYEKTCTVCARTYFSA NAYQNHIGSAKHKAKLATAGNKSLDDGTSSVMSSTFSLGEPMITNEEPIDSDAEEEFN EVVEGIKKTNLQDMPPVTRRPSRPHHSAGPEVEKEGSVKTASSVTAGGEELSEEAALK RCLFCNFDSPSVPLNAAHMERIHGMFIPERTYLVDLEGLVGSLYEKIHEYHECLYCGK LKPSVFGLQTHMRDKGHCKIRFDTEEEQLEIGEFYDFRSTYSDADEMSDSEEDELPGK KRSGGVKLGAKRDTKTTDQDGDEEMEEGDGWETDSSASSLDSADLTAVPLDQREHSYE KLSQHPHHTHSDPRPHRNKDGWHSHAHKHAHAVFYSDYELHLPSGRTAGHRSLNKYFR QNLHNHPSPAERQEQLAIEAAAGSDSDSEEVDERVARRNERERGRALTSRANGGLGMV GVSAEKKKEVMAAEKRSRKIEGRERRKYEWGVNKQNNFQKHFRDPLLQ LY89DRAFT_623658 MAEQMGTPGSNADTSVNGSKTSAPKDKACPFCNQQFTSSSLGRH LDLYIKEKNPKPADGIHDVVEIRKLRGGITRRQPRNSTSRREDSTPAATPGAQERRSP RPESDRQGNRSPSLRREDNGPVDTVNFRGKPSFFINKGTWESTGVMNHIPAPRNNSDA RSWDGEDREAARRMEPRSRSVSKQMLAKTTFEQKQKMMDALDNAKAAELALRELLGSM RAAKQRIEGPSIFDYDPLTLDFPALCLHCLPPPPTLHTSSPIPTSTSWSILPPSDGQY QALRSHFVSEFHRYRISCAIATTTRSDDLSYPPQPALFDTSDLAEITARAEAQANELE TKISEHLHAVFGHWNSLPVQRRTEIWTLELARSVGKKSEELSKLKKDKEYAQQEATHL KQQVEELSRLQHPREYKISPPTTVPCDRELITTLADMRMSIQGVGFSLIDRNVDLDTA IERAVGRWKGVVREARGGGGLSGQRSLSGESSAAPPNSSGSNPTPTISMKYASTNGNG NGVTSGGDGMGSDADADADADMEEDDDAVEMSYAPQQEQGRAPEAPMAGSTNFRLANG NVNTGGNGQQGRAMEGIENQVVQQGYVRIGA LY89DRAFT_208565 MVDAELNTSCPSFTAGHNPSAAKSFAMSVPNESYLDFRSTNTGT PTTDMFDAQTLQELATSVTAGTSSYPLIPIDSIVSFVDESLYRDPSYRPPALDFARPS TPPLSYEHARGALAELEEHTPIAPRPSSWRRLEQPLYHYYTHYTPDAVLTIPQSSGPT ATNEENGFKKIVPFKWTQDETILPHSTLYHTQDPEKHMPSTEIVDTEIQSPEQREGWI DSSSSNAANVSKFDVANQIVHHPRPCVECTFARVPCYGCPGHACTRCTKLLYETRTSK PAAMLNYDTKDSRAFILRIVFFDIEAAQGQLSNLRDEVGKLSTSSKGATLETLCPNPI ICHIVSSEVASHHGWTAGVKVVIKHLATTRDAHSRAISSKLDFPEPGLDPSQLDSFLD IASRMKKLQSLNQAEDIIATAIKIADYLGILFNWDTNTMCFEQRHGGCSRAAAKTIVL ETVYAMVHRVQDLMEKILVEVHKSLKGRRDLSHDPATISCALWIIYSSLNKFQKLSWD AKTLQNLKVFLNSLHDRASGAIASIEHYRREVAFVECGKRSVNLQAYKELVVAKKVPR TATAFTYEQFRPTAYSIGTMPTFSHKQSYTDVLEDGIADNDHLYDEPDLCADREPKDL GASLDLASGCLRLIHPRKLTITHPDSDFDDAGSSVSSHREQPEMEPTLAMHPSGNDAD CRSSEFLFIESSKGYADTNLSLAAEIQHVRAILAQLEEQQKKTSMVDDTLWCGLEAHE DWPSTRPRVGPPRDIELAALEAKAAERLQSLSDEPRRKKQRI LY89DRAFT_208590 MGLPPSGPIDLEKISVNWQVQPWLEGHFAFFASPVMNFDKYEND HYMVENIGPHSLDLQKHAEVEVKIIRGNEAFNEAMIREPPRPWTWRTMQLYAACFIGF FCATMNGYDGSLINNLLANKAFLKYYHGENAGIWAGLVTSMYQIGGVVSLPFIGPAAD TYGRRFGMWIGCVCIIVGIVIQGVASQAEGVKQFMGGRFLLGFGVNIASAAGPMYVVE VSHPAYRGIVTAIFNTFWFTGSIVASGVARGGADLPGNVSWRLIVWIQMLFASIIFGA AYFLPESPRWLYVNGKQQQSKDMLTKFHGEGNPDSEWVKLQLNEYEELLEMDGADKRW WDYRALFKNKSTCYRLFCNVAVVVFGQWAGNAVLSYFMSKVLDTIGIAGEIGQANVIL INNCQQFAWALLGANLVDRIGRRPLLLFSNAGCCVVWLAMTVSAAAYQKSIPEPTADN PKPIGTDNVAGTVCLVFIFIFGAVYSIGFTPLQALYPVEVLSFEMRAKGMGFAGFATA AAQMLNQFAWPVAMDKIGWHTYIIFTIWCGVQTAVIFFFIPETKNRTLEELDEIFGSS HPVKASIAKKRLGFDAYGEVVNIQEI LY89DRAFT_542018 QTIHQFPDPTWVENIAIRPNGQILVDLVTTPDMYLLDPHPNSTA TLIHSFSSDHAVLGLTETTPDMFHLIASNFTLTPPTIANGTDSIWTVDLTSYDHKTNT GAKIHQVVLIPESDLLNGLSTLSPEKGLIVAADSFKGVIWLINVNTGAYSILFQDPAL APTSPEPSGLSVNGIRVLPPSAHNNDTAWIYFDNTAKATFNRVPISLSALKATAAVQQ LASNISIDDFALDPERGYAYVAAGAINQVFRIPLEGGTPEYVFGGLNSTVIPNPTSVA VAGEGRIYVTTTGGIESPVNGTYREGGKVVSYEL LY89DRAFT_722097 MESAKNSSTLLQRRTKRFFRQIRPDVQRPNALENSVLARLPTEL LQQIANDLPLASAVSFSLSCRHVYLLLGTQYLENLATATCDHERLVFLNLLECDLPSQ IVCTPCRKLHEIKNAKKYMEGSGWRELELDCLGSDWEAMVTDYIHDNFSSTVFKMTMK HHRNFGFDARTRQLLDLLSEKTSSLPWCAGSLVREYEVRCRIKNSSLFTEKRVTFFGI CRDFERHSTEFWICPHLRCESIMGTLWIETSCSDLLLQEWSVKLRCGENICWELFRCR YCLTEYQASVKHEVDCTMKLTITVWKDLGQGPDFEEWKAHLFFDWLSIPQLDAFSEED IASVFQGGDYSAQFLGPVYAFLYDVFEQETLRIILSGRVGCGKVST LY89DRAFT_688172 MNKSIPRTIAYSLLRPAQLLIFEPMVLNLCLFSAILLGILYLFF GAFPLVFEGNHGFTLSQTGMSFLGIFVGMVLGSFTDPIWHKNYQRLIKQREERTGEIG GSEPEYRLPPAIAGAILVPTGLFMFAWTTYSSVHWIVPIIGSCIFGIGTLLVFSGVFT FLVDAYPLYAASALAANSFARSSFGAVFPLFGVQMYHKLGDQWATSLLAFLTVAMMPF PYLFFKYGKKIRGKSRFATG LY89DRAFT_593120 MSGDTEVDDPEVSDGASENEYSDHEQEPDVVGKEEDDNSDMAEQ KFNVDENGKAAGSTATKPKLDPKDPLRPRRKKARRACFACQRAHLTCGDERPCQRCIK RGLADACQDGVRKKAKYLHDAPPEALRPVLGPNYNQVNSNNRTSNNGPTVINTTTSET SPNVGGGFFTQADTSPSYPIFTTQQNPMPPPLQSRLSYGSQQSPISPTFQQLNRQNSL QSLSMPQVSNDVQPFGGALFDPSNPALFNFDLEGLNFGNHYGALEFGMLGHMASGSAE TPPKDQSGSISSQGMGDVSFNNGAVFGNNMNQYGGLYGQDVMQDFQMPYVHHGLPHAY AIAAGPTSHHSPSTDASPGASGMGFDSSPTTTNYTPSTTPHPSSASQRPAKRQDTKSM SGKFGPAAVLGKRTRDPSSIYDTVHEPYPYTSGFHSLTAFLQRRFSATKTLRIAKSLA SIRPSFISCTKTLNRQDLIFMEKCFQRTLFEYEDFMLNCCTPTLVCRRTGEVAAVNKE FTLLTGWKKDVLLGKEPNLNINTGKSSSESSGLNSLNNTGRAGLATPRMRPLSLSEQQ TKEGRPQPVFLAELLDDDSVIEFYEDFARLAFADTRGSVTTRCRLLKYQTKDGESQSP EQKQEEINRNKKREVSGGIGGMGNRVREIDGEHGIGRLEKDGKMDCSYCWTVKRDVFD IPMLIVMNVSTSSALHLKMQC LY89DRAFT_785843 MRRPDAPRPEIIGDDSGPTKPFPLRMGGQVVQGFGRGSKELGIP TANLPVDTAPWIASADSGVYFGWSSIQLPPDHPSLSPSGPEISSTTFPTTVPYPSAAV QVPPAKQKEGWRIYPMVMSIGFNPFYGNTVRSAEVHVMHGFGRDFYGCEMRVVVLGYI RPEYDYESLGKLVEDIGCDIEVTGRSLGRERWGNREAGVDGWLWGEEEEGRGESVL LY89DRAFT_688177 MTQENDVIAIIIVVLFVILTLIAFAIYRLVSIARGSVVSSSSGS GSGSGSSRSLVDD LY89DRAFT_722101 MENYQKLEKIGEGTYGVVYKARDLLHPGRIVALKKIRLEAEDEG VPSTAIREISLLKEMNDPNIVRLFNIVHADGHKLYLVFEFLDLDLKKYMEALPVSDGG RGKALPEGSGPDLGRLGLGDAMVKKFMSQLCEGVRYCHSHRILHRDLKPQNLLIDREG NLKLADFGLARAFGVPLRTYTHEVVTLWYRSPEILLGGRQYSTGVDMWSVGCIFAEMC TRKPLFPGDSEIDEIFKIFKLLGTPTEADWPGVSDKTCFPDFKTSFPKWIRDESIPLC SNLDEKGLDLLEHMLVYDPAGRISAKQACMHPYFEEGSSAYSRRGLGPTYEASNGYH LY89DRAFT_209124 MDLATSEHNHLDPHEAPPQTLKDLFKSWKRSSIESINQLDIASG ETEFLGSVPKSRLQSAFEDFSGLPLDQHLELTPIYGFKDLPGLFVLPSLLPPSVQKEL LSRLLHRDLSDPRHKTNLHLHYDVSYPTENPSFFSAPPASIALHPKDAKVHKPLSVKA ALEKKVRWVTLGGQYDWTNKVYPSEEPPRFPQDVAALIRNIFTDMEPQAAIVNLYSPG DTLSLHRDVSEEVDKGLVGVSLGCDAIFLVGLHDEKSGETHSAVLRLRSGDALYMTGK SRFAWHAVPKIVAGTCPAYLSNWPEEEFPKWEGWMSNKRINLNVRQMRGSTSVASMKF LGD LY89DRAFT_738284 MVEWQTLAKEKRESVIGLIPKAWLLPSPVPPATEQRDVTGKYIQ QFLSPAEIEITETDAVGIVKNTISAKWKARDVAEAFCHRAALAHQMVNCLHEIFFDAA IADAQALDEYYAKHGKPIGPLHGLPVSLKDQFHVKDVETTMGYVGWIGTFEGKKGTNK EKVFESEMVRELRNLGAILYCKTSVPHTLMAGETVNNIIGYTWNPKNRNLACGGSSGG EGALIGLKGSPVGFGTDIGGSIRIPAAFNGLYGIRPSSGRLPYEGMANSMDGQNSILS VVGPIATSVGALKLVIKGLLEQQPWLHDPLVAEIPWRDEQEQAVLDIVKGSGGGQLAF GISKGDGIVNCQPPVRRAIDIVVKTAEKLGHKVFEWAPPSHKRCLEIAFKTWIYDGGA DVHAAFALSGEPMASQITSTYGTLKDEYTASQIAANNVAKRAYQKEYMEYWNSTAELT GTGRPIDAVIAPLAPFAAARPDMAKFGSGTLKAKRVMPGLSTWVNMVDYTSAVLPVTL VDKSIDVVDEGYKPLNDQDEKVFLAYDPELYDRAHVAVQIVGRRLQEEKILTITEILG DALGKHVV LY89DRAFT_209154 MLSILRQSALPHISRSRIRCLPTELRRSSSLLVSIRSPVLMPSS SSCVSPSAQMSFPTSTKRLGAPRLPSSFPPTSPPPKVVPTVFAGVGGVTSTVAVCAII WVCWKVIVTPIVIILTLVITSFLGLAIILPSLILLAGPSEQERRASEPRKARKDTEKR YREFVYRTKLPRKTKRILRKVDSAMSLFCHDELRAEQRS LY89DRAFT_722103 MLKKIFDLDSFSVQLQRSAIQDHRSRLFLPSVGIQKLKFQRYRL RKATFSKTSTRDDIVNKASKPPEQSSMYGFRFTVSAGVISIALTTTAAFWVEMRISEM EDWTDAFGLPSYDDWIERLGFCGGDGEEEKA LY89DRAFT_209156 MLSLAYSGSFVCSRLLNHPPSFKFHSHGRSLLRSISLSTTRREY HRLLQLSRASFQASLARPSSVFRPITPLRRSNAFSTTSRRAEEGNKEDYKRVASSANS GSDNIIPFVGLLLLILGGVAGYAMGSNGTGSPAAPPSQNMTQRPMLKCWKEHCRQHQQ AERHRQFLALYKSYPYTELDVQVATVMEPVLMNEMRSKVQETSVRIRETLEAARRRNE APVEMSKSQPKSQS LY89DRAFT_209157 MLSLTRPRLAQQGLLKLLQVQVRLSRSRPQSQVLSALQVSPLHS RRHAFSTSVKRSLNLKPTSSLGQNEQRRFQDSRRLLNNALQDNSRLFVVGGIISVAGR ATVVCWTLGAFDEERWADRMSNLGQYFPWTKESSTQPTERGCRKAGKEEKKSVELPGL NRRSDGAPTPHIPDLKSGTDARK LY89DRAFT_673473 MEMSQKHLGVMKDSAAEWSRNRVAKFQKPETLVAGKGEVSPEQK PEWPEFFSRQRKAKGWKRGLQKGGAERIARHPTSPFGHCYLVAILDLLEQVSLEIFYH DIMATTVPVFIKQFPQEVGNARNVAVSSRLWNWTSQARIDFLFSLVKFLELKECVVDV GKDYEEFCSMEKARSNSPAARIGTWTLPQDLEHLLMGAKMGLVVSPSQKVCSEATEWR PSYCAWRVQHCERSDFTDEDKRPR LY89DRAFT_623681 MDRMEHIDSRYESHEPEDLGKWPNNIVTWHGEKDPENPMNWPVR SKIRITVMLGFTTMGAAFASSSFSPTFDQVAAEFGVSTEVTTLSLSLFVLGFAFGPLI FAPVSELYGRKLSFLPAYFIFGIFLIGVATAENLQTIMICRFFAGLMASAPISNVAGA LADLWNDHDRALAVVGYSVAVIGGPTVGPLVGSAITTSYLGWRWTEYITAILIFLIFA IDLFFLPETYAPVLLQRKAKHLRYETGNWALHARIDEEELTAKSIVEKHLSMPLMMLV SEPIILCLAIYNAFVYGILYLLFEAFPIEYEEIRGWTPVQGSLVFLAVLVGVLVSGCI QASYQPFFWKQLDKAHDKGLKNDPEARLPPMMLGAVLFAAALFWVGGGAGTDKSPAIG IVGAGCIGAGFILIFQNAVNYLIDAFTVHAASAQAANTFLRSLAGAGFSLFATPMFKN LGVDWASYLLGFIAVALIPIPVLFYVFGPKLRGMSRYNPEKRRRS LY89DRAFT_757031 MFLAELQGPLIRGRFQLEKRLLTFLTEYSSDHLAIFNLLRYGTS EQLSYAMKLPRPINAMIRTAVQGSKQGKKAKFQTFSKCRASSPTKKNKTTEATTPWNL PCILPGPEMSLPFPRSRAEPGAQTFPATEVGGFEGGGEDIWTYGTGATSTGIGCGIQP YGGWQPVPREMIPKDEKVWGPDGEVVFREQMPTGGEGGSGVDVGSGGEIDVGGGFDFD FF LY89DRAFT_785850 MKLVVFILLITTTMAVPYLSSIQTWLASFQQPLKSLIDNPLRRS TIPQFILDARHPWILANQRKLERLPNAEAFLCSNPDSDSKEETEKEPQTCTTELEIPS DIFDNLEIDNNRAGISRPGWPNALERLGEMKRCQRALNQVKTLEVDIFVHREKYSAQY LKILEHFETPEALLTLFGDVLESMTNLETLKWKIPKEYTHSFEEAFTARNLSLPSIKH LEPGPSSHYLVSMCPNLERLENGGGFMWYHGYMPDGRDWAMMLIQAATSTPKLKRFAM VGGHDGWTPERISEVVKCIPQIESLGLLGSLGRWHDYTGYDTGDSGKLKVALEILSEL QNLTHLDLGPSSDLDRGFDGGPGCGNAYFGKGGRKYLRQVTRQGAEATEKGGNIVVET LPRLKSFTIGGTKANVTRVEDKMVNATWPWTGRMDEWLMEVVPERSELWDVEYDRM LY89DRAFT_688186 MMGPLKIIALVILVISFFTFVTFFGRLPALRNTPIGTLHRILWL HIPGSIRSLDQRLTQGRLSAWTAKIAHTLWNDRHPLVMIFFILLLSVSEFLFLPPAWP LLNTSQTAIAITLVLLPYIFLYASAASDPGYITQENHSHQMTLYPYDFTIFYPGQSCH TCRQLKPARSKHCSICKHCIAKMDHHCIFINNCVGYRNQHYFLLLLFTTASLTTYATY LGFSLLSGQIRKEIPAWKIWGPGFSWSEYGNIWAWVLQEYTRIGAVTLLCGLTTPLIW GLLGYHLYLIWAGTTTNESMKWGDWAYEMADGYAFKRELSSDRHKDETIEPVWTRWPV ESEQIVLRTDDGMPPTGPDAIGEGTWERVWKLKDVENLYDLGFWDNLTDVFRPRYGFR RREHSSSGSGSRSRPSSGDVTSVAPP LY89DRAFT_652986 MATIVYEGVGGGGSLFRGNRFFLLQRIPSRNQWRDAILANGGEV VPLEERADIVIADHARKDQPVGSISWTFIEASLKKGELVNPEEHSAGPATATIRPVGS SQPAKTGRTKFTAEDDRILMNWCAKAERQGQSLRGNIIFQQLEAVNNRHTYQSWRDRW VKYVSEQPRPQELQDNDDHEAEEEEDGPSPVRQALPRPAVPRPTPQPAPSRAPPPARV AARRAPIEPAPSQKVKSSPISRSSNTTPVIRRSKGGSVFSDVETQLLLENYDEIMNIG DDQVIDAWIAWSSVHSNHTAQEWRNYFNEYVVPKVIADEKRKKAKPPVKATYTVQTIP GPSGSKHGKQQALEVKDSQGSSGEAFHSPKQDTTTVDDTIADEATFKRNILHLAEQLG LDVNFNPIICGRPIPLFRLWQIVESDELGGYHEVNERNLWYKVAKKLNFNEFKHKNAD AEIRDCFREILADYEDIEKNTEEIEEDVSMTESQERAMINDQLRQTAARETQNLANPE AIEDDDEDDDLDRPQSTPRQILRPSKRRFDNSGQDFLLNKRLRSDKGKGKEPKIPSTP EDVINGEHIPGSSFNSSDVHYPELNHHEDNESLDGLFVQPTKQRPAASSFQRPSLPSR ILEPETQDFHFIPNPEESFHGALDDLTPSPRRSSPELPDRHRSSIAATHGTPGSSNRN DESSTQSQTDEQRNAEIETFIDQHISMGNTQDNVLAALESTCNEFTHAAFVMESLYSG EGIPENLPGVWTWSDDQAIYKRKESSEFEKVVRKHGIKGVIKRKKFLRKQKKADEFVA SGNS LY89DRAFT_652987 MAEHAHSLQARFLHSLPKTSSSSSIADMRVNDPQKLRVLVAANG SKDVAKALALVVRLSKNPKIETRAIVDEESWPAHRLSQETLALQNRSFRPSQETDEAQ KSIERSQVEFYKQQAYELCDWADMLVLAPIDADTFAKMLHGITDCLLLEILRGWDVSK KILLVPGMTTAMWENPMTKKQLSKVRRKWQWVRVMQPILWHYDDKGPSKVLMAWDGFN ELVDVIKNQAELMSIGHDVDVATAGAATMARHNMQTDALLPPELWTLIFERVGDWEIA KALHVYTSLPVPPEWHDRPNETKDELQTFMCSLEWTILTQSTEHIIEKLKGAPKNMRF LSSLCVKLVIKFCLIDILNHLEVNFKDVFWSSFGQKLLPTKASAVYGRTEILEWWRTS PTFLSKDYTAEAIDGASKSGFVHVLDWWRRSGLPLKYTESALEQASSKGHIVVLEWWK QASYAHGASTSNDRDGTATPPEVQPALRLKPGKSLLAAAQNNQALVLRWWDNSGIPIS CSESVAKIASAHGHVEVLDAWREIKGEKMQFDSKVLVEPTKNGHVNVLEWWKNLSRGV DGRAGPKVEYKTCDIEEALEDSIGSEGTAFEVKRWWAQNGLNLGVGVSEWTKVKIL LY89DRAFT_709683 MKTTSALSVLFAGTVSAQGAAYAQCGGTGWTGSTSCVSGYTCTF SNSYYSQCLPGTGSGGSSATTLATSTIKVVSSTSSGVASPTSSSSGKVQFAGVNIAGF DFGCGTDGTCVITGTNGPYPAIGGSYPDGPGQMSHFVKDDNLNLFRLPVGWQFLVNGV LGGTLNPANLAQYNTLVQACLSTGAYCIIDIHNYARWDGGIIGQGGPTNDQFANLWSQ LATYYASESKILFGVMNEPHDLTITTWATSVQAAVTAIRQAGATSQMILLPGTQYTSA GAFINDGSAAALVGVTNPDGSTTNLIFDVHKYLDSDNSGSGADCVTNNIADAFQPLAD WLNSVGRQALLSETGGPNTSNCATYLCQEIAFLNANSNVYLGYVGWAAGSFSATTYAL TETPTESNGVWTDQSLVKACIAR LY89DRAFT_209263 MPKILTSENLFGSSAPDISAGKHGVRELLRIAKFPMKFRDDHLQ SLIAGLKQHKKSHLTPQTLDIATTFAPIVAHISIAGLMTAYYHHGGADRVWYIVRPSH KRLFETHFKELFADRIGRYTCSQFVSHVSLWLDLHVSQLGPLGIRCAEVHQGPGQVLF VLPDSYVWGYSRGYNIVERRLLAPPGWTSTPEHYKPCFDGEILCRGDPCRNKYVKAGN APEPEVKGQVDDGSI LY89DRAFT_688190 MAPTKPLNVRWAKSFPTAHSSAAFSYTCTFTTSNKVCNKKFIRQ CDLRRHEKNHGRAFKCSHCTRGFPSPKDRERHFNSRHKLTIKYFCPYEKCRDSMGPLS NGEILGSDDWGNGFKRKDHWRKHLQEEHRLSRETVGELQKNVAMPPTAVLRDEVWLAV LPPCISRTHRSSVSSEESKSVVKEEVRELVLESQDESDEMDDCEETIVVDSQPNGAWA EGYAEIVV LY89DRAFT_722114 MRSFRVPDTFLYTHRMLSEFFRGTPSFSLDMISNELRLHITHFL TQNIPLYPFPNAQHGNHPPTPRGTIIIPLCQHTALFHPGSPHWAAYQQLIPALRSTVT SLSTWFCADQPDVYASAKRYLVNLGNGSNIIPGMTGANDMNCFLTMRLSVNTRNAPAR LMTSHESPWTAVTFVGDYVGGKIKSPLLDDIGLMGRVLGVPDDVLFVVDWIPIGQSAF TGTRYQLELFVPPVGEKGEGVEEPSAEEKGRPKREDKGDRYDDGEVGGSKAQSVVPPW VAHVLAHGAK LY89DRAFT_699767 MNSNSAVAVGRSSSNGYNAHRPGSNGITKILLLVLAQITHVAAA PLKKYIHLLKLEEPEPAEGGGLVLYMSVALALVLLGGAFAGLTIALMGQDSIYLQVIA QSGEGKEQKHAQKVFNLLKKGKHWVLVTLLLSNVIVNETLPIVLDRSLGGGWPAVLGS TVLIVIFGEVIPQSICVRYGLSIGSYMAPCVLCLMWLMAPVAWPTAKLLDKLLGEDHG TVYKKSGLKTLVTLHKTLGSSPTERLNQDEVTIISAVLDLKEKAVGDIMTPMDDVFTM SADTVLDEHTMNIILSAGYSRIPIYEPGNEHNFVGMLLVKILITYDPEDCKKVSEFAL ATLPETRPETSCLDIVNFFQEGKSHMVLVSEFPGEDYGAIGVVTLEDVIEELIGEEII DESDVYIDVHKAIRRMAPAPKARVQRGQFVTNPDAASLHAPEDHLIDLTEGEEPLIIR SRSRTSETRTDSMGLLGSSPKATFLRRSSSGGENATVSVRGNVHDMREHLKHLGPSNL ASRPKTTRYNTVKIKSSTNKPIRSDSIVEEPYQDNPAPQGGEGAGLLKSAGQDAKDGV QAVQQGYGSFGPNGMSNLSQMITTDGASKSPTVKTSPRPWAFDRQPSQGSSRSSDTVG SLVSLGRTPSTRKKGPARSGSITETHIDSGGVRKVVLEANGTSGDERDGSGESSPTSG PSNPGPDSSPDDHDEQHEAGGETSKKKRRRVRRKKNNGNSTTEEGSTGQ LY89DRAFT_688192 MHCIECGHPLPANRTPSTICSKCKTPLATWWDETSSTYRTNRES ILPDYKPPYSNGLGVGMAGVVVDADEREKMKRRRNNQSEEERVFNAALVLLEGHFERP KKTYLVKTMGEVKKMVGKTLDLSLNRAEVREKLAKNVDIWMHLQKIFNLAVGSLDERS LPQSPPSESASQDVPDPANLILASYDSLREDLHYLNSLLVISRNMLAIKETAQELCAA VQFDKAVQKLIVLCVNVTSKGYDGETVDEARRGKLNEITELYKKLLVTCLQHTHNWTM GNDRFKMSFWFEMLFDDDLKNDAIHELPPDDLDVVKVHEEVRNWLRRHNKKDPAAAKL LDAYTSEVSTGYTPGQLPESEFDDIPEIAEESTPVWKPDLADKKFEQDRLYARVSHEI DIWWKKVRDANFDGWIVPMETVEGAEARARACKDNAMHRYMPRSQDHDHYEQEEQYDH QPENDIVVPDDMDDRSIQDDNGEDEEDEEEDDDSYVEGPLRGLLTEIPNILDTKQIEA LHMTVKACIVDSMGSGLTQAGENLQKTRCKMFLALDCGKNLLRELLVFIAVWEQTDQQ FIFQITAQIIESFHHNALLPYAWNSLRILKDIVSPAQTVLLRLINYMFRARKDSPIYD DVKDYNRDAKLIHFLYNYFRCRVVPDCLALIDAQTQIKEKKKHHSDFPVDLWDMERAK DGLSQYLDFISVIAEIPEMRHLLIEWETVYEMVALLQALEAGVAKKQLVPAPMPGPAR RPGQAADPSNGKTGSHASPNAPPLHDTPHLFPWSGIKIQILIILTSLIAPTHARREGA VNPVPQSKLGNPIVQKQLLAHHGIMPLLNCCVYDGHNEYLKERATLVIKFLMDGCKEA QDFVKELVPVKQAQQQAQQQAAARLQAEGRQNARGVKQNRSASISGASAELEAKRQEL EKNMKAMRIREDIASALDAGLDTSLEVGEGSGENKTVKK LY89DRAFT_688194 MQAPHLLLLLILLTQTTSALPPPKTQACTCTVVFGNKAAQSIAK ATVNSTIGLTGEDKSKKAGSADLLVGSGCVAAVSNESVNSCVTSQSCTVA LY89DRAFT_688195 MAVMTRKRTASSELSDDTKPIKSPRSPRIRLVHIPTKSKPAPSF LGDQTIVTIELQSPAETTNIPVHKNFITHYSPFFASHFSTSDHLTIANISPSTFNIFI SWLYTQTISQPHQLSHPDINVLISLWLLADHFLVSKLQNQIMAMIFDKSFSGYIIRET LIGCQGRCWKRCWWRSKGVEER LY89DRAFT_738301 MLFFTFCVFIALLQSVACQSQCGSTNGACSSNGCAGVNNPGGVG QCTNGTYTGCPCTNVCGTTNGACNLGSCAGINNPGGYGKCTAGKYNGCFCSNICGTVN GLCSAGGCEGVLDPTTGSGKCTAGAYNGCNCNLDTTSSESSSASSAAASSSSAPSSSA SSLSSSSITSSETTTTIQQSVVILTTTEWITVHHTTTSTVSDINYVTTVSALHLGRRI GLKNTIARAVTVTSAGSTSWVTVTSSTTEMGLVYQTTKVDATYTSSGTSIPKTTSGST TSSTSSASVASGTTTKSGSRKGFRVDFSLGMLTALSLLLVFNI LY89DRAFT_593268 MSECCVRGSLWQGTPSGRETTLSKNKSYRTGSNKDVTILFIHDA YGWTFRNIRILADAYAKEVDATVYVPDFFGGEVLPPEILDDPSQWHTLDFPGFMARNS KSVREQEILACAQALRSQYKRVGAAGFCFGGWAVFRLGAKEHANLVNCISTAHPSLLE KSEIENVKVPVQIIAPEIDPQFTPGLKELANSIIPTLGVPYDYQYFPGIEHGFATKGD ESKIAEYSAVKRAKGSMVAWFRLWLHEN LY89DRAFT_209467 MGKKGKRGNRDEGVPEGANESDNGCQAQESNDIGEVNGRENASR SQYHDSGQVSTADEPEFTLQPYTKTVFETTQSIAVVQKNLERLNELYIKHADRIQQIP KVHRERDTLRTECEYKNEKIRRQKREIAELKSESREEEQRLGKIREELQQDRDKLKAE KEKQVAKAEKADKSLVALKATLDLEMEKELASRTQQLEAKMTSREDERKKKLSNLEAE KKKQSDNLAQEQKKLRTVQADLDDNRAAKILYKQQKEELEATLKEIQNEFDLTRQDAD FYKRKFADIASNIETISSEYFRLLPHDIHKDLKSIDDCFRSVPIFEEDTSAELRIAHV QRIMSRALYDNIWQPFSSDETSPDTNLANWLNTIYTRLAKNELGGKNGRAAMVWKVLT MRSLQSADQELPLSPTSPATSHQVSQFSSRASQAVQEIIGKLTPLVDPAQKVEIQEKL LRLAQSAVEVWNVAQKDKLKVEAYIALNIADRDTWRSAQFDPLPSSEEASTDMVIKSS TRPRVFTLFPRIIVQTPSVAAESVPHIPGSFSTSQQDYIVTETIIHPGIGLPESSALV IRGKYEEEQEEARQREIMENAKEKLKRRHRTSSRSGSVSGPVSPSASVSGPLSPSARW SKGSLMATQIED LY89DRAFT_652999 MTEGIADFAVPGLSVPCKTWYKVFGDLKTSTQTPLIVLHGGPGA CHDYLLPLTDLAPFIPLIFYDQIGNGRSTHLPEKVGDEAFWTVDLFQNELDNLVSHLG LRDRPIDVFGHSWGGMLTAVWAATPSRAANLRRLVISSSLASMDVWRIGITALTEKLP EDVQEVLRRAKETKDFKSPEYEAAVEVFYKRHLSLARPWPSEEVQAALGWFAEDSTTY STMYGASELEISGSLRDWSSIPVLGKIKAPTLLLNGTEDEAQDVAMQPFFDHIKKVKW ITLENAAHFAHVDQRERFMKHLKDFLVES LY89DRAFT_593058 MLDVEDTEEEELRLSVDKKILEHLIYPAMTYRYEDVLQPHPKTF DWIYASKTTKDLPWSDFTKWLRSEGGVYWINGKAGSGKSTLMKHIFDDPRTKTFLQQW GQSQSRLQPQVPIAVATFFFWNSGTEIQKSHQGLLRSLLFQILGKHRGLIPICLPNRW AKQYSGSLDLGEEIAADPWTLPQLTDAFRRLVCQKEIPMRLCFFIDGLDEFGGNTMEL CYFLRELSRLNPNNAKFCVSSRPWVEFQNSFEGCATLRLQDMTFDDIKIYVDEKFHRD PGFLKLEVNGPEDAAAIIEEIVEKAEGVFLWVQVVVRLLLTGVANRDSMAQLWQRLRS FPRDLYPLYTAMLLQIEPIYLEWASRAFQIMRRSIQ LY89DRAFT_209519 MARTLLKLVEAPSRFCIPYNLPKFSKGVCWPITPRSWVDYPLEA DTIQKFLESLFSILEAFLAAGIDPTNVSNQVPSDFKFYPEVFVQGIVQTLPYTDSKIN GKIVFDHANAVLNEEVLKYRKEKTISIGATTEAEVGTKRRHLHESADDGCQTGHGSIG VWRNQAGIISKRTRVNIERDTCADDSLSLMDGFWVDTNGAERREKKFLCRREVKSSGY VSEEGALNQEGSCT LY89DRAFT_623706 MSFSRLVRFIPKSGTEACIGQPTDSELDVGAAIRAGKDVEVEVF SGSSALSPGSLTGKKEVIGKLLSPLAESEVGTIRCIGLNYVQHAREAKMAIPEIPVVF MKPSTSLADPYPAPTIIPKSQLSTDSCDYESELVIVIGKKCKDISEAQALDYVLGYTA SNDVSSRAAQFAQSQWSYSKGFDGACPIGPVLVSRDLVPDPAKLKLRGLKNGKVMQNC GLDDLIFSVPKIVSFLSQGTTLNPGTIILTGTPAGVGFSFDPKEYLRDGDEFAVEILP YIGTLVTNFEAEK LY89DRAFT_592955 MAAPVNSGYLQTNDPTKPRSDAAVPFRDIIPAPTHPRIAGIVDH DALSWCESRRQIEAPAWLINRLEKKNLERPYKGFTSDGKVREGLYEYAEDEGAPTEEA IAKTEALMKILSEEQKKAVHCGDVTDDEFRLWSNPELYMNPGGLRLDECSSEIQTAIH AILKASSSKQGYEKILGCCLTNDFLGHLVNGKKILNEHSYNFRLFGTPSLNSPWGYTF FGHHLCLNVVFLGKRMVIGPTFMGAEPDRIDEGPHAGLRLFRTEELESLKLMQGLPKE LQEKATLSKGMDGNSLPADRWNPFDERHLAGARQDNRIVPFEGCPVSEFPADKQESII NLFLAFNEYYPESVLQHRLALFKKHLPETYFAWIGEFGDEDPYYYRIHSPVGFMELDF HCGIFLTNTTPARCHIHTTNRLPNRGDYGRALIGA LY89DRAFT_688200 MPTMEASPQTTVTTLTSSSQSLELKKSITRPEDSNYFKSYRITK AGLGDFQISSSSDDSEYRGEISLYNPKKRDVILHRGPDAKGEILGDADLKTFSGHYTV EIGDYVHGPVILERLDRVKGWSSRHQFAFSFGGAERETFIWRHKGEKFHDNGGHGTTN GNWDDMELVTDRNDDEEELLVAQYLKKDPSYRGWKGRSCLQVRSEGGEEFEKMVMLSL MALLVTKRREQ LY89DRAFT_623713 MGGILSSLRATSSNGDLIAEQSFFHPTKRQDITKRNVLERFESA AFRDLIAERLSIAVKIPTVTYDEMGRVGEDPRWDVFYEFSKYLKKTFPKVYENLDITT INQHAFLYTWKGSDNSLKPLVFMAHSDVVPASDSTSDRWTHPPFSGHYDGKFIWGRGS ADDKCNVIAKLSAFEALLEVDFKPSRTVILALGFDEEGGAEGGYGARCLADRLLQVYG ENGVEMILDEGNVAIRNQWGTSFATPGSAEKGYVDISVTIDVDGGHSSTPPDHTSIGY LAQVIQKIEENQFPSRLTSKNPTKAFLQTAAHHAKTMDKNLRDAILDPKSVDKVIVYL NSDFQTRALVRTSTAVDVIEGGVKSNALPETATVLVNHRIAVEESVQTVKDHYSLILT PLAKKWGLSSQNNPENEKQSTDTLAWKSDKMKISVTPHSSLEPSPVSDIKDERFSWLA GTLRGVFGEDVVVAPILGVGNTDTKFYWKLTPQIYRINPYSEKWDPRELMIHTVDERM PIEGMLESIKFYHEFIRVVDERRL LY89DRAFT_757051 MAMPNMAFTVTDYLDYKPNFISSFYQNVYSPGFVERQAITAIEE FLSVLTPLVPDNVTLQPPGISIAGNVTLPLVVQTPRFNVGCNLYPAYRRLIIGLSDVI RSCHFCFRNAHPKEFDLATDFLKKVWENTPSEQLIDTAETSCFYSMRLTSNLAVESRP LMSDHQSPWCVLTSVGDWTNGRTLIPRLYTFPRLTVYGTPGDTIIVSDGVEVGNESHT GNRFQLEFFLPPSVYGGDGEE LY89DRAFT_209564 MVNHLFSQVSNPGGHSPLSTLFARVLRRRSRHPISHTEHPCIQH LARPSLGISFSSHHCDNPSKCSVFTKNVPAPLLSTGYHQVRHISFGFQLMPSLPQPAP PSVSPCRAAKVPQPPIL LY89DRAFT_209572 MSVSTLTLALLASAVAAVPHGSPGTWNRNAAGCGEIILPMDDSV HGAAFNISLGTPPQTVTLLADWTWQITWVDTPDCYGNYSVANCIPKGQNFFNDQASTS FVDDKSQQTQEFLGTDYTPGMEFRNDFGADKLCFHSDANNADLCSNSVEISVSNFTSE LPVIFDVGGVFGFAPVAPGYNDTFMPTAYQMWKQGLLGPNNGWHMCGGLKDLSTCYGK KYLTILGGTDTTVYDTGKTHHHPTSFSKCLNSGPHLTLSPPRYNYWSTEWTNFFIGQE SFSLRAKDSLSYNATTADPECDGIDAIAVWDQDKFGYGAAMPFSAFDTLVSLTDAHIA YNNNTPGLFAVNSGNASVWAVDCSKVSTFPTLTYEFSHLQNITVVPAMYIDTSMYPGQ CLLNARPWDRAIEGAQTFFGLTILSRTHLEFNFETNMIGISPLNSNLYE LY89DRAFT_209609 MARTFFACLLAPGQGSDKSTSRPGDQHISEASSTLLLALIPLFL ALEWRPWISVYLLGPFFYFPSSSHSFPSSPRSSRLQIERACMRRTLPYRTLPF LY89DRAFT_673497 MWSTTSTTQEETSTQAKRFACDRCHDQKLRCTRPQENEPCVRCA KAGVVCNVSAAQRTGRPRKSSGPRHGGSEKGRKSPPTQYTNEIVPDGGASDNGSSKGS ELVRAQSSESRQLSISPSLRQTPDFSTHMAGWDTFASSSGSSISSLSIAPPEYSQPIS TEDYADLLDCITDQSYFDSMDISEFPDCMQLDPTDFLQNSLADLDGIATYLPNMQAEP NPKKRKSNGHGAPYYTNQMSLSPPILDAQASARISSFQEMDMPIMTPGFNSSDIGTPD LGMIHQSEQGHVQTLAKLQSEIDVCSERIMQQARRMHTPSSSYTTESQKLSEALGVLL STAERFIELISCICRTFDTITTSTSTTSSRTASPSGSPWNSPFTPPAQMELQQSYFSN KMYTSNNTTPGSLDPNLLTGNRQLSTSTFYTMLKCHVRLLSAYDTTLDTMSSRLLELE NLDAVQSLTSALSIGSFVVPYGAIIETLLHLQVISHQLDRLKTALHGNLLKIQPQRSG PIPNTRSLPARLGLCKQGQNRSPSVSMVDFKLEEIQERERALQTKLLRIEALAESSKQ GQIDGGSFQQWM LY89DRAFT_592698 MSTLSLPYREHAGPADVCCSLHYSPAARNHIQQAYLASAPSTAT CCCTLHNNPLPKPLFGNDSTTILLSKESISSTKSESPAKDFTFWMIFFAVCITTLLVA IDLSIVSTALPTISEDLKAGELYVWVANAYVLASTSVQPLFGQAANIFGRRSLMIMST VLFMAGSIFAGVSQTVMMMIAARTIQGIGGGGIITLGEIIVCDLLPLRERGQYTGLIA GTYAIGTIIGPVLGGVFVEQATWRWVFYINIPICAIALAIVIPFLKLTYNRVGTVSDR LKRVDWIGNFVLVAAVASILFALSYGGTKYVWTSWHIILPLVFGFIGLVLFAWIQNSG LVAEPTMPPQLFSNRTAVAIFAMAFVHGLLLLYVIYFFPVYSQAVLKASPIRAGVMLF PTATTIAPAAAAAGAVITITGRYRPLHFLGWTLMIIGVGLFPLLDRNSTTAAWVGYQA LFGLGNGMVFNAMIPPLLASLPPSEVATATATWTFMRSFGSIWGIAIPSAIFNEKINS LSSTRLAGDLATQALLKNGEAYQHATAAFINSLAQPTQNIVIGIFVSSLRTVWFVAIA FAVLGLPISLLVRSLTLTDEYVSEFGIEDKKKVEGGMV LY89DRAFT_738314 MGTRAEFLGEYSAIGGSSALQIRSGETVADEMERWIRISDVDGF NAGHVVAPQAWVDDVIDILISVSEKRGGLVGMEEKYSVPGGTRGASRLRPLHPRSAFK FDVLQNTSE LY89DRAFT_699775 MEPRSLLISTLCQHSILLGLLILFAGAFFVRVIWSYRRLQAFKG PFWASISPIWLAMHTMSGKLHLRLGEVNRQYGSLARIAPNFLLTNDPFFLRRMSSVRS PYTKGKSYDGMKLDPDAQNTLSEKDEQKHTAMRAKVANGYAGKDNMSLESSIDVHVMD MVHLIENHFLSIHGAYRPMDLARIAQYFTVDVLTDIAFSRPFNMLKNNDDIHDYIETV RSSLPVLQARANIPFIKTFLEIGFLRRILPTTTQDKFGMGTMLAVAKEAAAERFRPDA KPQNDMLGSFVRNGLTQAQTAAEALLQIIVGVDSTATAVRTIMYHIMTNPRILQKLHA ELDNAVLTRPVLLDSEAASLPYLQACIQEGLRHWPPIAALTLKVVPAGGDTYNGVFIP GGTEIAYSAWALHHSKAIFGQDADIYRPERWLEAEGEELREMKGTVDLVFGSGKYRCL GKKIAGLELNKIFAEMFLRFDFAVIDPQKGFKSRFHGLFMQSDMNVVVYPRNQV LY89DRAFT_209690 MPGLGSEEWLLPTTGGVPLQIRMKYLDDARRIRDLDDFTSEHDS IVNRKDAIAAFVEQFQNIDERWHNSLDPTTVDIIKACVTIQCSILEDDGRTWCLLADS NTVIATALWTLAMARQITEVEGTVTTVSVRKFCENKSLEPDIEEWDGLVLEISRAETE AGQTKPKESKLPALVAEIHRITLKMFLQRRLQDLPVILCVLCLMRLILTCFHGACFYH PLVKNWDKSAYEEALGEENAISVSYFEILHVAWIESGFEHHCNTEPLASRLEAFVFAD LFFLKEDSD LY89DRAFT_209769 MDIQGLVRQIACHWLLVGFSAIITYFVGSAIYNVYFSPLAKYPG PFFAKVSGIPSFYHALTGHRHVWIWQCHQIYGDVFRFQPNGIMVNSPTGYQSIYGTKA NVKKGKFYEVFPRNKHSRNTLNTVDRVIHAHKRRVLNAVFSETALRSAETFIISHVDR WVELLVEDNEPEVWTEPKDISPLINWLFFDILGDLCFGRSFESKEKQENPLKSIPHTT TMFFKGVYPFTKSPILQLWVWLKPRGLDFVLNRIKPAASKQYGQFVMDSVMQRTKQEQ KLQKERAEGAEVRTDMFHYLFQAKDPKTGGPAYTPIELFSEASLLIIAGTDTSAVVLC AFFFYITRNPRAYRRLTKEIRETFGNLDEIKSGPKLSSCRYLSACIDEALRMCPPLPS ELPREVLSGGLVIDGQQIPQGVNVGTSGWSLMHHDEVYGDPWVYRPERWIADEASGVT TEDVTRAQRAFYPFSAGVGNCAGKNLAMKELLLATARTLFMVDVKAPPAPDNLLGAGS SELGWGSRSKSHFVIEDAYLGTKDGPMLQLKRRTI LY89DRAFT_209780 MAGDRLLNRAFATQHLPSFFIWSCEVLHISQRQPRAYTPLVLNH LPEDSFQVSCLLNSSLGDKQVLDNPSRLRSRKLFQMTVLGPQAKTCLQDVNIPAYTLT SLELPFPSHHSCSACIRKAPSSCPAFTRALTVQSRCILNPLSIAPITERVRNATILRT FLRKSTNAAVPTCFLLSIGRVVVAHRALLEVKWHTKQHLHVLSADDRSYAPIISFTFP LSSFLTCTTCPNECENRLTNIFKELAKLRLIEISTDAICSHGMTFHENLSSESRFPTE MGSRQPAVHVIH LY89DRAFT_738318 MIVPPFPVEASGDSSYHALWPGMQPTDDSFVYQNVVDDWYPSQK NLRWDVSTWYGPDDAAGDYVEYQTYPVTVGDSITTDFQFHMPSGTWTETQIIEPGASG TTAGESPSAATINVAFKNFPNNAAILTQFLFVIELQGAATWNFGPLTFTSIIMTANTT STAWCSNPVYSGSFTYKNTQPVATVVNGQCQCYVASLTFLAPSSTSAENAPAAVGSTG RFNVTHKPIKV LY89DRAFT_688211 MANLSTLPDEILLQILTYLTPAPLTLSSKPHAYWPAYLSKHRES TLPQTKDIASLAHTSSHLYSILYASLHSTLHLSNASSPAKLWDSITLNGRLGLSITSA HISSISPENCVFIFFLPNIRSIYLSGWSDWETFDPEDDSLNGDSPITHLYLSNCGAFE APLKQVLSWPRELKELWFEASQGEWEGHLEGEEAVGFTCSAISRALEPLAASLEKLVF TRVDPGHEGLFITDGIDLRKFEKLRELKTFEMLLVGYDSQHGMWKNLPSGLESLEVWY DDPGYSEFLTGGLPEWLLGILRRKKERFPKLGRVRGCVARVVG LY89DRAFT_757061 MSSSDPWKEVAPSKDFPCKIPSADVLQHIHEVRDKAWDIRPYPC TGLGTFLQPTISKSPAYSTIISRLQSGDSFLDVGCYLSQDLKKLVFDGVSSDRLHGVD IVNHWDLGYEFFQDKKKFEARFIDTDILNPNEELRALVGKIDIISVTHVLHQWDWKTQ IVAAKQLNALSKSGTLVVGLQIGTSEGAKKIKEDDTQWEMQQDLESWAEMWKIVGEET GTKWKSDAQLKTFAELGFVTEDTDYLTGKVRVLQFVITRNR LY89DRAFT_738321 MKSSKALLSTSLFAGLFWHMNYCDGFQARETSHPRIQGRTSPSV TPITVAPQTVKANGSFSTVYLSYSVVTQNSAATEVIDGTTTILPLWYCDPNLSAPACE DCPSTTASADSSCPTDFNMILLLPAVAVVGAFIPPPDGLPTITIGSDGVATPETTPSP TEISPSMLPSDTSEPLITIVPPCTVPPMKASNVALSIIPTPSVWIPPTGSYAPAPTDA LPVLPVTVNEAAPTAWLGCGAGVANPYSPGGFYQFSDSFSRNDGLYAIDAFCNEQIAA NLLISPSGETVSGMPKPAISAFSKTYLTPGGSGKVIVVIDSDVDNKNTAGQTCPDKWT YSFAQYAQCRQYFGQTIDYCDTGTTLANSDITW LY89DRAFT_738322 MVIPASIVVEAAGLPQNAQMLHFCPAFFDINQLGRMEFQTEESS FSLDKLDSTARCLLHELTHLPWTLNTNLNDEPKDKRGWFEVITFAVDVDKQSSAQTPN TKTFRALNADNYAWMAIYNYFNSLDKCAGIRYTGENQGPSTCNADVWPQDALKPVKTT WNSWPGSN LY89DRAFT_738323 MSGSGSTYFGKQGAAMVLISPVQTNFMVASLYLLAHQKHNAPDM GYKNKVGFFVFALASIVYQSPSAVDAQTDKHSNSMDFASIVTMLILIWSSSHQLNNCL RDGVPRFVELPSELQDEVVRNCTPSDQLAFARTSKDCHQQTIPLLYHQVDCSVHNRPG LFPGRHCKLVSADNPLLDDNDLRLACEHRQQLFLAALNSNDTYGHQRGTYLVRVQLLP NVKFLDFASLAFKRQCLAPPSLFPCVTHLRLLGQMTFAVVRAFTDFTDPAQLISLEFD NLQDFGQLNENEKLPALADLAKIRESKDKEGNSIVRHPGVMRGHLQHLEGKCSNLRSL CLRSVGNDRQLDSRWSPTIDAARYKEWATFIISVSPTLENLVIEQGVEVDDTDVLHCR PQPVQVGRPMDSGSLNTFCLFCNKGIGLL LY89DRAFT_738324 MPAGQRPAAEPIVLQAIKTFLQACPLDPPHVPDIQPHPDPQEKR GTIVLPLLKQNAVMNSSSLHWQAFNTFLDTLAIAWSGAALFLEVSMKDDFRKATEICR AKRLADGPYQGIAPRIASDCFESFRLSANLSYDLEFLTTSHPSRTVSIMTFGYFTGGD LDLPMLNQTLPMRPGTSTILCTAFKTGSTPFVGERYQVEFFLPDLTTSD LY89DRAFT_688213 MGANMFKFFKSGFFDFEFLRVLGSTPFQGAEIAECLDARTKLKD DDPEAWYRTWDAWGDQASKLGEEALATGDRVSARWAFFRASNYYRSSEFFLHINNEDP RLLHSIQKSAYAHDRACALLDSEVISFNIPYDNGLELPARLYMPAPDARVPGRVPIIL QTGGLDSTAEELYFYGASGATPRGYAVLSFDGPGQGLSIRRDKTTLRPDWEYVTSRVL NYLFDVFEPKYERLGLDLDRIAVLGASLGGYFALRAACDLRIKAAISCDGFYNMFDIV SSRMPQFFINGWLSGSISDGWFNSVCRFLAKYNFQLKWEFAQNEWCYGVKNPAEMMRF MQTMTLKSQTGAEYLDRVRCPVFVTGAEQAIYFEPEMNARKIMTKLGHLDEADKTLFV GDGAAHGGLQAKIGCLSIMNQKQFEFLDRQFGIERSVPCKHI LY89DRAFT_209836 MVSRKTAAATEASANRYDSYINEPIAVVGTGCRFPGGSSSPSKL WDMLIERRDALRDIDRWSTDGFHHTNGERSGALNVKNAYLLQEDVKTWDASFFGINPR EAEAVDPQHRILLETVYEAMEAGGFSMEHMQGTDTAVYVGTMTADYSEMLLRGPENLP TYFATGTNSSILSNRVSYFFDLKGPSETINTACSSSLVAVHHCVQSLRNGESRMAIAG GANLILNPEFMASEANLHMLSAEGRSRMWDAGANGYARGEGFAAVILKKLSDAIADGD DITCIIRETGVNSDGRTKGITLPSGDAQTTLIKQVYQKAGLDSYSAIDRPQYFEAHGT GTPAGDPIEANGIRDAFFFEDVQREGERLIVGSVKTSVGHTEGTAGLAGLIKVAQAIK RATIPGNLLFKSYNPKILPLLDHLALAKETQAWPAPLPGAPRRASVNCFGFGGTNSHA IVESYEPSPHTVSRKMILPAQAPMAIPLVLSANNESSLAKMVQNYYDIIEQDESINLN DLAWTLANRRSELALKVTFAGATREALLENMSKVLQKTKNSPPSNIGTRRDNRTKAPR IFGVFTGQGAQWPNMGREILMNSTMAKESLKNMDAALAALPETDRPKWTLEEVICDKE KGKRIDEAEFSQPVCTAVQIMLVDILRSVGHKFSAVVGHSSGEIGAAYAAGVVNASEA IKIAYYRGFHTKLAQGPTGKQGTMLAAGLSHEDADEFCSQPQLAGRISVAASNAPESV TLSGDVDAVKEAKQMLDDRGIFARALKVNKAYHSVHMNPVSPPYVESLRAQRIQPKLP FEGCKWVSSVTGDPIEDEMDLRALTSVYWKDNMLKPVLFSTAVEQAVFGQEPFDYVME VGPHTALKGPFLQTYKAAMGGTLPYGGTLTRHSEDIGSMATSIAGLMNFRAPCDFRTE EYVQSYQAGVTPALVSGLPCYPWDHSQTYWGESRHSKKYRTRSKPRHDLLGAQLPDDL EHDLRYRNTVRVSETPWLAGHKVQGQIVYPGAAYIAMALEASKDLAADLPTKMVELLD IELTRAIGLEEGSNGADTLLTLKKTHEGPLNGENFIEAEFAIFSAVGVDAESWDINMK GRMRIILADSNTPDIILPARDNAPLLLSKLHVETFYNTLENIGFNYQGLFRRLETIDR RMNRATATATEYPEDPEMPVMMHPALMDASFQTCFAALHFPGDGGMSCPYLPTGIKCL RISTDRDEFGPEAEVTIDTFVTSNENMKICADIEMFSSATGAPRMQIEGLSCTALDRA TEDNDIELYAQTVYRPEISKSATEIEEVEESSTQLSMVDLCERLAYMYLRKLNSAVSR REVEEFSWNHQRIFQFIDHLFPVVENGQHPTLKAEWAEDDSEWLEEQAAKHMDQVDIQ LITTVGQNLLSVVRGQTNLLECMMKDDMLNRYYALGQQIKESNDSLSRTVGQIVHRYA GMKILEVGAGSGAVTKGVIGEIGHLFKSYTFTDATEKSFDKAKENLFQWTGKMKFQPL EIEGDVVDQGFEENSYDLIIASNVVQTSQNLQKTMENIRSLLKPGGYVVLQEISVPDV LRVKFMTLGLPAWWMGDEDSRRFGPAASISQWTSLLKDTGFSGVDQVTNDLADRPMYM TSVVLSQAVTDEVHFLREPLCPPAELPVTIKDLCIIGNNSARNAEITAYIEESCKQLG SELPKISFVPRVEKLAEFKTPFSSVIFLQDLDEPIWKNLTQDTITGLQNIVDRSKQVL WVTSGCRLENAYANMSLGVGRGLQSEYAHVQIQLLDVEPSEVETSNDLLAAAAIRLFA DEDVRAISPNILWSAEEEMIIKGGKFLIPRITADQVLNDRLNARRRAIEKQVNPAETQ VLISQHEGNYVVAEPHLTMLASESKTDIKIQVTHSLLSAIKVGENQNMFLTIGKVIEG GDLTAGKDVLAFSHVNASIISVPASQVVPIYVKVDSPPKFIESVATTFVSSALFSQLR HGSTVLFLGPNNSLAASFRNTADSVGLKVFSASTIPTNDPEVVYINPHTSERALRNIL PKKIDMLLDFSSVDQPSKILSLQNSDECINTKIHDLFGKHASTNKVAESVKNELLKAH FVAANFVDRSATPEVVTLKELTSSSEARVYPAVLDFTKDTEVSVQVAPIRTENLFRDD KTYLLVGCTGGLGQALCRWMVQNGAKHLALTTRNPKSVNQVWYNEMVRAGANVQVFAC DVTDGTSLRKTHDEICKIMPKIAGVANAAMVLADRLFNDITLEDFQKCLKPKVDGTDQ LDELFGENSDLDFFILFSSLANTVGNRGQSNYLAANGYMQTVAAQRRARGLTASVMHI GMVIGIGVVSQDAALETSLKRQKWMAISEPAFLDMFAECILVGRPNSGHSNDIITGLP RNSTLNADDNPWYAANHRFSHYVLKKEEGEDSSAGGASLPIKQRLAAATTVEQRSEII RGDFLLKMSRILQAAVENIDISQPLLALGVDSLMAMEIRSWFLGQIEVDMPVLKVLGG GSVSTLCAEAAALVKSFSEPEPEKPESIPSEASMSSAPSRSMTPDLLDSRPTTPGMLS EDFTWISRAESEANEYDGVELMPGMERVGRMSFSQERLWFLQSFLTNPATYNITLAYR IKGPFRVADFDQAFYELIDRHETLRTAFFTDKTNYLGYLGAVEHTPFVMEQKAYTGEE QIKEEFRRTNEHHYDLENAESMKATLLIENAESYVLIMGFHHIAVDATSSSILVRDIA AIYSGQQLQPLKYQYIDYANKQRKLVEQSMTTDVDYWKAEFTDIPETLPLFDFGTVKS RKPLTEYNLRIQETRLDAGFSSNLKAAAQKLQVTPFHVHLATLQVMLHRLLNIDDICI GITDANKNDPDHVDTLGFFVNLLPLRFKVEGARSFARLAKQAKDKTLAALEHSATPYN VLLDKLEVPRSTTSNPLFQVLMNYKMGSLRDVPIGECTGEVIDFADASNPYDLQFDVE QSVDGTTLVTVTTQSYLYSEEDLSTVLNTYTHLLKTLSSKPSLPIKDQNLFTTKASHT ALQIGTGPRIDLDQSVTINKMFDWAVKARSNNVAVVDNYGGSLSWTDMAEWVSTISTH LLTLGVKPQSFIGVNCEPSVMSVCYWLAVLRIGAIYVPLDVSNPMGRLELIVEDCNPA AIICDDITIGAAQKAFGAADRHIFKLADIPHIRSHFVEDMSKPLDTACVIYTSGTTGK PKGTMLTNSNFVNHIYGVNKAWDIGLGKEVILQPTNLGFDLSLAQMMQFLASQGKMVV ASVQTRTDPTELVKLMVEHQVTYTIVTPSVYSLILRQSPALISQLTEWRSAFSCGEPL TSSIVKEFQKAALPKLRLINSCGPTEITIINSAWEIPLSDPTASEQNMIVGRSLPNYS TYILDENLNPVPVGFPGEMVCGGASISQCYLHQKEMSAAKWVADPFASNDDLAKGWNR MYRTGDRAKMLPDGRFVFLGRINGDNQVKLRGVRIELDEVKNTIVRESRGAITEAAVC LRGEGEQAFMVAFAVFAKEIADHRKFLTSLPMPSAYIPSMLVSVASLPRTPNGKIDNR ALATLEIPQFQEEQDDSPIELNPVEAELKSIWSKCLPPGSNVKGIRKTSDFFVLGGNS MLLVNVQAMIRDNLKATIALYTLFQSSTIESMAAQIEAVTVVDVSGASKIDWEAETAL DQSLFETSPLSLGLRPVSRSYVEVVLSGATGFLGTQILRELVADERVGKIHCVAIRLP EGKASRTLPVESSKIIKYYGDLTSERLGLSENQFAELSKKADRIIHNGCDVSFLKSYK SLQASNVGSTKELVRMAMVRKTPFHFVSTAGVASFVPETDLGERFIPEFSPPVDGSMG YAASKWAGEKYLQSCADQLGLPVFVHRPSNILGEGAGTVNMTANIMEYSMRIGAVPHT PGLDGYIQFVEVEEVGYMICNSLFARSQGAVVKNHCGDDNIRLRELGDFLDRKYGTSL VMIELDQWIEEAAKAGLNAGLASLIREVLRNEGGTASLKTLSRKRF LY89DRAFT_722134 MRLINTVTGAFEEFIGDNIPPYAILSHTWEGREVSFKDTSDPSC KHQKAYHKIEKTCQLAAQRDIKYAWVDTCCIDKSSSAELTEAINSMYRWYQRSNVCFV YLSDLCATAVLKTALRDCRWFTRGWTLQELIAPSNVYFFDQDWNERGSKQELVGELSN ITGIKIDILRLALPLSSIAIAQRMSWAANRTTTRMQDMAYCLLGIFDVNMPLLYGEEE KAFRRLQEEIIRSNSDLSIFAWTYPRVSKKVQTLDSPVYSGILAKSPSVFLDCVGLEK RLGHDWREVSSLNGGIKTQVQILSEPIPGKRGTRYLFPLDYSREPGQLLAVRLRKCGP DKFIRDDPWTLVEYAEDLWANARKDRCLLFELPQVSRSPQTPYSSMSSLISQTRAHVL QIQLPPEIEIHDTWPWDRCFDAQDQLFFGSGDVRWDSGAMRLMTEFIFESVRRETKVQ FECMLYAVGWSSPEIGDLQCTIMLYDAHAAALKDLQSRIAAWDHTRPQVVAQLVYYNI PKTSAAVFKIPKSTASVVISFTPKYFNRRSGLSRQQRW LY89DRAFT_757068 MATSNAQKLAQAEQAIDTFLLAFPRILSPPGFPDLQFHGPGEIH GIVILPLWQQTAEFNTNCPHWPHFNTFLDGLAPLMKLITSRISDVLSNPNNLLPDQYA HYPNNFGKAIQAWNIRQSTVLAGQLIQPRILSNMNCFETVRLSAMLASDDPRRPSTSR SSDTVVITTVGDYLYGDMWRHAGDIYKTMDELITLPGKVEILNTRFGVQNNRFTGRRF QLEFFLPDISTV LY89DRAFT_209846 MARGNSSQFWTLLCLIFLLAAPLTFISTAQAQSDQEPLQENYGT VIGIDLGTTYSCVGVMQKGKVEILVNDQGHRITPSYVAFTDEERLVGDAAKNQAAANP KRTIFDIKRMIGRKFSDKDVQGDMKHFPFDVVEKDGKPSVKVEVNGSPKTFTPEEISA MILGKMKDVAESYLGNKVTHAVVTVPAYFNDNQRQATKDAGIIAGLNVLRIVNEPTAA AIAYGLDKNDGERQIIVYDLGGGTFDVSLLSIDRGVFEVLSTAGDTHLGGEDFDQRVI NYFAKKYNKENDVDITKDLKTMGKLKREAEKAKRTLSSQKTTRIEIEAFHNGNDFSET LTRAKFEELNMDLFKKTLKPVEQVLKDAKVKKTEVDDIVLVGGSTRIPKVVELIEEYF GGKKASKGINPDEAVAFGAAVQGGVLSGEEGTEELVLMDVNPLTLGIETTGGVMTKLI PRNTVIPTRKSQIFSTAADNQPVVLIQVFEGERTMTKDNNQLGKFELTGIPPAPRGVP QIEVSFELDANGILKVSASDKGTGKIESITITNDKGRLTQEEIDRMVQEAEKYADEDK ATKERIEARNGLENYAFSLKNQVNDEEGLGGKIDEDDKETILEAVKEATDWLEENQAT ANAEDFEEQKEKLSNVAYPITSKLYSGAGGPGGADDDEPDSHDEL LY89DRAFT_623737 MSRHQNLRNLDLDDELDDFDGGEDYEYDGLGDGAEELSEEDQEN MRVGTIAVREELPPQASHVTDKQIREALWHYYYDIEKSVGYLVSTYAAKPKAQKKSKA QEVKKIPVDEICSKPFSFADFFKDTPWLNVPIERQAILIPPLCPKGGLLGGSSDGAPK ISKLQALAAARKKKALEQKSSNTGIEQPMASLSLDQSASGSSSEKTTTGSSSTTTFGT RAFPIRKRKDSNPHEKVPKAPHDREPDLPPADVQMEMEPIDQAEPSAFANTMFSNASS PPPRRFESFFTLPYMNAATPTSTDPFAGPSPDDVVIAAQAKAAGKGGKGPPKTNGQKK VDQIANGVEALKVDDTPKATSKNLDVLAEFKKSKSKNAANFVVIGHVDAGKSTLMGRL LYDLKVVDQRTIDRYRKEAESMGKSSFALAWVLDQGFEERSRGVTIDIAMNKFETEKT SFTILDAPGHRDFIPNMIAGAAQADFAVLVIDASTGSFESGLKGQTKEHALLVRSMGV QRIIIVVNKLDTVNWSEERFMEIQHQVSSFLTTAGFQAKNIAFIPCSGLLGDNIARRS TEAAASWYTGPTLIEELDNSEPIARALDKPLRLTIGDIFRGGVQNPLSISGRIEAGSL QTGDALLAQPAGQKCFIKGLEIDSEPVDWAIAGQNVTVHLSGIEEQYLKIGDVLSSPS SPIQNIKQFTAKVLAFEFLTPMQVDVHRGRLHAPGTIKEIVAVLDKGTGTAVGGKKKP RIVKPGQVVRVVVELDVVVPLEAPARVVLRSNGETVAAGLLE LY89DRAFT_653034 MMAGWFASSSQIDQQVEEATKDSLENIALNLEISDVIRSKTVVS KEAMRSLKRRIGNKNPNIQLSALNLTDTCVKNGGSHFLVEIASREFMDNLVSLLKAYG GAAVNEDVKAKILELIQTWATASEGQYELSYIGETYRSLQRDGFHFPPKVEISKSMLV SSAPPEWTDSDVCMRCRTAFSFTNRKHHCRNCGNVFDQQCSSKVLPLPHLGIVQPVRV DDGCYIKLTDKSSKGGTFSTERSPTHKQHRPHSSMQPRSARVDDGFDEDLKRALAMSL EEVNGHAGSGYVPQSKQQPSAAPAITNGTSKVSTKPAEEEDDDLKAAIAASLADMEEQ KKRHAATIKEQAANSDTKASGPIVLPKNDYELTPVEAENINLFSTLVDRLQTQPPGTI LREPQIQELYESIGKLRPKLARTYGETMSKHDALLDLHAKLSTVVRYYDRMLEERLSN TYNQHSLGGYNLPPQRPTSTMYPSIAANTAGPTTAAESFYTGSAQQEPYGRPQSTYSY GAQQQPYQQQHHPQYDKLESVASPGYRNPEQRVENYNQYPPQAQAQIRPTWKPQETPA TPYAVPTQTYPPGPLTQHSQSPPQPLPTTNSLSQAPNSYVPSDPAATPLADPSPSFYY GSAQVPPQQSAPDQTQSQHPPGHQSPQQYHNTAPQPGSPVQSVHPVQNTQEQFASPQQ HAAPPRQYAPPQQQQQIPPWQTQQQTLLPQPWQNPGATYNGYTQESFPVAPQHSLQPK VVEESLIEL LY89DRAFT_623741 MSPAAVGKPSTRASAVERGGPVNMPLNGSISNGSAGQHQHGAVR VFPHLDDLVIAKPNVDINSPLRTILLQGELLAKQADTHLDFRRPDIALQEYVSASVIA VEIVPRHPDYLALQRDRGDLHRLYLGLNKRINSQHKKFAEVKEMIKEDNAKSGVKPTS GKQNTSGVHSQIPGAGVEAMNSHVTSVPLQKKTAPAVQPKPDALHGRAVPNANGTKNV SDLAARFARLQNGGPVQDPRIKTRPISISNPPESNSILPNKPESRSTMIRPNGPRELP SVPDTHPRATKIPLDVTIPGMPRPPDAIHHSPLRAVDNPDATNLPSSVSRNPSFLGNG QRDSAPPISTVGPSPYVFEGRSEYFVSPTHSSSENVMPARGGTKVLCPPDSVTITAEE LVGYLQQGQQKLKLLIVDLRDRDDFAGGHIMSQATICVEPLTLRSGLSGEELEDSMII APTYEQNLFDQRHEFDMLVFYDQSSTSFKNLGSRTEKDNILRDFAAAVYDYGYDKRLK RRPVLLAGGLDSWVDLLGPNSLATLSAGSHDATSAPMIISPRSQGARSQALNLSKRRI RPARVQSNEEQKRWDQILKEESNILREGKGAVTDADELVYARTQEDFLRRFPELPPVQ ESMVSPAATVPFKRIQDELENIMPRPPARPAPALPRQRSNGITDRGLTQQYAHGGQPS LSPKIVWPGATGLQNSGNVCYMSAAIQLISMTPQLREFLKGLTPGSVPVPAKAAFGSF AGESAPPLQLMARTLGNLLEHMWSGHYNFLNPRTLRGYINAVHCTVRFGERIRDRAFG GRLRQHDSGEFLVWLLDILEDETNPVRHR LY89DRAFT_757076 MITYDPRYELPRDLEGYGEHSLNPQWPNNAKICLSFLLNYEEGG ERTVLNGDAHSEPYLWEKGVSSTYLTGHRHISAESEYEYGSRSGAWRLLRLFKEMGWH FTSWAVAQAMEKNPSFARACVRDGHEIAAHGLRWLDISEYSVEQEREYIRENALSLER STGVFPKGYFYGRASPNIRGLYPEVMKEMGRKLLYSSESCFNDDVPYWVDLPWEKELK EEEREGLLIIPYNYDCNDGKFYMSPGFTGTTYLEYLKSTFDMLYREGCNGSPKLMNVP MHSRILGKPGRAEDLRKFMLYVKEKAGDDCWVATREDIANHFKSRFPYVPGHLAPGHP NGLQT LY89DRAFT_673516 MATPLITRIEREDVEDTLNPRGWLTDTAIRTIINYYGNTSPSSH ITFVNPTWLGTWERMGRVLPDPLPAFLTGRNQGGHRPVGLAIPFNEDNGHWTTIYVNL EARGAIYFNSLPSWGSRRAEEVMHAFFERFELFFQGTGPFRFEVDDRCATQNNLSSCS IYTIRNTLDLMDHRRPDWKALSREEEGNFRRNAAFLLESNLGALEIPASRREGKGNTA PDQGGPKSPPAFGAWPYNFYNGKAAWGTENWDTKPKNPKKKIEDEKWINW LY89DRAFT_688223 MLSAFQATAILAFASSVLAAPAQVCNDATYPTQIRLAYSNDRAM AVSWNTKIKMTKPTVAWGPDTHMRDSADSDVSTTYQSSTTWNNHVVIEGLNPDTKYYY SPECGNVTYTFTTARTEGRGTPYQFAMIGDMGTFGPDGLSTTVGKGAANPLAPGDLTT IQSLSARIDDFDLVWHVGDIAYADAWLKEENGGYAAPLNTSDFGMEYEKILNDFYVEI QPISAVKPYMVAVGNHEANCDNGANFTICPQGQLNFTGYRAHWNMPFYKSGGLENFWY SWNHGMVHYITFNTETDFLNAPDQPGGEGVEDAGPFAPFGAQLAWLKADLAAVDRKRT PWVIAAGHRPWYVSTTEYIECQVAFEPLLLEYGVDLVLHGHKHFYERHSAIDNGTAQD LNNPTAPWYIVNGAAGHYDGLDTPTLPLVPTSRDIIVAYGWSLFTVHNCTHLTTEFIA SGNNTILDTATLYKDRTCALN LY89DRAFT_673518 MYTSSIIAAFTTVLALASAVPAPARQIQARAAPVVDVLVQTSPL NSANVQASAVQVILGEVKVVIGNSGFSPSQASKLSISPFGATTDITTVECQAFSDTKG EIPVSGIFSSAYPAILSSTATVPVGSIICEYI LY89DRAFT_688225 MAEATPPLKRKVIDLTNDSDSPSTSPIPNSNLPEELDPKFRSAV AKASLFKLRTAILELCKQMPEAVKILEPILISETPPPQREIRHAPVRSSRLRQLASKG ARKSAPSSGPPVYDSDDESEEENERKKQRTVRECEYGGGDADTGDDGCCKFGRMDNYK IEAVEEEYFRTRL LY89DRAFT_673520 MASTNKPSFSKTKPRFAQYQLRETIKAIRPVEMRTAITKLCMQM PEAAKLLSSLLFLPPPIVSSPTFKIRHFTSVPSSRRKTVAAKAVRNYGTVLQGSRPAE MDLMESVEVCEEVLEIKTPRLASPSKYQPRPIPRSVSSISETQVFSTATSRPSSQKVT SQESAKVHHLSLNYRTPPSLSASLSSATGIDTSSKRSKPSPRKVMARIAAKEGVRYPT VSELEESLKRKWSPAPHCDRADSDESDCWSKEKRMERARKFNAGSEFGLKN LY89DRAFT_699786 MSALVNSRDPSYGQLERVLTAQKTAAQNAVRRKGERPRDLQPRS RCVDEYTMAALSNKMNNMESGHMMMTTVIGNPYPPSVTPLKDLEKVMIKDLTLETHHR GTYLLLRFICPAMRMSAVMNVAEDEAGTAIPFAVYMQEPESVRTAESILKDKRVIILK EPYFKLGTNGQYAVQVDHPSDITWLSEDDTRIPTKWKASDANTGTLWSAMEYFEAIEL YSRAMRSSLTAEEAEILHNNRALANLRIDAFEAALNDISFVSNREHRLEKGLYREALA LYGLERYTEAVEVLELLVCKYPESTSGKHELARSRLRLAEQETGSYDFKALYKATKLR PPRMDNATYKGPIEIRESKGRGRGLFTTLPVKAGDLLLCEKAFAYCFTTPQEEKAKTS SKTLSRSSYLMDIPHNRITVGTHADLIPAISNKLYRNPSLAPTFEDLFHDDYEGAKCV LIDGAPFLVARTMLPNAFGSPLTSKHMIDNPGSYNRDSGIGLGTLGIWTLASYVNHSC YSNCRRAFIGDLQIIRAARDMPADTELTFSYVTHQEPAELNKILLKGWGFQCDCIICV DDRETPLAQKIQRQKLIRATSGTSTKKKLELIEQLNSTYQRPSTEVPRLQTWDFHFSL VEEFAERSETNKEVIEQVLACFESVGFVIQGARLSPITSSTITIERWGLPHDKATRAW LTLRNVYNLLGKHDLADQAKEFARMNWMLITGEDMTFVYDNPTRT LY89DRAFT_210126 MSGLTYLITGASRGIGKGLLSAFVLRPNTTVIAGVRDTASAAES LKSVPVGEGSKIVIVKIDSSVDTDAAAAVEELKSKHGITHIDVLLSNAGLLGIVAPVL ETPADQLRKHIEINTVAPLILLQAFKPLLDASSSPKFFVITSKIGTLSDMQNVPVPFF AYGVSKAAANYFVRKVSFENPKLISIALNPGWVQTDMGTWGAKSVGMDEAPMSLEDSI KGTVKNIDEASLEKTGSFIEVTGEPIPW LY89DRAFT_757091 MTKPCPICSPILSSDGWSSQIVEPVTRFLSAKAGGCLDCTMIVK AVEEWHQGWIDDHVDDGTIELSLQFRVLNVSVDPVDDPKLRGKSGKFTLWQHQEKNSE GKLTEPFLSSLDIVTDTNSREAFKRASDWLGNCVKYHDHPKEDPDSKFIPSRLLEVIP SEYHGNGMRSTPDKQRREEHHQSPDAGSSRVRLIEARKKYREPFMYAALSYCWGGDLE GVITTVKETIDKHCQSIAVASLPKSLQDAIILCRGMGIRHLWIDALCIVQDDDKDWRR EAAQMRHVYSNSHVTLAAHSASSCKEGFLGKQEYGQADWQRGFMTPRGSDTQKKMFIR TREKPAWLCAEPTPLMKRGWAFQECILPRRIIHFTGFEMVWECSKDHFCECSHVEGFG GKSNPMTKTWLGELPSVNPVIKPKRLSIPTDLYPALEPAVETCDWMEFVMEYSGRGLT RASDRLVALSGLALSLQSKPSESNATLAYGHWTQNGPKSLWPVYLAGLIRTFLPQQLL WYSQDRKTEIPDADMPIPTSARPTPYRAPTWSWASLDGAIQYESKGTFESHVLIDEIG TFCTPKDDFHPSGPVRDGELLLEGVLAPVKVLTAERRVKRRLWDVSDNWIGRTSVVRS RFGAIIEISCDVPREIELRKGDPGYDCWVRGTCPLRSGPDAQCEKCHFGMERNSDFWC LKVATRKLHDYEWIWFLVLQRADSTKGLAWERVGLGRIDKVKNEERSDEDLKLFEGAR TERIRIV LY89DRAFT_210135 MAARQYLLYAYPWMPYPRRIIIYLREKGIPSSLVQVVRVSDPGD GNAAPPNFPPRPQGSLPVLVMRSERSDTHIRQSVAIMNYLDELCDAGADGFPKSKYSI RGENLLARARNTEILGLADEILASWNPVRTFGTGAGTMPIPAAAKEML LY89DRAFT_757095 MAEEAQPSTSRTAPRHGWRFWAIFPGLCVTSILCALDSTILSTV LPTITSDLHSSSEYVWIINAYTLTFTAIQPLYGQVADIFGRKAAIVVAISLFLLGSGI CGGAQSTDMLIGGRAIQGLGGGGLSILPAMVVCDLVPLRERQKYTGLVYGAFAIGTFI GPVVGGVMVDHIPWRFIFWLNLPPAGLALGLVVAFLKVSHNRSGSVWKQLARIDYFGN LFLMGAVTSILLALAGAGVSRPWSSWHTLVPLLLGLVALPLFVLFEASSLCLLPTVPL RLFSNRTSALAFLLTFLHGVMLYWGSYFLPSGINTLPAALSSVPFGIAGGFMIAKTGR YRLNQIVGFALAAIGIECCSVLNQNSLAGVWATLEIISAAGAGSILTATLPAMQALLA EADVASSTATWGFIQSLGFVWGVAIPSSIFETKFRTFIVSLHGQVQREVIDVFVASLK LVWEMGSAFAILGFLISLGVKDMELRETLETSYGYYGELSRKDNLASSKE LY89DRAFT_623752 MQLCTNRLEGFGPHSTLSSPLPTSCFTDTILIPLPIWLALLFLP LLYLLSMHHRKSNFDPSTAYLRSKPRRNCAFNTISIIYYILIVCNILMQTLEIVRLEL IHFGISLLPFVYVGLLIGAFLHYTEGVKGRIRGWQTVNGIIWIGGVAMCAVKVVGLSK EGINGRKGSKYPISDQVTDVAVMAGVYAVIAILELMLGFWRALRRANSGNEETPQSGM SPVIEQEETEYVRKYPTAV LY89DRAFT_688230 MAQSSFYTLSSNQPDRVKDSAFNFEHKTKQSPLTFPSTHDTSRS DCHMNSLSHFVEALDQVHTPKSDQNISKST LY89DRAFT_210202 MLHSQILSVLKHERPERLGGNRRVECRTTPVYIVTTEDPSIPSI VLNRIQVDRTAVQAGASQKRARSHDSDPGITGERTSRRIKRGKHSKGKERAGSQDEEV DTMPKTSKMATEPNGPERVHGEARLPSPPKEYHINKEALFGRDSDGLLKIPHVLISIA LAENQVLDADACAAWLASFPALAEYVKVRSIYRSYSTLIIISVPVILWDLLPDDLACN FIGYVRSGDILSEDSLKIREADTQETVSDSELAALEATIPPTSPIESQDKRHAHGKLR GRHQSQ LY89DRAFT_592990 MSPVTGNALRFPSLSSGDFLFAPSVGSENKALSWRGSAEPLMQS SSPPPAKKSRTNTPWTPAEEQRLRTMRDVGHSWADIAKTFPSRTEGSVKKHWYKDMHS AAFVEDESQALLKAIKEHEEDRWKVIGKMIGKPAKACEQYAKEHFSDKHDVKF LY89DRAFT_673527 MSGLEVIGSVASVLQLAATIYSISKTLYEVGDALSNASSDIKDL AGDLETFSQELELLSTLLDGKSTHYSDQVYRLTAKIIGDCAMICEKINRVLKKLRSRS VWAKMKWLYKEKEIEKLRARLRDLKLSLMIILSHFSILKADRMMDAMGIGSSSLLEGP RNEAAAKETVKDLEKARKKLAGITMDQNQTTVAPSRSTSTQFSWLQESSESTTAASNA LSKSSLRASSTALSELDPESPPPTPGPQIPCFAVPSEDFSEVKAIMMNSAAMYSVQSF QTAASRFEYDECDDNSERHHFQEVPINSPFRSETGPRYQGWNEDKTASEPAADLPSKK TPVTGLLNQPTKDCQRSFHRPDLLARHLHRHWSPRSRASSSASESRTPSLKVDLSSMG AYSSGPQEFSDALTPPGFWNFVEPLATSSNFRAINFQGSADSKRTASQAQLPESDLDL APSCRAESSRMLDLMTTPNSLGSSSQSGIAESYAEGGMNYTTTQQLPLLSISEDNWNT SQSCNNSSCCSSTSSPDHSPESDTSPRMSTPVSARAHLLSIPTSMVDSDSHKTFHHSS NGNMHIAGYSGFDAAEPTSGHLRSEQLSQLWWRASAKLEENDPFLSTALNVAWEAYIT MGSMIHKLSRVSLTITLATMSSIKRIHGLMKMIQDVLQSKTGACVWVYLSKALDILCQ HTDINQYTDILESLADVVALIARYNVMESMYQQLPGMDLEPDYEDALVSLCTHVLEYL GQVITLSLETGQHASMSEILTQTINKINQADTNCRQFTVTFMDTALDRDIKDVSDEDS DSDSANCEPRGTKRGFEEISVAIVHARSTQAEMSGSASLEFQEMGKRPRV LY89DRAFT_785899 MDKPINRSLYSPLGPSQIRLLTLLPGDSQDRTIQCALKHATLQI ESARDIQYWRVQETLSRSKTQRTLRRWKSIGQPGYEALSYCWGPNVIYDSIDVNGHQV PVRRGLWTALHYLRYPSGGKKRILWTDAICINQDDLAERSDQVAIMYAIFNRGSEVIV WLGEVYDKSNLAMKAIERSTKDYSVAEAEAEAEVDLRSEADILVERHHAILSFYNRPY WSRLWILQEVCLARKLSLHCGTKSVSWEQFDHFWIHFRRSPGVNPDHPSGPGASYAIP EGLEMLMDMMHRRTCFSNRVFGLLGLVHQPLGGRSLQADYSTGLQQLYIDVMEWYVEN ENDNLKAEIYGNVVLEMSQVLQGALERPFQRGLETTPSLDVSNMRCEFWMKPDQIIDL VQFVGPSMQVQQSQDGKYLELHTDRDLRPQELIPETSSTMSFDPHESEVLNSAWVVYR QLRSRSIPQHFLTIDSTCRAFISTEGNIYLGGSDVAVGDRIYFVEEDTGQDLWTFKVE EHESRQENESPQRTDAEVTGSVYRWAPSEDTPDSTAFIQQLKMSAQNVTIPKFDKTTH RTLMLSIQDIQRLTDSHNSIIIF LY89DRAFT_593163 MFLIGRIVFFAIGYRIFRAFYDLYFHPLTGIPGPKLAAITYLYQ TYYSLFVGGSRFYIQIGKLHEQYGPVVRITPDEVHLSDPENYDSIYYIGSKYAKVEQY YASMSCGYSSFTTMSNEAHRIKRARLNPFFSKKKIIEFESIIQSNAKKLCDLVARKFE EGEEMDLHHGYRAVSVDVITDIAFNQCYNLLDRKDIGEEFFTLFEKMGSTMWVFQQWP FFMRMAESLPPKWGAKMSGPLAQVFRIQNHCSEAIASVEVDIAAGNKSAARQTIFHDL LTPDPEQGWVVPPEVHLRDEAFAILGAAADTTGNAMNFATIEVTNDPVMYKRLCDELR EAFPNPNAKPDFLTLEKLPFLTGVIKEAFRLSFGSPGKLSRVVPEGGATFNGHFLPAG SFVSMGTWELHHNETYFPNATKFDPDRWADPANVKHMEKAYVPFGRGTRMCIGINLAY AELYVVLGNMFRRFDNMRPNELKPEDRVYNDYISARVPLTATRFHVSAGEKVG LY89DRAFT_673530 MRERLAGVNLSQVEDFGSLYQGILTAQLLHLDHGWISEQWKLAL TLQSFSPMRHGYRIVTIAEFWTTDEELRTCLLPFIQSHGSNSKLVVQVLSDLAQNYDI PSWLYKELLPLAFDVLDPEQMNSGQISNVICQMHKLGMRAECEYFISRITMKAVLGWA IDPAADSNSDEEADEAEQDSDGSIRGEEQNGESSGSDDSEAVDLEDDDNDGTDSNDDH ADEDKQSQQEDDNDVAEERVLTKKDRKDAYTSFLSTLTKCVSMDSLSKAPFTKTMYRR ILSLFVADHQNNKPQDHHFSYISVGCKPNVTELEDGTTVIKQNCPQCRTLDLSSLNTF LNQHSPTEVSLDLQLGQKGGSIRAERDHLRKWLVRDNPTGPHIKISTRMSQIKNGMLI TKCDPVYDTKVREWQIAGAELKKQFSEIGEELLRHLLGKHFDAIMALKPIVLSDPVTV PKRPLQISNQVFEDEEPTRKRLKTSL LY89DRAFT_210230 MISSSLGNKDMINAVGDLLGENRDTIEENTTPENVVQEIEAGLA SKRVPTERVIQAFQVENPIQPREVKKRLYEHFEDYFRGNCHRRKFAFHERLRHTPNPG LEIVGSGSIGFPLDDDDITKIKAASKSLSTESPISSLRTLWEVPKDMWQTKKSCLAES TQVVRCQSYPRIRN LY89DRAFT_699791 MSLRSSIYDYMEENGRTYHAFNSGKYMLPNDEREQDRLDLQHHS FRIMLDGKLHLAPLANPQRVLDIATGTGIWAIEFAQEYPEAVVIGTDLSPIQPGYVPP NCQFQVDDAEEEWTFREPFDYIHARAIVTCFKNNKTMAQKIFDGLAPGGYFELQDPSF PMKCDDGTLEGTALEEWNTLLIESMTRIGRNLLDTHNWAQHLHDAGFIDIVEHKLLVP VNPWARGKKNKLLGAISLQNMTEGVASMSTAAFTRILGWSQERLEVFLVRVRDDLRSK GVHAYGVVYFITARKPLE LY89DRAFT_673533 MPLRRFHTKSRHGCSQCKLAHVKCSRCTKLQKTCSFQNLYKAPS ESSGSSPNAGTSITTINHLSETDTLSWEDLDLFHHYLTVTCETLDDREDVRQMWKIQI PQMATKQKFLMYGIFSITAMHIASSNPENQSSYINRAIRDHNIALRDYTSLLHNITRE NATALFACGSLIAVVALTLGILRPQQESTGPVEEILGIFNLLRGIPLVAGKMWASLRS SQIAPLFVHRDHDGREVDLTASTRLPERVTNALALLEERAQTLSTPEQKEAYIIAIQD LKEAFNLRSAVRYEKSMSFRWPVTVNQEYVALLGLREPMALVVLAHYAVMLHEMRDKW WALGWGSQLVHEIYQVVDEDWRGLMAWPMREISPNHEHD LY89DRAFT_785902 MPISDFKYYHYDPTLVGAIIFIVLFIATTSLHSYQLLRTRIWFM IPFVVGGLFEWIGYVGRAVSSQQSPNWTLGPYIVQVLLLLVAPALFAASIYMELGRII LLVDGEAHSWIKKRWLTKIFVAGDILSFLLQAGGGGIQSSGKASSVTTGSHIITGGLV IQLLFFGFFIIVAVHFDRAIHKNPTPRSQSPHVPWRKHLIALYVASLLIMIRSIFRVV EYVQGFDGYILSHEVFLYLFDSVLMFAVMVIFNVVHPSEVNALMRGGNAVKNGWQMER IEGHFERVARGDSGDTLA LY89DRAFT_738355 MTGPKEPHFRVIIVGGGVAGLAASIGLRQKGHHVTILESTSTLQ TLGGSLLIPPSAARVLDSYGLWDTFKESENIPPGNTTYWYQDGKVLEEISYGAMEGAF GFPIMGTPRARYQRLLFEAAVKRGVEVRLSCRIQSVDEDAPAVVLKGGERVEGDLIIG IKSAVRTTVLGGKDVQPIPESIAYQCNISGDAMRSNHLTAPLMEGGGIHSWYGPGSQM ICGSDSEKSSYRVTLIFYPSPSNKTAESLDVLAANSSSSYRKGSVESMRNVLRDFEPR VRKFAELTQAEDCFLWKIAHLPPLSSWVSRSGKVALLGDAAHAMVPHLGMGAATAVED GGILAECLSRATSASDIPAALKAYERIRKPRAERIQAAALVTGKYKAMPDGPEQEKRD RKMAERMDVRNPKYEYWKAGGGLEWLYGYDFRKAAREELDKVFGVEVESRAKL LY89DRAFT_653057 MPIMDKSYTRAVELLNARIRPQRSNVPATLTTGPKPTLNGEAQL TGVPSISGMKEWLRELGHSPEDINRLNIVHVAGTKGKGSTCAFIESFLRSHGRRTGFP RKTGLYTSPHLIHPEERIRINFHPISRDLFAQYFFEVEEILSKGKGRRPRHLQLYFIF ALHAFIREGVDAAIIETHHGGEYDSTNIIEKPVVTCITTLGLDHIQQLGSSLESVAWH KAGIFKPGARAVSAPSAGDAKSMIRARAEEKGVSLEFVKQDPVLPEGTIPLKPDILRT NCSVAISAARAFLEQTTRGEPSPLSDEDIVEGIKQWSWPGRFQIEVEGSNTWYLDGAH NEISLTKAAEWFIETAQPDTAIGANILIFAQITKVREGGPVVECLARALGGYIKHVIF TTYRKNKDLEVRTEEKDFDMAQLHGGYTEVWKKVQPDADIVIEENVEGALEAARRIGE KHGGTMRTFVTGSQHLVGPALQLIKNIP LY89DRAFT_210584 MLVEPNHQHNIRGRTISEYIKALEHPPELPSNMNNLGSAVRNWM HSTHDYMITMHNKLEELRKCLMISTCQHPKTTSLPEKRASEYQVNTSSSDTECPGESK EDPYRETNWRNGFEGWDSMGDISCGDWEQAPVGSVGEVTDLEYQVVTGAGHAQDLGDR VQTLFKENQRKWGKTSIGYVVGGDAKNGLHPPDSPAMKEQRVKAAEARMRRAGATTMG RNFNRRGFESSAAKGGQHARLLPRDSHANDEENEVPENANEGSRSDQNEAGTDTPRPE HGQDEVPCQDSDIPDLVSDNEGHAEAPKFKKTPIISGINDARRREEERREDPEKWYAG WDRWIEGRPNVSNENLQEFMEDRGVPREDIEKLLKGRKRGG LY89DRAFT_688238 MIVERDVPITADDGLVLRADVFRPDDEKPAPVIMTLGPYGKGVE YKDGYKDKWDWLLNAHPNILPGSTKSFETWETVDPELWTKAGYICIRVDSRGTGRSPG HLDIFAPREIKDFYDAIEWAGVQNWSNGKVGLLGISYYAITQWLVAALQPPHLAAIIP WEGAADYYRDTARHGGIVSNGFLEAWYHRQVVSNQHGNPSGKTDPWLKERATGPAELS DQELRANRCDPVQDIVDHPLDNQFYKDRTADLSKIKVPFLSASNLAGFGLHDRGNHEA FKFAASTQKWLQLHPGRHEEWFYLNDNIELQQRFFDHFLKEINNGWDKESPVLLNTRR PFSTTFELRKETEWPLKRTEWTKAYLDSSSKALSWQTAGKQTNVSFDAAGEPVTFTSA PLEQETEITGPLAAKLFISSSTTDADLFLTLQAFSPDGREVTFQGAQDPNTPLAQGWL RASHRKLDTQLSLPYRPYHSHDELQPLERRKVYEVDVEIWPTAIILPKGFTLALQIGG KDFERGNKEGGVFRGSGPFLHTHKKDRPESVFAGKTMVYTGGEYKSYVLLPIIKE LY89DRAFT_722160 MPSNYDYQDVGSDDHENSSTDVEDSALDGKEVWTKPSRKSWVRR LLEGIRSVWWVVNTSLLLIILALLLESRWRSDKARLEFGGDLTGFVPHFSQQITTFRP DLSFVPKRAEDFWLDSTQERWLSIVPRGLGYVHVDNPSDYDNLPQPIHDYTNMTVFTT AMPHQLHCLYNILEVYYAVVGDNPRHIPTSMTFHLQHCFEYLRLSIMCSGDVALEGAE TTFPKGFGGSDGWDSKHVCKNYGEIFDFLDEKRANDRVWIGL LY89DRAFT_688240 MAENPTQVTSDDGVDLLAPRIGYKKDKRAGTYDIMAENPTQVTS DDGVDLLAPRIGYKKRAGEAYDIMAENPTQVTSDDGVDLLAPRIGYKD LY89DRAFT_722161 MFGFKFGKQSLPYMEKDKTVYAPLQDGRSSSSDEGDRDEKPMSY TAPAGRSPRFWKLSVIALLLVTNITTFVGLFAVKHLTKRVNSHAALDLDYAPNSWARI DELPTQYNRLNWWTEYSDKNFTEGDMLWDNMNTAHGFIAMDRTWAKERHWPDSMHFPS DNSKNVYLLEAYHLLHCVGVIRKTFWEAVNRADHYTFNPPHSGHCLDMLRQYIVCKAD TTPLYVFGDDTAGDEQYRKCNSWDALRQFATDNTACYRDTPKGVDQSTFPFGDHFGYC DGGYDGVKQGERRGPWKHGAVWDGPP LY89DRAFT_757131 MSYNLEKDVVDSNDSRNSVSDLEDCPLTGDENHLPLKEQRRKFN FRWPADRSPFWKFWSIAMTIITLILVAVGSHKTSVHDVSLCSASDMKTPTEETYNSGD AVVESWKKENLVETHYYRDLRYMTLNHDSDWLWKEHLDMLSGNIILPAAEDGSTNATL KSISMFHQMHCLAKMRMTLQRAREGEDIGEDWKDDAHWPHCFDYLRSSIMCYADGTLE SVSRQPGPVDDGTFVEVIDGGEELRYCRDTKPIYELVRHYGPSSRYGYASKETDFEVA PGK LY89DRAFT_688241 MVSPAITTTLATEEDAQTLASIMTSAFSASDAAYPLIWAGPTAP DGIHDIVAVKGLFSPVQKEDRLTFKAVDGERVVGFATWNMPKGNAAVRRKVEKGGLPN IPGVNVSLWSEKVEGLKDVADRDVEPGKDMCLLHFQTSSLRIN LY89DRAFT_593131 MAPTPILNSLAAGAVFGAALTAAGVYSPSVIVGQMQLSDFHMLK AFLAASASSAIAIIISQRLQLTNCKPRTPNTLNLFSPYDGNILGGGLLGIGMALSGAC PGTLLPQIATGVRSGPFVLLGGILGGILFSGYGKRYLGMVSDGETIAKPTVYQKMGGD RTNAVAVYEVMCLSGIEAVRKFYPEKVGVLLPAAVGGLWIGFSQFMSLFLTGSTLGVS TAYEQLGDLYWWLSGRVLEGKKGPMPSIRGTVFAAGTMIGALVLSMLIEIPSPNENIE VSAARAVMGGILLVVGARFAGGCTSGHGISGMSQLSVASILTSASMFAGGIAFNGLLR AF LY89DRAFT_210669 MHGVFILKGDSENKKREGVSNRELVIGVRKSGQVVVSGLTILDF LHFLFNALLFIAVYTPVSNSITTTSPNLLIRYSTSLPHQSPIRIPRHKIRFPSCVPKY CTGMHASLLLHNLASTRFNSPLVSNPTNPRTKKKGPISSHVPLKNLHLADQAPDKKTP QKAYHTAPSPIIINLLQRGACARQTHRRPRGSRYSPLNKSHARTSFFAARMRAAD LY89DRAFT_688243 MATPPPNLEFSQLSKAVSLYTPPSEPSTPNPDTDPTTIIICQWM GASPRSRGLNTIYHQHHSLFPHARIITIRSLPEFFMITSTTSRLAMIKPAIAAIEADP VAGPRILVHLFSNGGSLEFVDVCTLYKKATGQILPVKAIVLDSSPGQPTLMEGWTAMS ISLPKGLMWYPGAAVIITLLGITSISKNVFGIENIIEKTRRWLNDWTTVDKRAKRLYV YSENDKLVGWRDVERHAEEARREGVDVSLLRETETPHVQHAFKDSERYWLRVKELWDS VRG LY89DRAFT_785913 MAPMNLEDVLRLAASEDEERGITIYPLGAPGNLKISLPSFEARS IVLLHFTEHVDNIVWFWSVILAGGIPAMSPPISNIPSQRQKHLEHLFGLLDSPMCITR LALLDQFVGQDLLNPYTVESLSLIQSHENGNSTEHQNARRTSYPKDLASLMLTSGSTG NAKAVCLDQRQVLAAIAGKSTIREIPREFSALNWIGLDHVGSLIEIHLKAMYLGIEQV HVQAQDVISDPALFLNLIGRHRVGRTFAPNFFLAKLRLLLESKDVARLDQDLDLSCLR LLISGGESNVIETCGALATLLGDYGAPPNVIVPGFGMTETCAGSFYNVDCPSYDIQNG YQFASLGRCMPGLQMRVTVPGSKAELPTIASTNEPGLLELRGSVLFRGYLNNTSATNL AFSPDGWFKTGDQAFLDSAGNLNIVGRNNESININGVKHLPNELETAIEESSLEGITQ NHTVCFSFRPPRAQTEQIFIVYLPSFDPDNIAARISTRDAIIQVTFFQTGSRPCVLPL NSLFLQKSTLGKLSRFKIRAALERGDYKMCEDFDRAQIMDYNSSNMSQPAHETERLLR EEFCEELGLSQECSGVNTPIFDMGVSSIHLIRLKKRLQMRLSIPEIPILTMMRNLTIR SLSAALKNLNKAEEYNSVVILQPNGHKAPIWLFHPGVGEILVFLNLAKFLPDRPVYAL RARGFEAGETYFANIQEAVTIYHAAIKTKQRTGPYALAGYSYGTMLAFETAKLLETND DRVAFLGCMNLPPHIKFRMQQLDWIECLLHLSYFLGLITAEHGEMMAPRLRGHSKEQA VNYIREVANPDRLLELALSADALANWADLAYGLQSMAREYEPSGAVAVIDVFFAEPLK IVASSKEAWVKGWLSKWADFCDSEPRFHEVMGEHYTMIGETHISSFQIVFREALKTRK L LY89DRAFT_785914 MGDNSHNAETTAGTNFDPSFSTLQKSMAETQRETDSDASRVSKT RLDGTHDEYVTGLKLAIIVAIGRAVAGVGTAGVINGAITIISSCVPLRKRPALIGLTI GVNQLGIVLGQLLGGVFSSFVSWRWCFYINLPFGALMATSLTFLRIPEQTPKARAIGY GHLAEANTTFSIC LY89DRAFT_623778 MEILTALLLSWPYIVGSATVYFTTLIFYRLFLHPLAKFPGPKVA AITRYYEAYYDIVQNGQYIFRIQELHKKYGPIIRISPYELHILDSAFYEKLYCQEGRW EKYSWAYDAFGVKDSIISTANHELHKARRQPLDAYFSKTKVANQQALILRNLEKLCSR LSKVSGSRKPINLGAALSAFTRDVSTEFMIGKSYNDLDHEDFNIALTNMTTTGFGFIW RMTKHITWFGPAMKAIPFDWAMKLSNDDTKAFLSMLRTSEQDTKELMAAATSPSPDEK AQRTIVHEIMDSSLPTSDKSFERVAGDVATVTSAGFETTSSALRLIIYHVFSKPDILQ RLRTELATLGSADKFEWRRLEQLSYLTSVLMEGLRMSPGVVSRLARIAPDRDIMYKEW VIPAGTPVGMSVLTLHMDKTLYPDPRHFDPDRWMDLEVRKRADKVFAPFSKGTRMCIG MYLAWAEMYLVLATLVQQFNFEFEGASAKDFVCSSDEFSPGTSSLAVLKVFVTPYEG LY89DRAFT_709712 MNLQTLISIRNICAIALCLWESSAKTVTYDFNVTWVTANPDGLA ERKVIGINGHWPLPIIEVDKNDRLVVNMYNGLGDKDTSVHFHGMYQNGTNSMDGLSMV TQCPVPPGSSITYNFTVPQNGTYWYHCHTDYCYPDGYRQALIVNDANAYFYDQYDEQF TITLSDWYHEMMTEIAPDFISPYNPAGAEPIPNAFLFNDTMNTSIPVQPGKTYLVRLI NTSAFVAQYFYIEDHTFDIVEIDGIYTEPTQASTLYIHVAQRYSILLRTKNATDQNYN IVTVADQVLLDVVPSHLVLNHTNWLEYNSSAPKTQANVTLDIVSGLPAFDDFTLVPYD KQPLLPDPDYTINLQVAMRELANGLPYAFFNNITYTRPDVPTLYTVLSSGDLATNAAI YSQYTNPFILPKNAVVDVIVNNADTGSHPFHLHGHNFQVLARFPSYGPSFYAYKSPTS SGVSYDPNNHPAFPSFPARRDTLVMPPRGYFVIRFIADNPGVWMFHCHIDWHLEQGLA ATFIEAPLELQAQLSIPADHLAACEARGIKTTGNAAGNVEDFLDLRGENKQAGFIPYG KFTAKGYVAMGVSVSSACAGILCLAVYGMSDGKGGKKSGGGGAGALVTSREKLT LY89DRAFT_785917 MHKYFALTIFFIVFRECIEAIIIISTLLSLLKQSLGQPGQDRRI HCRIVKQLWAGVVAGIVLSIIIGVTFAVIFHRFGKNLWAKAEDLWEGIFYAIATFFIT IMGLGFLRLNKSQEKWRVKISKALVDQKRKKGVWAWLGSWAARYVMFVVPLMTVVREG VECVVFVGGVSLGSPASSYPLPFLAGLLAAVAIGFLMYKGGNRLSIQIFLIISTSFLY LIAAGMLSKSVWSLQYQHFQKKVGSDVAEAGDGPGSYDVRQTVWHVDCCNPETGRGWD VFNALLGWQNTATYGSVIVYNVYWLFIILLVGSLLYEERWGPLPLKTKILGFLLRVPV LKIYVRHKMKANIGHQEADDLVRQVQEHLREVHEGQQGSEDIFVAQESVGGEMFEMEE RRGVTLTEESVARI LY89DRAFT_210803 MAFRPPSGPRLEERCPCQAGVSRWAFASLTSGNMSRTMDVRNFS PRAASLRGEVSVLHHLEIVGSSLIRSFVPSGRAAKPVLAQSIRCVSCCSVGRVFLPFP SELRCVVSRCVDCGCGCGCGGWMNASRRERCCAAAGHPLLGSLIYSCPAKVQRALEGY LY89DRAFT_699801 MASESLVEVLIRHWGVVLGVAIVAYAFSNKYNHGLHKYPGPWLA AFTDWWRFWIVYKRRPEVEHIKLHEKHGDVVRLGPNDLSFADPKALKTIYGLNKGFVK SEFYPVQQSVAGGHRLPSLFSTTSEAFHAQLRRSVNSAFSMSTLIQYEPFVDSTTELF LAQTENLYAKTGAACNFSRWLQFYAFDVIGEMTYSKRHGFLEDNKDVDGIISYIASLF DYVAPIGQIPILDLLLLKNPIYLMLSKYGLIDATMPVARFAQDRMAERYPKSKTSKDK SSVLPSTEPVKPMSQPDLLSKFVQAKTDRPEFMTDSLVMTMAVSMAFAGSETTAISLS AVFYYLLKNQRCMARLNEELDAKAKEGFFKDTQHGLVTWSESQNLPYLDACIKEAFRL HPAPGLPMERIVPPQGAEIAGHFVKGGTIVGCSAWVLHRNKEIFGEDVEVFRPERWLV DEGKGREEEEKRIKEMTGTMLQFGMGARTCIGKNISLLEIYKLVPTVLRRFEVRLEDP SKDWTLHNAWFVRQLNFNTTFHRRELVQPASASSA LY89DRAFT_210729 MFRFLANVLVLATAVIAVVHDIDVGKGASLSFAPDTLTAAVGDT LNFHFYSGSGGHSVVSGPFSSPCEPATDAFFSGYIKGDLVGDMTFIVTINSTDPIWFY CSLEAHCMDGMVGVVNPPSGQTVSDYVENASGKQASAPAALQGGVLTTMTSGSGSAST STSSSTSGSGSVTSMPVSSLSSLSASVPGLSVETTMPTSTGTSVSAATTSKSSSTSSG VAATSTPSGGGKNTDLSVMLGVVMGGLGVAALMA LY89DRAFT_757160 MADEASSSAPTEAVANLHLDEVTGEKVSKSELKKRQKQRQKEEE KKKKEAAAPPKPVAAKKSNAEADEKELNPNQYFEIRSRNINKLRQTKNPNPYPHKFHV NYDIRNFVKEYGSLKSGEHKKDVEVRIGARIYNKRASGNKLVFYDVRTEGTKVQVMCQ MQEAKSDGVPFEEQHEHLRRGDIIGIVGYPGRTAPKNKIEKGEEGELSIFATEIILLT PCLHQLPDEYYGFKDQEQRHRKRYLDLIMNEPTRNVFVTRSKMVSYIRRYFDEQDFVE VETPMMNPIAGGATAKPFVTHHNDLNMDMFMRVAPELYLKMLVVGGLNRVYEMGRQFR NEGIDLTHNPEFTTCEFYMAYADVYDIMDMTEELVSGLVKHVTGGTVTKFHTQHGEEY EVNWAAPWRRVEMIPALEEATGEKFPPGDQLHTQETNEFFKRVLKKMKVECSPPLTNA RMLDKLVGEFIEETCVNPTFITGHPQMMSPLAKYHRSNPGLCERFEAFVCKKEIVNAY TELNDPFDQRLRFEEQARQKDQGDDEAQMIDENFCQSLEFGLPPTGGWGMGIDRLVMF LTDNYSIKEVLAFPFMKEDVSMKKDKLAAEEVGIKPLEEEGIRASPFSFSLLTLGLGV GVITLGSFWLTRAIVAHK LY89DRAFT_210747 MASILPGLRRAAPRLSRDFFICHQCRKQSIPLGRLKLPIKANIN RTIRFNSSAAAFPEANTIKNSPLTSLSKTISNGEKEKAIPKKGFFPETSSNGVAYWLL GSAASVFGIVVFGGLTRLTELSITEWRPVTGSLPPLSAADWDSEFSKYRASPEFKLLN PHMTLEEFKKIYYMEWGHRLWGRFVGLSFVIPAVYFVARRKVAANMSLRLLGISGLIA FQGALGWYMVKSGLKDDLFAPGSHPRVSQYRLTAHLGAAFVCYTAMLWNGLAILRQNT ALSHPVRALAQLTHLSGPTLSFFRKSVAGLAILVFITAMSGGLVAGLDAGLIYNEFPR MGTGFHPPASELFDPFYSRKEDKSDLWWRNMLENPSTVQLDHRALATTTFTAILTLWA YTRFNKRVKGAMPNSARKGMLGVVHLLMFQVALGISTLIYLVPTPLAAAHQAGSLALL TGVLVLGSRVWIPKRTMRLVQQRVKQAAVVPVGQARVGLSKEAFKADLSALKRHGPPA AKS LY89DRAFT_593094 MTLIAGVYKFPYPLTTTLIEMLMTHCWIILSAYITRWVSPWLIS SGCSGGIAPSRPLQSSSAPGFRGQGKNHGFLGNLSRWASYHTGGIAGGGFFEFDLQVV KTVLPCAIIFVAKVCLSNLSFGWAQIQIYTLARIGIVPLSLFFTYFLGQTPHSVSTVS AALTATLALLVAVARANVRVTWESIVAGVFSSIFVALYPVQLQRTYKSLVAQLVPQGD LLGTFPPSNSPTDFSGSREEARAYWRLLHYTSLLSILLFTPIVFLSGEVTNIWRNCYF LDVFFHWLMVVCGGLGSWAVFWSTMALTRATSPLTTTFLFLPRAAFLLPIMAGWKMPA YSWVGFVMCWASCAWFMRGRRKEGRSLERLR LY89DRAFT_738377 MAVNQSATPPLKDCRTCNRRRIRCDRSLPTCQKCKSRNLECPGY GVNFRWKEATKARLLSNRPVPSRISPENTIFPTGDQSTPNQNGRTSINQDQSISSETR VSSAPSLPESIQDPVSRQLLHHFNQILAPLLAWVDSTDNPWQNIILPLAIESPPLLHS LLAMAAGDISDRYYGCAHMAADTVYGFTRNRNKALELLAEHLRQDLAVSNASNLQSQA NNHILASIVVLCYHEIKWPSSGLWKVHLRAARTMIRRWSTTDFISPTSDTTRSFLIQE LSATQAMASVTSFMDEEPVRVRVPNDHRAPFLGFLHIVQAVSLAARKELHAPNVSICL MDVGHFFQGLERAKQHAFAVGLGLTFRSSQSQLDFEHMVNMYYETGYIYTFQTLSSPD NAAAEIRQSHSRLMGHLHQLADTSLFAQDLPWPLFIAGTECGGRIEEQQYIESRMNEM VRLTGTLDRMKALRFLKEFWTSQSHASGITWIQLAKTWAQRGDSFLIW LY89DRAFT_592779 MAPSALDPEPIVEKSTTPTPQIARFDANDPTTTADALIEVLHRD GGVIVENLFSKDLAAQIKSELKPYFDTDRVDKTGFFPETTQRASGLISISEGCVEYLT TPLLIDIVNKVLSSTYSFWVGEKIRTVTSKPQISSTAAFRVKPGGRQQALHRDDADLH TRNVDFPVLLGCVAAITKTTKENGATVVIPGSHTWGPERGPKEHEAVPAELDIGGALI FFGNTYHAGGKNTTASDAPSRDEARETVGLFFTKGFYRQSENQYLMVPVEKARGMSTQ VKRLLGYGISPPGVGFYEYQDPMRVLYGVEDEETVEL LY89DRAFT_653093 MDSPIRHVGRFVPHSSAALVVLTVLSCLVNSMTMGYDGNMMNGL NILPSFYNTINLNTAGESANTGIIWVGGCVAGFFSGFILDRYGRKAGMAWAVYMTSIG IILQSSAQNPAMFLVGRLIVGIGVGLSSVACPTYVSEVSQLKWRAFSLGFYYDFWYGG GIIASAITYGTAKIDSSWAWRIPSIIQVVPSLLCLVILPFIPESPRWLMYQDRQDEAL EVLAIMAANGDTSDAVVVTQYHQIADTIAWEKANKPSINWLDAFRTPQSRRRMILACS CAIFGNMSGSGIISYYLGTILTQAGVTNTTTQLEINIYLSVWCLFTAILGTSLADKIG RKRLGAGTLTVSMVFLFLVGAFTKLYGDGSTKSGVYGTVACIFLYQGSYAFGWSTLLV MYPPEVLNYSLRANGMSIYTFVSNAAATVVTFAFPYALAKIGWKTYMINASWDVLEIA FIIWYWVETSGKTLEEVDELIDGEYHSDAPVLLGVIRGEVEVGVKEGMEVKVVETGSG RNVATQ LY89DRAFT_738380 MRNLQILLEFIDFSTIVETTGLPNVDFVAVTWNSGQTSCYIIAQ FHITVGVVLCQEATVLAESVIECWDSASDIVADLLADYSLTAGAVTISALDGLSVTTA FNYGDDPALHAQNILKLAYQGIWSSMTNFLGGAKSSPTPVNDQVPAIVARVSSWRVGL WIGMNVLLTLASIILLTVQSGCTRDIVEDPVLAPILLDSSEVIGAGHTGLCNLNVLTK EADRQGKLRLRCLNRSVPHWHHDKLELEGESSGHEQ LY89DRAFT_738381 MFSFFKFSVAYILVQLCHLITAANLTILIGDSSFVSVSPQNLTV VPPAVVRFSFQGGNHRGFDSGFVTVFADFNGSTPFYEIDVTDSDPIIYYSSQTNECKE GLVGVINANDTLLGQYISAAARFNGSATTTTSASPSSAATSQVSLSTSSPTISSAAST SSNAQAPSTVNISGDAMIAGIVIGVAALFLVTAGLCIYWRRKHSESREGKSSDTEGFT DLPEVGERPERLTGLGIQEVGGWERPHEMSEQGLQELGTLEKPQEMSGKGRSVYELES SNWI LY89DRAFT_593023 MSFATLAAVVAAQKCPLQFDGRIPKDATLDSFDTSSSPFNDAYV IGGNQKWSSILQFPTVNSSLFDANGTKALEVTISSSSIFQSQTGFRRSELLPASNSGT DPSTAGVKTIHFSVQKDTSRPLNTSHEYQLFFLESNDYSTNQVVLKYGSIIGGNPSGV SSDSLMVMGNVNAKPVVNLYSTKFTPGTWHNFAITLNFNAGTTQVYYSTNNAPLASVS KAVKNDVSGQGEYHFGILKKPTGGGSDITKSGFQEAGINEGIIFGGIFEEDSSSSGCI SLKP LY89DRAFT_688255 MAQPSSSRMRPNLITSSFLISNLHCPSCVSHIQDTLFALDPRPS SVSPSLVTSWVTVRHDESLSLIDICDALENAGFEISDVTSESADGHHPAGTSHTASDG EIGYLDRLLHTFRSQKECASDGKLSNRHLQNCEVCRLDALGKKNGDTKTPQSPLPAAA NGKAAMASEAFDDEKVLLPFVTVDSADSAETWRASLAIGGMTCAACAGTITEEMEKKD WVKKVVVNLISNSATIDFIGGEHKDKLVESIEDMGFDATIDTIVDLSTLQKVSSRNAN TPRTVSIQIDGMFCEHCPGRVVAAVKELGNGVEIEKSPDLKDPILRITYVPQLPNFTI RTIISGIAAVDPSMRPSIYHPPTLEERSRKIHRKEQLRILIRVLLTFVIAIPTLIMGI IYMSLVSDNNAGKKFLMAPLRAGISRAQWALFVLATPVYFLCADVFHVRALKELVYMW KPGSTTPFLQRFYRFGSMNMLMSLGTTIAYVSSLAQLIAAGVHPPSMPDNSSFYFDSV VFLTLFLLIGRLIESYSKSKTGDAVTMLGKLRPTEALLVETSSKIVEEIVNGPHESLK SVNVDLLEYGDIVKILHGGSPPCDGTVIQGETKFDESSLTGESRLIKKTIGDEVYSGT VNKDSAVSIKITGVAGSSMLDQIVKAVREGQTHRAPMERIADTLTSYFVPVVTLIAIG TWIFWLAMGTSGTLPRDYLDSGSGSWVAWSLQFAIAVFVVACPCGLALAAPTALFVGG GLAAKYGILVKGGGEAFEKASKLDCVVFDKTGTLTVGGEPVVTDFEVLSMNESNDLSS KTVLGMVTSIEGNSSHTVAKALVSFCSTQETTSINVVNVGEVAGKGMKGLFQSSTDAQ LEMIIGNELLISDNKVTIPESALSSLDKWKSEGRSVALAASKNSSSTYQLAAIFAISD PIRPEAPRIIAALQKRGTEVWMLSGDNQITANAIGSQVGISSTNIIAGVLPSQKAEKI QYLQKSLKARNGSGKEYTEKRALVAMVGDGINDSPALTTADVGIAIGSGSDIAISSAE FVLVSSNLNTLLTLLDLSKVVFRRIKFNFGWALIYNMIMLPFAAGVLYPVVSGGKHVR LDPVWASLSMALSSISVVCSSLALRSKIPGVGFKAKKFEDEAER LY89DRAFT_785927 MKPPILAALTTMALASIHPRTTLTSTSTPSILIAPPPSPTLSTC DPTPYADCTPYSNSTFSPPTAHFGPTSPATLRVDSGAESAALAALSSVTATWTNGPLY PGLKSVVYEAAPTSIQLSLAVNGYNWDAIVRSDWYTSVPVAWQEVVTRQERALQSVYD NVTGEHLLSSAARLGGGWMVWGLVVVGGIWVGGWLWG LY89DRAFT_210892 MARIFRLRGNLVCANTTGAAKYGKVDTEQILTMGHSCGGLEAMS VAYHNPNVKHIVMFDISVIRGFCCSRSMCLLLGLLVCRRIWGIRILSRIMLAKSWTSC FQS LY89DRAFT_210876 MANASSCWFPDGQTNGASGSPEFVPCNPSAAQSACCAVGETCVG NNLCLSGNGLMYRGGCTDKTFSNGACPSLCTDDTGRNAGPQDSWIFMKPCDASTGKLQ WVCDLAADCTNSSLRFDLIVGGPQEVNITGTLSTSSSTTSPTTSTSSSLPSSSSTNDC TSKRTSSVAIDGVGAGVGASLGVLFLLALVWGFWERRKRIMTPSIQQQQQQQQDYSTI TATENKYPIPQEAPDNVVRSELAPMPPQYVVRNELA LY89DRAFT_722180 MGFDEDKSLKLSRLFNMTTITPPTTSYKPLSELTLSLPNTLSFK AFTSHGRDVAFHSYANEPPLFTIEVPDSYPSPVRLLLRSPEKATLANCEPAHTPGSRP ERWELVIPSETYQHMGTEGKGKVTGEIQQLNAESRTVMEKIGKDTYQVAALWLTQSHY QTSAPKMPLQTTYRWQKQEQQSAASTTFSNPDWKLTNPTTGEVHAVFFERWSATERGQ MQFRRSFGREWELTVVLSVGMIVEEGRRRKRTRGNFAMGFAKGHGGASF LY89DRAFT_688259 MEIPVILSSLFEKGKSQREIVQETQVPRRTVRRILKQEHSRKER KKKHPKPHL LY89DRAFT_709724 MAEPEQKSAAIDTSQSSDNEAQPTAHQPILKPKWHRSTFYNALI LGLCNFLAPGIWGAMNSLGAGGEEKPYLVNGANALTFCLMVVSCYFSPILVKRIGIKY ALIFGTMGYAPYAAGLYTNNRFGTEWFVLFGAALCGISAGVFWMAEAAIALSYPEPQN QGRFLGFWLSFRVGGQVLGGAINLGLNARNNNAGKVSYDVYLAFIALQALGPFVGLLL NKPEKVQRTDGVPVKLAITGSAGAELKANLKLFFSKNFLLIVPLIAQGVYTEAVMFTF ESLWFSVRARALGSFLSGIVAIIVGNLLGAWLDGKRVSLKTRARGAFFVILGLQGIWW IWATVLVTDFRKTKPTYDWVDAGFGKGFALFLLWVAGFQLNYMYLYFVVGELARTDAE VIRIAGLLRGTESAVQAVSYGLNSIPIIADVGGVYLNFGLWALALLPGWLVVKEIGVT LGDRKLERESLAGRAEARRDDDKN LY89DRAFT_210920 MAPEKKQFILNAFVMQAPGHLNPGLFKYPNDKGRSYNDVKHWMS LAQKLEKAKFHAMFFADVLGGYDVYNGPANLDATIPAASQFPINDPLYSVPAMAAVTE SIGFGVTASTTYESPYAMARKFSTVDHLSNGRVAWNIVTSYLDSAARNFGLDTQIEHD KRYEIAHEYLDVTYKLWEGSWRDDAVTNAPKDSYADSRGVRQINHKGEHFNVPGPHIC EPSRQRTPFLFQAGTSSAGRKFAATHAEAIFLNGHTAELVRPSVDSIRAQAKEFGRDP QSIKIVAGLLVVVGETDEKAKEKYELLASYGDREGALALFGGWSGYDLGRYGDDEDFR FLDTAPPAVRSMVNHWASSSPQGTKWNKKTIAEHLIIGGNGAKIIGSAKTCADELERW MEVADVDGFNLSYASIPETFDDIIEFLIPELRRRGLFHEDYAVPGGTLRENYLGAKGR SRLDETHPGAKYFWKAGEDVPAYARVEAKVNGSDGANGHDTNGKSKKRRLE LY89DRAFT_785933 MAPSLEDLDATASDVRVDGKQGASDSVHLSQKTLPTSAPEVPRA LFQFEDHPIDSKKRIRVAVIGAGIAGVTAGVLLPIKVPGIDLQIFEKNTEVGGTWFEN VYPGVRCDIPANVYQSTFEPNTQWTEEYAQGAEILEYWKKVARKYNVYKYLRFGQNVL KASWDESKAQWLLDIKNLESEESRNESFDVIISAIGRFNAWKLPDYPGISEYKGHLRH SSAWDKSLDPKDKVVAVIGNGASGLQVVPNIQKVVKQLDHYARSKAWIAGSFGGEGPG RRLEPNYYPEEQLKSFEDPETYLRFRKELEGKLYQNFGNVFKGTGKIDSLREEFKELM SSRLVKKPELLDSIIPDFSPNCRRLTPGPGYLEALTEDNVHFITTPISHFTEFGIVTT DGIERKVDAVICATGANIDMRPPFPIIANGKDLRDAWTPSPITYLGLASPDFPNLLIL QGPNSVGPGGTVPNQMETQVTYMSKLLRKVASQGIKSFVPSQAATDDFIAYCDSFFPR TVFSENCSSWANGGKPGGRIHGHWPGSATHANLVRRDPRWEDWEWTYKNESGNRFAWL GNGWTQKEKDGEGDLTPYLKLPRDIDLRSYHEEWFEGVSS LY89DRAFT_688262 MGLKLEAALKALAVASWFTTSYAGIIERRQTPVSTGSWTFYGCQ TEATNARALGSKSTAYDTMTVESCASDCAGYTYFGVEYGRECYCGNSFAAGSTAAPSS DCSFACAGNSAERCGAGNRLSVYKLGAASGTTSKPGTTTSKPGSTTSTKVATTTTTAQ PAGPGPQKTGLPAGWVYSGCLQDNIVSKEDANVQIPVFPYKVWDTQTNEAVSCIQRCQ QFGFNAAGLEYASQCFCGDVQNINVASAPGTSTDPSKPQQYTYSAKPASYDDSQCNAA CTGNSNYYCGSGNRLTWYTYTTSPVKWSFPTGNSAGTYSLLIGGVVVPLITSQAITGK VTFVEKSGTGEPNGTGAYELDLTQISNFNNAWRTMAGLKTDVFCAAGLTLPDKAGRQI TVGGWSGESNYGIRLYWPDGSAGVKGQNQWTEDPNNLQLLVPRWYPSAMIMANGSILV VGGEVGQNAAEQPNLEILPRTGGGTVNLDFLQRTAPFNLYPFIMVVPTGIFILYYNEA RILDEKTFATIKTLPNMPGAVNDPTGGRTYQLQGSMIALPQYAPFTDPLSIMACGGST QNGGYSIDNCVSTTPEAANPAWTIERMPSRRVMPCMAGLPDGTYLIANGGQHGVAGFG LGGSPNYNAIIYDPTKPVNQRMSVMANTTVARLYHSEAIVLLDGRVMISGSDPTGDYT NPAGSFPEEYRVEVFTPPYLLSGLARPTFTITNKDWKYGSAVTFTSSVSGIKVSLLGS VSSTHGNSMGQRTLFPAVTCTGTSCTVTAPPNAHVCPPGWYMMFVLNGPTPSVGQYVR IGGDPASLGNWPNLSGFSLPGV LY89DRAFT_757172 MASRIFFPDLEANWMWPRRVNPHTPEIKQECLEWVGRFGAFTPA AQKAFDKCDFNQLRSACDLMNLFFIFDEHSDKCNGDEVWNQVDIIMDAFHFPEKPRPE GEWIGGEVARQFWSRIIGISTPTFQKRFLDTWEEYLQGTAQQAEDRCRSKKVICDIAT YLTVRRRTIGARPSFNIIEIDMDLPDEVVGHPTIRELELLATDLTIIANDILSYNKEQ ASGDDEHNLITIVMKEQNTDVQGAIDWTAKLHAEMIHRFNKLYLFEIPKWGGPLDLDV QSYVNGMAQWVGAYVQWSYESERYFGKRGLEVKKTRMLSLLPKNSKVNGQGEIGPVVL DDLSL LY89DRAFT_757174 MFKTAAPARVPTDTVIPLHFFDDTPLWRAFILYSMFVFDDVLDS QKLRHSLEALALKDGWRKLGARLRSNAKGQLEYHVPVKFSAERQVLAYSHATHNINAA DHPIAAHLPKPSPRPATVCDPDEFRSLFQRDGAPSKLDDYLKGDIPQLGLHIVSFKDK TLVCLYWPHTLMDAMGKSTLLEAWSLMLQGRADEIVTPQGTDIDPLADLGKNPTESHK LVNQRLSMFGLAQYGVSNILDFFHKQENRMVCVPGSFMAKIRKEAMAELEMAANSDQG TFLSEGDVLCAWWTRLAISQLPRTSKRTVVLNNAYSLRKPLSKDLLPSNGGPYISNAI GFLNVLLPVNEIFSKPLSYVALAIRNAINQLGTRAQVEAFASMWRESSSTKLPPFFGN SGMHMITFSNWSKARLFETDFSAAVITPGNGSGRKPGRPSYIQNNQFGLVLPNGFPII GKDAEGNYWLSGYMNKGQWAKIEEQLAQERLV LY89DRAFT_653114 MGAVLSAAAYAQPPIKPEGLALRLTVVIWITAIIATIVVGLRIY VRAWMLRRARVWGWEDTFAVLGYVAFLSSSVFAIKAAYYGLGTRDTGLNTFLEVRCAE YLLYSNVIYGVSMPLIKASVVFTLLRITREKKYRWSLYAMQFVATVMAIIGILASLLY CKPVKAYWNPLLGKCGDFMVVVKIGYAWTAVGIFTDWACAVIPFLIVRKLQMSRRNKT TVMVILGLAAIASTATIVRAPYLQYYLVTANRLYWNGYITLWCQLESGIGLVAACLPA LRKLFSGFFDGSRNGSNPRSKQYTPGSRNNVSSHLSTPLDSLSPTGKTTVSAGKWKRL GDDNSSTKHIILHERTITIEAGSISDEEKGKEEPWRSGDN LY89DRAFT_623821 MGWTYNVVDPNVATNAPTVAGVGITFTILSFSIVCLRLYVRKYI VKAINIDDWLIVVTWFFSFIFVCITLAQTRWGLGLRNVEDMPPQDVYEFGYLQYIGAP FYICAILGFKLSIIFAFLRIAVDRTYRIGIICISITCSAFYFCFFVAQLNFCFPVSKS WDPTITTGSCFSAVLFYTAMGSLTFIFDILTMLTPIPILLHSCFSPCKNFMIAFLYLL GIFLSLIQILRITSLRSARSYLDTSTVLTWSLVEVNLGIILSSLIPLAPLPVLQSFLE KGSPQSLAQSLASFDTRRTIDKVRDGFKKRFGRESELRNRVVLFLGYGELRPDLEREQ RPGSRLGASTEELNFPMGILKTTEVIISREGSSWGELKEEDV LY89DRAFT_592745 MLTFTGCGLNFAFGVYQELYESLDGPFKNASAAEIDLIGTLSMS LMTLGAPFASAWTKQYSPRTVTILGGALFLVANLAASFGTQLWHFILTQGLLLGCASC LTYIPAVTIAPSWYDARRGLAMGIILSGTGLGGVAWAPLLRYMNSAIGFRNTLRITGV IAVAINTISALALKWEPGALARNRQEAQGRRRGMNLPRANWNIVRTRPFVAHAIGATL QAAAYTTPTYFFSTYARSLGYSSATGANFIALSNACNSIGKIVIGSLSDRFGHLNALV LSTLLSGMVTIGLWLPSSSSLPESTRRGLFVSFACLYSFTASPYVALFPSALAEQFGI QNFASINGLLYMIRGIGTLVGTPVAGALIHTRKMEIMGTVVSFEKTIVLVGVLLLSAT IFVLWARIENALKNGWKWRN LY89DRAFT_738397 MVLILAATIGKMIYDEVKDHKGKKNGTHKRSKSDPSQSRRLSMD KPLPSTPLQTSNIEPSTALQTNNTDPSPTSLYEVSPVEGVYEMDVAPPPYQATSPVIP ERSPLRVKVGNDVVPWSPDDIVRPSIEEVRASDVRRKPSPQVFELE LY89DRAFT_653120 MGFMKSVTAQLRPVPEVFDSEEVHEMPQTGHAQNTEDKNDGDVD VEAIQKPVFNPQSNVESGVARVEAVQAVWGKRGRYMIIAGLAVMMIMYELDNSTVYIY QNYATSAFNEISLLGTLGTAGVIVFAVIKPPIAKLSNIIGRGETYVLTISCYILSYIL CASSKSINSYAAGYIIYNIGQSGTNIMNDIIISDITTARWRGLGIGVSFFPFLLMPWI AAFITESVVDGIGWRWGIGMFAILMPIAGSLIIGTLLYYQRMAKKAGIVTKQKMTVYE FCSQIDLGGSILFCGGFAMLLLPLTLASTTTSRWRTPYLDALMAIGGMFLLALPWYEK FLAKHPLVPIHYFKNLAIVTSILLIATDSMGFAVTHTYLYAWSTVAHNFSVKIATFYI YVNGVMQCFTGIIAGWIMLKTRRYKWLVMAGVTIRIIGYGIMLRLRGAENSIGELVVV QLIQGIGSGIIQTSVFVGAQIQVPHSQLAQMTALIICFSFLGSSIGACIAGGVYTGTF KDQLRDQLGGTAANGALVDQLFNSITGVLPAWGTSERTAIDNAYTNVMRFFTYAAIGA SVPSFFMAWFMPNNELPDQNNLVEE LY89DRAFT_738399 MANATNLRELNLELPTHLDISSHFEPTRLDCMPLGGTPLAFPFA YYPHMKFQPLDVLRVKGYCFDSGADGGDAWTRRPYKQYREGDCRMLDEEGRNIYDQVH PFYADRPEDDGKANLETWLEVMDWSHLHTLELAYPWNTTLEKLKGATLPALTNLSLTV KVGHGNAQPGEVISFITNTSQPLQYLSLEDEQMSASILLFETLVAFPDLAKELRHLSC QDSDKSAGFIRDDLVSKFVLSASQLEELDIRLSSRVNMSIDSTVLEAIVKAPSLRNLT LRFPSPDDESSVQYGEMEQESFEKEDEDRSIKYMNHAKVLVLFKEMRLRKQGVELVEM RLFVNEGRPNRASRFSNHSPALKWKCTIWRGVESCTVRKKSSFY LY89DRAFT_738400 MTCTSYEALRSTALANCGAGSGYADCACGVYAVSTAGRTCLIAT YSYHASGPGVIAPDGNPAEFFEPGFTYNGHNELRRETMELVEISNDTYVPGEVGIVQL GTDSQDNAASDTPSSPKLPYPYVAKTNAEGSATTWSLGYLGSCSSVS LY89DRAFT_559112 PKPPTFTYLYSSNVTLGPTIDYGAGPRGHRVAIPITGGTLSGPR IKGNFINLGADWGAIDNLGVFNPDTRYGILTDDGANIYIQTSGPTQKDGHTHLRMIFE TAANSTYSWLNEIVAVGILTGGSGYVSIDAW LY89DRAFT_673579 MSVILLLLCFATSFYLFRRSRRMCRKREQQLEEGKVEVREARAM WPIDDNLKDGSYSTRSFQSLSPSMPQPPSRAALVGAHPLYHPSIQRSRTLPSIRPVQY PLSRPAVSYPHIINGQVNHLESPRVPFSELSYTRSESRPMTAQNTMQTRRPQTPEPLY SITPIRRSESLDPFTRRQIRSFWSISPTVSIAPTSPSVCSPRSPTINQSQTSLRPPPT PHDSPVQHSPNQTPSPQAPPQEPGKCPLFALDRDDSPTLEPSTLPKFHLNMGTTSTAV EFPPMPYSPPLRFPHQPPRSPTPPLPRSPKSPRSPKSPVPKCESPECSLETLAKYDQA PFDQIERWLEGSAGTVSAFGPLLAEDPSPSRTGTSPLTQALDEESSQKENEEKGTTSK RISPIRAMRTIASRKGSRDEIGAAL LY89DRAFT_653126 MSKEFEAGGSTSSGKDVSIEKNVPIETTEQTDVQNGIILEDGLR RGLLGRHVTLISLASVIGASCFYGFGYALYLSGPLGALIGFGIIGFMVWALMQSIGEV TTAFPIAGGFIEQANRFVDPAFSFSMAWMYYFMWSVFLGSEWNGAILILQYWVPDEKM PMWAWVLIFWGFFSVLSTLGIVVYGELEYYLGWFKIISLAVCFFISFLVNVGAFGNGY IGFRYWTPPEGPVVNGINGFGQVFVLASAYYVGTEIISLAAGETKDPRKSIPRGVNTV VYRILFVYMGIIFFQGIICSSDSPLLLNATSKTASSPFTIAFQNAGWKSSADFVNVLI IIAFISAGNGCIYVQSRALYSLALTGRAPKFFAITSKKGVPYVSILTSCTWGLLALMN LKVTAGQVFAYMTSVGGSAAYIAWAGIVFTHLRVRKGMDKQGISQSSYPFKAWGTIWI YRFNLFLNIFILLIQGFTAFENPFNWRNFIASYITIPATILLFVGYKWYHNTHWVRTS EMDFSDRLVKLEDKEEEKTVSFATKIISKFRR LY89DRAFT_653129 MRDAQTELQTVSVNEEPPITPQPLFDIDPPPDGGYGWVQVGVAF TINTFTWGQTASYSIYLAHYLSSNLFPTATSLDYAFIGGLQFAISLLIAPAVTVLSRK LSTQPPMLFGIFLQTLGFVTASYASKIWHLYLSQGLLIGLGLGFIFVPSTPILSQWFS KKRSLAIGISSAGSGVGGLLFSFGIQAMIDSISLAWSFRITAIVCGVMNLLAVALIRN RNAAVKPPQLGFDTKLLLRYDVLLMLGWGFLSMLGYITLLYSLPNFSESIGLNKNQAA AISAYLNLGVAIGRPMIGLTSDRLGRIEVAGFMTFFCGVTCFAIWIPAKVYGVTIFFA IISGAVVGVFWMTVAPIAVEVAGLSQVPSLLSLMWITIFLPILCKLKGDRSRNNHNLL IKLQLLKL LY89DRAFT_592685 MSITTQELEKHSKEIDPEDQTSIEALQDAEPRLESKDGLQSTIA AEPKTSSAPDNEDADPEYIKGLKLAIVIGVVALASFTMLLDTSIVVTAIPRITSDFHS LDDVGWYGSAYLLSNSSLQPLTGKFYRQFSSKWTFLVFLGIFELGSLICGVAQSSKML IVGRAVAGMGGSGLMNGGLTILAACSPPERRPTLMGFLLGFAQLGILLGPLLGGVLTQ YTTWRWCFFINLPIGAVVAGILLLIQVPTRLAGKVPLTATFRSIFHVFDIGGFLLFAP SIIMCLLALEWGGSTYPWDSAKIIGLFCGAAGNFALFLYWEHRKGEGAMIPLAMFKNR IVWTSVVVAFFLGATMLITSYYMAIYFQAVRGRSPTMSGVDVVVGIVTTLICAVGSGI LAVGRIGYYLPFAIGGAALNAIGCGLISTWTPTTSTGKWIGYQIIAGIGRGSGMQMGL VAISGALSAAENSIGMALLIFAQQFGGSVWLAVASTIFTNGLNKSLAKYAPEVDPKVV VNAGATGYRSVVPASAVPGVIQAYSQAVNYAFYLAAACGVAYFVFSWGMGWKSVKKPK KVEPAV LY89DRAFT_688269 MAGTEEQRNCSFPEDSESGFWATDVVGPETEITMGRGRVDSSTS TTAFFSHRKRTLSRPDVIELVEEPTPTRVLSPRDLAGTNAKEFVNHNGSSWDEKGKTG TEVDYSSWGRWHEHAVIQKANSWFKEYILHGVFRQRPLPHSKDGRHIELNVSRTTPLI DERRGHPYIDNHIRSSRYTIWSFFPAQLWFQFTKIANFYFLITGIIQLIPGLSTTGSF TTIVPLLVFLSFSIFREGWDDFRRYRLDRIENRRLARVLHGFRVGDGAKSGGQDSLRS IIERHWAKFNSANRGQGTGTAGVPAEPYGKEFSTTTTTVNSEDPEASHWTKVKWIDLR VGDIIETQRDEQVPADIVLLSAEGRDGLAYIETMALDGETNLKTRQPPSMLSKGCKTM IEISTCHAEFVLEDPNLDLYEFNGKVSLDGKTVPLTLANVVYRGSTLRNTTRMIGMVI NTGEECKIRMNSNKNPQAKAPVIQSTTNHVVIYLSAFVILLSIGCSAGYLIWTKVYEN KAWYLAHSHIRFADIFIAFAIMYNNLIPLALYVSLEIVKLCQFLLLQDIEMYDEVSNT PMVSNTQTIYENLGQISYIFSDKTGTLTENVMRFRKMSVAGTIWTHNSDDRADLHHMD SKTKEEKALFRVQQNAALGRSSVIPSLVDEDEILTSHQSRDHSSSLVMRNSMPDRSSN TEQPTSALQHHILTEPGPSSDAIKMFLLSVALCHTCFPELQEDGKITYQAASPDEYAL VEAAHEMGYSLLSRDSHLITLEISSVETEGMSQETYEVLDVIEFSTHRKRMSIVVRFP DGRICLFCKGADSIMQPRFATQAPLESKELGQDEVHDKVASEVFDLCHQHINSFASEG LRTLVYGHRFIPESEYAEWSSIYQAATTSLTNRQAMIEAAGELIEKDLVLGGATAIED KLQKGVPETIEKLRKANIKIWMLTGDKRETAINIAHSAHICRPDSKFIILDHHKPDPI DAQISSAASELTAATTSRSVIVIDGQTLVSIDQNKQLSTTFYTLLLTATSVIVCRASP SQKASMVRSIRHLQPTHLTLAIGDGGNDIAMITEAHVGIGISGKEGLQAARVADYSIA QFRFLQRLLLVHGHWNYIRTAKFILYTFWKEMLFYCVQLLYQRWNGYTGTSLFESDSL TVWNTLFSSLCVIIPGIFEKDLSAETLLEVPELYRVGQEGREFNMRSYGAWMGVAAVE AVVVYFLVYGLYGRVMMKGDEGLFAFGDLLFSVCVVFINAKLLFLVYHHLTWIPLASL LATITGWWAWNFFLSGIYARAPGPYYVRDAFTYHFGEDLLWWAVLLIVVMVVMVIEII IEVVRKRWWPTEVDLWQEREAEKARTKTRTSDDHGN LY89DRAFT_688270 MRSSILLAAAASFAVCFAAPTADSRFELHEKRDGAPHQWSKRSR AHPDEVLPVRIGLVQSNLHKAEEYILDVSDPTSPNFGKHWSAEKVANVFAPAKKTQEG VAEWLEKSGIHGSRHSYSTGRNWIQFNATVAETERLLNTEYHYYEHDISGGYRIACDE YHLPQSVREHVDFAMPTIQLEGMRPIPNLLPKIGAQRTPQYGLTGTENCGNLVTIDCL RALYHFGQGNTSAAGNEMGIGEWADFLYLPDLPLFFKNWTTQPIPADTTPDFISIDGG QTANFTYDNSTGAGVESALDFQTSYSIIYPQKLRLYQVGDGVNIDSVGTFNIFLDALD ASYCTYLGGDQPYVDPAYPDPNEGGYTGPLQCGGAPKSNVFSFSYNQIEAALPVSYQT RQCHEWMKLGLQGVSVLFASGDSGVANRYNAGYENSCLTSPDVGPYVDINGTRFSPSF PTNCPWITAVGATTIKGGVNATVSNGEEAVADPDPDDPKNDYYSGGGFSNVFALPSYQ SAAVTNYLTKYNPHYPSHIYNNSGNSRAYPDVSAIGLNIPTVYLGTTYGVGGTSASTP IWGSIVTLLNEARIAAGKGPIGFLNPTFYAHPEVFNDITEGSNPGCGQPGFQAQPGWD PVTGMGTPNYPALEKLFVSLP LY89DRAFT_785948 MVNTGGRSRGCSTCRRRRVKCGMIYPARIIPRLADIIRDETRPI CKRCERCGLECSGAKEVRFVNQGAVSKFKRAHISARHDRAAVIPSSPDLRGFDIQVYI SYTSRVLLRGGPVDLALQELHLSDIEAASDSTSDQFILHRAILSLAIIFFGTHQHVLH IQDRGYALYGKTLKQLNEVLSSPLCHLRDDVLISVVTLVLLEFFVPTGPKYYIKHILG LEKLLELRGSRMWSCKEYIPICGGIRRLLLFSSLNMRRPSILATAEWRQIPWNENTEW DQDESFLFNALADITVFQAEHDHVQQTFCAQLGSFSPQRDILVQKARRLLDELWAFKR GWNERNQRCSNRGLMSIIPILYHTALVYDLQILASLSTLPEWRENFSFAARRAALNIC HYIPYLLSHKEKLDTATLTIVHLAVKSAVSTFPGKSSFARACIVSLIKSRDKGVVAKG LWID LY89DRAFT_722199 MSQKKTVIINGLVFDGHELHQNLAVAIENGVISNLGNVPDTSYT TIIDATGQTLLPGLIDAHVHLTADLENTCHLLTQMARAGITTALDMGYLPKPIRDAVR DQPGMTDIRAAGNYATSTGSTHSRFPHISKESLVDSSELAISFVQTRIAEDAEYIKIV ADIPGPSQEVVDTLAAEARKAGKLSVAHAARKGSFAMAQEGKVDIVTHVPLDFPLDED EAKKMKDEGRVCVPTLIMEQGLAKAGIFPGLDYTAARESVSRLHKAGVSIVVGTDANQ SPRAGVRHGDAIHEELKLLVDAGMSNLEVLRGATSASAEAFRLYDRGVIAVGKRADLV LVNGNPAESIGCTKDMVRVWIHGEEVKTRD LY89DRAFT_673587 MSDINSTTPETAHEIEEEPLATSKVLEVAASTTDDTTVKTNEIS INEATTTAEESKEESIRISWVRTVCLAPNTSNAEHSLGTVYPRRSASTDPDYDDAVRI MNRLRAEKVGVPFDDLKITLDGAFRPGNWRSPKIPEVDNSHNPLNYWSPRRIFLSSIG EDGSPQMSVLRGSERVGDVHETEDEKEEARKANEKYWNANGDGETRGKRRRFSPEP LY89DRAFT_757212 MDNNSLSKLMERDSLVRDSIPEPRFFVEGNSNDAYISPYSAAFS YPELDDGEYVPYPHPGPEYDYPNPSSYAEEASGSYTPYSSQLVEEPSGSEPGYSIQRR QEHTSSGNEAVMNEGDAVFPAVSESTPAYRYVAYVPTLPRAKRTPKGTPKTRAHPYYG LIQAYVTRSEMEVIRKHYDHIPLPCLQNIGEDVTTAVRLTLNEFKNLQVFRRYKNGLV PTSQFVTDPEMIQIAQKAQDRHEKSMAKHVVKATKRNIDEVYNEIKGHQLA LY89DRAFT_757215 MENPSPIESPFKIAIIGGGLAGILLAIGLSSRDISVQIYEAAPT FAETSAGIGFGPNAVRAMTLLDPRIRAAYESLKTENSWESKRNIWFDFRRGWGQIPEL LTELKMGHESEGGGNVLRARFMEELVKLLPVKTAEFGKNLSRVDDEGDSVRLWFQDGT QRTTDAVVGCDGIRSVVRKFLLGQDNPASQAVFSGVYAYRGLIQMDTAIDAVGEELAL NSQVYVGNDGDLVTYPIEGGSLLNVVAFRKHDVDTWNHPKWIVDYPQGKLMKDFEGWG SIPLSLLKLLDKPQAWALFEMPSISSYSMGRITLLGDAAHGSTSHAGAGAGMAVEDAL VLTNMLADPRVHLFSDIAQVFAVYSMVRHPRTQRLVRHSRESGMLFQLRKPGVEDDLR KLKEDLQNRQLWIWDINLKNHLERAKIELSKVLNEKRKV LY89DRAFT_592742 IFMEDGSKVHKGHARLPRLQHNIRGFNWPPSSPDLNPIEKVWRW MKEELKNLDYVPKNKVDLKRELQKLWDRVDPRDFRHYTEQLTCKIEDVIKYKGMATIN LY89DRAFT_785951 MSFHRFNMTLSGSCATFASLVIVGLLSMHATHLSKPNEQIKIMK IGLLIPFYSILSLLSICFPKGAVDITPWLDLVQSVALGSFFLLMCEFVSENPSQRDLF FAALVVRDKKSPSGKGGGLAWYRSRWIMIFQQLVSTLNLVKDVSLAAAMVSVLKFYFG LRKHLTQHKPLAKLLAFKLVVITTFVLTIVFWILKDAKVLNGNSTLTYADLNIGLPNM IICVLMVPPCIFFHYVNSLGPYIISRNAIPADETGARRLQRYQGGFLGGRAWIRALNP WETIKAIMFALTMAADSYQERSANQNNVLPAAGYMVRGGRR LY89DRAFT_738414 MPNTGKPSPACHLCRKRRVNCDLTKPGCHRCVKIGKICPGYRPE LDLLFRNQDKDSIAKKKALASAHIADADLGAAGRTRTPRPVQTLSMSSKSGPTSVNSG TPAQPKHLRPTWTTTSTICPQITEQWRLYSVPIILNHFSASINDSHSCGSLDFLPDVY KEINDESCLSATTVAFAKAYITNLSQQQPDKEQIHTYGHALNLTNAALRDPVERVKDS TLIAVWLLGIHEILISSAKKEPAPVPEAYIAHCQGLVSLLAIRGPAQFSSRRGRNVFW TVYMFILVHCMAANISGPPQSCDWFDLMLPHLTKDDQHAFAVANHNYRLILLCKRIIE FVNKFPADARRPSDITSILRESDILQSDVEIWNSSFTFDPTDLTALYVHNTLRAALFK LQYFTLLLVSHTDMTPISNFDFRQQHTIHILQNLSCEIIESIPLALRETTGTCSEKPG NWAGALRLVWPLGLVSWVPWALPEHRKESQDVLQRIGRKMGIRFAIDCDPPAGRFMNH LY89DRAFT_673592 MWFPQVISIVLLFLPFGSGQDPVRPYPLADEYLPTTPILDHQSY ISSFADPQWYLDNIPFVDFPDQSIRDVYYYRTSVLKRHLKYSHEGHGWLFTEFIHPVS WASKLQTIPDSAAHQILEGRWLRDLSYTKDIVNLYMRAGIEALGGISYTHYIHRAILE HAWATGDVDFLVSQLPGMIQTFNLWNVTQNNVTGLYHRTPLSDAQEYSLPGYWTGGPN GGMVETWNAVGNNFATIWLGPETYRPNFNAYMVSGARAIAEVAHLANQTSLAQQWSER ADTLYTDMRNMLWDEDLKFWIDVVEGTNLRVGGRELIGYFPYRLDVGTDEDSIMGLEA GLTPEAFLTEFGPTTLEQTNQYYTDFKNTTYCCIWQGQSWPFSTSMYLGTLARLAREN VSTIATAEVFQQAFEAYTITNYKDGVPYTAECHYPTIDEWSGDTTNHSENYLHSTYID NLFTNLVGIVPTLDERLEMRPLIPSNWTYFAIENLPYHGSLISILWDEAGSHYSNFSH QPGLSIYSSGTLIHNQPSLTPFNITLSNSSTTAISKLASQPCYSNILSNPNAPWGLPN VTADYTFSSNGDISPYEAWKMIDGLLWYDTTPDNRWTNNQSTTPFNTMSITLPRARKF DSVSLGIYADTDRGGVIACPSAIYVYDRSGTLLTHRDPWNGCVPNALNTVLLGNVTSS NTSTTATGIEIETDFLSITLVNEIHYAVAVSEIQIWVPATTGPRYEAEDGLLGTFIGG FEGKQSGLNCTIENGGVLLGDGAWAEIADVRASDDSGGSKNLTIIGGGSGTLAVQMNF LTNSTVVSGGVLANMTIKVDFLAGGNVVTMFWVEGKPWVDAIVVAG LY89DRAFT_211628 MASRIHLMAVAILGISTSIMANPLARRDPTCPASCIVTTTVTVV STLTPTTPATAPFTVITETPITSVVVVGPSTGQGSASNPAPPPPASTPLQTLRHCSHN NCLRQFIRHPQVTAFCATYTTAINTATTDLPDFVSQCHAEPTRISSACSCIVGEVSPV GPTLPTGSSGGETQTGGGTFTVTGSGPAPSTTSDNGMCAASIIYETFTQTTTYLVTLT GSSMPSSNATAMESITSSSLLTLDVTGVATAPSSSIAP LY89DRAFT_592961 MGVCFSCIGHDRDRDLSDEDEQSRLLFDDSHTNQYGSFGDHSAG AIQADPQEVQRETEALQKVVMQTSNHLVDIFAMVPQNNVPQSATLAFPVPDARLLRYQ DVLAKISSADAISKSNQYLAENTPAPSEGWISDEDDLEEMQSQKPVKSDGVGPLLGGF ADAETSME LY89DRAFT_688275 MCYYEQYSMPCGDWKWGNFRAHCSKEYRTGETCGMKLVWESIGL PDNCKYCIKIETKRGKIRKEKERIKRWRQEGNRRASISQSEEAIENMEREIWDLNEQR ASVRHQRNM LY89DRAFT_211686 MLDSLSTKCSLLEAEYGHGVAEMMVTADQRLITARSGWIDCVRL RDFSLKAVSSTLHEQWLGRSGGLHRPVFKLNLAGPPYQPLLDGLNGGGAKKHPDYVSR ICNVMDSFQSCMSRDMHLFSLTCNMLNVLATDRLSTPLLQPIDLLQHGYRGPGPTRQK LY89DRAFT_688276 MEITNAQAYFITPPSSLSNLPSELLLHILSYLDIPDLLNLSRTS HLFRQLSLDPLLHSFRLHRASFTIERSLPLRPPLATLMAHRIYITRTTLAARNLGRNL IKIKLNRQLLKRPSAEELVELGVLPAECFRRGGGLAPGLVETKRKIEKERIKDLLRGW VEEWRRRGDENLRGKGDEQKPDVRRIARRFARESSGGLRETPRWGRRVDVKEAPTRAK VLGLRRFWEKVGRDGSVSAG LY89DRAFT_211728 MGNGCSKPSAVFQKFPEFPLDIQLLVWEHAIQGIPARVVALRRG TELNDIPALLHTCHDSRTLALQRYEWTENKKGHGRRAYPPRMRSYFCFIDYELDSVYL PPLKYSLKSSPSLISSSAYGTHLRNLRTIIILWKFSVPWQSLLKPTKIAKFCPNLRKL IIVKPGYRGPGGRFLELVASEPLQDILEIYGGVCVQRCHLKRWHSAALKCSVNCTKSF EKLEVQELHFKMYLNVQECKRYLWQKGLESRFEIVPKQNSFKTGFRLL LY89DRAFT_757236 MASDTAAEEVFPAHAVIEFRAIQTGRTHSLSSAQNVPAASSTGG IRSPSDVDAPLLGLPANPGTSATPGQTLKSFECFPNLPIELRLQVWKQSMVPRIITFK PGGGKPLGAMNANQESRNELRKYYHLYLNESSDVPSQNDILPVSFGVLINYDIDIVYI KLFKDDLHRWTVQAGLQDAAIKYLHLLNQAQRIAFNLNDRSQDVARFGSYPVMIDWKC TFRLGTDSITTWCPRLKEVWLLADNDEELHLDRLVPATSVTKSQLGCMNTVTYVFERA HDHSARNSALPNSKFLWPWFKQNITLRFMKIRDT LY89DRAFT_673599 MANILATTTSTSDNSPIMPFPRASIIYPSLLERKAQEILETTSD SDAADTITANPHPQLTHFQTLLATFPPINRRYISLEVMPGDRARMFDGEPLAENSQEF PLFPKLPLELRLRIWKFTMVPRMVRFEPGGGKALGAMNANRESRREIRKHYRLCINYC DRPWATPVDVGQLEILPDFGFFINFKVDVAYISPLGRMRDHIGRIPLADLVGYPYIEL ALQRAKEEYTMWLSDVQRLAFDGKCFHGPTGSMIYTWRFLPQKFCVQYAALREILVIL DNKMEPELENLLPADCGTTEEIGTMDCISGSFDRLYKEALVDSSKWWEHKVNLNFMRV DPTASSRRKTWVKRVMGW LY89DRAFT_722207 MLHRQRSTRPLRHHNTFSDILEVFHLTWETKTVAKNTEYIKLLP LHSSDSSRSSSQDMSLSSSSPVSPTCSSSRTLLTIPPELLLQILTHLPSSSSLHALSL TSRTLNTFVHTHAATITNSLVSFHHPKSSQILKAHHTENSAGFIVPTHPLVLAEERRI QRDKILASGCHCLPCRLMLHAGTSSSSDLSLRSSSEHDGLVGACLPAQSMVSTRGNEC KARAALEKTVKLTEPGPQFLVFLERYGWEVEARWDIFVKQQHQTVKEEEEDVEETRAG DNGEEQKKKTFDFMIGNYCVRRFLEDTEKELTNFSTATKKAISPTLTIKERLRAKMNK HHQEKREKRRGAEAASRPPAARMFGEIEKTPTTTAVERDSWMKGLTWYHGSKYILDHE QVMADPVTGSDETMKTKSKQKTRNCIGGVKRGMRRVGSRIGSMFRRLRCVGDFQSLDD LY89DRAFT_211759 MGLHRTFILILIPRLQQNANWWQSLNDFKSSSCLNIFAYGYLWV SLLISVAVYGVDTFTAVNLLAFDKWSGEFKPVVAFDVQKWIFAGCIIASWVNLGFEHL RATRVIRRGAVAESFLDSLAVRIQSVRIGKGRGWRRFLVFAELTKSKKGAEYVALFTY FAFQSWIRIIFCQGPRQVLNALTLYSVFKLNLNNTDTSNVGTTLATFFQNIAKLAEED HQQAVILSGMVFTLVIWVFGALSLLLAMLFYVLFLWHYIPNADGGLSGYCERKVNRRL AKIVSVKVNKAIEDEERKRLKADAKALKNGEKPSGRQATLPTLFDAKSDDKLPQMPML NRNDTMATLPLYSSRPGTPSGQPTLPGFELNSLDQKRPFPNRSVTGSSATSYASNAPL IGNASDMAYGRSASPAPSLPPLDTSAYPAQPQRTLTSNSNASNFSRGPLGGPPRMPSA MGDRGYTASPVSYSSPSSATGNSPGVDNYGRPMPRAVDELRSNTPSGPAPSMGRRTPF DQGFEGPRADNYGRSSPAPSRGPGGSQGSIDGYGRASPAPSRGPNSPMNPGGYQPYNP NMRSASSASPAPSNPNFPSDNRGPPQQYRNMTDPGPRGPPQDGNYFGGAAAPPMPRPG TAQSQGSRLAPQNFGNNINRLASPAPYNAGGTQSPSFGGPSTPPMGGPMYRR LY89DRAFT_785959 MDSRMNRLQQPLSGLFANATLETHFGLTYSPRELWAPSRQERDL ELDAGITVSRAIAQAPPAIAKEDRLGAPERSLGEAEVEISNASTPAVNQPREAELSDN KENEVPGPSASPLTCCGNDFPTKADYNRHKRYHDKPESCPHCPRAFGTTKDLNRHIND RHQRTKVYHCLDSTCERSVAGNGEGFPRKDNWRRHMRDVHGINAN LY89DRAFT_688278 MADNLRSATQVGQNPTFAETVSKEEKVLGDKAVGGMDNDADHVP NVESGLKAAISNPNTSDAAKAKAEEKLKVLESK LY89DRAFT_722210 MPSAQLETGKIVRPKNKDDSPLYPEYMPFYDPLEKVEDIGEFEH HDPGHRADPSMPNLLKGAKLWDISPHVGTEITGIQLSQLNEAGLDELALLAAQRGALV FRNQDFDGIGFEAQKRIVRHFGPLHVHGWAPHPVAGSDEHMIIYDHKDDLRVRKSWAG RSPVQWHTDQSPEAQTPGTTFICMLESPSAAGGDTLVSSSVQAYKSLSPRFRKRLEGL TAIHSNTDGVVAEKKNGENAVMRRGVLQSEHPIVIVHPVTKEKALYVNPVYTKKIVGY DQEESDCILRFLFDHIAKRADFQCRVRYEAGTVLVWDQRVTNHSQTLDYPVGERRHVF RLTPLANKPIPAAVEEDDDGECQRDEARVQLNLC LY89DRAFT_688280 MTQPIPGPPGLPILGNIHDIDPADSIASIGRLADTYGEIFKLSL GGVERLFISSHELMNEVCDEKRFSKKVSGPLEQIRNGVKDGLFTAYPGEHNWEIAHRV LMPAFGPLSIRSMFDEMHDIASQLVAKWARFGPKEKINVTEDFTRLTLDSIALCAMDT RFNSFYHEELHPFVNAMVGLLQESGARARRPAVANYFLRSAQQKYDSDIALLKEVATE VVAERKAHPNDKKDLLNAMIKGRDAKTGEGLTDESILNNMITFLIAGHETTSGLLSFL FYYLLRNPSAYQTAQRQVDEVVGRGPITIDHMSKLPYIEACLRETLRLNPTAPSFTLQ PREDGPDEYVYLKGGKYKIKRGQGILCLLPKIHRDPAVYGSDADIFKPERMLDEPFSK LPPNSWKPFGNGMRGCIGRPFAWQEAILITGMLLQTFNFRLDDPSYQLHIKQTLTIKP KDFFMHATLRDHIDPVYVEKMLHNGPSNSKDSENRRSMEVSSTEKSKKPMTILYGSNA GTCESLAQTLARTASARGYHAQVDPLDAAVDKIPKDQPVVLISSSYEGQPPDNAAHFV EWLQKLEGSSKLKGVKFAVYGCGNHDWVSTFHKVPKLLNTELEAHGATKITDIGLGDV GAGDIFNDFDKWQDEQLWASLGGDAELEESGLEIEIDTDSRRSKLRQDVQEAVVISNT LLTAESEPEKRHIELKLPTGMTYQSGDYLAVLPINNTKNIRRVLKWAHLPWDAMITIK SGANTTLPTGHPISIMDVLSAYVELSQPATRKNILKIASSAPDDIKPKLIALAGPDFE KEILNKRWSPLDLLEEFPTAALPLGDFLAMLPPMRIRQYSISSSPLVNPTVATVTWSV LDAPSKAVDSKRYLGVASNYLQSLEEGDRIHVAVKPSHGNFHPPNDIENTPVMMFCAG TGLAPFRGFVQERAMQIQAGRKLAPAYLFIGCAHPEKDALFKDELQQWEKEGAVKLFY AYSRASEQSKGCRHVQDRLWEERDEMVKAFRDGAKLYVCGSSMVGEGVANMTKRIYQD AAEAMGRPKTDDDIQKWFLDIKSDRYSSDVFA LY89DRAFT_688281 MTDRNRFIPDLEAAIRMSLDGVTDKAPADIARGSILDLMILKQK NRGLKTLLSIGGWTFAHENKVFDVPAHSAEGRAEFAISTVELVKDLGFDGIDIDWEYP QNEEQAKDFVLLLKEVRVALDAYAEKSALGYHFLITIACPAGATEYGIMHLKEMDQYL DSWHLMGYDYSGAWSPVTSHGSNVYKDKVHADNTQYSTHDAVQAYIAAGVPAQKIVMG MPLYGRTFQQTDGLGSKHGGVSDAADYRDLPKPGAVEHFDPDTVATYSYNEATRELTT YQGKDETRVKAEYLKTNELGGAMFWQAFQDKQGDDSLVHTVRKSMGSLEDSRNLLHYP ESQYSNIKNVQPVQESVQQTESGQRQEHLNAAGSALAVIGHWLGLW LY89DRAFT_592935 MSLEPPDTNVAPKYVISCAVLAAVAFGLCAARIYTRAFPVSRLG IDDYLIGIAEILSLAGYCAAAAAAGHGWGHLSIYVSAHNQMIAYKCLFILQLLWIIAL PLVRISIALSLLRFSNARSWRWTLWALISVQVVITTGWLVVLFFSCRPLRSNWETVAD VVCWDRKYTIVYGWVSAALLVLIDLTLALMPIKLIRTLNRPFREKVLVSCLMMTGLLA TIIACIKMTTFKIVDLGDPLQATVEGSLWAKLEELVGIIAACLPCLKSPAERLLRRLG ILSDRYLPNIPRPSFVVSFSGPMFPLTPVREEMNRDIEASDSNLVGSQATRWKSGTST SARTLTANTEPHENKA LY89DRAFT_653165 MADVRSMLKNERAARRIQHKHASYSTTGTLLCTVCHLQLKSESL WEGHLRSAGHIMRLQKLQEEPQATSQPPSKKRKASDDEDEGTLHKRSKPTNGIPEGFF DLGQGERSPVIPHGAEMQIPSRPATPSKPVELPIPPKSEPQIDEDEWAAFENEIATAE AQMQADNDAVISAPAVSAADLAKKSAEEEYATKKERQEAEVQGEKEDAARKMEDELEA MEGFEARVKRLREKREALRKKEVVNPTVVTAVPVEIPDEEDDDEDYEDEWDNFRMK LY89DRAFT_709746 MADEPRRSVRATKGQHTKSHDVLDQATPEPKKKSTKKAKKVEKE EEAEIIRCVCGALESPDGDEDPWIACDNCNVWQHNVCVGLPTYDEDIPKNYLCEECDP EFHKELLDAVANGVKIWEQRRKTYEKMKADEEKEKKKGKGKKGKRPSDPKSEVSHTTN GKAKSPSTPVPAEAKKEKKEVAKGSSTKRKNRGDSQDKESAKELPPTKSRKVSAAHTT PRQDSPITGLTVKILDLESARQAGATLVNKGLAHALPIAVKNGIYVLRENDTIEAKAE RLAIEVEDAIHATHPDKGSYSKQSRAIFNNLKHNQELCNGLLTRTLSPHDLATMTTDD MASKELKRETAEMKARADKQSIMVSDDGPRVRRTHKGEEVIEGDNFAVANDNTMSTSR RRSMLDPNADMAARSRENSPGTQVELPDINSYRSQDDIRGHVVPKHPLNIETKTGLPM RKPSGQADFDINKVFSNVPSQSPVSAHHTRTQSISNAPPANGPGNDPEIDRMLEDDGN ESEPYSPAEYKSDPDIVWRGTVVMDSIAKFPADAKHVAGVDISRTLAWADVLQKELKV AGRIDREKANEYLCSLRYSPPTDVVVVNVTPAGDDAAQGFQELYDYFQSKNRYGVLAN KGIGNIRDTYLVPVPPSPANLPDFIVNLEGHKIAEDRSEPMIVIALVIRNEGPDLGRS FDGTSDVHSPTVKAHPQRQMSIGGAGPAMSPIAPQGQGTFPTPPIPQQQFPSDDTQRR YQQEQERAHAQREGETNALKILGTFAEAPTVAFLMPQAFQMREVEWQIIRGILEEDDK ARVDLQHLSQVLEVRMSQYSQSQQSN LY89DRAFT_722216 MEASISASAAVEQASALASQSIAAVTKSALNAASSASVAIDSLM LMKRKASASSAVLSAQSAAASASASTTAISAPDNQSTPGPTAQKDFVLLTPAQTALII IGSVLVSSFLTLAISCLILRPRRIAANQVRELHDGNSASQKMIGGKDSLAPKTVGRSA PVASNPIFSHEANDSSPVPRIIDARTGALTPDSFSRATSPDITAEERLPPSQARAISK NSGEKRLQPPLRTRLFIPSSPGSSVSPNRVQRQPYQPSRISRNLDYLDEVLPWEGMEF PEVPDTRERRDNEIRVETDIEVRLRNKDSLETLRMGRAY LY89DRAFT_623876 MAEHLQEDGASETSSIDSNGFVHLRFPDTDIPSHPANSKSSNVS MTETHTFPKELPDWSNLEVLHRNTLPPRASFFLYNTYEDALTRDISKSKSLSLSGTWK FSLAKSPFDVPLDFYNPEYNTAEWGKMEVPGMWQLQGYGKGPHYTNMIYPFPIDPPHV PYDQNETGCYTRTFEVPESFKDQQLRLRFEGVDSSFHVWVNGKEVGYSQGSRNPSEFD ISSFVKEKGENTLAVQVYQFCDGSYIEDQDQWWLSGIFRDVNLLAFPKIHFQDFHVQT HLDSKYEDATLSVEVELNTPSLVSLVLLDAAGEVVAKNMQIAVPSITFKVPIKAPNKW TAETPYLYKLVLTTSSCAVHQRVGFRVSELKNGIFLVNGKPVKFRGVNRHEHHPDHGR AVPYEFLRRDLLLMKTHNINAIRTSHQLNDPRLYDLADELGLWIMDECDLECHGFGEV DEISIPEEFRELPDIEKAEKRSRNPNRWASDNPAWKEAYLDRARQAVYRDKNHPCVII WSLGNESFYGRNHQAMYDLIHAYDPTRLIHYEADYYAKTVDIFSRMYSSVDEITAFAT QTETWEKPLVMCEYVHAMGNGPGNIREYIETFYKYPRLMGGFVWEWANHGLRTKTADG IEYYGYGGDFGDEPNDDHFVLDGVLFSDHSPNPGLIEYRKAIEPIQVLSGTKDKVKII SRYDHTTLDHLKCTWSLVGDSFKKAGNEVKIPSGVQPNQIVDLEIEGLPDLTADETYL ELSFTLREDTNWAKSGHEVAFGQVLLVPTPAMSTIKSLRSPTAPTYKQLTPQLLSIGS SSGVQWQFNIVHGTLTSWKRPSGEELIHTPPVVDFYRAITDNDGPKFGKIWTATFLHQ TKCHVRSVSWSNTPDSVVVVVNTRIAPPVLEWSVDSIFTYTFTSKHVSLKIKGVPQGV NLPHTFARIGLTMSLNDIESATWFGRGPGESYRDKKLSQRIGTYNLPIDELYTSYEFP QESGNRTDTRWVHFKGNHGGLKAYFGLLQEASFSALHYSTADLDEAQHPYDLISKKKK ETVVRLDWAHHGIGTGSCGPATLPEYSLESKEFEYEVLLE LY89DRAFT_785969 MNSRVQTVIAFFGLLCFLVLWNQRWIGGWWHYRNEYNLAVHGAS SIGPVCYHTLREENIWNRVLSNGDWYFVTDCRGNRDGHEVLVPGLNNSTPPIKESSTP VKVPTTHIKESTPVKKSTNPVKKPTAPINEPIPIKESTAPVKDHTDVEEHTDVEEPVA TGNNPTDSIDSATAAAKEPVDLVDDSTWVGDNSKDPENPRPVNPDSLWTLIHDSTRKF AAFLGSYLEPAAVRAWFTRTRVSFSEGFSRIIYDKKIWGTSTIAETFTEYTTGSNYNV EWFSRAANWLQSRSMPDWFPTTFPGLPMSQIWALVVSIISFLLQRLGQLQSYEYSIFA GPWFPVCIAELLPSLYAELPAEVQTFMHTVSISLLSPVPYLGISLLAAIILALLLVGY SYFASHVIDQAFYGGGHARDNLLWRTLPNLLHFGRVNYALVQWTWIGLIQGIVTSLIM GFWGIFQGTVWLGRLPSIWTINLSTQIWAVVAVGYCVFFFIFAPVALLVSRYPVTPVN ILTGFAIPPALIILSLLIIGAVTQVGFVVICILYFATIQLPRLVYYTWPHVLIDLPTR PQQQIYAGRVTRVSIYVAGAYIAVMVIQALSNLSITVPLPFFISITGFIIYVGIRRQF HGRCLGFVPMSLVLGMAATINGALEALTIVCFVLLNVFGHVLIAAFLSRTFSTSLMFF EARRSTSSAIVYSSLAWLGHHALRRLPNLGTIVVAQLYRLTGTSFYLALLPDRIPEKI ATKVETDVVPLQRNAARITPLIVWIVLLNRIFYWGAASSFITKLFLSWVAFFVIKAKG ETPIHPAIPSLNRLATKMIRTWGTVLLLSEAETLTAVFYLAKNIAVDLLTAFESNTSF FSNATIIFRGILTTFPTFVYYLAFGSAILALYLVSSSSIWKRALGYSSLLGAIVFSDQ TDFKLTIINTVGSVFMVNIITYFYPYLLPNRPSAGTVILGLVMASLAVQDKLDTASAF YRRAINFITRLPGLVIQHVTAALKFFIGVYWWPSALSAIAIGLPMIYVGLEVVSTTSL LSLFLNGLGLTLCFDPAEDGNVNAEDNLEALQERARNAETSLRDVEQRLEDCRANRDV NSTEESASDSTVEEQLLSENQSLRDEIQRLRDQSDQNDPESSNEADPTDKAALRRIRQ RLIHAMKEIKELKTKVSPDSDRMIIDETTAAGMLAKVRLAVGNCLGEMSEAVPENELS IQKAVEFIYEVLRGIPTLGENLMREETKMRRSQLELGKSRERVLQLESVLRTLNMEAR LASFRVNSKQSASHYDAAEYIRDTADLLIGLKKQCQDSYLALEGVGLHPDIGPLQFIQ QCTSRIKHLNDKVDQNFKFRNTILENREVMLAYLQDENIKLQTEMERPTPELEQTRNE IRILRLTSMDAAMRHGSRAKLTVEESETRRSRYNFMVLAFTKLIEFVKAIPKQYPKSI LPQWIERQVVPETCEKDSLVVGLEDIQELRLRSIELLLYVQDKDWPGSRPNWIPSGEA KEVDDHGRLLQEISKQVSAALVEAEVEMSGAGYEDPKTQPAGSNGTTASRMRDRRKKF AIRESLKSRTSRSYHMKPSPSGFIPPVSRDPRPYGGVSADFGFTNPHEFWSTSFLDFH HHQPKSSYFTTVEDDDDLSDEVDIAIGRKWRVVPAAISYYDRMVALHVCLKTNNISIS DWKLPSRGTIDKIRPQAAIFTDKDIILSQIDFMKERIVPLEVIWEENEGVLGKLERPI WELETGDTDLSSREEIKRVSQEWQDNPDAQLRYVHMKWLHARMSDQLTPPPKRDLPSS EDVDKVRPDKTPYSPGDVVLSQITFLIVEITYLEDLWQLNTGILGALPRSAWQDADQV LDPISSISKNKQLIKRCQEQEVKIRGLELLLTIDGIAGWAAADPLDASISPMSRTSEL EAKLQSLIALNSDRQALLNSSSGGSKADTPVEEWNKANEDPLQRGIMSRSELVLRRPV LAGYIERVSREIVDKNASAGAEINEHRRVYLVGYLEQIEKLRKMGPSEKKDDDAGSND SEEL LY89DRAFT_738434 MDDVRPPLPLARHGPAWIRNEVRRWWIESSTHAIVLCSLFILFI GYFLSTFSLKLFSGICHYIIEYLRAALWDQVVQESCGAIKRELTPFWSLTFSEYMRLN RCLGNEAGFDRQGKLLQAPRDFVLYLLRPFGASLIPVLIIVICIYFVGPWGFRQIQDT LIYLITTPWTIALMTVSAPIILYIMGFFTTFAVVVFVIVAGSILLFAIELWPANDAAQ GLRTAVENPAFSFVTSLYSYIAAQSISTWQALHDTPWMQHRECVHAPLCQCQERLDRT LAQAVHEQQNLASRNQQLRELLTESEFRVEGLQTTVRDLQVDRDNYKYMAELPPRSNT TRASGGFHPWGWGVAPTNPDLTAALDDARSRIGILLGEADNAKALRLRELAAHEASVN KLQAQINYSQGAGHDMSHVQGELENAKARVRTLDRGLKELTLTASALRMELAKERENH SEKCQNPAICQRQILELKVDCEKYRLYQLENDLLARASAQKLRGLTAKDVVHITIRSY LHEMTVKFLEFQAQGTPGLNTTNLQVELIRKDYAEQTAIKERLERELERLGGDVVAVR MGRDTTRPQDWRTETLTYEQNHYRVFPIYEELTQTVINLHDLLVAFFPNKVPAWQPEP ARNDATQWPVGRPPESMQLLLQYSTTNASINPTALHEEALTEKLVVLECQRWYNRGVQ LLATFVRCCQETSSQQLVTKEQAEYLEGISTGADRVLKRVLHAVLMAMVMLKRDKTER QPNAREKKRFEIYTAMQHSITALTNSIIENQKIVPRWSILLPAKSQPITRADDLATAL VIQEMITLESRIIQLKRFMIDFQLPGTIHGPMQSQIQGDNGRYSKEYNTRVAAITFAE LTMAHWMVWKAQKPEQVYGDNGIPLLNEKKEPVFRPRPSTDVQLLPLKWEDFMVAIKM KNAEVKEQDKDKWPEDQSPMLVIDPQLGPRIKFVPNSELKDQKSNNGKSVKDKADKGD KKGNENQNDTGSDADKQKARQEFETNRTRILQLSNHIQDWGIKGSIALPQIKQLAKNA ALQTIKAQILTQNSELAMLSTIITSNNRQVPKWPKNGGPMKPK LY89DRAFT_699838 MPRNGRSPTGGLASRTGWIGSVARFWEKTYAPDYVGLALLLSAY ILLVFFVEPFHRMFFINNINIQYPHAAVERVPVPWNIAYAGGLPFICLVVWLSASKAS LHKFHVTILGFLISIFLTLFITDTIKNAVGRPRPDLISRCKPAAGTPKDVLVTIDICT ESEHHILHDGWRSFPSGHSSFAFSGLGFLALFFAGQMHVLRPRTDLSKALLAIAPLLG AAMIAISRCEDYRHDVYDVTCGSILGMGIAYFSYRRYYPRLRTQKCDQPYQSREASFN EGFGKIKNDEENTRSPRDFELSDEEDAQ LY89DRAFT_593243 MEEQQAAPALATAFPAPPPYWQSFTSENLDRLAELRAAQNPAKR TYDPSTELPLRILDLPAELRWLQPPVQPQEGKYKCFGDSYNLQDPLPSLVEMGIEQLY TPPDSPTISGVEKHTDRAFILKKLIKSLILNYLELVGVLSVNAEHYVEKTTDLNTLFT NFYHLLNEYRPHQARESLILMMQDQLDRCRAETQGIRDMKAKVEGILEGLGQAKLADI GEADTTSKEDSEGKEVWDELAREFN LY89DRAFT_592621 MCTSSTSVLVNRNGPGSAAASTISSIVKPESGGAGKTKCIECDG YECCCIPIPCTVM LY89DRAFT_212046 MASTHPVEINSGITTTWIPLTTPGPSAPAGCSGQMYMQPGLTTI VAFDAWQGLNVPFALQCLPIEVTQSYTQTSAGVTGSTRFSLGPFQCPNEYTTASTTIL NSLSTSVLCCPSEYRLSSSGTYLQCMSTQTTGSVVPNSLVISDGTRLSWVDTTVTASG TVILANSIIGYVFADQVTSSSGTSSTGASSTSTPAQTQGPATTTSITPTQTPQMAYNH GLDTGAKAGIAVGCVVAALAVIILFIICSPRYRRKQTTIPSVAYEKDGSTPATEQVIG ELGTNDVNYPSDSLLGGRYQAAGGLPKQGNMYNNNNNHPGAHFVHEMDGLSPARQA LY89DRAFT_699840 MGARIDVLGDSVLYDPTSSSPGLRDSFYSAQQRDVVPACVITPS SPDEVAQAIQVIRQHNCIFAVKSGGHAMFVGASNAPGGITLDLKKLNTIDVSEDLKTA RVGTRNRWRNVYETLEPLNRTPVGGRNGAVGVGGFILGGGISFVSRRYGWALDNVRNF EAVLANGSLSNINQQSSPNLYWALRGGGNNFGIVTNFDLEIYQQGEVWGGQNFWLMNK DMLANRTARLNISSPPIAFSMDYVKNSFFTGITKLACRLGYCITSEQAFRAFKNIVLA KQSDVYAQTWMTYTYVSQIDQFIFGAALVYSKPEVWPSIFTEFKTLKSVYSSTKIQNF TSVYEEVVSLNEIGYRQMTAAITFQMSGDLLDRILDVYLVEVQSVKNVKDIAIFRENG GNCLGLEDLDGPLMMFSLSFRWSKIEDDTKMNAVGIRILDRAVAMTKEMDLYHRYIYQ NYANASQDVFGGYGERNRMRLKEIQTKYDPEGVFS LY89DRAFT_688291 MFASIDIPRKAPTERPIQIGEFTPGSPPRRRPGLFSVVFQEDLN LGPDHYHNSHPTPPRTLSGSFRTMDEFAQSREDDDLFADEFEPISDPPTVTEDQKLSI KPPLEEPTFSNLIQSSNVRREQGQERRARGGRGGLTGRGNNGLGASRYATSADTPPTQ NATTNPASTSDNMPPQSTVPTPDNGPPPAEPATGTRNLAVRGDRSATGGPAHKKLTEE ELSAKMAKMAVINAEKAERHRLSEADQAAYQHREKELAKEKREKAVAEKKNERVMEME RAKNRDRKMKTQGGREWDSEKLESDIVDGRGRGRSSEYVRGGHGGVIRGRGGLAESRF SGPEETDEIESGSRGRGGFQSRGRARGGRGGRGGKASAPVPPSAEDFPSLPTQDKISS EVETVKSPTGEKGPGDWAEEMATPIEEKKMDV LY89DRAFT_785975 MRQLQSLLFVIGFFFLGTSASDYHEQLLLRPLHPSLLLASFNFQ SNTSWESFEQQNFRYFPRSLGQILQHANTRELHLRFSLGRWDAESWGARPWGGAREGG TGVELWAWVEAETDEEANGRWLTLTNALSGLFCASLNFIDSTRTTRPVMSFQPSEGHA NSTLENLHLLHGTLPREVVCTENLTPFLKLLPCKGKAGISSLLDGHKLFDASWQSMSI DVRPICAAGESDCQLQITQTIDMVLDIQRSKRPRDNPIPRPVPFEELKCDHSKPYNSH DTCYPLDTAAQEEGWSLSQIFGHSLKGSCPLATDGVDPVCINVPHARHVFTSNGAQEH KDPGGFLRCFGLASEGDFDITLPQQDISEKAPLEQPLLYAERSFIGYGQERGGVQAIL TNPSPTQSVDFVYMESIPWFMKLYLHTLKAKINGLDKDVIQEMYYRPALDRKRGTQLE VRILIPANSTVVLTYDFEKAILRYTEYPPDANRGFDIAPAVITIGNVSIRTTSLLLPL PTPDFSMPYNVIILTSTVMALSFGFVFNLLVRRFVAVDEADQWDVRSVRLKIAAAARR LLLRFRKRAVVKKDQ LY89DRAFT_722224 MAAEQRKLLEQLMGAGASSRAAQLSITDIKVCRSYLAGTCPHDL FTNTKQDLGPCPKVHSEPLKTEYEAAPESQKQKWGFEYDYMRDLQKYIDECNRRIDVA QRRLEKTPDEIRQTNALLKQISDLNKSVETGLMEIQVLGEQSEVSRAYEEFFRIRQAM ATKADKERELKALSDTSGPSGHQKLQVCDVCGAYLSRLDNDRRLADHFYGKMHLGYAQ MRKTYEAFPKELRTRRPPVAEDGDHSPAGGRGFDGGYGDGGYGGRGFGGRGGGRGGGF RGRGRGGFRGNW LY89DRAFT_688293 MSRRYDSRTTIFSPEGRLYQVEYALEAISHAGTALGILAKDGIV LAAERKVTSKLLEQDTSAEKLYILNDNMICAVAGMTADANILINYARQAAQKYLLTYN EDIPCEQLVRRLCDLKQGYTQHGGLRPFGVSFIYAGYDPQRQFQLYQSNPSGNYGGWK ATSVGANNASAQSLLKQDYKEDCDLKEACGMAVKVLSKTMDSTKLSSEKIEFATVGKT KDGRIYHHLWGADEIMTLLKEHDLAKDESGADGGDRVVS LY89DRAFT_212230 MLKSTHKTTALPEGWTEHKAPTGHLYYYNSSTKQSTYTRPVAAP LPAAPTPPPPNNPSQSFLQYQSVDSQPSAGTAFNNFLPAGQSHGRGGFQSNRGRGGHD RSRDSRPQPTDKPISRHAIPDNESWFLIHTKLGRRFVYNAAKDQSFWRIPDKLKDGIL ALDQQRTREKAEALAAPKEPEAPQGAAERPVIEPTQAQPRDAEAEDSSEYEEVEVTDD EEDDENPSKRQRTEDDAPDEPVEFNEDDIAYQLAAMGQEYGLDPGEYGDENMDGWEEG DAGLEMTEEDSAALFKDLLNDFGINPYSPWEKLVEEGKLVDDLRYTALTSMKARKEVW EEWSKEKIKTLRELRAKAEKKDPRIPYLTFLQKYATPKLYWPEFKRKYKKESEMRDST MSDKDREKFYREHINRLKLPQSTLKSDLSTLLKAQPLSQLNNTTLPSHLPSAVLADIR YVSLDSGIRDELVEGYISTLPPPLDTNDEEETEEKAKERQDRQRRQKALEDRERHVAE EKRRQKRNLEFGKGRLREEEAEIARAMNVSKKGLKDHLMEDET LY89DRAFT_212254 MLSLRHALTFFLALGTTVAQILTLTASLPDSTLDGQPVNADGEA FSLGGSPASYCPTEVGTACPNVTATVFAGMSALWVEVPGGQEVYVQTDGALGFTQAHS ASVPPGAYIGGFSNVTVASDCHAPWDVITWNAPDGSTGGILACPEVPAGTNGTAIYQV YAKTPAFNKTNCVTLAGLLPTYLPAGSPFGAWQYI LY89DRAFT_653201 MSSPVIQPSFQDNVDFVNVERGLVASLHTCLVKNEAGQSVWNNE DYDFIQGNCPSTVNPKLWRQGQLTRKQGLFEVTKGVYQVRGFDISNMTLVEGKTGVIV LDVLASTECAAAALGFYRTHRGHRSVQAVIYSHSHYDHFGGAQGVLSTAAGDQSEIPI IAPAGFMEAVLRENIVAGPAMRRRAVFMFGGSLPIGPHAHVGCGLGMAASSGTTIIVP PNDTIKETGDERIIDGVRIVFQMVPETEAPCEINVFFPDQRALYIAECATHTMHNVIT LRGAQVRDAKKWSKHLDETLDMYGFDSDVVFSGHHWPTWGHDNIIKFISDQRDLYGYM HDQTVRLMNKGMSGIEIAEQLQLPPALKQEWYVGEFYGCLSQNVKGIYQRYMTWFDGN PANIWKHPPKEEGKRYVDCMGGVQVVIGKAKEYADRGDLRFAATLLDHVVAAEPSNER GQQELASVYQRLGFGAENAVWRNFYLTAAQELGSKSQGAAQSVIAINPQSTVEDWLDA LSLQIDGPKACEISVQTIVINIPDEQTTWTLTLRNGTLTYRSKAFGTAQSSGSADLTV TISKSEMYDMVAKGKIDAAKKTGEGKMETLTTLLELGNIV LY89DRAFT_653204 MSNPTEPADPSHKCCDGCTMNRSSNSNTTTSFGPITAAINSSNV TEPCNEGCCSGNKDLVEEATEDDCCGGNELLIEDKYQVTCCSGEEKEAADDGCQDLCC SGENEKSNGRRGLSACCEGKASPCCDASCIDRIAMRECKSNCSEDFKSNGLHTEGTAC EAHKKRTRHKYAAKLAAFDCICRALIALGQESCCIPKAESSVPRGSTTRKWSPNSDAS DACCKPRRQKTPLTVTGEASGRPASTKLCSDEFTSVVGIQPVSADIEKGCSGVEHMVL SITGMTCTGCETKLQRVLGTLPPITNLKTSLVIARAEFNLDTAIMSPDAVMKHLERTT EFKCERILTQGSNLEVIPRGNVKDFLGQLLPLGVNDMQLVGKGIVRINFDPKVIGARS LIENNLEYPCSLAPPPVDPGLAAGSKHVREMGYMTLLSIVLTVPVLVLSWAPLRSRPI TYGAVSLALATIIQLVIAGPFYPKALKSLVFSRMIEMDLLIVFSTSAAYIFSVVSFGY LVSGHPLLTGEFFETSTLLVTLIMVGRFVAALSRQKAVQSITIRSLQISTAQLVTKDG TSIDEIDTRLLQYGDFFTVLPEHRVPTDGVVISGSSEIDESMLTGESRPSEKQVGSKI IAGSINGSGKLVIQLTHLPGENTISVIAGMVDNAKLSKPKIQDFADRVASYFVPVIVS LTILTFVVWISVGIAIQKKSGSDAAIQAIIFAITVLIVSCPCAIGLAVPMVIVIGSGV AAERGVIFKSADSIEMAYKTTHIVFDKTGTLTEGRLKVAKEQYIMENDVASQSMILSL VSNSKHPVSVAVATYLEGHGTTPTKIDDIKTVAGKGIEGKAEGKLIRGGNARWLDAES DGHVQFLAGAGYTLFCITLDSKLYAVFGLSDTVRPEATATVAKLRQRGIQLSLLSGDD IGPVQQIAAEVGINSDEVRSRCSPSDKQQYIQSLLRLSVGKTKSTVVFVGDGTNDAVA LAQATIGVHMSSGTDVAQSAADVVLMRQSLEGILTIINISQAAVRRIKFNFGWSFVYN VLALLFASGALVNARNGVALNIPPQYAGLGELVSVLPVIAIAVGLRLAKI LY89DRAFT_699848 MSDSPVSDPEKQTYSADDEGVHNGSTPVYVDEGLGESEVVEFGE TKELKRGLHQRHIQMIALAGTIGTGLFLGSGKAIANGGPLGALLGYSFVGILVIGVVL SIAELSALLPLSGAIIRHAEHFVDPALSFAQGWNSIYSYLVSLPAELVAAAVIVQFWS TINSAVWITVFGLLLVISNLLFIRVYGEMEFTFASLKIMLIIGKYGFQYWRNPGPFVD YLGYTGSLGHFMGFWTTFSNAVYAYSGIEGISMAAAETKAPRRNIPIAAKRIFFRVVI FYVLSIFMVGLIVPSNDKDLLRSTGTAAESPFVLAATNAGIKVVPSIINFVVLTSAWS SGNSGLLGGSRTLYGMAREGHAPKIFLRTNRMGIPYLAVLSISLFICLGYMTLSNSSS TVFGWLQDLVSVSALIGWMVICTVYLRFYYGCKRQGIDRSELPWKAPFQPYIAWFSLC SFVVLLLTGGYVTFIHGHWDDETFVSSYINIPIFFILYFGYKFVKKTKIIPLGEIPIR HFIDVANANPEPPAKPVTGLRRFNILWE LY89DRAFT_593362 MRLSAALLALAASGSCTILWDGRFNTFTSSSDLSNWSWSNQVGP YQYYIHGSSAVTSYINLSPSYKNPADSGSAQGAKFTLDSTSYWNGQTMRRTELIPQTT AAINAGKVWYHFSIMHSATNPPSLYREHQICFFESHFTELKSGWISGESGTSDPLLRW DISGTTHWSTNWTAGVWHNVAYEIDFTGGSVAFWHSTGSDPLTLTVPAVSVSATSNGE DWHLGVLELPVTGQTDATEDFYFSGVYIESGSLTTSVAGPGKLIPALNCLLFGICRPS LY89DRAFT_212758 MDVTSLLNANSAAAEQQRKMEKGEATKTPTRNRTPWDAGGYSLP INTLSNPTLSTPTPYNIHHDDSQQISASTPTSPRHKFSDSRSSLSSFTSSLQSTTHSR FSSMSTTVSGSQPLNSLAETLSPTANLKIQEMDLTPPLSESHIPRPHGSLSPTGSLDA LALVAETRITDQEATSSTLRDKTSTTPEQTPNQTIITNGRPSSPSDAILIKRATLPSL RVVTGDHELNGAGQAHHLHNHNFLSAPLETHHQSLMHPRSHKRALSAPDFSMSNSSLP PTGESMGMRPLAEPTPPSSHHPDNPSPTATTAAYPNPDTPPMTNADTESHAVVCMYIP NCDTGSQPRKAISHIFGRNKMCTRLIPQHVWVHYCRKHYQRSRYRNPKEYAKLQCDLV QQQIRRVHEWSLANSKSGVAGIVQDWGLAVRKREQKRLDDLGGSRKRNAATFENDSDD GEDAAGRAVAVPATAVPDWLLTLCGKGYNTQTILEIFNRLHTEILEDTLPCFPDIEIL PNIVVDQDEPKSPKGYTKRTPQGHKRAQSLGVGMKPAYGAQGQDRRLSQPAILGHDGL YLSPAQKRRRPNDMAESSSPVRNPSSFQASRFAERSSDSSRHISQLGHRSAFANIDEN QAGESDYYGFESSRQNHAPLPAPVAQRFGGQSVAAHLETSNEYSPARRPIHQRSQSDM GGFARGRFEYSPAPGMMYSPPRNSQYRDSPQIGGPVYGSQPRYEPIHAQYADPRQHGH HRAQSSSVIYGSYPAMPSLREHQSLPMPPYTQNQAGPPASNITESKEARTLYSSRR LY89DRAFT_212807 MSVPDETPAPAKPGSSRSHHLPYGRARAGGGAAMGHGMNALVAV GSKDRLKLRQRSNYLLTVVVGVQCGAGSQASRLRVASASGLLLQRENHNVTMLACASI SLAFPKFLLLLLAAALPSLTLPYLTDLPLLTFPY LY89DRAFT_785986 MADIDDELLALAGDASSDEEGDAPVNNSRESSGSADRRPAGKGG AKKSSRSASRRQDDSEEEGEASSGHSSPNSLHSAPMDESDSESDAGAAFDDGDKYPLE GKFMNSTDKAEIMAMPEIKREELLAERAQEVERDRQNRALRQLLSAREAESKKLDKKR KASAAELEENQRKTSRQRTKLGGGKVGEASTGIDSLKKARAEKNDRQRRRDEDKERNR DRRAVQDESDQDAEGESEPEWDDGKSKNKKSKSPDYRDAEPAGLHDIERVRVGRSRFA MVCFYPGFDEAITGCFVRISVGIDKESGQNIYRMAVIKGFVEDRPYAIETANGKHFKT TQYIRAAHGKAERNWPFITCSDSPFTEAEWNRYKQTCLVEGVPLPTKPKLSGKIADIN NLVNRSWTEAELQEKLTKSGALVNKFIPIERNRLANLIKEAKASGDTEKEESLRKELE SLDGPKLAYSTSMQSSPKKASGLSQQERLAVLNRENRRKNAEEVRQAQIAERRAARKA EAAIARGEEVVEDHSRRVKTRAKFKHDVADAYGAKKNDSERSGTNTPAAGTPNLSAKK VSTPLPHIAKLQAANAEKKGIPTIRRPLMDDDIIGAIDLGIDLEL LY89DRAFT_653218 MSTSRTLNNAKNNGVHNDPYPNSSHIPRSASEALSRPAPRSKPL TQAQRDFLASALRVNQAGELAATLIYTAQTPVVVASHPHLRPLMKHMYDQEAGHFSTF NSLIAKHRVRPTAMYPVWTIAASALGWGTAIMGREAAMACTEAVETEIGTHYNDQVKW LLGMAEQMEASGEQLGDDIKGLISTIRRIRDEELEHLDHAVENDAKKAVPHELLTSFI RAGCRGAIWVSERV LY89DRAFT_785988 MVQHNEESISPTTRSPRSDSPGMSNGSGNGLAAVRQSGLRTEVS SEKSSQVPQDLDHASSSANGHTKGHNSEAADYLNGGSPHEDYSMNTPNGSTTANSAPQ RQSALKQVWGPEGSSNVTGTTTPPGRRSVQFARSNTGTDFAHNRQDSWEDAETRERRG PSLMSKLKALASSGSLQGHIRSQSNTANSIDEGSHTAPVTPTAERSTRLPRTLTEEGS DEDADAEETADEEIPTDGLRARRKQRFRRPQESGSGSQTAPNTPRTRGMGYADSPSGS SRVIPFLGRRATDGSLEQRGHLSEGEGRDQLNNRSAWRRGSSWISGARGLNHASSEQG PEVDGAPASRRPSNFRRITGLGGGQSEGEGQAPRRPFLGAERATTFGAQRWRMLKHGL KLLGQRKEEHRVDYLKSAELMAELRAGAPAALMLASMIQRDEHGNKRIPVLLEQLKLH VTDSKSSVEESTDTERHLVFRIELEYGSGLNRMKWVIHRSLRDFANLHLRYKLQGSSD KYIQLRSDVDSRPKQPRFPKSAFPYFRGVRGLGESEEDEADNVRVDDTVGEATHSEND RKKKKRRPSLGATRRKSSAVTHEAGSGVIVGANQPAQQTRAYNERQRRRLEQYLQEMI RWLIFRADSNRLCRFLELSALGVRLAAEGSYHGKEGYLIIQSAKGLDVRRLLTPKNVF SRHSPKWFLVRHSYIVCVDSPENMHIYDVYLVDPKFEIRQKRRKLKDVGGKELVATAK TSAKHPQHHSLKLQNSERKIKLLAKNERQLRQFEESIGFMLNNTLWAKENRFGSFAPI RTGVYAQWLVDGRDYMWNVSRAINMAKDVIYIHDWWLSPQLYMRRPAAISQKWRLDRL LQKKAREGVKIFIIVYRNVEAAIPIDSEFTKFSMLDLHPNIFVQRSPNQFKKNQFFFA HHEKICIVDHTVAFVGGIDLCFGRWDTPQHSVVDDKPTGFEQSDLPKDADHCQLWPGK DYSNPRVQDFYQLNEPYAEMYDRSKTPRMPWHDISMQVVGQPARDLTRHFVQRWNYVL RGRKPTRPTPFLLPPPDYNAADLEALGLTGTCEVQILRSASDWSLGLTDTEHSIMNAY CKMIEESEHFVYIENQFFITSCETMNVKIANKIGDAIVERAVRAFQNNESWRCVVLIP LMPGFQNTVDEPEGTSVRLIMQCQFRSICRGEGSIFGRLRSQGIDPEEYVQFYSLRTW GRIGPRKMLVTEQLYIHAKCIIVDDRVALIGSANINERSMVGNRDSECAAVVRDTDML WSTMDGEPYLVGRFAHTLRMRLMREHLGLDVDEVMEEERRAELDREEEEYEAKMNGIY NEEGPKGTEQLSYREGQTVSSDQATRIANAERLRSFNHDVDWEEEDNPNLMPDKFKSV TSDKRVTGNAQHAAEVDGEGPDRWKAAEKSGAVLGRDSVLVDGGREVLVTDFAAEGKG TLNHPRKDHVRPSVGHRHESNDNFAGNESIPPMPTLPRKTTEQLGLPQLSQLPSLPII DDTDIGGPPVHLDHTGNPAPKAFNPLTADIKVAPLDKDCMRDPLNDSFFEDTWRQIAD NNTKIFRRVFRCNPDSEVTNWHEYTEFVAYAERFSQAQGGVKSSEREGQEAPGKSGPP GAAAVGTGPEKISQGLNSVTEKITSHGQDKHPLGTVAEWAEDATKRNIDRIDTTGARE PQTSGQLDGIDEKTASNRRSDEAPSPIQPAGDETFPSLDATFLVPTAEQSSQPAPRKT TFSSSEKTASPAGNSSNNQGSFKRRRRGTTKGSRRGFSSSFSASDDLLTQNDVEELLS LVQGNLVFFPYDWLIKEELNSNWLYQVDQVAPLQIYD LY89DRAFT_699853 MATPNPLQDSPSSPSSVSVKTIHIGGILTDIYGLGELPPSCRSV SCIWLLHPRLQTKETMANVASSCINDWNHRASSDSIGLIAVAFDQRNHGSRCVKPLAN EAWRGGNVFHSQDMWSIITGTAQDTTLLIDHIGAYILNGPNDPLLEQHFVLGISLGGH AAWQVIFNEPRVTAAVVIIGCPDYMRMMSDRARLSKLKTYTSTGGIDFFGSTDFPKAL ISSCENGDPKAMLFGAADIKGNISDKEQNRVKALLDATLKGKHILVCSGGDDKLVPYH CSEPFLTFLKQATSGWYKDGDVYIEDNVYSGVGHAYSKGMVEDTTRFVSNLLASSRKP APKI LY89DRAFT_653228 MSTSAPKHLILVCCHAIYTGGPTRGLNEQEWLLAPFQLGETPTF IEHIRAALSLLTLDPESLLVLSGSKTRRETDKSEAKSYLDLCEDNDFWDILHKDGEER KRVILEEQALDSFGNLIFGLLVFWKRTKRWPLKVSIVSHEFKRRRFLDLHVRALRYPK ESVVFVGIDPSYMRQGSRDYDEARTRSVVGGEMERGFREWEKDLSGVAMVLRAKRVGR NPWSASQVWFESDEERLESGVRSKVVEFEHMDAQRGAIKVTEEVLIEGRQPWEMGEVP LY89DRAFT_688307 MSNSQRDIEVDIKQQPSVMVQGYRVSWNLDRTFLLLSLIITCYL EILIILLLGCHGFH LY89DRAFT_593479 MTTPSESIAVLFVCLGNICRSTMAEGIFRSMASKPPYNVLISSV DSCGTGAYHTGSSPDSRTMSTLEDNGITDYSHAARKVHTSDFQKFDYIFAMDRDNLRD LQRLQQRADGKAKVMLFGEFGGKKRAEEVEDPYYGGRDGFEVAYEQIVRFSKNFLKET FEVGPKVEQSSHFF LY89DRAFT_623942 MSYNRLDHPGQGQQDDYYNMNSLPGRTPSPGHPLHQGYQLEDAS PYGRPGGGSPSRHNLEIPMGPGRNTPSDRLQAQPTYSVENINNSFGHNEEYEQHYPLD PNHNYQQDYAINPQQHHDQYYQPPYQPSPHEEHPLTNYPTNPDPYYDEDDHHPILQAQ DTAYGPDPHSQPGPYKDYDGAGGIAHTPSPVPALKRYKTVKEVQLFNGNLVLDCPIPP KLLNQVPHASPPERDEFTHMRYSAATCDPSEFFEERFTLRQRLFAKPRHTELFIVVTM YNEDDVLFARTMSGVFKNIEYMCSRKDSKTWGKDAWKKIVVCVVSDGRAKINPRTRAV LAGMGVYQDGIAKQQVNGKDVTAHIYEYTTQVGISVKKDVVELRPKQQPVQMLFCLKE KNQKKINSHRWFFQAFGRVLDPNICVLIDAGTKPGKDSIYHLWKAFDLEPMCGGACGE IKAMLGPGGKNLLNPLVATQNFEYKMSNILDKPLESAFGFISVLPGAFSAYRYVALQN DKTGQGPLEKYFAGEKMHGANAGIFTANMYLAEDRILCFELVSKRNCHWILQYVKSAT GETDVPDQMAELILQRRRWLNGSFFAAVYALIHFYQLFRSDHSFLRKIMFLVEFTYTT ISMIFAWFAIGNFFLVFHILTTSLGASDLLGEVGVVLGVIFEWLYLFTLLTCFVLALG NRPQGSNKAYMSMVIFWVFIMIYLMFASIFITVKSIQSEIDTNSFTWTDIIKNQIFYT LIISLASTYLLWFVVSFLFFDPWHMFTSFIQYLLLTPTYINILNVYAFCNTHDITWGT KGDDKAEKLPSATLKADGKVAVNIPTDDGDLNAQYEAEMRKIVSKAPPEKRVMSASEI QEDYYKGFRSRVVLFWIICNFGLVAVVLSTAGLERVSSSTVTDTKKSTIYLAVVLWSV AGLSAFKFLGAMWFLVVRMFRGV LY89DRAFT_722240 MAQSHNSLRDRQIRSIERMLNLNRDAEETTDEHHDHSSTNGLPG SSAPILNSNGDPIWKVLVFDDLGRDVISSVLRVNDLRTWGVTMHMHIASTRHLIPDVP VLYLVEPNAPNLKSITSDLSRGLYSPAYINFLSSIPRPLLEDFAKQTAEAATSENIAQ FYDQYLNFIVGEPDLFSLGMKKENTYWALNSARTKDEELDHVVDRIVSGLFSVMVTMG VMPIIRCPKGAAAEMISAKLDRKLRDHILNSKDNLFSASSNRPSTAAGTPSSRPVLII LDRNVDLIPMLSHSWTYQSLVHDVLDMKLNRITVETPIDENNAAKGSTKKAYDLTSND FFWTKNASVPFPQVAEDIDAELSRYKDDANEITKKTGASSIEDLQNDTSSSAQHLKAA ITLLPELRERKAVLDMHMNILAGLLTGIKNRQLDNFFQIEEGIMKQTKQQILEVLNDQ DKGKEPVDKLRLFIIWFLSTEQDVTRADMEKFEESLKSAGADTTSLAYVKQVRATTRM TMMTSAPSAAAPQASASTDLFRGITSRLTSSLKDTGVGANFENLLSGVKNLLPANRDL TVTKITESIMDPAGASSSAIAKTENYLYFDPRSANARGTMPPPSAARKGEQMPGGLGS HGPGMQATFGQRRQGFSESIVFTVGGGSMDEYGNLMEWAKRTGAGGSQAEKGAARRRV VYGSTELINAEEFLKQELEKLGAEAAS LY89DRAFT_212992 MEGHNNSFGFMPDDLGGYDDLMQHAGWPADANQHQQQFSFASPS QDYSRYTTSQPAPTHGHYNTTQQPIYPPVSYSNSPYASQYQHARPSDVFGPTSYNVDP SLQGTTYHGHESSFPFAPQTNDTATISPQTLQYSINQNPAVGRSVSNSTFQRSASNGI GNSFHPRQQDQSNMFFQNVAPQNGNVLSNQANNVRYPILPNENSEAESKPYIKRQETD TDSHTQLASVPRLQQVKPSTPPNSLRSTHPELLNRSNNSSQARLAYAPFLHFEDTPIQ VTLGLKNTLPKYHPRKSRSGKDIVPGYDMSTSLTPARVSTKKGRSPKEPKLTGSKYKG TSQASRALTAKAGNSTGTDQLTSIKSPATPTETSSSEEESDSSEESEYEEDEMPVMDI STVRGLSRPTTIPEAIRYDAIGIVWKDPNSNPNSDVVKDAIEKFATFFSVRRMEVKTN ATKMDEAASRPAELQKLKEQRTTLLASLYQTIDAANQLGYPPIVENLGGHHKLVNGLT TTLIECSKANDFLGQLPRAVFSLLAKFQTMSDELLQKLKFDGIQKRWSKKGDEETRKN IAAILANTTNAKEKAAKAKKDSEQADKEKKIRDRVEQARARAADNPSSQTSNPAKRPH EGDGSNGKPSKKIATDGSGGINPMLAKSVPAKRPGSNLLGITSKPVSKPTPRKREPSP PTESKLGALLASIAKPPEAPKAAEAPVRPPETPEEKARRERKESRRHLRVKFKEGLEL EEIRLFKHEQAEDEGRQDEMLRDAHEHRLEGMMHKRQLSEPIDEDEDYQPSEPDIPYP DLIGIDFNKVNTSTPFGDIYVTRGGSRFFTTPEQATQGRREGVELMVIYTVPSDIPPT PKELTHLELSQMDGTDDYQPERLLKAPTEPWLVQRLHEIHQYGPEVARQVLNSRQSTQ QWGQQKDHQLLPTSAPASMQIPPTLQHSAGPVQQGSLSQSQQDKPQNPLALDPEAFAN VLRIVESLKNKPYPPTQPPDWMTDPAKRDEWMAGYIKDKTIKDQAEASKMIAQMPAAH LQPPQMLPQQFAQPPQMTFAIPAQPPGVVAISQNPEFAHQLQNFLGGYPIGDSKGAPS DYSSWAGNAANLQNDPNGYSAQNQARWEANWNNENAKPGRSHEKKQRGGHNSKQGGNS NFSVFDERGEYRGKKRPCRFYQDGKCAKGAACTYLHDD LY89DRAFT_653241 MPLNLNSVYPATTPEFQTFPSRRSVVHSTQGIVACTQPLAARCG LKVLDAGGNAADAAVAVAAGLNLTEPTSTGIGGDMFCLFYDAKTKKISAMNGSGRSGM KCTPEATRKDLGMQDGDTDHMPLDSVHAVTIPGAAAGWVDTIERFGSGKLSLEQILNP AIELAERGFPVSELASRGWRRGEQQLKDASPNYAEMLKKDANGYHGPRAGEIFKNQNL ATTFRSLAKDGKKGFYTGRIAEAIIKVTKDRGGFLELEDLKHHMEVGSEPFEAISYVF KGQNYASTKGDFVDGSSGQGENEDDHGVEIWEHPPNGQGIVALMALGIIEALEESQTI PVFKEEDHNSVEYLHAVIEALRIAFADASWWVADPSHSKVPSAELISKAYLAERAKLF DPTKASDKIYDRGSPAHNHSDTVYFAVTDKDGNGISFINSNFHGFGSAIIPKGCGFTL QNRGGNFSLEPGHPNAIAPGKRPYHTIIPAMITNKHDGSLHSVYGVMGGFMQPQGHVQ VLLNMLAFKHNPQAALDAPRFCIGAENMPGDGNKRNVYLEEGISEEVCAKLKAMGHET QIIKGYGRSVFGRGQLIRSHMEDGVVVYSGGSDPRGDGAAYPG LY89DRAFT_738459 MFGAPYICRRCFNASLKPARRRLLKFSSSATHGSFSPALLSRAR NLASEQDRLSKETAESFDAKIARRIGELKGVSEALKEWEAAQDSLKELNSLLKDPTTD SELRELAAEDLSLTASQLETLSQRLATSLTPKHPFQDLPCLVEIRPGVGGGEAAIFAA DLLRMYQAYCSRKGMRSSLLKYETADGDSDGQPPLAEAILEVESPGAYGELRSEAGVH RVQRVPATESKGRTHTSAVGVLVLPSLPKSGSEGQDIGDADFNDPESDYYINPGDVRT DVMRARGAGGQHVNTTDSAIRLTHIPTNTVVSMQDSRSQHQNRERAWQLLRSKLAQAK REAREEEVVKLRRGVIGIAKMGRGDKVRTYNWGQQRVTDHRSGLSVHNLDDVMDGGDD LDKIIESVKTWLGDREIETMLAEDEATNNAR LY89DRAFT_213058 MNACGGSNSSSPGSGQNFEWPMSVQFWALYPTPKPMEKHIAAKA ARRRPVLTAALTDDCFDVRSLSSFTSSSGTALYWLLDIFIFLLLNGRMIEMGRH LY89DRAFT_593491 DTGIEADNLWRDLLPLGSGFVRVPYPRRFDMPQSKSIENDSEKG EIYSLSITHQLHCLGVLRDVIKKYEKKNKSRFAGDGHEYHCIDYIRQSILCAGDTTLD FAEIVRDPDGTERRLGFTGANSTHICRSWDAIKAFAVENRSGDKVGIA LY89DRAFT_785996 MYISALISALTVATTLVSAAQHSGRSLKHVGKADKHPSLGRREQ HVQQRRADSFGYLTNTTTKFQVNGSAIPDVDFDVGESYAGLLPISKDANETRELYWWF FPSTNTEASDEILIWLNGGPGCSSLEGLLQENGPFLWQYGTYLPVPNPWTWVNLTNVV WVEQPVGTGFSQGTPEATGESDIAAEFLGWWENFVDTFDLQNRRVFITGESYAGYYVP YIADAMLNKNDTTYHNLEATMIYDPSTSYDAIQEQIPAVQFVDYWYGLMPFNDTFRAQ LHSMSDACGYTDYITKYLTFPPPGPFPSELPGQDTDGNTLDGCDVFDTIYNEVFYVNP CWDIYQVATTCPVLWDVLGFPGSFDYLPEGASIYFNRTDVQKAINAPIGDWEECASGN VFVNGTDNSPPSGVSVLPGVIERSKRTVIGHGALDMVLISNGTLMMIQNMTWNGAQGF TTKPSDPFYVPYHDNPSQATLAAAGVLGTTHTERGLTWVSVDLSGHMIPQYAPSASYR TLEFLLGRIDSLSSTVPFTTDNYPQPNVTTLSNGTAPPTKKWRM LY89DRAFT_213121 MVKFYESNFSYDYSFPAVTLAYFLRYPNPYSTHVLSTDVISREV DANGRLRTLRIHRKSSRLPSAVLKLLPKSVLGNISGGKSESYILETSTIDVKEGWMHT ESKNLDWTGILSVVERQEYRRHVPVDEGDDFSIGAGTTGVVTTVKFRSRLGERFRART ARKDEVEEEPKRSFLAAWSTGGIQRSIEAIASRKTESQLGKSKEGMKVVLERLRRGGL VAVLDGMREDRKLNFVHE LY89DRAFT_623960 MADPEPSVQAALAADAPTKQSSKQTVDPYNVAGEIGADGIAKAI NYLTLIEEFGTKKILEEDLVRFEKVTGHKPHRFMRRGIVFSHRDLNYILDRHERGEPF FLYTGRGPSSDSMHIGHTVPFEFTKWLQDVFDVPLIIMLTDDEKYIFSEKRTIEEVTS YTWDNAKDIIAVGFDPKKTFMFSDYDYMGGAFYRNVTRISKHVTLNVARAVFGFNDSS CIGKIHFGAVQGATSFASSFPHIFGTDESKTNLIPAIIPCAIDQDPYFRMTRDVAARL HFAKPALIHARFLDALQGPGSKMSASVDSSAIFMKDQPNQIKNKINRYAFSGGQVTEA EQREKGGDAEKDVSYQYLTFFLEDDDELERIRVAYTKGELLTGELKAKCIAELQTYVK GFQERRAAVTDDVVKVFMARKKLEWRGNPNAIVVKKPEGESAGGEAAGEGTPKMTKNQ EKKLAKLKAIEEKKAAAKAGSAS LY89DRAFT_688318 MGKHKIHRNAPWKRDTLLLACILRLRVQPSVPYPIIASFLVHGF PDIIQKLAKKVAPRDLRPKLAEDRDALLGWLESYLEERYNLAEDWCSKPWQAARDWES DAVLDILDALGLEKMEYGVKEEEDMRLLMERRNQADWKAGSEPLGSPERGATGKGITE RQPASQDKINEGGDGLKSRRREFWALQKPPVVPLPEAFDDEGRTSDRTFGSDLFCTQK TDQDVLDIPNTYVSEVQASWDSRIGGEREAALNQERETMDVPLQKISAEQNHGYVSTS NETRPVAVELTAEAKRWKEHQQRVGSLLQRLDAVQQKYSQDPESLHYKRETQQQQQEP DYYTPTQRHPSQLELPRIQTSFDQQLEDIENLEQLIHEHKITADVSSPTFTLPYRQKA KKGRTLSEVMGYGGDSHWVEMGNPK LY89DRAFT_699865 MADLQGRKVFKVFNQDFIVDERYTVTKELGQGAYGIVCAATNSQ TNEGVAIKKVTNVFSKKILAKRALREIKLLQHFRGHRNITCLYDMDIPRPDNFNETYL YEELMECDLAAIIRSGQPLTDAHFQSFIYQILCGLKYIHSANVLHRDLKPGNLLVNAD CELKICDFGLARGFSVDPEENAGYMTEYVATRWYRAPEIMLSFQSYTKAIDVWSVGCI LAELLGGRPFFKGRDYVDQLNQILHILGTPNEETLSRIGSPRAQEYVRNLPFMPKRPF PNLFPNANPDALDLLNHMLAFDPSSRISVEEALEHPYLHIWHDASDEPGCPTTFNFDF EVVEDVGEMRKMILDEVARFRQHVRIQPGQQGQNQGPAVPIPAGGGSWGAEDPRPQEA YGQGGAGLEQDLQGGLDAMRQ LY89DRAFT_593649 MSSYDNKDPLAAAKQAERELNSHQAKQGGYNNSDSANESGVNEG VETRFPGASVTYGSAASGAGDNREIPVEEGGDILKGSGQPTKARDFEAVGGPEDKSEF DRVERGGDDDVRGNVRQGGIRDGAVRNS LY89DRAFT_653261 MPSVRSVLAGLLATTLFQLSTASPIPIEEASDCLPSKPVAVTPT IPPSGNVPDLPTTNLTLLYVAIGRGIQNYTCTAAGATPSAVGAIATLFDATSLAFTDE TAVHAIPPVAVYMPQPTSSLALKAGVFNPIGHHYFDAAGTPTFDLTAVDKILFGGKTG DVKAPTTANVGPDGTGAVDWLQLTKKSTYTSVGVQVAYRLVTAGGSAPATCSNSSLIT VPYAAEYWFYN LY89DRAFT_722251 MRRSSRGKLVDIIVRSDQLSNADIWGGQAKSRPGWQRKRQVRET WTWSECSRSLRDIDSRVADARWRHRTDERKSTVLGNSLFRNIRVAGYEGITDDEKGKG DAMMLLVGQSDTGMRFLRPSERKEVGKSGKEGLRVQYLGKMLMLLTVLGKFRREGGVG VGVGVGVREARGLESSADRIGGLGKVDLEDSLEFLMDECSRCPSFNSKHEKKQEETSD KPHNLYEKPPIGSDADMQSEWAWQGRAGQGRAGLGLGTRIRSFQACPSPKQQTNTLLD HGGRGLPRAKSSCDDEQPPANPDEGVRLDVWNQVGRSQLAQVLVLVPVTNVGNKTNKT NRRKSVAPKKVAEEEPRDWMRESYFSYS LY89DRAFT_688322 MSAQNPITTGQGVPDFASSTPPSSVWDRISTWASENKAVVYTIA GVAIVVTGAGVAYYLTDSKPPISKDSPKKSSKKEKRRKKEEEKKSADVEKAEPKPKAP TVESVDELPEVDENTVGDLSEQDRKDYAAKLKAAGNTAYGSKDYPKAIDLYGKAILCK PDPIFYSNRAACFNALGQWEKVIEDTTAAINLDNEYVKALNRRANAYEHLEKFSEALL DFTASCIIDGFRNESSAQSVERLLKKVAEAKGKAILATKDKRLPSPTFVTNYLQSFRA RPPPAGLELDAEISEDTGKGQLQLGLRSMEKKTGDGYDEAAAAFDKALEIGGLEEHEA FAYNMRGTFKYLRGENVSALEDLTKSVDLQPSLTQSFIKRASMHLELGNKDAAADDFE KAMAQNNDDPDIYYHRAQLHFILGEFPEAAKDYQKSIDLDRDFIFSHIQLGVTQYKMG SIASSMATFRRCIKNFDKVPDVYNYYGELLLDQQKYQEAIEKFDTAVEMEKQTKPLGM NVLPLINKALALFQWKQDFAEAEKLCQKALIIDPECDIAVATMAQLLLQQGKVTEALK YFERAAELSRTEGEIVNALSYAEATRTQLEVQEKYPQLANRLQGMGGGFAGPMR LY89DRAFT_688324 MASPDFQLFSSLRYDPLLTSISENTKAWPIEAKDGSPFYMLPNH RDRILQAAQHFGWTKVVDALSGQEGFTHLLNKLTKEIDTQSKTPMKVRILLSHEGLIT VESNSVPAVTEFNLFPNRLPPPKGKPEMKVSPLTGGALTVGEGESVYGDPPMDQAWEI RPDTIKTSPSLHTSFKTTSRDMYTFARERVGITDMAEKKEVLIISEKDGEIMEGSLTT VFFWRGGRWVTPRYHSGGQIGTTRRWALEKGLAFEEIVKVGDLVDGEECWFSNGVRGF QWGKVKLEE LY89DRAFT_593542 MSSLSGLQRIPRVHNKKYKRSGPKSYVYLLQKWGFEPTMPGPYF QMNKVVQSGKHGHFHKFGGKATTHRVLAKRASGATSGDASTTDGSEVTAEDVQNDSEY LCPVQIGTPAQTLSLDFDTGSADLWVWSTELSSSIQSQGKSAGHTIYNPKASSTYKAQ SGSTWQISYGDSSSASGDVGTDVVSIGGLAIQNQAVELAKTMSTQFIQGAGDGLLGLA WGSINTVTPTAVQTPVENMITQQDIPSTAELFTANLGSWRDANEPDKGVSFYTFGYID QDVVTASGQEIAWTPVDNSQGFWMFQSESAEINGTPVQQSGNTAIADTGTTLALVSDA VCKAIYAAIPGSTYDNTQQGYTFPSSTTADQLPTVTFAVGGTQFAVQKEDLGFADAGN GMVYGGIQSRGTMTFDILGDTFLKGIYAIFDQGNTRFGAVPRPETTQNTAVPPSTS LY89DRAFT_738471 MIDYDNVFFNISAFIAGLFVLEFGADKFIDHTAKVAARLRIPPT LIALLTAGAEWEELVVVVASISQHQSPLALGNILGSSISNILGAFSLGLIFSPASIAF DKSAKIYTGVLLGLTTFFTLFILFFQPLGRFGGGLLIVTFVAYFVSIAWAIYQGIVEP PEPESDSDSDSDSDSSDSNSDSDSDSDEESSIPMKKSPPHLKLPHSRSHSSSTTTLIH DANTPPTADSPTDSDKDSYTKSTSHTISALPTSPTSATLPDDMFDEITLNNVRTITAK NDNPSKKHSTPYHLAHLTLGFLALSLSGYILSHTVSTLATSFSLSSTILGTTLLSLAT TLPEKLVSIVSSRRGENSIMIANTAGSNIFLVTLCAGVLFLSGDLSALKDSVSLFEVG CMWGSSAVLFGIVMTGGKKWMGYILFAAYLAFIVLEFTADRR LY89DRAFT_593463 MSEVTYDEKAENVVVEYERQPRPRSTIRDVLNKQSFANVAAVIW KFGRFMGPGTIISVAYIDPDNFQTAISSGAEFKYKLLFMVLVSNVIAIFLQSLAVKLG TVTGMDLAQMNRAHLPSWLNIGLWIMGEAMIVCTDIGQVIGTAIAINILIPKIPLVAG CALAVVDTLFILFFYRPDGSLRALRGFELFVGAFVLAVFICFCIELSMITNTSARHVM DGFLPSREIFVSNGLYESCAILGGTLMPHTLYLGSGMAQARLRHFDIKNSHYREAMTT SRPQGIKLYRPSLSAIKSCLSYSIAELCVTLFIVAVFVNSAILIIAAASLDESAQDAD LFGMYALFVQDISQAAGTMFALSLLFSGISAGIVATMAGQMIAEGAINWRIRPFYRRL LTRSVAIVPGIIIAAAEGRQGMAAALNGCNVVLSVALIFMTFPLLWYTGFSKYMMVET EVGESVITMSSDVEGSEVRPPAISMANGWVTSVVGWLIWVLIAAMNVASLAFLGMGIG GD LY89DRAFT_786008 MHFSTTTILSTIILASSAFAGPLDSRDSDDSSNPDLNIFPTTAD AYENYAICKNKITKDRFPSLAAPSDEGGCVRYYPGIDMTGVVTMIDLFFKDGISNACD CAAACLDRPLTCTNWVFKHTFAGAAIDSNKRSCTLYSSPNIPTDVTLMYHLNGSVGTG VIGMNPQQGGDAPLTFLDVNNTMVDKFGVSGFMSRDTNGLQYC LY89DRAFT_623990 MSGASTYLQKMRKAELVELADSVDFKEYDGLKKTELEVALDEYL TQNASQFSSETRLAPFYKRSSPVKKEPSTSSALAEIDSKVKSVKRRVTKAAEELVATD DSEVEPATTRSRAALTRTPRASALSNLSFASSVPLPPSPAVVTDAIERHTQALRSQVG QLVEKAGFTETAEATREALSTVVTVQSLVVAFELWNLRKEVLADRYAFTIPSIGLLGT QPYDVKVPDLFLLLTSSFWGPTSLWATTSLIIPLVAAYFFNLTSKPSRGRQSAHFNYT FDPLTFSIVKALLTYVIYGQDVTFGGLVDLEYVARINSAIFGGYQGVLVGTGIGALVT LYEAVLKK LY89DRAFT_688330 MVNVPKTRRTYCKGKDCRKHTQHKVTQYKAGKASLFAQGKRRYD RKQSGYGGQTKPVFHKKAKTTKKVVLRLECTACKTKAQLALKRCKHFELGGDKKTKGA ALVF LY89DRAFT_688331 MPSTLPPSADSRNKFEDLSGVDSTQYSNPYDALIEACRDDPAKL QDKYSAHRVTRNSQQKEKLLSSDFSGLILDPILQRLTDSSVQPGFRDPRHCLVFWARP PTHIRSLVERVQHKLLRLAPNLWLMPLQNLHLTALEITHSLTEPEIETIVSQLGQPVI RSMTDYTYSHRARLIKPMISYDGAALALSFLPASGEGLPSKESSNLDERTREEDSFTY HHLRRDLFNIAKEAVHINSRYVVPSSHITVGRFLTQDDHDTPGKMELFIREIEDINAW LQEAYWPENGGDRIEDGEWIVGQEKGLDFRHGTLWYGGGTTIRLGKGF LY89DRAFT_688334 MTDFSFFPKTRTNNFSALPYRAVSEITSLPATIVHMGKLGLGCN YHTMLILCQIIHTTVDIIGFGAILPRMKPRNMPTWIQFVAATSAGGRLSSRSVCDCRT ISMSSLVQGDLVTPRTISENCWVRYNPEQQWYWLSDQSPDEVTLFLQFDFAIRGKR LY89DRAFT_673656 MALLDVGQRVCIQHPIWLKQCNFSMSPRVLEVVSRLRDPKDLSS QVLWPWFDSGERSCFATLLIASAWRKIVKWLVFDIVNRDSTLLTLNLVWCRDSSKPRP VLTLFVGHGPCTFGRESLDQDEEIILKVDMGVGESSSLWTRSAFATRPSGRFDFLNSA NNLTSSVEYNSSQIPKFSKMDGLNPVLAPATEIQSLLENGAITSVELIDTFLAQIKKH NRAGLELRALSSVPSRELLLARAEMLDKERRAGKTRSPFHGIPITLKLTRTGCHCNGF VAGMSSEVGSYAFRDAVPKQNAEIVDVVVYSRLVCLDDADSWQSCWNGVSSSLEKQAS RGQGVKTGWSATNGQSQSADVKGGVAKDDLIYGYTTPGGSSSGSAIGVSAGFTLSCGT EHDGSAIGPANRAALYAVKMTHGSVPTKGVFRITSSMDCLAGMAKSTADLALLLEMML TEEARKHLPADGYNSALVHSWDGISIGFVDPSLWRLPASICPPDEDSIAQQEATINAA MKKMSDLGARVVYPVELPPYSDFDFDTVSDYEFTETYPEFTSNFKEPPTKNLAELIEF NKQHADLELPAALRIEDSPSQSFLEDALNSRITSEDYTAAKAQMRKLGGPDGNDRAMG EHQVDVIVAPSHSPISGVSAAAGYPIATVPLGMIEKYNAPFGISIVSTAFAEDKLLRF MSAFEATFPQRTPPSMLQEEHASL LY89DRAFT_213518 MDPPRRQPARSARNKKPVYEDDGDHGDDEDSGYSEFERDTVDES DGESDAEMPDAAPEAAAIDNGLGNALDNDSDDSTPLARSEYQLPDNHVPTRRNFTNVG PMLHRFGQFVDGSDADVPLLDMDPGVGSISSNLPSTSRARMAPKRKAQPRSSFVTKSK KPRRSAPQHTAKVSIMELLNLLDYESNAESDLDLDLSAMFEKSGKRSAEPKVNSKGKQ EASVATAPTDSEEETEANELAEDAYAAEEDPIAQSNFRHGVDGWNSNLPPLNKVSQFF EDMADKAVDKNIQTMLKFILDKRLTFATMCSGSEAPMLGLLEFQRALLWKYQSLFPFR QAFAAEIHPAKAAFINRNGTTKLILRDIVEFILGAEDPGDLLLTTSYGAKTVLKEKVH VLIAGFACDDFSSLNNYKKLLEDKGESGDTFHAILAYMVRYKPAMVILENVSGAPWVH AKLGKAQTKSKNPRVGIDEHLQDVGYYTQFERVDSKQFYVPHTRVRGYMLAVRKDLFD SKQESWQLLQDFSNLFTSFKRAPSAPAEAFMFRSDDPHLSVLKQQVAVTERKKSEWVK ASIQHDSYRAVNHFGTNRPVTQWRSDGSRKLPDWFRSFLAMSERVADSIDIAHLRNIR RLVDDRYYSRILELSQNVYRVTDITKQSTIGCCMPNNLLFSTVEGRRITGIEALMFQA TPTHLADYSNMSEALLHDLAGNAMTSTVVTAFTLAALMTFYARLGLEDGYSNILEEPS VDFKGREYLIPRQVSLDDCKSTSVASVTRLAAALLKYCYCEMQNETTTLPLQQCKICQ QTTCTKCGVEPQHSYQIFKKSQLKHREIPSNLDRHISELLPGELDVPGWMSIDIEGHL AALSDVLPPSATESEWERALKIVKGALSTRVFLREVRRSWSIEVLYDSPDAIVKGFVS KEGVEWRLYANVPKEPLGSPVGKFFRRFPVARLRPTGDNFLAGRWQLWIPKSRDFAVT LTSSGKPIESFQCERGLVAEHNMRTFPTIQLDIDFKDSDDGFYFERDICGVYTAAPTC GQAFNSFHIRQSDIGKPNPLGLFLDVPLRSGTPNDTHFVVSTQFQRLDHGVYRDSFAQ LLTWRQPEKRVVKDAIDKTPLELYEGPVVEKDVIHVPGFWASAVTLLCELRPATKIKQ LQIAEQLETAAVFDCDNNYSFLELSAQLPLKVPDAFLRGKWEKVTRIIQADFWREMGW LFTKSSVLVGHTESTSKWHALPNDMQHCSNCAPSPPNLHWVYKPGRNAASNLVAKEDP KKAAEYEDSIRHRPECFTAFFRMQDNSVGTSLEFRLVGNPMTLAHRARAFLAATGPSS GISLSWRLITDDDSNRKPNVSIYDMPRTDNMATAAQPFDRAGIALQREQRRALEWMIL QEKKPRPFKQEQIVEGVVRDIGYRLEGRATCEKTVAGGVLAQGVGFGKTVVTLGLIAS NSGLYQEQSASRMTQEPSKRIPTKATLILMPPHLLGQWESEIEKFMGSAPIVLAVKNL VTLKKYTIQDFIHADIIVVAWSIFESETYFGYLAQFAGMIPPATMKNSRLTRIWYESA LNRVAENLDQLRQDPQGFEDYLEGKFYESKAAAMSAEVPVPSRHVTGAAYKNSDARLA EAQVHENHDSEHAHLESDEEEVETLSDDGTAPNTGGAPKEETKKTPKLYDPSWKWKFP GIAQTKGKKTRAKGTKSRDDPNKQYLLFKFPLFEMFTFNRLVIDEFTYIKSHLLTVLE LLEANRTWILSATPRLASFDYVKAMATLLHINLGSDDYSNMVAELYSDKFSKLTSSEQ MVALADVSSAALREHYHEQAKRFLFHFARSDAARCEQFPMYEFTILISQSAAEMAMYS ELQQNVAASNFVGKPTSTNGGNREQMVRDLGFCLDGRELLFMRASSQFSPDPENGASI DRSMSANQMSDYVANVRHAELRDMLRTFTTEAKKALWLADHVTNNAELDLFREFVERI SKNHFGDAEATKYLRKALKNASKEFQANEEVWTEFYKDPRADQNDPVQEALPVKPDGL IVTASSREIVLVDADLRTSTSALNKMSQEVLARTRGFRFFQSVRNIKDDGPYSCVGCA GLVDDRANIFVLTTCGHTLCATCVTRQDSSDKCPASDCGALNMHHQRLNGASLAGNST ADDTSCFGTKLDTVVDLINSFGKAEKVLVFVQFEKTESRLKKALAAANIGFCDLDDCR NASLVLEEFKENAKTQVMILKVDDAGAAGSNLVNARHVLFLQPFYTTGSDARAVYVAA RTQAIGRSRRHGQTRPVFVYNLLTAGTIDVDYVEAREGKIVEKVETIDDLPAAEAEAL RAHPAFDRLPTLTYARLTDRTPATPHGAFCSTGVGLVSFDDTED LY89DRAFT_709785 MAQESGSATPEAGEQSSLLGEQRNIKVDDASSETLTGSQGADEE ELVDPDRANQQVGRGRGMLIVLSLWGLIFLQAANMSGITTTQSKIAEDLDAFAAASWF TSAYLIAASSISPLSARLAQVFSPRVCVLVSSVFFALGGLIASQAQDLTGFLAGRAVQ GLGGAGIMTISFILVLELAGKKRRGLYIGLVNTGFTIGVSFGAVVAGALLPVTGWRFL FWIQCPLALAFGTGIFFSIPKHFTSGQKDDGASIMRKLARIDYLGALMLIATISLFLF GLSSQHVLWIPIVVSFVLLIFFVCIELYIASDPIIPISVLKSRGALLSCVAQLGIMAA RWSVLFYTPAYAIAIRGWSPASAGSILLPTNFGFAIGGILAGGLHIKRSGSFWLPSIV SYSLFACALALISQISNQDTPTLLYFLAVFVNGLCIGSALNYTLAHLLHLTPPSTHFI STSLLTTFRGFAGSFGSAIGGGLFVRVLKAKLEQGFEEHGDLEGNEDLIRRLLGSPAL VKELVGFEKQIAIDSYVGGLKALFVAGVGLAVLMVVVQAFTGWKEPVEVKGENEANGN EVENGLLGVEDEEWEEGMEQGV LY89DRAFT_688342 MVAIKHIAAKLAAGAALFPRQNTPCSFVMNAVGDPNGMVVEDTI GENRIGGGYPQGNYYMEGHALYDALNHPCVIEPGSYQFLCTQGGGGLANFSLAADGNL MHDSCENFLACPATGPGEDGSWNIFSDALQNTTGCETVTLRTGGFNCTALGRPDPTTS ATASATGTATGTATGTATTLPTVTPLAPAAACPTDLSQGTFQFPHLIVPTSSQDGDHA FGNSLKAYISPINTTLYNFDIPATYNGTCALVFLFPYQTDLDPSAGGYTFSGTEEEVG EHGGIDFALLSGIASAATTFNSTPAVATDYGKTQIIPGNNYTVATYPCQAGTTISYSA TSVGNVELDYFQDSAPMPIGLYVVPCA LY89DRAFT_653299 MATSWARIFALLLLDLGVQAATDFSVTTSGIYSWNQSDWSLTAT KFIPGQFQSRMSLANGYVGASLAAAGPFFETDVNQTDPNGIQPSNGWPLFDTRISFST ISGFYDVQPNGTGTNYPWLNQYGWESFISGIPHPTAIIFAFGDNYLDATVSNTTISNF ASKISFQTGVGEWSYTWSPESSSAAFNVSYSALFSRARPNVIAVKASITPSASISGTV TDLLDGSSAARSYLDTKGIDTNGSTIYSSVHPNGLANITGYVVSGANFSNAYTNSSSR AEATGPFVGDNSTTIGQTYNISLKAGETATFFKFVGVASNDKFADVEEVARQAQSTAQ EDGWDALLSEHVAAWAEIMTSDKVDNFTDPATGRLPDSQDIEILQIASVANTYYLLQS IQPDGSGLNDNSVSVGGLVSDSYAGLVFWDADYWMAPGLNLAFPSYSKQISNFRIKQH PQALANAAFNNYPNNSALYSWTAGRYGNCTGTGPCVDYEYHLNYDIAFNLLQQYNVTN NETWFNAGPRQVIESAAIMTGHLLQYNETTKSYWLHNMTDPDEYANNVDNGAFTIASA AELLRVANALRVQQGLEVNSTWETQWQGIEFPTAPSNITLEYQTMNNSVAVKQADVVL LTYPLDYGEDYTADDKLLDLDYYANKQSPNGPAMTYSVFAVDANALSPSGCSAYTYTL NGFLPYLRAPFFQFSEQAIDDVTLNGNTNPAYPFLTGHGGANQVVPFGFLGIRTDQPM LYINPSLPPQIPYIKIRTFHYAGAALQATMNSTHTNLTRISSTSLTDLYQNTTLPLTV GTPNSPSSQNTNYTLSTNQTLTIPNRLYWQTLTHANNLLQCQPVTSPDAYAAGQFPTA AIDGATATRWQPASNASASLLVNTTSITPSLVSGLYFDWGARPPRSATVYLGNSTTVN GENLTGEEIIIQVGGIAPSLPFVAAEAEASAQEVQPVVGNSTTVAVEGGAWSGGYVRL VVEGCWEEDGEGATVGEFVVIGG LY89DRAFT_738484 MTPNVFRPPAMIFGSVLSGAAQARVTRAAAATSSPTFSSLYIDT ISTAHQLHRTVPSAILESSRIMRLLHFNNDGDFSLTEFFEDDIPEYAILSHRWGAEEV TFKDMTDGTSKATQVKPRLATVRYSSAENRRGVMAWITSGWTHAASTNRAALSS LY89DRAFT_757405 MNNLAATLWNQGRWDDAEKLEVQVIETRKTKLGVDHPDTLMSMN NLAFTWKGSGKGTKAVRLIEECVRIRKRVLRIGHPHYISSYKALKIWKAKQEDIVVSS VHRQT LY89DRAFT_593415 MSGALSKRQQARNERTLQDLIKSVPGNNTCADCQARNPGWASWS LGIFLCMRCAALHRKLGTHITKVKSLSMDSWSNEQVENMKRVGNIASNRIYNPQNARP PIPIDADEADSAMERFIRQKYQERAVTAQARNNTGSTNSDDQPPPLPPKPGSRFGFRS ASSIFPMSSKSKREAEISRQQSPSPPRSKPSRIFGTSVASDGKDDLEAKMAKLRDMGF TDERRNMAVLKGLSGNLEKSIETLVRLGEGGGAPVPARETPTPSQSRAPMGAGLTINR TREASSPKVSNNPWEVLDNPPPVAQPQSSQSTGSLARSQNPMMGNNPYQQTQSTNPFG LAPSQSQYNLNQAFQSMSVSSSQPPLFPHHTGGFPGPQPAQHQQLYQQSMTPPVPSLP QQYYPPVIYENSAQQPQQPQQTNSYNPFMQQSQQPPTLNTNVPSNPYIQQLATPQSLY QSPIEQSPQQQYPSSSPFYQNGAQAQLQQQQMNPYFNQAAQNNAQQQTQPQMNPFFQN SQAQQAPPQNYDFQSQQQPQYQQYRQQTLPLMAQQTGKADKRSILDLYNYPQLAPAPH QQQQPQQQQQDQGQAQVQNLSVPGSQSFQPQQRSVSSPLSMPTGSKNPFASSGGSMQS PGDTLGQMPNFAPNPNGSRHVSQESMSVDAGGWSNGRHSPDAWGSISARSLR LY89DRAFT_653304 MASVITPSFHASDNSEKASIQLLIKALELEPHIEGGFFKETDRA PDTVPSPWESSTSSTSELAPQRPGFAPGLRNSSTTIYYLLTENGPQGGFHRNKGRTVH TLHRGRGRYVIIHADDDGPEKRIETFVVGQNVEKGEKLQWIVDGGKYKASYLLPDQEN GTESQGLLISEVVVPGFEYCDHDFLAAEKLTELVGSKKAEELSWLSSPLAKGN LY89DRAFT_738487 MFFKTFAILAFGALSTAASLPHRRSSESDVVLYCYGSTSNGGPI FYADGLAYFGMSANTLDAGVSTNISFTLDPDDTTTAWTIQPNTTTNPNVSFNETLDMY IVPTDGSFTQVGFASSNSSSLPTGAVTTGFSFFGTNVAYVESSSNYDMMFWGNATTTD GIFALYWNDGSDDAPNGSFAVTVKTTPPVSI LY89DRAFT_688346 MENNGSSIHFHGIRQNYTNPNDGVSSITQCPTAPGESTTYTWRA TQYGTTWYHSHFALQAWNGILGGIIINGPSTANYDNDMGVMFLNDWTHQTVDQVYITA ETSGPPTLDNGLINGTNVYGDLGSRFNMTFTAGESYRIRLINGAVDTHWKFMIDSHNL TVMAMDLVPIEPYETNVLSIGMGQRYDIVVNASQTSGNFWIRAIPQTSCSDNDNADNI KGILKYDSTDTTEPTSSAYSYIDSCDDEDMSDLVPYLALDASADTEEDDFAVTVGKVN NLFKWYMAATTFVAEWDDPTLLQIYNNDTNFTTSSHVIEVDTADEWVYFVIETTQTVP HPIHLHGHDFYVLGQGTGTYDADTTTLTTTNPPRRDVAMLPSSGYLVIAFLTDNPGAW LLHCHIGWHTSEGFALQIVERYSEIAALIDYDTMNSTCAAWDTYVAADDIVEDDSGV LY89DRAFT_688347 MAPTKTAHKKVLVTGANGYIGNAVARAFSRAGWTTYGLVRKESS LPDLASDEIIPLFGSPSDTSFVSNLQEKGIVFDVIVSTTEQIMNYFPHYNEIVSLLRI LAKQSKDKGIRPLVIFTSGCKDYGTSTFLSTSKDKAPHTEESPLNPPTLLMDRANGAI TIFEHEDLFDAIVTRPTNVYGLSSSFYGLIFKFAEQAKKKGVWQIDEHPDTILHAMHV DDCGEAYVALAEAERSVVKGQCYNMSASEWETLRDVASAVAKEYGVEDGVRFVEGQEG RLPVEQDWNRMLLGWTQWIGSEKLRKDTGWYDKRQLFSEGIHAYRLAYEVSIQRGGGV LQKIENRKAAMEHN LY89DRAFT_653314 MRFSSLFVNAAFLEVGLAASTTVRKVDTSPTGYVVDFVFTPNAT THPKSVLLGFPLYSDSLHASPSITDGYSPWDWKPEYFSLALFTNTGSPATLAGLDMTY NPSSGDWELSVPFPSGTFNYNFYPDCNSTDYLNCAALTDSSNPPLEPYPGDQLVSTIQ VPFDGKYQVRDYDWQLPLPNESRRGNFSFQQYPSPGSTYPSKDIHDVGIYLPNEYGTI PGKKYPVLYLSHGGGGTDADWFNQGRAQNILDRLIDCGEVDPMIVVTPNFYNLGFTMQ QDATNGTIEGFDVVGLNGFFNAIRENYLTNLIPWVESTYAVNSTPSSRAFAGLSLGGG LTLSMLFNATSTFSSYCIMSNTPSPEPDDPIWNQTSLRSVGIFAGAGFYDAAFENSRN FQTRASAVDLAYLSHYPMYSAHQWATWQEILYVYLRRVIWRDVPYGVDT LY89DRAFT_624024 MTAPLDSAYESSDEEAPLLSSPPESPTLTSFPRPSRPSHVRKTS YIPQIHRAHSPRAITGILFLILFILAFGGYLMAVPGIRIMEDIVCHKVLGIEGEIDES LCKGEEVQNELSIVAAGLHVIGAIPSLVTTIPYGLLADRIGRKPVFILGLTGIILSAI WQMCVMWFWKTLPLRLVWLGPIFILIGGGETVAAMVFYAIACDITPEANRANVFLLGA SAGMVAEVIAPSVSAWLMKSSNWISMIIGVSILTFGTLLIFLIPETLHMRPRASNPSH LTPSPSNPDLQSDSPDSKKTSIMTAIKTEFATSLRSLSSSLQILSSTPIIILLCTFTV QPFTRQSVDLSLRYVSTRFHIPLRLSSLLLSLRAGVNILLLLAILPLLSYVLTKRFSF TSPRKDLFLARLSILFLTFGILIIAASPTLPLTIIGMSIFTLGTGYIALIRSLITTLV HQQHIAQLYAQVAVVETVGALVSGPSLAKLYMVGLKMKGPWVGLPFFGVGVICLLGAV GVWAAGCVMGRRGWEKDRGEGESGEESEDAVLLGVEGEVDVPDAGAINIV LY89DRAFT_653320 MRSTYIISAFAGLALAAPHPQDIEFDQVDAAPDPTIVTPPVLGA SATVSIQPTAAVATVADASVTEVASTNSTETNDKRDFLDFLGKRDGNCAVQPKGTGPT VNTPDDTPASFLAYQPFQTTATNAATPQGYTQSFVNLQGSSQTVSWLGYTTLSSYDTV ACASYCDQLDGCQAFNIYYERDPTEDPNATSCPNPPSLTNIKCSRWGVQITADTAVNT GQNRDSFQVVIAGSNGYNKNAPPPAQTGFTGPVELGGAINAPNDPVTNTNTYMGYKFF SFGQVQTYPAGVQACTLACTAQTAYNSRHPPSTGKPNVCNQVVVYVLSDENDPKGIYC AMYSEEWAQNYATNYGQYRGSDYWSVSQAYAYTNATYSAAYGPICAVGGCPSGSYRGG NCGGWGTGNC LY89DRAFT_214078 MTSLSHKTYAERASIHPNLVARRFFETAEAKQSNLMVSADFTST EALLHCADTLGPYMAVLKTHVDLIHDFGPATVEGLKTLSKKHNFMIFEDRKFVDIGNT VQKQYHGGALRISEFADIVNVSILGGEGIVEALSQVVAAPDFPYSKERAFIILADVTS KGSLATGYYTQKSVELARSHNDSFIGFIAMKSLADVESAVPEFPNEDFIIFTTGINQA TKGDKLGQQYKTPTQAVQGGADFIIAGRGVYAAPDPCRSC LY89DRAFT_757413 MGSWFSGPQAPDVVVTFVSLGMVVLDELRFPGKKLISDVMGGSA SYGTLGARLFAMNRRSTSIGCLVLAGKDFPEAVEKRLREWNMALVLKKDLKRLSTRGL LEDEDTTFGPKKFRYTTEPLKPLPHDLFASPLLASHTYHFLAAPEDLTRQVSELLELR MQKGIDSRPLLVWEPFPPACQAESLKSILAACSLVDVFSPNHLEITRLFTDADPEEFQ PKVLESYAQKFLDHSIGPHGKGCIVIRAAEHGSLSASRAAGCIWSPAFYPTASDLSRI VDPTGAGNAFIGGFATGLQEKRTLDQAAAYGNVAASFALEQIGLPTLEVNDSCS LY89DRAFT_673671 MSGSKQTTKTEETSPDGKTEGEYLKDIEMCERKIMKAAFDLLKN NYDRYMAVVRGVEDAPGWDILTRLNLHAELISKACLEIIHSDILDAGPETLRAAKKHI MKITDFLRTISGKEYDDIKAEYISGQDPSIGLMYNEELEQLKQSNKLDQDEFKACKKE ATSLQLEVHELRKALLCTRDRKWKIIIDARKRIIKQLLEKFNDVHAERKDISEMSGGV SKGEVFQFWPEDRNVAMSVARHDRYNNLPEEISDEKLVEDMTHGVKELLIDYENIYQA FEKYLRQTIESKRTSGMHSRLENSLQKMRACKLGKDADFKLFDARITSE LY89DRAFT_738496 MLLSLTILAALVRYAVAQVDYSQFVNPLIGSEGPISGYAFGGGD IFVGGAVPFGLAKVGIDTYKDNITLSTLNGGYTPMGKATAFSMIHEYVSISIQILLFR LPALCGRDISRKLNSFYKLSFLMSENRRKVVSASQ LY89DRAFT_593831 MPVKEPGSSLDAPNGAYAMGSTLLKRHKTLPHPREERMHQIYPS TPATKPRDLTIDTGTASLPSSDTSSPRTLKHASKNRIGSNGLPPTPPTHSRQSSGSQQ SIIPAPKFDIPRIETPTDIPSAPSTPPNQKSPPTPDFTPPRAMPLAFRPGRPPVSERY PSSRTDSFKTAREHPDSEDDDEHSTVRPILPSARTSAAEVPQLPTPPPKRKEVGLGLG LESDEGTTTPRPKVDSSQEEFVVFDGEWASAGEEAEVTREWDDNLMRNVTVRKRPVRK LQKRRITTNFLTNEDDIVSPTVATKVLRSMPLQERIARYRIARDTANRMTSEKFPEKS VWPSAAASPESPTTQDVRRFSAMSGRSSQSTVVEAMVVDAKPTRRRTLRHTKKQLGLR DFGSDQSLLSSGPSSIVSSEPQHRLHHHMEKIPERRHQSLASNSTVVSTASSNGKSRR EVLKSGAIPVVIIPERRSSTKSSRAPSLRSTSSRRTKRSMSLNSAPLSASSKFNDPGY FDSLPPRKRTMSESGGSAHSVHTIDFPPVIPARRSSLSAPTSRNTSRAGSLTAESLHA HNMIQATKQPVLPESSTTQPSADVERDLGSHSARLNVDHNGDPFFGKRLSTQVTPFSQ ASYETAGTMAEVSEAMAVTIFPHQNKSVLVVQQKAPTDSPPLALKTIDSAETERPTMT VNGHATSGPVTPPQPSHPMDQVDSPLRNPRSPPEPPAIKFIPPTPAAVTPGHEEDRQL GYDNYRPSSSDDQSKRTMSLMRRAFSNRRNSETAAQRPGFLSRTFSLSGHRKELVDES TQTSKGSANALYPSVADKPADGSKLHPFWRPTHFWDDLEGHQAYDDYDDDDEYGYPSA DSRPIPKRTFSQKLKRTFAILPIQDDYYDYQPYPIDRRTMRRTPSGNMRVVKQRSTSS SLRRSGSDRRVYVEQRPHTGPSAERQFGYGFKEGNGGKVHTIPGLGLRVEYVGWSGMR RRMSERRREQSRQKLRATISGPKSIQSGVDDVLRRRQTSV LY89DRAFT_738498 MNNPYTGNFGSSALAALSSQFSNDFPHPTTTPSSTYSRTSTSYP TTTHTNPSTTDFPNTFNNGKNFWDKMAVGAKAGAIVAIVLVILIIVFASVWFCCGCCG LRQRNARRNEIRHPRPDTDTVPLQDRQQQPTTTNMMMMNRMASTATRGGEAPPPLYAE VTPPQHTTIAGGITHVREEEEGIISDGKTPLSEIPFEDVVLDHSPSESSSSRNFGHSH LGLGGDTTGHTNS LY89DRAFT_709797 MIMWLLNTTSLQLEYVNSSEDQTYAILSHTWEDGEVSLQELANL EQANKKKGFSKIEWTCRLARERGISYAWVDTCCIDKASSAELTEAINSMFKWYKDSAV CFVFLSDLVPSASPMNEWGLDFQRCRWFTRGWTLQELIAPELVEFYDQNWNLRGDKSS SRSTLFDITGIDIEVLADSEKMATIPVAKRMSWASMRQTTRVEDTAYCLFGIFDVNLP LIYGEGPKAFIRLQEGIVQETFDLSIGRSWSTDH LY89DRAFT_673675 MAQGAIKSKKPTGPTSKTSRRQPVLGPKKGARTIKPRNAALVKN AKITKKHSAGLTAMTERTLGAKAGHLELLKGGKKNKDKDAKGKDIEVKKGGTKKFG LY89DRAFT_653328 MDLLTTLDEETLALILRLQIDDSVELCPLAEAKGKGREGDLSDS QLAFKVYREDLERNAVMLKDRVITKSIARACQTDGNILTASLAQEQTASSDRETACRL GGTQLPVPVEPWTVSSEFLDDEILGKLSALYVTSPLEDPVSEDDTLELIVGAESSGWA TSRRQTKRSRRQCTACQDTFIFCELGRASCGHEYCRGCLHELFSASITDDSLFPPRCC RQPITCGGGMRLFLTTDLVRQYEAKKVEFETADRTYCSNTTCSIFIRIEHIAKEKAYC PECSTLTCVICKSGSHLGDCPEDTALQQVLETAQENGWQRCFNCRRLVELEIGCNHMT CTCGAQFCYLCGEKWKTCGCSQWDENRLLARANQVVARQPAAPVPQQAARLAAVVQDL RHRHNCDHESWRYVGGQHQCEQCLHTLPSYIFECRQCHMQACNRCRRNRL LY89DRAFT_214216 MRNQYHSLSTSPSASEPEHTTSTYPAITIQTPPDKAWTRDECRD WLNKVGVEACHLDPREALAKAQLWDWTSVVLITKSERDLRDILGNVLGMEAYARVYDS TVRFFPGHMYVPSKFPGPIEVSSKIP LY89DRAFT_214223 MDKSAANSTKPQRKPGRKQRIPLSCEPCRSRKLKCDRKQPCQNC SVRDNPKGCLFLGPEGGPATSLKPMQVEGMQSRIDRLEQLVTTLVAQGHDPNGSIGSS DNSGNSEASIPEYDSSAFRAPSAPERHTPPYEEIEHGGGVMKVDGNASMYKGATHWYD VLQELNEIKSFWSQVQENPTLGLEPSYSDAIDGPSLFFGVGQPTTLPELLSSLPSRPA VEKLLTRFFDNEEGPAPTFHILHKPTFMRQYREHLAAPDKTPIMWLGLFFAVLSQIML SYNLSGDEPPEYEGISASLSQLYRVKAAQSLAMGDISTCKPYTVETLIYSIMCEWGRN GQGDARVWLMVGILVRVALQMGYHRDPSQYPEITVFQGEMRRRVWCFVHRTDSLTAFL CGQTCSIRELSHDTRQPANLYDWELSEDMTELPPSRPIIEPTPVSYLIVKGNILLVLG KVVNLLNDLGHYPYDRILELDTELERTFQDTPAYWKLQDFNDVDNESPSLVNRRIQLD FLYNQGMCVLHRKFFAQGRLDARFERSYTRCRDSAIALLAQQHFLFTQAQVKGSLIAR HWYRVSYTSHSFILATMILVLDIRHRRAEARAKDIFIKCTLEANACMALQNACVIWKQ KADEKDSAEAVKVYQVLSNMLNTLGFGEYAAMPGTKQVVIVPELAQPEDIYNPGISFA PPLDMDIDWDVWDSYVEGTSFDDAYGNPQNFELV LY89DRAFT_214228 MTSSANTKAPSFMPTYTKVWHTKVHPTISPTRPENSAKNKVVVI TGGGIGTGAAIAKAFAEAGAKAVGLIGRRENHLKTSAETLSSISTSIKIEYAVADITD KSALESAFEKFEGSLGKIDVLVSNAGYLSDIVPLQKADDEDWWRGFEINVKGTFNVIK SFLFHAGSNAVFLGVNAGLATSSEPPGLSSYISSKIACARMLECMQAEHPEIRVISVH PGVVDTAMTEKTKVPPMDDASLVAGLMVWLAGPEANFMKGRFMWANFDIDELKERAAE IEKGNELRLGLLGLTPPEMFFKK LY89DRAFT_653336 MDDSKDSRSWLPSFFRSKTSSPTSTPPNERSPLVPKSRRSTGTT AAEGSTSSEGETFVVDDEQSITRQWLSEFLILLRGSVPVILAYTLQNSLQTFSVLIVG RLSPEALATAAFSYMFAMSTAWLIALGGSTALDTLASSTFTGSKNPHDLGVLLQRAFI VLTLFYIPVAILWACSAPVFRLLGQEEYICVDSAKFLTALIPGGLGYIYFEAMKKYLQ AQEIMRPGTYVLLLTSPLNIALNYLFVYTFKLHLIGAPLATGLSYWISFLLLVLYARF IAGSRCWGGWTRACLSNISTFARLAVLGVIHVGTEWWAFEIVALAAGRLGTIPLAAQS VIMTTDQVMNTIPFGIGVAASARVGNLLGARNAKGAARAANVAAWLSMIMGLLVLIVL MAVKDFYAKIFNDDVDVIKLTADVMPYVALFQIADGLNGSCGGSLRGMGRQHIGAAVN LVSYYMGALPLGIWLAFHGWGLAGLWVGQCIALYLVGAIEWVVVAWSDWEWQVKKAFE RMDHGDVMEGGDGDMLPEALPYGGAAGGERPDV LY89DRAFT_653341 MSTAHDNFEKGLGLSNADAESGSDGKVTNQHIDLVGNEAVSQRP TRVFQAPELIRSMTPKERETAEKRLRRKIDLRLMPMIVLMYIMNYLDRNNIAAAKLAG ILTDLDLKGVEFQTSVSILFVGYLLMQVPSNLFLNKIGKPAIYLPSCMVIWGIISGAT SACQNYGGLIACRFMLGFVEAAYFPGCLYYLSCWYTRKELGFRTAIFYSGALISGAFS GLIAAGIQAHMDGARGLRAWRWLFIIEGAITVVVAMFSFLILPNFPRTTSWLTEEERQ LAIWRLEEDIGEDDWIDAQHQSFFQGAKLAFSDIKTYVLMFMLFGIVASGTVTNFFPT VVKTLNYNNVDTLLLTAPPYVLAVITTFLNSWHADRTGERFFHIVCPLCFAVLAFILA ACTTKTGPRYFAMMLMVPGVYTGYVVALAWISNSLPRPPAKRAAALAFINAISNTCSI YASYMYDASAGPRYVTAMSVNCAMAIMAMIAAVVLRLILVRLNKKLDQGIFVEGAINS GTTEAGKKGFRFRI LY89DRAFT_593405 MSQRELKARKRTDYGYILEYRTRWSDNDMYDHMNNSIYNFLYDS VVNSYLINECGLHPPSSDQYGLVVHSHGDFFGSIAFPAVAELCLRVNKLGKSSVTYEI ALFERGVEEVKSIGQLIHVFVDRKTGRPSPNGLNSNLKEGLQKILAKQSKL LY89DRAFT_593698 MALIDVPQTTSYPPLEEKKPLGATPTVIVTPCDPWPRPYYLENG LRRVAPYHFTYNTYCKERWRGRELLDIFSSEFRDRPLEYYKDAIERGMVTVNGKPVPT TSHIVKNGDVISHTLHRHEPPVTSLPISVLHEDEDMIVINKPAGVPVHPAGRYNYNSV VEIMRAERGHTWNPLPCNRLDRLTSGVMFIGKHAKAAEKLSMQISERTVRKEYIARVK GEFPDGEVVCDMPILQISPKLGLNRVRANGKAARTVFKKLAYYTPIKESENSKLGDQT ETDEAKQCAASEGYSIVRCLPVTGRTHQLRVHLQFLGHPIANDPIYSNRRVWGANLGA NDADASHDTDEDIITRLSRMGKSEVADAVAYHDEMVDEYNKKKAEKMSGEVCDICQTP LYTDPGEQELSLWLHSLRYEDAGGSWSYVSPLPNWALPPQGMQGPTEVGGMEALVEAV KEQNPEIA LY89DRAFT_214257 MSDENPTFYDNDQSQDPPEHQSSNSKPGAKRRASRARTRSVASL IPEQLARKRANDREAQRSIRQRTKTHIEDLERRIRELSGDDEPQSLEDVRRRNAELEE ELRHLREALGRSEGSLTSSPELAPVSTRYGMDMIDSPYSYSPQWLSSSPGQSSLSSSP YSSSSAGEFMSSPAPDYSTLEHGFSDPGSARSDTFSPSLAIGDTARTPPHIAPATNRR CMPFNTRPHFGRSRSYPNGRLGQSWQPVSSNMASMMTSNMSGMPQPTLQSQSMAPTSS PSGLNSNVVGIGPAPTSHPIAPTSNPLGMDANISDMAMPIPRKVQSALINDTIPEIVS PIPRQIQPTLTMNGNMSKMDSLVPRQGQDFGYEVPPSLVDQPSLHTWELPLRFVPPTG PVDSILIGLLQRQRNIALSGTTGTALTGPYHPNLRGLLNLEDSGSSHPVASVLANLIR RTELKGLAEKVAAMHVIYRLMQWQVSPSAEAYNNLPTWYIPRAPQLTTAHPMWSTLIV WGKLRDIVINEQETYATEEFQRIYTISLNINWPYGDENILVFEGDEIKMTEVFKRHVN NQASWSLDEPFQRRYPELRHACRFSEIAGPDHSPQPMQNVYHRQ LY89DRAFT_673684 MTHMARAEHHADEDEITEEEDDNTTLHRKTTAPLDTDEYINRVT GRTSGDESDSDVQITDVRTKNDKGKRKAVPGIWSSEEEDDYEKFKNRKAVKKKKVAAT RKKIKEREKQVAARHKAAKINGESSKEKKERMWGDVEDYADLMDDEVPEYLQERRQQF DREYQTMHENGLRLPPRYDDIEFSDDERLAELDERPDFPASVELSREYKDIELPHSAG VIPASIAQYLRDYQVEGVKFLHELFVYQKGGILGDDMGLGKTVQVAAFLTAAFGKTGD ERDGKRMRKMRRSPRKPWYPRILIVCPGSLIQNWKNELERWGWWHVDKYHGSVKERDE VLQTAASGRLEVVITTYNTYRNHKEQLNMVEWDCVVADECHQLKERSSATTQAMNEVN ALCRIGLTGTAIQNKYEELWTLLNWTNPGRFGPLSTWVSSISEPLRTGQSHDATYHQL KRARQTAKKLVQNLLPQFFLRRMKSLIAHQLPRKTDKVVFCPLTDIQREAYENFLDSE VVQIVKNSTDMCDCNSGKKAGWCCYATLPDSNTKWQALVFPLIATLLKLSNHLALLIP SASDPSEKQQRDLDFLQQMVPDRWEDLYNHRDSLFNLSNPEFCGKWKILKMLLKFWHE NGDKVLIFSHSVKLLKLLEHLFKNTTYNASFLSGSMPNEDRQTTVDDFNSDPARFVFL ISTKAGGVGLNITSANKVVIFDPNWNPSYDLQAQDRAYRIGQQRDVEVYRLVSAGTLE EIVYARQVYKQQQANIGYNASTERRYFKGVQNAKDQKGEIFGLDNLLTFHGDDIVLRD IVNKTNVAEAKRGIDVLEVNMDDILNDEDNPLRVDNDDKGDAAISQLAAMLTEGEKNS KKQKQKPKTDAIAAILASAGVEYTHENSEVVGSSKVEAELSRRAEEAGNDVDYGEERL FAESQTVLSEDGKAKYLFHPPEEVMMRQFCTMAKTFGFSSATEFAFVVEGWTQKQRTD CLERFYMKRRDKLAAPNEVVDGVTAGNGVRHYDG LY89DRAFT_709804 MAAAVLQPLQGLSLDGGIMDPSPISPNASRHGRGKHGGSVAKKT RGRGYSVVDERDVLISKALSFVLKRTVDENEEQEEGAEKLVADSEGWVDCDDILAAPQ ISSLKITFLELQALISSPTSNKSRFAIRLDPSTENSSDEDLDASDYQIRLSPSLQTPA TPTTTSAILTPLTIDSEDLPDLIVYETSYANYPLILASGGIKRAGGQANLSFSALSSE GEMTPSSSADVSIYIPLRSAMAADPAITWSRTEAGNVVTEGDKTGVVSKQFWKKVVAR RADIGVLFEDGVVRKEVPVGLRGKGVKGKKGMGKGGKGIGRVIKEVKAGSDEESSE LY89DRAFT_709805 MPSRPREPTDEGYGTITTSSSSSDTDSRSSSPHGTDYKPRDGLK RRGSAFDRERRKSFIAEDEDPFQIEPPTMKKKEKPVTWSSLPHRSQLIILTMSRLSEP LVQTSLRSYLFYQLKSFDRSLPDSTIASQAGIMQGAFAAAQLCTAMLWGRFSDKAGRK RVLLLGLSGTALSCLGFGFSSNFYQALCFRMLGGALNGNVGVMRTMISEIVREKKYQS RAFLLLPMCANVGVIIGPMLGGLTSDPASTYPELFGGIKWLERFPYSPPNIISAIFLT CALLAIFFGLDETHEEFAQQRDIGVSCRRKVSGFFSRLFTRSDKQNYSSLPNQPQDHV TEYLPPVWKPKKRVAPRYPNKLPFRRIFTYNVICTLICHGLMAGSMGTFNNIWYTFLS TPVYDPAKAPPGYTPHLPIFFTGGIGLHPAQVGWAMAILGTIGITLQLFLYPMVNARL GTIRSWRIVLYCFPVVYLLIPFLSLIPSTTPPPSPKTGFPLWAALMTLTFIQVVGRTF ASPATTILINNCSPHPSVLGTIHGIGQSASSAARTVGPALGGFLYGLGLKYGIVGAVF WGLSGVAVLSIIASGWVTEGDGHEIRLEGDDEAEAEAEAENRALLGRS LY89DRAFT_688363 MRLLLTYIIFLGAVHLGSSYAIGITTTVFVDIFASSTVTVQGTT FSVTTFSLIASAKAVATPITNSSNANINVTLPSLPLDSDSLSALDSLMNLILSVPDEV FQAGDADVVEFYTNISSCLANVTLSLEAAASNLVPEVASIAHEVGSDVVSDVGKATSF IGSLTSDIPGAISTVKSIAQGVGSDIVSDAGKATSLIASLMSDVPGAISTAKSIAQSI ESQVVSAAPAVITKATSIFNDVTSDAAAAAQGVASALHLPFKPRARNVPKVKARQDLT AVIPEFTNTSDISPYLRLRSKRRSLPISSTLNPRNLTSAISEISTISACISAAATTNP LFPVADCAFRLAELVGPAAKLLKIKDAAEGAGGAVKIIQTLGNAADAASVVKLGGQGV LNAFKELLGINSAVDACKFLV LY89DRAFT_673688 MVRLSSSALTAVGAVLFSFLTTTTAVSTSVSSGFAANDGSVSFA LNIPQDDDSNDLYFSMQGDASQSWIAIGMGNDKMDDSLIWMVYKDSTGKNLTLSPRLS YGHVEPAYSSNLSVQVLPGTEITSDGNYTLFAMCTNCRSWKGGSIDPTNKQANFIFAS GPSGDLDSNSKSAGIKRHASYGVFQMDLTLAVGSAGAPIIATADTSGTKQVSENTDHD FSAALHACLMILAFVGLMPLGLLILRILNSVKWHGYNQGLSAAVALIGTAAGIYAGSM YQRSMHWNSAHQIFGLVIIAAMIGQFVLGFMHHRIYKRTQATTKLAPIHVWLGRIVIP AGIANGFLGFPLALNSKYDWALLVLVLLVVIVMGPFAFWRYKRNANKAATAAAVGYEG GYNAQPWTTDSNAASDVNLGAYPGGYGQQNHPPLYQNQGRFQPTAGRQFV LY89DRAFT_214449 MSQRSSEASGSLALSSDHSSQVNQMMLYKETRNLVVISCLGTAV PRRTSLSSIQTALEAAFNIITLRPHLSRMTLPLCTQLFLYSIPWSSSRSWLASASERA AFSALTNHTRHVTNPILDIGQPHTTSYTFLGEIEALAQHNRQAEDAAVHSGRFDDMLR PPGRANKPLPRKARQNKHIKFR LY89DRAFT_738514 MAPQRKYTSVLNDIMHAAQVNELGFTEDEIEEKIWHIYEEICRH VSVHDPELDYDGKQWLAELLAWEELFPYDKDQFLGTRPPSLPKHQHPRYIAPNGLPFC GCCACMSWEQGDLRCPFIRVEKCGKSGHDEFKYRIGTEIEGETRCPACKEDEKKNQEG AGWVFVEKEEQSYGDREADG LY89DRAFT_653363 MTIGVAIIGSGIFVREEHLPAVHACSLLTLKAVYSRSLKSAKAL ETNVGLYSDDAGSGKTYHDLLLRDDIHAVILALPIMTQPEFIEAALAAGKHVLAEKPI AADIHRAEKLIAYYQSDKVKGGATWAVAENFRFLESFEYGREEIEKLGKILGFRVKMF ANVKPGGKWIETPWRKKPEYQGGFLLDGGVHFVAGTRKLLGSDYCTALTAYSTLLQEH LPPVDTVNSVWQTKSGISGTFSVSFGTTLSGGEYTVACEKGSVTIIRDRVIVRQGEES EGKSSEINFADNLHNAGSGVKPEVQAWAEGIEAGKPNPNQSPEQALGDLEILEKMLKS GEANGQPQTLKYQN LY89DRAFT_722293 MSSEKVDVEQQNEVISTIEKPPREPTDFEIVEGKRSKKGLLLRP QPSNDPNDPLNWPALEKYSTYLTVCFFAFLGLVNSSNFTVAIVPVAKEFHTTTTRAGY LTSIQLLFMGLGNLFWMPLMRITGKRPVYLSSLLLLVITNIWGYFAHSYGSLLASRIV GGFMSAAADATVPAVVADLFYFHERGHCMMVFHTAISAGVFLGPLINAYIVQYAGWRW MLGFMSIAAGVTFSVGLFSIHETAYKRDEAALDLPEQDYAPKRSWWKALSLVGGYDRT ASFWKWLGNTLVLLAYPPVLFVGLTIGVFVGWNISIQLTSSRVFTAAPYHWQIHDLGL LSTSAFVGAIISFFIGGRLIDFIANHMTARAGGHPEPEFRLPAMIFPAIIGPMGVLTF GLVVGSGKSYWGAAVGFGGLGFGLTAASNVVVTYAVDAYRAISGEVLVIVFVVRNVMA CILSLYIADWIKKEGIKKAFGEMVVIQYAILSLSVVLYFWGKRIRAWTATFGPMKKVL MREV LY89DRAFT_738517 MDTHTSSTAKDHNRGATETDDEATKSIRRGITAVTKINLDAPGD LKPHIEGKPKEYDSVTSKMSIDSSRNEDVPEHPKPTRYHYTISQRRQLRELYKTHGRK GSKGAKAIAQELGVSPHQVMDWFRSERRQPHSEAWFWGASIKTGVAKRRTKRSRKRTK EVGASYGSLDAKSA LY89DRAFT_214502 MKNDEEDDLDSIVRPSTSNVAGVRSTMNTSESSPLAGASTPIEA DMTNSDVSSPNDEDESVSLSTDVFNFEKENGRTYHGYRRGTYHFPNDVTETERLDFQY EILKFCFSNKNYFAPLSNPERILDIGTGTGTWAIEMGDEFPSAEVQATDLSPIQPSSV PKNVQFFIDDASEEDWAVPPAYFDYIHTRIILGCFTDFRIIIQRALHYLKPGGYMESQ EFMSTPYCDDGTMPPDWPFLTWTRYLDEASIDADRPVRIANKIKRWYEAAGFVDVEEK VFKLPVNTWPQDRHLKTLGRMHEDNLLVGLGGFSMGYFSRVLGWSKNEIEVYLVNTRK SISDRSVHAYHKVFVVWGRKPFDHEVKGKGKEAGPELEPSSSKTPSDDASKSGKGPET TT LY89DRAFT_214562 MSGCLHLTHSYRPLGLAFPCSVPLGCRAHPAPSSQNSTLIRSSV HADSPPDRGERFVEKPLDRVATRLLEFYMSRAFPAQRLGPRLPARPQNHEPFVSFFFL ASKSPPARASVPTRCESGTFGTLRYST LY89DRAFT_214572 MSFSVSSSYEKLRTIVDATSNDALPSPAALLKATNSLPASLPAK GLGEAATEAHLLSDIIPGFNGPKTSSNYYGFVTGGVLPIAEVADNIVTAFDQNVQVHL PDQSVSTVVEDRASSMLVELLNLGSGWNGRTFTAGATGANILGLACGREAVITTRLKA AGIEEGVGELGLLAACLKAGVKEVQVLTTMGHSSLYKAASVVGLGRASVKDIPVSADE PWRLDLRTLEHELRRSKEGVLSIVAISAGEVNTGMFATNGLDDMRRIRELCDEFGAWV HVDAAFGLFARSLPETDEFEILRSKLSGIELADSITSDCHKMLNVPYDCGIFFTRSAD TLSSIFQNPNAAYLASGPASIHSPLNVGLENSRRFRALPVYAVLLAYGKYELAEIFAR QVRLARAVSKFLRDSEEYELLPTVPKSATHKENISVPNIEFGDTHIIVLFRAKDEDVN TELVKRINATRKMYVSGTRWEGRPACRIAISTWRIDVNRDTNLIKEVLKSILENRG LY89DRAFT_738520 MSIDPLSAVGPVVSAAKHAWTVWKSCKAAPGSFNNISAEVLSLH ALLKEVEETLENQKLSISTQARLEIITGGCQSLLEDLQALVKKYESLGSQSKRTWDRL NWDAGEISELRARLTSNTVLLTAFMSTCQIVVEQKLNLLVQEFRDGKHEGSVLTVATI ESLTHEEQDRWRSIRKELEDIGISVAAFEANKDFIIDWFQQAIQTGAFEEQSMFREHE DGISDVDSTISSHTIQQLPTTENGSNGATMIGQASTGPSLISPESEVHSDLQASPDPT PRAESSKYGNQQELQTVKISPAQRQSRLSALFIRLRGYNNAFIGALVRNDLEKAKELV TKGANINGAYTGKHDSRQDFPAICVAVRESTASTVSWLLDQGVDIRTTNHDHDTLLHI AARRFQMEPYMYDILDLLIRRGANLDAKGCKGLTPLCIAGALNHQGAVLRLLQYGADL RIGHQDPPLVHAVWCGHVDMVSLLIEKGADVNTMNSMSKPVLSTAIIKGMPRDIIPYL LTHVVNPNARTNTHEPLIYLALDRGKDIVALLIRAGADVNARSTIHHLGSSLLQLAIL KQNQPGMQDIVELIIEHGADIKYRNSERRTALYYAVQSGNVHIAAYLLDHGANPNDKA PAPVFADRGENWDICALQVALHKKDNKMVRSLVERGADVKFQPLAGRPLLRHAQYDSD MVDLMLEHGADIEARSTDDMFTVLDEAVQSRNMHMACHLVRLGAKINYDFFKSGRWSQ LMFQTPGHEMHFINEVRRDILNGYRMLQIHLDNRNLQSARNTLEPNASVR LY89DRAFT_214608 MKFRHVGSGRASHAGISHCRQTPSTGRALFSIPPVQLQSTPSNS QQMLAEYRQSAYLALTVALKAPERCRSPAMPSSRPESSDSEATLVRPSSMPDSTATRY WSPQILLIPPVEFAGKQAKRQFEAPSAIGHFLSSRIEDVMDLIRHLSGACNEWLHFPY ACSCKTKLRLRLARHLTWGSSKLFAGIQTP LY89DRAFT_673695 MGLALKKPAGVPGKSWPAIVIGMFVAFGGVLFGYDTGTISGIIA MSYWQNQFSTGFRDSTGHLNIDASQTSEVVSILSAGTFFGALTAAPVADFIGRRFALI FSSFVFILGVILQTAATALPMFVAGRFFAGFGVGLVSALIPLYQSETAPKWIRGVIVG SYQLAITIGLLLAAIVDNSTKNRNDTGSYRIPIAVQFAWAIILITGMLILPETPRYLI RSGKPEKAAKSLSKLRRLPEDHAAIIDELGEIQANHEYELTLGQSSYIDCFKGGVRKR LLTGCLLQGLQQLTGINFIFYYGTQYFKNSGIKNAFVIQMITSAINTASTLPGLYAID KWGRRPLLFWGAIGMTVSQLLVAVLGTTTTGQNADGSLYSKNDAAQKASIAFVCIYIF FFASTWGPIAWVVTGEIFPLKVRAKALSMTTATNWLLNWAIAYSTPYLVNWGPGNANL QSKIFFIWFGCCFLCITFVYFMIYETKGLTLEQVDELYDEVKVARQSVGWRPTTTFRE IRASVAGQGGVHGAKAPYEEKTEGAGYSAEHES LY89DRAFT_699905 MQSQIWDATIPLHITHPSSPVPYLIQVPRLSYLPLLLPRLTSFF GPASSFSYEGILLKNLPVGLLYDLYQPELPWRLTLGDGPLFDIHDTFMNSVKEADFIR NGTAKGIMSMSKEHSTQLWNTVQDNDYASFSRINSILLNPPTPLKHIPLRIYIPSSPS SSNPSSSQPNANFLGSIKIIQTLIPPVTERRETQTLGSALNAVLPSLFPSRRDAILAE PILHGAPVPFRAPLEEVMREAAYADGWIHLGVLMIDA LY89DRAFT_738523 MAPGIPSQAVGVSIAVLLYSSICLSLSTMLTCLLVSFGESWSYV TIFAGFTAFSTAASIAQQLHYATSWVIIKQAQFNKAVLALTQKGLAFGGAAQIVDQIL FFIQFYCYNVMSLNILFWTIALFTGSWGIRAKWLGDWHERVAPISKVFSVLFPALIVG LLNVSAIQVNAGLFIFISYVTMFSSLSMGSVLLVLILYKYMKTRRLVSGYSRRGKWWA SGSSKDRSDNRSGNRSENDVGTRYTAETAVTNTRRSIYDRALITRFTIGFVILALFEV AIIVFTLFQANNNAAIAASGQPDHSISGTISDIVLFIPGVTASLMAFLVFGTTKNWRQ YRDLITGGCGIRKKLIIKRVRRAEEAARPQGLEFERLPSLQKSPSEEERKKGKEAVDR IKMFVKEVGRDDSSNEGEGSGSSGIRVDTERIVQFHPASHSKYNAADLISPVPGRAGE NISHSVNVAPSFEPEDQVIQYGRSESQQQQGHARQISGTGEPRRFVMEHLPQTQHKHN DFIESDSSE LY89DRAFT_699906 MQHYSSFVEVPDEVYDRIKPSRKVIIVALLSFCSFLAPISSTTI LAAVPEVAATYHSTGTIINLSNALYMLFMGVSPCFWGPLSQGYGRRWICLVTAFLFCC CSLGTALSPNLASYYVFRILTAFEGTSFLNVGASCIGDIYRPTERATAMGWFLSGTLI GPAFGPFIGGIIVTFKSWRVIFYLQTALAGVALIGAFFLLPETAHSLKIDDIRGLPLK AKAKVLWGMLNPLRVIRLYKYPNLIMVALASSSLVWNMYSLLTPIRYVLNPRFDLTTP IQSGLFYLAPGCGYILGTFFGGRYADYITIKWTAERGGVRIPEDRLRSCLPFMGIAIP ACMLIYGWSVEKRFGGIALPVIMMFCQGVAQLFCFPSLNTYCLDVNQAHAAEVIAGNY MIRYLFAALGSATVLPAIEKIGVGWFSTISAGFLVASSLATLTAVIWGKNWRDSIDKK RRAKRDLQHDAEDSGEKSEKPGIMPKIDEKDKHIV LY89DRAFT_688371 MNQLLFDRSTGSLGPKAFARIQTSYLIHAIQPVPGLRFNGGEKE ILPVDEQGNSLPLPAGETKIWAHQAGANALTIDIENRFLVSGGADSSIRLWDLDESDI RFKHTFKPRCAVSRTSSAHKFGITHLSFYPFDSEAFLSSSYDHHLKLYGTETLQVSAD FDLNSIVYTHALSPIADHLLVACATQHPAVRLVDLRSGSSTHSLAGHHGALLSMAWSP TLEHVLASGGIDGTVRLWDIRKSSGSLGVLDMEDSTGITGTDGMGRSARAHTSAVNGI TWTDDGRYIITAGHDERIRVWDAATGANTLASFGPTLKNGHLSNLPLVVSPTASTSVG KELLFFPNEKEILMFELHEGRLLKRLKVPGPNMAAVRSRTGERNIRNRVTGLVWRGQA GGIYTAHTDGQIRAWLPRTTDDEILDREEEENSDAFGNNENEGTKRKRQVLDDVFQNL TRQKVTFG LY89DRAFT_594017 MTSKAISQGAFIAPKSLVEDVSDDQGKIARRRPSVYDAVAGRIS AAGFIPDQRVVSSARDTASSSTAALPPESILFRTKNAPTRYAESDIYFSNESTSTADL PESDLLKALHCYTSDFYSRATSEAGIDDWKSLDETALTALGILIEEAALKILGETGDL VFTEGEKMIESPPIATHTSPGPLRRMNSMRKQSKRRRVERLD LY89DRAFT_673700 MWMDGDCLWLSSAGSVVVVWYGSVVVPYACARRWSEVRFVATPV QLASRELVPPERSLASARFVPHWELRRKRQMIGRKGRNTMDSSSSSSRKAQKRGVEQP RGKEGEREGASQKRRREGEGKRRRGQVATSWCCTARLDWTLRPARVILSQPGGGQGGG QGGGQGGGQGGGQGEGQGEAQNAVKSASSAEDARGRDKQLRRFRASQPGQGRVGKGRV CRVGEARRGGFTSSDLSLES LY89DRAFT_593488 MMDVGPEHKRPRLQSWSSETTRQLPSLPPPQPSQPNNPYPPHHS PFSRPPEPPHLIDRRPSEHPPYEHQDRRPNSGPSHTYGPPPPPPPQGYPRAPEPMVKR DPSDEPPPPQYRPPSTGNGVEHNVNPNIPPHHDSGGRPYLPPFDPTRPQYPPAPYPPT QSPMSATEPYNVPYGTTGLPPPREGNAYPSLSYPAAPPRQQPDIRKKAQRAAQACDSC RTLKAKCDEGRPSCTSCKEKGIECRYRDPPPKQQDKASADIMDTLARLEAAINDRFQR LDNRVTDIQETLIRRKPNSEAAMKVEDENVRIPLGVYGRASVGSESQRLASNESPYSA TTPGLQKIPDMPEPPRPGEEASEEEEESGDPGPPKAPSIPVNHTTGAARLLLVGPIHE MLKHILKHGKIKGEKYPIVQEERRGLLRLFGRGEGYDAPPGYDRDPLTDHGDSTPGDT PSNVSSPAAGEEWGQLGGLTPPANPGNPQPEFARAGISAEGMPDFSREIVYDLVQSYN NHMNIMHPILIPSQLNALVEQFLKSIPESHAKPLQVSTLVAGHAGHPGPGFAKTPGGH RNPESPGNKRKRSPVSSDYSEIPVMVEHKPGHPFRSISSALVLLVMALGKICQYRERI PDPVSDKEHDTSFGSSPVIRNGLPPSPLQSSPSLSTPVGMPSPQDIDNKFYPRSRRTS LEGGLAAKTSSGLKKKNLDIIPGLSYFALATDVLGNQLAGNSLQHVHANILAGLYHGQ LGRVMESHGYIAAACRSLQIILRPKIDRFKRLKANFQPAPGKDNPLLFAFWTCLQLES DIVAELPCPHSGILTYEEDIPNPNYEAAVQDGFDHRIIESYSAQLFLRRHLNQLHNMF YKPEGEDSQFPKVVVRLPDHFPTIEACQTNLDTIEKWAPQFMWDADRGEPARDILGAR LRAKYYGAQVITYRHFILKILEISSKTEEQVTDEFKANISAPVVPSGTRIEDLDPKVL EFARNGIKALIFSTKAFHGLGDPGRVRLIVTNVWGTAHAQWGNMLTLSAAYMNPFLTN LVLEFVSREELRDLLDKTLAFLRLTATATSALAIDIKLLQHVGQRANLMTPEGPPTSS SFSSSNTGDVPMGGHYQQ LY89DRAFT_215024 MVVIKLETTHHINHRPLIHNHHTNTPQSDRKFPSLPPSLRPSTL TGSPQNQKRNRKKRHNIHLYNPNTSDPTHVSGEQAIENNTVS LY89DRAFT_757455 MVASKNMPRFPDNFFKVFWNNQFRVKIELPTRSKYPSLAGKVAI ITGANSGLGFESARQLLTLGLSHLVVAVRSIEKGNAAASKLKLASPKATIDVWQLDME SYDSIQSFVKKCDTTLSRIDYVILNAGVGPLRFGISSSTGHETAIQVNFLSTVLLAIL LLPVLKAKSTRDMPAHMTIVNSVLAHTCKFPNRDQRPLLPSFDDTKITPWDPSERYGV SKLLTQLFLVELTEHVKSDDVIINMVDPGATKGTGLGRDVGGMLLIGYKLFQVIAARP VDRGAATYVDALLGHGKESHGCFLMNTEIAPLATFYHTADGKKATQQIWEETMKELSF AHVKEIIASME LY89DRAFT_722304 MASSNYSAGLHFVVSTPVQTLAKRDRKAIRKHATKARVVHPRKK VVRLWSWINPARELGSRNAVLTDDNPTPKSMSVPVPRLVGSYFSGLQLPPGVEPCMIQ DLVKLIVLNKNVAYPYEICLDVHPVERGWFPYMISDICCLHSMMFSLRAFMEKDSCDQ PSHFTTFHYAKTLQILQSRLHDFDKTSAISDSTIMVVITLATIAEISKDLAGVETHIK GLEKIVKLRGGVRALDTNNNMQVKVCRADLGYALLFGRQPLLFREGPSWDCFIADCGL IKCSHEPHDAIILAFAQTTLDERLHNVLRDVHAFSCISNVAYQTTRKLSPETYNEMTI SILYRLTHLFFESDLLQEAIRLGLLVFSSTIFLQRHIIEQPYENLLNLYGDALLGLFA HGSSTDALPVPVILWLTILSDMVAGKEIPSTDRLHTVIGRASIESWSQASEVLRSIAW VDFIHEKRGKEVFEASVSQLRCQR LY89DRAFT_738530 MKFHLAFLATMLLAHSNLASARVSNAVPESSQERICRRFNYAAM PHVISVCPERAMLRTGITPRKGTSKE LY89DRAFT_688378 MSPTIILAQTLSTSIVLIASGGIASLSLFDLPILQSQPASRSLP STRWLFSRGSHIFPPASLTSALGFLYLSFLSLGPSRSLGQALRIMKNGGSPKVYGYLA AAILSFSIGPFTQLAMIPTNFQLIEMNEKKGGKRSARSALESDQGGKMRERKAIDSVN GEGEGAESTDLSGPQERTEVKTTKEDDARARTLLKRFETLNAVRAGLIGAGGIVGLVT ALL LY89DRAFT_738532 MAEKPFIVFVLGPPASGKGTLCKRLADAHKQYLYHLSVGDYLRY LINGPLSDQADIIDSVRSGGTRGLVRGDVIVSLLLDKINEEMGKGKSVFLLDGFPRNL EQDEAFKALMNTEFNRGTPDLTISISCPRETARARYLNRKRGDDSEELFDKRYSDYEG RDRKVVELYQKNLVEVRAVEGRSIDQSFQEMTEALYRTPAWKAMMSKA LY89DRAFT_709818 MFCNPPAGNLRIPDEIVPVSVNYHFTRCNVECGFCFHTETSSYK LPLPDAERGLKLLAEAGMKKMNFSGGEPFLYPKYLGALCRYCKEDLQLESVSIISNGT KVTEKWLKEFGSFVDILGISCNSVYPENNAKIGRADRGSGVPFDNVGQLFQIRDWCKP LGIKFKLNTVVCSLNWQESMADMVQKLDPFRWKVFQVLIVAGENDTDERKRNATQFIV TDEQYEAFCRRHRHLKCMVPEPNSVMKSSYLLVDEYMRFLDKGDGDEKVSDPILKVGV QKAIKQVRWDQEEFHNRGGIYELGSTAEKEQSCGTVLQNNGLDW LY89DRAFT_722307 MCGILALISGNIECNDAAVDLHEALYALQHRGQDGCGIATSHKS GRIYSCKGKGLASKVFRDGELVPELPGFMGLGHLRYPTAGTSSNAESQPFYVSSPYGL LFSHNGNLLDTEKLKEYLDFEAHRHINTDSDSEIMLQVFASQLLQTDKRRVDEDDIFT GLENMYKMTVSGFAFCGMVAGFAIFGARDPNGIRPLVIGSRLNPDGKGLDYMLASDSP NSIIDGISVYESRQNMGLKLANTILKTLGQDVVDDIDIANRLIAVVAIPDSGIVPALS VAEALKRPYRHAFSRNRYIFRTFIMPNQESVVRAYNRNSILCGVCNMAREAGAKKVYF ASSSPPVTHPHIYGIDLATSTELVAYNRDRKSIAASINADELIFLPLEGLEAACAELS PRSKQSFEIGVFCGRYVTPVSQGYLEKLEKTQGKPKAIDGSHNGMFVTVGDSVTTRPM VSERSDVSLHNLAEDH LY89DRAFT_786065 MAPSATETVTETLPIRTTVKLTSNTGTYKELAPIGYEKDTEEQG KDGFSAAKYQNYLPHWEINERYPPLEPFEHRDHGLDADKSYPDLLHSGVTTTELTPTI GTEVTGVQLSKLSQAGKDQLARYVAERKVVAFRDQDFKDLPISEALDYGRYFGRLHIH PTSGAPKGYPEVHLVHRGAGDKTAESFFQTRTSSVAWHSDVSYEAQPPGTTFLYILDV PEVGGDTLFANQVEAYERLSEGFKERLHGLKATHSGIEQVNASKGKNGAVRREPVISE HPIVRTHPVTGEKALYVNPQFTRGIVGYKQEESDVLLKFLYDHIAYGADFQARVKWAE GTVVVWDNRVTSHSALVDWKSGQRRHLARIAAQAERPTETPYKA LY89DRAFT_688381 MSLSKLAQFGVKHVAKGIGRLSTEVLESGSGSYVTAVGGRKMLD FTSGIGVTNLGHCHPAVTKAAQAQVGKLVHGQVNIAFHQPYLELIQTLLPLMPHKSLD TFFFWNSGSEAVEAAVKLARHATKKQNVIVMQGSYHGRTFGTMAMTRSKTVYGENYAP LMPGVFAVPFPYCKQCSISTHSDGKYGFENCCMDPVLQLELLLKRETAPSDTAAIVIE TVLGEGGYVPPPPGYLDKVREICDKNNILLVADEVQCGYGRTGKMFAIEHYGVRPDIL IMAKGIANGFPLSGIVSRKELMDLQKPGSMGGTYGGNAVACAAAIAVAKAFEEEKILE NVNARGEELRSALEAARDDPKTSKMIFDVRGLGLMLALECTPGKGYASKIQAKCMEKD MLLLTTSIYDTLRFIPPLNITKEDMARGIQIITEAIDEVAAGEDPPETKTKGQALE LY89DRAFT_709821 MNEKDSGDDVKVAASETLPAGTDPHRVATAIFTLNEEESIKILK ALIDGHENDYTIDHNLIRRCEELVEGNTACGMEYSEWAYVTCKTAGLVHNWSPYAEVR AVTLPYDDPDEPCESVRAYVVGFFWVCCASAVNTFFSPRQPGISIPGQVIQLLLVPMG RFLALVLPDWGFTLRGKRYTLNPGPWSAKEQLFATIISQADSIGNFTGLLVMRLPIFF NQRWAGFGFAIILALANQIFGLGMAGILRRLTVYPQEAVWPSVLPTLALNRALINDDN KREVINGWRITRFMCFVIASVFFLVYYWIPDEFFQALRLFNWMTWISPQNKNLAIVTG SYGGMGFNPWSSFDPNTSGSGAMNAPFFAQLQQYIMRAIAGIIILIMYYKNAFWAAFM PINSNSAFNNQMKSYNVSTVLNSDNQVDLDRYKAYGPPYYAVANLFVTGGNFVYYTFS IVYVFIKYWGPLKKAFVGMIVNTIKGQSIYTGFSDGHARMMRRYKEVPEWWYSIVFLF GFAISVIGVTAWPTQTPWWSILVVAGVGALLTIPWVIIESIASTGISLNVIWQVLPGV IWPGRPLPQLVILMLGGAFEQLAGGFTQDLKYAHYSKLPPRAVFRGHIASCVVNCFMY CAILEVMLVYFNQDSTLCQWDNKEHMVCNYANQVFANVIFFGAFGTNNMFKLYPVLPY CFLIGALLGLAWVLAEKAVPHTRRYLQSGMNEKQFSSFEQYFWKPAASVFSCLNPAVA LSGALNWTGNTNLSYATLGIYLAWLFQFYLKRRYTAWWSKYAYLIFAGLNVGVAISGL IVTLVFSFGAGKKTSFKWWGNDVALAGVDYQLYNNNASLLPLPASGYFGLPPDQYPTD W LY89DRAFT_215140 MVESGQRLVAASRTSYACFFSFLIFFLDCPCWFCSLIFQLSYCC RSHIVFSLLIVFDFADRLEFRTLSSEFVLILNQLPWRNTGEFLHAFPD LY89DRAFT_673711 MRFIILLASLLNLALASTSQKPLLGSQNEYGHSIASATENANLI FNAVHSSMRQWGSSIQHNGMSFFPAYIPEGTLLYHGNRIPEIPIGPEWIAFEIPHAEM FAVGWRVKRPQPPGDGDDDKVEVSDEGYVDLRKISPNQLWTLDEPEYEYIPGYLHIYK ANRPLKVLYLDGMAAGNSDRGTMDSQDILLLNFTGGVWDERGRAAELCNLAKDIGVEG FVRMECGFELIKCDFSEGLTFISHKQRPNIQKSEGFNTMFLFEFVREIGSRYFGIGAG RVLLDYSSMVSAFFYPANLSNPHPDPGQSKLPRLVKSDDNVLKQMRSDVRDAVIDSNS NVGIDWQGVADMIVKRFASRLQFLATDMDQEKLLSEVNMLVNTFIDYGTHDEQDPVEV CALHYLQPVSPHTEQDHLIQAAFKTVTHKICDTLNEVRKIVLQKREDENAVDVDDASL EVQLIRELNSWLDWPDWTTCGVCAVDEVCFIAVFPYGTEEDHYLPQCRNSEQLFKRLR SRDNYWMPRQR LY89DRAFT_738540 MQSSQNKPLPRAVDDRRRGSAHYYSEYEQIDQPTAQRSPTREVP ISQPTQRQQRMMQIEPARQPVANNASLRRGAPNGMPAGPSPPYPGPGPHEELLSKTNE AIKQRQLEQQQQPRRRGYRRTDESGDDADVAATNLPFDSRDAPPPSQSAPHSNQSPPN TRTRVNPAPPQSGPEPQRGSPRKGESAQTAAGNSIPRLNSPTIMSSVLQPLDGKINEY GSQMNDAHAEMARLDAEMAALQERRREAEKRYMAAKTKHDDYRRQYQSVERAMRGEPD MAFSRLSMESERPSTQQTAQQPPTPGGMHSRTESWNSFNEPKRESGSRFRISKLFG LY89DRAFT_593663 MGNAYAPQIGDEVSTLDTPSMIVDLDLMEANIKKLMSKLLPLGV NIRPHLKTTKSGILAQKLVAAGAKGGCVAKLSEAEAIIAASGFDDLLITCEIIGPVKV RRLVELFRKHKSIRTVVDSEVGASAINDALADSGIEEPINVLIDLDVGLHRTGVKPGE PALALAQHVAGLKHLKLIGVQGYEGHLQHLHDRAEREKECRASMKTLTETAEAFRKAD HDIQVVTTGGTGTAEFCATVPGVTEVQPGSFIFMDTDYRNAVGTFYSNSLTILATVLS RQGPRAVTIDTGLKSLTTDSGLAECKDARYQYGVLGDEHGSLTWEHDGPGLEVGDRVE MIPSHIDPTINLFDVYYAYRKGVIEEIWSVDSRGKVQ LY89DRAFT_215212 MSNLLKKVEGKAEQYLEKKQGNQNSNTGNSYGQQQGQGLGGQQQ GGSNFGGQSQGGFGGQSQGGEFGGQSQGGLGGQSQSGEFGGQSQGGFGGQQGGDFGGQ QGGDFGGQQGGGFSDQSGMGPGGGQGGGFDDQQQGGGFGGQGGQGGGNF LY89DRAFT_215206 KSSIIARRGEIDGGKKGLARLSCIHSVKILQSKFFCNTRTKQNQ NKKHTTAGIRWWSPTQLLICRSDVYGWQSGRDAQVSSAYGRM LY89DRAFT_786072 MVDSATVIALVVSLVALFVSFLQLIGQLFGTAEGYRRCRRPFIG PWYALTKSHWLWSEFRYEIFYTTPDILLVDNSASSGITKAEHLWRLNSPHFNGRSKRV QARKDPTFLTVHGEEALKLNNLRWKERIPRICKNLLKGIKRHVCLPFHSSDIEEDEED RGETAELVVSWIQLLRELHDLYPQRPSDPSAHETAVVVRHRRYSWDLIPQGVTRPLAT ARVSDVVILGLRLGMEWREIKPRDSKMYADGNGYSIRSLDVPAAGCILAFEKSKLHST GEHSPDPEATGYPLYIPSSTVDAMACNVLSTCPRLMGRQKETTDPGNEKSTMKPDKTA KPIRFFMVDKERIVQVWVLLSFLDVPDEIWNKGSALDLPAIAPERQLIDWLRPWRRTA FNDLIFLITPFLPIEGVPTIKVLFNGFCGMQALSVFNHFEARIALLTRIEKRCRPIVD SKYLSQLDSNEKEKILSQLSPDERDLINVYEDFRSLERDWAGDLYMKVYGSPAAVGYG RAGEGLNQKRRLELIEFCKEKHENTTKYFEGLAASYGLSFKQLLNAHFVITAKASMEM QRDWDTGQQFRNDKEWRKLYPGETPYTERSHRALWDLCFRELFAIGWKYVDCVERWDL CTHLKDTFGCELSPTKVEAIWWHLMLRGIVQGMVVIFENGRVVPSYVYGDNTTVYFT LY89DRAFT_786073 MFSALEIYQRWHARAIATLPDQIVQIPQISDGYGDFSFFCVSKG CSQCVGRRIVSSPDLASEESPTHTRRAFRFLDLPRDVRDMIYDYIVDPFRLKCRNDRI EVSIRPTWGGRCHPLRDGYTLRIIDSKYPDMIYDHGKHPLIFRAVISLAQVNHQIRTE IGHFFWKNTVLSASGEEGQCLLMIDLLRDRPAIHRGIKSLILSWTCGEGPMSLNDHVP EFCEYLAKHLALDEIQLEIWTTPSTAREIIELQESIAWVQAFRKITRKELTFALYLSN AEDLQLELEMDFNSGWHSEYESVDLTNGSNSNDSGSADGAEDGIDSAHYSTDIPSEVD FEEGTTQLTSGDQTNSHESEREDDGNEDSVSIQESESEDSEREDNDIDEDILSIQGSE SNDDLRSDLQEGMRVALSAPPETSTEAVYLRSRLSSLAPRPQG LY89DRAFT_653420 MPEIIHAPSHPFKALGFKHRVGEPQFKHLFQGLPYLPNTNTPNP NNYLFSLALQKSFYSPIHKHNFEQFRYAHSGSFSISPSLTIEEGELCYHPEGVEYGPQ NDVEDGVEHILLILQFGGTSGQGYLAYEELLGVQKELAEKGRFEGGRYFADGKEKEGV DGFQACWETVNGRDLVYPAPRYAGPVLVKPQNFGWVRKGEGVSKKVLGVFTERETRAE MWKVEDGGRFEAKAAEDALQLLFVTKGSGQVDGEELDRESAVRLVPGEEAVISSNRGM EILKLVIPEVPH LY89DRAFT_653422 MASASSLPIKLIAFTTALVLGASASRINLRTLIINTFTGPGMYS RIAAALLVLANLKNVPWAWHYRVFQGILYHCLFNKPNIPLDIAPSTLFLPVITSSYSP LLECDYNFHKSNSTYFSDLDVTRSHLICALIQPGIEKLQHNAREKLVLDKEGKPVLGK WSIMLGGVMTTFKREIGMYQGYEMWSRLLAWDRKWVYIVTHFVKKGAVKPKEYILTDG SWFGKGKKYQKVNQNGHASEATGEDLDEKAIFASAISKYVIKLGRLTIHPEVSLEAAG MLPPKPGGWATMTNSGESTPETLEVVETDDAAQESSTGDEWNWKRIEEKNNQGLKLVE HFAALDGLHGEFSGSKEPALGRYRDFLW LY89DRAFT_786076 MLVFSLGLDVMKSLLLSLLFGALNVLQVEGTCYNPDGSAITDPA YQPCNQFSGVFSMCCGTNHTGAVAVDVCQGDGLCQNSGDSVYWRQGCTDKTWKSPYCL KLCTTSAEGGDASDNVPVGQCSDGSWCCGGVNATCCQDKLGVVIAATVGASSTSSSSS SSSSSSTSMSTQTSSSATTTPPSTSSTSTNTPTSIASSGSSSGLDSGMKIGIGVGIAG GVIAIIAVAGAFFVLRKRRAATDYTQNGTGPAFTPYQEAEPKPYPVEVPENVHRAELP NGPRTHASNVELAA LY89DRAFT_673720 MKFTVATLVLASVAYSQTIASEMAMLPSCSLACLQNAITGAGCA ITDYSCQCGAQKNAITTAATPCVVGACSTSDALKVQSITGEICTLVAAESSSGSSSAT SSIASSASSASSASTSAASSASSASSMMSSSMASSMTSAASSASTSSASAANSAASSA SQSSSSAAATQTTNAGSRKQAVGAVGAAAMLAAFAL LY89DRAFT_543119 EIIQQILENVHQIDKPSLPACRLVCRTFDAITFPLLFYHIPQWL NYEASHRAVLSLAHDICNRPAVMWSPWATAPDGPVDPVWMAIVWKLLVKRDVPGSLAV VGRKGEGVLTAENFAELSGMEEMTENRLRTGQNRFLMHRSYS LY89DRAFT_673721 MSASGSSSPEPAPRKRGRPQVTPLEPYRETIVKLFSEDNVPIID IARRLNIDFGLDISERTISRRLTAWGVPRKKTRVAATEELRDRIVFHYNKNLNDEQIA AALRTEGFEIKPRNLARLRQKLGMRRRSKYPEFREYSDEEGDAPSAQLAAEAPTAQSI PPLPKVSPKKPKKKMKTKHNAALIPKVTAFVEKYMSNYDGSHDFNHIRRVVGLAHLIY TEINKEKQASPPMFDEEPELDLHVITLSALLHDVGDKKYLNPGEDGNTQVLATLLSMG APEELAIKVQRIVLGVSYSSEIKDPDAVKAMIQKYPELAVVQDADRLDAIGAVGIGRT FTFGGAKGAREMGETIQHFDDKLERLESMMKTGPGKKLARERTERLTTFKSWWEEEQR GAEGLLRRK LY89DRAFT_786080 MADSSSKANSRLTKISDFLTGSRTATAIPWDPNCTKFPTRSEVP RREDAPAGAAWVWGQDDYLGRINLLTPTRVSAASKEIKTGDIVPLNLPLNVPEVPAFA REPFKHEIKPLAEGVAYDDKYELNTQSGTQWDGFRHIAHMPTETFYNGTKGKHIGGSE NDPNRCGIHHWANHGIAGRGVLIDYWSYAKANNIIYDPFDYYQISFDELYKAGKAQGI DIRPAAQGGDIQIGDLLFIRSGFVQTYHTTPSDIRAAAALRPHSIGKTDGQRWAGVKQ DEQMIDWLHDSYFSCVAGDAPSFEAWPSQEEYMLHEYILALWGMPLGEMFDLEKLSQT CKEKGRWTFFVTSSPANCEGGVSSHGNALAIF LY89DRAFT_593912 TKMRPAPFAILEGTASVSITSMKSASEYNYYGVLDQDKILEEAS NFVSSNSDATKSEVEEILRHFITLTSNDYLEEKRRVFTIRMTKPTDEYTETPRWHRDG RMYTTDRPGEVNSKYATTLLGNPTRIMTESPLVKKVLDEEESIRMPSYEQDGAAYWAA AKEQEVRVAKRLAGQPLVGLPGGSIIKFSWDQVDSPVHSEPDMSTDRVFVSVLYGSEK EMRNMREIRQKEYRL LY89DRAFT_738553 MLLNFLLSLVFAGLAAAQCNGNTALCGRQYSNVSFIGTHDSAFV GVLPTDNQLLSVTDQLNAGIRFLQAQTHLLNGALELCHTSCIEEDSGTLVSYLTTVNT WIAANPNEVLTILLTNGDNVDVSLFGNAMSTSGLSAYAYTPPSGLSMSDWPTLQELIT AGTRLIMFLDYGADTATVPYISNEFAYYFETAYDVTDSSFPSCALDRPPGSSGSGLMM IVNHFLDLDILGILIPDELAAGTTNAVTGTGSIGAQASLCYTTWGRLPNVVLVDYFEL GDVFTAQNTLNGL LY89DRAFT_215460 MSISAPTLPHPYLINGLNHIPPPPVSSFDSQFSFAGLLPAGTSL PSSWGTTRYYDFSPAAPPTSRRVLIVHGGGTCAIGVAPFARLLTDAGNHVVIYDLWGH GLSSTPLETHSPALMHAQIMELLLHLGWTKVNLIGFSLGGAIVVSFSAIHQKTVESVV GVAPAGLWRKSERSWWDTISMDGYGMWGLEWWRRSRVMAYINGSKPVVKDGWKEKMIK GEVDTVPIQQWEIAEHKGYEASLTSTWNYVSPFDQHESYAKLNESGIKVLILLGEKDG VIEPEQSKKELDKMGWKGDVVLVKDATHELIRVQRKEVATLCSEFWGKL LY89DRAFT_709830 MGSVSKTEFPPIRACIFDMDGLLINTEDIYTDCTNILLEKVGRP PMLWSFKAKLMGVPGSSTGNTFHEWAQVPIPREQFNKDLKALQYEKFPKCRPLPGVEK LLLDLQAMHNINGDKVHVALASSSDKTNFACKSTSPDTKVIFSVFPQEQRVLGDDPRL KEGRGKPAPDIFLMALQTINDTLAEGEKPITPEECLVFEDSVPGLEAGRRAKMRAVWV PHPELAKIYAAQEKEVLAGRIGLVPIGDEWQLGELDDGWAVRLPSLENFPYSDYGMKS TL LY89DRAFT_593702 MPFLTGLKSLASGFGPKKKRSSAHDPQNHDYPPSQNGPNYQELV DTRPPLVPVMLPRVHPKRHFALSDQGWTTIDMNTNSSDALYTSYQELLKSSKAFFDLP MEEKETFKTALGSEDGWSRVEGEKEFITLRSLESTPEVLKEATIKYWSEAGGLLNEIL VRIAESLGLPEESFTVYSEPCSRLVEKTATMLRLFRYEGFEGKQSKVVAEAHRDLGLL SLVVGDTPGLEVHDRHRNWSFPIERTYETPSQTVLVGRQLERMTNSRYRAGGHLVRSY PNPEPGKTDVPNHPDSRQYRYSIVFVLRAHYPIPINTDALTTPITGEFSNPMRDITAG DLFKKIHAAHYNINTAVEERNEQKRKLDEEKRKKTSQNGTPPS LY89DRAFT_215520 MGMERPFAANLSSVNEFLMRHDINLDSLWEMVLELNSRTAVLLK RIEATRNVQLQNGVMWMSIGMLLSFCTLIGVGRGLVYLFNRQASGTTQYGKMLDGEVM MNGNGAGKEPDVEHNVTVNGNGTAKKEIYSKDDHEILAMKQELNAAREEIGRLMLFRD EADGLRSRLDLLSDGAKDHEMEQERFTALEQDLAAARSECETLKEALRTKETENSKFQ LFGTEADELRSHLELYKDQAASKDTELETLRTEKAAVEKDLETAYKEFETVTQRAAES CEIEEKLRVENAELTKKLEALKEEHERDSKALKDTHENDLAKQQLVHEQEKQHLKDEY EGKVKEAKAGTRQRPVSVASSSPTETEGSPGRRTPTRFLASLKRHSRSSLSGSFTESS LKGSSSKDQKASK LY89DRAFT_738558 MFAHPPPSASATTFANVQVISVDGAGEATLGFLYKDMTVDRLKR AIVSVLRRPAYIWEDMNLYHLGVVMKNDQTLGRCGLFDGAVIRYSFESQRFDRSRQAS TASFSNELWSFDSCGDVPFYEEELRTILALDTEQSRTLLGMPNAKMFVENVLLERGLI SSR LY89DRAFT_738559 MTATKIDGTAIAKKIREKIHTDIENAHKVNPRFKPSLKIIQVGD RSDSTTYVRMKLKAAEEAAIDCELLQFPESVTETELLEEITRLNYDTTVHGILVQLPV PKHISEYEITSAVADEKDVDGFGAMNIGELAKRGGRPLFTPCTPKGVMVLLEESGVDL KGKNAVVLGRSDIVGSPASFLLKNADATVTVCHSRTKNLEEHIKNADVLVAAIGKANF VKGEWLKPGAVIIDVGTNFVPDDTKKSGQRLVGDVDFASASEVASQITPVPGGVGPMT IAMLLQNVVDSATMYFERQKARTIKPLPLKLKEPVPSDIAISRAQHPKQITRIAQEIG IAGHELEPYGAYKAKVDLSILKRLEHRRNGRYVVVTGITPTPLGEGKSTTTMGISQAI GAHLNRIAFANVRQPSQGPTFGIKGGAAGGGYSQVIPMDEFNLHLTGDIHAISAANNL LAAAIETRMFHENTQKDGALYKRLVPVKKGKREFQPIMFHRLKKLGITKTDPNELTED EIHRFARLDIDPETITWRRVLDVNDRHLRGITVGTAATERGQTRETGFDISVASECMA ILALSSDLNDMRERLGRMVIGSSRNGDPVTCDDIGAGGALTALMKDAIKPNLMQTLEG TPVFVHAGPFANISIGASSVLADKLALKLAGTEADEDHEAKAGFVITEAGFDFTMGGE RFFNIKCRSSGLVPDVVVIVATVRALKVHGGGPPIAPGAALDKVYREENVEILRKGCV NLRKHISNAKTFGVPVVVAINKFETDTAAEIEVIREEAIAAGAEDAIPANHWAEGGKG AVDLAKGVIAASEKEKDFKLLYSLDGDVQTRIEAIGKQMYGAEKVEFSEIAQKKVDTY TKQGFGNLPICVAKTQYSLSHDPDLKGAPTGFTVPIRDVRLAAGAGYLYALAADIQTI PGLPTAPGYLNVDVDTETGEIDGLF LY89DRAFT_215509 MRPTLLQGHERALTQIKYNRDGDIIFSTAKDQHICAWFAHNGER LGTYHGHQGALWTVDVDPTSTIIASGAADNTVRLWDIRSGKCLKVWDFNTAVKRVEFN EDGTQLLAVTEKRMGFLGTIVVLDINLDVEAEQSDEKAMTITCEDAKATVAGWSYMSK YIIAGHEDGTISQYDAKTGEQLDNTQVHEVDTVITDLQWSSDRTYFITAGKDKTAKLV NARDLEVMKTYVADTPLNSASITPKKDFVILGGGQAAMDVTTTSTRQGKFEARFYHKI FEEEIGRVRGHFGPLNTVAVDPTGKGYASGGEDGYVRVHQFDKAYFDFTYEVERQAKA QGQM LY89DRAFT_688406 MAEKMRAVDIKNGTGPADSLYINTIPKPTPSSGEAIVKIKAFGL NRMDLLQREGHYPVPPQAGPILGVEFSGHIETLGEGCKDGFKAGDEVFGLAYGGAYAE YIAANTHMLLHKPSHLSWKEAAGVPETWITATQAMYLVGEFAKGKSILWHAGASSVSI AGIQLSKVGGASAIYVTAGSQDKIDFCVQELGATAGFNYKTQDWSKEILKATGGNGVD VVIDFVGASYFQGNLDVAAVDGRIVNLGALSGTKVSNVDIGSFVRKRLRIEGSSLRSR DAEYQGRLRDKLEEYLPQFEDGTFKIFVDKVLPWEEVVEAHKLMEKNTTKGKIICTIY LY89DRAFT_688407 MGSPLSETGPAMLNSATPTKTIHNEYEVTMQSSTTDSDSDGPPS SPFVANVSDVVADCENISPSKLSRLASKSPIDREQTSPLKMLKSRASPSSKSQSPSSR KDSKPLRSPRKMSSPEKRFPVKPSSPVKQASPEKKPAVTERTMTIEDVLRDNEGLTKA IEILEDEDSEIDHTSDDTLTSMGGVPRHQEHYNEEQNMDDTMVSTFSTFSAVPDMTMF AKIGHSPTKHAVMGSTPRRTQINTPATLRRPMQDRSPSPTPRGHRYGKSRDEGNTTNL ILDFTDQFNGFAGRAQYSPRRQSPLKSTTMPDMSFATTPTVNRHNISNLLDFDIPPAP TPRSMPSITPRELESLKSAFLSEISSLKASLSGKEAEVQSLKTAVGDAEKRVGESLEQ VREERSLKEQLAADKEDWEKRSREMEAVLRNVKEEIVHGERERNELEGRLEESERRRD AAEMMAQEAESKMAAMRAGKSSPTSETSETKSGDCECGGRAVELAVEKVSRELHTLYK DKHETKVSALKKSYEKRWEKKVKELENKIEDLTKENEDIKLGRDTTMTKVEPKNPLEV TEDLEKQAARDAKTKEMEAELEGLSQVVRSVKHDNSELRKMLDEERVEKGKLVAALDE MIPLVAACDEMLSNQMENSAPFQSAQSIPQAPSHSNVENLRGSISRASGLRAPGSGAQ GESRIGRGGFGVPAGNGPERTRSGSAQGMRPGSGLGYRSGIMASIEKMGNQKGRGGE LY89DRAFT_673732 MPTQDPTIPPAAKPTKTHFDAWNSSSTGHQRAENRLGGSTGWRL SRTTKLGYQFKSQGTGGKRILDKVGAGSEDWDDKAKALIPKHVRERAKVSVEDMVAGK SAMSGSQSTISESRRLPLTEEEKVMAQRRLEDEEREREKASTSKGMFDGLAVYINGST YPLISDHRLKQLLAENGARLSISLGRRQVTHVILGKPSAGQGVGAGGGLAGGKLEKEI RRVGGCGVRYVGAEWVLESIKAGKRLPETQFSNLKVAAKGQQSVYGMFKKSNSTASSE AGFP LY89DRAFT_536401 MPVAAVAKAERATYHSHTAVFDLRDDCKMARLKVPGFAPVISTG DPAFRL LY89DRAFT_699931 MNHGPQDMYYASHMSNGQPPPPQTVTSGGMGHYPQQHQQPPLLQ PGPAQYNPAPAPYQQYGYANGLTSPQSAGHQVPGSMGQQQNVLPLPAMSATQPGMPGQ GFGAQQGFDTTGQIAPPGMKPRVTATLWEDEGSLCFQVEAKGVCVARREDNHMINGTK LLNVAGMTRGRRDGILKSEKMRHVVKIGPMHLKGVWIPFERALDFANKEKITELLYPL FVHNIGALLYHPTNQTRTNAVMAAAERRKQEQNQMRNTQAPGLPSLHQHHHHSMSSSI GGHLPGPQHSLAPHPSVPRPGIDRAHTFPTPPTSASSVIGNMGSSDGSFQWGTQSGMG SVQGTNPLSIDTGLSNARSMPTTPATTPPGTSIQSMQQYQQPPQPYDTSRQMYSAPPT QQPVYQQSTPDQQNMARYSQPSSYIKNEMGPPSARVPGSEAEHHEPKDANGLIHHNQQ SQGQVSHGHEDEGDHEHEAEYTHGNPSNYDANRGSYSYNNGPAVGSITGEHPHLSPEM TGSPNHPASGRATPRTASAPQPYYAQQQGYNTPPRVQPPSSNLYNVMSSERGTANGSS NGDVYAPQSDMGNSIPNGYASQQPVMNGAPTSNKRGRDDDDDGRPSSRGPDVDLKRRK TIREGSISGPPSYEAAPLNRPRTVIPQRRR LY89DRAFT_688411 MGGEGERDWGKLTVFCVLDLTVFLLIGLEWIGVEWNWNWNFTCP SPTHLSENVNDGKDTTVPSWLAYIPLPSK LY89DRAFT_673735 MFFSNLLILGVAAVATVSARAIGEVKPCRTIHDFILCFDLEIFI KIPVRLGAFETIGSSNNTFISTLLQTTQLTTLTAKDPSTSSQPQCAFHPHNHLTHEIS QRPITPSSYTNIPSHAWPHISSLLLSHSSSLTSGPPLAKNSVQTLRLSSLTNDVTLES VVLGLVFTAPENEVGNLELRDLSSNKMAEELVGEMQEEGEERKCVQDAVRRVEGERQV EGVLEMSGRGKMGFLVYRVD LY89DRAFT_688413 MCDGPVVIRPFHQTNSNISKPRLTASLRSVVDAVKAKKIPKKEF NFLGAKNDEVQRTDYPMVGSYVDIGIVQAE LY89DRAFT_688414 MTQMGGIDAYVSKPADYPHAPSKLLLFLTGATGLHSDNNQIQAD KFAKEGFLVVMPDMFNDDPLPGTATYSEEKDPSYIEQIKMTLVDATKSFAIDMWLARQ TPEKVLPIIQKVIEAAKDEFADAVANGGGIYSVGYCFGGRMTLLLAGEKPDTVMWGQA VKDEEAGTVKKGPYIKAGAIAHATAVSRDDFTGTKSPLAFVCVENDQLFDEEVREHGE KYLKENNVECEFKTYSGVPHGFGVYGEYEDTKIKVAQAEAFDQMLAWLKLH LY89DRAFT_688416 MAEAAARAQITQGALNAIFNDPETLQQNFPVPVCQCVQIKALSS STEGGAERYRIVLSDIKNFVQSMLATQANHVIHDGKLKKGSIVRLKNYQANAVKGKRI LIILDLEVIESLGELEKIDNPVGLTVKEESDDSKPVSTTIAGGGFYGSKPQAAEPVKQ EQRTLPSRTGPSSSASHSNLYPIEGLSPYAHKWTIKARVTHKSDIRTWHKQNSEGKLF SVNLLDESSEIKATGFNEQCDQLYDVFQEGAVYYISSPCRVQLAKKQFSTLPNDYELT FERDTLVEKAEDVTDVPQVRYNFTTIGALQDVEKDSTIDVIGVLKEVADVTQITSKTT QKPFDKRELTLVDDSGFSVRLTIWGKTATAFDAETEAIVAFKGTKVGDFGGRSLSLLS SGSMAVNPDIPEAHKLKGWYDSQGRTEHFNSHTGMAGAGAAGGRNEQLKTIAQVREEN LGMSENNDYFSTKGTIVYIKQENFAYPACASPDCNKKVTDMGDGTWHCEKCNVTHQKP EYRYIMSVNVNDHTGQMYLSCFDDVGRLIMGMSGDSLMELKENDSAAAQKVFEDANCK TYTFKVRAKMDTFQDQQRVRYQVIGAVPIDYKTEALKLANLIKQYHMND LY89DRAFT_653469 MDDLLSKLLMFPPHPPPAKPLSDHAYDEGIKEQIEVVGKMSETK LLQQTSGGEHALDVINPSLNTIPYACILLANISALKKGNNKGVEIDTLWEKMIGFLSA FDSRQIRYIGDELSAIIEAVAATAFSTRQAHLAVGPIASALMRLDPTGTMLTTHHLDL ARLALDSRNFADAAPVLNKSILYVPGASTITKPRYICDMTLSPVSFITLQSKLTKKLK YLEILEYFLYSGMVFIGMRDWESALQCLENAVTYPAKETSVSKVMVEAYKKWILVGVL LEGRLLPLPKSTSSGAAKCYHVMAKPYETMAQIFENGTASRLKAEADTATNIWRADFN TGLILHLLAAFQKFQIRSLAKVYTKITIPEIVSQTTSAETGTKLPNPQAAELLIQNMI ATGELHATMSPPTGGPSILTFSPTGQALTEPQMQRELVLTSERIVSLTKEIKQTDRIL THDKGYIKYVQKQSKNAKTSGATGDQGISGPDMDWNDALEDEDIMGPMY LY89DRAFT_624185 MSLTNALPADAAQAAKSASHILATLPTSARNDALTAIHKGLSGA KEEILAANARDLELARKAAENGELSLSIVSRLDLGKKGKWEDMLKGILDVRELEDPVG KVTLRTKLDDGLTLERVSCPIGVLLIIFEARPEVIASIASLAVKSGNAAILKGGKEST ESFIAISKVISAALESTEVPNSAIQLVTTRDVIPQLLNQDKYIDLVIPRGSNELVRYI QSSTKIPVLGHADGLCSLYLEHTADLAMAIKVVVDSKTAYPAACNSAETLLVEEAALE TLLPPIAQALLEKGVSLRCDAASKKALTSKLDSHSVTILQDAEDIDFDTEHLSLVLPI KTVKSVSEAIAHINFHGSHHTDVILTSSSGLAEQFMSSVDSAGVFWNTSTRMADGMRF GFGTEVGISTNKIHARGPVGLEGLMIYKYKLRGGGQVSAEYGEAEGQKRFKHEQLPF LY89DRAFT_216023 MADPKKSPPLTRDSVIAAHALIKEHIHYTPVLTNTTLTDLASTP QAPAALVGTPWVGQEPAKPIIRLWFKCENLQKVGAFKVRGAFHALKRLMTEQGWEEGG GREKGVVTHSSGNHAQALSLAARTMGVPAHIVMPTISTPSKIAATKGYGANVIFSGST SVKREAVVEEVIKKTGARLVPPYDHPDIMLGQGTLGIELQEQVERMIASGQSEGQSQP KCSFKLDGQGKGKRKGLNAIIAPCGGGGMLSGVALSCENTGISVFGAEPEFEGADDCK RGFESGKRVEIVKTLTIADGLRTPVGVYPWSVIYERRLVRQMFSVSEEMIKKALKLVL ERMKVVVEPSAVVPLAVALFNEDFRKMVEKEGGEDGWDLGIVFSGGNVSLEALGKLFE VPAEKAGRQEGKLGKDGEKVAENVAG LY89DRAFT_673741 MASRTLSRALRSPLAKQLSAPARRTFVSALNASARPTAARAAVA SAQQVRGVKTIDFAGTKEDVYERADWPAAKLQDYFKNDTMAIIGYGSQGHAQSLNMRD QGLNVVVGVRKNGQSWKDAQQDGWVPGKNLFDVDEAISKGTIIMNLLSDAAQSETWPA IKPQITKGKTLYFSHGFSPVFKNQTKVDVPTDVDVILVAPKGSGRTVRTLFREGRGIN SSIAVYQDVTGHAKEKAIALGVGVGSGYLYETTFEKEVYSDLYGERGCLMGGIHGMFL AQYEVLREQGHSPSEAFNETVEEATQSLYPLIGANGMDWMYEACSTTARRGAIDWSGR FKDALKPVFNDLYDSVKTGKETERSLTFNSQPDYREKYEKEMQEIRDLEIWRAGKAVR SLRPENQK LY89DRAFT_653481 MLKIWSMKQKQQQDANADAAAGTRKKKVTAAQLRVQKDLSELAL PSTMKTEFPDPDDILYFNLTIEPDEGMYKGGRFHFTFAISQNFPHEAPKVKCTQKIYH PNIDLEGNVCLNILREDWKPVLNLNAIIVGLQFLFLEPNASDPLNKEAAEDLRSNREG FKRNVRSSMGGGTVKNQTFERVLK LY89DRAFT_216053 MAFIRLLHRQSDGEIVFRKTTSGDVPAYAILSHTWGDEEVIFQD MEAGADSKTVSKAGWEKIQFCAKQAAADGLQYFWVDTCCIDKKNAVELGAAINSMFRW YQNAARCYVYLSDVSKSTTEAVDERVWEEALRKSRWFTRGWTLQELIAPRLVDFFSLE GERLGNKSTLAAIIHEITGIEKNALQGNALSNFTIKDRRSWAEHRKTTVEEDAAYCLI GIFDVSMVPNYGERKDQAFRRLEEEIHKLYKGVDFEQFAVRLNLTSFPEAAQFVAREK ELSKMHELLYGHSNRACIVLYGLGGIGKTQLPIEYIRRHKEKYTAIFWLNANDQDSLK LSFRDIAQQVLKYHPSTSLLAGVDLDDLDQVMYAVKAWLDLQKNTRWLIIYDNYDNPK TPGNLDCSAVDIRQFLPGSDQGSIIITTRSSRVSQGRRIHVQKLLDIKEGLEILSNTS RRKNIAKDLGAVELAKELDGLPLALSTAGAYLEHVTTSFSDYLRLYKASWLKLQKTSP QLSSYEDRSLYTTWQITFDRIQQLNPASASLLKLWAYFDRQDVWFELLRYTNSLDNRW IQELIEDELNFNEAIALLCSFGLVDPDGALQQQFGSGGYSVHSCVYSWTVSVLNKEWD KSFAQLALRCVASKIPMKNKTDSWILQRRLLQHAVRQEQAILEDKVDIEEMEWALHNL GNLYTNQGKLAEAEAMYTRALQG LY89DRAFT_738575 MSGVLEALGMQLGCQALWPLLEKLFKLIRRMTKAFPEAKEFWKI FKRQYKTLKATAASIVSRYDIKNTNRTWLRSINHIAAEAKSMFWALIKKIETVLSKDY IWRTRWAIVYSGCKKASLKMAQLNDELLRIETVVRLEYEDAQQKKAEKAEKIEQERRR KAMKTGTIVGGGVGFVVGAGGTALFIEATLKGAAIGGIVGAVVGAGIGLAISWFGFDV PEQLPTL LY89DRAFT_722344 MTFPFLLSVPFPCLLSWPSFAVSAAQARRDQGGDQGKRPSAVVL VHGKKRHAIVLFNIACNTRCRLQCQLTAILSLILSLKYSAFFSSHLVPSLARLADAAQ LSLTAWLHCSMHPPSSQGQFWLAVCDPESNQVRTATPSNSLSPTTVLVHLLAKPGPTM KDHHFAFLRVAFDSHLIYFLLTSYRPCDPFCVSHCSPGSQVQSLTSNRKTHPSGG LY89DRAFT_593408 MQFSTLAAITALAATVSAGYNGTVVYTTEVHTAYTTVCPASTEL TFNGITYTATASTTLTITNCPCTIVKPVTTSSVVYCNTWYPKLAPSSTPVYANTTVAA TTAASTTPGSVGTTSAKSSATATPSTITTSGANQLFALSGASLAGVMGLAAYFL LY89DRAFT_688424 MMGGTKLLVCGQAVLLPQAEKPSWEVGTCVSPQDKLSCLSVSHT APPSSSHPSNIKRHHQPPLSTLPIPRASQINPQHHSHPPPSPSQARKPAMAFPFPFPL HNPSHQESKIPESRIYTEKACSTGPVHPVVFL LY89DRAFT_738577 MDPVTAANMKEWETEDEYAAAAAASLPTPTDTPYRTPSRGSRRS SRQKSISPGPSSSPPPLPPGEKGRRDSRDVTNDESISILDPRRFTPTLHANLVSEILA LRRDQEEKTKTIESLESELHETRGEHEEIQTTLVSTSKENRSLKRQLALLEGGTSSAL SELARERDEAIDTHNETRKRLEVAQKKIRSQEEDSDRVHELWSNDKDNWEEEKRKLER KVHIAESRLRTVLDEVATYQADQQNGHTEHENELEEASRDTANGHASDAASVRTMSMT NSIRFSILNGTNGYGGTKLNGMSLADELDLDEDEDQTDYDGRESVLSVRHKRNQSRES VMSKNHRRNQSIESLMRPGSVARGRLLAQQAVLDRLEDGIMEDDENAPPPKVEYVDTG VQFSPPPSPKLQPVEVVQVVEPIPVNGVNGSPKIERHEPAHREWEIEANQRRKRVHAS PPLVIEPPASSSMVSSASQTIEDPLSPPRTPISPTRAPPPPPSEEKLREMTSISTQTD APEPALQSPKRAAPPPPIPIPSIQLHPPNSAPATPHEPLLPQHFKDAGCQVSMQVPVP TRSISVQTEEIRVAQRINLLPPHLQPSAISSKPPSPEPILEENKRFSPVPGNLPPRNP RRVTSRTSLDQQIPSSPPELPSEPRDAYPGNNDDGPVSKDRTFIRRPHRISSLFAGFD NASSDDADDFADADMSDNDFRTALSAPKPHNGLPKISRRVSSGPTSVPENVELSEVSR PYPSKGSMRQVAHQDANGDYSLPEVQALPSRAGKTTVRQLDKPLTLVTNNKPNTMRRA ALIQSGVAAHQGRARSPSLPEPVKEPPFPIPTRASSRRPPISASAPSDGNRSPTYGRG GGGRGHYRANSIRKVRSAAALPRGGRAYRRHGSRSPPPMSASTEAPESPQLPPMPNNE ITTPRYMRETGSSRYRSHTRQQPSTNTANTSNTANTGNGSVGTNNQATSVVDAIAQTM VGEWMFKYVRRRKSFGVSESNGMEGDNANGVRHKRWVWLAPYERAVMWSSKQPTSGSA LMGKTGRKLTIQSVLDVKDENPPPKGSAPLFNRSILILTPARALKFTAVSAERHYVWL TALSFLAHSSQAVPEIVQAPLPIPTIPDFEIPRQANRLRKGGIRDSIRVAKGKTTSAR QGPTSVHSSQQDSIREAESIYSGRTDGLPDIAADPPIVPRFTDRGRDNYGPPLTHGRK RSNTGSRVPPPLSFRGFSGPSSSGHAPTSSTAGLSVGTTGSSDIYNQSQPSSSIAGGG FNVSSGRSSIRTSDASGRPGAVVNNFFDAVGTMRMEAFISPMALSRFDDYPDEQDEMD FVGMHRRRSKERRRRERESFYGSSRGSRPSEDYYGGSKTAGEEEYGRFDEAAGYDPFR GF LY89DRAFT_786105 MSSKYNIIKDPPKALTFDVFGTVVDWRKTVTQALHTASLNKTSS SSRLSSLSPALRTRLTSVDWSQFAQEWRNAYKSYTRSYNPSSDPWKDIDTHHYESLIS LLSSWELNGLYTDEEIKELSLVWHFLTPWPDSSEGIQKLGKKFVTSTLSNGNQSLLQD LNSHGQLGFQKLQSAEDFRAYKPNEKVYRGSARELGVEMGEVAMVAAHLNDLKAARSL GMRTVYVEREGEEDWGVGGEEWEDARGWVDMWVRKDEGGFLEVARRFGVE LY89DRAFT_688428 MPFIHSFHCSIALADMKRDPETGARLPHFIGKHVEEGVGAYGPF GFLNPTARRSRFSLSLKGKEESEEEGKEKLAEKKRDEVRAEDVQRLYRTRDNRKGRHA ILVTPELDSNARYLTPPSTSTVVATLQGILRMFTTHPIWDVSYLVAFIFTLGSVVWVI NSFFVWLPLQVPSSEFSGEIADAGGITAFIGATIFEIGSILLMLEAVNEERAGCFGWA VEEVVEKGERLVRVKPDGCRHHHRNKGNLVGKSRVDVNEKDEHQPTDRDERQWTWWPT WYELRTHYFKEVGFLACLSQMIGATVFWISGFTALPPIYNRLTSTAAQNGAYWLPQVI GGTGFIISGTLFMIETQQKWYLPALKVLGWHIGAWNLVGGIGFTLCGALGFAAANSGC VYQGSLATFWGSWCFLIGSVIQWYESLDKHPVDVEEDGGRVSDTKPVA LY89DRAFT_216880 MEELKIPNPDQGNTDSTWLKRAYQSISFPSTEYMQPVNNRRDKS IRSKEYRYTSRTEISFSRLQEPINLILEKSYHKMLLGPSLESTALECTHARWLNTLGS PHGRLIRAVPHKIGSTRVVNRTRPPISDSPPFSPRQNGPHPKDLMSQKYKSSNTCRSR SHPSGILRGFLASEQIPLSITRACLLPLHPHHLHVLHTAFA LY89DRAFT_216856 MAGKMVLYKLVVLGDGGVGKTALTIQLTLQHFVETYDPTIEDSY RKQVVIDGQSCMLEVLDTAGQEEYTALRDQWIRDGEGFVLVYSISSRSSFTRIQRFHN QIQRVKESSASSPSYPGSPISSAAPSAPVPIMLVGNKSDRVTEREVSTQEGHALAREL GCEFVEASAKNCINVEKAFYDVVRQLRRQRVASSMRAMQGSGGKQRMGTGESGGPIRD DSRIYRDNNRKRRERKCTVL LY89DRAFT_216818 MHSTLVEARDNHIFCSLSHCTIQVQLQHQQNQAEAAGHGIYLLP WSCCVAPAPAAFEFLRGRRLKPFTQLPACLVVGSFLASIAAIVASYCTFVLRTYLPFH QVRPSIFFCLSISNFWLVAAPKSAVLTSELEHTSNGLDSCPLHSNFSYNHKLPLPLSF DLHIYKKKARAIRCAPFTHAGDQVPWHE LY89DRAFT_673751 MAVPFILPVLTMSLLFSTCQFSLSRKSGVVKIPNSFPYIHIPNL CTPRFDPDVIGSCCRILHPQVPYMQNNNEAQVHDPLLRAQEVVPDKEDKAPEDIGERF H LY89DRAFT_216903 MAYYGAVSFESLFRRCVTGCEGSPACPTCPSDQICSLVPPTCDE CQHTVCVAASAAPSTISSSSTKSSSGPNVGAIAGGVIGGVAVIVAVTYCVWRFCIKTK RQQYEQENWAEDPEETLPAEKDFTMRRDARASTHTVGSIASTVLTRASNIIQIAYIPG VTNRSAPSTPGLLVPPVPPIPIALSNASTPRYEQEHFFMPGDLRDSTYSGVSDRTSYA RTSVASTIYGKNAIVSPIPAQTVIRGKPALVSVKSNGNSSTGELTPPVPSVDYSKYGS QGPPSPAFSVGSTFLNNANTATTVRPQVVRVVSAPKKASPTGSLAEERSLLGRDSNAI TIIDDTPTVEQGPFRGTREHRPSSNSTLSAVIEEAQAKKAQSASGSSPKTDGTPFGDE HEVKE LY89DRAFT_593677 MSYNPPHEDGRPLQDLPPGNSYHMPPQDDEEGNQPLLHSQPPAG PFGSPYDQGGLRTRTPPVRPVSAYSLTETYATPGGAAPAPYSSEYAGSTEYGHSLEDN PYPARTDSPYSRSETSSTEAWRQRQAPAGGLKRYATRKVKLVQGTVLSVDHPVPSAIK NAIQDRYKASVEAGSEEFSTMRYTAATCDPDEFTLKNGYNLRPAMYNRHTELLIAITY YNEDKTLTARTLHGVMQNIRDIVNLKKSDFWNVGGPAWQKIVVCLVFDGIDPCDKETL DVLATIGVYQDGVMKRDVDGKETVAHIFEYTTQLSVTANQQLIRPTDESTQTLPPVQM MFCLKQKNSKKINSHRWLFNAFGRLLNPEVCILLDAGTKPGPKSLLSLWEGFYNDKDL GGACGEIHAMLGKGGRKLLNPLVAAQNFEYKISNILDKPLESSFGYVSVLPGAFSAYR FRAIMGRPLEQYFHGDHTLSKKLGKKGIEGMNIFKKNMFLAEDRILCFELVAKAGSKW HLTYIKAAKGETDVPEGAAEFIGQRRRWLNGSFAASMYAVMHFGRMYKSGHNIIRMFF LHIQLLYNTFSLLLSWFTLASFWLTTTVIMDLVGTPTAASSTSAEHHGWPFGDTATPI INTILKYLYLAFLLVQFILALGNRPKGSKVTYLMSFVVFGAINAYLIVLSMYLAVRGI SGSSIKTDSVDDFFKSFFGGDGASGGIIIIALLATFGLYFIASFLYLDPWHMFHSFGQ YLLLMSSYINILMVYAFSNWHDVSWGTKGSDKADALPSATTKKEDGKGAVIEEIDRPQ EDIDSQFEATVKRALKPWVPEVEVEKKTLEDSYKSFRTKLLISWIFSNAALAVVITSD NVDKFGFGSKDTASVRTQKFFTVLLYATAVLSCVRFIGCLWFLGRSGIMCCFTRR LY89DRAFT_709853 MPSHPQDEVQHHSLTDPSSFWAEQASHLSWHKKPSSTLTRTSKS LNSGVEHDHWEWFPDGEISTCYNCVDRHVEAGNGDQTAIIWDSPVTKTKRKITYKELL KEVETFAGVLREEGVKRGDVVLIYMPMIPAALIGILAISRLGAIHAVVFGGFAPASLA QRIDASKPVAILTASCGIDGAKPPMAYKPFITEAIELSSHKPEKTIIWQRDQLRWDAV DKQNGQRNWQRLVKSASSRGLKAGCVPVKSSDGVYIIYTSGTTGLPKGVLREAGGHAV GLHLSISYLFGIHGPGDVMFCASDIGWVVGHSYILYAPLLTGAATVLFEGKPIGTPNA GTFWRIIEEYKVNTLFTAPTALRAIRRDDPENKLFKEIGQRGGLKSLQALFLAGERSE PSIITMYQDLLQKYGADGANVIDNWWSSESGSPISGIALVPHAGKDRKTKSREVQPLG IKPGSAGKAMPGFDVRIVDDSGKEVEKGKMGNIVLAMPLAPTGFRTLWQDEERFYKGY LKRFEGQWIDTGDAGMIDLDGYIHVMSRSDDIINVAAHRFSTGSIEQAISSHPTIAEC CVVGIPDSLKGHLPFAFITLSTPDHPSSAIPSSALYSEVQKLVRTQIGAIASLGGMIQ GKGMIPKTRSGKTLRRVLRELVENAVHGEFEKEVQVPATVEDASVVEVARAKIREYFE EKGGELHKATEGRAKL LY89DRAFT_216975 MNIILSKRCDVHGCTVKKPFNSIEDRQAHFTTTHKIHRPNHCPI EECTRHSNGFTTLGKLVEHIKVEHDPPKCTFDHCDFRSLGNVMVGHVQRMHRQGNGWE CKLPGCEGSKSGFTYDRFRSHVFSHGIGNWSYSQLWAVDRGDKSFILDGTFVTCKHCS ESRAPSGQNEASKDGTA LY89DRAFT_688438 MSNFENPRMQALVGLSVTSFCTLAFHACAKSLTTSESVTAPPPA WPSTQNSHLEDSQFNTLVITLETLARSIAQVNSSIHSRIDHEFSLLQKQASCQKTPPP SMGPNDGIYILMSFIGMAWFFGMCVSCNGMYNISEKFMLKVLFTAVWCANLGLLVVVF FAEGMKCYVFILAAVWPVQVVMGLRVWLVSESEVGKMVGKVGEKEKEKLLMLEELEKL EKLKKMKTVNS LY89DRAFT_217010 MPESPHQYKLELSEYWTNEYKKKYNREPAFHYKAVEFGLEDNEV VVAAVLPGSNLVPQWEFSRLFKGESGLSSVDQSSDAKASLGLMNFDLCSTAVR LY89DRAFT_624220 MDVSNLFNVKDKVVLVTGGAKGIGRMISEGFVTNGAKVYISSRD AKACEQACKELNALGKGSAHSIPADFYKVEDCKRMVEELKKRETKLHVLVNNSGSNWG APYDEFPDSAWTRVLTLNLTRVFTLTQALTPLLEAACTPEDPSRIINIGSVDGIRVPS LETFSYSASKAGLHQLSRVLASHLGKRNITSNVLACGPFESKMMAATLKDFGEVIKSG IPLGRIGTPQDVAGACLFLSGRAGSYVNGAVIALDGGTLVGSKL LY89DRAFT_217216 MQANPITEEQESTLRRWVDSCGKRPGKRAKAFLQAETGLSKDQI DQWFENFVNEYDPRKNVQELTHLPDDSNLNAPLQDFLQDTEMDMVDRQATNETRQREL SYNDLNFDSFGLDFLSPGAPELQSWSPINEFQNNSTPDALQSMLDEPDKSSLWSPVMA SQGSFSQGSFARSTMSSISDLSPLLSPPSILFSLSDRSSYDSSIQTWNTASTLVSTYD PTLDDLKGCVDDLKDCEYELEEEPLISSAALVRFVPPPPPKSSRLASIKEDQQYQTLS SLHLHSDIQDPSTSTVTPKRSSSEAARSEANYKCTACDSCFIRKGDWKRHEEGHDPPT LWTCMLGETLQHSRSQWKCAFCEALTRNHDEMKQHLMEDHRVFRCANKKPMFSRKDKL KQHLQQVHALSESAVLWESWHQPARKKFAWGCGYCGACFFSWEGRMLHLADHYEKQPN NVPRWSRSLVVRGLLKQAKSDFNVIQVWKSLIGDEASGTVEWSKESALSLKHRLEYHS GTPEQLAKEAFRHARFSKTQSRSWMLQASVAANPTSSMDIDVLEPEVQPPFSRSGPSI RATSQSVTMKDVEIIVQDSNFF LY89DRAFT_593731 MTTTVQRTRSRSSSFSPEPVVVPRSKRRGLLARFTLIPEVEEPY DYKRSTKWFITFQVAIAAAAAPMGSAILLPALPQLASDLHSTPTITNLSVALYMLSMS IFPLWWSSFSETLGRRSIYLVSFALFTLWNIISGISTSMGMLIVMRMLGGGASASVQA VGAGTIADIWEVKERGRAMGIFYLGPLLGPLLAPIIGGALSQKWGWRSTQWFQVIYGG ILCVLLAFCLPETLARKTPPVPDIQAGDEKSDARSQLTRISTRQSVHLKTKKAAAIFK RCIIDPLSVLAFLRFPAVLITVYLASVTFGSLYILNISIQQTFSSAPYNFSVIILGLL YIPNSLGYIFASIIGGRWVDHIMHREARAAGRYDEHGKLIFRPEDRMRENAWIAAVMF PLALIWYGWSAEKGVHWIVPMIANFFFGLGSMIIFGVATTMLTEFMPKRSSSGVAVNN FVRNIFSCVGGIIAQPIISAIGDGWLFTIVGIICLISATSVVWAMRRFGPKWRLVMDE KLSKL LY89DRAFT_217067 METLEEMQARHRKEQKDLQSRVTQKKKNASKKTRKGVNTECEEL EHQLKERQAQELATLNGEPLEPPEIDEPADEEPVDGVADALAESSISTPAESENGDSE QGQGGHKKRNRAKERLARRAAETAAAVEAAKLEAQSQPDVKELERKKISEQIEANGLV ERLIRPDGHCLFSAVADQLQQVGISVGEEEGEGEKYKVVRGKAAGYMQRHADDFEGFC EEPLQEHVKKIRDTAEWGGHLELLALSRVYGVEICVLQDGPMQAIEPGDENKKGEEEK EKIWLAYYRHGFGLGEHYNSLRKAP LY89DRAFT_709856 MPEEDKPTDARARLLSHFSAAQGTTEHGQKWNELWQQNFLPWDK GFPSPALVDLLSSPPSSNSSKHPVLLPIRSAKDQKRRLKALVPGCGRGYDVLLLAAHG YDAYGLEISEKALEEAKKVEKEKGGDKIYSTKPGVERGTVTWLSGDFFHDGFLKDVEG EGKFDLIYDYTFLSALPPVMRPAWSKRFNELLAPEGRVICLEFPTYKPASTGGPPWAL PPKVYLAHLPRPGEDLTYGEDGELLEEKLGPPSKSGLVRIAHFQPERTHEIGYNAEGK VTDWISVWAHP LY89DRAFT_653514 MDDAFAGMSLGSGQQRKLIIGIDFGTTYSGIAWAETRRPEQQTV VNNWPSSLESKEGKIMDKVPTELRYTAEGKEWGFQIPALEDRHRLFKLGLVGGRLVDP GHETSPESLATDYLTALRDHLMYTLEQKLGTSILRTIPIEYCLTVPAIWSEVAKEKTL EAASRAGLNGLGKILLVSEPEAAAIYTLNSLDTHDLKIDDSFVLCDAGGGTVDLISYT ITQLQPKLNIREASPGSGGMCGSTYLNRRFARFLTTKIGQEEGWDAELLAEAMERFDL VIKKQYSDLSSYTIPVPGLANNEGLGIKRGRLLITRAEMRAVFEPVVSQVISLVEQQI VSSSKKIRAVFLVGGFGENSYLKERLRAALGTSIEVIQPPNAWTAVTRGAVLMGLGHT NEDLAAVGVTSRAARKHYGIRLHVKFDPERHDASKKFFDEVDQTDRVAEMQWFIKRGD PVEERKTKRILFQQNFLAEIGPPTETSMDVMCDEISTIAPVHPNENCRTLVTLRADLR SISSEDMESTLTKNADGKWYYLIKGDVEAEYLSASTKYMLLCLGKRYDTITAEYA LY89DRAFT_217207 MFPTSGSSRSSIVVGRPDSTVLEPGNGHSAMTLTQSDELSTFRP PEQTEFLLNQVLERNQVLEKTRQELEAASSTSQSLASQVKKLQKELDDAHSFIFNLQP ATHVITPTQALEDYKTLCMKVEDWVQDNLGDGLEKGMHVDDITIESVEQFVSLVSPQG RSAFGIPKTAENILIESIMNFLYRRIFRLDFWCMEPDAMLFLEHIERSMHKLEPRRSF ATIRTWRSETLLALANRPEYTALKQQTLRNLTLDLSASLSVFLPNCDVDEVCKSLQES LIEPAASLAHRFQLSVDRFEVEFTGLNPVSCRHADMTLYEYQNLSGKILKSPAATNNV YIMDVCPGLFYTKVKADGFGDQEVLKKPKILVAATKPGEREWQPPTTRPGHPSTLLAW LDETCAAKKRSKPWYT LY89DRAFT_653517 MRVPSNSTVTNQSSVKQYFRSGEEQEIPKPWTQEMPIYSPAPVQ SVYESADVSVFGSPYSLDRAGEADGMGFSNHDEMVEQVAMLNFYEHMMSNKSSSNQIA KENMWGESSSNVASNPSFQGTRTDNLVSDMQNGDKEVRPVEETFGYIDAARFWSDNMN DTDSSHSSPKASTSTASITTLTPQEIRSDNPFINASSPTPIQTNVPTSCIICIEDLTP TLSPPPFITPSCTHQPSLCTSCLSKCITTGLTTKPWNQLTCPECPVPLTYPDIRRHAT PSTFARYEELSFRSAVGADADFVWCPAPNCGFGQLHATGMEQPIVRCEKCGFRSCFRH GGVWHERLSCEEFEEMQRERVWKRQVEEEASMSTVEKTTKKCPGCSWPIEKNAGCAHM TYTKCCAQFCWNCFADHKKIDRYGNDQHDVNCQFHTDNLPH LY89DRAFT_688445 MSLFKGASRSLARAAVGRGKPICGARRASSMTAESQQKLLAADL EHADPQIYNILQNEKRRQKHFINLIPSENFTSQAVLDALGSVMQNKYSEGYPGARYYG GNEFIDEAERLCQSRALQTFGLKDSDWGVNVQPLSGSPANLYAYSALLNTHDRIMGLD LPHGGHLSHGYQTPTKKISAISKYFETLPYRLDESTGLIDYAKLEDLATLYRPKLIIA GTSAYSRLIEYDRFREIADKVGAYLLADIAHISGLVAAKVIPTPFEYADVVTTTTHKS LRGPRGAMIFFRKGVRKVNPKTNEQEMWNLEDPINSSVFPGHQGGPHNHTITALAVAL KQAQSPEFRAYQEAVLQNAQAFAKRLGDPKDKGGLGYSIVSGGTDNHLVLVDLKPQGV DGARVERILELVGVASNKNTVPGDKSALKPGGLRMGTPAMTTRGFQPDDFVRVADVVN RAITITQRLNKTAKEAAEAKGRKNPGSVKAFMEYLGEGDNESEIVQLRSEVEEWVGTF SLPWESST LY89DRAFT_688446 MGSLRTQKRLAASVVGCGKRKIWLDPNETSEISNANSRQTIRKL VADGLIIRKPVTMHSRTRARELTAARRIGRHRGFGKRKGTSDARMPSQVVWMRRLRVL RRLLVKYRASGKIDKHLYHELYHLSKGNTFKHKRALVEHIHRAKAEKARERVLKEEMD AKRAKTKAARERKQERVVAKQRAQFGEEEEAEEK LY89DRAFT_786121 MTEVEVNGIAAGVVDLDLKTSLSSSSTSRRINTLAILQERLSKN EISPKLFPPLLQLLFDTHAYYRDRPSRRAVEQCLRLAFRSGCAPPALAGFIKNLEAES LKLGIAPSNAFVLVEWCSMLLQVLTGTDYWDIWGFQVLESHARLLELCVGESPRDNIR QTALRVTWRGLREAFSIPEKRQNVMKGAIQKLASKGAQSSAKNAIMLGAIAGTCARNF QKESTKSSEMKECLTNKKSEYYAFYIREIIGSRTLVPSHISNALHDFFASFATKEDIE REIVPALEKALLRAPEIVLNDLVTPLFRSLPDTIDLTSILKNNLLKPLLSNIKSSNAT IRLGALSALRAAAPKCHEVNVIAEVAEEIVTPLKAGKLPSADQRANHAEMLALLPVTE TTATKIGSAITAVVGKEANEAALTSETSALLHYVSWAIQHGMDLDKQMTDAFTKGISD KKVPFKSLWTGRLGELFWATDDHEILRGKLAKLAECTISPLMDIWQEVTANPLAAAQS GLVASAFVLTAIAFTKLPHVSNSKVDTALKKAQIGRQALVMEPKPSFLLNPRIYGKLT SEEDFIWLLRALASTAQDVVTSDTVSPSSIAWSQAVIFCICSSTVKPSVRKNASETLT KLYLNYPLAIVKVIVSGLWRWRDSIESGDKDSAAVLSKTENSNIHHVIRAICLSPAEV TQLGGQVEMSVRMEQMILLLVLSRQELLPRVSWIQLCLKVEVDPGNLARKSGDALVQQ ILDCTRFDEKATFQRSDNVKIAAFQAAAELAFVAPDAMTPRIVELLENDLDSTQLTNI GPTEAAIFRTEEGTAFVDVLASKSQSYVPNKNTKDYDTLKWEEELRAQLAQKKGQQKK LTSEETAKVNAQLKKESAIRLQIRHLQAKLLRGFGIINSLATGPPTEAGLWMGPAVKA LVEVIKAGAGLITGNAAPDAYIACAERLPSRVGALRPFIGIATLRALNVPHLPEHLEE EPLGALITRVLYRLRFSGEQRPFDTVSLIYILPLVFLVLREKGFGESDDAEAQIVLAL EFLSYHTDACSDELVPREEILSVLISSMQNYNQHYKMIKDCLADLCRCIAPNITEIEL SILARGAIVPQVSVRSSVLQSISAEIDMSDVDFSDEIWLACHDDVEENVELGKEIWEE SEFTVSEDAPFRMLPYLEIVDKQLRRAAARSLAAAVKLRPSTFQDVLERLKSTYQELA KPRVPQLDEYGMPKKMDLSDPWEARNGIALAFKELAPIFEISLLIAFLQFLIEAGPLG DRNPNVREEMVEAATSIIALHGKDKVEELMKTFEQTLEAPDKGSEFADRVNEAVIIMY GALARHLKAGDPRVPKVVERLLETLSTPSEAVQYAVAECLPPLVRASKEQNPEYIRHV LDRLFNSKKYAARRGAAYGLAGIVHGIGILALREYRIMSTLKGGIENKKDVNHREGAL LAYELFSTILGRNFEPYVILIVPQLLSSFGDASADVREGCLAAAKACFASLSSYGVKR ILPTLLEGLDDQQWRSKKGACDLLGAMAYLDPQQLAQSLPEIIPPLTGVLNDSHKEVR LGANRSLKRFGEVISNPEIKGLVDVLLKALSDPTKYTDDALDSLIKVQFVHYLDAPSL ALVVRILERGLGDRSATKRKSSQVIGSLAHLTERKDLISHLPILVAGLKVAVVDPVPT TRATASKALGSLIEKLGEDALPDLIPGLMQTLKSDTGAGDRLGSAQALSEVLAGLGTS RLEETLPTILQNVASSKPSVREGFMSLFIFLPVCFGNSFANYLSKIIPPILSGLADEI ESIRDTSLRAGRLLVKNFATRAIDLLLPELERGLADDSYRIRLSSVELVGDLLFNLTG INAGTEQDEVEEGAQEAGASLLEVLGEEKRNKVLSSLYICRCDTSGLVRTAAVNVWKA LVASPRTLKELIPTLTQLIIRRLGSSNMEQKVIAGNALGELIRKAGDGVLSSLLPTLE EGLQTSTDTDAKQGICIALRELISSASPEALEDHEKTLISVVRTALIDSDEEVREAAA EAFDSLQQILGKRAVDQVLPYLLNLLRTDEDADNALSALLTLLTETTRSNIILPNLIP TLTTSPISSFNAKALASLSTVAGSAMTRRLPTILNALMSNIISCNDEGLLEDLNTSFD TVILSIDEFDGLNTAMSVLLGLSKHDDHRIRAATDHHLAKFFAAATVDYSRYNQDIVR ALLISFDDRDPDVVLAAWTALSEFTKHIKKEEMEALVYSTRQILQHVGVAGSNLPGFN LPKGINAILPIFLQGLMNGTAEQRTQSALAISDIVDRTSGDALKPFVTQITGPLIRVV SERSVDVKAAILLTLNNLLEKIPTFLKPFLPQLQRTFAKSLADTSSEVLRTRAAKALG TLITLTPRIDPLIAELVTGSRTSDSGVRNAMLKALYEVISKAGANMSEASRSAVLTLI DTDPEDNDVSMAITNAKLLGALIKVVPSESAVALIKNRVLPSHFTQSSVLALNAVLLE SPATLTETAFADSLPQSICEGMQNKNNFISDNCVLAAGKYLLSDSRSSDYETIKPIFE TLATLIGPGQSADTRRLALVVVRTVCRHHMELARPHLPLLALPVFASVRDTIIPIKLA AEAAFMALFNVVDEESKVFDKYLASQDLAANQKRSMQDYFKRVALRLGNTARERKEAE GGQGGLGLSQDEVDDEKEIASVGRVDLGEGAFDE LY89DRAFT_653531 MAPGKKKKKPASNPARGFATTSIASKPKVEIAEPDPVPAPTESQ GNAESNEKGIPENSALNPEASIASGNILSAEDFEKQLEESELQILVEKHAQKSKRDAL RQKTRLETDRRVLRGQADNLITRRWLPPELMEEILDLVQTEGRFAGQANENAHAAKQV SEEELTVRLWTLQQALVGSGFREDKVILALRYVLDISDKIISGNKDSIWGMEESLDWL ARECPRDCLPDYENWQRRGAMVSKSQTETPMDSPLPSGYSTPQAESDTRHLGHGTTRG PLPYPERQRSPAKRIVPIDDDSDIDPDDLLSVYLDCKSKLFEMERQRSKPGTNASNPR PKQTPSKSGTGQDLTRAKLDRKIKKIQDDVLFDQYIANQRWEAQRILLERDAAHRRAV EATPDVSDELAALADSDVDDEVSKEAARMGAELLEGNDSDDESALADLFASLPVNEVD PVTGKSITVVNGSNGVKVTIRDFGKWTGVSPTRVLEEACRARDSSAKIQMLLISDSSY SNRHSLKISWSKVQDLQPSAPPTSVDYSSTTKSQTFIMTSISAPEPKQSEAFIATTAL FLLFSSSGKEDKVFLRLPAVWRDLWTEFAETKKGKADEADRNVIRRFRDMVRERRDRE LEDGVLIQGAFKNRNGARPLENGEESGTDKANKSTLSPEAYQKIWIDKSSTPNFQMML QSRMQLPMWHFKDEVLDTIEKHQVVIICGETGCGKSTQVPSFILEHQLSQGKACKIYC TEPRRISAISLARRVSEELGERKNDLGTPRSLIGYAIRLESNTSKETRLVYATTGIVM RMLEGSNDLRDITHIVLDEVHERTIDSDFLLIVLRKLMARRPDLKVVLMSATVDAERF SKYLDGAPVLSVPGRTFPVEVRYLEDAVELTGFNIDNGLHEKFQDLDDDDTEAADADL SDAAKAENTKALKGFSAKTRNTIAQIDEYRIEFELVTQLLAKIATDSRLEMYSQAILV FLPGIGEIRQLNDMLVGHPVFSSNWFVYPLHSTIASEDQEAAFLVPPPGTRKIVLATN IAETGITIPDVTCVVDTGKHREMRFDERRQLSRLLETFISKANAKQRRGRAGRVQEGL CFHLFTKYRHDELMPDQQTPELLRLSLQDLAIRVKICKLGGIEETLSQALDPPSAKNI RRAVDALIDVRALTPGEDLTPLGIQLARLPLDVFLGKLVLLGSIFKCLDAAVTIAAVL SSKSPFSAPFGARAQADTIRLAFRRGDSDLLTVYNAYLAWRRVCVTGGSEYQFCKKNF LSQQTLSSIEELKGQLVLCLVDSGFLPLTEAESISLNRSRYSSRRRQFFDIPQRANVN SDNDVITSSVIAWSFYPKLLVRDGKGFRNVANNQSISLHPSSVNKGHHELKWLSFYHI MQAKQFYNAHETTAAEEFAIALLCGDVRCDMYSGVFIIDGNRARFAVSDWKTMLVIKT LRSRLRDIMTRSFKTPGKALTSQQQKWMDVWQKIFSQEFKEK LY89DRAFT_688449 MDLDSEPSTPRMNTAAKAPVKDKRKTISLTEYNAMKKTKTNPST DDTASPTVQKTTGQTTDGIVGWANNITSAVASALGSGKAGGVQQKEGQSSKSSARAAP TPTSHPDWTLPARPSMVVPANFKLNADDWHPDTTPLINQAAMVQKYARDADRAATRLA RDTAALEKSKKEGK LY89DRAFT_593392 MSGLPIPWVCFQCGTDQNPCHCKVIGPTLGFGVAIVLAVICWPA SLFCGCCATERGKKMLGAPVEISGQVSNAIPI LY89DRAFT_688451 MTSPQVAALDVAPANATRTEDSPHYANDAGNLTAEAQAEPVEAA PNHSSSQHEGLNETLQQRIFPDITENNAVGPNGQNGVEAEQQQGETSIGAGDASIPNM GEDLQNNHLDQPNDQALSQDVLPPDLVANVLAAMRNLPVPQDPYGHGPDPFAMSTDQY EAITAMAMAMVQQPYADLDPEPVEERQVQAYAKLEFTDGAYYMNTYSVDLGRDQVAAK KALRREKALLAAGLSVEANAHIGNPVTSNSAGILVAEDDPDKDERRSKKGRSKKSKKS QSSQSSQKRTRRDSLPYQPGVYPIQSQRAGSDTEAVPVDPASLHPPSNACPLVGIHPA NSLCFQAYKNISRRHVKIAFNSEISAFELHVLGRNGCFLAPPGSELELDLKPRGTTHV LSNGSRLQIGEVFLTFLLPANNVTEGPSHGDDGTPGLQDTMYFDEHGRQQFLDAPVFR DARGKEMNTDHSQEIRRDAGDFTDSEDGDSLQGSLNGVNLDEEQGQSVDDEEEDEEGE GEFDEEDEEEGEEEEIQGEMPQQSIEDDESPRSNTKGAKLVALEGRKGPGRPPKNGVM SKRAERELKKQAQEAAVAEKLGTDGSEAPKKGKVGRPRKHPLPDPETLNKPKRKYTKR KPKENQEGNPEGAASGGDGTATTTKIKKEKAIKPPRSPSPVFKEEDLLPEQLIKPAEN YVVIIYNAMIASPHKEMGLPQIYNAIKRAYPYFAVKTETKGWESSVRHNLSGNPDVFE KGVKDGKGHMWSLKPGASIDKEKKKKAPPEPQFQGHAQVIQQAPHNMHGHQHPGMGFG YPPYPPPPNGTYPMVQQFMPHPGPPYPHPQQNGFQPPPANWQPGPFVPPYIPAALAPP PPSHSYSSPYAQKAAESDGPPSNNEPHAPPSGQPQPSEQKPAHQPSPPATATLQPHPP HPPQYQNPYPPQTHGPHPQMQYGPTPPPHQYSMPPNPQQTPQHQPPYQPPQSQPPPAQ APSQPASQDSSEIQKASPPQSQIPSPPHPPAIQQASPPQPPQENQAPPPSAPSRNDAE VKEKIDKMFREFKEICALRSIANGQIVDATIERLRKDGDKAVPDPDSPDYKTMKMIIE TLRSSLASIEGSGYTAPPPQRSFTPVRTPVTTPLPSPSVNGGSNAAQRPSSTIPRPVL SGTGPARPPMHTVPKRTDSGSPAIPKVSQGIATIPGGGPSTPAAAATPISAQGANVSS PNGASAATSTPVSVPEAIISTQVPAVPTPVERPADVDVTMSIGEKDTGINVNGQQEQN VGRKRSRDEVDGLATEGQENVKRVAI LY89DRAFT_541006 SGEKNRYWIPNLDINKKVITQEIQYYLGPESTVRPYTREGEDGF LITTPGECLTDEQIDDICRKSKEVWEKQAAARSKKDADKPLKRPLHQPIVVSRASRTD STRHRR LY89DRAFT_217775 MELRLMVYTCSIWLGNIKYDAFSEPFVPQVFEDGGIQWTSWHSS PTGFTHPWILESKTAPVGFTRPHSMSGDPEGSTDLLEFNPDGVLTSNGANKFVGCQTE EQLKKNNRTYQIWWQGAGPVPGVECTSIVVLYKMVDFDWIG LY89DRAFT_593878 MQNGPVPTTLTLLSELGKLAPGSKVRFLGCVTNYSTKTATLTLE HNHPPGNLLQAQVDVTLLVTTMKSNDTQIGEWVNVMGYLTSRKEKQTPNRPTLEVGVQ AIVLWSSGSFNLQAYEESLDKHTP LY89DRAFT_217886 MSGVWGWFGGQSAQKRKDTPKNAILGLRSQLEMLQKRERHLQNQ MDEQDAIARKNVSTNKNAAKAALRRKKQHEHTLEQTTAQVATLEQQIYSIEAANINRE TLAAMERAGEAMQQIHGKLNIDKVDETMEKLREQHALGEEIASAITSAPIGEPIDETE LDDELAELEQEQLDNKMLKTGTVPVSDEIHRLPAVGNGEIKGKPVAEEEDEEEELRKL QAEMAM LY89DRAFT_217678 MEPTMSGGLAEAEEVEVPIPPEPPNIEQPESEDVDDSPPPTRDS NDDADEGESPSSSNEVHFADGTAPPAPDTKGKKGKKSKREEKLEERSKKKAAKGNKNP EPESPAPEPQEAVDDWPEPIKGKGKKKGGKNKNVEPESSAPEPEVEEKKGKAGKRDKK KDKADKKKGKKGKNVSEEEEIGELAPGADASDAVVESGEKSVESEPSPPVEPEQAAVI DESPAPVVEEQPTATDDAPAPEPIETAEPVVEEPPAAAEPVAEESSAPAAEEKLEPAV EETPAPAAEEPQVETVEEVAPVVEEASEPETKAEEAASQEPEVKKAPEPASPRNL LY89DRAFT_217869 MYRHIFTFNVRSIHQFYTNHDQVEEAISENPPVVEQPESKEEST SPSEEQQSVESPPVSAEEEKLAPAEPEPEPEAVVSPVFIHIKSYTKFYDVNYY LY89DRAFT_218143 MSPLSKAKPQLWRKPKSLRSRLQQSQRLLSKPRIQLKSRKNLKS RKILSQSLLRASRLRKAKKSLRSSKKKRPSLRLSREEIEVPAEPEGISDEAKEIQEPE SAAAVEEESKPEEPAIQVAPIESEPVEEVKESEPVEESPPIVEEAEPESKLEEPAVDV APVESEPAEVAKEPEPVESPPEPAEVSYFAPPAPTVEDAKDAEPEVPPPPPEDPAPVV EEKEVEAPIEKSEEPSESAPIAEAILAGGAALAAGAIAAEILTKDPEPVTDKTIVEEP ETLPGVAEEPGVDASPASSKESRRRHRSSRHSSHHSPPKDSPPSTRDSKRDSHRSERP DSHRKRRESESSIKAFFSQSTPKKPVRHDSGMSAGSSSSHGKHRKDRSPGEQAAHDAR KEARRAAKRALEDNEKFNRSIPSDSAVVDDIAGDIPISSVPHRRSSRRQSSAKTGSQG SSESDKPKKLLDLKGESVVKSPFMMDDKPHYREEKVKTPVKEKGPIMERPRFSFDNER PKFVERRTSTREHGSREHRSHRHRDSDRVEADKDKHRIEEEREARKARKEADKQMEIA RAQVAEEARRQREKDEEERRMRREERRRKREELEKLSEQTERVKDRSGGDRERDRPRE RERLHRRHHRSEKEKEKPKGALSSLWSAAKKVFD LY89DRAFT_722369 MADSREPRKRRAAVNDDAPDPKRARDLAIFDRFDHLDTLNADHV VNRDKAGLAVEGFSEILMTFEAQRNSSKLEIDAIKTGYQRLLAERAETESRHEDAMTD IQEQLLSANQEVKQGTENMAILETDKEMALDQSRFFRDQLKRERKELNVEITTLFSKL NRKERALKLEKLEIARLQTKLEEAEGSVKAAGPEKHNLTAALAQVNHLSTEVEKLKKD LEASREKHDSLEEAAQSELDDAYAAKNQILEDLESTAEKLHLEEKDRFKLENDLEATK SDLVASNGEKNQVRSDFDELSIRYESLKVRERQLAQENEENSSELAKLASVRNELTFW RTTFQAPSADLETVRKENNTLRASNDSSDSKVKSLDAALSDLQMKNKSISSALEKAKQ KLEAAESYDQYSVGYEEGMKRGHADEVIDTKKRLHQEMTVVINRLVTDWYNDQFGPRY KFLSQGEGEGWGINEIGNYCNIPCLKFAKEIIRRTHGTRDQAASYLQTSDRFPELEYQ SWRTGRALEPKWKKRLTVSDKKLVLEIDSMYEENKPVLFKNARRIAFKFLQSERAIVL RALETHPWTKKCHARDVTELIEACILAFDRKFCLWIANLTRTIIPRENITCLGICSYT AVLGLDVERVLGEKGQKETFERQLKWWDSLSK LY89DRAFT_688461 MPPNTFDEVLGLEEEFYATGFQQGLTDGLKAGRIEGRTFGLEKG FEKYVESGKLHGRSLIWSNQVRSSKSTAQQKRVVTDTPIPRPSSPVSEPATLSPTSLP RLPSNQRLLKHIKVLHALSEPESLSTENDEESVTDFDDRLKRAQGKAKVIERIVGGAN GDNMGIGAEL LY89DRAFT_699961 MGAFYETIPTSLHTWILQQKVFWVATAPLSPDGHINISPKGGPY FGLLDNKTFWYIDLTGSGVESISHLHEPGNGRITIQFNAFEGAPQIVRLWGNGEVLEY GTRPFDEFVEKNRVDAIAGTRAIILVHVHQVGSSCGFSMPFYDFRAFRPTLNEFFEKR VASEVSGNKKDGIERDADMDRYWAFKNSQSMDGLPGLKRGVETRKRESVKPIKKMVGA YALETAPRQKPGPLVPLWSLVVVALLSFSMGTIAALLAALQLGLLVK LY89DRAFT_688465 MGEAPKPEEEYLKFTTVTGYFQQDDPATNPKAFDYATTNFGLIN RAYDTDANFDPEGTKTQWQKFDHHVTQINHESDSNTQYKVLYMGRHGQGYHNAAESYY GTEAWDCYWSLQDGNETSTWADALLTDIGIAEAKKANKFWQSLISDQKISTPQSYYTS PLLRCMQTAEHTFTGLTLPADRPFIPMIKELVREVIGVHTCDRRSTKTVIHGKYPNWP FEEGFAEEDELWSATLRETAEAQDQRTKVVLDDIFSNDENTHISISSHSGEISSILRV LGHRAFALSTGQMIPVLVKIEKVKGTLPPVVKAPWDKPKTCEKPPAML LY89DRAFT_688466 MSLHYLPPVKPSAIALGTIFNHAASLAVLGPVFGDTYHRAQAAN SKEEFVKSKEAASAAAAWGTSLVGSAVQSYGVGALINATGTLSYKGAAYLGGLIFMAS SAPSFIAQIFTEKRPLDTVAVGAVARVFETVGLSLFLTWWGTRTNPFE LY89DRAFT_594028 MDIRLLHPSDIPHVQHANITNLPENYFMKYYLYHALSWPQLSYV AVDVSRPKKTLYDYPRIVGYVLAKMEEDPPDGVQHGHITSLSVMRTHRRLGIAEKLMR QSQKAMVETFSAQYVSLHVRVSNTAALRLYRDTLGFKNEKVEAKYYADGEDAYSMKLD LGFIREQIQDEQDAEADEGEPVGELGSKEGAGDLTVRKKDEGKKRKVRVGRGLGVGDL VERDESQKA LY89DRAFT_688467 MRTPAGGAATGGYRPLEGKLGIITGASRGIGVAIAQNLASKGCN LILNYTSDSSATACNDLSTQLSSEYGTSNLVIQADMGLPTGPAHIVTTAKNHFSHPKT GKFQIDILVNNAGVSKNLRIEECTIEDYEWQYKINVLGPLLLMQAVVPYLPTDRSGRV VNLSSVSSSEGFIGQTVYGGTKAALEAMTRTWARELAERATVNAINPGPVKTDMWAGT TAEFKRRLKPFIEQTPGSAIRKEVDDGDLVADAENAGGRPAYVHEIAGIVGMLTTGDS QWCTGQVVCANGGMRMAI LY89DRAFT_722376 MATPKIILPEKGKQNVLITSALPYVNNVPHLGNIIGSVLSADVF SRYSKLRQRPTLFICGTDEYGTATETKALEDKTTPQELCDKYHKLHKEIYDWFEIGFD YFGRTTTEEQTKIAQDIFLKLHKNGYLEERTTTQPYCEEESHQSFLADRFVEGTCPKC QYDDARGDQCDKCGSLLDPLELINPHCKLHKDAKPVPRETTHIFLRLDKAQPAIEEWF SKSSEEGGWPQNGISITRSWLKQGLEGRSITRDLKWGTPIPLPGYEKKVLYVWFDACI GYPSITANYTKDWEQWWRNPEDVKLYQFMGKDNVPFHTIIFPGSQMGTGDKWTMLNHL STTEYLNYEDGKFSKSRGVGVFGDGAKETGIPPSVWRYYLLSNRPETGDTQFEWGNFI VANNSELLANLGNFVNRVVKFANAKLNSTVPEFSASYEDDTFDFPAWIKQVNTHLAEY LAGMDAVKIRDSLRKIMEISSCGNDLLQYRMDNANLENNPARTHATVGFALNLAYLLA SICSPYMPSTADLIVKQLNIPLGPIPDEWSPDTLKSGHKIGKAAYLFTKIPPEKEQEW KERFGGTQAARLEEEAAKAKKAADKARDKERKRLKKEAAKAAAAEVEKTDKETDAAPK SEGATTAELEEKIKDTETEIPLRGKVAEEQAPPPAAAP LY89DRAFT_653565 MAPLRLLDVNSMQFADGRYLADKERQSGKVVPYAILSHRWLAEE DEVQFDDIKSVEVAVSKKGFAKIFKSCRLAKEQGLEFVWLDTCCIDKGNPAELQESIN SMYRWYQDAAVCYAYLKDTEATFPSSMENDECSSNVRPMGDVCGGWTLQELIAPRRVE FYDTNWQALGDKHFLKDRISAVTKIDRAILEGSKSLEDCSVAARLSWASIRQTVKIED RAYSLLGLLGANMPMLYGEVEKSFMRLQEEIIKTSDDHSIFAWKGSQRRVSRSSRRLL RQLSELWICQVHSFP LY89DRAFT_673782 MYEIRPAGPKGLGVFAKSLIPIGTRIFSERPLISLHPNQSASDI YTLSRLLSPSQRSQLLSLSSHITTSLRFMRWNQAIYYTLKSFSKNFPFLLPRYCHFEP QRPASPRNSISEHVRILSIFRSNAFNLSTEKIYQAVFPGISRINHDCVPNAQGNWNQG MERFNVHATRDIEGGEEVTLSYLGEVGAIRERRGQDLGSGYGFECGCQACDLDSEVGR RGEENRAGIKHLLQKYAEGVSSGGQKCGEQELKVLMAFLRMLEGEGVAGREVASMYLE AARLNESMGRMEKALKCARRAEEVDRACLGIDHETYLATNEVDSVISSAIEQFEYQSL IVL LY89DRAFT_673783 MAQRKSNTALYLGVAAAGGVGYYLYTAGGSPKVAEKQFEADVSK ASAKVQEQIPGRTNQAQKEGEKWASVAGAKVDSAVEKGRAELAKAEGKLDQYRKEASA DTMKKIDQADKKIEEKAAQAKSGISSWFGGK LY89DRAFT_624284 MSLLHSSTRRPAPLQDEDYDHEINLIDHTKQDTAQPTSLKRLSR SRSPNGTHLTPEISDSSSRRHSDVPLRKHATPQHLRKELTRRKYAKYQDRGVANVSDD EQAEDADADPEDHNEENGNADDEGSRGRAPERRCIKGPKGHKEPKSAIDILYENQRGG FLCGMPLFSSKALGNLDPSPWTNVAHKTSPTDITNAQVPDPSWEWAWKEWTINHENDV DSDGWEYSFAFSKKFSWHGPKWWNSFVRRRAWIRKRVKKHSGYEGNNAHMLNPDYFTI HSAQERSRSRGSSVPTSEQHRLSFYSRNEAEENIVPEDISDIGALMKALRQARIDREK TEAIESFIAHGGDDLYYLRDHMHEIMRMFIFQASRRSLLTHLLRIFNEAAEKQKEVSE GEKDVDPAVKRRYDNLEAAVQHADEEVKRLEFWSDVKDMAEKGETKGAVDESQGWDSR WTGLDGSGPKEIWGHKIAGLDDCDEHEGNGTAKEPKSDDGKGKAKE LY89DRAFT_218804 MSLGSRIAIRGRAAIIASLVSTPREPLLFLYPQWARSSSTASQA AAFKKPTDASTENSTESSIYTSNSASIDPLIDARDPLNSSSSAKTPPGEFRTKAVKKR ANIDSAQYKPLAIRNQLSNPTKSPGSEALLAELSFAKEEPVCTPPATSILDDVQSRAR NILHAAERKRISEQTAHHIREEYQENRRLSTNNWIPDWRVILRDLTRNTPHHGQWFDR QIQVIVPPSEKLLFMCGLDDDMRVIGDKYGCSIELGPSYRAKHEDGIFVLSGSRSAIS RTLADVLRLAPDAKITTNDTPRVSLDESRISCSAGVLETVNIRPVISIPRSNSKIRST RPEEIPKPPVWTKHSFLDYVKAVTTFEPPRSVLEKEAREQYFDEVRLILHGLFRDPDE ARKAAITGAACHEALQYFVKFNRIDQVRVLFVRMELLGMPLSPETFNIMLRGAAKQED LHNFHFILHLMLRRGLVPNGATWTAFMMTLPDFQLKMHVATKMKRGGLLRHVPTMKAV CEQLIKPEVELSLDAFQDQATFVSHMDARYGRNWLSLDSANRVLNELGARGLISRCWD FLHLMDSRYIRPDGYSVNTILNHCKQIDNLGGAIEFLQNFSAKWGLEPDEDTYDILFR MAWQERHYNMAKVVWKYACIAGKTSYGMRYDVLHSMRAGWRMPNTPKLRFECYAGTVV SGSYYGWNPTPAISEFVNRPRLASIDTAEMLQLPLIKSELLPTPPDLLPVYKPFAYVY SDSSDKQVGYEVKDEEFEKLYETLWQRFSVDLHMTKFRVTPRYSFEESLQIAWNMDKN WRESGAYRDWRLSELTAKAIRVDLKVEDFGKTFWTSM LY89DRAFT_688475 MSVEREKDKSKVHKLQLKGSSRLVAEFFNYSINTILFQRGVYPA EDFTAVKKYGLTMLISSDDQVKAYIKKIMSQLDKWMIGGKISKLVVVITSKDTGEHVE RWQFDVQIFNKGGKKGSSKRSADKENAAPEDAAPTPEKTDAEIQSEIQSIFRQITASV TFLPQLEGDCTFNVLVYADADSDVPMEWCDSDAKEIKNGEKVQLRSFSTTNHKVDTLV SYRVVS LY89DRAFT_688476 MQRCPLSLLRLPSSLRCHYASQFLSSIPTRAFTTSLARHAQPSK PVSDLERRIKAIPIERFRNFCIVAHVDHGKSTLSDRLLELTGTIQPGGNKQILDKLDV ERERGITVKAQTCSMLYNYEGEDYLLHLVDTPGHVDFRAEVSRSYASCGGALLLVDAS QGVQAQTVANFYLAFSQGLVLVPVVNKIDLPSADSERALEQMQTAFELKPENAVLVSA KTGLYVEKILPGVIKQVPAPVGDLNKPLRLLLVDSWYDNYKGVILLVRIFDGTVKAGD QVVSFATGLKYFVGEVGIMYPDQTPQSSLRAGQVGYIYFNPGMKKSQEALSGDTYTTV GSEHLVEPYPGFEEPKSMVFVSAFPVDQSDFGHLEDSINQIVLNDRSVTLQKEASEAL GAGWRLGFLGTLHCSVFEDRLRQEHGASIIITPPTVPFRVLWTDGREEIIQSPTNFPD TDVLSQRIAELQEPYVSATITLPEEYLGKVIELCEANRGEQKELNFFTATQVILKYDI PLAQLVDDFFGKLKGATKGYASLDYEDAGFRRSSIVKMQLLVNKEPVDAVARVVHTSQ VDRIGRQWVKKFKEHVDRQMFEVIIQAAAGKRIVARETIKPFRKDVLAKLHASDISRR RKLLEKQKEGRKKLKAVGNITIDHKAFQKFLAK LY89DRAFT_673788 MAPITLPAGRIQIHADPEPCKDFFQTLKYDIYHSQASGLDTFPT KDKLSWAVQQCPNSIEGLSDALSLAENKLTIHLESVLPNFERGQLIAFLSQNLSIPTP DFNSNTSTASIHTKPEIPAPIHKNAGIAFAVVALIVVVTLLVYHGVKYTRSIIHRREA ERSARLRRAISPEDAKKAQEYLRGEWAEIDRRQAARAESIQLQELSTAVTENVAELAE VYRHPRMDWT LY89DRAFT_688477 MATSNISISLATEDDAQALASVMTAAFAASDAAYPLIWGSAEEG THDAISVKGLFTPVQKEGRITFKAVDDVSGKLVGFATWNMPKEKPVVPPQAAEKMGGL PPLPGVNLELWGAKVAGPRKFYDRDFDVYQDILLSFFFVQPDYQRRGIGSSLLEWGKK KGDEVQGKIWLTSTPQARVAYEKNGWKVVESHEIDLSKYGGEGSYVRFWMLKSPV LY89DRAFT_218994 MVSHTQSSSDRQANGRSGAGNGHGKTERTPGTPRKDGGAGKEKA VQDPGLKDYRLGECLGKGAFGSVYKAFNWGTGEAVAVKQIKLGDLPKSELRNIEAEID LLKNLNHDNIVKYLGFVKSSDCLNIILEYCENGSLHSICKSYGKFPENLVGVYMGQIL LGLQYLHDQGVIHRDIKGANILTTKDGKVKLADFGVSTSTLSGADKEAQVVGTPYWMA PEIIQLSGATPASDIWSLGCTVIELLEGRPPYHKLAPMPALFAIVNDDHPPLPEGVSP AARDFLMQCFQKDPNLRVSARKLLKHAWIVGSRRSDAPVAKPPANFNEAVEEVKQWNE ALKSPTGAGSFRASTRSNAASPMPPRRDPPLRDPHSDQKPTTLITPAKGPLQLAKPKN QAEAFRSPETTENDNWDDDFASSISPSALHLPQFKPHDNFGGMLSSDRLKAFASFEVP NDENDNWDNNFEGDLVTIKGPRKSIEPDSHELETIRPYKVKPTIVTQNNKPAVAVKPS SRKTSRSEPPRPKSPVKAQVGAKAGPKFVLPARPAAMYREQSVEDYSDLFVDNDSIFD RRLSIIKDDALSPKLFHPSDLTSLPRSAQSPVALNGSMRRKTVPQSEDKAMRRTRSSV EIQRYAEDEDDEDFSDIFGKDGTIVEKDESDRGSEDGVGALMLHSKLSNNSWLGDEDD EDDPFASLEQGFDEMDLQANIARDKHARLCTLVEGLVSSLKVTEEHDLIEDVSEQLLD VLYESEDAKSLIISAHGMLPILEILEPCTVKSRQPMILRLLKVVNTIILNDVEIQENL CFVGGIPIITKFAARQYSNDIRLEAAAFVRQMYQTSTLTLQMFVSAGGLNVLVEFLDE DYDEARDLVLIGVNGIWNVFELQGPTPKNDFCRIFSRSKILSPLALVLDRVLDEEDEL SELIEGRIVNIFYLFSQAENYVKEVVADRVVLKRVLKDLRRMTPAHQITMLKFIKNLS MLSTTLDSLHAANAIELLIDLLGSSMKKDANHFREISNQVLNTMYNLCRLSKVRQEDA AINGIIPLLQKIMKTKRPPKEFALPILCDMAHSGKVGRKYLWQNKGLQFYVSLLEDQY WQVTALDAIFIWLQEETAKVEKCLLEGNFTEAIVKCFNAHKASAFDYNLLEPLQKLLR LSSPVAASLARPDLFSGILQKLNHKKAVVRLNLLRIVRSICDPSDEEAGSIQSHPLFD EVQRLAENDNAVLVRNMASELVKANLDTNSETSSGGRSRPGQVRRTFTPPSLQHSMTA PMTPTHANRVSQSSAFIDGSVTPRRLAAGSNGSDIVYRPRSRDGSQIQMTRRTSGEVI GGSSLSKSRLPRTSMLRGSRSSLAAMSVSDDSTARRENGVRLREQSRSVAASPAQSSG GTAPQLNSKRRTRPPSGDIKWS LY89DRAFT_738622 MGLPLFITPVEPEVAAKPEKATAGPRSSIRRQRTIRGPHSRPSA EIRRRRMLGMLADAEPEDFEPSENRQRVPTSDRTGELDGRSMFEQERMRLRDTLSFER QHRPSNEIDGLFMPPVPESRDYSGTEERQREILRLRAIRQDLRRVARRRPAPTPPYTD TDLNFMARNGPGIDSPRPSSLTPARSPSHPSVGEADVSPPRRPLEDSDFSFTVRGPPY AERAARSTLSERVQAFNRVSSNMERSRRIIRQARLDGLGDRDRSLSPENGAAWDTLLT SITPDPQPPSAGSSFASASAAAAASSSGSGPTSASTSMTSVDRSGDSTALRDCDISDS GSNTDEEDEDIYELHDFNRMRGDRFWTYADVVTSRADRAARNGGTDTEHLGGMHRIIS RLASRDEIPEEWWASAGLSRNLRREPTT LY89DRAFT_722384 MIELGLGRISRLLRHTPQPWKAIHVAGTNGKGTICAYLSAMLHA SGVRCGRFTSPHLIDRWDCITINEQTVKESVFKEAENLVLRRNRAENIEASEFELLTA TAFEVFAREKIEMGVVEVGLGGRLDATNVMENKAVTVISKIGLDHQSFLGNTIEEITY QKSGIMRSGIPCVLDKSNPASVRKVVEDYAKEIGTEVVLSSTESSFLDELSKEDFEPH QWQNLACAYTAFHLAYTKLESPLHRLLPAVQNVTWPGRLQTLDIRSVTGRSQPVLLDG AHNVQSAEVLGTYVDKKLRTDGRKVTWVLAASQGKELEGILKSLLHQGDCVAAVKFGP VDGMPWVQAMETHDIISAAAASGVDPTHLHGTGDISTALRWATTVAGDGPLVIAGSLY LVSDVLRLLREAEKVKNELLGQSPILERSPTAGQMQGLISKKIAGLVSAQSSPVKLKV VRFEHIEDINSAPAQFSEDASESGTSTAVVDAREREHVEMSSPPAVSSRPSSPTKRRD ISGLQLPIQKKLRFAGLEDEDEDSSDTSPTVMNAFQSFEDMSIKEENNDKTDSLPMPS LRIRRRETFCTPSSAILKMALPSTFKPYFDMEQIWEKCVLVNRRRVEVRVNKDGEDEI VQVPYSESTYVNMAKKVIRRFLAVKYNCYPYTEDLDSDIEFLSSLDLPDFIMISKPQK SDPIAPLASILRESRIQERLETFRCLAIHPYYRDQDFEAKTNMKQDLILLFDRRFTCD VAVVYGVVVPITHDLLRLGDDQAFCEEDGLDTGGSDDTELEEKNEAAWETLLKYGYEK DPMTYWLQWGRDHVPDEFSGKIATPKNVFLEDEEEQEQTDEQEEEVDDEGEEIEQSEN SDDSVLSNIDDEPYVVTPSIVKEGTD LY89DRAFT_722385 MEGQIKELVDKIWTKFQTLPPNERLLIAISGIPGSGKTTLAHLL TTNLNALHPSPTPIATTIPMDGYHLTRSQLSALPNAPEAIFRRGAAFTFDSASYLSLI RSLRLPLTPSSERTIYAPSFSHALKDPVENDIAILSSSRILVIEGLYLSLSSSSSGST SSDGGSFKPDPPQAEDWVKAGDLMDMHVFVEVGFERAAERLVKRHVESGICESVEVAR ERAWESDLRNGREIVEGRRKFREGVDVVVKSWEDEGWR LY89DRAFT_738625 MDNEAEIEEEDLNGGLFSITLSSSDSDTSPTSPKLPRDFQSEAD FQAILKTWKPKVETGDLYKTLKLPINRPTKPESQVILHAVEELYFLRRYEEALGVVER ALEGELGVEIRGVLGRYRGKCEGKVGSLGRK LY89DRAFT_218843 MKVFSNDEVFDYSWEEVSTANWRKYCPWNDKSTHVIAVDTLSRS VDPESGILRTERLITCKQSAPKWLASLMGGNETSHVFETSYVDPVSKKVTMISSNLTF ANIISVQESVVYQPLSATKTQFVQNAKITALCGGWQKVKNAVEDATVTRFGENARKGK EGFEAVLEMSRRVFREEKEKARMEVEVQEKMAA LY89DRAFT_699975 MPITPESVVGRDTLNGTTLSSIIQEFGTDMAAVDIAMKVNSSRG PRRSIAKALPSSCAPLLPGIIILNQRYFPDSEFSAAKVGATSFAFSAMSVLQEARLFA GMILYKRDESITTPRLLTHMKSSIPCVTLFFNFLMDWMSIRDALNEATESLTFASYGT KIKAILYHQTDTLLAYNPEWLPSCVTHHGPFCDDFANHFSTEEAAIAFGSCEKAQHLK TFQRIGLRHLRSAKNMFVLQHSSVQRNYLLRHNVEASRIQKLSPPINIESLPRLPLAS THPKIVEFMHAKPSLLLFTAVARIDFFKNIELFVDASVELVGRGRAVRVLIAGDDEAD NSRRQELTDRVPSGIRSEFMVIPKLSKDCLYSLFDQVADKGVFVCTSRYETLGITPLE ASLSGVPTVIANSLNVEASTYYPEEYRFENSITALAGLVEAFLDSGMKGRGCYLRKRI EEHISHDKFRKSMMEAWRVFSLAACGNEHGKHNEPSVGIRKDAAVIDVQVVA LY89DRAFT_688483 MPPELRKRKAPAAEPAAAPPAKKKGPVAKAVAKVKEVIAPKTTK TAAAKTNGDASQKVAVGETIDLEGFGGEIETNDGVKTTLKKLVDESQSGVVLFTYPKA STPGCTNQACMFRDQYTPLTATGFSIYGLSKDSPKANTTFKTKQNLPYPLLCDTSATL ISAIGLKKAPANTTRGVFVIDKSGKVLAAEPGSPAGTVEVVKKLVEGGEATTAAPVTE AVEEPKTETTNGVNGTNGLTKEDTDKAEVAAEVADTAEKLDSNDAKPVVA LY89DRAFT_624318 MSSHTAAKAAQAVNRVVAVNKKYTVQSTGIWERIRRLLAVDPTR SNGVPLNPQFRNPPPGSNDPFSFIDPVTIPAGDIAENPYWKRDARRSYPRLSTVTQGD AVGLLSLGSQSHPKKELIGEAGTKELVAVKEEGEMGLASYFGKVGKEGTLAALGTDGL PPLPSGASLKAGADKYHLTAENAYPEQYPCRTFQ LY89DRAFT_786152 MSFGYAIGDFATLAGIALDIVQNTRKACGAHDNLTREVNKDSRR DELATLAQDCRHVLRVLSQILEKYNALSDEKRSVTKLWQRVRFGNGEMQDLGKIRSEL ATYTQAITLFLNMLTVGSQGKVEKFMDTQGKDTMELKRSLNWITAKMQASESHEEKSI LTSYSEDDKLVWKAFRRELVQEGFSSRSLGRHKDIIKQYILELGTRGLLDETLYPESQ TTVESESAELSAEEPSTVSFEHTDFDALDPSSDDTSEATASAIEDNDSDAEVSQQEVK LTTQENSNQDDVLDTEEQEYDSDSSSQSTSSYDGPNIHLNDIQTDVGIVQDDLPSNSL TSQTIQPTEKEAVEGSGNNYSASVEDIKDEDLIQGAHPNCSTEYIHSHTPSPPDRLIH KRPSPEGPDARTSHIPKDRRGESPNRHEEQPELKYDPISDRLPLEDASNFRSEFQGLP KNLNFSHPEMKSVTRRFKNPSKTKNKRTNKNFAKISDKDPSVRSSDPNNSVPKPLAEQ VASLVHEFSSLASKHASEQSLETVPRWTEIDAGSWLDPKELPFFEWPLNTSRQLERLL MSQVKQMIDNHLLWLDERLYKITVEDCTIYSFGAELAQEAMKQMMDNRKDMKAIAYTI GSHQSSLIDQEEKSAKIRINRRILDAASYERKADGMYSFALSQPPVSGMIMLEIMNGG LVEKLTQQERERNARARSLFRLYHAFAKKLLVYARYNCDRQLFIFEEVRSLARANLFG KAPGQLLLKFKREKGVWIGDQYFSNDKE LY89DRAFT_673798 MSNPKKRKPTASSGIGFRGRSDPEYPLDYMEGDENHSSAFLGLQ DMQQEMYNDIRKSFIGEEEKKFYKRWTKKEKEEMRHEAKLDLEEENRRILEELKVKLE ADVGAKYAEELQKMKDLLEREEERSERLEGELRKLREECRKHTAARTSSTLEGF LY89DRAFT_688485 MSSRRSARLSAVSSAIKEDPKPSENGSTPPKVANISKKRKVAPE ITEPKTNGSPSTPKRKKASKPIPPITPTPTAIGLMSMPYSSGDIDNTTPPPINRLAVP NGTNAALVTPETHRLVASKPVDQISPSKKASVQTTGKILDEAIAHLLKADPKLKPVIE QEHCHVFSPEGLAEEIDPFRSLASGIISQQVSGAAARSIRAKFVALFNTDKSDASQHV FPTPSQVCGSTIEVLRTAGLSQRKAEYILGLAEKFHSNELTTEMLFNASYEEVLEALI KVRGLGKWSVEMFACFGLKRMDVFSTGDLGVQRGMAAFMGRDVNKLKGKGGKWKYMSE KEMEEIAEKFRPYRSLFMWLMWRVEDVDISTLQG LY89DRAFT_593672 MLLPKGGVTWKSAKASLPPTRAIWVFLTRTRFLLCVALAGIVLL LWRGVSTSASEMQRFYCWGPSKPPMQMTANEAVDWNAHLQTPVIFNHHEPLVINESSI KRVDLNPILSTPKAVSNEERVLILTPLRDAAPYLPKYFDLLSELTYPHHLIDLGFLVG DCTDDTLAVLSAELARIQKTTDTLSEPFNSALIIEKDFGIVTAQNVEERHGFKAQAPR RKNMARARNYLLTSALKPEHSWVYWRDVDIVDSPKKILEDFVAHDRDIIVPNVWFHRY KDGKDIEGRFDYNSWIESDKALRLASTLKKDDIIVEGYKEYDTGRTYMAKMGDWRQNK DEEINLDGIGGVNIVVKGDVHRSGINFPCYAFENQAETEGFAKMAKRAGYEVIGLPNY VVWHIDTEEKPGNA LY89DRAFT_688487 MSQPSLVNYIVKRPWLSRFMKPLASWYANAAGYRKLGLRADDLI PEESETVLLALKRLPPKEAYDRVFRLRRAFQASLAHQLLPKEQQTKPEEDVEYLSPII REIEAEAKERDDLESLSLNKRKA LY89DRAFT_738635 MSHERSTPTSPGSQEFASSPTSAQPFTRKPMSFQRNSNGPITPF ENWCRGRSDDSFAALAGATAGFTSGIVTCPLDVIKTKLQAQGGFRAQTSAPLAHPVYS GMVGTARIIWREEGLRGMYRGLGPIILGYLPTWAVYFTVYGKSKVFFGKRFENKNLVN FWSSIIGGACSTMITNPIWVIKTRLMSQVSRKSTLPGGRPPWHYKSTLDAARKMYRTE GLLSFYSGLTPALLGLTHVAVQFPTYEFLKTKFTGQGMGKSKAGDDSTHWIGVFSASV LSKVMASSATYPHEVIRTRLQTQQRTAMPSSTSEYATFRGGIEGSTVHNPANIIAKSK SVLPRYKGVVTTFKTILREEGWRAFYAGMGTNMMRAVPAATTTILTYEFVMKQLNHGR EEGRRKSDLLT LY89DRAFT_219200 MPPKFNINAKTIVAPVAAFTMATLLFVYSRTSIQAAKRNAQRHR EADGGQINWHNESLRRHGVLESPVKEGTVGELVGTLQAGREERRKGKGEESKVIEETD AERVLRERTGKGRG LY89DRAFT_653618 MMKSFGFNVAIAPARTLSRPATGSRDWTCRRCLVQSQSVRETRQ VRGFASRRYSYGKGQTKSKGRPRGRVLMAAAGTGLGATVLAFPDDIKHTYESIQRAGA VVSTLAISINDYRVTLNQNEKTEGEGEQDTAIKACHKRCAERTLKVLEYNGGIFIKLG QHLSAMNYLLPVEWTTTFIPLQDRCPVSSIESIEAMFKQDTGEEISNYFSEFEPEPIG AASLAQVHLATVKETGQRVAVKVQHPSLQEWATLDLALTRFTFSTLKRFFPEYDLEWL SKEMEYSLPQELDFVLEGENALRAKEYFSHIPELPLVIPDVIWAKKRILVMENVSGHR PDDLEFLDANGIDRDEVSAALARIFNEMIFGTDAPLHCDPHGGNIAIRKNTQRRGCNF DVVLYDHGLYRDIPMDLRRSYAKMWLAVIDADEARMRKYAKEVAGINDEQFPLFASAI TGRDYSVLTKDVSMARSMEEKKNINQALGEGMLQQLVQLLGQVPRIILLILKTNDLTR SLDENLQTRQGPVRTFLILARYCSRTVFEEQVEELKKRGSLLWPRNIIGLLGAWWGFF RVEVKLEAFEFWLAVKRGWHDVVG LY89DRAFT_786158 MCIDTIFTYDYCTKVEIRHRICTFFIDHTHRQLDCQPCPNLVQK FERYPNKRCECKVNGKRCIRRNLALENDGNHHWTFEADDRNGKWSKPDSPEKPRFMDQ EEARVTPQKPAKKQKRGRFSDGDGEVDVGSGRLSRSRSLNNLLDLELDDDIPQGSDKQ RSDRSSDGSPVNRKSKDVKIKEEPLSDEEMID LY89DRAFT_738639 MCVETIQTFTTCHCTIHRHNICESHISLTHRQLTTTPCPSLTTR YVQTTPTTSLTHGPLGCASPTHCPGTTQELYHFDNGQAKRKERIVAFAELRGVDERAR CWDGRLREGWRHGCGMGRGWDGGREGGSWRHLSGVDLQVGKRAVAKDVKGKREEDEDE YDEEWSEYGRKENVKVPKIKVEEVDDGSELARVLEDVDMES LY89DRAFT_688489 MAKGRTTTAHKDGEPPGHPANEEDRGLLSRADSSSDDEGEEDLV VHPGPSSPPVPEPRTPRTPNRVRFDLPPALETGEDDDDAPPPYVDSPRSGRRDQRPQT VPLLTGIEAPSVTVANSPWGDEDVHEWAERERSRPKSGLRSAFMNMANSIIGAGIIGQ PYAFRQAGLLTGIILLLVLTVTVDWTIRLIVVNSKLSGRDSFQGTVEHCFGRTGLIAI SVAQWAFAFGGMVAFGIIVGDTIPHVMSAIWPGLEDIPVLGLLANRKAVIVVFILGIS YPLSLYRDIAKLAKASTLALISMMIILFTVVTQGFFVAKEDRGDFTTPLLTINNGVFQ AIGVISFAFVCHHNSLLIYGSLQTPTIDRFSRVTHWSTGISMLACLLMALAGFLTFGS LTQGNVLNNFPTDNTMVNIARLCFGLNMLTTLPLEAFVCREVMFNYWFPNEPFNMNLH LIFSTSLVVSAMTLSLVTCDLGAVFELIGATSACALAYILPPLCYLKLSTKSWKTIPA ITCVVFGVLVMGISLVQAVAKIISNEGTVSQCR LY89DRAFT_219329 MRAFTILLGLIALATTASAFYPWYPDYRCAETHNCVEAKDSDEG QIVGRGNGLTLKIAQRLPETDEASVNRIRHLGEQLKRKYNRGSPVKNENAPVRIAKRT NTYSIMPAATPTQASSAGIDQDGTDYSYFAQVQLGSTNTPVYLLLDTGADSSWVMGST CNSGPCTIHDLYNPTTSSTYKALGESFAVQYGSGSVSGTTGTDDLTLAGLKLPITLGV VNMTSNDFNNFPMDGILGLSLGKSDNPSYIDSLIASKALKSNIFGMSLNRASDGPNTG EINFGAVDTTRFTGDLSYTAVSSIGGGFWSIPMANAGFGTTEAGITTKLAYIDTGTSF IFCSPDDAAIFHAVIPGATTTDNVTWHVPCTTTTSVTFTFGSTAFPVSSKDWVSPPSS GVCTSNIYGHDIVSGNWLLGDTFLKNVYAVFDADQSRVGFAQKTAAATASTTTSAATI ASTGMPSSTAGTLSTTDSNGPLPGTVATGTSATFTGSTLVSATSGGTTPTSSAASPGL NGQETSNSGTAVAQTTAAASPTATKNSGLRVRSSLYAACLGLLLALIT LY89DRAFT_593995 MAEIRRKLVIVGDGACGKTCLLIVFSKGTFPEVYVPTVFENYVA DVEVDGKHVELALWDTAGQEDYDRLRPLSYPDSHVILICFAVDSPDSLDNVQEKWISE VLHFCSGLPIILVGCKKDLRYDQKTIEELHKTSQKPVTPEQGEEVRKKIGAYKYLECS AKTNEGVREVFEHATRAALLTKKKKEKKCIVL LY89DRAFT_593978 MQKVIRRSVLAEKQAARRHAKRKETATREWAKSNREQDRHLRRE AIDQLKQARLNRREDWELGSLAPKRDVGKSKDTYGTIHTQRMRGTLLHHKDRAEAFQP VGGRYLNIVKNDRVVLLEGRDKGKIGKITAVDKARAECTVEGLNLIDVAVPSYMISAE DPDQRPIRTIEKPVPLASVRLVYPLSDPETGVTRDVIIKKLKNGPIFHDRHLNKTKWS RIVPGLNIVIPWPKTPPKEHKDGPNDTLRIDVEQQTYVPSLLRPPMPGSVIDELRNKF SKFRTRHDPEYIEAKLAEDRAVEEKKKQAAEMRTPLKEINRRERKLRKAKGKGKLSTE MLERIGHIIAKKRGLTMGVVGAPKEALPLAA LY89DRAFT_653631 MRNLRNTRFDRWNAPAQFSGRPLTATTWDLANDSVLCAFGPTEN DPLIELVRVNIGSDTSEHSIITSWDAPCPNPELACDEILSLHYFGDSLTACLVLAGGD IVVVREEPRAGEDRIEIVGSVDAGITAARWSPDEELLAITTRADTVVFMSRSFEGVTD VTMTPEDLKASNHVSVGWGKKETQFQGRGAKALKDPTMPEKIDEGVLSSNDDHRVSIS WRGDGAYLAVSTIEGGARRILRVYSREGVLDSVSEPVDGLESALSWRPAGNLISGVQR FKDRVDVVFFERNGLRHGQFSLRLTSEQLQSPDQNIKLIWNSDSTVLAVIMADCIQLW TMGNYHWYLKHEVAIGGLQPVCPVVWHSEKPLRFTIGASDGFTLIEYIFTTARGPISP PEDYGPIAVIDGKIIKVTPFRAANIPPPMALHEVEVQSNALDVAFNADTSLVASLHSQ GISLFEWKAATVPSVSPNLTGRVTFAQIDRQQSVYQQICFGRTNELLVLKRCDSESNS VVDRYGFDDVTGRIELISSETEGVSYTLLSSFQGDTAYPFVQSRSGDLHSLTTADRST WHCSFPHYLPWVDVLLGIKAIAFGMSENGHLYANSRLLLKNCTSFLVTPAHLILTTTT HLLKFVHITNVDDLEVPPDDPEKDERCRSIERGARLVTAMPTSLSLVLQMPRGNLETI FPRAMVLAGIRKLIDEKNYKKAFTHCRTQRVDMNLLYDHAPAQFLANVPLFIDQVKKI TYLDLFLSSLREEDVTQSMYKETRAMSTQENGIPEVNGINGVSHPEPSSGKTSKVNKV CDAFLEIMKARTSTNLQNIITANVCKSPPALDDGLLVVAQLMTEDSQMADKAVEHICF LADVNKLYDNALGLYNLDLALLVAQQSQKDPREYLPFMQNLQEIPELRRRFSIDDHLG RHNKALEHLHSLDAFLEVQTYTQKHALYQQALSLYRYNPSQHSTLTNLYAQYLESKSS FKEAALAYESLNNYEKATSCYLSSGPSSWRESLYCALSQTPPISGPALTDLATTLYDA LIESKDYFAAATIQLDYLSSIPNACRAFCKGYFFADALHLIAMKRTTELLEQVIDPCL GDALASSTELLSDCKAQLLAQIPRILELREKALLDPLAFYEGERGGGADGDLPDDVSV AASSRLSTNRSLFTRYTGKGGSVGTAGTGVSRATSKNRRREERKRARGKKGSVYEEEY LVNSVRRLIERVESVRGEVGRLVEGLVRRGMWERARAVEVALAEVIEMCRGCVPEVFG TGESVKVEGEVGADGEVYRAVGGDAVLQESLEAAGKKKEPPLIAAFQKLALLGT LY89DRAFT_219512 MDVAYNHHSPYSRHNSRSHTNLNHLTLAPLTTRLPLSDPDALPE SAYHVSYIEGRSAPTTPSILSRSSSRVSLRKPMNLSIPKSKSSTHLLASRKQPRSGPT TPGGTKLKKSITKDELYLSTLSAQDRNDSDWLLRAGAAISSSTRESKGQSWLVSRASS TSLTGQRDEDEEELERTLAREREHNSKRASRRGSAIGAFDADDEFSPVTTRRSLSFGP ATGHGSRPVSGFGSRGNSRRGSRAQLFTPLIGERDGYFDQRDFPREEYITEPDFVDVD EEALNNEEEDDKNDEAVIKKLARASNIGLGGWVEKMLGWSLFAVDEDGEDETEMIDEK GEESEISSTSRKTLDGATDPLPGDVPPPLRDDEAGGWQDAAWLLSVATKVLL LY89DRAFT_219514 MMKLDIPRPHLVGDTGQFIHSSPCGRYQIWRAVIPTFPAEAIVN ATNRQMILNTSIVPGGEFQIIRAAGNGLKEYLYETYGSGSGLPVGEAITTPSFEMANC WFLIHVNGPNYRMRTRRTLPLLKQQLADCYRRCLEEADKHGIKSIAFPCLSTGATLGW PRAVAARIGIDTMRRWFNHPIFGQRRRERIPGPIFFLADPVGPYSHQEEAWLAAFK LY89DRAFT_738647 MPAKANVLLIGGGSVGTMVAYNLETGGKATVTVVLRSNYDVKPT KVVNAVPRAETGVAPFDFIVVTTKNVPDIHPTLAQIISLAVTDNHTSIILVQNGLNIE KPLIAEFPNNTIISGVSLIGAQETQPGHEKSVLAARKFEELYKASNKVQCYYDQDVGF VRWRKLVYNACYNSTCAITRMNPGQMRLCQFPLDLLVRPLMQEILAIAKEAGHKLPDD CIEQLIKGGPINTMFKPSIQQDIEKGNFIEFENIVGEPLRKAERLGVAAPFLKVAYVI LKVMQQKTKQDKGLLDSYLVPSFPLTPPEDTLICELGLTLQS LY89DRAFT_786166 MANRAAALDKNIYTIRDLKEAATKKLPKMYAEYFNEGAMDLITL HDNEEAFNRYKIRPRILINVSNIDTSTTIFGTKVSFPLGFAPAAMHCLAHPDGEIATS RAAAKNGIAMGLSSWSTCSMEDVVKEGLGNPYAFQVTLLKDREIAIRMLKRAERNGYK AILLTVDGVVLGRRLNEFRNSFSLPSGITYPNIESEADPSALAGADDSLNYGSKTSPD QKARLFTELMMEKKDADVDWASVIPWLKKNTSLPIWVKGLYTAEDVSLAIQYGLDGII ISNHGGRQLDTAPATLDALRECAPVAAGKIPIAIDGGIRRGTDIFKAIALGACFCFVG RVPIWGLAYNGEAGVDLAIKLLMNEFETTMALAGCKNVKEISRAHLSILRPDGVLAKL LY89DRAFT_786167 MSTIQKFDPLGLFNGAPLMSQVVRANGMVYTAGQVGADSHGYVP PAYEDQIPLALECLRKCLETAGAKIEDIVHLRYYIVDYDPTLKSQSHYKHLLKFLNGH RPCTTLAPVPALAKPEYKFEIEAVAVASAMPAQVQDTSVDVVVVGAGLSGLEAARNIQ AAGLSVLVLEARDRVGGKTWSQNTDGRSKVDVGAAWINDSNQSRMWAMAQKYGLETVK QNTVGDCILYEEETAHRFPNGETAPFPDGGQEEVATLRDYLDKLSLELEIETPTNGDA VRTKQYDSETVEEFVKREGFGKAAQAAVAVWCRVMFALEASELSALYFLWYVRSAGGL LVTRGEKKHGGQYLRMRDGTQSFSKHLAAGLKLGTVRLNTPVKKIKQIGNSVTVEAGN GLEIACKRVIISVPTPSYRWISFEPPLPEAKQLLSERVNFGHNVKAIVVYSMPWWRDY GLCGFAQSSAGPISVCRDTSVDPDGQYSLTCFVSSEPGKRWIKLNKEARKSSVVEQIA KMFGPACAVKSTNPTTLDEVMSIARNPAEIYYHDWTADEWSQGCPCPALPPGPNGILD LASALEESVGNLHFAGTETSNIWRGYMEGAVRSGERAADEVLSAIGVRVSAKI LY89DRAFT_688497 MDGLPQYQKVPLHGVSAVSLVPRPSSRDQHKARKTTVLEKVGTW NSIIIFIGSVIMFGVLSFLWFLWTSNEHNPFWRWLVLNGFLQRAVTLSSAVLRTIITF QASLCTSMIAASLMELSNGFNLLHAASISIKRYNGGLPVLLLQSGIFDRRTWYLLTIT ILLSSTTIASYFLSTGLVADLGIAPIIGNPVAGTNAYSTNFTKALVLRDYEPDFSIYT PSTYPAFAEYSEPASNIQGIDDTGRVMRAILPISSATTREALSNYTGQGTLLNSHVVC MKPTLENFTLVTGGGVSQTDPLYVRGYVSVGSTIPSGLNFYNYSSSDTAFPATPTSFI SFTCSLAKLMNHHINGTEYPISMCVAGNYFGNETIGGGGGEGTTRSPTLGLQATTFLK DDILMDPLSYILVNYTGAAPASYGRKLYTNWTDVSSSDSSWTTLQNVNNFTSELESIS LTYCFTNFGSIDTNISVSSTTNRTEPELQGLSSGTNLNADEVLRQLNASGTNLTLEER GVLALNYSNWTGLTLGSQYDTSINSSVTQILGGTYGLGMVYQSNFLSPVQTTWALCTY CAIGKESVGDTNTTGISGALSSIFQSSLQQSGSTANALSAVLTVMNMVQYYTRLQQAD IVGNSSTTLIEQQLQPVHRTGLITVTAAIAVHLLIVTVVSSIFLSFTKFSFIGESWHT LAQLQSRDVMPVLQATNTMRDGEVEIWLQERHVSEEKMTLVGRDDEGAHVRRRRVGAP ISMI LY89DRAFT_219568 MAKDTQMKKRKASVPEVDAEKTKIKKVKNASASTEAVKAPAKKR KATEDAAPVKVKKTKTPKPIDEPVEPEAANEESEAPTIKKLNGAKVSAKSKKAVKDVA TAAKSKSTAKAPKTVKVESAKPNRKPDTVVESDDDNEEEDSDIDDQTEALLKGFESDG DEDDALNEEGLLEGESVPERKQLSKSEEKKLEKIAESGASDKPGVIYVGRIPHGFFEH EMRAYFNQFGNIIKLRISRNKKTGNSKHYGWIQFESTTVADIVARTMDNYLMFGHLLK VKLIPDEQVPANLFKGANKRFKKVPWNKMEGRKIGQGASEEVWDERIEREEQRRDEKA KKLQAIGYEFEATKVKSAKGVSKNKVAVIEPNIEAASVV LY89DRAFT_624362 MSTSARNTFDPSLDIPDIPGKVVLITGGNAGIGRATCLALARHH PACIYILARNQQTSEATIAEAQKLAPNTTISFIECDLASLASIQQAAKHVTAATQRLD LVFCNAGILGAPPGLTKDGYEVHFGVNHLGHALLLKLLMPNLLATAVLPSDVRVVTTS SDGYRFHASEGIVFKGLRSTQENLNFMGRLGGWLRYFQSKLANIVYTVELAHRYPAIK FVAVHPGIVDTPLTPNWIKSTAIMRQLMAGGDLRTPDQGSWNQLWAATSQQVSSAQYY EPVGIVGKRTKKSKDPKLAQELWDWTETQLKEWSI LY89DRAFT_219529 MAPKDSISQAEIWDDSALVDSWNDALEEYKKYHSINARGENVDK ILNEAQQPNTARGEELAYEDGEVDEPLVNDPLAKKNISEQTGHHDTKSVEEHVQAREE SLSVPEQASSRSPALPQHLIGQVHDEGLKNLLMSWYYAGYYTGLYEGRQESKTSTSAK HEA LY89DRAFT_699994 MRFSAVAALCAAPLALASSLQADLVARGAVNAEKGAKANANANS GNSIVVQESGAVSSTEVVIIWVNNGGGAATQTVTTTQTVIGSSTVSAATHQVTVGGTA GLVYSPDNVLANVGDMVVFTFLSQNHTATQSAFATPCEKLTGGMDSGFMPNINGSVNP APQMAMQVTVATPIWFYCRQTGHCGKGMTFSINPQNGQNGNKTQAAFQQLAISQNGTG STAVIAGGTGASSAAPVSIASATASAAAVQSASAGSSGLTSGSGTLNSNGACDCSCLC GTAAFPAAVQGIGGFGGMSG LY89DRAFT_709898 MALQIPLTYKLAQLKHIAFKCGISTSGTKPILIQRLIDEITSTP RNASPHTRILSVDMGIRNLAYCVLDVPPTSRTKTASKKSLPSILEWHRLAVSSAPRQS ADTDTPLEKEAFDPATLSSIAYKLLRERMLLEQPTQVLIERQRFRSGGSSHILEWTIR VNMFEAIIYAVLCTLEAEGLWKGEVKAIQPGKVGPFWVDEWKAEAGVGKKRSSLLAKT HNKGAKVDLVRRWLESGDVVVLGSESVQDMAKAYGEKWKRLPGRVKGKRKEVGESSQE KMGKLDDLADCLLQVMAWVQWEENKRIALKHGVEALLEE LY89DRAFT_688501 MYASSTFVFTAILALAKIAVATPPACLIAAIGAQANPGDLKSLC GSLESQVVGNITEKCSGSAESAAISVYSATCLASASVTISIPSSSSTSAFATGTGKTS ATGKASGSTTATTGSATAKVTGTGSSSTGTATGTAASSTTTKASSAGFNSPQLVLAFL AVGFTGQYLL LY89DRAFT_653655 MSKQYLTTHTVDDAHVTDIFSLAVTPTQVLSASGASSIKIYSTT SPDMPLEQTLTGAHKLGCHHIVTSRNGHVAASAGFGGEVKIWRISEAGEWAEDGKIVD GNNAGEIWAIALSEDGQFLASTTYDGRINVWDVVDGRKKIREYETKGSFGMCIDMSRD GRFTASGHENGGVYVFNNDTGRMLYSLPGLVMPVRTVAFSPAGTRLAAAGDARVIALY DVQHGEQVANLTGHSAWIFSVDWSDTGEYLLSGAFDGKVKVWSIDQRTCVATHSETEK TLWSVKWLPKTGRSEAFATAGANRSISFYREATGG LY89DRAFT_688504 MAVPHITTSSILSSFLPRLSAPAISNASRFTTLFSRQLSHPLLP SLSIGIPSAIQLNVPGFIEGLWESILKAVPKKKTSHSKKRSRMLAGKAMKDVTALNRC SACGHVKRAHVLCPYCVKGQFLISPYRRNCSSRGRNPEHVQGQS LY89DRAFT_722412 MSTLPMDRHAPQQSVYPRSHVGFDSITSQIERKLLKRGFQFNVI CVGQTGLGKSTLINTIFASHLIDSKGRLSPDEPVRSTTEIQTVSHIIEENGVRLRLNI VDTPGYGDQVNNDRCWDPIVKYIKDQHSAYLRKELTAQRERYIQDTRIHCCLFFIQPS GHALKPIDIVVLKKLSDVVNVVPVIAKSDSLTLEERSAFKERIKEEFTFHNLKMYPYD NDEFDEEERALNAQIKNIIPFAVVGSEKSIIVGGKQVRGRQNRWGVINVEDENHCEFV YLRNFLTRTHLQDLIETTSQIHYETFRAKQLLALKESSAAGHPNSRPISPAADRELSR SSQRGGMNGY LY89DRAFT_688506 MAPVPSDLRPVVISGPSGVGKGTLYKLLLERHPSLFATSVSHTT RSPRPGEKEGVDYYYISMAEFEKMIADNAFVENAKFGDNRYGTSKMTIENLTKSGRVV VLDIEMEGVKQIKKSSIEARFVFISPPSMSILESRLRGRGTEKEESIQKRLAQAENEM AYSKTPNAHDLIIVNDDLEKAYQDLESFIFKKRTQDQEAGDASSAGVSVQD LY89DRAFT_722414 MFSESKLAGPGYITLNVIRVLNVISLLLVAIGSWIMLVMTVKTS NFYFFDGVSHFITSVIAIFLIVSELNIFRSYFARNWPLLSPEHGFVFLGTSMIILGFN ILGNLNKPATSIANLGLPLWRVVISGGILTAVMGFFNIIATYVFCDAKLGITGRQVRS DGAAIPQESTKAFSMSSGSIRRPESPLPSYNVTEERRKSRFPFRLPIRMSKTVSISKP VMQDPEQFSKWESRSSPVAPEIQRPPTALHPAYTNHGIAHDYPAPPPMPASTATRYSV TSQYTHPFADADRI LY89DRAFT_738661 MARGNQRDKAREANQKKLADQKKGNTMSGSEMQREKEKVAAKMR EKQAAADAKKAAEAAGKK LY89DRAFT_594033 MAPVPKECDYLVIGGGSGGLASARRASGYYGAKTIAVESKRLGG TCVNVGCVPKKVTFNAALIAETIKEAKAYGFHVEHTAPFDWGYFKNKRDAFIKRLNGI YEKNLGNDKVEYIHGRAHLTGKNEAEIVLDDGTKQIVKAKKILLAVGGHPTIPQGIPG SEYGVNSDGFFDIDRQPKKVALVGAGYIAVEFAGMFNALGTETHLFIRHDKFLRSFDP MVQDAITTEYERLGVHLHKNSSQSKVEKDEKTGKLTIHYKDSTGEGKLEDVDDLIWAI GRSPEVEDLGLDKAGVKQNDKGQIIADEYQNTNVENIYSLGDVVGKVELTPVAIAAGR KLSDRLFGPEKFKESKLDYNLIPSVVFAHPEVGSIGLTEPQAIEKYGKENIKVYNTSF TAMYYSMMEPDDKGPTKYKLVCQGPNEKVVGLHILGLGSGEMLQGFGVAVKMGATKAD FDSCVAIHPTSAEELVTLK LY89DRAFT_219689 MPSFMRSRKRPPPPAKLEINRSTEVSVQIERDEEGRRRLEHLFA LLDIPRDSMPGPDEEVSDVSIFTGVYAPTSAFWCDGRTEIRVYVNDIDVTANPEPGSF PSGPSVRPPSRSQTLQPRLRRREKIVNLMLDSAQHLNTFIEKTHEKFSFTHVPADEDT TPVEHNPRIVYHPPRIYGGGLNCAIPMMDQRRIRPSPPSLSLREIGENAVNRVSSYFA RPRDERDMTPLLEQRPAVPDMEANLTSDQVSEIHKWAEDTISESNPLSPQNDGIHSLT TRELLSLEGGRIDKIELAKHYCGPPNTPLVGATDADAMSDVPGLSLDANEDTEQNLNE TQIAKRKDLLNYLGEACHVSIHLAADNINSFEADLNLSDYYKIHLIEPRNTKKVTVGN LEDPVVKQSLSSDETDNTAVERVEIRSLNLGDLEVNEDKDENQIVAAPSYRPVQALVL YLCGKGKSHDCIATMLIDLDDEFKNLKGKVFRGIKFPEQFDWSDDWVAKVVTLCKTKP KHHIWENLVKKAWEKKIHGSAYKLAKKNAKIAKMREIVHRTLEDHFDWRFGKGENENE HTNTNGPSETD LY89DRAFT_688511 MASMSTMSLPMPFSGEPFPCRIGLTRKDGDNIQNGANEIFMISP AALDTRRGLVDQVKTHFHSLEKRPQSDRVNVPDRCFWGADYDAERVKVTWDPVNTYGA SSWAPGTSMNETEITDANLKTMLHALKLSPPSTCVMSLLITHSVPLDLVSRGHANHGY LY89DRAFT_722418 MPALTKTNSCVDIDFTLRRKFKRTTFRGQQREVIVDALDKKDVF VQAATGFGKSLCFQLPAVIDHGITVVICPLLSLMTDQVNALRAADIDAGMINGNTTYT ERQRLLRDLATGHPRTRLLYVTPEQCATESFRKHLRVVYEQRELARVAVDEAHCISEW GHDFRKSFQDLRWFRENFPDVPIICLTATATAKVRDDIINMLGLDEANIKVYTMTTDR KNLHYEVRFKCDEEPHYNDFLGWLRRVHKYRAENVERRRELERKGERIDNVSGIIYAL FRNECEEIAAKLRSDGIGAKPYHAGLHKDEKDETLQRWVNNDKGYDVIVATTAFGMGI DKEDVRFVVHWQLPKSFEGYYQEAGRAGRDGKASVCIMYYSREDRDRAFNRMSRDKLE MKNFEARAKSLQAVVDYCENVETCRHRLICKYFGEEAVPECDYACDWHKDAKGLERAK KNGLASEEFVSTQRELGAYIGYDGYDST LY89DRAFT_722419 MASSVPVIQVLSRNNYEDQHIVALPESSSLPTLPPSSLRIKTSI LSLTTNNFSYARIGHLLGWWDIHLLPESIPAEYADPKKFGRISGWGYGIVLESNVSEI QVGTQLYGYLPIGTLPVDVEIQIDTQVPNQIVVTSKHRQHVLPIYNRYLAFPPANTSE GTTQEQHSKGYDSLMQVLFETSYMMNRFVFASESAELVHPSGDPNDGWTLEKADIGPK TTVVIFSPSGKTALAFAHQLKHSRPVGKLPHAIIGVGSSASQAFSEGTGLYDKVLIYE ADSGDLSLELGLQADSKIIVCDFGARGNAPARWAAKLKPSYSSLVQLDIGGEVVPDSP EKTTEKFMARMGGPLIINASALRSQAMAIVGEKKYFEEFLKEWEAFKAQGGVKGLGLI WGSGMDDVKKGWEALCRGEVGPNQGLVYKL LY89DRAFT_786185 MDRPSGPLGAATQSSRPMVENGAPRPAPPNTTGRRVPNNVRHEA SSSSLKSKPEPTPIAGQQTISLFQKAMDKAREDHQKTDTQAAKAAGMMISGVTIDFSH KGIMDFPDEVIDIIKEELERLALSHCHIKVFPLRLNECFLLRYLNVRDNGIREFPRPI LELKSLEILDISRNKLIVIPDEIAKMTSLKVFSVQKNRLEGLPLCLADMPSLQKLKLH GNPLKFPPQDLLYYSNMSPDGTGMDVEPNDEEDLIITTRVKRYLKQKSEQKATSERKS TNDRSETESGGEESSEGAETPRGPTGPMVKRLPGGRFPVKVNGTEMPDLRSPAFSGPR LPPPIPSRSHYRGLSQQNAALRRPGAVPLTIGNGNERIRSNSASKEGFGIASRDSKDR AAERSRRMGIVSRRAQELELETVDETRSNRHSHYRGLSHGSAMTIGTNGSTRSPASPA DSASQRSIWVKRLSSLPERQRESNSSDPIIEGAKSILYALMQIHPFIHDFLGVAGEGP DKRRSSLKMVFYSANAQIAELDKQIKAWCRYTEEDEEIAPRSNEHVHRACVACLTAYM HVCTLLQSNAQTIAATPNSPEIRSFLVNLWGSIIEIRNATLNFPAPSRSFRRIENTTA NSEISQNQAMGQSMSKESAREDRARAQNSSQMTSSQSSSARSIALKAPEDKAKTSLDE SMRNLSIDERGRAPIQLSTDQIPTVQTRDRSVTPVPLHERPGLYMNPKAKLPDAEYLG QLRMETSSDPQFAVQLRSALLNSTPRSEESFTSNSSDIRTMGGSFTDHDQMFEKIYLT LRDLTKMIEQLMPDMRDRLVIALKLNSKSQNPDMTNETWQIMINQCENALIDAAWLKQ RLSQIKLKDPPPRNNEFWRTSYRFIGGVVDLMQGTRSAKQTSTLMPFEVINKLSVIQK AFKRVLHLIHLSPWAPGDNYPNSKTAPYAVNSLVPQVPLPMTPQSAALGPAVQATVPS TPQSATFGGSYSGNGYDRAGTFTSMTDSSVSSRNGTMTAASAFMRENDGSATPVTARS TDGYSNGLRTTHYQGNSGFVNYNGNSSGMSDGTMHPSSVISPATPSVPNTSFSRNYFG SNGNGSNVYNSRPNN LY89DRAFT_219881 MRYRKFLLGAASALLCGAKLVLAGPGGYLQLVNLSPYNWQLTYQ HSYHMDWIPGDLIPAGGRHEQYVEWWYHWGDNGDCGAEATYQLVGTDLSFTIQARQTG GKKLLIEYSDALAALTMTKEKVIDLGFDHDGSVLFVMSGNGIEPYVTSQPPKNWTQHQ LPLISTKELREISMPRSHNAGMNYVSYAYLGNAHNTQCQTYQIYHQLQFGSRWLDLRP ALAHGKWLTSHVSKMSTGTYAGATGADFVDMIADINRFNTETPGELLILELSHEVDGA DSGATHPLRDGLPPNRWQELYTLLEGIADLWRPTNPDLPLPEDLSTMPISTFIRPGSK SAVLIRLPPWAPAPDSGHPAFVHESRLPWVGEWIQTSDPAILDSSLTTFLLSSRTTPK SPLFRLAYTISPRVKDLIDVANSFHSIIGESMWVEHKLFQTIWEKLSPSTYPNLIEMD NIHNGQVAAVAMVINQRYVSAGAWKRGLRWGVRRDEVVEMGGSGSRNLDLETEHPKAS QLKFLFPQEPATSTSQQLNNFTIATGDDLYSIAKAFSIRYTPYVYSQSIHRSTLPLII RLSSDLTNIKVITHHDLTLGKELQLNFTLS LY89DRAFT_700006 MGGNNYGGTGQSPSGPAITSVIQALEAARDSAESAQDPTVVHIL ETAIADIWRKIEAQPTSYVMTRDEFAVFNYFQGPLLGQTILSNSNPRRSSTGLKSLLL AILGACVALTFLLGTCLPATVEQWPVDEISSASFDLVKYAVAAPSATPSSSAVLEDFQ VYQPVLTPSGATDETTSDDGAENTTTIAQSGTTGSCQVTLMVHSFGYSYGIPFVGEYT PPSCDFNRVSMNFTVTSQGRQFDRLALMYFNDTEVWRTSTAEPTVNGIRWEYIKDMSE FMYFWNTPQKLIFDLGNLIDSTYTGYYNTTLTATFFTSQETVEPADLIVPISARQGAA NQASLFTLPSDNATNTISFPRNVNRAVFSVSACGQATEEFWWSNVLQSDVNTFVPYDG TLYGYSPFREVQVLIDGQLAGVQWPFPVIFTGGVVPGLWRPIVGIDAFDLREHEIDIT PWLPILCDGAQHTFEIRVAGIDDDGKTSGTLTKTVGASWYVTGKVFVWLDDDESSITT GDAPTLVQPEPVITLSQSLTQNATGANETLTYTTDVKRTFSVSSSITTQNGTQTVTWT QNLAVTNYGQYTDFGAIQVNDQTTTGLDESSGGTYYKSSYSYPLYANTSYFVYPSGNY TIGATIVRGLDLDIQGTPVFPTGLQPFAQLPKSAPLVSGFSGTSLSTTQNGSASYFAD PNIGLATGFGSTSQDFSFKGIDVSNGVADTELYYRSVEAVNSTIVRDYESLIGVELGS YGYPVEAVGSGQRVMAVLSPKAVLGRGPGVAKEQLVQGGGGN LY89DRAFT_688518 MGKGKPRGLNAARKLQNHRREQRWADLSYKKRALGTAFKSSPFG GSSHAKGIVLEKVGVEAKQPNSAIRKCVRVQLIKNGKKVTAFVPNDGCLNFVDENDEV LLAGFGRKGKAKGDIPGVRFKVVKVSGVGLSALWKEKKEKPRS LY89DRAFT_709912 MSSPKSKPKQLREPPPSLFLGPPSQNASHVSLPGVVPAAAPNTS LIPSRAPLIRQRSQLGPQLPSRAMDGPTSDSASVNVTRAAALPRQLHDAEGKKQADRT DALWAEMQSTLEEVELSAVSGTHVFGQEHARALEGLRAAQIELAQAWARSEADDVVEN ADRESKGLSGSRGVGALGSEGRSVLDATGASTVPSGSGRPGSSGNAAVSGTEAVGTQL EEETEADILLARKRREANDRYFQRVNEGVLDVVAKLEEVAKAMKAVEQESRDIWGENE TASARTSYHE LY89DRAFT_624407 MTALFNFQSALLVLLLLVCTCAYCHQLFPALMDRKKESPLWGPL WKCARVGERLSPYVSICCVIFAVRLFIGA LY89DRAFT_653693 MEPRARVGRNRGQQNFSDQELQHFLFAHGDVPNSLEDTKKVFDE LMTDFITELCFEAQRSAQLAGRQKIKLDDIKFACRKNPNYLGKIEETMDKKTQIEAAR KLLDQNDDKITKSNVKALEEEPLGDADDDMELDARTIGGKSTGTGAGK LY89DRAFT_688522 MSQYTQLPQTSSTTKILLDDEDHSHSLPSHFDLALNGNGGTTTT TSSFLSPKQATYASSLLDPDAFRRLSISTISSLARPRSVSPYPPHPHPHPSSLQGPRT WKSALHQIWQQNQGLFLVTFSQLFGALMNVTTRLLELEGEGMHPFQVLFARQGLTVLF CTAWMWWTRVPDFPLGKRGVRALLVARSVTGFFGIFGMYYSLQYLPVADAVVITFLAP SVASYGCYLFLHEPFPRSAQYASLISLLGVVMIARPTSFFTSSSSASPDVTVPANTTT SSVEESGFPTPTSGQRLSAVVVAMIGVLGSAGAFTSIRWIGTRAHPLLSVN LY89DRAFT_673839 MWSGMLLCCYAVFLLQNPESRIEIIDVPKGSADGGRGSRIFNSN ATSNTRPLSDLQTGHNPKARRTGGDRGCAVGAQLQNKASTGGGGGGEEEQQQSLSVWK TGASCFRLSVGVSQLPASAGWAQKWTTSRVECTQRSSAAGDPVELNKSAVDWTLPLPG LPGLPGLPGLPTLWICSGSLAQWQWAVGSLVWLALSALLPP LY89DRAFT_220314 MESDAGKRERGNSVAATNGHPNPPANNDGSMSTSQVGESRSQNS IDIHIEEAGYDIEAQKNKSSAGNPSPRNRHYGSSVERPPRPNTRNPSPAMEPPVTKAT LSELDVNKIVNNPKLRHDINFDPDLHFRPNLDGEKGKRKTEKANNFWDTMQTQLREYM TNREQFEREVDDTEWCLPATLNAIRGILETLVPQRDRASVEETFNVDLLMQQFRKGVA DLVKLALWLSQLLKCHCAPMRDNWVDEMVTQLSNGDRNGDVTLLVAGMKNLLGVLEAM KLDVANHQIRCLRPLLIEDTVHFEQKFFMKKIAMGRVDIAGAHAWFKRASALPDIPNL DPSTHSQGNTWDFIKALVNLTLPSKSELFPHTFLFDEERLTKLRSDMLDLINLEICMK LYRDLDVPSRNPEPRYPTFDDTPTGSFVTSPYNRPASPADSTMLSSPTVPLPHHFAKQ NLAQERGHFIRHPSGKQIWVPKVEDGLNVSATSSPRSSPSSTASTPDTLPPTPLYLSM PASDSAFQVRSSLLAILSSSSTSDKWASLSSSLALQILRSTTTPLTRLPQFESHLAFH LSNPRSSFYQQAEQHVLSQVYPVLQRLIETYTPLTSLQIFETATTPRNGPSTVVPQTN SLKEEISEVATRIAHIGILHWRVWAPLAYLVDPDDETNQAMH LY89DRAFT_653701 MALEKSTRLMIIIAISFSFFVGEISVGFYTHSLALVADAFHYMN DLVGFIVALVATQISKRENSPKELSFGWQRAQLLGAFFNGVFLLALGVSIFLQSVERF ISLQKVENPMLVLIMGCVGLTLNIISAAFLHEHDHGPKVSSTGTISDDSNMELSDTNH PHKDHRHINSTQTPPGRDLGLLGVMIHVISDAINNLGVILSSAIIWKLRSPSRYYADP SCALFIALMILLSSLPLVSSSGRILLESVPLGVSLDDIKHDLEKVSGVLSIHELHAWR LSQHKALASAHVLVGSESLSDFMRMAGEINECLHAYGIHSTTLQPELVGGSASASKRV RERVGAGAGRRGRGRGCRVNCGMECEELSCCG LY89DRAFT_593736 MSDINASEITRSSILSADTDRPQSASQERVTPIREVPRGMASPA SRTPTRTPVALQHGLGTQHSNYSRGNLSLQSPTEEQHMLEEYEIDEHGRKVPMHDSPE HDQEDSYVEEEQHHGHSGAIAAGLAGAAAGAGLGAMHHLHDHHDPELIQEDQAIHHDY YHQEVPPPLRYVPYAQERRGLSPIQSVSGYTEGEPDSAQRDSRLTHSTGSYSSLNRSV LQGQSGRSLKSVDSLGNVLANRHDFKEVRQGELADSEITQDGEYWEEQHRENDRQRHL DNDNESYRSSDPIDYKHMTNYTDDSMDGHVLDGQNVRGVGANPDFVHTPVGVESAVAS LVNASELTGESGYSGNREPEDRRESYGSYEDGSERQFTSRKSSPTKHDGSRDVEYEGS ERDIHSQRNSPSKYADEYELDEHGRKVAMPKYKNSHATEAAIAAGAAGAAAALIGARN RKSAADQIQYPGRQEDTGAPLHKSFKDRAQEFQSQMLSPRHSIDAPLSETASHERLAR DSLAMGASGLPDPHNPMPEIGFGGADSDVETNPSVIQGPIAGTQEGTKDHWPGKATPP QAEFDSHSVTREQKSDANLKATEAALTGAAIGGVAGLASHSRDNSHDQDHDEEWHRTS GERKRDTLITNPYEGTSPIAAIGALDRDLLGQGLGNDFVPTKLNFNGRTPASPKDEGY ISSAPNNARSPGAMTPEQRADGVRFMDQEFDGLVEGMDGGDPFYTPQHSRHLSGMSHG MGSPIYDSATGQGMDRIQSKDIVALMDHLTVRDAQRSARDTEILVTLVRAAAEMRNSF EDMKRLLADTEDVIITEVQGNTEKSVQKIINGPRPQPQSAARSLRGGSQDEMYDDLRA KKRNVFRRALKGLSMKSTNDLGKIEDMLVQLLGDVEGLKVAQGLKPGSHPGESYDDLG QEGNYEHDRGYEPEGNAGTSTASHASQSGHLSIPRGASASRPFESRKFSDHRISTVPE GDEEEAEHHEPAALESQFDIDDRLLTPTREIPRGGSAPLGTPPQQYVPQASLSNENTP KTDKKKKHASSSSSGWIPKVLGSRWSETTASTVFRGFRNSGRSSGRKTEDQYQEPPSR SGSELGNYAEQDQDTYGDDKLNTTNFSHEQVQHFDENEPPLPPEDPKYKAHRNSLNLQ HPQPRPGPTHRYQTALESQAVNFDSPMSPKFSDWGSQTSLNRLPQQQTNRYSNGTSNP GNMSPISDGGYSNGSAAGQQPPQRPPKEPLAPERPPKIRSSKLTKPSPLSNEHVAMDD YEPGGSPRSAARKLSGSLPVPTRKPTGPRSMSSTKSGELNRDDGTVIRRNRNRDTFGT IASNHSGESETF LY89DRAFT_673843 MALPTFRSLAARRQNKKQEEQTGAANGGVVRDENSERTLTPTYT PGVDVKLATKTRKTWIYASCLFFFISVIFLILTLIGNINNKPVIRSTFFYKLNLADII PASSPSDIIFTNSLARSLGLHDFYQVGLWNFCEGYNNEGITHCSKPTTLYWFNPVETL LNELLSGATIALPAEINNILKLIKIASHVMFGFFLTGICMNFVSIFLAPITLYSRWWS LPFAIWTFIAALLTTAASVIGTVMSVIFRNVATSQSGLNIGAEIGTDMFAFMWVASAF SIFGWLIHLCLMCCCTSRRDVRTGRRKGRRSAYGDVGSDEKKRATAGGRRWAQMPKFG RKKSEGEVV LY89DRAFT_220364 MLFSRVLPYGCFFALAANAQSSSSSSSSSDVNGDVTVLSGVSEA ATPTGDYISYSSTLTESTASSSRSSSAISTEAVVSKVTSGGSTRTTTLGSTTLFEFAS LMASNTSGTQSTSTNSQILLSGRPSSTTSLNGTSNSTASSTSSSVEPTNTTPCNNYPE FCERKYGNITEVSAHNSPFVKVDNAAANQELPVTTQLNDGIRLLQAQMQWANNTPHFC HTSCDVLDAGPIQDYLTTVTTWVESHPYDVVTILLGNGNYSNVELYTPYIEMSGIQNY AYVPPKIPMGINDWPTLASMILTGKRVVIFMDYQANQTAVPWILDEFSQMWETPFDPT NRSFPCDAQRPPDLSTADAKDRLYMTNHNLNYEISLLGNSLLVPNRPLLNVTNNASTI QFGSLGLNADSCNNTWDYPPKFLNVDYYNVGNGTVFEVQARMNNVTYNRQCCGLVTSA GTSLNEVVGRSFFVVGVCVVSVAWLLM LY89DRAFT_722433 MNSQRPQLQTPRKRTPSCDVQQEVLCTTVWCIEWWKGGWLERGE ALCRKRNWEVCGVGLGDGNRDKRKEIRKRERRKRGGIMEGNEGLEGWVLKKRKKERLE EEKEAGLTWSRLDIWIPSRGEWRLVCWFCVEWICESEMIERQEVDFEIRVKYDENDTL DDGKDKDEDEEEEGKKTF LY89DRAFT_673846 MPSQYQVTEPHPTVSGWTHSGRGGMGNTFKAPKTSNGSTAKGPA SLFEHGLPASSSSKFSSGRGGAGNIHAPSERAIFSFDEELERETTREQRAKQGSAWHV GRGGAGNWASERPSSGRKDSTSSGDSNGSVRPGFFGRLSSTFERH LY89DRAFT_673847 MEHLPPVTKAYEPISVPFIGETEYDGLEFASYPTRRGFDLDRLL QGDLQGRSTEAVASFLQDWLYFGFMHELLGIEIQRGDFVRTDDAGREWITAQKLPGLL YLVRQQIEIEKALPYYTAEFIKKRNQRVADCLSLEYHVWEQLSRLPANPLPPEVSLSI QFLAITLQVGVTQLLMSKSAGQLAYRNDIPWERGLHFRITRSPFLTDRMVKQGWCPIV IEQIRSHSNVVGQYYASLLGPPLRKLDHSCCSKDDKDCQGIAQLRSLKLGHETENCQC QILTVDHTKLHAIINEHEIPILRLVEENGVPTLDVISSSSEPGLEYTAMSHVWTDGWG NPDSNSLPLCRVQKLVASIAASYEMPDFKLKFPDPENVKWYRYAKNDNRVFFWMDTLC VPRSPVHIYARAILQMRDVYANAERVLVFDAELMAAIAEATYEELNMRIRCSRWIRRL WTLQEAVLGKRLIFQFKERCRMLETSSLNWMARRNDLGLNYFNTVGWDCDTTFHLYGN MQGVDLIDYLWKLLISDRAVTVESDEPICGAILMNFDMKALMEAPIPDRMKIFWKLHK DQMPISILFVPGSRLRQKGYRWAPSSLLPCQKVGIRMNERGTVTDEGFRIKLPAYSCF TITPLLNKITAAVVQFLLDGNKYYIKKSPVRSNPPWEGLELHSRANLALVIEVVLRVT LY89DRAFT_688532 MATQAKITLTCQNGNVTPAERTLILESGSPIAPIGRASKSISKG LFSSYDNAWFDSPVMSRDHAQLTMNSEDKTINIRDIGSMHGTYVNGVELARHVPLTLN NGDIVVFGAEVRRGPETFPACSFRIAIEFSDRMTTNTFSVPESSDIEDADDDAEDDEV SEDDHARRQQQSSSDGCSIEPASPQAQKINNAIDLTRDSPEPTSNRIDLTGETPSEKN TVAQSAARATDTNGRVNLVGIGMYTGFAPILVESEDEQFETGFTESAEHSEDGSSDSD GSEDSNICIDEEVYNSSGDSEAESEDIVMDGQNDMESVHGFSDMDEDEDISIGNFARR HTRPAHDNPTILLSDIRTRTQFPESVADDEDEDNESEFGLSEAGEAGLRALIDGGLLN APTNAVSEHDHESESEKESENESEDEEEPAPQPEPETRAEVVVIPSVKESVRVHAPIS TPESLPPLQFAREHRYRTLQNFTGDKLGISYPSIRQPSPSDAAMVKSAAPPQQSKPLS GVCEIGTFAQMLGDKTGKVAFFEAREINKAKFNDETKPVRSGFASFKISRNSGFGQSS SLAPSLPSETPSIRHTGFKCGTNLSRTFEVGPNAEPAFPIREPCSFLDEPDKFAIPAR TPSPQLDMTSAYNFHLSKAATTTPSPLNTNPRGIKINDIIDTSATPQRSYRLKRKADD ISDVTDEEIRIWARASSGSSVTKIKLDSIVKETPLIDEAANGVPPLAPIATHVVSEPR PAKRMKKFLENVGYAALGGVAVGAGLFTVLVATAPDFL LY89DRAFT_722437 MHDLRRQALESHKTVSKKAQSRLSTSSRTSSAANSRSHSRTASR NPSDDEEGNLSDSTNWSVNSIDDMLSADVAEDTSEAWKQDLGDRIEEIVDRKRSSNQG RELSLAQYSHMLMSHYAYEEIDGKTSELFPALMKSVKSESSDKETSLALRAVALTLIT APSETVYDAIFQVLKHTYTESESHSVKAVAIHTLSAAAIYGGASDAEIEEIMDDLLEI VESDGESVGAGDSGEVVAAACEAWGFLATTIDDIQDKTEAAMDAFVEQLDSSDTSVQV AAGENIALLYEKSFTPREANDGPASDEEDEEGYTIDNSMVKRYEVYRQKNQLEHKLSE LANESSKRIAKKDRKALHTNFADILNTIEHPSRGPRYQKAIDKETGRRYGSRMTVRIH KTGSMKIDKWWKLHRLQAMRRVLGGGFVEHYVKNEVVFDSLPIMITSEK LY89DRAFT_653721 MADTLHNAPIVLDNGSGTIRAGFAGDDLPKCYFPSFVGRPKHLR VLAGALEGDVFIGQRAQELRGLLKIRYPLEHGIVTDWDDMERIWEWVYGEGLKTLSED HPVLLTEPPLNPRSNRDTAAQILFETFNVPAIYTSIQAVLSLYASGRTTGIVLDAGDG VSHAVPVYEGFAMPSSIRRIDVAGRDVTEYMQLLLRKSGYVFHTSAEKEVVRMIKEKV SYVASDPKKEEKEWAGSAGKMDGKVVEYSLPDGNKIKIGAERFRAPEILFDPEIIGFE YPGIHQIVVDAINRTDLDLRKSLFGNIVLSGGSTLTKGFGDRLLHEVQRLAVKDMRIK IFAPPERKYSTWIGGSILAGLSTFRKMWVSVDDWHENPDIIHTKFT LY89DRAFT_220724 MDSNSAPLADYFWIAGIDSVSYSDPVFGPSNPRDTGINGAGPPS PSVETTIEEGSEGEAPTQPPPSGTPRATARHSRNNSWNRLSKTPNDTRNSIQTLDDLE PTRSNRSSITIKGPTTNGGAGALGDFNFDEALVKFANERENFLDDLSFSAGAPVQKPP PMTNHRTERIRIEEGDSNAGGLAKRSPLRSVGGSIRRRISFRDMSSVKRQVSSVQRTT SVRTSKRMSNYNSVIPPPEPLNADPEMHPLKRRFEPVLLDRYPAKGATDEVKRRGRFP DYVPMFAFPNDVNIVSADARPRSTWHGFAMTSDDNSKIYGITVIVWLPLNQDAAANVE RRCEQWRQSHMSNEERELAASLGERLAIERANLSRLLSDLPKAVSGSPAREALEEQIN ALEEKIGLMADMLRPVRHGAAAKIDGLTDGETGLWIPRAYGILGRDPSMASFWKEWLR SIVVPMLDSSIFRIPPSSPKLKWQPLERYVVNLCSEAFSPISSKTQVELAVRELRLFA RKEAANEIPGSRSTDLYALFRSLSIQNIVALFEFALSESRIILLSSHTAMLHLASKAL ASLLYPLKWASIFIPVLPARLLSALEAPCPYIVGVERRYENLELPDDDYVLVDLDQDI VEAAAAALPVQLPRQQRRKLVSLLQLAAPHHNRFGVHTGPPPYAVEAFPYQAFSSENE AIYTQNGPKSLLAKYVSQNSATFGETDLASVKTIPVFNAFLQSKADHARTDRPSTARS NKTSPPNSVSPISGNFPPLPTTPISRNDSGFALTATLKEKRSGHFDTYSRRSASFGVD RQTTLRRPSIPFSNGHSASLSTSALSIDSKSIYGGYAPSTYAASTLAASTIMPNMLME PVRNTDNMVWVEGHCFILHREDTTSICSICDDKPNDDTIYKCTSCPTIAHSRCLPTCA LVCPAAFHADKVRAAFVRCFASLFYTYRKYLVRPTKEQKNSGQIYGFDMNGFLKSLPH EQQEHAAMLKQTQAFNEFIHERETTPADSPAIQLFDSIILAKKSRGRASFFASKGSKA ASFLEDTSDHLWRNAAVPAPHSAKIAPGEYRNVVSRIPAQLDIGLMREPRTIQGVPRM DLPGKRVGRKAVPSLLSGNANRRVS LY89DRAFT_624442 MDDLFGVFEESSQQSAPAKKPKKSKKEKLDKSKKRNANGEVKKA LDDQDGDADMVDADIQDEEDNALVEKKDTKRRRREEEAEPVVTDSFQTEQSREVVAAA GLQAKDDGALVLQHNIQHQVSLPPNYNYVPISQHVPPAVPARKWPFELDPFQKVAIAS IERSESVLVSAHTSAGKTVVAEYAIAQCLKNNQRVIYTSPIKALSNQKYREFTAEFGD VGLMTGDVTINPTATCLVMTTEILRSMLYRGSEIMREVAWVIFDEIHYMRDKTRGVVW EETIILLPDKVRYVFLSATIPNAMQFAEWITKTHQQPCHIVYTDFRPTPLQHYFFPAG ADGIHLIVDEKGNFREENFQKAMAAIEEKKGADPADINAKRKGHGKDKKTNKGAPNEN NDIYKIVRMIMVKHYNPVIVFSFSKRECEAYALQMSSMAFNDESEKAMVTKVFESAIE SLSDEDKTLPQIQHILPLLRRGIGVHHSGLLPILKETIEILFQENLIKVLFATETFSI GLNMPAKTVVFTSVEKFDGTKTRYLTPSEFVQMSGRAGRRGLDDRGIVIMMINGKMEP QTAKEIVRGEQDKLNSAFYLGYNMILNLMRVDGISPEFMLEHCFYQFQNTSSVSGLEK ELQQLQTQRDSIVIPDEATMKEYYELREQIASYTKNMRDVINHPNYCLQFMQPGRVVH IKYRDVDFGWGAVVKFAPVRPGQGGEEILPQESYVLDILLPVSDGSSVGVHSQNGIPP GIRPPAKGEKGRMEVVPVLLSCVEAIGHVRIFLPQDLHPADQRTNVRKSLDEVKRRFP DGIAILDPIENMGITDESFKRLLRKIEVLESKLLSNPLHGSPRLPDLYDQYAEKIDIG RKIKEKKKAVTAALSIMQLDELKSRKRVLRRLGFINDQEVVELKARVACEISSTGDGH ELLLSELLFNRFFNELSPEVCAAVLSCFIFEEKSQAPEPLKEELSKHFREIQAQARVI AKVSQESKIELNEKEYVDSFKWELMDVVLAWAQGKSFAEICKMTDVYEGSLIRLFRRL EELLRQMAQAGKVMGSDELAGKFEESLAKIRRDIVAAQSLYL LY89DRAFT_220831 MVARRGFHSTRAQLSSPYHYPEGPRSNIPFNPLTKWFALRYWTF MAVGFGAPFGVAVWQTYKNQ LY89DRAFT_593296 MHELFLTTHVGNDDLQRALRILQGYCAMSPGNIVRRRLTFEGPR TRTPKGIDPGFITKQPQPKILQWRGLSEQLARQSYVLTLVYDVDREQFGQPSTQPDES SSLGGDQVSDYDQIPGILRWNDLPDPASSRPVNSRLIVSIENEKGLLSVMKSMNHRFV YGNVVFELNRYLQLPPNDSPLGFSPELPSFESLVPFDGDNKWILTASALVLDGNNPEQ MQKGIDELVAVKLDFEGCFEFQAMDRHIFDPRIKFRGS LY89DRAFT_220767 MVIIKNVLLLVTAATALTLGKRDVNTILSDISTIDSNVKALTTA ANNYNGGVLAAIPITSAESTLDKSINQGTSDAQATSQLSSADSKSILAAINNLTPDIA ASLTAVENKKANFAADGLTSIVQNDLATLKSDTDAFADALIAIASADSKDQATAQKAT IDSDFQGAIDDFAN LY89DRAFT_220766 MGLQNQLSTRLYIRESHSCLHTCTFILGVFMCHQTICIIVPVRR GLCKTNIPTPSPTPQHPVSNDKLQCVHNV LY89DRAFT_688540 MFAATKVLRAAHAERVPMIKFIGKRSLPSSVDHTPHAHPASPSH ELPSDFSQKSSASFSSYRQKAQQHGPLGRANASADGSLGSVSGHSLGSVAPVKGEYFD RNELPARFRRQPIDLSEIDAIETGGATLVC LY89DRAFT_709933 MAQKPISDSHIEIEETDQANNLVATYITSPSGEKGRRVDIIIPR DSTNYARSILNAFLPAGYPHSVTSDYLEYQIYDSLQAFSSSIAGMLSSRAVLEGIGVG DSGASPTAALLLSVLQESMGRIATILFAHRLGTSLEPECKMYRLAADIFNDAAMILDC LSPALPKASRVMLLSLSSVLRALCGVAAGSSKASLSAHFATQGNLGELNAKDSSQETI ISLLGMLAGSLVVSRISTKLATWTAMIFLLAIHLGTNYLAVRAVCMRTLNRQRANLAF STALDHVEKHADNNNLWRGLIALDTLLGPTPNDIRRRERVFEEDGVLRWNGEALGHCK VGVDLGTLLSCFNRKDETTGSYTDAPDFGRLLTIFNDVPYIIWFDEPNKMFLVVLGKD ASPEAELSAWLFALYFAKHGKEKDEVLLDALKRTLEKIRGIDRGILGHLERVGWDTKT SALETQSGTRIKRPAHPQGFRY LY89DRAFT_653739 MAKDTEAPAEACPVDHATRAAWLKSNASKPAVFPGTTKEAAQAS QGNSCDSSTVDQSPPPPKGLFARFTSPAAASGTPKSLALDREVSSIPRASAVNNDAGA KPANSEKESGVSASGNWIYPSEKMFFEAMRRKGYSSDAKDMKTIVPIHNAVNERAWKE IKEWERPYGSEQKCGGPKLHSFLGLSTTLSPKARFNTLMGYTAPFDRHDWVVDRCGTR VEYVIDFYAGRDEGKGNGKLNFFLDVRPKLNTWEGWKMRGAKWIGIV LY89DRAFT_688542 MADKKIYQASTTAPVNIAVVKYWGKRDPKLNLPTNSSVSVTLSQ ADLRTHTTAACSSSFGNEDTLLLNSSPQDVTGARTQACFRELRALRSSLEASDSSLPK LSTLPLRIVSENNFPTAAGLASSAAGFAALVRAIANLYELPSSPTDLSRIARQGSGSA CRSLFGGYVAWQMGSKADGSDSVAVEVAPASHWPTMRALILVVSAEKKGVSSTSGMQI TVATSKLFKHRAEVVVPQHMEMMEKAIKEKDFETFAKVTMMDSNSFHSVCLDTFPPIF YLNDVSRSAIRVVEDINKKAGKIVAAYTFDAGPNAVIYFEEENVEQVAGVLKSALGSL DGWQGRDIKVQDTEGVDAKAVQVLKEGVSRVILTSVGEGPIRTEESLIAENGEPLKK LY89DRAFT_700035 MDIPHRAARPAMALPTPEIYVQDPSDRFRTSSRSNSYGSILSPK TSSIPMSIPNSREPGPPPPLPPPRHLQDIVDSGKSGPDLAWKFGNSHEYNSDWGGSVS SSVAPGSSLYGSFMGRRGDERSERRGSSISTIKSTDFKENSYPRLDEGYVSQSGTSIG SNFSKYQDSISPAAGFQSSVHDKYRSNNAQAYDKSLLQKLDARRGTDNSTPPGYNKSI FSSSANDTSPTSRIALEHRHPSQLKPLSLPIITSRPGLVESPLSRWAADTPLSGISPG GNSYYKFGGQGQFDHRSPSDTAESDRSPLPYIKRSGSGSVPDDASSVTSRSRESYDHR VSPDHDAEFHMEETGFTRLQIDDYSNRSDGYSPGATVGQKRRASSPPGDDGPSLHTVG SASDLFRRRESGSRTSPSPRFHSTSGSVSSTASGPRNNSYASSLSVAASSITSMGSYG KLSPGNVSPGGMDLDSPYITSNPSPRSSISRAHHQRNVSETRPLLTSRKLSDSAPHSK HNNAPSKLQGVFMCECCPKKPKKFDSQEELNVHEQEKQYQCAYCCNRFKNKNEAERHQ NSLHLRRHSWSCAALSGYAAAFHNSPTRPNEADTCGYCGEDFPRSGISSPAVSGPPVS VATDQDWEVRIGHLQEMHKFGECNHAKKFFRADHFRQHLKHSHAGTSGKWTNMLENAC MKDEPLPEPIRGPERVSPGGVRVGRISEEEEMEIL LY89DRAFT_594070 MALSRVPGDENLYVGGIFTLRRRSALENTGITHIVSVLKYDFKD FEDWDKYGHLSIEVDDVEDENLLGEFEKSGKFIEDALKSEKDGKKGGVLVHCAMGKSR SVTIVIAYLLRQYPHYTVSLALALVRESRPMAEPNDGFMAQLELYKDMGCPRDIDAHP KYQRWLYQREVELALAAGMAPERVRFEDEEAQDERQNGDKEIELRCRKCRRTLATTPY LVTHLPTPPKTQIDPMTEPISSLTESLPPAPLHNACTHHFLHPLSWMRPTLEQGLLDG RLECPNPKCNAQIGRYAWQGMKCSCGVWVCPAFSLQKGRVDEIVKRGEGRPGQGRIRL PPAMRGGKQNL LY89DRAFT_593539 MASFPNNYTHRKVADTAAKACEICYKPSTSVLVTPENKDFFYVC PAHLKDKGFCNPIIDEAAVAAKKKREMEAEVQRVKQEFEEKQKKKKEKEKGAKDKNKD KDKDAEGEKSETKDEKKSDEKVRPVLSQPATSPSPEEEPRVFSLQKAFYQLRIDKKRN AEVAKRNRERLQNPNLFPQVPKGFP LY89DRAFT_786216 MDNDPQAPPAPPEAPDKEKMDQIRRRRLEKLAGPSTPKTESSAS STPPNPTPAAVPVEKVEPAPAPKTKINITKASSPASENPFTQIGARSSNGTNTPTVRS QNAAGTLKRPRAESDGQNTPKSTPRAPPASESPEDYENRTLSIIFRITLDPNHKFDGA NHRLIYLPNLRQELEEDNVPIMLTKERLDYAIVEAASTIPHNKSILDYLLPCWKRVVK ALKGLKGYANFRDEILKEARRMCMSNCIFASEVPELFGREPNASTDSLMPYLLLDGTD DKGICPDFLTEIVSRIDEDDTIKPMLTKAVVRLSEALSKMTMNDNYKPHINALKTLCQ FPKILDAIAQDPLFQMATSAPAIEKLTILGPFFRISPLQAEVTKEYFTGPKTMDKRRI HTSQEALRLTLQAHQRDLLDIVNSFVRASPTTRNKILDWFAYIVNSNHKRRALRPDPA TLSTDGFLMNVTVVLDGLCEPFMDTMFSKVDRIDINYLRRRPRVDIKDETKLNADQNA SDEYYEIEIPGTSNFISEVFFLNLAAHHYGSEATNSMLKTLDKDIKYLGEKIAQLEAE RPKFMNSPVNMARFEEQLKRFNEVLDKSMSLKFAVEGVLFDKVMQAKSLMFMRVVTVW LLRIGTNSDYTPEKTVKLPLSDEQPAAFKFLPEYVLEDIVGNFNFIFRYVPEVMISAV GDEVIALCITFLTNSEYIKNPYLKAKLVSLLFHGTWPIYHRTKGVLGDALTGTKFAND HLLHALMKFYIEVESTGAHTQFYDKFNIRYEIFQVIKCIWTNDVYKQRLTQESKTNTD FFLRFVNLLLNDATYVLDEALTKFPKIHDLQAELRQTPSSLTPEERTTKEEELATAEG QAQSYMQLTNETVSMMKLFTKTLSGSFTMPEIVDRVAAMVDYTLDTLVGPKSTNLKVE DPKKYQFDPKTLLSEFVDIYLNLGVSETFIEAVARDGRSYKPANFDSASRILTRFSLK APEEVAAWEALKARFHAVKELDDQDEEDLGDIPDEYTDPLLATIMTDPVILPMSRQVL DRSTIRGHLLSDPTDPFNRSPLKIEDVIPDTELKAKIDAWRAEMRQKAKAARAEKMDT TEG LY89DRAFT_688553 MPAATASGSDAKPNGAAKSRDHNQGNQDRSYTPEQKSEVLRIRR CQPTAFYDILNLEAVKTTVTDTEIKKAYRKISLLTHPDKNGHEHADEAFKMVSRAFGI LGDKEKRSKYDRFGGDPDSRFGGGAPQSSPFSGFAGRPASSRAAGGGGGSMWEEEISP EEMFNRFFGGGGFGGFGGGGGLFDNGQQFVFNMGGGPGIRVHQFGGGRPRRRPRDPNA PPEPPASLQTTLMGLLPLLFILILPILSSLFSGSSSSTPGPSMRFDSAVPPHTLHRLT TRMKVDYFVNPVDVEHYTPSQLSRLDQRAEAAYVSRLNVECQEEELARQDLVNQAQGW FYQDPEKMRLARELPMKSCRRLDSMGVGRGGY LY89DRAFT_688554 MSSTDKAAQDAKRTAISYATDWGKSPLPPTLLATLITALHARPF QPLPMLFPSVLLFSTYLNLSSYVVDSAGITAAWSGLYLLMARRRTGYMGKTFTARLGS KYGARGLTRGAAMVLAGANLVGGGVTYAFGKGGKEEDRSV LY89DRAFT_221504 MMRRASDDLSDPDRNLAESTGHPAPDIPEDGDLDLITEESNDLR IHTPPPRIAARFYRPSTNRRKSSAASSRRNSISSAHSHQSHNSARPGGVQSNYIAQHL RRASIIEDRKARLADRAAHAEKVRLRAALAKAAPRSTTNSEERALAAQQAREKNLAEI VAACAEEVKRAKGIAESMKEKREADGKKLRREMEEKLAEAERRREEILQRNNKRGRSL SQPRKSSSPMPAIRETISEATAASRIQQKWRIHQKWKALKDFAALGLTIDSVREISFE AVVDLLAQERVLVSTAQILRICGLKEGETGSVNEMTAVRTFLSAFLILGHPTQVLSSK GDNGEQQQDLVAKARDLLISFENVLSRLNAANNYTPPPGQLSSLSEVYAAFFNAFIAW KARDSSTLIDMMVLQFVELDSIWQSVKDSTEEAVTDSYRDGIRENQLKLMVRIKRLAG AQEGKKLITNAIREARKARVIKKPTGDSRPRAAGSPPTDAPALVDLTATKQTVTSHLQ TLTPPATPRKSQHSHADELRIARPLLPPNRIVTHEIAINREYRIEEEALEEKSAIMQT VFNSMRQDVAAGNSDPWILAMAENIRSRLQQLLKEGNSMHTLIGEALDNDVVARELQG GSFSYEKFFSFMAGLLPRLCAPFRDEEIKDIVENKMQTGDVVDRLQALMHGIELMQLD YANFMLQQASSTLVKNAVGYETASFGQFLEETSSELLATEIAWREARTKVLAEAARRD PENVNLVRSRPTPEKIYTQMLVDVFTSLDTTIAIPETLLLDSKRITRIRTDLLRIVTA GAILLQCKNLLKRDVRSQWKVEANRVITVLENSKSPEQAGQGIQAALESSRSMPAATK NHIRELVSRIVTASASISTGTTELRDPVMRLLMTRLRGHIFARLAATTEKEKVKSAST ASEDLAGKGLPEFVHKVGAIVEEVSKVGSLDRESHGVWYEVVAKKVEENETSASV LY89DRAFT_221518 MQFTVASVALFAALASAHQHVPQHFHHRRQYNTTAPQTTLTVFA TSVHTITSCAASITDCPARASESTSEMVVTDTIEVFTTVCPVAEAESASSSILSSYSS AVAASSTAAGVASTTSSSAPFGTGAVGAQSSALGVASSTAAAVTSPSVPIGTGASGSQ ATGSNSGSESVVLTYTLGSGTSTTVVTTTIKHTQTATVYATLPASGAAGASVSAVEGE AGTSTDVTTTLTGTSTSTRYVTVVPASASGAAGASGTAALGAASGAAGASGCVPVTVT VAMSTVTVTETAAAAAGTGSGSSGAISSAEGNNLAASSSASEVTILSTATVLPVLSTS APYGNGTAATSTKKKCSSVLPSSGFISKAKATGTAPIASATGYADKRWYL LY89DRAFT_221552 MMPGEGASELSQHYGSKTADGELVRRPNSPIIPQSPSRAYQPRL AYSPERTPINDQYTTADPDSFRGAGLRRRPSGAVPGRSQSQGEYDRERLSFTGDSGSR RPLRSRDDGYYREDDRGYRRDNYDGHPDSYERSRPPRSYRNVDTYDRAPGSASKPYFE ESRTDRDLERGEWSSERKRISDDDSIDGYNYDQQKGSGRATIDFKNLSPQEKAEVLRL PWTQWMNSNFKNHFVATIGEFVGTTMFLFFAFAGTQVANIQSTASNDSSSTSGSTSGG ATGFNVSVYLYISIIFGFSLMVNVWIFFRISGGLFNPAVTLGMVLVGALPIIRALCLF FAQIAGGIAASAMVLGLFPTPLNVRTTLAGGASLVQGVFIEAILTAELVFTIFMLAKE KHKATFIAPVGIGLALFIAEMVGVYYTGGSLNPARSFGPCVVTGVFDHDHWIYWVGPI VGTFIAVFFYKFIKMLEYEMANPGQDGDAGNDPTKNMEKRAEVMQRRETRRGGRPLSR HSH LY89DRAFT_709943 MAIVQDWLPPSKDNWELVVWGFQFFPMLAALQWGISWYGAGKTS GKSVFNIPGKIAWLTMEIPGFLTMLYVMNTLPGQAGLSALPWENKAMGGMFVIHYLYR AILCPILNPSMSPIHILVWLFAIAFQVTNGLSIGGWLGGYGPTTRKDWTNHQMNFKAG GRMELGLMIWALGFAANIFHDDELREIRRSAARKQKQRAQEAEQSTGKGKGKDKGVDK VYMIPQNGLFWWILYPHYLCEWIEWGGFWLMAGSGCVPARNFLLNEIATMLPRAVSGK KWYIERFGKEKVAGRKAIIPGIL LY89DRAFT_700043 MQALRATLPALTTTLHHFASSTSTFQLLKTISATPPPPPPKTLY ILDSSFNPPTLAHLHLLTSALLPSPQPVTPPKRVLLLLSTQNADKAPKPASFEHRLVM MELFARDLLFTLPKPQTSTSNEQQIAIDIGITKLPYFHSKSASIEESGVYPPETTQIH LLGYDTLIRLLDTKYYPPEHSLSVLEPFLAKHRLRVTYRGGERGVQDAYLKTLGDGGR EDEGGKREWVEEGRIVLVHGIGDGEGEGEGDGVSSTRVRGAVRGGRREELGRLVTVGV REWVLGEGLYLDGE LY89DRAFT_221629 MTTFTMKNSSCTFNASASCCISRNPPSPDGEPSRPAPATARPKP GIRMLSKDHIPTWKTIQPSELRAIFEEEASNGAEQMQGVRHKGTAVFREMLALEDDSE SDKDSVKVKPKKSSNTLIAVTRKLKKHLSRESALNKRHSRSSIGTSEEEVERRAELRR IRQKRIQEELSHEGHFDDDAKSISSEAIFVAPTPPPTAKKSHSPWTPGTFVPLPLLTP PALPLPRLSFPHLSPLEMRSDPFHEAYLTEGSTTTFTPRRWSNPESSITRVNSNTSGR TLSRRYSSPYFAEWEVKNTELQIYVPSRKSSCIPPMPPQPTIQPTRLPSIALPARSSW RLSFATDNRGDNLRKLSLGHIIPVTLDPAQLRPSSPPGRSLHSPGLRLSSQVIISSDE TGIPDSLGSRSETYSEQDFGGVDGGGDGSATIHLHEMGISQRLASRGLQNSTSSPQLP SNGSRQRYTSNRSESTQIHTERSRFMRNTTDSAPLSERIPQSWGQVVSCEGSSVYPSG DNSPQASRQSSRFNLFSLLSGSKNKIGAAEYQELVSLESHLNLLTTPTANLSSTSLTI PLMRFPHRSNVDDSSLLASETSSFREREAELSVVQTRFASVEARRASSTPVSSKFREE FSSETGESSTPQHRRSSTVSKLTKLAIRTYDGAKLEELLDIPVPKFDPHQLKTPTPQT AALLSPFSPLMDDEAVNVWGNVLKKTADEKAHEVGNNLQLPRKRSSNASRKKSTIEDE LPRSVFGNFTQLGKSKKKQVQVNHHKSAAEEYNERFQERIAVKELVMDSWEEEMAATA ARAQAKSRNIVHKTKPAGPDKRYPATWSRYPSHTRSERSISAGATHKVEVKDFAVLGH EEDGEIVWCLEHDDEGHHSVIDDPNLKGLRHKVKERVRHKAYKVDTSQRQAYHTSGRR GSLTIANQLEYPELEILPITLLTAEEMNARHKEEEMVAHEKAKDEELDDLMMMFGRGD GTSEMSGQHYPSRDCTSEVERSYSNEEQREREKQER LY89DRAFT_221591 MIILVPRLRAAPQVPLSHALEVVSHVCSFRTACDQDRRNDLVRI MKAKKSPIDNFSLGTRDHGSIVEHSTASSRMIVPHSIPLPFLFHPLPPPSLPHRASPP GMLFHINELITMFIFPAARGPSEGAGETIPPCQDHASGLSQSARSHAVRPA LY89DRAFT_221655 MAGVCVCLVYGMDSSRGKDVVEAAKAKGAQESRGKGRERKRQRR PETRRRLNYIQLERERSVQYSRSRHGGDEDDRWEGPRARTRGAGGLSSVDCTVFSLFR WWKADRR LY89DRAFT_738707 MRRSKYRKPQSLYIKNISRETSPRCSSVLSDWDADDEGFMSSGD LAPSRPLSLTIPSGPYCPRRPTLHEVLANIAPQPWTLTAFMAYLSQNHCLETLEFTMD AQVYSKHYQELVSHDPHSPLSPNTPGCDYVRMLWQKLLDAYIAPNGPREVNLPSDVRD HLMSLPSAYSPPDPAELDPAVKIIYELMDESVLVPFLNSIAPSRGQEFQSSPWTSNES MPDAYMTASLDERSLSRMRTRDVSPPVGDLGMDVVSQSLTGPSPRLSHSSHLTAALSR GAARLSTHLSGSSTEAPESMTDDSTDSPSPSGSALEPMTPPNTPPTSHTEFADASPGT SPHTGREGSSWKKMGAKLGWKKSRSGHGSGSSTSSSRYPMTRKASDETPIPVIAPMEH PVAGFSSNRDARKKTTALVHGGTSLRLSEGSESPVLEAHPGSPVDLTGPRPSRTTSMT LQRLYHGTDEEISKFEKKNMAKKEEAIRKDGVAAKGTHCLPSRTDGGDVLKISKRRLP GPSAFFIPAKVPEQEEVTTIHVPISADDKMALDVDIDSSVESTAMDFHFEEENGTWIS AQPIGHESRTSLSTTTTGASTLIEDRMSSAALTLDTSVASSAASMMSSVGSIQSASTT TSADIYGWEEELDRKTSIESHAAWERELARRLPSGGRTAGPRLRNVHELQYKRADGKR KSLLYRVLNLSSRRGSADDVNISMSPEDNSPHCLRRWISSSFSS LY89DRAFT_688560 MASLEVRIHAIAEFKPSAFPDRAWVSVPNPNNIPLIATATAEKS VRVYSLKNFTLHSKMEGGHNRSVRSVAWKPVTKNTGVLTVASGSFDSTMAIWRRREDG SSADLNGREEEEIFIENNVEEEEELEIEIGSDGKPKPRPRLITRTTDSDDENEDWAFE IVLEGHDSEIKDVAYSPSGQWLASCSRDKSIWIWEEIGEEGEDDFETIAVLQEHTADV KCVSWRKDDGNGEVVASGSYDDTIRFWKGDDEGEWSCISVLEGHEGTVWKLEWEPEVS MKRFSPEEEAKEDDHEPKIPRLLSASADCTIRVWSRAPTPPPPNKPSYFNSGIPSTMR PPPANETWECTATLPKVHDLPIYSVSWSPKTGRVVSAGGDSKIVIYEERTKGRTAVGG KIETEWVVMGVLESGHGPWEINHVVWCKRFDAGRKSEDEEMIISTGDDGAVRAWAIEE FVAPAENGVTVPVVAEGTPGTKVASSS LY89DRAFT_722461 MSSPRRPTFPLSIRQNEPQDVNERSESQVQTMDWREEEERRFAR EDTERRQQRFEQRAEIRRQTSARSQRTTLSTATATTSNAHQFNSLLTSPSRAFPGLGQ PSEEQLEEERRTFDRNAAATRSEIAQLNERAQASRASLEAQRERCERALANLERARLE AVAVAEQYAQRSREANRGRVATAGQVIYSEPRHAHVLATPRRPLENPLEPVRPRRHRL EGAAEAMFTANRPRVTAGRPISYDAPGTRRREVADRQQQSAQRHRFTIPLAGERFEVP PRQEQQRSTNTNRRNQDQENSEFLITHLQQHIREFEQGERLFPPITPFREANNHFEAS QTQQEPVPAFNPPLMSTLQQVVRQQAREDTLAAAGFVLSDGDEEFDRSWPIFRELPET RAQTTEDSFADTRFPTTWDNPEPSSYSAAQPPTGATGLSTMFSEARRGAIANLPPLQL PDRRRYSLDSIEAPSSFENYLTTSPTRTPLPRQELDPQPPSFYGRSSLTFPDSPYSDT TSEYSSSTLEEEEEEEEDTRQSPPPSPIDRTELLSQYQAFVLQYSHTLHRYAISIHQP GLDIGRPLPPTSTLRPIGAHFYTPSLSRHREGIALLQTFIRAWQLQMDTPTFGSERDQ ERFWAFGELLMREEMREEREAWRGVVELHFGFDFLGYDEEEIGGADSEDMDIEDFDTE GADVEMEGMRWEDEEGRENLFIVRTFLII LY89DRAFT_221723 MDNLQDLEGHFIPRRFRAGYIVLSYFISFVGSWTTLEIINRRTS MRGAYNWLHLAAASISMGGIAIWCMHFIGNRAIVLGEGQRQLQIAYSSGFTALSFFLP VIVLFMAFWAVGSNERISIVRVILGSILAGFGICGMHYLGQAGISNYDCVYTVAYVIG AGAIAVGASAGALGIFFLFRSSWDTSWWKRIISANVLGVAVSGMHWLASVGTQYRLRV VDSTLINSFSSTSTVIVVIVLSISACFTLLVFTLLAQRRILKSAKRAQQVVLATAIFD HEGKLLVTPEGRLPTQKITNAWLERSLNDVFNTSHAVFLWIFRTSRNWSGVGNLIPGM RLHVHRAGIKGRLGSKADSNLLNDEGIPIEDYSLVFRELFCVAAADLAADLNQPLDNM GVLYDEIISTGNPPKTAKNAKSKQSEITVTSSLQDVERDAGHSSVGRGQLLFLVNRVG RKEADRLQAAGFRFATPAYVVPIIAQSLQTGPHTLARRLNIMRDYAYEPHMLDEGVHL ACFAIRASLGAGRHGFEVLARKDAKNQLPTMQIPIESLEDWQYDYLKKMDTMTVSASI KLLFKAAKPNNPSPKEQKFAKQLLTTLEALKDEIEDPFFNDAMLIAKPVDAPCRGHGE DSPPGTALLITFKIIVPIHSRAPGKKLTFVPLNFFKTQQHVYKNSPDHGVFARKTYRE FSPFLDLYNPNSPIGRSSGGSNLIPNKLTASSTRKSRHEAMMGDNLDMYGNPVDQSQE PDRGPSKIRFWDKASKSKQRLRGDNSSEKGLVDVASTESRTLGGIMVSQDISVDVGTE SQGNPNSRSSSPLKKGDPMIEMKEFGKNPKSGIISKIGKEEEESATFVDALFTTTITT RQM LY89DRAFT_688562 MEEVQALTKEEVLAGLTQEDVQSLLESSQVLKNTLEAAKNDMRR QTIDNCELHIQEAFQTSVNNDFFSNITTQAIEEHKKKAKVQLNAELDEKLRPSNFATA CSWNEAEAGEFIKNNENARAVVMQANLQQKAQFVKEERIRITTQLQAEFDEKLKTARA KMTKELEARFQREYDNKLEEAKESAKEQAIKRVTDTYEQRIREKNGLLRQKDSQLQDF DNAFTAVKHAVGLGSIRGIKEVLDDIPRMSHGLEPARSLKDREVEPNFAFLKRSREVD DIGRDARGDSQKRVRRNGETKL LY89DRAFT_700047 MLWEVGNAAFSVYVAQEPLKNERPITYESRDPNGSLLTGLKGKK LQTRAFAFWELAYIAERFEGRRKSIYEDIDRKGGSAWSQILGVCLGVISGVTLRIAEY ERPPAAAPSSTASNQDFISGLPRLAPPIKDSLKKPGDLFGNAAKPTSASESALQAVGK YAKNHGQSPNSGLSPKSRKLLEKAEGVILTPAQKQMMAAQDVTGLFRDWALWVVSSEI GWPFRQEYRRRIAAVVLGSPYGDVGIIVDAIDSLTRFAVCSLTEDKFGNVQRDVKLII QTLTTTITQLEGFKSRVGKHWTDVKSRQESPEVDTVLAALKSGLGELVTAFGDYSEDL RLSQSEMRMAREAATPAAAPRLRRPTPGPERSARVRRPSLLKKLAHPEDLIPLFPNGA YVGWSGFTGVGYPKKIPTMLADHVEKNGLEGKLKYTLFVGASSGAETENRWAALNMIE RRSPHQVGKQIAKGINNGNIKFFDKHLSMFPVDLVYGFYTKDRPNKKLDVVVVEASAI TEDGGIIPGASVGASPELIQMADKIIVEVNTAIPNMEGLHDITMTQLPPHRKPYLIMA PEDRIGTTCIPVDSEKIVAIIESDYQDQTVPNSPEDESSRAIARHLIEFLEHEVKHDR LPKNLLPLQSGIGNIANAVIGGLAESNFQNLKVWTEVLQDTFLDLFDSGRLDFATATS IRFSPDGFKRFYDGWEQYHNKLLLRSQQVSNSPEIIRRLGVIGMNTPVEVDIYAHANS TCVMGSRMLNGLGGSADFLRSAKYSIMHTPSTRPTKSDPHGVSCIVPMCTHIDQTEHD LDVIVTEQGLADVRGLSPKERALVIIRKCAHPEYRPILEDYFKKAEFECMRRGWGHEP HLLWNRSMKKIKTWG LY89DRAFT_653787 MSFDQLSSLESQPTTMRREDDPQYADDPEFQRLSQDLMSKLFSL TGNISRLSNEVALLGTRRDTERVRERVHDLLEESKDTFKEVGEGVKKIQTWEDVSPSQ KYTQQKLTREFQNNLQEFQNVQRQALEKQRSSASAARTALEEQQSPSAEGSNTFGQQQ SQEQLRLASQDEVDFQDSLIVEREAEIRNIEQGVTELNELFRDVAHIVNEQGEMLDTI EGNVDRTRTDTRGADLELRSAARYQRNARSKACCLLLILAVILTIIILAATLG LY89DRAFT_722467 MASTLDEKSLQEAFSSRPDIQGAIRNAAAAGPHYTELFNQISLH VCSLAPVSSEPATKKRRLDENIASRPATNGTNGHAATNGTSAASSEDPVLLEVKDISV VIPQRKKYTLCFTSSHLYARLPDSKEPVPGISFAWSDIEYAFCLPVPEKTQKQYNYIL FPRNSIIAPSKPIPGAPPTPEPIVFSIPDSAPKPGTISGLEAAAASAVSDDLKTLFDW ALLARLKAAGKDTKIVQADEKLFASEQRQAHRPGEKAVHVKAFRGSKDGYLFFLPNGI LWAFKKPMLFLPHERILAVSYTSVLQRTFNLSVEVDMSVSGGEESTEEFEFSMLDQED FGGIDGFVKRHGLQDKSMAEQRKAKRLNVNVVKDEDGNVVGNVEAGELEKAALEAGGQ EEIDEEDEEEEDYDPGSDGESEGSGSSSEEEDDDVEGGGEEEGDEEEDEEDDEAADEL LY89DRAFT_221848 MNEKMNSRQASEHGGKVSRRSTRTFVEEEQQQQQKNGQESRTSS GDEMDVEMVKGGGEFRATNVAVVFRPVAVDLGMDVTDLFPARLEDRDKQVLAVLQGAK ASTKSKTDERPINFGKVIPGVYRSSFPGEEDFNYLKTLGLKTVLSLVKKDFPPEFEAF MKQNGIRHYVIDMQGTKKVDIPEEIMNSIMEIVLEKKNHPLLIHCNHGKHRTGCAVAV MRHVAGWNIDSIIQEYQGFAAPKIRDCDVSYITQYKVSSLEGLFLRRAQRLRIAKKPS PNERMLKFLMLTAIILSIWFTTFLIW LY89DRAFT_688566 MGASGFRRQGHITGARKEIQRAVEAEPNVDAESNRTGEDVQEHV EPEPNRTREELLALVDQYKGESFTDQLPLLELPKLYQPSDGPHLTVSDKPQDEWPPPN YTWPANTETKVKINELKEALKDFHNPPELIFELYRALPEPRVPYLSAKTRHRMLRHLS IVERKDENSMLRYMSVIDDVKEAAIPLSVKEWTSALSFASRYVARSTDVEVEAALTMW RDMEHIAGVKGSAATFNVLFDVSCKAGKFTLAEMIYKEMERRGLEYDRYHHVSMIFYY GLQRSGDGARAAYKDLIEADEIVDTVVLNAMISALLRSNEAEAAENVYERMKKAHIER SNSRLPPRDYKDRREINNSLLKLASAVKRGEVKREDAQRTSTIAPDLHTYRILVNYFA VQAGELDRTAKYLDEMKWFELPLHGALFNALFRGFATHGGIRYTHWTEKRLESVWKSF SEALNANVEGLYISRWMAAWLLRAFAKCSGKPRTIAVWEEIRAKWDPGEADLDFMMGI LQRILEAPDMAVKRHDWVLGSL LY89DRAFT_688567 MRGLNLKPSRVYQTATLLLESHSINQPPPWYSIIGSIPPSEILT RTQPVQHRPSPKSRVRKPSKMFKPQLIEYEEDRLRRNFYKDHPWELARPRIVLENDGR DGQRCDWSSIRQPGRALNGESVVQRQLWLLNNVPDMGINQAYDIARKEFYALRHEEEV ERRVAREEALWTGAYFNKGVLEIGMELEDKTYESWKTWAKKEVETIDRQRDAAYTGVG TEDEIDTDPVPVVAPLL LY89DRAFT_722471 MILSPLPLLTAALFLLLTTIFLRALYIRTTLSRLRPPKRTTPSH ILIVLGSGGHTAEMSSLLRGFDPSRYFHRTYVVSSGDGFSAQKALEIERMLQQSKDQP SKEGDTDPVTGRWDVRVVPRARKIHQSLLTTPFSAIWSLASCIWVLWDCSWRRAGWPD VVVTNGPATGVMVVFAAAGLRFLGVAGWGKMRCVYVESWARVRTLSLSGRILLWAGVC ERFLVQWEGLARRVNGRGGGRGKVEWVGFLVA LY89DRAFT_221898 MSPKLRPLLLPQLVEDRRKRESMSDSDMDLSSSYYTQNSSASEI PSPVTPTFSTRGHLRYPSSASSLESSYHNSAIDSPSSPTFVGAKTGKRSLPDVQEEPH ERDEDLDMFEDANELYDCLCDDKQCLHRDSSLAQSSVQLSTRQEFDYDLTDGFFSDGE FSSSPRYKKRRAVESPLTGLANRFGTRFPSFSRKWRLRKGSSSASVLSDAQRESATSR GASSRSSSVSNSGRQTLDQSFDPQLPPTPTKSVFDGRDDNSMTTAIDIEKANSNVDEP DAAFASTPLLPPLMMDASANTKQVSLQSPLQSPSVAEPHDPFSGSVTPIDAITTPQLP GMPSPPLSTKQSISSFHRNNVNRPGHLIPSSEIPPIVIADPNDEWANKLGHANFIIYP EPYMPESFDLEACRQLRANWDLARCNYTKHLVRTGEHYGVTSKTYKLTEEKWAATDAV WRKNNEITITHTVESGSDAFATLKHNTLGDNGNNNIMTKIPSLNDPRSGGKFPQLGDE DIVGPMVQVAAQLQRSPSKKAKLFKFLAEKFPVGLGRS LY89DRAFT_653805 MASSSKPLNKRKISHDAPISPPPLKRKVQSMTTQNAVSSFFTPA SKKPPEKILWQERAPNDDVPSTLLIGKYTPDESTSGAVPPKGKKIAAFDFDSTLIQTM SGKKFASGAQDWKWWHASVPGMVRKMYLEDGQDRFTMVVVSNQAGLTLKHDAKGPKTQ QSKVESFKTNVAAVFNQLDIPISIYAATEKDNYRKPRTGMWTELLEDYEIRLADLDLD NSIFIGDAAGRQASQGQSKDFSCSDRNFAHNVGIKFHTPEEFFLGESPRPFTRTFEPS EYASPTIVGATYAKKDACDLVLFCGSPGAGKSTFYWKHLEPLGYTRVNQDTLKSLDKC IRVADDNLKAGKSVAIDNTNADPDVRSRWVQLAVKHNVPIRCVVFTTASEICEHNDAV RALNNIMNPEKRTILPSIAFRGFHSRYRRPQLSEGFQDITEWAFQFVGSEVEREIWTR HWT LY89DRAFT_221917 MAKSKRLVCFYCNKKSDLRYDGQMTQWPCALCEAVNYLDENGEI DDPPVATLEPAAPGLRFATSRSESLTAPISDSNPFCATCLKNQHLLTASLQQYHLETD PTHPQYRESERLYFKYRRTLEATYPQVCADCLPRVESRMDVAAKTAKSDFLRRLLDKT RARKVGTAKSFSLFDLILLFTTWLWYIGIAGQLLWNVTTLLAIFEIQQPDTPLSLYLG KAIQHAQPLITAATSEAWARYSFRCTLFSIWWNPKFKELNNGFMNHITGFADWYKLQL LHIVIRSLFFYTMGSGVFSSLASPATAGAHAVVPIFVLILAIKSKRALRVDMNPLWKS TPEKIRHVGPRSRSPSPQNMGGMGGMAGILDEIAATPSNDLSQPAPTSAYLLSRRKSQ QHQTSYNRPMTPGGYQNSYNRPTAPSGLFPTRHSTSPFRDIPQTSSMSYMSMGEASYH PQNCGAEEMEWTPSVPQTQARAFKPTRSAQPKPYPFGETSSQPSPFYGRLPEAPITPA HRLRNPPNQARLRVSSQEVKENFFNNITRQSPDLKSADDSKREMNLAQQKFFAPPPPS EAGNVLADLLTSFSLSSPEANTPAVIEERSARTRHIFQAFSIMLGLFFWNNNASLEIS KQVMLTVMIGSFAIGIRTLLDNTVFIPRGKPAGIIRCTLGSCVAGLELTGAGYNLMEI LAGRGDLEHCTSLGTVLMGGMLVHELSLALFGR LY89DRAFT_221972 MRASEWTIFRGSPNIAEAFLIYSAQSPINGTSLVYDFMSATIVL FILLVVQPFSYIPFLLSLRCHCLQHLWVGNPISQYSGMNIKLSFQLKPLACSESSHVM TVQPFPWFIAKNLSSRSSNEPVHPYTGEMVRIY LY89DRAFT_673890 MAQRGAFPVETAKEPHSVSLKVLRLSRPSLSVQHPLPLPTPNAS PSSSPNTFPAASESLAYPSTKNDAFILSPLLTLPPAFGSAYVGETFSCTLCANNEVLP GSTSAKSISNVRIEAEMKIPSSSAPINLVLVPEADKEGEDGKENGVDLEPGQSLQKVV NFDLKEDGSHVLAVTVTYSETTATSGRIRTFRKLYQFVCKGCMVVRTKTGALPSSSGE KGRKWALEAQLENSGEETITLDMVVLETKEGFKSQGINWEIVGEGEHMERPVLMPGDV QQVCFLVEEVVGEDGKGPEPVDGKLVFGILSLGWRGPMGNRGFLSTGSLGARLK LY89DRAFT_722478 MSRAGAGIEDERIKTRDRRLLCNWKLVVVGKRAPSFPACCSTKF PSFKLSKWLLLLLPPRTSPFPLLAAVASVQQLQPTNLVDGQSDPNILEYFANDEIVHE KAFGKKARMSMDDSDYASRRSSGSVMDDMKHALEAEGLSSQKALLTSAVQRQTRTAKK RSLEEYVDSSHTTRSSKAARFVAPPAPSRGRVGVYLSKETVADLAAAAFNANAADDMD EDIDVPASQADNVQLDEAHFSDSDAVDSDTPLVAHYEAKRDARRAAKGKQPAKGQEED DTPTIGQPWVEDDEDEDTEEADPVEMPVKGPADNPRNESPEAIKKEIDFLKSLEDRPN PSFKPSRDAPAYKRRFAARMRHVLGYIVDGVRDGTYHIKGMSMSIKFKKWLAIADVQK LLDFIVTGIDDQRQRIFGAEEFNMQDILVLHEIDDAEMKNLVGVYADILTSIDDAKAL YIGSSCRQNKGIWARIQEQCNFLAAGDDINSKYDTFHYQYARENELGPNFRLLAFWPQ DIRLRSVTFLVESILIILASNMDKNHRSYNILTRFPDTVPDDVNQDVTWKGLNNSLPL FSEWIPQAYRGQCGCCRESPDKKFLKAPPAFKRRPRVHGTTGKVCVSYYARIRKHAIR INDGEKLTHAVGERPRAQGARFPPGTPCAYPWCNHIKIKGSKKVVFSRVDGLIFCGGC RSVYLNRKRKGTDLFAPLQKVIKQFTLGEKCNFAGCETVYSGAQGTRSFQRYEGISYC IKHFKKLYHDDAASRRQRDNIISDQ LY89DRAFT_594054 MDDTERRTAKRSRFDQTEPKRTSRFDRRSRSPPASKPDPESRRS RSPLPRASDSPATDSAKKGGVDPAAAAAAAAARINAQIQAKKGIQHVDVPPILSTLSP KEPSMASPGASGSGNSAALVNGEMYIADGDYIRDIEVNDLRNRYTLTKGSTQKMIKEE TGADVTTRGNYYPDKSMATAANPPLYLHVTSTTKRGLEQAVEKIEELMKQELPNLVDE RRFRRREPEQVERDEFGRRKWPEERISIDFEPLPGFNLRAQVVGHGGAYVKHIQQETR CRVQIKGRGSGFMEHGTGRESDEEMYLHVAGPDPNEVQKAKELCEDLLKNVREQYEEF KTRPPQRGYGTTGYGGERGYGDRAPDRSNSYGGSGGGYGGYTGSPAPNTPGAVLSPTT PSAPGAGSPTSAADYAAQYAQYYGGADPYAAYGGYAAYVQYYQQYMAAAAAAQQQTGA PGSGSAPPPPPNEAPPPPPPSGSGSGSGGYNAVPPPPGM LY89DRAFT_653813 MASRKAICALSSKAIRPRASNFLSARQQLRAESTSSSTSALAYK ALHRRIYPLPTSDAPPSWSAQAAVSNILYETPTPSAAPPKRHILNCLVQNEPGVLSRV SGILAARGFNIDSLVVCHTEVEDLSRMTIVLSGQDGVVEQARRQLEDLVPVWAVLDYT TAPLVQRELLLAKINILGPEYFEELQAHHREITAGDEEGLEGSEDWAQKRSETNDFHP SKLALSQALRLKHEHLKSITYFTHQFGGKVLDISTNSCIVEVSAKPTRIDSFMKLIAP FGILESARTGLMALPRSPLYGPNEAELPKDADDIVDASALPPG LY89DRAFT_786246 MSDNTPLLGGTSDRPTSKRSNRSTHSNESHEQTPLLSRTDSADR YDGSEEHPANDRLASPAATSLRSLQNGGGSVKSTKGGRRWPTVVAVSLLGLIVIAIIL GVFFTPAAVEEYAKQALVIEPTNLSIDSFTKTGVKARVQANFRMDASRVKNEHVRNIG RFGTWIARSVESQESMVEVYLPEYGNVLVGTAQVPKVVVDIRNGHTTAIDFITDLQPG DIEGIRQVANDWLEGRLDKIRLLGKADVALKTGLISLGSQTIVESLVFEGHDLPAIPE YNITRLNFREVPISTTGRRGMAADVSLSLVNSYPIKLEIPPLGFDILVPNCGIDDPQI RLADATTGAIDIEPYLDVHVDVGGIVRELPQSLTQACPHSHSSPLDALLGDYIHGKDT TIYVRGSNAPDSNTPDWISKIIQSVTVPVPFPGHTFDGLIKNFSLTDTEFSLPDPFAD PGSDGANPKISGTIAITTMVPKEMNFGLNVSRVRAKADVSYKGAKLGVLNLEKWQSAQ SERMEEDNSLKIESRIKEAPLNITDDDVFTDVVQALLFGGKTVMLKVQAVVEVEISTV LGKLVIKDLPAEGNVPVKPISTGKDFSSLKPSVGDLKVLSTSRTSLHLQAHVNFTNPT EYTAKIPYINIHILNNGSVIGDATARNITVGRGNNTNLLVQATWDPTTFGGENATKIG RELLSQYISGFNTTLTFQTHSGSIPFRPDIGEALSKFAFEIPTPRLSTPGTGGDGDGD HKPHFIDDATFHLFSSTATFTLISPLQYSIIFIESINATALYNHTEPIGTIDYDLPFS VPPGSSQSPRLPVDWSLDSVGYEKLQKALGGTLKLDAKGTVGIRLGEWTETVWYVGSG IGARVSF LY89DRAFT_688578 MPQFGRLTWTETFEKIGGDFGMHSAQLRPARAAIQLISRSSKRT YASSSPSTPATSPFAPRHLLSIADLTPSELTTLVRNASSHKIAIKSGSVPKNLLNSLA GKSVAMMFNKRSTRTRVSTEAAVATLGGHPMFLGKDDIQLGVNESLYDTSLIISSMTS CMVARVGPHSDVADLAKYSSVPVINALSNDFHPLQTIADFLTIHEAFPSSGSRSSSSV PSLGVDGLKIAWIGDSNNVLFDLAIAAVKLGVNISVASPKGYGIPASMRDIINGAANG VSSPGKLMETNVPEEAIKDADIVVTDTWISMGQEEESAKRLKAFAGYQITSDLAKRGG AKEGWKFMHCLPRHPEEVADEVFYGPRSLVFQEGENRLWAAIGKMNP LY89DRAFT_538976 KKKGEEPEEKRLKRFRIKPPLSYIERLERVKAQRMFLIDRNRTT GEDGTHEEEIFDIAGTTGNIYQVTISKVPTCSCPDAAKGNQCKHIIYVLVNALKARED LAYQLAFLSTELTEIFANAPVTPQSSETTALATDTGGSRKPVEGDCPVCVMEFEEGED LVWCKAACGNNVHRHCFEQWAGSKSGPVKCVFCRSAWKGDEESIKKISKSGAIGVDGY VNVAGELGLSGERDMSTYH LY89DRAFT_593520 MASDGANGHSGVDTGKYIKWNAEGVEKIPPNEAEDIKAVADMIN QMQQKQINNHRHCYSGTHARTQGIVKGKLIVKELPQHLAQTLFSKLAEYPIAMRYSTE PGDPGIDDRIPQPRGLGMKVFNVQGEMFDAGKDFPTQDIEFNNAPALELADAKTTREI FEIRIKYGDDKKDLYKQLEARDDTDLQKARDQLRNTHLESFRMYSQSAFRFGDYVVKY SLVPSGETQKKAHEETVDPDSHPNNILSQWLQEFYSKHDAEYLFQVQFLENLDEQPVE YAGTPWDDAKYPWQTVAKVIVPKQESFLPSRKTFWEEHIRLDPWHGLKTFQPLGSSNR LRRGVYPASAGFRHKMIARKEINVTSIDQIPDGGFVTG LY89DRAFT_653824 MSAVAPADTSQTSSSAPKKKNNKKKKSSKTKAAEEPTKGADEKE LSLENGEAEGDAEDSGQSGVNTPKDGQFPESAKAQTNGHNHDSASNGHAVSSATRSSE KHQAEGGALDSTNSKASDASARLEAMSQEREALRVEVEQLRKELEGLQGKHAEEVSTI KGQHADELSNIQTKHSEEVSTIQSQHTEEVSTIRAELAESESAKDHAETQYQSLLGRI NTIKSSLGERLKADKQELAEAKEQIDELESQNENLKKRVEGLEDDVQRLEQESHESSK ELSSLRNRHNLSQQNWVSEREDLIQKTRQLKDEAEAAKEAMGDWEVLAMEERSMRESS AEKIRDLEEQFSAQKEAYEAAVSERDSQSQALEGLQRGLQEVQEARKRELREMVESYE EQLQALKKIVQDSDVRANEAEASKGSLETEVERLAPFEKEIKEKNLLIGKLRHEAIVL NDHLTKALRFLRKAKPEDNVDRQIVTNHFLHFLTLDRSDPKKFQILQLIASLLNWTDE QKEQAGLARPGASNSSLRLPMSPFHRTPSTPSLSSEFFTESPANKESLADLWTGFLER SAEEGSTTASRSGSVSSTAPRPDTRGGESSSRG LY89DRAFT_222326 MSTPTNPRGLSLYANLLDPDSSAPGTISKGPVVFKNAEAAEDSS AKKPQIDPAALRFQPTKRPQLSQKPKPKSTFPKQPLSDNSNQRSVPPQATPTVNIARP AAKTTLADWTGGDDEDVNGFYAGEKRQRGGRKKRKNKHREEHVAVQDWDDIYDPARPN SYEEYKNSDEKIREVREWKDRLYAHRMARKSPSEKDSDEEDFRPQMGQFAPPGQFAPP SSYSFAPPPMDSPPKAQLENDSPRILEDIPPPPPPLDNLPPPSAPSQPVPEASISRAP VRYNLPPAPSDIPSSEAELEKALAEEDDEDDEAAEETPRSLRPGQKGFAERLMSKYGW SKGKGLGADGSGIVNPLRVQLEKRKKKSDAEGGGFRDPGGRGKIIGGKKNVPEKESDT GKFGPMSEVIVLRGMVDGMNLDEEVEGDGDGGIMQEIGDECAEKYGRVERVYIDRHGA APKVFVKFTSQLSALRAVNALEGRIFNGNTISALFYEAERFEQGVYE LY89DRAFT_653831 MAATTLSNSFSAGDNSTAVIIPSKHNPLTVSYKQLSAEISSFQN KLAKLGVTPQAAVSIALPNTYEFIVAFLASAWQRGIAAPLNSAYKQEEFEFYIDDLKS AAALVPKGSYAKEGPAVRAARKYNAAIAECYWNGQEVVLDVKEEGKLKGKGNQKVERA QPDDIALVLHTSGTTGRPKAVPLTHKNLTRTMKNIKATYDLSPADRTMLVMPLFHVHG LLAGFLAPLYSGGSVIVPPKFSAHDFWDDFITHGANWYTAVPTIHQILLKNPPPHTKP KIRFIRSCSSPLSPTVFHQLEAAYNAPVLEAYAMTEAAHQMTSNPLPPGKRQPGSVGI GQGVEVKILDQEGKEVPQGSEAEICIRGENVTKGYLNNPSANASSFTKDGFFRTGDQG KLDKDGYVFITGRIKELINKGGEKISPIELDNVLARHPKVGEAVSFAIPDEMFGQEVG VAIVPKSGQHISEEELKKWVADKLAKFKVPKKVYFTDNMPKTATGKIQRRIVADTMMK QDQPKAKL LY89DRAFT_222311 MFAQKLIIPALAVVSCVAAQTASVCSQATATINSQADATAFASC STISGSIVVSSAASGVISIDGPQQITGDFTCENAGGLTSLGSTTLGSIGGSFTLNNLT LLSTLSMTDLTSVKTIAWTALPALSSLTFPSTVSSATSVTISNTFLSTLDGINLDTVA TLQIDNNNRLKTFSTQVANITSAVNINSNGNSLEVSFPNLIWAANMTLRNVSSINIPS LATVNGSLGFYGNYMTNIAAPNLTSVGSTATGQGSLAFVANAKLANISMPMLATVGGA DQIANNTDLTGISFPALSIVGGAIDFSGNFSTPSLPALTNVKGGFNIQSTATIDCTSF QAEAGSGKVIQGTYICKTTADATTLGSSTTTGSSSGASSTSSKGAAVSYGVNEAVAGI SVLGGLLSMLL LY89DRAFT_222371 MISSREIARADRPSARFVEAIKTSHACTNSYASVLVQMQLPAIR EGAFCHPNPRLPTIMELFWRLELVLPPSGRGSSNPVPDMPLGVHWRDARLFRSPPTGV QARLPSTSLQPRKALQRWQITAGGVWSFTQNVPDNPGFNFLNWRMSLLRRTDIREFRA CGQRRRGVKKFGRRRG LY89DRAFT_222202 MVDSSRKKRCLFLGTCDGQKVTRIIMLLYTQAIELVVTDTKTRH TEYGYCSEATASDPIFRSTRRWCICEVCLCRLAHHNYFRRKKSRRQRSSVHDQSLFMI LSSCQHPANDGILGHRHRFPYSSLPDRLHQVAAHLWLKESRSRCRGREKSAEEKGLFL EICQ LY89DRAFT_222344 MSRALDSETISSASKGDAEKSYTDITRALCIDAEPFLEIEFLGK SHPIPAGTSVLVDGNNIAVSKAKLVQAFVVARQILFRNLKDCPNDQISHCRDASAVML LMDPEHLTAANFRKGLLVRLRDGPRDEFEALLKKELLLVNSYLTSRLHRHTKSPTLWG HRRWILEFASSHMKVDVLKDLQSVVLVAAERHLKNYYAFVHMRWLIRTYTCSTETLSL HDLPRIIAITKDWCLRHPNDTSGFSFLLFGLSQCQLAKSTHPDLSNMCSVVCTDVLRL AVSFKWTHESVWVFLRTLVAEYGTDSDILAFNTAIDDTTKAYPEGQTVLRKAKDWCAK YQQNYLHKP LY89DRAFT_593327 LPSSDAKTSAEQKQLKAQFMKVRKELNATSSQDEFAKWAKLRRQ HDKLLEQLEKNKSSSDSTKQTFDTTVSSLRWIATNGLRIFLQFWYSRQPMFWLPKGWV PYYAEWLLSFPRAPLGSVSMQAWSLACAAIILLVSDAIVAIIALGAGPQQSKGQPMKI PSEKETAGGKKEL LY89DRAFT_786255 MTITWGTVKSLLLFFGPILLPKAIAYYRSVRAAPSIHGIPIRPI PPAVQRALLILLITSLSFLIRTLPNFAPENIFAITASRLQIPTDVLFTRLSGLRPQGL SPTDQLLRTKIGSLEGRLQYFRFGPDVLSECQFCNPDDPNSYLYYALPGILIPHIFNL CVLALVTSGLFTGKEGAIWRTTGTIAAIAVAIMEVYFVSSYNYQGNSRATRVEDLDFF YWKMRIYRGVALAALDGILGWVLYLSSTNRAFLTPPSTAERIETSSRILDMARSKMGA TGVLRNTIVRNEALRGRSQDYWIHEGQVTRAVMEEREVVQSVQNALENRINIATISAD ADTYAQNIMAPLQAMQQANGAT LY89DRAFT_653844 MLASRTTRAAARSLRAPVRANARQIRFASTDSTYSSSSSSSAIN SQAVIGGIVGGSLVFLAGYGYYHTSGAKTFVNAAQKTKSELNNITQKLSSSAPEPNQA LKWLRSTATSYASFIPGAKSYVDSAFNDLEKIQAKHGKEVDDIVNKAYNDLKDVSKKG GVDMQTASKSWTIIEEAISELGKLSVDSASEILDNHPKLKEQVGGNLDQLKSMADSYG PEAKKELEATYQQIKDVLKAGVGFDTVDKIKKLVEEKTEKVKGMGDEAWKRGIESAKP YLDKNPEIKKIVEDNKDALRKGNVAEVLKKISEALYNKDPESLKQYIKQAGEKAKQSA GGFDISGSLEQYAKMIPGGEEILPKLKKLQEVAKSRGDDAEKILKGAYQDVQDVLQKR TKEVERLAEEAKKDAKK LY89DRAFT_722492 METHNTYNPSSGPNSEKPIREDVDVNVSSADDLTREDSNHHLHR GLKARQITMIAIGGAIGTGLIIGTGKSLVQAGPAPLFIGYTIVGFLCFLVMAALGEMA SWLPLPSGFTGYATRFVDPALGFCLGWNYWFKYIIVTPNNLTAASLVIQYWIDRDRVN PGVFIAVFLVVIVCINYFGVKFFGEFEFWLSSIKVTVILGLILLSLILACGGGPSHHA TGFQYWKNPGAFHEYILTGSAGRFLAVWSTFTTAVFAYLGTELVGVTVGEAANPRKVI PRAIKLTFFRILLFYVLLIFLLGMLVPYNSPELKAGISTNGGATAASSPFVVAIQISG IKVLPGILNACVLIFVFSAANSDLYIASRTLFGLAHEHKAPHIFTYTDKRGVPIFALA LSVAFCLLAFLGVNTASYTVFSYFVNLVTMFGLLTWISILISHIFFVRARRAQGIPNS ALAYVSPLGMWGSVGALIFCILIAFFKGFALFAYKATAAKGTKPVFDTNTFVTTYLGI PLYIIMFVGYKIIMKSEFVKPESADMYGGKARIDAEEAEYLAAEAAKKGGPETKLEKV YRLTVGNLF LY89DRAFT_222442 MQLINTIVPLPLSSTLTLALPVAKRTAATVLSDISSISSDVSTL TNDALAYTGGLFQSLALAITVDSLESSITTATSDTTSSTAFTSAESDEILLAITTLTP NIVTLLADLDAKASTISSAGYTSTVLSAVKNLLTDTDALFAAIEAQVDTTDTASIATL QAEVDAVFATAIADF LY89DRAFT_222441 MKRRAVFRTAERELLTESTYRTFEVDSTGKFGLQDNKFSELHKR TSEKCKCILHPGSSGINNDCRKTPALLPISSSCILKNAYSSLWINACSRYWLRAYHLD DHKSSPQNLPRSFQLPSSASESTFWILLHVRFCWLDIGKLSMSKMYQVIQ LY89DRAFT_222426 MASAESSGSLHKRLGSTAAPPQAKRQAPRASKPEPQYVYIVMVE SMLSYGESSSDIHGVYASVQDANNFVKKYAYEEYTQAEEVTRGTNSDGTVFWSSEDVG EGDRAEIRVQIMEVKPVGSEKEREWVDGEDDGEEDDEEQDED LY89DRAFT_222434 MSTSKKVLAEGSHKRSSSTAENSQPQPPVKRQAQEAEPKVEPQY VYVVSVDGFDRGGNNIPDIWGIYATVKDANNTVKDIVKDKYGGTSSYDRDIDEDGLES WSSDDTGYGESVWVHTEKKLVEPAGSVPDCEWEDLEDEEDEDEDEEEEEEDEEDAQES E LY89DRAFT_624569 MISNQMSLLQSSSRIFRHQRSFKFIPRHQFLRTMASAPEKFEWM VIIPDVPGKLEKRMEVRPKHFEGLKTAMEKGFFKTGGALLDEVPKEGEGLKISGSTVV AWASSKEEVMEILKGDIYAKEGVWDLEKVQIWPFKCAFREKFP LY89DRAFT_688593 MEAQQMYDSQHYISDVPPWLESPGQADNQYLDAQPVGNANYNLD QIDPALWQYQEEQFLNTQGTASSVHGPAEALIIDPRLKSMPQQDTLHRDMMPQPPNNS YRGISSREQEQPGPSPQPFSQVFVVPITDQFPGSETSLDSNSMFCDFPGIAFRSFLPE GVNTPMSASRNLSVSDQGRKRMFDPNTDRPIVMHGPTQPQVYGPFKRKVPGESEHSSS QSTPYASSLSRLATPDMF LY89DRAFT_786263 MSVLLPTHPSSFSEFAIHHAHHNPVSPHTPRMSNRKRKADEDGL DESMSISPQASPAFAPRTIARPAKKARANEVTGRPLTLPRLLETLDADSLRSVLQTIC ERRPEIGSEVVASAPRPSVMAAVDVLSQYQDKLREAFPFGGNAGSDYAYNRVKQQLTD LIDALTDFTPHYLPPNETSTNTSLSYLDSATKVIHDLPEWNSQSHKHHKDNAYDEISR AWALVVTEASKRGGGFHLHSGEWDQRLQKHNEQSGGRMQTAVNALGSNLGWMGGMGSG DNSIRAQLFNNTYGTGGGNLPVRVGLW LY89DRAFT_624575 MPAPGEQHLNSGGKQITNPFEEVKPRLSEYTAQEIATLQSRLEK QLGPEYISSRAGPSGQKVHYLAAEKCIQLANEVFGFNGWSSQIKEVQVDFVEENPQTF RVNLGLSVIVRVTLRDGTFHEDIGYGHMENCKGKAAAFEKAKKEGTTDALKRALRNFG NVLGNCIYDKEYLKNVSKVKAAPTKWDVDRLHRHSDYTPVKREAESKLLDDKSQNGAA GANNATDDTIEDEFGEFDEADFNVADPDAHPDEVALTEPPIPSRHFNNGPSGNTTNGT VPNQNRPPVNRPQPMAAPARGTNSAQNNANQPQPQTPSGGLTRSTSWAGPGPRPNSEA APQPRPPNPQLNPPVGRRILNQPSRNGPPSAPVSPAKQLPSSGGDSDIVSLPPQGAGF FSARAAQMIPEGKATEGPPAPIPSHFPAFNPHAESPSIRKTPGIDHKSSKPLTRDLKH VPGSTQSAALSGPIARPNVINPQLDAARRIGAPGSPSPMGNRSQYKPPTTVKRGFDNA GATAGANGRTPLTDLPANGPIGADAGGDLKRQRLNG LY89DRAFT_709976 MAPSDLAQLLEMGFEQERAELAVKKTGGLQGAMDWLETNQDKPI EELRAPAANDDETNPSIEPAALKEGEVAKSLVCDDCGKRFRSEAQASFHGDKTGHENY SESTEEIAPLTEEEKKQRLEELREKMKEKKAKQAIIDKEEQKRNEKIRMKSTKEVQDA KEELAKNEQIKAAAKKRQEKLDDMAAKKRIQDKIAADKEARRLKAETEKANREGRQAP IQAEVPDAPVVSTGPRKEATEARLRLQTNGGTVMKTFPVDTTLFEVGQALETENGGPV ESFTMTFPRKVFSGSVDFGKTLKEAGLVPSAVLIVK LY89DRAFT_593942 MSVEIDPVELGFHRPFTSEVSQALKIRNPNMTPVAFKVKTTAPK QYCVRPNSGRIEPGKEVEVTVLLQAMKQEPPIDAKCRDKFLVQSVAVTSDKEFTNITE IHVDQAEKSSVQEKKIRVVYLPAGANGIGADATPLKNGVNGTSASHETPSTAPPAYST NRSPSPPEETFTPETRRSTIGPQTGRKNSDEDSRSVADAKSFTSNPSIAASMPQSMEE LKAKLAEAQATIATYTEQAGIRARKVAKGETSNETVNQLAQQVHQAPQGVPLQIVAGL CLLSFLLAYFFF LY89DRAFT_688598 MPASTSILTTMSSVNDQTPTRLRGVSQKATTASAAPPLEVKEHD FFWTYTEEPHRTRRQAIIKAHPEVTKLCGPEPLTKYLVLAVVSLQVLCAYMLKDTPFL SWKFFLTAYIIGATANQNLFLAIHEISHNLAFRSPSANRMIAIFANLPIGIPYSASFR PYHLTHHKSLGVDGLDADLPTALEAVFLDSILGKAFFCTFQILFYAIRPIFVYKVPFT SIHFANIAIQLLFDFLLVKLTSFHALWYLILSSFLAGSLHPCAGHFIAEHYIFEKQPA AARDPLTNTPVPETFSYYGALNILTYNVGLHNEHHDFPAVPWSRLPALHELAKEFYAD LPRHESWVGVIWQFVWDREVGMTCRVKRKEGGRKVGSWTMEEVQA LY89DRAFT_222764 MYRVGKRKAFKDSALRGSICCFTFLVAPVPVSRVPSPPNRSSLQ KNGPQDAQIIMLEPKPLFNARFATHNFEVDHFTHLCSNFTVMHHIQEDSNIAEHVSIV KPREDSLQTSDNKVIPKPSSLNTSPFTLPFLLCMPIFSASVHSPSQPL LY89DRAFT_688599 MSPPPMIPVQEGYVPLVATVITRDPHTGVFRETEGLLKAGSVPV LAHMPVSLMGPPRNQENIPTGFVPPAPASYQMPSFPARSDMHTRQPSESSGSEINLRS GFGSNPLSPLGLRGRNDPLTNIANIRRAFSPDHQEEMDMQAKLQSRLNSSLAARQASL SPAHK LY89DRAFT_786269 MALGMLGTTRLALRSQGSRLRLLCVRGLATVNNSTTYSPDIESR TPPYPKLLKRLHEVRRVLGSSRQLTLAEKILYSHLDNPEEALLTNTENGANIRGNANL KLKPDRVAMQDASAQMALLQFMTCNLPSTAVPASIHCDHMIVGERGADVDLPESIKGN KEVFDFLESAAKKYGIEFWPPGAGIIHQTVLENYAAPGLMMLGTDSHTPNAGGLGAIA IGVGGADAVDALVDAPWELKAPKILGVRLEGQLNGWASPKDVILALAGKLTVRGGTGY IIEYHGPGVDSLSCTGMATICNMGAEVGATTSLYPFSTRHVPYLESTHRSSIALQAQT IASSPSLVNMLRADEGAQYDELITIDLSTLEPHVNGPFTPDLSTPLSVFAKAVKTNNW PETVSAGLIGSCTNSSYQDMARAEDLVKQASAAGLKPATDFFITPGSEQIRATLERDS TLETFEDAGGIVLANACGPCIGQWKRTDDVNKGDHNAIFTSYNRNFRGRNDGNPETMN FLASPEIVTAMSYAGSTTFNPLTDTLTTPSGAAFRFSPPTGAELPASGFEIGNPDFLP TSGAPSPQTEVVVSPTSDRLALLEPFAPFPESDLQGLKVLYKVTGKCTTDTISAAGPW LKYKGHLPNISANTLIGAVNAATGEVNVAYDFDGTTFGIPELAQKWKDQGLEWLVVAE DNYGEGSAREHAALQPRYLGGRVILAKSFARIHETNLKKQGVVPLTFANGEDYGKIDA CDEVETVGLYDTLKNGGKGEISLQVKKAKSKKTITIKTKHTLSEDQCGFILAGSALNL LAKMKRS LY89DRAFT_786270 MKLFLLASVLFLHLVAAMPTPVLPPHSCTTITPKSLTALDNSSP DLPFPQGLFAGSSSLMIMHNNINATNPTHSLQLIEFAIPQTTSGATGACQLQITDPAC SLTFTDSNDYAIPSPVQLKAISLHAITTGNNISYNDIFSAEPSLVSSWDFGDAVMSAG DTASISNSEGCEGSVWYVLDYEDVADGGYSEWSMFQQDEDEASGMELNGVYLTYC LY89DRAFT_222866 MSNAELATSYAALILADDGVDVTADKLQTLIKAAKIEDVEPIWC SLFAKALEGKDVKDLLLNVGSGGGAAAAPAAGGAAAGGAAAAEETKEEEKEEAKEESD EDMGFGLFD LY89DRAFT_624592 MADTPTLPLPLDPREQPILDSLLRIRDELTLLKQDRSTYVKSSD VMALYDRTVDQVRDLNEIRTDKPQEDNRVDRVLDGCFQLLSLFFMTIGRNNEAPAAYA LTSTIKRLLDHFTEVDLYSEKDLTHVSHTLNRLRDIVKNAEASYSPKLITLLRNRIEL CQASLTNLQNRLDRLGEGLPAIHEKLISILRSISLANTRAKFSSSEVIKLQAQAKEID SHRVDGKFVTASGEVPASNEEVGELLFKCLRWSEIVLERKGKIPDAFNEPYQILVDIR NRLEKLSLTQAWSLRETDLYDYQRQLDKFDESRVDGNFVDAEENFAELYVQRTLLYLI RRSYAYIYYLMVSSEPVSEALLPVYNQLQTLRRCLIEVKNSGGVSSPRELYPYSMKLN SIDNMRVDGKFMLGEDIPEGQGSVNDLLAECFELSYELRVAAETENEE LY89DRAFT_786273 MFSTLPRLRDTNNQSHYYTPHVPSPLSSSPLRNSPSPLSPRDAN VPSRAADTIMSSPTKPSRMKTESHISSTTPSPNALPSPPPSRKESSFSKRTTKVNPLI HGRASADEGRETRRKLFLKNVREASEEKRWKNRGGDEEIMRCIWVAEQRRLEERMRKE VMGIEADVEEEELSIDEVMADEVALNEEQELEALLGYLDHGTGNQALDEPMLPQHNMN APMWSNSNDQKPANSASQDHVRSDTPYGSDDEYDDIFMDVIHEEERMSSQQQSSGYPQ DQDMMDMS LY89DRAFT_223202 MSTTDMEKKADDLEGLAETSGKIMEKEKETASKPTAEAAKSEDV APTEDIPDPDEDDLDDLDDMLDEFSPSKPEPTPPKAASGAGASGPGRPPAPTTDLLPN DISDEDFAKQFQAGMAELMGEMDTSPDMAAQFENLLKELGGAAALGEAAGLGPAPPIP TSSKEKGPSTKAKAGPSAGTNAAEESFQETIKKTMERMQSSGDQATAAAASENTDDVL AEMMKAMQSGALGGEGGEEEFSKMLLGMMEQLTNKEILYEPMKELDDKFPDWMEKNKD KVAEADLKRYKEQQIYVREIVKRFELKTYKDENAVDREYIVERMQKMQAAGSPPPDLV GDMAAAQEAFGAPEESCPTQ LY89DRAFT_222989 MALCQNRLQEERKQWRRDHPFGFYAKPQRNAAGVLDLKIWECGI PGKEKTLWEGGLFKLSVIFPDEYPTKPPKCKFVPPLFHPNVYPSGTVCLSILNEEEGW KPAITIKQILLGVQDLLDDPNPDSPAQADAYNLFKKDRAAYENKIKRIVRDNPAP LY89DRAFT_673923 MCGIVGCHHVPDAKAFKPTALKMVKQCRHRGPDWSGSFLANSTI LAHERLSIVGVDSGAQPLTNSDETIALAVNGEIYNHRVIRKTLETPYHFKTHSDCEVI IPLYMRYGIDAPKYLDGMFSFVLYDKKEDRVIAARDPIGITSFYQGWSSKMPGAVFFA SELKCLHSVCDKIIAFPPGHVYDSKTDTTTRYFQPSWWDPAKVPTTPVDYKLLRETFE KSVRKRLMAEVPYGVLLSGGLDSSLVASIAQRETLRLKAAALAQMQNGDSETSREDED KGEGLVGISDDGKLSTVTYLPQLNSFSIGLPDAPDTKAAKEVAKFLGTKHHDMTFTIE EGLNALTDVIYHLESYDVTTIRASTPMFLLSRKIKAMGVKMVLSGEGSDEMFGGYLYF HAAPTKEAFHEETIRRVKNLHLSDCLRANKSTSAWGLEARVPFLDKEFLEVAMNIDPQ DKMIVKGERMEKYIMRKAFDVSVLEPGAKPYLPEKVLWRQKEQFSDGVGYGWIDALKD NAELHVTDEMMKNPKPEWGSDIPDSKEAYWYRTMFDEHFPPSCAETVERWTPTWSKQT DPSGRAIAIHNQKYEAGDEKKEA LY89DRAFT_700085 MSVPAFSDISKASNDLLSKDFYHLSAQAVEIKSNTPNNVAFKVT GKSTHEGATSGLLEAKFSDKPSGISFRRFTMSSDFRPSLSPIIDFMVDVSPDYAQLLM FYRRAGLTLTQSWNTVNALDTKLELNDTITKGLKLELLGNFLPATSAYGGKVNLHFKQ ANFHGRAFFDLLKGPTATVDAVIGQDGFLAGGSAGYDVQKAAITGYSAAIGYAAPQYT ASVTATNNLSVFSAAYYHKVNSQVEAGSKATWNSKAGSSVGLEVAAKYRLDTLSFAKA KINDRGIAAVAYNVLLRPGVQLGIGASFDTQKLDQANHKIGTSLTFDA LY89DRAFT_757805 MPLRFNYFPTTARLRRHFNTTTIRRDSPKPTHYETLEIPTNATP SEVKKSFYTLSKTHHPDRNPNDPSASHRFVQISEAYAILGTPAKRQAYDREHLSHSHS HHHSPPPQGSYHSSGPAGGRPASGLSRRRTQFRGPPPSFYRSGGWGAHSAKRQAAQNN DAETGGGMGPGQSPWGQVNDVPHFDREGHTRTHENNTRRWARRKGMEDGWIPEQGAPR GMLANFLFVSGIISLGILVPSLMIERVMKGGNRDKNL LY89DRAFT_653884 MAAQVSDAVAMLKIKDPEAVKASAAAAKANGNGNLEAEDTDDDE EDKGAPGEGGADGAAKKKRKRKRKPKKAGGAGAIPTKQSDPPRVLLSNLFPSGEYPVG EEVEYRDENLYRTTNEEKRHLDRMNNDFLQEYRQGAEIHRQVRQWAQKNIKPGQSLTE IAEGIEDGVRALTGHPGLEEGDNIKGGIAFPTGVNLDHIAAHYSPNAGNKTLLAQDNV MKVDFGVHINGRIVDSAFTLAFDPMYDNLLEAVKQGTNTGIREAGIDARLGEIGTAIQ ETMESYEVEINGKTFPVKCIRNLNGHDIRQWQIHGGKSVPIVKSSDQTKMEEGEVFAI ETFGSTGNGYVRDDYETSHYAKRADAPKIDLKVASASKLLKVINKNFGTLPFCRRYLD RLGQEKYLLGLNNLVSNGIVEAYPPLVDKKGSYTAQFEHTILLRPNVKEVISRGDDY LY89DRAFT_786279 MQLTFISLLALVSSTIADPIISSFPNSLTLESSFDPIKAAYWTG LPHHRRTPFSVSPDGNSAYLAYLDASYSNVVIQQVNTSTFEAVGTAVQITGYEAAGLV AQNDGFAVMVTTNATGTTDLPPTGEYVTVVVRYKDGVEAWTTALNGPGVHTSDGLTAT TDANGDLVYSSAAGLYGAYFVVTAYTGNASGHFGDSIQYVDDTGALQTITGASSVFGC SHNTGIGLEAADSAPFASICAEDQGDIWLNTDTQYMSGVKIANENTTNGVSGEPMGGM SGSYSNLALFPSTTNYIFAWQSRGALDLTLNAWMGSPYTQCSPRWLNHNVAISTMSSK DTLTGAEASSTVGAAEGDDQVNWITYSSTEDHQNVHTATLNSNLSIVTWKTLTSPDSQ PVPLGCSGTYAGTSFQFVDSAGAKVGAVTNSTSVFVSGDIANVGTDRVCWPYVDMVWD LSAPKASGTPVTKMSFACASVGSSGSSVMVSSAVASPSSAAVAVSSAAGVATSSSIEA VVSSQAAIVSSSTELSHATSLDLSTSTVPISTSSTSSAAIENPSSSSTATILPSTTQA SKTALQEHTSNHHTTFSTRVIPSPTIIPEDDSCDAE LY89DRAFT_223272 MTSSSRTPICRVCKEPEELPSKPFVKCTQCRQLWHLHCHRNPDL DCSKAPPLGFVCGRCSAKMKASEASSSKQSFVANSAMNESSKETTNNPVAATNVLPQS LAKDTPPAVTRFSQPLTSNLPPRTGSSPVICEIPGCGEHVRSQTPNSQSLCFKHRVEK QYAKAKAQAPATNIPRASKPFNKGKIYPLRPDDKPYVKQKPKIPKRKSTTSELDMRPL KMPKRTDIQGHVASSGSEPIVAKSMPSRRRDASSVISPLTTTFLDRDSSLSSASAIKS PAIEGSASSSTPLAVNRHRSSNLLEESTPTRSTPGSSSTRPSPLLPRNNSPEYEPPPP QTFDHDNPWANEDGAGDSPGSQIAQELKANAEGSQQTDNLPPMLSPIGELHLEVSARA STSARPRTPEVPANTGIEDFLGHSELIDRLALEHVPSPNNKRGAPGAEIDTAIQSPDL PTDAGSGDDINMTDDADRNTQAGVQSTNWDEGWTTGGGPQTTLQKRLARGDVDENMLD SYFEKQRISAKTLMPTKADLEAQNWSSIDPRKAWPERQMDDKEIAAKVKQIEARGGRK ANYGKIFTAQNLQEKEEKGWEMHQWSDRKDDDEAMERIKRLEELFGVEGLADFVPATR NGRLVMIERMSESPEDEPRGPGRRKRKREPRVFVVNGAPSTI LY89DRAFT_738765 MEFFKSAVASAISKGPPFPYTFGDRVDVDASIWTLHNGTKREDG SNCSIFSFDVTANKSRLPMARNAVKKLRTLRHPGVIKVLDTVETETYIYIATERLIPL RWHIKRKSMSSETLKWGLFGVAQTIKFINEDASSVHGALRVGSLYTSESGEWRVGGFE VLSSMKDDEAIIYNYGSLVPDAGRYTPPELAKSGWDAIKRNPLTAVDAYNFGTLIFEV FNGDFMGGDQVGQTKNIPVKMQPPYRSLVNANPKPRISVGGFLAQGQRNGGFFNTPLI KLTESVDNLGMKTEQEREEFLNDLDGLSDDFPEDYFKVKILPELLKSVEFGGGGPKVF SVVMKISTKLTDDEFDARVTPAVVRLFSSPDRAIRVSLLDNLPVMIDRLSQKIVNDKI FPQMLSGFTDIAPVVREQTVKAVLTVIGKLSDRTINGELLRYLAKTSNDEQPGIRTNT TICLGKISKNLGAGTRSKVLIAAFTRSLRDPFIHARNAALMALGVTSDAFSEDDCANR ILPALCPLLIDKEKIIRDQTNKVMEIYMQRIRKFASIMADTALPPPSSTESSTGQTPR MSTPQPSEAASWAGWAISSFTNKVSAAAGEITPTANGAPRRSSAPLVADRRAPGTASA SALHRQAVASPPETSARTSTSSNANDYFQDSNAGADEEIDIDDAWGEVEEDSFFDAPS EASKATKPATVSANPFDDQGEPDFAGWLAAQAGKKTTAKPLPKGLAKPSASNGNRPGP ASRVATTGSIGGLGAKKTATTAAAKPATKPVAAKKIDTAPRDTGDDDGWGDGW LY89DRAFT_223316 MATLPSDICIEIFRLLAIREAHDGFQQPLLLTKLQPELASLLHP AHYADVLAGYHRADIPITVGNLAAFNALYRPYKWVHGIILIFEGQSFVGSGFKLKYRN DLERIALDVLRCDKLEDCGSLTKALLDASRRGASIVYGEERNATVGRVSVLVNPTAHI ERDIIREIQRLLGCEGKVSNIGPELKRIVWIGGDGGAVAMWKYAMEQLGF LY89DRAFT_624610 MNYLYLLPCIITSILASKVSQAPLLHDHDPSVSDFTTYQSEVSS SHSIRVKRQNATLCDTPVDQYTGWLDVGAKHLFFWYFKAENAASDNDDEPLALWLTGG PGGSSMLGMLQELGPCLINKHGNGTVYNPFGWNKETALIFVDQPAGVGFSYLDEGEPV PGDSFTSAADMHLFLQVFVSKVFPEHKNGPLVITGESYAGHYLPALGAQIVSQNILYP KQAQVPLKSLAIGNGYVSPLDTAYGYWETLCTTNPGVDKPIFNETICDIMATNLPRCI AVAKTCYDYPDPAICNAAAKVCWDGVIVFYDGESYAGGRNRFDITAPCDLDEFCYPET ELVQDYLNLESSFNALGVPKNVRKFEVGSHAVEEAFQLTEDLEITMKPQLEYLLANQI DVLIYQGNLDLACNTAGAKRWTANMPWKGQSAFTSKELKPWKSTKDGKEVVAGTFKEV NVKMMDGDEKTTRFALVTVDGSGHMVPMDQPEISLDMLNRWLAGKSFD LY89DRAFT_673931 MSTKLQPHQHNDSQQQNITTMKLSTIMLGANTLVGTVSGEFMPF VNDFVSEVKQWDVGMCGSTSERGNPPWEFPKHNLRHMKKDYLTLRQCFELKDDAESFQ YQMGWWANTVWAYSGYDCQGEGGGYKLSPGWWKMLTTEYRLFEVCWNTNFTSVTAGGK RHNFTRIRSIMID LY89DRAFT_688616 MHLKTPLLCAAALLSSAISASPTPGFNGLFEYAELDQYNTTEWY LDFPPPPKNFITNTHNHSTLNEDGSGRIYHAKPYSRQCKNIDEKTTSFSYYLALFTQA WGEFLHIMLDAGDMC LY89DRAFT_722518 MKKEVAVVGSGPAGLVAAFALHNDLQRKFNAPALSLDGHSYEYV EPQSKKITRVDVPMRVFSGRYYQNLFNLLEYLRVPTIERRFLFAFADDVATISNYYFF HPSNNHRLPIICLRAPGILGLLSGLWTSFIVLCCYIWFSVACFWISPRVLGRKSAYAE TIGEYLTRLRLPKFFIEGYAVPLFSSVASSPHNLFLQFPAIYLTDYKRQTHMFPHKTS ITMSLLQGRLVQGISVVYNAKVTGIEPSGKRLNLHYQDTKSGTKLNILFDNVVLAVNP KQTSAIYPPTSYVMDKLQISDVSVVVHQDYDMLPEGSAQLVQHSRSELIAMQMKRDHI GGKTTIATHAHPCGVLSTVWPGNSNLMDLDQAKVLHESRFVRLLATPTSRDYLATMFR ERDVGDSNSTWRNGDDGVYVCGGWAWDGFALLEGCVWSGLSSARSIGAVLPWKPVERT WHK LY89DRAFT_688618 MDPLSAIASAIAIIQAISSTYKVIEDLKNLPKAFREVNKSLPIV EDTLKIARQQLLGKSVDESTKKAIEPYIIASEEKAKSLRDIFKKVEQGQVQGKDTKGW SVVDFYRTTVVPMGKAHRVESLMKDILEAVKNLATYQVFKTATQQQVKKLEDAINELA KVEPSLPDSDFEAKSTTNVSQSVAEGGKGNQAVNSGPGQQDNNFGNKFESGGGAFNFG EGVLKLLGGN LY89DRAFT_757823 MSSQPTTFFRWKDLPPELRGKVFDMIFEVLPGPQIPDNLEPFCE LRVESNRDGDFAMTMYHEVNRIMTPSNMCEWREYNRSQLNRVQHLYLMFNQDTSSEDA LLLDRNPLSQNNNLHSVIIDLTRIGYFSKYLVRNVWEDTLVPMIKLLILASHGCVNKI SIVFTRPPGCRRRLVKRMLCENLSLRLDPDIWYLWLLSSEDVARTAQK LY89DRAFT_673936 MNPPRHQTFNWKGLPLDLKETIFDLMFEIYLGPCEPDKLEAFRG SEDFESARRIYHQKNKMMSPRNLTEWNELTRHQLNNIAHLYILLEDQDLVNLASLLNN PLETKNNLQSVILDVSRISTPHNSELLKIQNWAIIFSTIISLLEASISRRDVASKVSV LFDSAAGRHVEIVTKSRIYAIFKVWPTTSLICIPGRGFVSE LY89DRAFT_709989 MLATTVVMLALAACGFAQAPAGYKTVYLTSMVDKTFAIVPKAAK AGSTTVVQTLDSKPEQQWYLKEGNSTIQLAGTTLCLDGGAKSNWKDMGTVYLQNCSAT AVAQQWDVMADGRIALAPSSPQECLDLQYMRATVNNPVGLYSCAGLQNAGAGDKGINW PQVNATTS LY89DRAFT_722521 MGCGPSRPPPENGFQLDDKRAVFRPPPSPSTPAPEMKKFFASAT ASRSSRRPEQAPAQYTSQNRAKEVARCAALLREMYTLDLEIWAMGNAHVEDVPERELK KRKANALFGEVRRCVEGWKGSKGAGWEGEEWRCVEDICEVVEQHGFKRY LY89DRAFT_688619 MAQNGNIVQGAVADGVTGPRIVVGIDYGTSNTELAWAQTDGSAK NSMADLGSDLVVFKFWPGSDNPRVPSAISYSPTNPDTRRSQWGWSIDDDSKVLKWTKL ELEPQSTKAELDQLRELTKGLDLLRELRESKNEGLMTDVPQHITKSAEDIVRDFLTKV SRVWYKHMKSQNQFVLEQVPLDLVITHPATWKYEAMNKTFRAAMGAFHSGMFPTIRNV SFASEPESWALYTIQNLLAKGHDVLIPGECFILCDAGGGTVDLVSYRVNKVDPLELHR IGNITGDKCGGTFIDKAFLDFLAPRLANLDIDPETVGTGGHMVFKPRSRVLLERFERI KHAFTGTGDASLTLPRGTKVVAGYEDSIVNGVLTLTEQDLKKMFSKSVNGTLGLLQRQ MTRVMNTKYKGIPCQVTNIIMAGGFSESEYLFNQVKAYANKEADVEVQRADDCWIGIV KGAVLRGMGVGMAPAPAVIPCPRHYGICVSHRYEEWNNIGERTVDDSFHGQRMVPDHL SWLVRQGDAILPFEPIQTRFGVRCKFTKQQYDLGSSVRITFVASSIMEPPSTMAGLSR AENEVIYLDVESTMIPKSFLQKQSKSRGGSYLTADLEVVFEISDRVYVSVQHHGEVLA NVDTNL LY89DRAFT_688620 MSLNIFGGKKSDKSEGSGEGGGKRGGGSPSVGEYISKIDEFLNE VEGRSFSMFKRRDDSLGDMLAWARYAYRERSKMENHINMQAKSLHRASGKIEDQYQRM ENMQAEINDLEFKRGRLVRERDELVNEHNRELTHIAELRSMEVDKEGEKHREQVTNIR KDHQKEKDKLVAQLLVSQRDDQGWPDDKMGIKFRELQRLMESVTAPRNREFLIPAGQK LPSHLDKTGFLTRAGNAKSHFWLKSTLWSIIAEQFFSAPFGFGVLGPGKSQKHLFEMY AAWRKLFDANAVAASPDREDYAVFRQDVLANNWRSTTFQYINVALTTPEQSHSPIAQL NTENFNDTVSRITRVLSEVANLSHAEIRPEIQDQIRQMVALGRDIALQFGIQPAQMQL VVPKYGEKIKIGEKFHDCEDGDSFKGKTQSVDIVTAPGLEKLGDGRSDLSAQRAMVPC EIYPIEED LY89DRAFT_688621 MAYYSDPFIYLLFTIDPGLGCISFNALVAFIVTLAVLFAIVRRS KDWPEAIRLHVGLALLSLWLITHWIDSVISHVDRNVTAYAQIYTMFTHIIRICTDVLV ITGLWRIIIRYDFLRPLKVDAFADNLFSGVLWTLATVHIALLVAATGLWLKNQNGDED ETERVARARSALEVTYITVQFCAMLVMSIYACSRATERQTGCAYYDEFGYMAHAAFAL LLRSFCEIVIVGQLDRSHSDIYDPQRARDVMYGLCSIYLVLVVAFAVPEKGKEDPLVI KDHAAVEEIKRLIEERIAEVTDGGKNTAPTMSSVLNGIEENLRAQTVPADAQQEYFMK LNEIARLRKQFANWEPVYKGSNNANGQNEIIELVEKNDRKAAAEDNTQRTGVGRMMRS WQGKSNSESKPKKDVEEDEPRPQPGVWATTEDAV LY89DRAFT_566802 VAVLACLCGLSSPLATHCLTSLVVLDIDRYLRCIIVASQIKSEV IPPGTLHAAKLLLLVVTGQARGLQEFGQLIQSLAVPGTFLYLPLQTVHSALAKSGIRS RLKSQVQTHLEQQQYMTAFGLVSWLQDISDAPSNGNVLALLDAHFPIWFWLSIWRPNV DRINAWEHGHLSTSQRQKLSNILQLDGPDLETEQYPALRLAEPRCYEYVKIEPEDPES LERYLDLLYRACLVGPSSVDLFIQQCVEKVATAELLSMVDDAVQAGDDTQCQTLLTFS RALASQHDVADNVNALIESVSSLESLKKFTHYEPLVDQLAQRLCHTMQLAQDEFCKHL RSGPGDYMGMLVYELGMAILQCPKIHSKLPQEFLERIHQFPQQKTLEAIFDELQDDSQ YSASHSSRFRSYLLSSLGGNGTKESGSVTLANVQEEIKFWKRPPDQSRKDLAKKLGEI SGLEYSLYTTCLHAMFNEHDLYISQMKGNIIPEDEETGLNFAKYLAYRRKLHQMQHPC WLSLTASLLRSQKASYLPRMADATSFVEWDKLVGDLELLLTPIRDQLPESGPGLTRER MVWWKTLSQNVAPIQFLLKMHGQQRSLRWLYFPTSTDHVTPLLQVASQGDDMSSLNRQ IISYLSRNGSNAVEVCDCIRLLPGTSSLGRAVCERFLAREEISQWASSDLHMVFVAWR RHKSMTTEDIFALESVRLLLKLPLAAQMRASTVRLTNELLQAEYDTLFREARKLESLR LRLGHQNTQRVTTILSHIGVENSATGRVVDEAIPDELVDAIDEIGDNEFELSFALTSL SSLQRQARGIHNDSRMLLVRLSLQGDPQFCIHFSPDDEGRDRHKYWRPKDSQEPATTS CTTKPTLFTYYLGRNLHYLLRSGNSSLQTIYNSIQTLVTAQPTACLVCASKVGTNLWK PATCSKKCSKKFRKAPLEVRLHNLLVDPAAIDLLLTSIYAAASDTSTLDLLPGCPVPK NKVAAVIDTLPALATFQTASNLKIAIQGTDGLGKDREDLLSWLCLKFRGFILSAQSSF RVPSMPNTQQFLMLNSNHEREALFNSKSPSGGSGRVIFHGTQVSRMFLILSEGLKVMS NTPFMLTGAARGVGIYCGDDQATSLNYAGMTGTSWKNSALGNMRLMMGCELASTAPSA TGTYHVVSDENSLQIR LY89DRAFT_688623 MAAESDILDELYAALDGDPKSVYLHERLLEVWAELGDKDMTTGF ATTLQRLDPKNEIAAQYLGQKSKSKTKHVSNQPNPKTSKASRPWTHPDFAESSNSQPI KNLSAQEKDLSDGYTILKLEAQMLQVELAAVLSHLSTSDSDDQEVLPTLQAISEGRVG SVVPMEQPMSVRSRAREIAESPSEASSLIIEDFEAIIRWAACQDPPLLPDAIRERLVK RKTLLEAAIPDSMTKDTTAALRHIERAYLEKTYVNSETMLGDDISEIPKENFFVSEDN YAWDMDELAQAITANDGVMRNPLSKQLFTESDIKQILAHPLGQRLKPMQLKQSQLKKG IRPSTIQWVSKVGKIMLEDQSVDIAPSRKVMDEFLAYVATLPKAEQETIKSLKIPGVD STSKQPFDYTIGESIRDAVANTTCLHKVGDFLSQAAVYLKKQ LY89DRAFT_688625 MSVILCTAGYDHTIRFWEALSGICSRTIQHSDSQVNRLCISPDK RFLAAAGHHSVKLYDIKSTNPNPLLSFEGHTGNVTGVAFHCEGKWMVTSSEDGTVKIW ETRSGSVQRSYSHGTPVNDVVIHPNQGEIISCDRGGSVRIWDLAENNCSHQLIPEEDV PVASVTVASDGSTLCAGTNSGNVYVWHLLQYREKTTLVPITHFTAHKNYITRVLLSPD VKHLATCSADHTAKIWEVKDLETMLPIPGQAPAAQKDPKPFKLESTLTGHQRWVWDCA FSADSAYLVTACSDHYARLWELHSEQIIRQYNGHHRGLVCVALNDYSEAR LY89DRAFT_738781 MALPDNHTGMPNIKMETEGEGIYASATHSSARCEETDQGLTLPP EIQSSNVCRFDPAHTVTDEELDAVLGSLRPDPNDCPSPRDQEWLQSLFDDDYELPEPP RKRRKTSSPTLREARAASSRVADTLHPKGFFANHAWAPAIVPAHSPSDSGYGISIAES CINEQVSRHSQLIEEILPQTEPVHEVQRSIDAHSAATASTVVSQPAVDRQDAEDDFTG INYEAHDGRDAGIYCENDQPESTTSKEKGSDEAKGRESPLPIITPVDPDIPIPSIEAD VPVVNQHETPIAAPSRSRRIQTPKLPRLPHWLKKIQSDENRKIGEGEMLQQSRVRVRA GQSESTAENSQPRATEAHHHQNHRHNAPRETTSQSQHQHSTASAPIIKMAEYGSQRLL LPALSDFISKTLSSLPNAADAGQFDPTLCSSITLLLTQFQSNPMFTTSISVALYNYLK QYFESQVLKATGDRASIEDTDLAEQLRLIAPLLKDCVLVPVASSAGGHRYVNFNPKTS EECHALATNMKNLVQTIRNELFEDTTKLYDQLEKAIGDFDVDFQNMNMHHQSRYAILE KHCEKLGEENLKTSASVTRHETELARLKKENDELRAMNERLQHQQRASNRLPTTPPSN RTSAERLVSPPSDNDGAEFTEGRDGTLGWFCGLQHQNSADPEHKCLKFNTLTSRANAP GLPNPIRQNCSKCHRKVRKDLRIYVTRANFAKNHVVRVSPQPMSVTCYPQQPISHPMP AAYPLPVVQPLPSTYHLPAGQTIPVVQPLAAVQSQPLVPTSSVPPPPAAAPTKKRKST EVQKKDEPPTKQVKRADLPLTGFEVRQSQGYIRHTFGMSVQRPWMTASEPVKDNVVVD LTEEEVPVVEKATEKGKAKATGKKKEIGKGKKNEKKKRKEDAAAVAAYWKKVEEREAR EAADIAVELEAELAADIEAEVEKSLSVEAEESEESEEE LY89DRAFT_709993 MAPLVVAQTPYYADQSAPFNLVLHSASTSLDGAALFSCHEGAAI EGLCVTTDFNPVEPVSPYNFNYSSLSTPDPVLGYQGLLTWELQAGSFNLSSPMALQNI SDTNVENAFFEPSTTGTLVGFDQSNLLYILDDTTTEPGYSWYGEPDNASCVGVDVERV FI LY89DRAFT_738783 MAQFHPCQLEDTITSPSTLAVAVKRLPIQSRKCFEAERAAFKHI KAHDLGRFNIATLLTDPLTTPKSHYFILPLADGDMERSMQVPDFSDYSNSIHARMAPL VEGLATLHETGIAHCDLKHKNILIYKTSEHDLTLKIADFGHSLPILPDTHPAKGHFCP STFSAPETW LY89DRAFT_223936 MPPFPARDPKSVPTALSQLMPARTHSQTVIPVCPSSMQGHFLAS YFSVFDVVLCGLPGASYSINSYLPLAFQSFLSHLLSHPLTHLLTPPHTFSHTSSHTSS HTSSHPSSHPSSLLSSHPLLILFSSFSHFSLQSLPSLKQNLLFSFPL LY89DRAFT_673949 MEATMWGENSKADDTEALFRQVVVLDLYRILSRLRSRHGETWKT TGRLGLITQLHEAINDKSVKARSGLTKSSLKAGRDRARALHVLFTKLEGMSDLHAETV EAHEVNVQVEPFQIQMPTSLQESPWKVHAGIQLLFFYELHPRYPRPRFICSSKSACYL CNLFFFLPGGFHVPRTHGRLYDKWTLPDWLDVPVERHGELSRIATRLKATLDSQVRRA SKSKKKLYHFPNESVVLPLAHWPSSSLSRNLSTQASTSTVRPRPSPSASLCTEIPLTS PRTPPEPLHTGNSDTEMRVALAEAALAENTTGHISTPNDVSPITVRHKELPYGQLITL TTPSLHYFRRGWKADAALIVRLSLLG LY89DRAFT_700094 MAPRQAKPNTRKVRRQPSLPKDQGKRPQGIKKKRPQLFSRKSAR LENIERRQDRTKDTRTEPKHLPSQPATLPGMRHRLRTSITSSVVGDTLSQDWYEKYWV PETNMNHLLARRKSSSSLRGKQSEASSAAPSSTTPSDQKPREAKSTPYQDARYKTILA TKDSFIDESDLGITDKSKNNLQTLLSAENETRVIRDISLLIVPSAKTLATCGATNLQC LIENYSVGFRREAFTEDQLKRLGLFVGDLTDTSFFMATYYMYFPFLTCEVKCGATALD IADRQNAYSMTIAVRGIVELFRLVKRENKLHREILAFSLSHDHRTVKIYGHYPIINRS KTTFYRHVTVSQQSEPKKSGLSQELESHHLSNQSSQDTASLEEAGSQSSRVSSRDVTP DTSLSQKIEEKAFKKPRKRGRPIELHQ LY89DRAFT_709995 MNSPTLRRHLTPSSHDEHDRSGSYNWIIQFVFTNGEEWIVRFPK GPKVKHPDEKVEAKVSTLKLIRDKTDIPVPEVKAWGLAAENKFGLGPFIMMSVIKGID LESILRTPDSKARLMRQDIGDGVAATIYRQIARYMLQLFKLDFPRIGGLSKSPISQRA VGYAAAIDSRPFTWRAHEALVLGGVDTYCPKATTFSSTADYFAYVAEGDLQQLYQQPS AVDDETDARKKLIYWETFKSLTNMGTKVPKYDKGPFKLICDDFGPANMIVNNERDLKI VGVIDWEWSYAGPCQLFCSPPHWLLLDSPNYWFKDDNSVATRYEKFLEMFLRILEEEE GAILRDVPLDERPSALMRECKEDGRMWFHCIIRQGFNGPDMLVWERLRAATPDFDRLV EAVSEEKITAFVKEKMVALAKYRLKRDKKRDWFDQVMCTASQG LY89DRAFT_786303 MARNYWLLPTDVLTRAENTPKLVGDFIESLLDPETREITALDPV DILARVREGTYTAVKVTTAFCKRAPYAHQLNNNVLEFIFEAALEQAKELDQYLEQHNE TAGPLHGLPVSMKNQFHVNSVNTSMGYVGWINKERPVESLLVKELKSLGAIPIAKTTC VQTLFFGETNNNILGYTKNPFNQALSTGGSSGGEGAFQALRGSGIGFGTDIGGSVIMP SAFAGLYSLKPSHGRISYKDVANSVPGQPVIPSVIGIMAISLPSLRLMFKSLQSTKPW LDDPEVINFSSRLETEPNSIALEGSDKVSFGLYENDGMVVPHPPIQRALRIVQKALDL KGYKFVTWDPPSHREAATMHSFFLRADGNADVFEQINLSGEPFIPEIQAQFGEKPKPP ALLLDFYKQYLHLKECREKYQAYWNSTATKSSTGLPVDAVILPASPHAGVIPGKYYHY LYSNFPNVLDYTTMVIPVTNANKTIDIFDKDYKPLNDVDKKNWLAYDPEKYNGAPASF QLLGRRLDEEKLISLATIIVEALEEYKKQDGWENV LY89DRAFT_673954 MQDFSNNENLTNGRMDIPTSDPQYDPNWGFVGAEAGRKSEHIGL SLYTGWMYPTPETTGAPYFNLSAGCMVHPAERNDFATLASPIPLGFGDAQSQAALKAP TAAATAPAPVGNTFASDIPLGFGNAQDQATFTVPTPAVNSFACSIPGYYSVQQGQAIF TAPVAPATTPAPAVSSFASNIPLGYGHAQGQAASTSLGAGATTSAPAPAVNTFACTQS RCQASFKRDTDRIRHEASKHGVNGTLHFCQVLGCPKSLGAGYTRRDKLTEHMWKKHAN LGFVKRAH LY89DRAFT_722537 MPPKKTSTRRTRAAPKPTPKPIMSEALKDAIDTLPQEKLRKYVK QYCESMTDLREALEKEFLVQGKDIVRYHADTDSEDAEDDETSSHTEKGSDSEEDLSRN RKKLKPIAIRDEEYGPRMAKCQHCNEDFDVTYNDKGDCIWHPGSKEVDYEADIWADHD PRCHGNYSDFKDDAGMAEGFIWDCCDKDGDDEGCKSTKHKAAINITVKEPPKRDQKRK AEETLRKTRQMARCQRCHTRFDIYDNKRKACLHHPGTKEPTDEDDFWCDHDEGIGGAI MTLVNEPDYEDGFVWSCCEKAIREDGCKRGNHMIVKKR LY89DRAFT_224048 MFATLLLLDCSHESNSCQFRHRNAQASVESHASGQQERGGNDLT LTDLHEQVLGRLLNCKRCGLSRQGRERGHQDDLLPWCGIISPNPTGHGPVQMVSGYNT FIMQFLSDSTLSFSPAFHVQGQRLGKHTFKLHKKFYLNGKCCMHLTFRDAIYSYHGGQ IRGYWSSGPRVIVIRSHLCKPLLLA LY89DRAFT_700097 MYAAAKLYCSPDQINAGQAAINTTCTSYGLIHLVPYETVLPNLT DTFIASLPVVNFEDIGTVAVWNSSILISPSLFRTGVDTESSFDEESALHQKYGWGVYG FWGGVLLIGIVTRFIKFLPHKSLSQRSSEQEVESQQKASRSRPYTVLEKIHGWTQTKF IIPPIIGSYHRRLFWGCSIPTRIETIVLTAYWTWSLIICSVSYKAFWPNLYYTPSDQI WRYVSDRTGTMAYANLPVMWMFSGRNNVFLWATGWEFSTFNIFHRHIARIATIQAIVH SVGYSVMELKSKSYNFDWTEQYWYMGAIATISMSLILGSSSILVRQKAYEIFLVLHVV LSIVTYGWTVLVSHTKIFLSQYDPYVWPLVAIWCFDRFARIVRQVYCNIHVSLSGDMS TSKSTVEYIKDADLLVLNVTAGSQLLKPKPNQHYFLYQPFKWRGWENHPFTVASWTNN GDESHPASPVDSIQSINLSPTTGKDDSEIAVFPVATPIPAIRPSGTTLTFYIRPSTTS NISFTAQLRSLCSSSDQPVPLTILLEGPYGHSTPLHNYDNVLLITGGTGVSAALPYLK SHLQPGTTNRTRNLRFVWAAKQVPMVHEIFHRELENLPMNETANLSVFVTGEDALTQQ ISGDISETVKEVRQEMMALNIKYGRPDVKRIIEEMVDEKGNESLAVLVCGPVGMADEV RAAVGACLNGGARRVEFVEEVFGW LY89DRAFT_738793 MSSTVIDLTEDSPSGENLPFPLNAKSRNATTPYTSTRLPPLREV LNYAQPQARAQPAVSQVLADTIKSMDASLLRSLVIKHCHSNAPLKNALEEELLVTGKD VIRYHDGSESEDDAESEIKSDEEGSGDEEEGSTTKPIAIADHEYTSRYTECINCKETF DVTENLVRACYWHPGEKELYDDDDFWADHDEDCHGRMEDLDDDSTYAGGFKWTCCEKL GDSEGCKFTKHQAPINTIKRVAASTGQKRKAEEELPRLERSKW LY89DRAFT_786308 MPTKSYFEKLPPFPNDVPVAKLPRISFAKLLDNETEESEALFSA TRKLGFFMLDFQDCAEGQSFLEKSETMFEINKKICEMDIGDLAQYAYHPPHSLFGYKK LGDIKIKDGRPDRLTFYNISQDDMTGIAKPMSHPPCVESHRADVWDFMNQAHSIIELI CSHLDTQLRLPLGTLASMQPMSLPSGTALRLLQYPPQPESDRRTSLLGHTDIRTLTIL FNLTGGFQILLPGRDATDNSAWMYVKPEPGYAIINLGDAMVEWSGGILRSNMHRVTFA PGEQSKLPRYSLAYLVRPDGDAPMKRLGGEGSLIPQAEGGGEEDTMTAREREVHKSIA IQSGKDNARSRGGIEI LY89DRAFT_673959 MADNSGAGPSTRAEDDSGRKVRRVAKVKSLRIARGGSEPENVRD NDSGEPEPEGSGDEVEEGDQDSDEESLGSNDSEESYDSELGLHDFVVPDEEVENSQGS GGSSDHTEVVDRPDRGIEMAPPDNVEVKDFNIVRLIQFTNKAGNDAKCPIVLNANYFK VDYGDFLLRLNTYSSENIDRIHVRNYSGQERYIPRRMLQSVELPWSFLSDIELDDENG IGLPLAFVGEIIPADFGGGVDFDENEAVMIKANGNPTKVVDFEGRVGEIQMRQDKIKR LAQPEGGRKAFEEEEGEEQEEEDLLYAPFEFPWELRVNVEWLDQWNWLISNESKSSSQ GSNTDKDKGKGTSDSTRKSEPRKRGHDDDEPKPKPKKRAKLTTVSKPKSASKPTSVLK PTSTSTPRPGTSDRDAISDKVKFVVEVGKRYNLRPRKAAPYTR LY89DRAFT_757888 MSSSWSHGGVHNGSQNDPEKGLYASTESLVALPSLAHVDEKSQV YIETLRSQFSPIHEGITPSSSVPVLSLSWPGGKAPKPPAPKPKPKPSRWILFQLWFNT YKKFFTFVILLNLVGIIMASLNRFPYANNHLGALVLGNLLGAILMRNEMFLRFLYIIS IYGLRSWAPVCIKLGVVSILQHVGGIHSGCALSGAAIARWLIFKIVNIIRHRNTQHDA VIATGIITNLLVIISVLSAFPWIRNKHHNIFERHHRFIGWLGLAATWCFVILGDTYDY TLGVWRTDAHALLSAQELWFAVFMTIFILIPWFTLREVPVEVEIPSAKVAILRFNRGI QQGLLGRISRTSIMEYHAFGIISEGRKSPYHYMICGVQGDFTASLVADPPKAVWTREL KFAGVGHASAMFKRGIRICTGTGIGAALSTCIQSPNWFLIWIGSDQERTFGPTISGLI HKHIEPERMILWDSKKRGGRPDSVQLLKDTWESFGAEVIFITSNMQGNDEMMQGCRAA GLHAFGTLWDF LY89DRAFT_786309 MNLSERVAQLEKELAALRADKDLTPRKNSFLADGKTNFDSSSQV VNAFSTSSEITGPISALSPTTANNPPILSLFDNAILNTSETNNAIYDSISREFGNGSL KPVNYQSTPHFRTSRIKRAKICEALTDRLPSQSSICEILEIGGIWWNLLRTLHPYMCC EDEKMSIQNYVFLALDQDNPCILGTALSWLVISMQCLPTSYDTSHLNLPMPLKDLTQH YVASIDQLIVCDDEISLSLEGIECILLQGQFYGDVGRPRKAWTIIRKALSHGVLLGLH KTTQVSANPSPHHQRRENVWWHMVQVDAYLSLMLGLQSFARPLLLDSQVELLPGPGII SFDLYRKKLFSVISKIGDRNQAMQISSNTTLTTTMEINQELDALSSHLQPISWNTTMP SQTESRKDTLGNYESIITNLWHYQAQSMLHLPFMLQSPSSGEFDCNRTVCISGSRKVV QVYIKMRELAGGRINLCRLVDFQVFMSVVIVLLGLLDWNLVYQTMAVLKVVSAEPENL IAAQCLQALETLVSIGDGQISSNGQASDRKIFIPYFGIINVAPVSSFMNGIAQATAPH LQEIDHPPATSSQANNLIIDIDVFNAFSFGNGLQNSGSVSRPEVPDDILLPDALTMDI DQDWSWMLNADYQMNGHM LY89DRAFT_757892 MSTTSSTTEKIDGPALADVGEKRESKGDVEHGNEQVEAPDEVGE YPQGFRLAMVILALVLAAVFLVALDLTIVATAIPRITDEFHSLDQIGWYGSAFFLTLA SFQSSWGKVYKFFPIKTSFMIAIAIFELGSLICGVSRNSIMLIAGRAIAGLGASGIST GCYTIVAFAAPPKLRPALTGIMGATFGAGSVVGPLLGGVFTSSVSWRWCFYINLPIGV PSIVVILLLFKTPSKAQPLKAPLLEKFLQMDFTGTALIMASTICYLLAMEWGGTTKAW NSGSVVAVLVLFPVLIIIFILNEWWQGEKAQLTFRILKDRAMLAICLFAFFFAGSFFT LLYYLPIYFQAIDGVSAQASGVHNLPLIIAMTITSIASGGLISAFGYYLPILVSGGVV TTIGMGLIYTLDIGSKSSHWIGYQVIAGLGVGFAFQVPQIVAQSICELSEVSQYTAIS LYAIFSNKLVQHLQVNVPDIDPKIVIGLGVTQFRNSLPAAALPGVLLSYIQSLHADFI LATVLVAVATLVSLIAKWEKIKLRI LY89DRAFT_673963 MKLLNQQFLVIILGYVVDSVAARTETSQASSSAGTDYSTQVWST TKTEIRYSDFVLVTREVLITTLTVTTIQPVVTSYPATVVETIITKITLEDRSYFSQKR STTTFTCGIFTMDPSTVVLLSAPSAKGDVAASTF LY89DRAFT_688641 MSAPQNMLPPLGTVMVPQNVPAMAPMMHSTPPQQTQSSSNADSI RPQQTPHNPPSRPPPHPLDTMRAYRACLNCRNRKSKCDLDINRGRPPCRRCQRENREC VLGESHRGGRRVRKKPKLDEGATTTESNQNTPTNPSNAPFNSPAGSSTAPTHFSPLQN QSQQLPPPQPFHSRYDSRLEGAYGWQGTPNTAGSDTTSASRHTEQTNITSPAIDTAYR ARQESTVSLAAKLGDRANEGIASADLQNPSDALEILAQVADRADDENSPEGGQTPGNS KSVRPVQRRQDPSPSKLDDYWLYKPIQDGMISPEMVYSLFSTYEEFFHPFFPIIPRQT FDRTRLPWLSRNEPHLFSAILTVASKDNERVHQICYDHMQQLVSDILAGADANVEAVE ALLLLSQWVSHRPQASVAVGRGEEDRVAWMYIGTALRLGYFLGIDRAAFKSDSHEDPA IFNRKRLVWSACYICDRQVSVRLGKGFWARGPGPLSGLTASDFPTLQRTSPNDDDWAL IFQANLELTQIFSNVHDILYSSKGHGWKEMLEGRYAKYLDDFRTSIRRWNDVWGTLIC SSRLKASLLLTYDYLRLYVNAFAYQATISRAVTFHRDSQHNPNRPMPLISATAPDARF IYEALDAAKSLLSTFNNFVDPQTLRYMPSSYYLFIIYSAVFLYKARSTTTMTEEERTG VRHLINQTIERLQRASVGANHMGSRYARLLQLLWRKAPKRSGAPPHATIDSRLTNNTS APSEPQQTFDQVTYPMQSQNFNGGGGGFSWLDLGATWNFATQNNGGNTNSSSGSAGDV GDGLADGVSPFDMNLLTDYSLLEGDNPNLIF LY89DRAFT_786311 MAVLEGRLLFTAVTTLTCLGFLLIGYDNGLMGGCVPLVNSTAFG DTFDTPSATITGLIVAIYEVGCFFGSIATSLCGEQIGRKKSIAIGVVIMIIGALLQAT AYTRTHLIVARIVSGVGMGFINSTVPVFQAEFSPKATRGLYVCMQLSTLNLGIFLAYW IDYGFTESYTSSFAWRIPCILQCIFLLPMLILLLLVPESPRWLAAHSRADESLSVLTR LHAYHRTPLEITTLHSSIVQTCEFEASVGAGKWSDLFHNDSIQSQRRFLIACSIQSFQ QLGGINALIYYSNTLFSKSLHFSPHLSALMSGFLQTWFFLASFIPWLLIDRIGRRPLL LSMITLMAAVMAVQTGLIYNVEHATAIATPCGIAAAVMLFVFEGAFTIGFQATVWVYP SEILPLRLRQRGAAVSTACNWIFNFMVVMVTPVSIQNVGYRTYIIFAVLNACWVPVIF FFYPETKGLELEDVDRLFAKDEGAREGLREVGVREGSTDKFEGNGVEGV LY89DRAFT_738802 MSRPGTPTSPPSPPSPSFISNGARDSASPSFVSHGARDSSASSA YFVNSQARDSASSSAYFVNSQGGSSVNLLQYPRPTYLHHNSSSSSLTSDFDGATICQT PNPEKAFEKPWVEGELTPNKFKRFNGSTNSFFKHFEPSSYSIETLNKNDDVDLLSPWR RRLYRLSPLFTFLACASYFLYYAYRIHCTIVAQRSYHKTYVMAWLFISAEGCVACPAL LHQMYQMLSIRGRTRPKLRIRGNDVPTVDVFVTCCKEDVDIVLDTTRAAAAVDYPQDR FRVVVLDDGKDPELEKAIEDLSDEYPNVYYHARVKVKGKPHHFKAGNLTGGTERVSQL ENGHAEFIAALDADMIPEPDWLRAIIAHMVNDEKMGLVCPPQLFYNVPDNDPLCQSLD TFVHVMEPTKDANGVAWCTGSGYAIRRKALEDIGGWPVGSLAEDTFTSSLLLGHGWKT AFCHEALQYGTVPDTFTGHLKQRTRWTLGTLQTAVKLRFCLWGDMVKGMGFFARLSAF VFAIDAFFKIFLVIALLTIPIVLISGGQLVAYSTQAQLRWQIRLGFANLILTRLNEWI TYLPSGYRLAQRDTGAQMWMAPYHAMTIIRSFILPSWLGGKSMAFSSSGSIKSDLDER NPRTRAPLFRRLKVMLWDCDLYLHLLYVLFVIAAVAYSTTIGVLRAENIHKLFIYLLT HAFWPPMLWLICVTACCEPLRYAVWPPTMPEREELLDRDPETQIARPKECWKRQRYSR WTFWHEMQYSGVTIFTAVIFFGAFFV LY89DRAFT_673967 MSTPSYIDPTTLTNCTVHTCPVDSSFYNYRISLPPNAIFLTFFS LSLFLYLSIWLTKRRGTFFSSAMLLGITAEIIGYIGRILSYTNQWNENGFLIQTICLT IAPAFFSAGIYVCLAQIVAVYGAENSLIPPRYFARIFIPCDIAAIILQAAGGATSAIA LQSNSSLAVGDDVIIAGLALQVYTLFHFILLSMYFAFRVRCRKVRIKTSRRFRGFIWA LGIATIAVFWRSVYRVAELNQGWNGPLTHNQWLFVGFEGVLMVIAVAALGVFHPVSCL DGAWGGVKGAQGDERSNGGIEEVRKEGSEMKAEGERRSEPQIPELGMRAEEITPAGD LY89DRAFT_757910 MALPPLHDLQNQPNNLAISTPLQTPSLSITSTIETSNEKENLTT TTEELPPTPPPEQPQPKLQPLLMHETHTSPKTQHFSRSLLLFLQHPITDYCIGQAQAR YLDRAALKSSLTTKKSHKNKTPNSTHRPTFHLAQSVQSLNDGDSDALALAVAIFNADG TVMRKHTLSPSSPWGKELEEGGILVLSYLLVEREFRRQGFTSVLVKGLVERAKGARGG VRWVFVKPGVIRSDLEGEKEGSREKEEEERALERARSFYKGVGFRRVGDSRWFCLAVE G LY89DRAFT_722546 MTHHLPLQDSDIPTVARFIHLSSLQQATNRFVFKDWPAEKTQIA FFERNLRKAGVRGWKAVSGEGEVVGAVMFSREEVKGAGMENRDEQVAGKGRVVEGREG LNLEFLSVFRGALMGAQRGLEGVDHLVLDFIFVSPSLRNKGLGTQLVDLCLEKAKEEK LPLKVCSVPSAVGFYRRLGFREIGFADLDLAVWGPEKGGFGVYRFWGLVYGEYESEGV LY89DRAFT_738806 MSSRRNSHNRRPSRSGSGRGPSQRRASASRRRPSVRTPADRAQE QRPHPQILADLPYPSYRAYNTRDLAHALQANADGAPARFATRLDNTQLGILRAALPAD IGVHPTADIRFFLESWVGILDTVFFFGNLIRRGLEGGFSLYNKPNSRRQGFYNRETKN VRINTWDGGQDPARFAEQLLCTLFHTMLSAFLDLYGCECAECVRTENAEQGGLGTGHG PPWLNSITALQRELQREVPWQVDCNILESVQHEMKQTPSWKPRNDQLERWGAESLIQV EEPPPEQPVRRQPVDNEDDDLGHHQRTGVRQKEQTSCCVIL LY89DRAFT_593653 MAAQPQPAAFTALAEKYSHPQHGRDPEVMRLRRDHSVLGSQLPL KSGVTPLDPNFYVSAAEKTAYIMQSGYQRHRFQGFTTKELEDSEALAPMRNGDEYKPL SVNHLHPLVEKRRWFLPVPVLNQADFPMKNGRSGYYEASNDDVWVALLPSLHLATQYL YQSDALQWSGLHICHKMFRLIPVGGML LY89DRAFT_593482 MVNLSTASLHPQPAQYHFASTKKVEWKECGDINNHTVECARIEV PMDHYNPLPEKNFSIPIIRMLASNTSANGDKSIFLNPGGPGGSGVNFLWRGGENLNKI IGEGYHLLSFDPRGVNGSIPQAICYVTPEQRAEEIESNPWNLEFEAGEMFTRAENKGK ACAETMGEHGAYIDTLQTAADMNSILDAIGQKDMYYWGFSYGTTLGQTYAQMFPDRVG RLIIDGVSNLDEWYNAFFFEESLSDTDNVYAGFAKECFKAKENCPLNSIKEKPFESAA DLQSHLDDFLSQLEEEPIPVYLNNTNYGSVSRISLALNAIFPSLYKPYPTWQTLAKNL AELLTGNATAAFKAYSDSWVAGIIADETNTFVISNDNLKAGAGAPVHGVKPVKNYTMS LPEESKLVSQYQGSDAFDRASWAIATTHKFHPRYHPEFPRVKTAHPILVLSTTYDPVC PLISAKKAHDSFEGAGFIEQLSYGHCTISMPSLCTAKHVRAYFYEGKLPESSTT LY89DRAFT_738809 MVSFIYLTGAVLALCTSATAAPLQPWKAHLGVSSFFHGPHFNGT TLNNTAPIIILQSRSPDGSATSPSMSDPSSANTTHKFYLNYASTLRASEKQFGRDMKK CGKRKDCYAQACTDLLTYIDDEVSSNMVEDLDLSTSHDKEAIENFKKEHEADISNIHD MFVKLSETCKRRGYKTVTCINGLPELLATQIENQEIHKPGFEDSVDRLDELGF LY89DRAFT_700109 MSRPAILQIGDIVHSQKEWSELGSFADLKVFKGSKSRAGFLKEI ESGSYNDVVALYRSNESTTITGPFDAELISKLPSSLKYICHNGAGYDNIDVAACTSRN ISVSSTPIAVNNATADIAIFLMLGALRRIHIPYSAIRSSKWRGNSQLGYDPQNKLLGI LGMGGIGREVAARARAFGMKIQYYNRSRLSPELEQGAKYVSFEELIKTSDVLSLNCSL RKETVGIIGKKEFGMMKDGVIIVNTARGKLMDEEALVQALESGKVFSAGLDVYEKEPK VHQGLLDNPNVVLLPHIGTATKETQRNMELLVLENIESAVKKGILITQVSEQSSSGSI KL LY89DRAFT_653933 MTQRLLNKIAIITGSSSGLGRAISLHYAREGATVVCSDLSPSAR SLVPSESEVDTHQLIEKLGGKSIFVKTDVSKARDMENLVESAVKEFGGLDIMVNNAGI SLEAKIPGPVHTATEEKWDAVMAVNTKSVFLGSKYATKQMIGQDLKDGERGVIVNISS IMGIIAGTNQPCYSASKGAVSNLTRQVALDYAKYKIRVNAICPGYTQTAMFAETTTYK STVDELMALHPLNGLGVPDDIAKMAVVLASADAGWVTGACIPVDGGYTAQ LY89DRAFT_593827 MASPILHPLTLDNAEAEHLDIGERSDGGIATSESDAKTVVRVFP IRLATGQDLVPTSSAVPALLQSLDFNLSGLTLHEAVLKPSLGATPLRTSTPLLTPPKS QSGSSSSSTLQIPEHVSTSGQRYVTNKFNFGLSTHDYPTLPHVAKGNKVYRCDQEPIH IPGAVQSFGALVALRQDPSSNCIVRIASENTGAIIGREPEELFNLRCFTDMLSANYKT EFMVRMKALCSKPIRTDPDVHPLALISTDAVTIHVFCAMHLNDAAGLIICEFELEKEI FTSKHPPEHGLPAVPVQVIDHQIPTEAARLLSTTNRSTPLHAVDIARESSRPLGSLDL FHILSEVQAQLSKCTELPALLDIIVGLVYELTSFHRVMVYQFDENAAVGCVKSEIVDP RASSDIYRGLHFPSSDIPRQARELYLINRVRILYDREQETARLVCRTLDDASIPLDLK HSYLRAMSPIHLRYLSNIGVRASMSISLVVDGKLWGLISCHNYGSSMRVPAPVRELCR SLGDIASNTIGNLLYAARVKARKALSIAPPKTSPSAYVAASSGDLLSMFDADFGFLVI KGEARAIGRLMAYEESIVLLQFIRDENFTSIFSTNAIRNECPTLKTSAELSIISGMLV IPLNPNSAEFLVFFRKGILKHISWAGNPYEKATSSGRNYLEPRSSFRRWSELVVGTSA NWTEDQLESAAVLLTLYSRFIEVWRQKEAIVQKNRMTRILIQNAGHEARTPLNSIINY LEIVLEDNLDKRARLHLQRSLQASKSLVFVVNDLLNLTEVEDAVYNMYQEYIDLKALM RSLVTAFEPESSRKNLKVVLNADDTIPSIVKCNGSDLRQVISNLLANAIQHSEDGYIN MSLRCTGTSDLYVFTEISIEDKGRGLEKSQLDNLFQDFEKILDDEDGESTSTTSANDA AYLKTINTQIGLGLALTARFVRLNNGQIAISSAGLGRGAKVSITVPFHKASIQPRHAT LNPTQFKVNVSNLPTPPTPSEPSAQARTSFFSPILSPITTSYSDFGQVSVPGILESPT DPTSPMSPETDLEVDKLNILVAEDNPLNSRLLQTRLSRKGHGVQVAVDGQACADAFKQ NTMLFDIILMDIQMPLVSGVESTRIIRDFERVHSPPSSPKVSAYGRIPIIAVSASLVE KSKLEYSAAGFDGWITKPINFKRLERIMDAARNAEKRRELAYGSDEWENGGWFVT LY89DRAFT_710008 MNASQVPTSNWWSKLKLSAQSFLGLTSQFQTTASPALQCSPSAL SPFLPSHATIISAVSIAANGTWTQPSPPFPKAATGLPALCAVTVNVISSPTSSFNFGL FLPDEWNLRFMGSGNGGFGGGINWNDMETHALSGFASMSTDTGHVSAVPDASWALNNP ETQIDWGHRAMHNSVKLSKLITQKYYSAKIKYSYFSACSTGGRQGFKSIQKYPSDFDG IVSAAPAWWTSHLQPWHLAVSLWNLPLDSPHHIPGSLFKVIAEEAIRQCDPQDGVLDG IISNPRACIFRPETLLCTPTSKPGTCLTLPQLKTLDKIQSPWIDTNATFLFPSLSLGS ETEFGRAMNLDSGHPSPMGTVWLSNFLLNHSSSTASSYNWAENFDLATVQLGDELNPG EANADDFDITPLADRGGKVIHYHGYSDGLIPAEASIYLHNQILRTLIPKGLSRPISSF YKLYMIPGLQHCRGSTGDAPWYIAGGGQPASLGATVKSVPGYEDARHDVVLALMRWVE EGIEPEEIVATKFVDDDVEKGVRRQRPVCVYPKHAKWDGVGDQDLEGSWACVDLY LY89DRAFT_653945 MVIKRNEPCYVLGVGMTKFIKPRGKVDYTELGFEAGIKAMLDAQ INYDDVDQGVACYCYGDSTCGQRVFYQFGMTQIPIYNVNNNCSTGSTGLHLARNVISH GGADCILVVGFEKMNPGSLQSFWQDRSNPTGTSGEMMAATRGVTNAPGAAQMFGNAGR EYMEKYGAKPEDFAEIARVNHEHSQRNPYSQFQDVYTLEQIMKAPMIHEPLTKLQCCP TSDGGAAAVLVSQDFLDARPHLKEQAILIAGQCLATDAPSLFSRSSIDLMGFEMTQYA AKTAMDEAGVSPKDIKVCELHDCFSANEMIVIDALGLSPPGKAHELVRNGDITYGGKL VINPSGGLISKGHPLGASGIAQCAELVWHLRGWANNRLIKDTTVALQHNLGLGGAVVV TVYKRADGKSNDIVDDATIGKKNKLGYNPAVVAKGFTNAQATSVRSRTKSSAWALGDA EKKVEARF LY89DRAFT_786322 MTDRTTSAANGHNGSEHTNKKRKLNDKKQIILNAFAMNTPGHLA PGLWRHPRNKTDQYKKLSFCIELAQLLDKANFHAMFIADTLGPYDVYKGPANVVPALA AGAQFPVNDPLYLVPAMDAATKNLIFGVTASLTYEKPYSLARRLSTVDHLSEGRVAWN IVTSYLDSAARNHGLKEQIPHDERYAIAHEYMEVLYKLWEGSFRDDAVLADRETGVYI ANDAVRQIHHKGKYFEVPGPAFCEPSPQRTPLLFQAGVSEAGNSFGGKHAEVIFVGGQ VPEGVRPNVDNIRRVAKEEGRDPDHIKIVVGINVIVAATDDEAKAKREEYLKYADSEG ALALFGGWTGVDLSGYADDDDFRFSDSPRVKSMVRRWSSTVPGTDNLPWTKRRIVEYL SVGGLGAKAVGSPQTVADELERWVEIADIDGFNLAHVVNPGTFEDIIEYLLPELERRG LHSRQVKKEGATAREVLFGTSRLPEDHPGSKYKWRAGEKEPKYQQAVSTVDGVSETLA LY89DRAFT_593417 MKLSLNKSFVSLLACVIPSHAITLLQVLQSYSELTTLSSYVNAS SNATALLANANNFTFLASSNAAIASFLSKNPNVLTADLLQATLEYSLLRGGYPTLSFS DTPQFVASNLVNSSYANVTGGQTVELLQSSGGAETLSGNKTISTSSSADIVCTGGIIH IIDTVPSIPVSAVSEITAANLEYFVSILNVGGYLNPANAYVNQILEVPDVTYFIPNSA AALANVTEQVKNTSAAELQSTFEYHVVPGFVGYSTLLTNGMQLKTAEGGNLTVTVQGG EMYINAAKVVASDYIVANGVVHVIDK LY89DRAFT_738818 MAKGHPFCEWLLRRLGLYKAQLNPKSSEGCLGQSMSSGISDSVS KRKVASSIEPCLRNESESKLISLPFEVRAMIWQYYFDGVSVNCRIRDKRSKLAALLPE KMKGCGLPAIVLTCRKLYPEIIDAMWKHCIFQTDAPTIRYLDRFVLPRHMDSTAHISI TWRYAIGKAPRANTTARLEWEEGTWGPVWRGQKKQLIGFMMEVKGLEGLSVIIEDGEV VHYLGCEIPWKDGRWLYDRKTMNECVIDSLDNPDCDCRA LY89DRAFT_688653 MTPTLRRQLSTLFQNDSRTLPTQKSSKLLSLPFELRTLIFSVCL SNHTIHLFLYSPFNRLKWHHPSSSDDQPPILPLLLSCRQIYIETTPLLYTTNRIKFTL PLTISSQCLNRLLPPHRGPQIRDLWFQWHVQLTPKKDSPEHEEWRKVWEALAEFKGLR RLWVDIVPIWADRWAEEMC LY89DRAFT_593841 MYIRRLSYSVAVISGLVGFGAWYTNKPQTADAISQQAQSFSTTA SIYGPHPTAEADVERKAVIVGADSLYTGAITGDGPISKDTDESGRKVLEMLTPQQATQ KLRRNEESYLVGRGNGVVRYDVVQIPSNDPIEDDHSEKIIELPQALATATAGGNASSD WMFWGVFDGHAGWTTSAKLRQVLISFVARELNSTYKAALADPAAGVPSSEAIEAAIKT GFNRLDHEIVHESVEKVLKSNSRSLAAEALGPALSGSCALLSFYDSDSKLLRVACTGD SRAVLGRRGESGKWVATALSVDQTGGNPDEEARIRKMHPGEDHVVRNGRILGGLEPSR AFGDASYKWSREISERLRQSFFGRTPSPYLKTPPYVTAEPVVTTTKIEPEKGDFVVMA TDGLWEMLTNEEVVGLVGQWIEKQTNESNGNGASSWVKMFSSQQKGLPVEQGKAKKEG KDGQKTPIRQQQWGVKGGENERFVVEDKNVATHLVRNALGGKDKDMVCALLTLPAPFS RRYRDDLTVEVIFFGNSDKSGDVVLNKEASASAGDVKAKL LY89DRAFT_688655 MSSRQLRKLQQQRELEQAKLQAQESQAAIAEESEEEPVLPTKSK ASLFANLADLEDEDDRDELADEDEVDVHEEVNDAPTPPPAVKKAKKSKKKKKAKKNAK DKLQENEDDGGDSEDIDAVLRELDLKESRKPASNQDTKLQVDPEYERVCALLSIGSQH LKVANEMRNLFGKDFSTVDADEENQQTVRGARTRRRGQNQRVDLETALKGQHAPGKGL SSLTIRRNALIEGKQDWPRSTTGGLTMVVTDDKSDDGTVEFKFVHDYQYQVIQRAFSA LVDMGDPEHLIGLLVKNPYHISTLLQVSKIAKDQGDHALSSDLLERALFSFGRAATSV FNTKLSQGKARLDFARPENREFWLAGYHYIKSLIMKGTYRTALEWSKLLLSLDPESDP YCMRLIFHQLALRAGENKWLLDVGDSDIPKIWSPELVGQIYAASHTTPSLVLAAVNLK QTTKCRELLSDSMQKLPWLFVSLFKEISLEAPKSIWGIMPRNDAETLFTELYISQTKE MWNTPEITALLMEVAHTIPKVDVSTIPKIENKEMTLDVVRFIYLENNPAMMRLVPSSL LHRDNNSDSDPLPPDVNVYSYEHQRVHVEGRRGRNGGAFADGYDPLAALGRLIPNFGL GQGQGHEIDDDEAVDIAALNARLREMAGMHPDDVGEDSEHEERSVRIYRPPEGLVSRV LNMLWGRTAVDEDESEYDVVDPNSAEHEHGGEESEDEMPDRVE LY89DRAFT_688656 MSAEHTYKFNVSMSCGGCSGAVNRVLGKLDGVKSYDVSLDTQTA TVVAAPDLTYETVLQTIKKTGKKVNSGEADGEVKSVDVAQE LY89DRAFT_688657 MPPTSTTNNGNAPKVRQAKKKAPPAPAPAPAPLPAPAPTSTPSS KAWDIPDIPDVTNVRGKYRGEAHYRGTPPAGVCDHQWKLAFKALKDRLRKSSGLSVET IHKLEMLGWIYQVCLVRLGVRPRDNCKCDECKK LY89DRAFT_738824 MAQHPLEFTIKILERDVPQRYICAIEILDPDGIGSHSVVLDGLV LWLPIPPSILKIMTHSNKVRPNEKMISGQQILESSLHLDLIEHLDSEIILGSGLKDLS LAKRWIHPRRNSLAGSTLQDKERELGIVSLGTKDPAQNDEVPVYMPAPNGSNKRSASH GRVVHKHNHHHKGDRVHSHSQGAVHDEMKAWQLRSQLTDPCRDGSPTRNIEERRRQHG KDMGAIECMETLQ LY89DRAFT_738825 MPLYVVVPDHNPLHDPISHLWHQSLLPLTKVALLDSFLGDPGPL FDTTRKSPNVYLSYVETTIRGALYAWSKYKVKELKFLHITKGAELMCNGRSREEIEGF LQETFEKSAYNQQGNGQVQAVEKGDERVIVGEIVDLIVRLRWMVPVWSFWEGVSPENR ETSLTWVDGSVRGAFQRQFRARSRAVSESGTGATGTFEGIAKLGANGIGGDVRFEKGW CLRVIEKEMGCRIVWTSNLLDHLRFREHGHTAGSPTTEEYPEDTQRTLCVFHHAAFLS YQIGSDVYPEGLIEETLRTLSLLLPSSDNETQDWFLRNQIQYHLDGEAARCKPLPKEQ RNIENFRYWRDRLTTLKQAYDRSKPQDKPKSLLRRYLSVLQLNNT LY89DRAFT_224690 MPFLDTVVASKPCPAAYVSSCYFMRSFLLPRTLHSFSPRIDLPL WVSREPYSRGLLFVQVSQVNGRRIWLLLSFLLLLTLLKLVSERSCIDSLPYCICDLLL LSV LY89DRAFT_786330 MIQLLLRVATLTDADRWDWLTRLRDKSLQQLKRKYRDVIRVAPY YDHDVRGYKKRSLCRRSWRQNFISLYSRKATTSKSILYVGACLSGERSPTETAVIGCS AQ LY89DRAFT_688662 MNSLEHDDTGASSTQAKPCTTCRRRKVKCDKVRPACSNCARTKQ VCIYDTSDGRNEGSSSLSSDNELRERLARLEQLMATMMMSDNVTSRGSPEVPNQPLSQ SAVSLTSSNPPPPTQQPNTPVQLLKGDIPTGHIVFQEGFSGYYEPDFWPTLIAEVEDL RQLFDDDSNEETKWASYSAVGIPPPMSEPDLPLAHPTIEESNILCKYFFECVNPFIRI THQGLFARELKEYRRGTFHHLHEFEALLFAIYTLTIGCLRPEVVEQACSCSKDVLLAR FRHSTQLALAKINFLQSNKVYALSSLLHYITFLFQQNIYREGVALLGSAVHLARNLAI HKDPGHFPFSPWVHEIRRRGWNHLCVLDAIALSSYGAESCLPVTSDSKPPQNANDSDW HASRFAKPSSVPSSSVGFKEMTFALVHRELGDMSRGLSSVICRNFNQKEHLVSQVEES LTQRYLNIMDTSTPSQSIIVALVQVRIASARLSIRYRRSEGSRTERKKVYMSALELLE AYEYHSAAYSPFNWEWVFQSTIPWLALAIVLTETPKAEEQLEIERAQLQIEAYFQRLS YAPVASTPMWRMLTRLRAHMREDSSPPHIPTSSVTSSMNHSPASRGVSATLVFTDDLM MDFGNGRVVGDRSWHNDQQILQGRQDFPWLDTQMQFAGGEFEGYNNEQRQQ LY89DRAFT_786333 MSTSPRVFVTGVSGYVGGHTVLRIFENHPEWHVVLLVRNEEQKK IVLAKWPQAEVVIGELDDKDLLISQGSKADVVLQTASADHIPGVLALIEGLSQKKPTP GYFLQVTGTGMLNDVPNGFGYPSDKIYHDIADLAELTSLPLENHVHRDVEKAVLEAQK KFGVPTAIVSPPLIHGIGKGPIKTRSIQIPFMAESVLKRGKGFQILEGQNIWDATHID DVASAFILLTEEALKPNGGKAQWGDDGYYFAGGPQFKWGEVAATIANSAFKDGLIKSA EIDKLSVAETSALHPWAPLIWGGNCRSRSDRLHQLGWKPQGPSVFESIPSMVQAEAKT LGTQSSKTTFDK LY89DRAFT_688664 MADHQCPSTITKTAVSTPLPATTPPKSVQIPVNPLENVAAPNIS YYTPQQHIPAGTAITRKDNPKPLPKVFTPLKLRGLTLQNRVIVSPMCQYSADDGHLTM WHITHLGGILQRGPGLTIIEATAVTPEGRISPQDSGLWKDSQIEPLKKIVEFAHSQGQ KIAIQLAHAGRKASTVAPWINRKAVATADVGGWPDNVLSASNDAFDAPHTVVPRVMTL EDIASFKQSFIDSVNRALKAGVDAIEIHAAHGYLLHATLSAATNKLPAPYSGSLENRM RLLLELTTLVRAAIPESMPLLVRIPGSDWMPPESNCWEINQAIALSLELTKLGVDFLD VSSAALMVEQKVVSGPGYQVPFADAIRKALVEAGRGEATKVGTVGMITSGVQAEEILQ DGKADAVLVARGFQKSPGLVWDWAAELGVEVRVANQIGWGVGQRPTGGVKGGDAPGAV KDKS LY89DRAFT_700119 MHRRRKATKKISKCCDIALSDGFEYVWVDTSCIDKTSSAELSEA INSMYRWCQHSHVCYAILSDVPSDEDPELEEGWTLQELIAPATLIFYGREWKEIGTKS NLIDIIVQVSRVHKKVLSGEKELRHCSIAQKMSWASARRTTRLEDIAYCLMGIFDVNM PLLYGEDSKAFFRLQEQILNASLDDSILAWTSHLPAIEKYDSNQEPGKKSQRRSDTCM SIAHHPTSKSLFGTTQRVSYGRGA LY89DRAFT_593725 MSAFNKSTSGADVVAAFPEAVQGKTCKRPMSTWTWCLLTWAIVV ITGPSAGGLGAQTAIFLAAGKPAEILLLGRTESKATSVMEEIQKISPSTKVSFIHLDL TRFDSIKFAAAEIKKLVSKIDVLINNAGIMGVKEYTLTPEGLESQFGSNHIGHFLLTN LLMPSIETAGRGARIVNVSSNGYQLSEVRFSDYDFDNGKAYDPWHAYGQSKTANILFT VSLASKLARKGISSFVVHPGAIYTNLGAHVEMSEWATVGKMFNDRGYPSVGINKNLAQ GTSCILVAALDPSIESNYLSDCAIEETPDYAHNTELAQKLWALSEEIVGEKFQL LY89DRAFT_786337 MTGPTVAHPTLLLGDISAGCVLYLPPFDSRYPDCMCTCCYPSTS VDEGAYSHPVVVLWIDLQGRTGRQGDVHFLLCASTIRDDPRKHYTPIGVNLIVSESED VNDDGRARYWLENGKSLRKPTKIQVDHIFVLPFTRFRVFDDAKSGFDYRLDGGSYQRL LKRIGSPIRNVVSTSRLGAIAMTAPVSKKEPEDEQLLSDTAKSSAGLSAFRVKSSGAT VHALQRP LY89DRAFT_700121 MKENKTNDNLPPSFYVNNGNLTVHTRTGTFVGNLNDTYPDVRQF KYIPYAKPPVDDKRWTSPEALDNSSRIYDSTVFGPSCPQYVSAIPTVWALNITGNLIV NYGESLLAGLVAQNSAEDCLTLAVWTPASATPNSKLPVIHFLTGGGDVTGGINIPTQM PANWVHRSQSHIVVKMNYRVNIFGYPNARGLNGNTNFALQDQRKAVEWVAENIAAFGG DPEKITLWGQSAGAGAIDQYLFAWYEDPIIRASISSSGVAIGRTSNLDYAGMNFTFVA KSLACDFEDAELELECMRRVPMPRIENFVGQYQVIDNKYVFENYTQKYQSGQVAQLPK IIGTTAREASALVPYQFTTTQQGLPNSCVLRDEVGLPTFRYEWAGNFSNIAPVPWLGA YHYSDLYMLFGTYKITPGVITDLEIHTSEQMQDHFLDFVADPTSLPQTGWPEYQTSAS GGGQIAQFGADGQVVQYVSGDSVEGACHIPGDVYNTTP LY89DRAFT_757949 MSSRLMKSIIFVWVAVCGTSFAYLDARDPACSVTLTENIYSSTV TEYLSVATLYATMNPSTSTVYETVSGSGCPLPSRSCTATVTQTNMTTITETLFATSST VFSSWATLNVTIYTTVSGSECSLPAVNSTPSPSLSAESASAIKTTSTDASTSSASTLT SKSCASTPTLINSGFESGALAPWAQISNFDDGAGPPSVVFSTHNPLNGSYYLSQTLND GTDAMIYQDVPAKEHAISNFAKQRTQTRGVVPRTQESARIEAYIECLGTGAGSLNTVL IDTITID LY89DRAFT_594027 MFNHVQALNYTLPIYNTLNNITLQHSTIRFSTPIIAGSNFTGDS TETSYNAVQTVLPSACRVACEIETSDNSTARFEVWLPTKAAWNSRFLAVGNGGWAGGI NYPDIVTGLKEGFATMSTNTSHNSTQNDASWTGNAEEMIDFSSGHRALHLSTIAAKEV VETVYNLNASYSYYSGCSTGGHQGWNEVQRYPEDFDGVLVGAPAIWMTHLPGWDIRVA LEQFPNAKPSYIPSTMWAVIHEAVVSQCDALDGVVDGLVSDPSRCNFHPEVLACGNGI SNSSQCLNPAQISNLHQIYTPWWAANNTLIFDGLSHGGEAGYTFLFNSETPQFGIDFF RHAVLNDTAWDYITINGSTIELADAINPGGINAYDPDLRPFQAGGGRVIEYHGYQDPV IPSLSSGTWYDKVYGFYCDLGQASQLSDFYRLFMVPGMRHCSGGDGAWVTGSASQSGY TPAENSTEYSLLYSLINWVENKNSSGPQKLIGTKYVGDVVSAGINFTRPYCRWPNIPV YDGVGDVSLAESWSCPTAGVY LY89DRAFT_673995 MIFTIGSNHLEGTEANDLSWKACLGRSVLGYYENNPVEEVRNRE DFAGPIEHPTSARPQVKLPGHWESTDATTVEGGGGGGLKDGEIDDAVQLDGTDLGDVE LEDLLELEEEDGKLIVDTSVNEESLADFLRNAPPPPPEPTSVQGGPQRPSYEPAEILT HEWNKYRDTVIATAGVDQLIRNFYCFYKQTGQR LY89DRAFT_653974 MHSLLLLLFSLPTLLLSHGILISPPTRAPGPASLSYCGESITGI IKADNQSGIEALHKASVTSKDYHADKCNLLLCKGLQLEDNEKNVQTWSPGEEVVLKVW TRIPHVGWWSVGIVDAGSLLLVGGGSVWGFLRTKVEANMMVDFEIEVVIPKVFPRCAV PGDCVLQWTWFGRVVKQTYESCVDFVVVPESYEVGGGDDEKQKYISQ LY89DRAFT_738838 MTTTPPDLNLGPLTTAFAAPPPCSSITVEAISNTSYLPWIYPRR FLSLASTCFPSGYPLGTAALTTTYGAYGFHDEHVFFSPGLCPSGWSNNTVPTTSSSSS GGELTAFCCEPGFSAATTNAVDGGSSSTFCASKVGVTTVTTVPYTGDGVQDGGTKTFT FSGDLIAAPAVWVRWHEGDFAASTTATTTGSRSGGGSSSTATPSKTSMAPASTSSTPA AATGGMTSKAKIGVGIGVPLAALILLSALLFFFLRRRERRRIRKGDISAPYRAEGGYI EKPLRGAPYRPAVDEAEEEDLGMRGGLRSVSGVGDSEIGGTEVGDEDGGRVLSERGPR MASVPAE LY89DRAFT_224929 MITPSTRRESFDGRTDVTANTICVALCLSILSWPRSGDTFGFMR NQLCRLYEETSKFFPAICASAAVDREVLLAPAWPSRTHSTLPQCQSHYTTPPRKRHYV EQMRTIAKWGHLMAGDTKSAFRIHAQPQLISTKALNLPCCKTKTLHPVSKGNSFLPPS LLGGR LY89DRAFT_224927 MSPTAFKQQLLQLIAEIEECHDLCQKIRRTRSLGSTHESIDLFQ KDILPSALKIEDAYRAEVASVGSRMDLGDETARSQLNRSIRDLQLTVSTRLAEIASPR RHDHVQVESPGFKKLRERWWKIIRDVLDILSELGRRLSSMKAIPPGLSPEEFARRADI RNYVSVPDRKVAPRSDEMIVKNKDLEKLMSHMKNSWVEIEEQGLPAWQNAFDAAKIVF HKPSGFIQPLSKHVRFPEPEWRGRGSSHSGSTRGGW LY89DRAFT_562655 YIEHLIQSSPSSPTLKTTLFLAYWPSLPSYQAWWTSAPVTAFWG SLPPSAGMYREILLISPRRTQSGLAGPKKEGMAHVGTIVERTSAEGYWGCYRDRYDEN SETNRMDSSLAVPPEPRRGVGDGDGDGDGRIREGRVVIGGFPENLCFVVEGQDHSGIG EEEKRYWFENFDASVTNWITDLANAPPSSGILDARLCYVPSSGTYRDSVPEALNYNRK IQLFYFLDHGYMERIGVRNKGHVALRNNFLASYCPAGAMGRIAKLMLWVETSVLKKDE IECEYVGCLEGTGFLAFDHLEAFK LY89DRAFT_593846 MSARHANRSRRPQQPDRERGSGRPRGGFGDSERGPLSYGPVPST RQVNVGSPVSIVLKVDQPTGHQVQGIVAELLTRGDHPRGIKVRLQDGRVGRVQKMISM GVSTVTAETIAFSRSRYSDFRADATEEPEREASLADYVVKDKRKSKGLKGGSTATTGP DNGHNGTIQNADDSSTISPTVTCPVCGDFQGDEAAVAHHVDGHFA LY89DRAFT_225053 MDLGSEQFISPPNDSSDGTLFSGTSSDASSATIKLMTLRALPER TSQSTSITPERSKKAEKRGRKGHTKSRSGCLNCKRARIKCKENRPSCDYCAHRNLKCE WPDIHVNQIGTLIRKPTPVVSVPINPQTRDPVYSAQDFRLFYHFIQYAYPHHPLGNDS VWTHEIPAIASKYDFLLNAMLALSAEDMFVLQPSRDKNLHLNALAYRVKSVESLSRAV SAGIESFEIGNAMLATCYCLMFQSVMLNEALVDYFSHIRGCVSVAMQMGMRNMKFIFT KAFGDDQLDMIKDELYAAPLINSEVVAKANRSLEKMEHLCQTKVEISMFRLLLNMARS LITSSGDAYIELRKIYSLFSYDMQHDEFREFTNPVNEVCQLLQAHFVAMQLIMTPITK SEWKDRESAARESDEVGDGSTGRWLKTLHDNMPLHLIEYYEWTLWIDDEVQKGRIYNG VYE LY89DRAFT_738843 MAFGNSIFKYTSSLFSTNTTTTLPDYDSPPDYSSIDTTTKDVSF LKSQALEQSFIPTSILQIQAQGKVAYASIGSPAKELETPIIDPNNANIGPKYTSIRAQ KNSGNCVLVQGGKHGQEGVQVASTTYKWGPGKPPVIRISKTLQPVEEKEVQGFSNHET DEFELHSKSMFKRTVFFDSQRWGHFEWRYADRKERAIADTSGNKSINNLLVLEKVVGN GHMEQRIKVAQLVRGEGTRTPGTSKNSAGNGGQLEMCLEGSDGPLIDDVTVVVSCLVM LKKEIDNLRGAEIAVVC LY89DRAFT_786346 MMLTFRFQWVLLAWTAFLAVASGRLPQSDRVRRTHPPVEARHEA PEAPVEDRSEKFRFLTAETKPYQVTSLPEVPFDIGELYSGLVPIDSKNKSNALFFVFQ PTIGPPVDTLTIWLNGGPGCSSLEGFFQENGRFLWQPGQYAPAINDYAWVNLTNMLWV EQPIGTGFSIGEVTATGEEEIAQQFVGFFKNFEELFGIKHFKIYVTGESYAGRYVPYI SAAMVDEKDTTYFDLAGVLVYDPCIGAYDYSQQELVTLPLVQRNAPIFGLNDSFISQL EALDASCGYADYRNKYLTFPPPGIQPDGYFSYETEASCDVWDLTFYAALAINPCFNIY HIVDTCPLLPDVLGFPGSLMYTTPGLGIYFERADVKKAMHAPTDVTWTECSNGLVIIG AYGPDDDFQNDTSLDPIQYVLPKVIEHTNRVLVANGDWDMIIITEGTLLSIQNMTWNG ALGFQTEPSTPIVITEPDLQYEVLFDENELNGVDDIQGTMGIQHYERGLMWAETYQSG HMEPEYQPRISYRHLEWLLGYVDEL LY89DRAFT_738845 MATGEGKSLLFMLPCILPDARVTILVLPLVSLRGDLLRRVRELG IDHLVWAPGEQQDAPLVFITIEVACTEQFRTYAHKLAATQDLGRIVFDEAHLTITASD YRQAMVDLALIRNVRTQFVYLTATLLPTIQATFEEQNNLVNPKVIRASTNRRNLFYLV QRATGPGSLLEEGARRARDAWQASGLLDQTRDKIILYVRTRDEAKELAELLSCLVYTA KVGIAEEKEELLRTWLATPEQPYIVATSALSAGFDYAHVRLVMHINEPDSLVDFAQES GRARRDGKEAYSVVLLPPRWVSQVANAIEAEKGVLHRYLQGQECRRTCLSAYLDLDSG LVETALPAPLVTTPHTGSAIIQQKRRAAYLELSRYQEDLLAVQGTCLLCRGLDEPWDH AFATCHRRFDFFQARDRVKRQCEGAWIAAFQACYWCYNPQSVCPRADPASRCQSCVYA DTVLPLCYGIFHGGGAEQWLLGQFQQRFRDVDEFLAWCGQATSFGGGKAI LY89DRAFT_688677 IGEILGWRLLLFTVLKEVVGPHQAQWDVDEKVLTYRDVDLHIDH VPRLLLSDF LY89DRAFT_688678 MHTSFAVPKNAESEPELFLMLEVIDEIFSEAHSWCFDGPDCMLT WPRQLALSRFHTGAAPGQKIRAFDPKKEPNTLKTNFGY LY89DRAFT_688680 MVAGGISDAYQPTKDFVNHIKSARPCWEATQHEARKVDQYAEHA RQIYEQQGVPAHTYKAIHSNGFAQGVAFQVRNEEPNRPEFGGKVMFSQGKSNRRRLDI HRAHELAYKDHEHNQRMWDAQLQDQKTRSSQPISPPPSYQQQSMSNPYLPATLHRHIH TRRWVEGLKREHNTGMDTHLFKARIG LY89DRAFT_653994 MLSLCTLLALATTTSALFNAFILQLSEDHEFHKQASHLSYSVRH EYRNPDVFFGLSIRGNITKAQLQEIPGVISVSSVYNVTLPAQNLHASTLSYNPELSVP RNGVLSSSLEMSSVDKLHQLGIKGKGIKIGVIDTGIDYRHPALGSGFGPGHKVAGGYS FVLDNGTLDSSPDPLATCYGGGHGTHVSGIIGMEPSTFDITGVAPESSIFMYRVFDCS GHAGSDTIMAAMIKAYEDGVDVVSMSLGIGPASFGDAVDPLATVTRMLTDHGIAVIVA QANDANGSSSTPELYTEEWPSTEPTAISVGAISNTHFPLVYSATDNFGTIKYASVYPL DFPNGADVYIIDQGCDPNAWSDALAAIGNVNETIVAFKATDGCPATSAGPANVPHIMA LNSDTSNPYLSEYDTPSQGFFGTTQFINLNSLDGDTLQKNFAAVGGYSKYKLFFHDKD FTSVPQRSGGMVDYYSSFGPTWHQYDLKPQISAPGGHVLSTWPLGPLGGYAILSGTSM ATPYLAGCYALVKSQFPAASISEIQARLQVNSIPVPWIFNKSMLSATVQQGAGLVNAY DAIFAETTIAPSQLKITDQNKTTYGLANITIENTSSKPKIYSFSHEGAGYMDYYMSHD EKNQVPLFGTAHFPSPTTIPPRSSATIQFSITPPQGVVPSALPVFGGFIKISDSNGQS FSVPYVGPPYSLFNTPYIFIQNTSDGILPEVYAYNADQSEVTYDLSLLDINATNGYGA SIPTLQWTRAFRVDVLPANTNITANYYGFDAAIKLEYMPSKLSPNEKIFGFPSFGTAI NSTGYVWPGGNSAFGSDDTVTRSNGSRYSVGNGDYRWFASVLRAGGSDSVQSDHDTWL GPVMRFNGQDI LY89DRAFT_624714 MKTISFAVACLASVVSCKFGPSHARNPAVPASAAASDSITEATF DQLIDHSNPSLGTFKQRYWYSTEYWNGTGSPVIVFNPGEEAADGFTGYLEIGTLTGQY IQAVGGAGIVIEHRYWGESSPYTDLTPANLTYHTVAQSVQDMTNFANNVQLPFDKSGN SNAAKAPWVLVGGSYSGALSAWTATTAPGTFWAYHASSAPVEAIEDYWGYFYPIQQGM PANCSKDISTVISHVDSVLANGSSSDKLALKQMFGLGTVEHDSDFARELVSPIYLWQS SISDFLPFCDYLEGVTAGQTNLPGPNGVGLDVALSNYASYINGTSGCSASDCYDSYNK DDSEYTNTVLDPTGGPGRQWQWLLCNEALGYWQTGAPTNQTTLVSRFVTAEYYQQECN FYFPGVQFGLTEDKHNADFEGWDVTKTDRLMFSNGEFDPWRSAGVSSAFRPGGPLAST PEIPVFIVPDGIHVQDLYMNDVTPAILDLRKQELAQMVSWIESYYQGSNSSTTSKATT STSKATSTQSPTMTTSTRSSTTSMTTTQAPHSTTVTEVISSTTIVDCESETSSAIQAR STKIARSYRA LY89DRAFT_594768 MADDTTIPNLDVPSPPPSNESSTSGDRPECFSSTLQECLFVLTA TMSIGMSSFLYGICTVITASIGRTLNMTSAQITWINASSALTSGAFLLFFAKVADTFG RRSLLIFSMAAFSISSLIAGFATNPMYLDVFGGLLGLWSAAVVPPAVGILGAAYIVPS KRKNYAFACFSAGNPVGFVMGTIFSGIAAHLFNWRASFYLLSIIYVVFFVASVWTVPR TQQVTEKFTLKTLKRFDLFGILLSMTGIALFCSSLTIAGGAPQGWKTSYVLVLLLLGI ALIAGFIGWEGFCKHPLMPLVTWRDRDFSLINIIVLLGFMSFSTSAFWLSLYMQNVLK YSALKVAIHLLPQAIGGILVNIIAGLVLHKVSSKILTGIGALSYLGSALLMANIKEGS SYWAFIFPALLLSVIGADLEFNVANMYVMSSLPPHQQSLAGGIFNTVIKICSAVGLGI SASIYNAESTGTAALQTTLRPYKMVFWFCVASAGTSLLFVPFLKIGTQGHSAGTSVMS LEAEGKKGGGEKAVPDVGDRVVKE LY89DRAFT_225149 MSNTPGDDEQSLLRSAGYTPETPPTPGSTTLRPPNSRRRFQRMD SSGPTEYNDPAPRYSSPVSAMSNFETFEAGTAGLGIRPQSIQRVPVGSRISLSNPFEP SSSRSTPGTPGLTNPFENPYIQNASGTPRSEDEEDITKGKNIFSDSPELPFVTIDEVP RVTPLTGTPMSMNNENDNDNDNLKPYAERLSQSIAGCPANHDIHSHRYSWLSISILML SIYSTIFSGIWLVLALAQPRYGRAIHSGGKLSPSTASIVFALFAKTIELSFVTVFITF LGQVLSRRSLVKSSRGVTIAEMTMRTWVIQPGFMITHFQNLQHAGLTFLGVISLTAAL VSMFYTTASDAIVSPQLRFDKTKNTVMYGLVQASYANPIYIEGNCKTPISKDVDPDGN AGLTCLAYENAGQSYHNAISFLSTWGDINAEGAGVSTNIADRPAAPGMLFDNTTVVGS WVAANYSNITSAYEKYSRIVNNVTLSMPHAGLFAAARASKNGILQPEELAGVGEYNIE ASVISPTVNVLCANMNKTEVAPLIYTTWPHATVTNSSSMPGQVLAWPGYQDDIQLLSG QQYLNSTAADDVFEWGQKYKRQPPVFPMVGFVRCKCIIANSFQYPIEYNSLTNISVYM SDSVYMLIKAPDASTADYTMCQLRSYQSTSCSSFYNVSGLSDGQLESHCDDPNNLMAY NRSVQPAPETWNKDWRNVAQEWILSLSLNTGLSDANSSTSRLLSQLIPTAPSSGLPTL PVLTPSISELLAVMAGSTLMDSTSDSTFYHYWTYPANILDPGEWEPFNASISSQQYTS GLQQQWQGIFYVVLLLVFVTNVFCLVYFILRHGLVTDYTEPSNLFALAVNSPPSHRLD GSCGAGPEGHQLDVDWHVMAENSSGHFFIREGQQGVQDNEFELRRRSPQNLKSITSYS KLSAKRNSFL LY89DRAFT_624723 MVVPVGYIPGFVCTLATCSVSKWGFIHYQPSLPGNILFLIILSA LAVGQLVLGIKFKSRIVCVMMLLGLACEILGYISRILMHYDPFDRAYFLWYLICLTIG PAFLAAAIYVCLGRIVVVYGEHISRIRPRTYTILFMGCDFVSLVIQAIGGGIATSVPL TNQKTINLGTHILVAGLSFQVASLFAFSVCSTEFLWRVHKHHGDRNLEHADLYNSRKF RLFLISLGITTVCLFVRTVFRSVELSGGFGGHLANSEVQFMVLDGMMVIIACFCLTVM HPGIGFGDKWGASRFSFGRKREMVVEQGGEMDESPASAEKAGVNVAAHQT LY89DRAFT_225187 MHDWIPHTFRLVAHLLVANSLLTVVIGQQDIGISLLGYETKILR PRDSVSNKLLWGTSRDTVNSSFGLSHGLFISVHCHGLFDGAIRVYDLKAAWRNVDTLV LCILLEHFEEGYEGRMESEYVKEIGILVRPTLVNTDKSLATALDHFFLGRGEMGGC LY89DRAFT_722584 MVYDSIRADVWEQLHTDRPRRKQTPTRADFNRICAAFYQAENCQ ISSDQAAHEIKTYRAEYNIWAYFCKLFSSFGEDAGFPGKDPANTSPASLHTETTTSMK EKSRDPRYITRRSSASPPRSPSYYVPYKSINTLPDTPGSMRECLERTWRSRTGWIDYQ PQLGWDPATGAPWMTVRCYAADAYPALSGSHHRYMKSFEKKIPQFVVKYERFESCILI WPEFRDPADLSKPEVWEEFNRLRAFLTRWAGAQKGVPVFKAYKAFLELPEEERGPLPF PEGFDSEYDRVIRKGGMIKIKGMADRHEQRIGEESNGEEIRRERAEEMGEGAGEEWSR RGRQDAVDGRWERMERSRHEESDYYGYHMTDLDERHRFQGREIDSYRPRYRSG LY89DRAFT_225225 MEGPQRRDINELLTNGYFKTSRAKSPAGLTPTSTNGPSFLRSHE HDTSRTRSRSRGPRGPPPPRPIVEDELVSLARESSASPIPSYDPPLRGKVDQNPILLE AEVTAAEAARLQDIPPLKEKDDSGERRFVLIPKSDTYEPNDKDEIVQRKEEIRNSKAA KHEPLRQARHEPLRRESPRREEKKDKREDPRREERDTRPVIHQDTRRREEPRKKVERT ESARPILHQEPQRKEERRDSARPPIQRQRSRQDLPALETKVPREIPPQFRRSASAFAA LPKDKDETPKASTPRGSATEYFLSPEVVRGAKETPSSSAPRQQVHDLLWGKTGTPVQE KRNSGSLSRPGTPNSEKRHSGNFDSTRPNEKLTRHQQLSEEHGTKSDRRAPSDHSGRS SRSSTHRNYYSSSEDDIAPESDVEKHRKKHHRHHHEEHSHLRSPSRTNSRPLDLRPSS KRNSPLPSPKVSPSQIPRGDEFERRETFPRDRRPSSRPVSPHSADRETPRPDRLNPFD AAVRPTSRQSNAPSNTVPLPAAHHASLPIPIPSRIDLSTSDSRKSVPQYDENKPHGPR PQPSSWQPPPFSPPSQNLDKPVGSYRRYSEEIERGAIAPLPSCPRTQFSRGRNDWLTL PQCPSFDICPSCFNSTIAPTEYRHLFVPAPRRSPNTEVLCDFGSSPWYRIAWLLTVKE RRRDLQLFYGLAHVANTIQPCLGKHEAYRAWHSVVDPKTGGLIRGFDVCYSCVKSVET LLPAIRGVFMMTENHNSSGGPRVCDLRFDSKRFVQYFDALETAADRAGYHNGPPDTRD LASLAKRLALFDECRHDTELIDQKWHIITQLPEFTVCEECFDEVVWPELEEEKAIPMM FNKTLQRLPKASCQLYSAKMRGVFRLASDSGDYKMLASKARERKTMELAYKANMAELR RRKGDWDAVREMNRLEDEWRRYE LY89DRAFT_594780 MQANDIFEAIVAQLYHWGNNFHRNLFHMFDDMNTTRYLRLIACV GAYLLLRPYFVKIGEKIQAKEHEKQSAAKDAYEASTKGDKKKITPNALRGAGGKTVTF ADSDEEDSAEPTGVQWGKKARQRQRKVVSKLLEKHEEKLREDDEEEDKDIMELLVDYE PGQDGW LY89DRAFT_539743 DEALRERLKASLWFSIGKIVDEETLRQNTTATPQFIGALTEMVW TQIENVAMDLESFSRHAGRTMVTTDDVLLITRRNDALHGIIKDFIDKEKARNAKGKGP AKAK LY89DRAFT_674019 MPASMNTEKGSSVEPNGSSSSQSPPAFTDSGNKKRGTSSAGLDS NGNPKPVKRRAAKACAACRARKVRCDVMQRYHVNAEGNVTCSNCTMDGITCVIEESKR RKKNLNGQPAATEPQPNANGVTQAWRNGLVNPSDIVNPDARRWSGSAMSNDGEDYSSH VPHAIYQNVSKQIDKGEILRRAGYIHQNPQYDAEAFHALLTSAAPSGDRTRSRVEDSP TVPAIQQMPMLRHVLPGYLKPLPQRMTSVDIDYLFAKGALSLPDITVRNALLRSYLEY VHPYMPLIEIHDLLQIIDDGTGESGKISLLLFQAIMFAGTAFVDMDFLRAAGYSNRKA ARKAFFQKARVLYDFDYEIDRVFLVQSLLLMTYWYETPDDQKDTWHWMGVAISLAHTI GLHRDPSKSNMEPNKKKLWKRIWWSCFMRDRLVALGMRRPTRVKEEDYDVPMLTEDDF EIRALSESNSIIPANCTVARDVDAQKELALMCIAKAKLCLCISQVLSAQYSVLVKHQG MQNIEGNTRSNVMLIPKKLDQTDEVKKCDVELVKWLDELPECCQYSNDMVVGNSGPPL FVQRSLLHMVYFTTLSALHRPQVLPSATTSQPDSSRELQDLSRKKVREASREITRISQ DLHTRRLEKYLPTTGVTVLLPAIIIHLLDIKSCNDEARQMAMDGFCQCMLVLEKLRDN YSSADFATQFLEAAIRKAEIEVVIGNNGRSSIRQEDVQATLSSDKFRDLRERSKQAAM RRTPPPSEIQEVSGSTDAGMGYIVSTERDEVQNAIAAHTPPDSDTHTNFDANDLKQDV QLNVNLVDMNMNGYENVDLNMTDFINFDTNEVCFEEGWHGESGGLLMDMGMDWGDQTI RWSRMGTPVPEGDMFVNVSGMNNEVEVRG LY89DRAFT_594127 MGAAPDQFEGFMIHDAKKWTEFKKEKARLNQYDVDIENECCGVC GSDVHTITGGWGDLATTPLCVGHEIIGKVLRVGSKVKSFKPGQRVGVGAQVQSCMRCR MCKSNNENYCPHMVDTYMAPYNEKGGNADLSMDDSQKPMKDSKGNQIFSQGGYSSHSR VHEQFVFPIPDNLPSEIVAPMMCAGLTVYSPLVRAGTGPGKKVAIVGIGGLGHFALIW AKALGAEVTAISHSPNKKEDALALGADHFVSSGDKNWAEPHAFEYDFVLNAADMTNEF DIAQYLSIININGEFHNVGLPDQPLPQFKAQVFASNGSKMGGSHIGSKKEALEMLQLA SKIYEKGVKPKIETIDISEKGCSDAVQKVKTNKVRYRVTLTGFHKAFGTGKN LY89DRAFT_565821 GLALKSVSWFLRIIEFCCAAIILGIFSYFLATLHNHDLHIDTYI RAVEGISGAAVLYTIFALLLVCCLGGIAFFSVIGMILDLCFTGAFIYIAWATRGGAHS CRGYVNTPLGSGNTYTTSVANQGTSGITHIASLHTSCELEKACFAVAIVGLVFFFLSI FAELGLIRHRRKERAFGPSPNNGYTAGSPKRKFWQRKPKNSRAADLEKNPDALPTHAT PADVRHSYATDATAVGNEPPINKYGNAGAYGNQTGGVVGTGAVNNGTGYQTTTTTH LY89DRAFT_786360 MRHVICKSARRIRARPVQYFGSAGSLQFSRSFRFKLSEKRALTS NLTEIDNLYRIGEAGRRIKKLKSETWPWLIFHAKGGEELEKAKQKKYILPMFPYPSGD LHLGHLRVYTISDVLARYYRMQGYNVMHPIGWDAFGLPAENAAIERGIDPATWTKKNI QKMKSQLEGMNGHWDWDKELATCDPSFYKHTQQLFLLLHKAGLAYQAESLVNYDPVDK TVLANEQVDANGCSWRSGAKVEQKMLKQWFFKITQFRQELLDDLKTLEKNGAWPERVL AMQKNWLGKSTGAKIKFPVVAYDQQTHSDIEVFTTRPDTLFGVQYVALASTHPIVQSL AKTDAELQAFLDTIPALPPDSKVGYVLPHVRALNPLAYEESTPDATKASLPIYVAPYV LGDYGDGAVMGVPGHDTRDHAFWKHNRYDDPVRMVISQSPDESTGVLRNAPYIRHGHL TKHSGPYAGLSTAQATKKIIDLLEAKGLGSAAETWRLRDWLVSRQRYWGTPIPIIHCE SCGPVPVPEDQLPVELPAVQEHWGNGKTGNPLDHAHDWVNTRCPSCGGAAKRDTDTMD TFVDSSWYFMRFPDSQNKELMFSPDAANTNLPVDIYIGGVEHAILHLLYARFISKFMS TTPYWPEGSNHAGEPFKKVLTQGMVHGKTYSDPSNGRFLKPDELDLGDPSQPIAIASG QKANVSFEKMSKSKYNGVDPGTCIAKYGADATRAHILFQAPVSEVLEWDEERISGVTR WLKRLLEFLDKYADSHFIRLRAAELHGLLNAKAYFLFNFEIIESLKTEKGRKFKIPSQ LKEFIGKDVAELEVRPEAVTQVTERFEQDKSLWRAVQASIASVTEAYSTTHSLNTVVS DLMSLTNAILDQQTEKNGVLTFKANSQLVYLAVVVLLRMMAPVTPAVAEYCWEKVNLP QANAYDYWVGSMVAKVGTIFARRVLPPGTELKNLVTRSRPGSIFDQPFPTTDGTYEML TPATQTCAVQINGKLRVAVEIPNPPGDLPNEKLEKWLVEEILKTKDGKSRLMGVSKKG KPQVDIRKAKRAIVVKGGKTVNFIM LY89DRAFT_738863 MSTSYTSLQPPADTRDSLELGSLASSEPGARTSTDSSSTAGISS SRKLSLEGDDPLDVRNPAAHARVPHNRSYSISSAFDFTSSLFPLSSTTGNGYAPIGAP ISSSSGQPGMGGGSLEKHKTLTYLNGLSLVVGLIIGSGIFSSPSQVNINAGSPGASLV VWVIAGFLAWTGGSSFAELGGAIPLNGGAQVYLSKIFGELFGFLYTWCAVTVLKPGST AIITIIMGEYLVRAAIGAEAENINPWINKSMAVLGLIAATAISCTSTRLGTRVGDMFM FLKFIALLAITVTGIVVAAAGFSHDGQPNQDWKHGNWFEGTKTSASSWAVALYAGLWA FDGWDNTSYVVGEFVNPNRDLPKVIHTAMPLVISSYVLANLAYILVLPMKVINGSNTV AVMFGSKVFGPIGSLLLALVVSASCFGALNATTFTSGRLVYAAGKEGYLPATFGKIGV GREDAATTLHTRNWAAKRLAHMLGDEETGLFFTPVNAMLLNFCLTLCYVVVGEFATLV TFYGVAGYTFYFLTVLGLIILRVREPNLERPYKTWIITPIIFCCVSLFLLSRAVFAEP LQTLIVVAFIAAGVPIYFWRVKGRDRSQHTMRERSNSIGHGWKFWKWFQR LY89DRAFT_594503 WFYCDTRYKIAGQWYGIPKIVSKSQTLATEVVSSTSDEIIFKLR QEYKPKLSPASKAVNSLVSLKLEKDAEGLEKVVYHKDMWNEKDYSHEGLGKLFKTLNG DHLTKITPPPKSL LY89DRAFT_688691 MEDERGVEMECIAAIFPEIVFDSDNPFSATIELPVHPTSSVKVN FPASADGLLLTPPLSVTSGQEGDQSITEPANNVESHNLSYLPSLELRITLPEGYPEEK PAQFQLSTNPAWLSRSYLDELQEHGNHMWEEAGRSLVVYGYMDYLQQAAENAFGFAEK GRTLEIPQDFKISLLDYDIKETQAAFEKETFDCGICLDPKKGTVCHRMIDCGHVFCVQ CLQEFYNNAITEGDLATVRCLAPDCAKKRAEARGGKKVRKSKIQLSPSELLQIPLEHE IVARYVKLKHKAELESDKNTIYCPRKWCQGAARSKKHRKPDGLEDTAESEDDSDNEES GKLEQFVAGRDLLSVCEDCSYAFCSRCYQGWHGEFFSCAPKTNTGELTEEDKASLEYM KLHTTPCPTCAAPAQKTHGCNHMICFKCHSHFCYLCSAWLSPSNPYKHYNEEKTSCYM RLWELEGGDGDDVGIGYAGGVRPVEIPPDPQEREIEVAVAADIVNNELRDIPEIVEPG DEDEPPEPRPEIQREGPLVLRINQPLPPPAPAVPDPPPAANVRNRPQRQQQPNRRVNN PAPVRGGLNRRREPHQRQQIRDAQAQAQGAAEQEEANQRWVRMFVQMAMNDEEDQLDS DDEDDAAAWEIPVR LY89DRAFT_710034 MEELAPLASLSLTHVHYDPTDPVSYFCAWLALVPQGLCVMYATL IWSTREAEIILMFAGQLACEVLNFGLKRILKEERPKQMHGKGYGMPSSHAQFVAFFSL SLTMFLLFRHVPKKPTPSHTPLSLAARVGLSILALMNAGLVAWSRIYLSYHTPWQVLV GCAAGAVTAVSWFLITTVVRQIGLLSWALEQYPTRLFRIRDLVVEEDLCQSGWEKWEA KRISEQKATTKKKR LY89DRAFT_225543 MYASGLFALLACLSLSTAQAPATQDLSGVSLAQLAYGGSGCPQG SLKFAELITPWRFVSITDGFTAAVGSNASVTDQRKACQINISLNYPAGLQFTLVNSTY SGYANLGYGVNGTHNLSMYFSGSSEQKAFPDTLQGTLDEKFTVYKLTHLETTDVWSPC GAPSPLNVVNELSVTQTGTGSLYDSGIMDLAFLWRTC LY89DRAFT_570837 TYFLAPTRDSPPSGPIFLGAIIKSPRSPELSLNSKTSLLLKPLE IHETSTTDTTRQLYRDSKGKVGIWAEFLSGLPLGLDASVGTNWDNGDFTQFKFRELIT RSIFPSQGEIASIFTDPPIQTSIKDSRFRANLYMITGVKIARGADVVIGKMRERGGNL HFGADLTPVSVPIKVGPDLEGSRGHGQSAKEKHAGEFVFAYRLREIKYRKKAVEEQKE YTKG LY89DRAFT_738869 MPNFPITARADPTPVYRTLTQVLPSTTIITTILLGDSPAATAAP SSSSDTPSNGTVIGAVIGSIFGFILILMLISYCVRRTPDWAPYFSDRSSFSSVYVHNP NAVPTQAHVDDPTATTRLGFNREKRQFYFERESQRSHSAPPVSTEYSDTSASSQSS LY89DRAFT_594180 MGALNKVIAVSKLKEFEARKQRHDTAQTYVDEGKLYRAVKLFRE NLSWSLANFEQDHATTVYDQETLAFAVSELGHLNETKNRAVHDKEAKKKIQALYEEAR DLDQKALETRTRVEGTSPPSKDLLETQRNLANDYVSLEDYKKAAELFLKNFEARRVHP ELGEDDDMTLRTGHDLAGCWHRQGNYQKAKALNTKILEARIRLQKPE LY89DRAFT_570473 VKIAILDTGIDIGHPYFAADQAGKPSERRIKSCEDFLDPKGAAQ DVCGHGTHCVGLLRKVAPEADIYVARVAKDFDESLDPEVVARAILRACRDKKDENGIR NWNVDIITLSFGFYEMSKVVQKAIQEALWKPVLIFAAASNNGTQKRVTFPAWLTGVIC VHSAMANGAASLFNPDASPPRNFSILGENLKSAWIRSPGNPNAEKVMSGTSMATPIAA GVAALVLEF LY89DRAFT_710035 MEKIHESSKSKAVDVNIEKICTVIPPPPFSPSRSRSLLAKLDWN IMPFLSLLYLLSFLDRSNIGNAKLFGLQKSLGMPTEGPRAIQYNAALAIFFPFYVAAE IPSNMMMKRLRPSLWLTIMLAWSICTIGLGFVKNYSGLLVVRAFLGVCEGGLFPGVTY YITMWYARHECGLRMALFFSAATAAGAVGGLLAFAIDKMNGVGRREAWSWIFILEGIL TFIVALAAYWLINDYPRTARFLTGEERTEVERRLKADRGSLADDFDFKYAYDALKYWK IYIHMFITIGIYTPLYSISLFLPTIIKNMGYANEKSQLMTIPVYAVACCLIIAAGFIT DRLRQRGIFMLAFEVVAITGFAMLASSNKPHVQYAGTFFAASGIYPLVPMGVAWNGNN IGGSLKRAVGIAMHVSFRNLGGSISAFCFLPQYRRALLTIFMSVYLRLENARRDKNGP MLGSYTEDQRSAERGDGDNATFYRYTV LY89DRAFT_225614 MFLGMKSYITVWTWVEIELPSTFSDGKRESEKADQLYFRLPKKK KAGCLGYI LY89DRAFT_225583 MITSTTMRPALGQCRAGMPSAAHLQRSGLGLIARHIRPFYQPTQ KWNERKQFRPRVSRIIPARHATVESSPSPASEPVPPVKLTPYSDLTIGVVREIYPNER RVAITPQNAALLLKKGFKRVLVERGAGAEAQFPDQAYEKAGVTLGDSKSVFAESDILL KVRAPSIDDALSEVDQLRDGSIVISFLYPAQNKKVVESLATRGTTSFAMDMIPRISRA QVFDALSSMANIAGYRAVLEASNNFGRFMTGQVTAAGKIPPCKVLVIGAGVAGLSAIA TARRLGAIVRGFDTRSAAREQVQSLGAEFIEVELEEDGSGAGGYAKEMSKEFIEAEMK LFLEQCREVDIVITTALIPGRPAPKLITEEMLAAMKPGSVVVDLAAENGGNCVATIPG QLVHHHGVTVIGYTDLPSRLPTQSSTLYSNNITKFLLSMAPDEKSFGIDFKDEVVRGS VVTYKGDIIPTAPRPAPPPPKPVNPVADSKQPEELAITPFQKTSREVATVTGGMGLAL ALGKATGPLFMTNFFTFALAGLIGYRTVWGVAPALHSPLMSVTNAISGMVGVGGFFIM GGGLVPHTFPQVLGALSVLLAFVNVSGGFVITKRMLDMFRRPTDPPEYPWLYGIPAVL FGGGYIAAASTGMAGLVQAGYLVSSLMCIGSLSGLASQATARQGNILGMMGVSSGILA SLAAVGFSPEVLMQFGGVAAIGSIVGLMIGRRTTATDLPQTVAALHSVVGLAAVLTSF GSVMAHIGDISMLHLVAGYLGVLIGGVTFTGSVVAFMKLAGKMSSKPIKIPGPRHALN TSLLGLNAATMATFIAAAPGSPIIAAACLTGNAVLSFTKGYTTTAAIGGADMPVVITV LNAYSGFALVAEGFMLDNPLLTTVGALIGVSGSILSYIMCVAMNRGIANVLFGGIPQT DNSEYKMEGSITKTTVDETADALATAESVIIIVGYGMAVAKAQYAISDITRMLKHRGV NVRFAIHPVAGRMPGQCNVLLAEASVPYDIVLEMDEINDDFPETDLTLVIGANDTVNP IALEPGSSIAGMPVLHAWKSKQVIVMKRGMSSGYADVPNPMFFMPGTKMLFGDAKDSC DAIKAALESKGKMFDV LY89DRAFT_786371 MAEAGFLLNNDNENEDNMFDIPDAKRIRRADLTMHSRSASPSPS PSPELQKALNAHLASLYGPISSSPPSPTQHPQPQHPSSQKQTPSFEPSVEFEFEFCLF STTTTTTTTTGATPQKIILETDNDEAETNNQAASGSSRGGFLRPRPREYYISSGPSDE VRAHYASAALEGEDVLRLAGGRNWGLEVPWRVSVLRSRQSKGMGVGDGARIEGGEEEV EKGKKTKAGKKRRILLREKRRKKEVAEEGRRRREEEREVAEREKRTRRNREKKVKRKM KERAMKGVGGGGGEGEGGSEGDRREFVGYR LY89DRAFT_225619 MSRTHSLTMANVKPEDGDSSLWQGYSVHPPHSSTVSQPPAYDSS YQSPAMAIPYHGRPQKGSYRSLDLPSNQSRGPPRESTGVLTASPPTLSSHHSYPSLKR PFHTSDDSPYGENIQSFREDLPEAPKPSINQDHRLLSFGRLPEKHTLLDSQGRVQHVE LVAQIHGMFFLSELATPSGESLLVQPELTCYRRNLFQISGSVTAPRGSNSILTDRGER VPIVSMEVTIGATESVDGHVVKLIVIPWKTPPPNSPEIPTGQEHEPAPIPLLPFDEGP DANNDFTVFPIAYRRLQFRIATANNGRRRELQQHFTLHLNVVGTLANGTKLNVCETST APIVVRGRSPRNFQARKEIPLVGSSSSRGQPPELHVATNLNSSLDGKKMMGKPHGIEL SRSPFTFDSNNIAGSPSMIRQPTYSSWNAAQTSDHTTSPNTPNYSVPPVGLDNYLQVN NSTPDLHANTHSPTTIIPPPTRQYSYHPPGAPTGSDPLRFVDSNPRPAKSPRHVAPPE VPSNYGEYGTRFAPPYSTANNDPLPTRGPDYFPPALPLQSWTSGPGTGVVYGTTSQAP GVQHYEFPNDHQYVKEEGNSGPPHYTWNPT LY89DRAFT_674033 MAPSLGLSLPLLDLVLVLVLERRQCVVLIALTLCWRPAGLPLAG RRQEQALTPVPKFVSEGDHQEGLRDQVSEGDYQKKDVESQKCQSAGAGAGWLAWLLGC WLACLPMTDMAGMASPQVVVVVVVVVVKRGCHGPLLASISSEVVWWVEWRDAERD LY89DRAFT_674034 MTSLEDLADEIVLEILEHLELDNLTLSSICRTSSRLNSLARPIL YKSIFLILPPHEPIIQLTQSLDSDKDLAPLIHRLHLTWPSGGHVPAYDKVKIQELLHK LTSLRHLCVRVPHDQRSTLSVLEFAEYKELQYVNFDYPDLTNATIMKFMFLPKIKQMD IMFFKSLSDPTIPLDCQPGTSPVETLRFGTCTPREVVLRTFLQCPAALETLSFTVPPF AEQFQTSTSTRDFSPRKIGDALAPVRHSLVNLTVGSRSIHRHDGSRLDISAFEKLTHI SVPSILFFKSSRPRDGVYRLLPRSLETLEICFSEPPWIFQSDTVIEEDEEAAAAAKEK WILELALNKAVYLPLLSRVKLRELRRVNVYPFTGYKSPIPENIQEAFDEKDIHIEIWT RKLR LY89DRAFT_710038 MLFNSIFAVACSLAVPAAAQLATGYQLGPPTSSSAKWAVKVCDV TDYGAVADGVTDIGPPLLAAFTACKSGGIVNIPLGTFAMATWVTLDDGVAWGINFEGT IVRTGTAGGHMIVIENSSDFELYSSRSSGAIQGYGYEFHSQGEYGPRLIRLINVEYFS VHDIALVDSPAFHLILDTCTGGEVYNMIIRGGNEGGLDGIDVWGFNIYIHDVEVTNKD ECVTVKNPSNHILIENIYCNWSGGSAIGSLGLDTDISKITYNNIYTWSSNQMMMLKSN GGNGTVSDCVFSNFIGHSNAYSLYIDAYWTSETLQAGDGVLYTDLTFSNWKGTCADGA VRAPIMAICPTGQPCDGITIEDFAMWTDTGSSEYYKCENAWGSGGCLVGGSAHTAYAI TTQTITAAPSGYSAAKMPNDLASGFAITASIPIPTVPTTFFPGVTPATARAYP LY89DRAFT_738877 MSTQPSSQMDRLLERAKQTKRTHPADRAGESISAMEMWRLVYAN MSVISKSMPDAPPDIQRALAEAKTFEDCSRPDSIKTPLKQPKVESNAVLDDQQDSDKK PKVNVTPINHEQTNETSPDQVHPARRIQMRLPELDTAASPPADQLLSASAETSSKVNE QRTASTPSHKSEVSVTLSISSPASHMSDRDTVRPSRRERAELHNEQNYSLMSSHQQDN QGPTKSPGLTLVSRPETSPVPMRSTFPDLSVKEFPKDGVLFEASNAYAALLGPGRENV VLVSTSMRGQPPLRQYQSDANAVMRGLRQYHLMDRAGVEIRMPLGIFHRENRSFFKPG MLADEDARRNTENQSSSCRQSRTSEKHQGDSAHERRRSRSPLAQREQKHRSDRPRRSP SPFRSSQREIRHGRLNEDHIHDGSLDSRKRSTSRNFKRELEEGELDS LY89DRAFT_225664 MPPSKRPNDSRPPGDSRSNKIRKLTPEENLKARLKESLAQNAAL RARMADWRKKVELQNAVSRETLKHAKTAQTFPRKRD LY89DRAFT_225683 MPRLSHDLIRKAYSISSFLPLVLRGTRALDSAINELGWLKEHVF ETSKTDCPKAHQKQLLQLCIRRSRAEPLQYILGSQPFGDLDIKCRPKVLIPRPETEAY TAHVAKLLLEDSLDVLSGPTRDSIDSEDQLHRRRAPKILDVCSGSGCISLLLHSLLVE KFKDISILGLDISKTAVNIARDNLRAYIKAKNFVQQPRQGHPQVQFRIHDVLSPTPIG FGHFDVILSNPPYISNSHFATETTRSVRNYEPRLALVPTFIPGVLLCKPEDIFYHRLL ELYQTNKSSMLVMEIGDEEQARRVVQMALSTAQVSGSNGVEIWRDWPEQEPQPGEDTV MRIDGRSIAAGFPDVEKKSADGESDTRLRETIDGKGVRVEDTHIPIKGAGMIRTVILS RTKSVGQERP LY89DRAFT_594369 MPEGLEIDHSKSLNGTMAAYAEQVLICTGKDDWMSRIEEENSGD NLAADIKELLGRGGMYSDPYHNVSVTNASFPSSVPARSEIQTTSAYLLPSFKYIPFLP RVSFDSVQALVKGYLLPKKLHPAHASLSPIHRDRLTRSENQGKFLPAVQDVKDILVLI CGHGGRDMRCGVLGPVLRNEFEQQMPKDNIRVMNGPVNIGDGQNRDVIESSATSDTSQ SARVGLISHIGGHKFAGNVILYIPPGTKTADGKENALAGCGIWYGRVEPKHVEGIIKE TILGGRVIEDLFRGGIRQGGEILRL LY89DRAFT_225764 MSLVALGRTPRTGNADGSVTKSLEVALSDFGSVLTDDERKQLQQ IKEVPDASAALVFTAKLGASNMTRRGRSIGARAFSMLQSVQQFSAFVETFVSSHPDVA ALVWGSVKLTVLIAANITSYYESLADLFMGLNKLFPQLEEYQLLFPRSSTLQESICTF HASIVQMCKESIRLTRQPCKDKESHN LY89DRAFT_688704 MESIKNAANYVAESVQGTGAQASKEANKQVAKDSDATLTSRASA AKDAVGDKFDQTSHETKADVHKEAAKH LY89DRAFT_786380 MSSTIMDQLDTTTIVSLLSVLLLLNSAFVLSLRVLHPSTPSTLR VLFIWHAFDFLIHTLFEGSFLYNCFFASAPFDSGSMHPALITNFLNQPDRVFGSAYAN NWASKLWMVYAKADWRWGQADLTVISLELLTVFGAGPLALWICYKISKRDPMAGFWMV VLATGELYGGFMTFCPEWLTGNQNLDASNFMFKWVYLVFFNMLWVFLPLYAMWYAFGD MYNAFMVRNGVVAARLEMHRLEVEKQKEQKSK LY89DRAFT_654062 MFLVSVFLQSLGSTLLLTLVYSVWIYFTSPIKSIPGPFFAKFTN LWRFFDTYGGRPELTQQMLHEKYGNAVRLGPNIVSISDPKLLRTLYNTRGDFLKSKFY TLNDTKVGSQIIHNVFSTLSNEFHASAMRPIQKFYKMSSVLTHEPLVDDTIAAFCKRL DEEFVETGKACKMDEWLLFFAWDVIAQMTFSRPMGFMDQGKDYSGLLATADRALDYFA TVGQMPSFDHWLAKNPIRPIGPPSFDLAAIYCAQQALERQESNEKREDKPDMLDGFLE VKKSNPEIMNNQVVIGALLVNVLAGSDTSAILLRSIIYYTLKNPKVYQKLREELDNAD LVHPVTYAAASFLPYLDAVIKESMRIHPSVGLLLERVVPESCLTLSDGTVIPPGTIVG MNGWVIHQNKEIYGQDAACFKPERWLRDLGAGETEDDFQARLSLMKTTDLTFGAGNRI CLGKNISILEVYKVISTFFLKYDMAFVDPAKEWHVQNSWFVRQSGIDIKIQRRKRQ LY89DRAFT_594561 FIFLSSLSGIYGSVSQSNYAAGNTFQDAMAQYWIFHGEKTISFN LGWMRTIGIIVENEEYQRVREMGADMNQIEEEELMALLDIYCDPAHPIFPPSRSQLLV GVVTPRDFHFLPV LY89DRAFT_674042 MSFKNIALVVARYLLYAFVVRCVLIYSYRLIYHPCHSYPGPFTA KFTDWYGAFHAFFRRLHLVTYRDHQKYGTAIRHGPNKLVFNSVTALHDIYQSERLYKS RSYLVTQPVAGVYNLFNVLDKRSHRIKRRIIGQGINDRSARQFEPDMIKHVDIFIQQL LNSSGKTGAAVNMTKRCKYLGIDVIGQLGFGSTLDLQTDSKNHFVLKGLETSNFRSNL YIQFPLLKKVGMELLLYPFILTRQMKYYTLIRDLVLARRSQGKHARKDLYSFIADLKD PETGEGMRLRNLWSEAAFFIPADQCHQILSPMGLATTRNRRTNTSTGGDTTSTALSAT FFYLSRYPECYKKLAKEIRSTFSDGTDIKSSPALAGCSYLRACIDESLRMTPPISTTL WRELPADDKEPFLVDGHVIPPGTQLGVNMYAIHHNEAYFPNLFTFQPERWLFPDESHM DEEAKLAKRRMYDAFSPFSIGSRGCAGKAMAYMEISLALAKTLWYLDFERPSGKEDKV GEGIPGNRHGRGRKLEFQGKDQFGSLHDGPFLVFRPRADVQKELDDLSRLESLVDG LY89DRAFT_225848 MYTQAETESFHRQFFDDWHAFDVSRFERHFTKDIIFKVGNEAEI RGLKALEQHFAGVYALLVRESHKHFRIDTVRDGTCIYNACEVSIVTKSRPEETVTLPA LVYFVRVPPGEAEAGKIKEMTVYQDLGPLKAIIMSASA LY89DRAFT_738885 MASSLHKAEDKSFALSIRSRSDLDEDGKITLEPVKADSQNASNS ASVAQGSPQNTMTTPTPEPTTTVNSNSCSSAPTQDFKEFRRGSRTPQSVMTPEQREAY SLSKKARREEFKAAHANRPPIQRPQRSQQHFRDRLAQRDFEREQQAREKQVQSTHSTP DVQDSGVDSGTNAGESNG LY89DRAFT_594137 MATNRTGQGNATLNLISNLVFAESKSVRTSTIILAAFNVLAAFA TAASILYDCYWASKRCNPKFKASKFCVSSIHPAETFPLILSIGIVIQGLVFAGVQGEG LASLFTTGCETIAQFMWPALFIVPYLQLVFGLECAIRSLRSIPFQGRGKYDVTICLVV VFVMLIVTWIPSNIYKEPDTCFASLVWFITRYGELGFVLLSVVGGLMIISALTIFIRL STVNLIDQHQRIAASRMVYYLVLGIVSMAFVLPYFFSLIDREGDIKLAMMATVVLNLS GLMSGLLQLFLRSNTATTSFGPKAGRSWDRGKHEIRIFGPNELAMHAQLVNPVTGPRT PVSSHELDNRQSRTDSRASLMGPEKGRVISMESLHSPAFRSPKRYDDMPALPEASFNK SPTRAHARKPSYSLFPAEGSSPTKPQQPVSVYDISDLAPPPPVYGNSRHRRDSSIASS ATVQIGLRISHAPAPSQEDLDSLPLPSTTYKSRAAAPTSPSSLASSAYSSSPLPSTTY SAAPIPKPAPPPSPLRLQTDFAAPAPPPRSPKRPSPAPAPIVTRSPEQSPTRSAASVN KTLPPTPKAFLPSSVTSTLARESTTQLSPAVYSPAKNLPAKIKTAATVAGGPMSANPL RSPKTGAVGRSNSQRIAASSQQGVAKADWI LY89DRAFT_688711 MVLLTRSICRLARPAFTSAAFTTAARPTPRVLSRGVQALRRDHL GSIRTLTGKREKVKVLLVLYDGKNHAKEVPELLGTTENELGIRPWLEEQGHTLVTTSD KEGENSKFDQELVDAEVIITTPFHPGYLTAERLAKAKNLKLAITAGIGSDHVDLNAAN KTNGGVTVAEVTGSNVVSVAEHVVMTILILVRNFVPAHEQIQAGEWDVAAAAKNEFDL EGKVVGTVAVGRIGERVLRRLKPFDCKELLYFDYQPLKPEIEKEIGCRRVTDLEEMLG QCDVVTINCPLHEKTRGLFNKELISKMKPGSWLVNTARGAIVVKEDVAEALKSGHLRG YGGDVWFPQPAPKDHVLRYAKNPFGGGNAMVPHMSGTSLDAQKRYADGTKAILESYLT GKHDYRPQDLIVHQGDYATKAYGERAKASGSSGANKA LY89DRAFT_654072 MVALNILFAISFCYIVEAAPPVKRAANPTVTVPSLGTVIGTATS VINQPTVTGLVNAYLGVPFASPPERFSPASAQSAWPTPIVAQISKPACIQQFSGTAAD QAFLEYLYDNPGGPPPEESEDCLYLNIFAPQDASSSNAKAVMFWIYGGAPQFGWEGDL IYNGSSLAVNHDVVVVASGYRNNIFGYPNSPELSVAESNLAFLDQRLALQWVHDNINA FGGDPDQVMIFGESSGGYAVKQLLALPPDPLPYASAIMESEAASAGANSTGWDAVAAE FGCTTAASQLECMRGISATEIKTYISDNSLSFLPGYDGVTCADSSTIDAAIEAGTFAK VPFLIGTNSNEGTPFVTELDPSNGATVVEIVYEVTGLNISLLVDALTSIYGSGIIDTV NVFASQVITDLVFTCPTASLFTLAANNGYDVWRYYYNADIPNEQKFTNAGAWHSSEIP QVWGTYSTVGATTAQIQLSSYMQGVWSGFAKNPSAGPGWTRYGTSLLGLELGVIGGLA NPTGEVTVLTAVADYACVILDTLDEQAGLSKRKGRGL LY89DRAFT_786385 MSISADAEKSQNEKSETPRQIGTPEDILGNDDAITEEAATLAGW KLLAISFSVILSIFLASLDLTIVATVISYLTSEFYALDDVAWYSSVLLLTVATTQGIW GKAFKYFDIKLIYLLSIFTFELGSLVCAVAPNSSTFIAGRAITGLGAAGTFSGSYIVI GVSAPAKQRPALTGLFFYINLPCGGVAAAFVLMFLRIPSNIKVTGVSFKEKVLQMDFP GVALSIGAMLCYMFALQWGGTTKPWVSADVVGTLVGFVVLALAFIIREYYQGDRAFLP RSVLKNRMIVLVALYCFFLAGVFYIFLFLLPVYFQAVKGTDVIESGIRQVPLILSITL LQIIVGTVISVTSHFNIFIILSRILSTVASGLWISVSADAGHSVWIGYQVLAGIGIGA GLTVPIIVTQAIMDPQDIALATAVVIFGQSTGGTIILPAATSIFQNILLKTLSRTAPS IPAAQIVAVGATNLQSKFTAAQLPGIRAAYIKGLHDAFILSAALSAMSLILACCFPWR NLRKKKEVEDTNGVNERRSAINDSPAKEA LY89DRAFT_758083 MFPGNLNIDRRKCKRTVPMQVLSLGMSRTGTASLKVAFHILGYH DVFHNLNTSQNLREWDIWNELAQAKYAKDPDPSTIDWRKEFDGLLGHCEAVTDTPPAY FAPELITAYPEAKVVIPLLEAMWNPIYKVLAFLDPQCVGKWHASNKEEMRMNLRAAYY EHYDTIRAVTPPERLLEYELGSGWEPLCKFLGKPVPNEPFPRVNEKAALKEWIAITTR RSMFNALRSIVIVGGSFGAVVFGLYYKLKN LY89DRAFT_738892 MKVLPFCVFCLAPIALALPATPPTALKEIAALRNLVREPVELRT LRILAPDSAESQFDWRDASHESLPPRNRYAEDSQVLSTENQYFRAREASDSQLRSPEN QHLGAPEAFKPRSRSSRKAIPSRDSPKKEPAAQADREGSRRTVDLDVFGGRGAIDS LY89DRAFT_710047 MDEERPLLHHVDQGHCPMTDQPVVDFDPKGDIDNPLEWSKAYKL GVISLLSFMAFTTTFTCIGVVPVAERIVFDLQGKESKSASILLVTVWELGEAAGPLLI APLSEIYGRYPVFNAANMIFILGVLLAALSQTANTFILARFLTGLAVAANVLNPAIIG DIYPSELRGSAMSLVMLSPLIGGAIGPAIGGSIAESIGWRKILWMSAILAIVCEVLFL VLLRETYKVSILKRRAARLREETMDESLKCAWEEENGGMALWWSELRTSIARPMIVLW DSFVLKIMAFYGGLVFTLYYVLATTLPGILKDIYGFSPALIGYSFLAFSVGASFGIVA CNLYLDDIYIKLGKSHGGARPEFRLPFMIGGATFMPVVVALYGWAPYAHWPVYVILLA VALLGFALLFLSVPLTSYIVDAFGLYSASAMTMILIARCLGGTLLPLAIPPLTDALGL GYGFVLVAGIFLALIPVPMLVMRYGSVWRQKSVYTRNE LY89DRAFT_786387 MADSILPASHKFEKENDEREVPKEADLEAIRNPYFTNATQYSES SRKLPAWLDHFNGKDLKTLFKCSLAVWIQTLLILINPTLRVLGPVAFVGCIVLFLAPP SNILFIQLIAAVSIMLGLGLSWAWGVITMKVALTTRSNADLEQKSILLQNMTRDAIRT GQATSASEYIQMQIFNGFFLDTRVTITYFCMMGLFVYLARLRVAAPKLVAVQLLAVIV SNAFLTSAPLLPTFDGLLAKSFAISCAIASGIGLLCNILVFPTSASSEVVDGMKKLLL PMPGFLDASLVSFKNPRAIMNAEKLMTTRLQIIMGYKALEMPSTFLPMDATIGKWSSA DLMTLREPLRRIVISFLGLLEVHRVKEEHRQKNEEVTKAAGTTEIQLVDNKTEVKLGH HQISRAAEFRIKSIHPTSDDLMEKTAHALASSSENLILACKEALASIVEGLAQSQSRQ KSEDSVEMLQKHQATMKELSKHRSTFVGLTAQHFLESHDHLFDDNGLLKIEADGPPPL SGLMWGLLFEERLFQLSDALEAMLSGVVNLESTRTRTGLWLPARIMGLVNWIFRTDTR EDLLANPITKLNTQAYLTSATSQGEMDENVEPKSAQAQLASMRKPNGRKRSNIGRILL NVTHWFSSTEGVYALRVLIVTVVLTVPAVIKSSAGFYYREKGLWAVIMAQLSMVPYTA DLVYGVLVRTMGTIIGGIVGIVAWYIGAGHGPGNPYGMAAVMVVVIVIFMWWRLFRSP ALMNAGIMMAATAYLVVAYSWINTHMPSYGNSGVGYDIFWRRIILVLVGFAAALVVNF LPRPPSANRRYRRVLAASLGSIRDRYALFVSSWKYPALDLRQVAETEALSSGEVLLSI LGPIKLTRLEFSTSNFDSSTLNRVCQLCMVLNQSVTQLLLCTVRLPEDQKLKITPSIG AIDEELIAEVMAVMFVLEQALKSGDPLPAVLPTPLLSRSLKLARKSVAQGVSGAEGLF VKNRLGEGLRKYVALLNAIVQLFGGIDELVLVLKRAVGESSDTVFVEMV LY89DRAFT_758098 MSDSDSTYEAYRYNPSLGAAVAFVVLFTSTTTFHLYQMIRTRTW YFIPFVVGGAFEFIGYIGRAISSQQSPNWTIGPYLVQTLFLLLAPALFSASVYIQLGR IILLVDGEDHSIIKKRWLTKIFVTGDVLSFLTQAIGGSIMASGTLSAMHTGAHIIVGG LFIQVVFFGLFMVVAFVFDFKLHKYPTPDPAIPSQKHLKTLYIASILIMIRSLFRVVE YIEGNNGYLLRHEYYLYISDAVLMLGVMVLFNVIHPSEVHALLRGGKVSKGFRMITLN TSKLSSTSSDETGVV LY89DRAFT_654081 MQVKEGQVYEVKPSCGRCKKNPLACSYLDVPGTSSDLDTGATSS TSSQVSDFRIGRFSRETSKSPRVSIPELHQEISTPLFGVKELELLHFYTGTTCFTVST IPERHLLWQQVVPRIAFTHHFLLHGIMAFSAMHLARLQPDRVASLHAEASMHHDIGLR MFQSTMLDLSPQNCDACFAYSSIIVVYTWASSDQTADLFFSDKAITGERLTVGWSSLL RGVQTLLNTAGEWIARGSLGVMLYSFAMDRELAKQISPDVTSKLSSLSSLWTASSARF SEVEVAAFNETLGLLHEGYGLVISSSSEWLVDLISIALGWPIRVPEAFLAMVTALVPE ALVVLAHYSLLLNPVDHIWYMHGMRRHLLQTIHCRVGARWEHWILWLL LY89DRAFT_786389 MTTSQDDAENLYLVRRYSEIVRDEMSDEPLSQGLAAKVDADIER EKKGKFLAEDAVMNLLGDDAINTKFYESICATKLLDLESKSLELQTTWVKFSTKLKLV DDSKVAATSKPDMNIVRSAIYSAQSKIQKDQSSKVGKVKAFFTKSIQCLDDHNYLFDL LPSGDKYTSVFTGAFSAIVKATATHEKIANEISDSLDEISDQVRSFFFAVSLHPRSMY IKYHIALFYYELFGFLISVMREWYQSSWTRFSKSFGSSFLETTVQGTLKTLSQYTQRV KDEDARMTRELNTRQLMALGTIMQSTFKAQVKMKKDIDRKFNEFSLQSNRLMGPPPLP ASKLQAFEGTKDVAPEDVVSLIAAAPKWTRDSIMRDVEAFRPYLQAAEDVDKLVELGR SIDVNTDISATVHRWITSDRSEALWIEGPSGTSLPSQSTLISAFILGNLRRVEIPAMV DFCQYDPKHWRTWNAEKEFLKMTYAFIYQAAKVLPERLEPELVERDFSTARFQKLNEN VSSLPEAIHLLADLITVGPRLQFCIVDGLQLFGGRNLSGLVRKSLKDFVTILCEAVAK ASGGERIIKVLFTTDGIVGELADSARARLLTRQTFYDEDEDELLAINDEDLVK LY89DRAFT_225972 MPPESPEMAYAYRSGSELTPGPTPRKVPGSPRTMNYIHQESSSS ITLSEDNSVDGNTPSTAPSTTTGISVAPSRPDVKIKYSLEVYDPNTRESLSLNQNDEP FEFPTSSGEVSGTQVATSDPPAIFEIVTAATGYDRRKRKGDDFFEMMGMGNDDMSTVQ LDEPLKLEHIKINRLNETRMEIHSSLLIEAIKEVVDYYPNQNLMGDVLIIHKPYWVLI HHEKELKENLANLLEEAKDPAVEEVETITKKADHLKVMLDFVQPEIDRLVPAIERRLQ KKIPTITFDSLWYLLKPGTFAYCQFDNEWIGCVIMRVKGKVGKTSEISRWNVLVWFLD YKHDLSRAYTESKDEKKHVIHRFEGEQDVMSLKVIPRELWDAVDGGARRAQFEARGLR KIQLLSCGHKQVNYKGESLETKKRMVRVLLAGSPGWLNAELPLVPQQTYPR LY89DRAFT_594711 MEESHLQIIRALSDSQIKSKPAWAADSIQNKGKGVIILLHGPPG VGKTYSVECTAVATGRPLLALTIADIGIQEDSIESELASWFYLAERWKAVLLIDEADI FLERRKHTDLARNGIVSAFLRKMEYFSGLLFLTTNRVGHIDEAFMSRVHVVIGYPQLD DEKRRKIWQGFLDKMRIDTGGQIRLSNSAKQFVLQGEESLKIKLNGREIRNALQTAIA LAEFEAKDDPDYKEGEMIKVEKEHFERVLHLSRSFRDYLDSIRKDTAEARASNYYGRN DYNDGDEYDHRLGGK LY89DRAFT_722619 MRFLFLTEALAFTSLATAHLIPRQAPASVYKGSGWIEFLVVRSN GDLLVNHLDKPEIWSLDSTTKAATKLATFANATSTTGITEYAPDQFAVVTGSFNITNI SYTQGSWGVWKVDLSGATPKTTLVKEVPESNFWIGITPFNNDTVFIADAGKGAIYKMT LSTGNYSMILQDPTMIAPSGSLITEGIHGIVYNNGYVYYSNTFGNGFYKVKIDATTGK TIGAPIAVVPSMSNPEGFTFGPDGSAYVCSVGNKNIAKITPSGNMTTITDASGCSSVA FGRTAKDKSTLYISTSTGAVLSTTIS LY89DRAFT_654090 MITPLPYTLHTRYRSIALAWTVILIPPTILNIGLFYDLWFGTSL DRILVLTLPTGILGIFTIIAIIERIYKLTKSSPEYRPLNGSRFSLDVFQWGYFGALIL ISALISSALARGDVDADGHELQIRLVSLPAALLMFFLAVLTLLSLVLNGVGVKLPFRF GSVEKGNVVRPAVFYIVEDVVAVDGNGGVEFREKWGERYEGSVVFRKMVLEVSVVWMV AFFVLSGVFTVLVMWLPVEAVYAVGWAGPFPIAGLMAVWTIYYVKAMLKAEKEAEGGS VENGNVNGNGQAPRQGGNETTPLLDRA LY89DRAFT_738901 MAAADYYQSPSPQPGHPYSNQQPIHLEPQQPTWTPEPPKSPWER PQHVHQAPEPVYQSQQQPGYYNQGQGQGQGPNGKTTVVVVNQGRSSKEDAALGFCAGC TAACCCCGCTVM LY89DRAFT_624809 MSDSADFDQEAARRDYRAPYSTNHPIPTIQRYREHRNELEERQS HAEAAQHTEEDDSRAKRAFSSVKSIIKNEDKKDPTGDAYPTSNRNTEETAREPDQDDS GIPLVPSASDGKGQVDGQDGSEDGSAKQNGTMQHGTHQNGSTDDGDKKGQQKQSATEK VHGQTDPKEKRKAMKHNKRDDGGREVTDPVTHLPLIIRDSTAKDLRRAPENERAPGQQ KKTVTGLSGASKSSSQLSLEQDELQENYDGMQKMFPPPAYDDARAELARTFQSALTIG LSIILALSTIVVLLLVFVASTSHNKSDVPFWRKIGTEDRHSKKLYITLGIVTAVSSAI GFLVITQIRGWLGNKVKGIWEDEVWDAARVEEENTNQSNERLPESVAWMNSLLASVWP LINPDLFASVVDMLEDVMQASLPKVIRMVSVDDLGQGSEAIRILGIRWLPTGAADKSV DDEGKLKQASNKEANDRGAPGEGEEEDLEKKDKDDEEESDASKKKKEEQKQKEQEQQS IREGMEAEQGDFVNMEMGFAYRARSSGKSIKSKARNAHLYLKFYLPGGIFVPVWVELR GIIGTMRVRLQLTPDPPFFSLCTLTFLGQPRADLSCTPLSRHLPNLMDVPLISSFVQS AIDAALAEYVAPKSLTLDLKDMLVGDDFKKDTVARGILMIYIKEAKGFKEGDGSIGPM KGSSDAYVTCSWGKFGKTIASTRIIEKDQYPKWHEWAYMLVTPEELNAEEKLRIQLWD SDKYTADDDLGRVEVDLKELMHSPKTRNAQYDREDRFRGQDPSEKMPGTISWSVGYYE KTRITEDQLAKQTEDDEIKTKDDLKKIVSETAERKLREATAHDESKEVHQQKTQDYKE RENALICSSPPDPKYPSGILSIQIHNITGLEVEALQKDKDDEGDREDEAEQSDDLPSS YCTIILNHKKIYMTRTKPKNAKPFFNAGIEHFIRDWQTAEIMVSCRDSRERENDALLG IVYLPLAKMFEKRSQIMDMYPLVGGMGFGRARISMVFRSIELSLPKELLGWDYGTLEI KSPVKPKGNFPQDLAQHRIKLRSNIGRIKMQSNDGQWKSNNDESAFLACKKRYAMPLI VEFRTSSLVHDSTPAFAVFWLREIPDEEDRTITMQVWAGGKENLKRATSCAGYSGMEE NEQPLGEIEVTMKFWRGLSGYHKRYAQKDRSEDMRNVMEVLDTVNDEIKDDDAMDDGY SDPSSTSSSDSEDREKKKPSRSPTNKKLATHTNQSDSSLSSTNTSASKNPFKKIKKVA TDIVTGHNDEDDGERGVVGQVRDYKDHRRQLHRRHRGVMQWRAARSGDWALGKVRRGV GRVEGVFEHGEKGEGVETEV LY89DRAFT_555657 DLVFTPSSVNASVGDSILFLFESGNHSVTQSTFDNPCERLSGGL DSGYEANVNNADTTTPNYTISVTSSNSEWFYSKQGTECEDGMVFALNPSSAQP LY89DRAFT_539235 ISPSWLPNFSTGINPIQCHSHNDYAQKIPLYQSLAVGCVSTEVD IFVRGKDLLVGHDGGRLNSAWTLRSLYLDPLKAILEGQNGNSENVAAGVVKGIFDETP QTSFILLVDFKDSAGKTWNLFLSQLDDFRQKDWLTYWKPETGVVQRPITVVVTGASLL NDVIANTTYRDVFFDAPLGDLANSNGKYDNTNSYYASTAMGRAVGGVGQQFSAGQNSV IVAQVQQATALGLKTRYWDAPGSPEVLRNKVWENLVGLGVSVLNVDDL LY89DRAFT_654100 MAVTEYQERVYALLCQIPRGKVSSYAALSKALSSSPRAVGGALR RNPYAPEVPCHRIICADGSVGGFKGDAQDAPSKINQVEKLRLLKGEGVLFDEKLNLVD GNSWWDGFDTEENQMKKPWK LY89DRAFT_556719 FATTSSRWAALQSRDPAAANAFIYSVTTTKIYCRPTCPSRLARR ANVVFHSSPSEAEADGFRPCKRCHPEVTANDGDSQKQAVAKACELLKKDGENGTKMPV KTLAAKVGFTECHFCRIFKKVMGVTVGEY LY89DRAFT_738907 MPKTVGGSQVQVGPSETQEAGNFGNPKIPKCTSTVTTLKSQLSK AKDKVCRRSPLLSFLQLPNELLVKIITIAFTLEEPRRIIISRPPKTNDDIIGYDMVGL YACPHPFQLVSHKFREMTLVARKPLFAVHNSLGMVVAPGHISCDIEKDEIVILQSVLD YGTEYYVPDLLRMLLVQIYCREYLSPSQIKTIHKFPDVSKVIFIHEAYRRQYLPVGSH HLVQHGLLPWREQLIKDEIAEDSMDHQMKYPNDNRPGFVWARKSRFSQGKTPTEPFVE PMYIKMKLAKDSFKMWLYPKLHPEFGEEEVRRRAHWVATGHWSNRTICEGKSHRCKSR SRFPKLGI LY89DRAFT_594463 METKGDLTVVELFQSQSCSSCPPANTHLLNTIQSDSTNALLLTY EVTYWNHLSWVDTFSNPRWDERQRNYVRAMGLRSPFTPQVIVDGGAKKLDGGWWNVEK TLGMREMNGGEMVKLAISNSTMGGGKTVEVDGSETGAALVEVVWYEIEPAPVKVLRGE NRGVTIPHRNVVRDLQLIGRWEGGVERFELPEKRHGLEMAILIQKERDGHILGAMRV LY89DRAFT_226162 MVLGIEIEWWLRKLIRLSYRLESARAGKVLRSFLCCKMRGWAKL LELCEDLTCFHEHFSFAGFYLLWAVS LY89DRAFT_226161 MADSGTFTCFPRLPPEIRIAIWEKVANLPRNLDIWAPKTGEVTY SAEVHGAPTDKWLETFQYTTTQFPGCLLANQESRSATCKYFELSFEVGYQQDSLMFFY QPEIMSNVTMDRVCPMGWYSDEAVLALWSAETKPSSCAFNVFPPDQVFALEPLLSSPK NAPDEICYTTRKLRQSS LY89DRAFT_594279 MATIAFPDDSKVVKLPSGANYSYAHIPAKAQNPTVLFLHGFPSS SFDWRHQVTYFSSHGFGVIAPDLLAYGETEKPTTLADYRGKKMAAEINELLDHVEVSK VHGVGHDWGSFMLSRFANYYPERFFSCSFLAVPYIAPGRSMSADPINVLTKQKLGYEM YGYRKFFEKEDAAQILKAHIESFLSLLYPAEPSIWREHIAPLGAVEAFATSDRIEKRG AYVTDEEMATHRRIMKDDYGPAMNWYKCAGQDLNLPDEQNGQPDPKLDMPALMIVAKE DPLSNALAINAMREHVTNLKVVEYKSGHWVQIEKKDEVNSTLEEFFRSL LY89DRAFT_758142 MDYRKALVTTQQERDTEKARIDAEKSRVEAEKARIALEIHTALE AKNILKVSRDTPGNTYWPEFFPGYYVDEKVELTVEILTEPTSEQARLIALGERTLPFT FDSEFGTQIGCDVMSRGQGNDKFYQIINRINTTVVRFTGLALLQVCKQISAECSQILY GENSFVFNTGTETTMRYTGLHEHDELEHFPHWIPGMPRENGYPRTSNQFNNAMNRMFD RDGFLPKFVARNPMLQFFHRIGPVNTLLLTKIKVEGQMKTLYNHLPEESESDRSLGFA RFLPILTTVLKEACPNLRELTLYIEDKKFHFDYKESRLWDNDPYNKSRKSDEDRIDEV VERVVTTLDSLPSLNLMPHHCYDGWDNSTGFVSRDTFVDEWEKSVKWMKYVNQRARTQ QIKRAGEVGIATALGQIVIQTTVESTAPTLHAQQASVSNSGHTNHSSGPSGSSSRGNG RGRGQGRGGRGRGGRARGRGGRGGRDTSH LY89DRAFT_786402 MSTLFSSIRESYFGLKTLLKDTAEDSAELTALLDRINASPGIPV SNPTTSFWLKNPPFPDLVDAQSKTLPKTVYIVIIGSGITGASIARTILSECASMNIKK RVVMLEARQVCSGATGRNGGHIKCTPFESYHESKKHFGAERAKFLVNFQMSHLPVLVD LAKQEGWNLAETREVETLDVFYDEERFTEWKEMVEEYRREMPDEAKGVELWEKEIARE KYQLGEHAFGAITYQAGAIWPYRLVTCILDSLLRNYPSEFSLETHTAAQSISTTRNPN QPFIVHTSRGDILASHVIHATNAHTSNLVPGLRGKIFPVRGTMSAQRPGKDFPELKGS RSWCFINKHGYEYITQRPGKVDSIDSQGGEIMTGGAMVQSGKKGFCEFGIASDAETNY LAGCHLSGVLPMAFGFENWGEDAPGGRVKNLWSGSLGLTADMMPFVGKLEPSLTGRAL PKVPPSKDASKL LY89DRAFT_786403 MSDENFLPGTKRLYDEQGQAVSHHTELKKHGDIVLAPQPTDHPD DPLHWGMLRKCWHALLLLLITALTGATTNDSGSAQFQENAQLGISYDSFNVGAGVLFI GIGYWTLLISPAVWLYGRRISYLVCLMLGVIGGIWFARGNKTSDAIWNQLFVGASESC SEANAQLSLVDIFFQHQRGAVLGAYMLAISVGTFLGPLIANKVASSLGWRWIGWFGAI FNGLLAVVVFFGLEETSFDRNAHLIVEGVQSTLPTGNVEEQGVYDKEKAALSTANDND IIPSIETQTPPVPRKTYLQRIKLITLAPNVRGTGFKQYFSRLFHTLRVFTFPAVWFAG LQWGAQDAWLTFYLTTESDNWTSSPWNYGTGALGVMDMPCLIGAVLGCAYGGWFSDPF VRWMTRRNGGIQEAEMRLWLLIPATILFPTGMLLFGAATTYGWAWPVPYVALGFIGFG WGNAGDVAMAYLEDCYPNMILEGMVGVAVINNTIGMTFTFAADPWLTADGTLNTYIGC GVLSFVFMATTLPFIRYGKLFRRWTAEKYERFLVLRDGL LY89DRAFT_539647 MVGFTQLFLAVSAVTGALAAPAPYDLLDFEIGGDRLAARQDYTQ NYKTGGSVNFQSSTNGYSVTFSGAQDFVVGKGWSKGTDRNITFTGSTSASAGTVLVSV YGWSKNPLVEYYIQEYSNGQGAAQGTKLGTLESDGSTYDIWKHQQVNQPSISGTTTFN QYISVRQDKRTGSGTVTTANHFAAWAKLGMNLGTMDYQTISTEGWGGAGGNSK LY89DRAFT_594336 MAKLSSLAQFVALAATGIAWSSPDCKAVPGSPGWPTEIQWSGLN TSLSGRLIKPSPPGAVCHPTQVTFNAAECPAVQAEWLTAAWHTTNPVSSIRNNWNNDT CLPIATDPCSGEGYPIYVVNATCAEDVKAGVDFARENNIRLIVKGTGHDYIGRSSAPN SLSIWTHHITGLSFHDGFEPKGCGFSIDGAAITAAAGTQMLELDGQAHLRNLTIVSGG SGSVGVGGYLTGGGHGALSSTYGMGADQVLEIEMVTPGGDIVTVNECQNQDLFWAMRG GGGSTFGVMTSVTIKAFQSSAFHTVTALMATKPGTDAYWSVIANVLSQFPTLDEMGIS AYSYIAPGFTSAALNITTPVDGFYGIFMLPGLHPENTSDSLTSAIKQLFNTATSSFPQ QFETFTNTTSYPDFWSYFEPNYGPLNAGDDGVLGSRLLDGKALTGNLTALADAYRVAS PVGNEISAFLVSGKGVHNAKPRGGSNAVNPAWRTAYIHSVLSVGWEPLNEQQKKNQEG NLTDVYIEGLRKLAPDMGAYVNEAYPDEPDWQHTFWGDNYERLLSIKKDYDLGNVLWC HPCVGSENWEVVDNVLCSK LY89DRAFT_738917 MAEKTSEVALNAAPSSSDEPPPPYHESATTNPGFCELAFRERQA NSVSKGGMSAAEYFQPCNACGFVCGDEIPQVYKTGWLDWVDPSVNFRWESHVAVEKKE GEARKEMLGCWICWEYNQQWTEPMPVKEWYKHMRRHFFVEGYRICKAKTGAMQRRRNC DLKHCPKIHS LY89DRAFT_688724 MIFGGRRTNTDINPSPFVRCIPPWQIPCSISRTSCLHFLRLPSE IRRLVFYHYLLSLNLPDHFFHHLTFLGYWGSSSEPDSTQKDARGFDLGRKIKWEIECL FLINRQVHIEAEDVLYNSFIFYVHPRHQTRHFRQALYARKELGWKIPRAPRLPERVQN IQINLAFGQSISSLHWASQQGWRGSWLHLAAEFPHLRKVGLAVDYDPRNGDEALSKQL MDMVLCFMRIFQPTCIVQVRFAGVKYDSYARLSPASGPLYTDIIDEEKKLLQLRDESK LIWHKVARHFNDPRSELYSVAGLQARYQRLKLRQIQAAMEVGFFERMKEELTRSLLE LY89DRAFT_722631 MLSSTILAVALAGAAIAFTPSGFQPSSTQNMTVVFGNTLAVNGK EMQKADVAKAPTLGTAQRMFGTYTVMMVDPDIPPATAGGATSELLHWMQAGLVSSNTS TTVAGVTTFELINPMNVTAFATYIQPSPPDKAPNTHRYTQLLLNTTGNTSALTTLARF AMTRTNFSAVNVVNSAGVKIIAGNSFDVSNGTLVQSNITKTTSVAGSGASATGTGTGT RSSATSSSNGTVSSTGTPKSTGGASELTTGGALIAGLGALAAALIML LY89DRAFT_722632 MPFPLFAALAVELQQNVMQQCERPELLKIALCSRDMNRLAISII YRHVDLSYHNDEGLFSAIPYEKMVRGDNPDRRVGVLKETMFHAQELFIDTIIQHPSFG AYVSSLVWTYLWVIDELDINPQRLWKAFQSLTNVKTIDICSMDLEEQYVVPPPLFPSA TKIRVGDRIPYALFRAILAFPAQLTSLEIDNVHGLGQVSDGMKLYYSDTLYEAQETED DDLVPVTRHDGPMKGHLNLLVGKCTKLRYLCIKTVGQDNYPDTRWCEKREQERYEEVA RFIDSVKLTLESLNLEYGTEPDQPFRMPCRGLLHAVPHVGRPMDRRFFQYILPALCRG KWPALKVIAILGIGGTPTKYALRNRYTPEDRSVFDNAEEKLRVAFGNNITLIWQRENA SSFYLLEHRGLAYITTTSL LY89DRAFT_758163 MELAKYANETLSALLPDTAAYQLFQEQTTIAEGVCQVMKKDPTR NTPAYLLCYRTFLAANNLAYGQLFAADPEYISTKTENLQGDGGSNPGAQGFIRAGNLL YLTMGPGLHNLAGDNICNNGAQAFTVAASGTQEFATNPLVSEDAWFIHFCPPFFQLPR MESQRYELSNGNVPKETMYNLNMLNSTAQALLHKVTHLPWVLNTNFFSDQNPEKYGFY VASTLSAAFGLVNQAPATKSQSSRNADNYAWMAVSP LY89DRAFT_674072 MALPIFGTQHDLTSSDESSADMTETIDGTATVLPLWYCDPSLSA PVCQGCPTSTTSGQEPCPTNFNFILLMPPLAIIGGLIPPPEGLPTLSIGPDGNPTPES TLLPTYTSVPPPTISDSDSSSTSSDNSISVSVPCTIAPITASSFAFSPVPSPVWTAPT GSAAPSLTEALPVLSLTNADPAHPSIWQACRPGNGDPYYNGGFIQFGESFSRNAGLDA VGKFCNDMVSSSIVVGPPGVTATTSGASKRTAVPEMVRSYNEPDGSGKIMLMVQSDVN NINGNGPTCPDNWIYDIASGGYAKCRQLFGQSIDYCVVNATRSSSDITWKNGGTVFTN YKSMDMRSALHSDTHHYSTLPNP LY89DRAFT_688727 MAVILSQIIPLLLLIAQSLVSALPDVTAINLGSNDCTQYPHYIG GPDNHRDMTEPFVFVPDQADNSAINGLRTIMMPSSSLTISTIPDVAINLFACTNGSVT DWGGSQSNGEVFLFSTDPEDEELAYAAQGFKPEPYAHEVAGVRQDGVFLGAQNTTTWA FKFLPSTGLAGSRDHYQVRLLGPKSSGLVTGVVGGFVKIVSY LY89DRAFT_688729 MSSKFPYALLLGYLMLALVSAQNATCDRSCLEGLISNYLTALTT HNSSLLTTTPNVKYVENDQIVPFGAGEWHVSTTLGKYRHIFSDPNAGQVAAITTIVEN GVGAIYVVRLKVEKNQTISEIETAITRDPGGAARYENMTKPEAVWLQAVPQAQRVSRA TLIARGNMYYSGMERNDPKGNYSFFSKDCLRIEDGLQTTEVKTGDAYGHSNDTVFASL SCEEQFQSGFLGFVTAVRERHFSVVDEERQAVFVVSTIDQNGTVRWLPDVNGTSSPIP AYFDVPRGEQGMEAFQVRDDKLFRIEMTMIEVPYGMRAAFHIGSPVDLRGSGTNKTIA SPCDDSCLKNVLKQVLQAMQNHDASALPLAQGVRYSENGQFLSLSDGLWGTLGHFDAP GQDDYGASFVDSAKGVVGYWGATKEQSTPGVLVLRVQVEGGKITEIEAIDVRAESSGA RFGTLTLMRPPLPIEWESTPLGRLDSAFKQNSNTSTGIPSVLVSAYFDGLERHSSAGV SFTTGCVRRDMTVQGNLSCAAQMDGRGAAPNGLFNGTISVRDRRILVADAKAGVALAV VLIDYPAASPPPLPATQLVPSTYMVPQLIKIDNGSISRVESMIKWMPFGYVSSWAEEK VS LY89DRAFT_539390 EDAYEDEDDEDDGEGLSLRRFESATAKPPRMIEDVEGADEDEED EPRSPPLIPSEELEAIMEGADNEDLPELYGSVKKCPCHGKGHNAPDVVRAWDVPQTPE HHGRRIAVVQVA LY89DRAFT_688730 MDSEYRLNFGRHKGKTLSSVPSSFIDWLHEDNVAAKRPELKRAL QLLSNPSRQTPQKRLHSSLADEDTPSKKAKNERDAPQLTPSSENKENDLDKQEEKEDK TYVIHFGKYKGEKLSNVPSGYLMLWMNKGTSNPFMNTPKSKAAWERYWKDYSPTSPAL VEALRKSGWTPPALHSAPAKFRDDNSDPLWVAEFHARKTFSVIQGYLDTLPVVNKNDN RKGRYWLYHIWDLVRFTKSEAYADTALYKFKQQHHEWIEDRWAGMGLGACLV LY89DRAFT_738927 MELSRDFHGVSSSVLALISEEPEYRFNFGKYSGKPLSVAPPSYI TFLIEKKIPARRPELRVALERRSTIATPTSATIATTSPLVAEERKTRPRSASREDDMP PPKIQKTLQESRNSNQSRVWAETPSSSYNRITSSPASSLSLSKSRPTTHIQQNNPNWS TFMECKFSEQTKPIPSTPANIPCLPKVPPKTLGNLSRESTPILTNTSNGSNLSPQAVL PGPVKWDPKTAHHKVFRDHYGEVFEWIHGRDARTLFGFTPNHINQLTPYDKTDKEKFW LYEVRDLFAFSTSEKSANAAMKAFMAKNKRSTKDIWAGLGLGAGACNEEGMNGPPVYD DYGNAITDRDSWGNPKVPAATKAMKKDIRQAAKVEKEKVKNAEKAKKKTEREALKKGG KNSTKRLKKEA LY89DRAFT_226377 MAAYLVSTLVESWQAVQTYVEYNYSISLRLQNPTRGSGEERSPY SLDELLNKTAYDPFISNLVAENMNLGSPPEYSIFDSNLSASDPMMLVPSYPKRNRFGW PLENVALNWPYRTMVSPGTSHTSIYWTRDDLGRKVPTTAGWHLEKCGTDIQELHRNLK RLVISQHMVCSEKLSFG LY89DRAFT_624833 MSVSTAEVVLCDKCVMFKQLDAMLSPNPLDHHTSWTELCRSAKN GCLLCAAFVRCQAFKEPRALPDNFDEHMNPADTQISWRAHFVPEMLILMQKGLFFAPY DDVVNTWVELFTEPDDPLVVILSGRPIQTDSRSDVSLGLIRKWVQDCRKNHTDCNASP LKVLPTRVVDVGREDPPVDPFVFVSEGALGEWITLSHCWGGHTPLSTTSANIKERRRA IPLDEFPPTFRDAILLTRKLGFRYMWIDSLCILQDSHQDWTQESSQMYQIYAEAFMNI AASSARNPEDGIFASGNRRRDLASPIFSLATYSAKHDISGIVRIRPSMPSYPFTSLDD EPLNKRAWVLQESTLSPRRIDFGSSELHWYCRSSSIREGFPGSNDIEVSYAPTLIGGQ VDLFKIPLCTSPFDPTVSVMNLFSSDPLAWWYTIVYRSYNSRKITIHTDILPAIAGIA EQIAKRTSYHYKTGLWLEDIHRGLLWQASWTINRPPATSYPSWSWASAILPWRDRNLS LHSYEDGPRAEIVDVSVVNTADNPFGEVISAALTIKSRFRTFDHWTGKNLPIYNNTEW IEDMKIANRWRDPKKNVDCATAPLGRVICTLDERPQDVDAAHKNMLRLGVICLQIGKF GHRDYPEDPGETVFALVLQPTGREVDEYRRIGIAEIPAEDGMADNWDFKTVKIV LY89DRAFT_738929 MNFDMPENTLLNHILLFGGIQLTTQEWRDFLKTADDRNELFRWK SDGRGVVNWIEASPPVQSRNPPYIIKPIPIDLDDFKDLATTYLNWSTIFNDIDLDYLL RLITR LY89DRAFT_738931 MAVGVTQYPDDIDDAFDDVTLGWEELDVLDDAGDDPKDVVHGVE ELSERVLEASDDNTCELDAKDKDVEDEDRSEELLADESVREIQRYRLGSPTRTEYLRR HRG LY89DRAFT_559963 EINLESAASFGVLSQTSITNTGPTSITGDIGTAGTSIIGFPPGV YTGTEFIGGQTTNALSDATSTYNDLVGLSGGTILTGDLGGTSLPPGTYSFSTSAAITG ILTLAGTGSASDAWYFQIGTSLITAAGSGVVISGGALACNVYWAVGTSATLGAGSSFS GNILAGASITMNTAAVLNGGAFALEATVTMDTNVVNVQ LY89DRAFT_758171 VRSTLHFYAPPKDGSKPEFHVTHPSGSGTKNYGHDEVEVMIQDI RGHEAEFSLDQNSFQPVHEPLNIELDFDDPVQVEESYYPAIINLILKQVSGAKEVFIF DHCIRKVTNDRSQQRPVNKVHVDQTPKAALMRYEMHVPEATRKKFEGERIRLINIWRP LKGPVLEYHLAVADSKNMRKKDLIKITHVYPDRIGETYGVKHRDEQKFWYLSGMKVDE VLLLQCFDSRAEGGNCCAHASFRDPSQVG LY89DRAFT_722641 MSSKSTLPYATRAKYHSHPVARLLFRTAVTKKSNLILSADMLTT KSLLDLADLLGPDIAVFKTHIDMISDLAPSTITSLKALATKHDFLIFEDRKFIDIGKT VQHQYHGGALHISEFAHIVNASVLGGEGIIDALEQVIRSPAFPYKDERKYTRASVAIA RKYRDSVIGFVATKTLSDVSGTRDHDEDFVVFTTGVNASVEGDSLGQRYQTPTQAVKG GSDFVIVGRGIYAAEDPVEAAKMYQKEAWDAYLVRTGQK LY89DRAFT_226528 MAQSSQPMDPEGPTGKLCSWIDSVQLSDIPESVQIRAKYLILDG IGCALVGAHLPWSEKAVNVFLDIETPGQCALFGWDKKISPLTAALLNSTFIQGFELDD WHSEAPLHSNSILLPALFASFPVLKSPVSGPQFLLSYLVGLETGPRVGNALHGSYILT QGWHSGAVFGPSASAAAVSKLFDLNAAQVEDALGIACTQACGLMSAQFESEVKRMQHG FPSRNGLMAALLARGGYIGIKKVYEREFGGFLDMFSKGNGNDPQFKIDELTAGLGSVW KTENVKLKPYSAMAATHPTIDCIRNLQEQDKEKMQDLDNIESITLEMGDVSYSHGGFE VQRPLTSVGAQMSCMYVAVTQMVDKEVMPQQFRQDMLDRDVTWGLVEKMKCKLNKEWK GFEQQAELKFKDGTTIQAHVVSTRGVDPELSNGEIVEKYRGLMKGVIDDETRDEIERL CLGLDGCDDMMKLCELLGGVTKNPIA LY89DRAFT_226498 MEDSIRRPQALASKSPRRRASSSPEPDRSNPKKQKRIACRECRQ AKLKCDKSSNTAEPCARCRKLSLECRVETEYKRYNKKIRINDLAEEIERLRSSVTGSG ASTAQPILGPGLSYTSRQIVDPPHLQQGQSPQDSPIHVPEVSLNTAPPGQRPFSPPRD ISQDRHTADQARSIGFVQLSPEQIKDLFQIFFEHHHHFLDIIDSSLTPESCYASSPLL FWAIISVAARHYDKRPKLLSSLSQHVTSLIWNTISVMPHSRYTIQAILLISLWPFPTN SMTTDISFMLVNMAKTASMQLGLHRPEVVQDFLRVKTRLDPVQFQKAVKLWAGCYIAS QCVSASIGQQSVLPSDWVIDQACILDNQYTLPDNLRYHLLICKFIAKANNVMAERERK SDENEGLILVAMLEADFADLERQIGHKITSIHQILLLMASLQLRTYFFFVKHNPEARR EGILKAYCTALTLINKCANAESSWGFTRYAPDGWNHILSMAAMLIMKIIHSSYAKYID ADEGERAFNSVISLMQSASVQENDVRYRVCVVLTQLWGVHQSISVRKDEEPSLSVKSR LGASILHDALWQWREKFGRRSDMHPVTMESKVSVGDDLNGEPNQEDQSNSIRHLPLPD GIPPDLGNDPFNFGMPDPDWIWDVGFPAFLPVDIDSNSLSYPPWTAT LY89DRAFT_654124 MGFFVPAGIFCLWFDTTYDSSIWRGRTPTPFTIVKRENVSSTAF ILTVRPQVSQHHLRDNDPYSEFWNFGTWAVKIVQPELQISRYYTPLPPLDTDRSEKLR FLIRKIEDGEVSVYLDRLSVGGKVKLYEPTGTAGLNSSDDVTDVVFLAGGTGIAPAMG FAYSLLERKHANEGPRIHILWANRRREDCLGGDSHSGTTPREGIRRNRLVKELDDLQQ KHPDRLFVDYFVDEEGTTIDANRIWQATKTTSAQSGTPTKMLLVSGPEGFVDYFAGMK KWEDGEHKQGDVGGLLGRMRLEGWKVLKL LY89DRAFT_688738 MTTTNKTIVLVTGANQGIGLETVKKLAKEQPSYHILLGSRNPKS GSEAAAKLNAENVEPITIDVTSDESIAAAAALVEKKFGRLDVLINNAGIAIDHKYQPG PGSSIREVMRENYDVNVFGAMQVFETFVPLLEKAEVPRAVFVTSILGSFGEMMVEDEY PIYRSTKSALNMVVRVYAARYREKGWKINVTCPGLVRTNLNGYTEHGESVEVGAINAV RLATAGKDGETGTYSNRHGPIPW LY89DRAFT_700170 MGDMGLGIASLLVKYSYPVITDLNGRSPNTVARAEAAGVKDVSF LDLLSEASIVLSVLPPGHALSLAERTASLIGIASRDRKDKLVFIDLNAISPSLARNIS LVIENSGVTFIDGSILAYPSKQLDDGTWFRPSIPISGPDFPSSPFTSELTSLLNMHHI SPSIGAASGLKMCFSAINKGLTAISIQAYSTAHNLGVLEELGDYMEKYFPALHRVIDG AIVASQKKAYRWVNEMEEIESCFVEEGGWDKGIFGGAAEVFRFVREIQPKGEVKAVVQ EVSGALRRRRKSC LY89DRAFT_594537 MVYISPIGRNWGPIVNWDSLSKLYASIAIAWTAILTLGSAWLIK NRHLPFLRIRNIPLAIASVCFLHVYLIKILLAYTTNGHFLCSAEFWIMSIYLPFGIAL FQANMVQLLSISTQQRKLLDGDRASIRKRSRNGQGISGLWSRWRALTALQKTYFGIGT GMFLQFVITAAIYGTSAKLQGHWGNIAKPQGQALCRKGPEWIPSALWQLFWCCIYGPY LLYKIRNVHDTHYWRTQTILCVVSGFPGAPLWLAAVFTPGTAWKWVNRYYIPPMWLAP GIFVMQACTIFFPIYEAYHQHRLNITTTNILRTWEDNKTWDDTTLGSGSPRMPMSQYR SNEMYTTAALEKVLLLNPNPLLHFAATKDFTAENILFLLAVRDWKAKWSRLRASPLPE PKPQVKKMLWREAIEIYAYGVSERYAEFPINIEWKIRSSLDNIFCDAVEVLRQEMVSN DSSTQACTANHEDIEAVGKESYESTQARDNDDEKFMAPEEFNEGVFDAAEKSIKYLVV TNTWRKFVTAMREGDPRVSVETFS LY89DRAFT_688742 MPPLSKDPITLTGGCFCSAIRYTITIPSLSSRPKIPPMPSRELH PPTEISSHMPMISLDHCTSCRRAPGSIVECWLIMPQSWITFSLLPKFTDAHLASAEEY IQPTTIGLLKGEEEIIESTWLRHFEGNEGSHRTFCGRCGTHLTFHYSGEPREMSRKAD WGAIFDVAVGTLDQESVEVEGLKPNYTGWAELGIGWVKKLLAEGEKSLVD LY89DRAFT_674090 MSILFLFWVAVTVASIVNAAEFTMTASQYVGVKTGTPFDITWAD ATGPVTLLLKNGAANNLQTVETIAFRHQKQHWHLARMLSRSTTVEDRTIAYSLHWRDQ RQQAQAQSLANHQSLNLSQRQTAAPTPSGHSGLSTGSKAAIGVGVTFGVLLFAAFGAF AFWYGKRAASRKNNDSGAITNDKAELGSGVPRRRELEDTSIPLNDEEKSELDRRRRAA ELEAGSSPISPVETVTERAELEALRERGNAPIEMD LY89DRAFT_738943 MASLWPGKPSTDSLKDIADVADTGLADVGLPAPQQPSSTPMGRP QLQRNQPQPPPPHQPPPPPAPQAGNPNDSLSLMQLRRIVTEFPKIDPTSYAFTYADSS SFEEEVDEWFSYNDAEYKRLQRAQDTFERRWQKFSSKPWLETDEAEQQKFIEREVNGL LATDLRRRCKSLQTILHIILGVWDETAGVKGSGTDAEVAKAKTKATPTQLEHMKSGIK AVARFGGISLLVQVMQNAFKRLWDDDFRETKLLEEDILFIQDELDNVTTIIYLVIEGI RNDPVGLDGARSKVLEMNPGLVDYFVTITARLRWDEANELPQTRIFLLFWKSILLVFG GTPEIDVVKKATSEFKHLDGDGGKLITASPLDYHIFRQEITSKYPAYTPPPPLLALEP DNNSILPPLPNRPSRANGANGIIPPPMNVNSSGASILHQPVHIATPAPSPPPSPPVGG KAGKKQNYQTNQNFPFMYPPLDSTSNSAGGKGSAGLQEFLVGRKWEGSDIPKSILEAG DLFSKRMRMTRAMRQLWDERERFLKSERGWDGQDDDIEELDLDTILSKKLSLNEPKRV RPEVDYGPNLDIPEEVKQKLDLVELFYQDSLPHLQSLVIVLLKAVLANVTALITQPMA QQPGLAPGFRSEVNLRTGVPAQNRQQDPANIPLPMAVDPSELPIDEIEALRSREITAK AVSGILLILLKWFKVSNILKFEYLTQLLLDSNYLPLVLKLFAHQEIDKVVDNKTDRDE MSFFAFCNANSKQAAKQLQLDREGEESEDEAAPPPIKMRRELPVAGAEDKLPALTFQE GQHAGKRPMPEVDELGYPTSEPPSEPITEFSWRNFFSSINFLRVMQKICKNKAHRNLL LVQYKSSNILKKSLKIPQPELRLYTLKLFKNQVPYCGRKWRQGNMRVITAVYLHCRPE LRDDWLAGSDVDAEVEEALPLEQALRALTHWHNIKRYPDQMGADTKLLEEEHDFFVRE LEKMEWIDDGIGEGESVADSGWDGVNNGW LY89DRAFT_594562 MFDYHAKSAGSVSRELANDRDASYLARIGKQQVTKRNFGFMSMV GLACTLMSTWEGILVVFAVGYQNGGPAGLIYGFLLIWAGTTSVFIVMGELSSMIPSAG GQYHWVFLLAPKNYRKILSYVTGWLTCIGWIASVAAASLFCSSLVQALIVENNGSYFP QRYQETLIFWAVILLAIFVNVVVSPALPKIEGFILIIHILGFFAVLIPLVYLGPRGNT KEIFTTFLNGGNWPTQGLSFFIGLAGNAVAFIGADGAVHMSEEIKNPRMNVPRAMIAG IFINGVLAFGMLLAVLYCAGDLDAAFASSTGYPFIEIFAQATESVGGATAMASIVVFI AFFTAIGAVAAASRQLWAFARDRGVPFWTSLGKINTRTSVPLAAIVVVTVSPCLLALI NIGSTTIFNDILSLVLAGLFSSYEIAAGLLLYHRCTGGIQIENDNDSNMTSPLEKLTW GPWRIKGVLGIANNLFACIWLIIIIFFSFFPPTAQVVPSTMNYSVLVFGAAVIFSIVY YLFWAKKFYIGPIVEV LY89DRAFT_226732 MDANNDDKRISPVKENVDSKALDPGVLEVIAGSTNSISEKAVDA NIVDFSGDDDPSNPMNRTSSVRWMHVGFVSFMAFVLALGDVAFAPAIPSLLKDFNVST NSPLSAVVISIYSLGSIPGNMLGPPLSEWQGRLPILHTSNCLFITFIIACAVSPNIHV LCAFRFISAIFGSVAYTLGGPIIGDLFPQEKRGAAMAVFSSGQLIGPVVGPIFGGFLA QHKGWRWIFWLMAILAGSAFGPSLLLMRESYAPVVLERKVKALRISTGNKNLRSKFDM SDEHESAWTGFIQSLVRPAKMFFLLPMVTSISLYFAMIYGFLYIILTRMNLIYETVYH FSDGDIGLTYIGLGIGLLFGSIFCGVFSDWYMKKASAGGEQKPEYRLPPLLFGTVFIP LGFFLYGWSARFHLHWILPLIGTAFVGFGIMTTLIPSTNYLVDSFPLYAASAIAVTEI LLAVSGATFPLAAPSLYRTLGLGWGNSLLGFLTLMFAPLPWILLRYGERIRKRGSSVK L LY89DRAFT_226729 MAVIVKEVTTEAEFGAVVDCLWESYNDPYTPFMNILFPVFAATE EGYAASVAESKTRLWSIHTGDPSSHWIYVTDDSGKVLSGGHWNFHEVSPYINGVPKLE AVWHPAGEGRAFASHILNEVYGFRGKRMWRPHAQLDMMFTYPEQRRKGYGSLLMKWGM DIAEKMGVEVLVEASDQGVHLYKKFGFRTIEKLAIDTLTDNPSNTWRRFESDLRGLTF WWMWKPHGGIYEAGKTELPWVTKPKTQ LY89DRAFT_688748 MEKDSKEYLLVQDGDESDDGHIYQSRSSSNLGSKLKWTSLVLLA LSILLNICLVMKMSILKTALDTRGRSKYAGLEFSERILYHTETEYSHKNETYAEELWE TIDTNPVAITLSYEYARENDLLEPRPFPWDESKGLFYINGFHQLHCLKLIKRSLSEYR LDLPQTLERQHISHCLDALRQNVLCAADDTPMPTLHSHATGDNQAMQCRSWDKLIEWA RDPEKDACYRIVDEYKDPVHNLERFAYCSEDSRYYETMTNYFAKWGHKNLFDD LY89DRAFT_688749 MDSSNSTRPDISSQHLGPAVSIVTFILLVTSTLALIARLSTKWA VSHRVNLDDLLLGIALIFSIGNGISTFYEASNGLGSPTTSSSGAQLLVAQKASYAAEL FYIASLCFTKLSAVAFLYMLTPISSNEILCISYGIFTIVWTLTTFASSALQCSAPKVW LIFGNKCFNQQAFWTTYLVIEMILNFLLVVYPIYMLWNLHVRRAQKIAVWCCFAFRVF VVAALIVQLALYRHAYESSDFLFKSWGAVTASEIVLCLSYVAPCLPYLRPFLESLDSG MLRNDGIRRLGHGEAESKASGSKGSGSSYGLQPVSNSAEKKSPPSTTVGLGPEVATLW TEGWQVRGQH LY89DRAFT_226777 MRFHLDKAFLLTAALFSFSAQVSGSPAPHLKGKGSIEIRDGVTH TIFEHEATGASLDFVKNSGFCETTPGVNQYSGYFSVGQNMSMWFWFFESRHHPHSAPL AIWLNGGPGCSSMIGLFQENGPCQFYNGSSTPSLNPYSWNEYANMLYVDEPIGTGFSY GTDIVNGTVSAAPFVWTLLQAFFAQFPEYECRDFGLFTESYGGHYGPEFAYYIQQQND LIDSGDLDAEEINLVALGINNGWYDAIIQEREYISFSINNTYYPLINTTIADAYMASY YNSCLPALIPCNSTTGELTECHAAHVACNAMDNYYGQFYNQTTDPYDIRQSGDNVFPP ETYLNYLYDPEIQKRIGAKSNYSECSDAADAPFEDFADSQRSFLPALSSVVQSGIQVL IWAGDADSVCDWFGGFASVNAIDFDGQDEFVDTDVQNYTVDGVASGTYKTVDNLSWIR VFASGHEIPAFQPKLALQAFIQTMKGESVTPT LY89DRAFT_654157 MFFRTLAFAAAVGSAVAQRPANMSICDYYTTALLTNNTAANQAT LLTLVVNTAVIGNYTQPNVGVSVPGILAPGEVNGIAVNLLPYFDGGFASTNTGGSAGM AVNFLDGGGAAPLMKNMPANDNTSAQYILLTHLYEYFGVLLGCSMQGGAAYPAYAGHA SMYSVHKFMDLSYAEVTYFIDQVALSAMSFGVAKDDLTAVGTALTTLFGYRCEPPMTV VPSQGPQLESICIDSTCPLAANATCSSYATAMKPAIANSTLVPNSTTTSGGNASTTSG TSTSTSSMPATISKAAAATVGMSFAAVAGGLAALFL LY89DRAFT_226827 MLPHLHSREFFRYKVNNFQSCLIPLSHKPRNVSIVIMSLICACT RLSGIAAPPHEQPPISRTLHIRIRTSSFYDWTLEIKLPHTQPKEVKIPL LY89DRAFT_738951 MIPTRLGLQGLRVRPSRNLLPLRTARSTVRALTATAQRFQDVFH AQTTEPTSSAILNSLKTPSRIPQTLTEKIVQRYSLGLPEGKVVKSGDYVTLSPHHCMT HDNSWPVALKFMSIGASKIHDPKQIVMTLDHDVQNKSETNLKKYKQIEEFAKKQGVDF YPAGRGIGHQIMVEEGYAWPGTMTVASDSHSNMYGGVGSLGTAMVRTDAASIWATGKT WWQIPPIAKVTFTGVLPKGVTGKDVIVALCGLFNNDEVLNHAIEFTGSEQTMRSLPVD DRLAIANMTTEWGALTGLFPIDGMLQAWMRAKATTSALFDSKDAEPRFTHARIDDLIQ NQLQADKGATYAKSLYLNLSTLSPYVSGPNSVKVATPLRDLEAKNIKLNKAYLVSCTN SRASDLAAAAKVFKDAAKDGTPAKIAPGVEFYIAAASIPEQEAAEDAGDWQALLDAGA KPLPAGCGPCIGLGTGLLEPGEVGISASNRNFKGRMGSPDAKAYLASPEVVAASALSG KISGPGWYEKPVGVEKVVLGEGNGIPEEDKAISIEEALDKLISQADSIIESAEQKMFG EAEAKEESEDEQLTAILPGFPEKVEGEIVFCDADNINTDGIYPGKYTYQDNVPVEKMA EVCMENYDPAFGSIAREGDILVSGFNFGCGSSREQAATAILAKKIPLVVSGSFGNIFA RNSINNALMGVEVPRLVQRLRAHFSNKEVLEQTDVKEPSQNKDSLDSPPPAQQASPTA EKMLTRRTGWKFVWDVRRSKVIITEGDGGETWSQKVGELPPNVQEIIARGGLEKWVKA EIGEDL LY89DRAFT_541143 MEDLNVEEIKRQVLDTHFSPRSRPSSSASNAPPMPQFFRLMRLM DVWSIRLSVLRKVSPLLLALEDTEIALKSGWNAIRNPTEEECLSRETFNIMRDVLREK VTTLGRDLDYLLDTLEGRPDTLPDGWLDRMEVIENDYGSWDVAGDRKVREGEWAKLAK RRKEEEDARRLQEAEEAEVARQKAEREA LY89DRAFT_722657 MQPLTITALLAFLTTFTIAHPFPQGSASTCVAQTTCCTNFATGR EAYRLAEELKLPLDARQGDIGIGCGTTFEPSNNCGNGSEFPLLCCQGVVDGGYAYGCS PVQSGALGE LY89DRAFT_226850 MRHWRRMLCRQLHGILRCGNQGATCSSDSECAFNTCISGSCSGT LSSSSAAVSSTPVSTTSVPSSTATAVALGGTCTTSSQCANGASCYSVNSQEVLRCGNQ GATCSSDSQCAFNTCVSGSCSGTLSSSSITSGSTSFGSVTTSFKSSSTAPAGGANVTV TSISTGVPAGFTTSVTVVNGVTSSVLVNSAGATTSFGAVATQTITSSTKGSGAGKLAV GFGGMFAVAAGVAMLL LY89DRAFT_738954 MASRQLIKHATDWAVILISIIAALLLSDVNPDFHTFCVLDMNLS YPFRPSKLSLPVFILLSIILPVITIILVTIFTPFPSIQNSERNSRARIRKLRSLNAAL LGLGVSLGTSTVVFTGVKSLTGKPRPNMLSICDPDLENIVKYTVGGVGVEFNRLWVMV TLDICRQRDTAALRDAFRSFPSGYATIAFAGLWYLSLFLCHRFGVEILPSSSPRNIQN IPRLENDEQHEPLLPSEAQLNDRASRPDSNQLEALPVYKLLLPYVPLGLAIFIAGTRY FDFRNHGFDVLAGAFVGSVTSYVGFKMYSTGNLLT LY89DRAFT_688752 MDLQIRKATLQDAPGIARVHVLAWQEAYHGIVPQPYLASLDIAA RTEIWKSRLDQSTIEVFVAILDNQICGFASGGPAREPIHGFDGEFHAIYLFQTAKGKG IGRLLMRRVAEALQARGFSRAMLWVLKDNSSRGFYERLSGKEFAQKIDSIGGANLVEV AYGWEDLQVF LY89DRAFT_786439 MDGKWIPGRWRQTGHNDPVQAPTEGLTKVFDEIASKTAQLVQQP YNAGHWGARAELLTMVGYPELAAGDARKSQILCTAMLEGKGLSLAARKQLLLDDPSTN TAAIPEPLQYQPLWTETVKQLRFRSFMVLSRALSQAGAFHSFREMCLEARAMYPADDV LSSGLASSDVALKSLRRNIQQSHALGRSQTNVDEQLKFGRISFRLYPFMPPQYLQRSA ERITSANTRLVEMGAACVVRASSLGSSAAIPEPPLRILGPWNRTLGLFATSDIKKGDV ILKDTTTWGTNTEPAVVYFKYQGRAHPMPRCDNCCGITPPWNSVVHQSKCCNTTYCSD SCLKTAKATYHQVLCGKDFSWITNKPQSGGRQTDPGLSDTIGSMWLRILATCVQSGLH PLEHPSIAALTANYESDDRISRRWSLAFNIDVPQRILTTLGVDIFKDLRYDTWVLQTI WARMTNNQRGEIEKGLNGPVVSRSVHQLFSFINHSCEPNAEAEDLSETYPPQTAPVVC SSALAIFARKNIKAGEELFISYANGETLGQSRAVWNYNLQLSRLSGDCLCARCKREAQ GEEGK LY89DRAFT_710068 MLLVFHEYKIGVLYLRFSNQDRVRKEILNLSANTVSNATIVGLN ATFSYCNVTVTYTHPGWNDTIHITIYLPPNSTWNGRFQGVGGGGWAASSGAVSLLSPV ASNYAAGNTDAGHPVNTASSASWAFNARNDLNIPLLTDFASVALNDLAVAGKQLSNAY YGYGPRHSYWSGCSTGGRQGLMMAQRYPTAYDGIYAGSPAIHWPTFQVAQYWGQFVMN QLDYHPPTCIFDAITAAAVSACDNLDGVEDGVISALSLCNFDPMTIVNTTANCSGTPV TIRVKDAEIVQKVWEGPRTSDGTFLYWGLNRGAPFEGLTNTSCTSLTNCTGAPFSITP DWINNWVLANPTFNLSAITLDEFTSIFYRAYTLYDYLIGTDNPDLSEFKRAGGKMIAW HGLADQLIYPNGTVDYYQKVEARDPAVRDFYRFYEAPGVQHCGGGVGAAPSDQLDAVV DWVEKGLKPDTLAAVTTDGEKHRNLCQFPLVSVYKGGNTSLATSFACETSF LY89DRAFT_674107 MDQHSLSEVTDLEREFMQRAAEEDALQLAALQEQVYGNLAGYGA TQEEARQLAALQEQGNEAGYIAAQDEALQRAALQEQIQGNQAGYAAVQEEALQLAAQQ QQVHSNLVGTGAGRYRQLGPHLYGGRGGYLDFGSGQIAEPTRQSVGDGEFRQGFLVEN MARYVVDPREGFSRPRGLSSARWTDTSAQFPATGFTCDICGVVTQNEAYRLQHNRIMH GRLYP LY89DRAFT_688754 MSLDADATKKYLAACFICAGKELAQKSLEKYSTFESPQIQTRTS HLRSTTTSIRKPTNMGCPITQLPSEILNEILDIAVADGSWKHGVYTISSICRAFHEYS QLFRFREISLLRYYGHSLAPACERVKKLYALVHADAALAGHCKRFSFGLPSIRAPSDQ YYFNSIPSDYVLGDKLMKLLPNIAKLEIQGGFSHKLTWPFLKQALCSMKYLDSVKFEC SEDNDSEPDLFLPEAWDCVQGLLKLERLELRNIRLPKNGSIWKLSENAISTSPIRNIL LTDFGETPDPLGRLLNQPKALEHFSLHITIENRDSGVWSLETIRGLLYNRRASLKSIT LGALSKRDSFSISSLLCLQDFSQLKSLALSRWDMGADVTPEVACNTLLGPSLESFQWD FTNPERECWGSFGTEQKDWLLRFAKLAIDLKSKLKKIRIVFNPPSDDEEHGRAIWEQM FRFWPWDLMDEVRDAVSPDIEVTYNGHVTEQECEWLIDPYQRELFGINDTSSEDDEED ENEEEDA LY89DRAFT_758222 MSVPQSIFGLCIDLYILFIPIIGVSRLHVSTKRKFSVMLIFLSG LMACVCSAVSIHYRRILDHTVDTTWALMPVNIATLCEMFAGIICACMPSAAAASRHSG SIYLKVLNSLSTNFISLKSTLIASKKASKSGSSGLNQDQISASHPQPEVLNAEDLLRS TDRKYAQYFNLVSLTSQKSTVDDEPQACLDGTNAV LY89DRAFT_710069 MDSIDEEDAIQKWIQYVQQQHQRFRRSFGICFENLTTEGVQTDS DYQNTFGSYLLAIPEYFGKVLSGRKQRRVRNLERMDGLVKGGEMLLVLGRPGSGCSTF LKTIAGAMHGLYIGDGSNINYQGLSYDERHNSCKVESIYVAELDIHFPELTLGKTLEF AASTRSSSSGKDYTPRLISQLAASMFNLQEAFNTPVGDDMIRGISGGEKKRTSIAEAF MSGSQIQCWDNSTRGLDSSTALDLVAMLRAVASELGSLILVSVYQASDTIYNKFDKVM LLYEGRQIFFGSSHLAVSYFTSLGFIKPAKITSADFLTSITNPIERIIAPGYERRVPL SPEEFALAWKQSKERKSIQNSIIDYNYIHPMKPENLANYQQDRNRLAGFSKHHTSQYS LSIIQQIGICLSRAYQRLMRNPTPTISAITGNAIMGIVLGSVFYNLDDSNASMDRRSI LLFFSIMLNACTSAFFQILTIWVQRPIVEKHSLYSFCHPFTDACGSMICDLPNKLLTS ILFNLALYFMSNLRRTASAFLTYYLFCFATLLTMSMVFRMMGSLSKRIEQSMAPGSIL VLSFIIYTGFVIPIPYMVPWFGWISVMINEGRSNTTTCSSIGAKPGQSFIEGIEYLWV KYRYPPDHLWRNVGFLFVFMIGYCAVYLLAVEYIPTKRLEGEILLFKRKNLSKSKLLD DEEGNAADVPKFGEKKEDTCPTVTVLQDTNTEIFLHKLPPQTGQFHWNNVSYDVQVDK GDTKRILNEVDGWVKPGTVTALMGATRAGKTTLLDVLAARTSVGIVSGDIYVNGKRSD RSLQRQTGYVQQADIHVPTATVREALVFSAVLRQPGRTRSTDEKREYVEQVLNVLEMQ SYADAVVGVPGEGLNIEQRRRLSIAVEMIAKPGLLLFFDEPTSGLDSQTAWSICTLLR RLADHGQAVLCTIHQPSAQLFEVFDQLLLERGGCTLYFGEIGRNESTMIRYFEMHGAR ACQPSENPAEWMLDVTGATPRSKNTISWAENWKESAERREVKRQLEALLDVDPANLSL ESCSSDNDDYAASHLNQLLIVTHRAFQEYWRTPSYLYSKLALCVGAAIFNGFSFYMSP YDIQGLTNIVFSIFLLTNIFASVDQQIIPRFIESRSRFEARERKSKSYSWIVFVASQL LVKTAWQTLTAILEFVAWYNFTGVWRNGGPGFNMNERAGLSFVFIWLFCVFASTFSQA VAATNEHAETAVNIAQLFFYLCVIFCGVIVQPAQLPGFWKFMYRVSPLTYLIHGMASA TLGNANITCSSIELVLISPPSNTSCREYLSSYILSAGGYLVDPMSELSCLFCPITEAN PVLASFGIHVHDRWRDYGIFCVYSAINVVATFILYRRKKK LY89DRAFT_594650 MSHALWPSRTSIERSGWLSPVQRIGEYKSFAAIVLKPQAELDIV SKWLNFCLEHHGETCDPIDRRSIPALRVVDCDAGLVVSAEPGCKYLAMSYVWGSSPSE ELKDGESLLADSTPTLIKDAISATKMLGYRYLWIDKYCIPQEDGKERHSQIQQMDLVY RKAQATIIAIASPDPSYGLSSLENRERAPSVTIRIGNELHAYVPSHPTAEVYGSVWNS RGWTHQETILSRRRIFFCKYQIYFECAGMRCHD LY89DRAFT_688757 MSVAAMNASPAATVVPPIYRQIVLNKAEAVAFLFGSRFSDALQV PAERAAMLYRTTGALAIEEFRRLLAIKMFTVDTDADKISPTPLSQSSSEDYPAHFMLT CETVDEVWHAAILDTKFYAELQSALGVVLHHRPSGASNEESVSRQQRLTAMRALYRAF FSADPIASPPLQLNLPQPDMRLQDPIPLVIRDLLDGDTYGIMVERNATIDNVKSVLQD LTGMPSDQQRLIFAGRQLEDGRMLLNYGINHGATLHLVKRLRGC LY89DRAFT_710070 MPTQEQDFKVTIHWLESSRAQRVLVLLEELNLKYDIQAYKRDKD GLAPSELQNIHPLGKSPTVVIETPNEQPLVLAESATEEWMRFRYFSHYIEGSLMSLLG IAAVIRNIQNAPVPFFIKPITRMISGKISESFLDPNFKRHFNFLESQLASSPNHGEYL ARENLTVADIMIVFPLQAAIEWAGLSKGTHPKLHDYLQRMAARESSRKAEKRIVEATG SFKPVF LY89DRAFT_226921 MGGGPENPMKMEMDGVVTPLNERVTTLTINDDQATAMSVGDNKE GVLDGADTDSEIDVMDVVEHKQELMRELKALGVKPLLKPDYTKPLDEPSWGDEDDEEE DDDVDMEGEGEGVLDGEDEEEGDEGLEDGELEELRSGK LY89DRAFT_738966 MPSRDYHQPEAPNSPADDSDSDLDLDIQELDPITTSSPAGPSSS RKDRKPQDERRVPHIPLRNLRMSGLRGLGKRNRGYGDLGKDRDANNEDLHGMLNDEPS RPSAGSFGSVDGQEDSAPLLAANGHRRRPSVEAFNKLGSHIRLPSFLSANSNQPVSSD DETQEEEHDPASSRTVSVGTLQSARFPLNAVSNAKYTPISFLPVTLYNEFSFFFNMYF LLVALSQAIPPLRIGYISTYIVPLVCVLAITLGKEAYDDIERRRRDNEANSEGYTVLS FDRPGESGSDKFNAKKKLKSKANAKSKRRSLVEADRLSDIQEEEESAEGQGRARPSTE VHEIIKKSRNLKVGDVLKLGKDQRVPADVVILKSYSNESAVAPHEEERPVVSESLLVE PISDPPIEQRSTTVAEGHESTSEQGNTPAADAAGVGETFIRTDQLDGETDWKLRLASP LTQSLNPSEFVRLRVVAGKPDKKVNEFIGTVELLPRSNGYDPNLPKSSPLSREVTQDE PSTKPNSASLSIDNTAWCNTILASNATTLAVIVYTGPQTRSALSTSPSRSKTGLLEYE INSLTKILCALTLTLSVVLVALESLKGVEGIKWYVKIMRFLVLFSTIVPISLRVNLDM GKSAYSWFIHRDPGIAGAVVRTSTIPEELGRIEYLLSDKTGTLTQNEMEMKKIHVGTV SYANEAMDEVATYIKQGFSLPASTDSSQSGMLITPSSTFTAPATTTATRTRREIGSRV RDVVLALAVCHNVTPTTEEENGQTITSYQASSPDEIAIVKWTEAVGLKLIHRDRKGMT LQSTDTGLPVVRVRILEIFPFTSDGKRMGVVVQFLESMDAKAPTGVEAGEIWFYQKGA DTVMTSIVVANDWLDEETSNMAREGLRTLVVGRKRLSVQQYQEFAANFKEASVAINGR DAGMARVVSHYLERDLELLGVTGVEDKLQRDVKPSLELLRNAGIKIWMLTGDKVETAR CVAVSAKLVARGQYIHTIAKLKRKDNAQDHLDFLRGKKDSALLIDGESLALLLTHFRT EFISLAVLLPAVIACRCSPTQKADVALLIREFTKKRVCCIGDGGNDVSMIQAADVGVG IVGKEGRQASLAADFSITQFCHLTKLLVWHGRNSYKRSAKLAQFVIHRGLIISVCQTM YSIAIKFEPEGLYKDWLMVGYATVYTMAPVFSLVLDKDVDENLANLYPELYKELTSGA ALSYRTFFVWVFVSIYQGGMIQGLSQILTEVDGPKMVSVSFTVLVLNELCMVAFEVTT WHPVMIISLVGTFLLYVGSIPFLGRYYDLAFIVTWGFVWRVLAIGAISLIPPYAGKLI RRAVKPPNYRKVQAI LY89DRAFT_624895 MPSESSSPWHPAFMPNSEADLIATKKSAESTHASSQPEPTPESP KAEPVTSPLTVDTEHGLEEQVEEIEHNGADNDGHPELSNLRDALATSLASPGGVELEE SPLHNEVQPPEPEEQERADFPSEEPSNESKHLSTMSFTRTVSHEVNWGEDDEVDPEWN LHRTDTDPFKFMAKSDRTNSFPAVPPAHSAFSHPEEQLSHSQAEEIMNEVEHEGKDLF SDHGDNDEADFFNGTSGQQHTGEEFLHDAEDGGASTFAPAYGGDIRREEDEEEARFEE GLPLVQHTDHPETGQEAPNTNHGAFEDDANEDHDFFAHVSKEEDTQPEPHLERKSTMQ VMDSLDFQPHYQAHEDTIEEENETMQSSQSSLDRSIGGGIGVSTSTVLSQVLGDPNAP IHNQPEILAALESDSGEGDLAAKWKAALACDEFLDDDDELLPDEDEAVENGGENKTID PSDLFGSDDEGFLEDTEDQSGSDPFPQQISPPIPAPVTSPNGHVVGFDSLTGAVHGSR PGSSGTSSSRYLPAGAVASAPKPANTYAPAAPLLTDFSRPATTTSVPSPYMAPPVSSF PPQQQRPELPKAQSFADKSKGGYASPYDLPMEVVKPRKRISMQQMNRQPSPANAPAPP RSSSMFTQPPPPPRSASASMSPPTSSHGVPQNQPRPSATPTLPQPPTLVKQSSSGFFE ELPMAHRPKPAPRHPGSFGSPAQSTFIHPVAAPPPPMAPPPAPRGSYAAPPLQQPVQQ PSSQTLVAPERVSPYAALPTATGPPPATATRYSPSPSQPTLQAAIPPPAAQSRYSPAP PNPRQHTPSYSSPANAPPPVLAHQPRTSSPLAHFERNQDPRHGFHSETAGYDRRSSSS GYESNLRHQHLPPTREVDENEQAVVNGNRKYGDLQNQNIPQPRSVAQTPPPPPQNLAS RLVSSPPKRIASNYQPQQPATGPPQTFAPPKRSQTQSPGSGFGVVRRDISPIDPYQRP ASVEGPTSPRAVSGYSAPSVTSSYSRKRGFSQGYNYIAPTDGREHDPLQRWKGAPVFA WGVGGTIMTSFPKDVPRYGIGQTAPMVVRSPGEVKLRNIKDVDPLPERLSTFPGPLKS KAKKKEVMSWLTSGIAILEQSASYLRTTSHLSHEDKRIEERILLWKILRVFIENDGLL EGSPPVEKAVRAVLSPGLDDKGSSTTPLYATGAELSGISASSAPKADAVDPSAVDELR KHLLRGEREKAVWEAVDKRLWAHAMLISNTVSKDLYKRVAQEFVQKEVKNIGDNTQPL AALYEIFAGNFEESVDELVPPSARAGYQLVSTSNAAGPSKDALEGLDRWRETLGLVLS NRSPDDNQALNALGKLLSGYGRAEAAHICFLFARSLSIFGGVDDPQASIVLVGSDQLR QPYDFDREMEPILLSEVFEYGMSLANPAAIAASTPHLAVYKLQHAKILAENGFRDKAL QYCESIATAISSQTRRSPYHHNLLVAELDDLSKRLKSSPKDETSSWISKPSIDKAKGS VWATFNKFVAGDDNDTPATVSSAGSANDVGPFARIAGGTPTISRSPSNSDIYSSYNNG LAIPGSATKTNSRYAPGGSYGASTHEPLSSSYGSQPRTSLEERSSNEFRRYEPQRQMS DYRPASQASNSINNYSPQTSSTYTPQNSSTPYGNNGSPYEPTSAYAPPQTPVTNPATN NFYSSPQPLNNSNTHQPPYESSQPPPSFEQPTNNYQSFSNSYEPSAGNSYEPSTSSGY EASGGYEPPSSSYEPPAYVPTTMDDEPDSPVDTRPQKKSFMDDDDDDIPGLKQQPVGG EKTKAEKDREADEAFRKAAEADAQKAKESAPAKKGWGLGGWFGGSKKEADMGAQPNKP IRAKLGEASSFVYDPELKRWVNKKGGAEATETKSATPPPPRAGPPRTASMPPSTSAPR PMSMGSVPPPMAQRAVSESVPGPSQSDGQSEASHPTLAPPSMARSASNGSIGLNGGPP SSGPPSRPGTGMSNASSIDDLLGPAMPRKGAAVKKAAKKKGRGYVDVMGEK LY89DRAFT_758237 MNVIRLEDLSWKSIFNSMAAWLHDCDKAHMGCPRVDEPALPTRV LDLGESDTCEKIKLHISVPLQHGRYVALSYCWGGPQQMTTTTTTIDSMIAGIALNSVP KTIRDAIQVTKKLGIRYLWIDSLCIIQDAGYDKLSEIASMGDIYKNSTVTIAASSTES VFEGFLDDKIPWDICRLPLYLDEDSSGTIHFAQQTKWSARDQRLFTRGWAFQEFMLSP RVILFDDVQPTWHCYSESFRALVPGYIDYNHPEKLTFGSILESRRHSTYKGGRSRLWA TLINEYSSRDLTFFEDRLPAISGIAMEFSLLWEDDYIAGYWKSDLPLQLA LY89DRAFT_758239 MAEWDKEEQRRKDLYAELPDSDIRLFSLQRGPSSFPLFQFLPAE LRCKIWRETFEPVIHDIEFWPPFSLTPIPITRRINRESDWECFLFYYEVHQLYAIPES FICKLTFFNKDLDTVRLCGEMLYWMERWELKYYSASSIVVEECFAVAKKLIIVVEELF DSRTRDFRYRPRVLEEFKSMEDLVILCAPMTCKCTSTHRTSGTAIYSNTYRMAASCRD DLTRRFETQVKKGVRKIIPQIHIKLPYHHEGKADRILKSIKHLFKEG LY89DRAFT_722671 MSAPLSAPPVAALHPNIPAGWRLEWSAQYHTNYYLNVYTGASTW TVPVEPALDIVRHVQQYSTEEAVPQQSLNVQPTSRRCVSSPSPVSAISEHEKHFAQQT QTVVYTQPEAPALFFPPPPGSSGSEPTSTGPASVQQFLPPPDSSHSQSGHISQHTLEY SPSPLGAHQKDDQLFQPLQNNALQSFPPPPLLPPRSSPRPLSSFLPPPPPHADSNALQ QLDVQVQNTHATLDDLHLQTRPIPLTSKPRPRSFIGQMEMMKMQVSEQSHIYGSKVME TKLGTKVSTKVGKLIPNSKSESKETDPLLDVKDKVEKKLWDDRTEEEKKESYKKWGKR AAIGAGVVAAVVIGAEAIEHVANASDAASSVGNGFSSANSAMNSAAANAASINAASNA SMMALASAQANAAAVSAGFAAMAVAII LY89DRAFT_738970 MKISSPIVAVIFQLAMTACAAPVGKSPQRHQVLKHILTMLSPAI SNAEVANTVITRNPYGFPAEDEGDNPVTTREAYGFSAEDETDGSMKTEGVEWHYKR LY89DRAFT_654177 MLWPERPGLAFILIVSLVLIWTFGFQVHDEAVFLLYGEPPEDQP ERDFLDVAMKVEFPAPINYAPIQEICARTTFRPGLLFSCEGQHGGVGMLRNQILKCIR YAIHAGAALVVPTIALRNAKELWDIETKTEMGLEYLFDREAFDTHLLNGCPGMRIYER AEDFPFYEQRVRTGEPLTLIGSQFELDYPREGLRHPREWRHFFDWWLDGRSISIQTEA PVHIHMGQSFLEYPVIDDGEAFVHEFGKILSFRSDTRALAAKILWELMETFDIEIDPT QAINSNTFYGAHLRLEQDALWAWPPEEGWVFGAMNTQFDEQFKNLQRTGLEVVYVASG NRTVVDVFAESARMRNMPISVVTKEDLLTGDDRRMLDNMTFDQRGLVDFLVMFKASAF MGVAHSSFPWTVALRRHELSRYKGIANEGSDLMRDEYSIIMGMTADYPYVDPFVYSIW P LY89DRAFT_594344 MIISISLAILDVLLPSFIPRWASAAKETVSIRKNTPTAYMDGLR GIATVVVYLTHFAVNWFPILLARYGAQASDVFILQMPIIRVFFSGRAAVATFFVVSGY ALSYSALTKIHKGQRAEAFDTLSSSAFRRCMRLYLPCAADTLICALLAYYGMFRHDPL NWHAIPPSLPTLNAQLWDWWEQLKILIYPFIYVEGAPFSPRYNGHLWTIPFEVRGSWV TYGTVLISANLTPIWRLAFFVTWAIYLWVMGKWDLFLFASGILIASLDVAR LY89DRAFT_674124 MNILKPLLIITVPTPTPVTHFIDVEMENVLNPPCTMMFAPVPFG LNPGQTSVITEDVTSIGLIGVPNPAMTGPYLPTDETTVQDVYGMWLGPTPEAGGFSIE FNIVGPYPPAEGEDRASKAAATDTKTKSVKWKRVDFKALSLSELNCAERQLNEAKETF QDNDEASKLIKSWLDEVADEKKKYEPKEESKGSS LY89DRAFT_654181 MFAKLSVVVGLAALINAAPAPVKHVLHEARDVASRDWVKGARIE KDAIIPMRIGLTQTNLEKGYDYLMEVSHPDSENYGKHWTAEQVHDAFAPEEETVANIK EWLTDFGIHGGRIVHSENKGWIAVDVTVEEAESLLLTEFHEHEHKLTSKIRVGCDKYH VPEHLQSHIDYITPGIKLIPVKKRTIKREVKAKRESHAVKAPIHVDASADTTISVAAA ALPPSLQNCSTAMTPTCIQALYGIPSTNAAVEGNSLGLYQQGSYFSESDVNLFFANWA SYIPQNTFPINASIDGGSYSVPADSPLNSGEADIDIEMSTSLIYPQTVVLYQTDDDIY EPQEVATTNLFNTFLDALDGSYCTYTADGETGDDPAIDPLYPDPAPGGYKGTLECGIY KPTNVISASYGQAEADLPSPYVLRQCNEFMKLGLQGHSILFASGDYGVTSFPGDGSAS GCLGPDQLIFNPQYPSGCPYVTSVGGSQLAPNGTVYDPEVVMADNLGGTAVNFSSSGG FSNYFARPTYQDGHVETYFHRAHITYPYYSELDVNVNTTTGIYNRIGRAYPDVSANGA HFPAYIDGELFHFYGSSLASPLFASVITLLNQERATAGKGPIGFINPTLYRNDDVLND ITAGTNFGCGTQGFHAVEGWDPATGLGTPNYPKMKALFLSLP LY89DRAFT_722676 MDASAPIDIPVVIIGGGGVGLTLSCFLSDENVQHVLFEKHPTTS ILPKAHYLNQRTLEIFRQHGLAEPIQENGCPLANMARVEWRTSLGGDGPFDGRQLGSV SAAGGIPGTEQAEMYRRDSPATASNLPLLRMEPIFKKIAEERNPGNILFNTTVEDFEE VGDHVIVRVKMADGQIVHYRAQYVVCCDAGKLSTPKLGIKMEGVSGLVDFVSTHFKAD FSKYWDDRTLITHFINPEGGSMAHLDCGALMQMGPTWGKHSEEWVLTFGFPVEDSKRF EQDALPPRIRQLLKLPDLEMEVLHISHWVLDRVVADKYRVGRVFIAGDAAHRRPPTTG LGLNTGIEDALNVSWKLGQVLNGKAAPSLMDTYESERRPVGIRNSDWAFFTFGNMQVL SAGVGLIPGQQEYNYQRFVNLFSDTDIGRASLAHIKRIIGTQNIEYSAHDIELGFSYP DGARVDDGTDAPTPDTTGQVYTPTTRPGHRLPHAWLEFGGKKISTHDLVYTGEYDYML ITDEDGDVWAAAAKRISKLGDVKVGAVKVRARPHSRPEGLALDVEDRWAALKGVKAGG AVLVRRDNFVCWRSAGKGVEQEKVLREALEEIVGKKVVEDVKVNGYTNGVVEDVKVNG IVEEVVEVAVVA LY89DRAFT_688765 MRNPLNFAAVAILAVFFSLASIPRQWIDLLSLLLSRITRTILGG AAPLHCDMPTIFANPGYIHR LY89DRAFT_624908 MSSTTSEVVISPFFTSKAPSTVDDSDHATAMDTELPLVYKDIRH ILFIRLESSELLKHQKDLFLDSLSLRSSDNEPSSLVELTLQYLQFLLNGSAPVSAIES LLLAFERDFLVDTDIHSLLIHSHVSSSVRRDLLKTYFTASSTCGDWRTKESALVESAE HGQSQIFTVFGGQGTSNPVCAKELSELYATYTPLLSELIAATAPLLHELSRLPATRDY YYGRYVDVEAWMKNANTIPDRDFVSTAAVSFPILGLLGLAHYAVSCKVLGKTPGEMRS LLNGATGHSQGIVVAAAIALSDSWESFYDAAKLAVEILFWIGYESHQRSPRSSIPADL TRECLENGEGRPSCMLSVRGLQRSQIESIITKTNKTLATHEKLYLALANARDNFVIAG PASSLVHINSLFRSLKADAELDQDRIPYSKRKPVVNHQFLPISAPFHTSHLKDAAIRI KRDLASKSLNAEQLMIPVYHSKTGRDLRELGNTNVIGILVDAITCELGDWPTATDFPN ATHIIVLSGGGIGELTMKNKDGHGVRVIIGSEFEVRDKEIGSKADLFSPRLLETSTEL ESWGRKFQPGIMPSDLGEAMLDTRLSRLLGCSPVIVGGMTPTTVPWDFVSAVMNAGYH IEMAGGGYHNAESMSAAIAKVMANVPRGRGITCNLIYASPHTLAWQIAMLKKLAQTGK AVDGLTIGAGVPSPSIVSEYISTLGLKHIAFKPGSITAIKQVIDIAQAHPEFPIILQW TGGRGGGHHSFEDFDAPILNMYSAIRKCNNLILVAGSGFGSSEDTYPYLNGTWTQKFG KMTPLMPFDGILLGSRMMVAREAHTSLQAKELIYEAPGVGDEDWEQTYSGEAGGIITV ISEMGQPIHKLANRGTKLWAELDKSIFSLPRKDRVAKLKQKREYIIRKLNADYAKPWF GQDAEGEVCDLEDMTYGEVLARLIDLMYVAHQKRWVDASYSRFATDFAARVLERLPAS RSIEIPASTLEDPFRFLSDFLSACPESEDNILNPEDVTFFLHRCKARGSKPVNFIPAL DDDFETYFKKDSLWQSEDVDAVIGQDAGRVCILHGPVAARYSQMGNTDESAKDILDGI AKAHVEMIRREFYPESGACTPCSEGSEMSLISWSPTTPKSVQALFSDKFVLQGHDRKP SPFARLLQDSPVGTSLLHFDQDTNTLSRTAEDSTGAQSLMKIEVTRDDKITVELHQPS AYSSTPIVLQFRYAYNPLMTPFGLSEVMDQRNLRIKSFYSKLWFGEDIDASLNVHSRF QGQETTLNRKMLQDLVSTVGLSYPHGETMFSSGDVFPISVGIVVAWDVMTKPLVLRDI DGDLLRLVHQSNTFKYCEGAEPLRIGDVISAYSKVNAVYIEDAGKYVVVEAVIERAGK SVMTVTSTFLFKGTFDDFHSTFRQTQESDILLQVTSAQDDAVLRNRSWFVPKNSSASL VGKSLLFKPQTTVSWKGRKAFRSMAVTGSVFEKYGNDDLHEIGAIKFLTGECFGNPVM DFLERKGTPTIARTSLKNPGWSGDSSLEVQVPGSNEMYARVSKDYNPIHVSPIFSEWA ELPGTITHGMYTSAIAAGVLEHLAGDGDRLRFRQFSATFTGMVLPSDRLTVRFRHTGM IEGRMIFKITAFKKDTDDMVLDASAEIEQATIAYVFTGQGSQSQGMGMDLYNSSPVAK AIWDEVDAYLLDKYGWSILDIVRNNPKTLTVHFGGKRGRKIRENYLAMTIDVPQPDGS LVTKQILSGLTRESRSYTFSEARGLLFSTQFAQPSILLLQKATFEDMRSKGVIQDTAV YAGHSLGEYGSLSAFSGFMSIKTLMDVVFYRGLTMQVSMERDEFGETNFSMVAVNPKR VGKFFDEQALRTLVKMIADESGVLLEIVNFNVQGEQYVCAGSLQNLYVLGEILNHLSK TANLFSLISEALSSASPCHDTELGDLIATFVAQSNKLQRPLQLARGIATIPLKGIDVP FHSTHLRPGVVSYRKFLEMRITEDNIHPERLAGRWVPNVMAKPFSLGDEYLKEAFRLT QSPVLREMLGV LY89DRAFT_624910 MAKKTVTAHSSQAPSRSSQFQFLSSSDNKEDIYYQYPEEEEPVA PAESTPAPSAPIVEAVASVQAAAVVATASASVSAPAAAPAAAPAAAAPEIPLSASHVV LALTAQKLKKAFDVVSTQKTIRELSGGKSTLQNELIGDLGAEFDNLPDGSEDLTLEAL GEALQGNFSGKPGKQLAALMSKFTSSKMPAGFNQGAIQDHLGAHWGLSKDHSIIPIAF AITAEPAARLANADAAKEFLNSLIPRYASFAGITLTPGGSGGGASASATAMVDSASLD ALKKEQRDYHMKQFDLLAKHLGIDDLQGGDKLEAAQQAEKELEKTLSQWNSEFDEQFF AGIQSAFDIRKGRTYDSSWNWVREELIRLFNDIAAGKVEANILGADDRLLNVLNKWEP SCSSMVDYLTSELADPQMQAFGREISRLGSRTLGEDPTFRYTLQSLSPKTTITPGGTI EYSEIPRKINNYSRLVKHGRKNAAGELIPFVHIRKREGAQAWKYDAASSSLLLRTLEA GTGLGLTFAGKTVLVTGAGKGSIGAEVVKGLLSGGARVTVTTSRAVSSTAKFFQHMYR KYGAREASLTVLPFNQSSKKDCEALIAHIYGPNSPTGGDLDYILPFAAIPEAGELDSL DGKSELAHRAMLTNVLRLLGAVRKEKDERGIDTRPTNVILPLSPNHGTFGGDGLYAES KLGLETLFNRFYSESWSTYITICGAVIGWTRGTGLMSGNNIVAEAVEKQGVITFSQPE MAFNILALMTPSISSICEDDPVYADLTGCLDFVTDLKQEISTARTAISDESRLRKALV EENSRQQTVLAGPSTQVAKTDIASTKVRRANLGMNFPNLGSYEDLTGNLQSLEGMVDL SRTVVVVGFSDLSPWGSTRTRWEMEHMGEFSIEGYVEMAWIMGLVKHFEGDIKGKSYV GWIDAKTSEPVRDDEFKAKYSEYIMAHTGLRFIEPEGLGGYDPKRKEFLHEVAVEEDL PPFESSKATAEAFKLRHGDKVNISPIAGSEDYIVRVKRGAHFLVPKATSFDRQVAGQL PTGWDPVRYGIPEDIVQQVDPITLYALCCVSEALLSAGIKDPYEFYKHIHVSELAICL GTGAGSLLAMRGVYRDRYLDRPVQSDILQESFLNTMGAWINMLLLSSTGTIKSPVGAC ATAIESLDIGCEAIKSGNSKIAFVGGCDDFQEEMSYEFAKMKATASSADELDKGRLPS EMSRPSVTSRSGFVESAGCGAQIIMSAEIALEMGLPIYGIVAYTQMASDKIGRSVPAP GQGILTAAKEAESAFDSDLLDLEFRRRHLKESVAQIKKSHQARLARLQAKTGSNFSEK MLHEIESATACKLKLAQQMWGNDIRLQDPTIGPLKASLATWGLTINDIQVCSMHGTST KANDTNEADVINKQMTHLGRSKGNPLLAVCQKSLTGHPKGAAGAWQFNGCMQMLATGI VPGNWNADNIDDHLREFEHIVYPRDAIQMMEVRATMLTSFGFGQKGGITVSIAPKYLY TVITEDVYEEYRAKVTKRQRKANTAFVKALMTNTLFKAKDYSPWESGDAALTKALLDP TARASNANILNEFKINDPSVPPAQPVRPVSRLSRSSSSSSIHRSLMDISETGLLSGMV QNMLESSLSPPSAPHPSLSTSVGVDVETISSIPIDNPVFLERNFTDAEREYCESAVNP QASYAGRWSAKEAVFKSLQVPGQGPGASMREIEILSEGGVPRVVFHGKAKETADREGV TSVQISITHSGETVTAVALAAKF LY89DRAFT_624914 MGLNIIVVGAGLAGLTAAISLRQAGHNVRIFEKSKFAAEVGAAL GLTPNGAQVLKPLGFSFERARARSIRIWDITDGVTLTVLNSMDLTESAKKFGLETFAV HRVDMHNELLRLALEPEGDLKPVELKLSSKVVDGSAAEGWIQLEDGSKHFADLIVGAD GIHSVIKPMVIGRDQVKPKSTGLSAFRFLVPTERLKNNPEFEALMKWKTPGASTLADP KDPCPERHMMWYECQDGHVQNFVGVHPSREIPVAEDGTQDFKAAMLEDFSAYHLDIKK VISIADNVSCWPLNIHDPLKTWVNGKMVLIGDAAHPMLPFGGQGANQAIEDAGALGYL LTGITSTDDLPSRLSAFEKVRIKRASLIQTLSKVRVGKEKEIEDEVKAFAEPEQKVPS SFAERTAHAFGHNVLKESGRVLQGMA LY89DRAFT_710080 MASSDEKNEITISSEESGQVEAQVEAPPFTIFNTFERVFYAWVA SLAAFASPVSTSIYYPALTILATALNTSLQNINLSITTYMIFQALAPTVIGGISDRYG RRPAYFLCFVIYIAANTGLALQTSYIALLLLRMVQSSGSSGTISLSNGVVADVSTRSQ RGKYIGIAALGSNLGPTLGPLIGGLLVHFQGWRAIFWFLDIYALVMILTIALFIPETC RNIVGNGSVPPQKWNVPLIAYLRRGKKDAPIIPSTLAHKRRPSLVESLYIAASKEAFC LIFFSGLQSGGYFILLAGLPSQLESTFHYNSIQVGLCYIPMGAGILIARQIVGRLIDF NFKRHARKLGIPIVRNKQTSTTGFPVERARLEVGLPLAYLGCVTVIPYGWVMHMDHPP LPLALFLLFCNALSMSGCMQCLQVLLVDCHPESPSSTSAAANLIRCLLSAGGVALVEP LLKSIGRGWTGTLISAVWCTGSLSWWAVWFWGAKWRAQKERKLQESEGSEGEIVVVEP K LY89DRAFT_758253 MLSIILLGTFISLGSAQCDTVETGYQCDTSISHNWGNYAPYFSV PSTISADTPAGCSISFVNLLSRHGARFPTTSKTTEYEELFEQIHANTTSYSAEYDFIK NYTYDLGANDLTAFGQQEMIHSGIKFYERYKTLTTLYTPFFRSSSDDRVVESAQNFSQ GFHQARGSIDPNYPYPILLISEDDGQNNTLYAQLCTNYEDGPDSDIANDAEDTWRDVW ISEVKTRVNDNLIDASLSKSQIIYLMDQCPFNTIANVNGTISSFCGLFNEMEWENYNY YRSLSKWYADLQGNPLGPTQGVGFAAELIARMTNNRNYVTDANSYTSINHTLDYFSTT FPLGPDLVHPLYADFSHDDDLGSIFAALGLYNSTANLSNITLETTTQTNGYSAGWTVP FAARAYFEKMVCLSEVEELVRVVINDRVVPLQNCDADSLGRCTLSKFVASQSFVTGGG LWGQCFD LY89DRAFT_227160 MSTPLEDKEVPRKLRKAFEKEKKKARARALHSQPLRKINTQGLQ YLRKSLGLLSDSQKVDTLDAVFIAIDFEYSNFSAKTGRIRLREVGISTLDTRDTRYKE PGKIISSQHYRTVMDTKEFLFGVSIDTTQDDLVSLLKHLLYPENNSGKQPRQLILVGH GFSFEIQVLRGLGINLALAPIVENILDTHYLGIEVFGQDFSLSRLTRQLGLEGSHFHN VGNDAKFSLRAMLLLATHNLLEAESSQQSRSEIYERIARF LY89DRAFT_758255 MCDPVNELALLTLGTKVIKSSDGLSKSKKRKERLKRKRDLKQQA AQQEVRTQLALLKKEKEDAQQPFRFLDLPYDIRLMIYNILYVRPVWIRPAVNFYRHRP SAALTVKYSVYRYQWGCQPQELPQTSDRDLPTREGERRAVAISWSDDPTPAQLKALEN GATWLPDTKIASNDRSNISNDVSYGTIRISHQQLLYISGFFGVRLLRTCKQLREEGTQ ILYGDNKFCFDFDLRNRYSSTRHPQNSDHIPGFSDDEGRKPSEEEINRDLDRLFDKTC HHSAFVWRDPLLHFLTRIGPYNARILKSIKLSGSFKTLFPMYRLGCFEDRLPIYTTVL SRVCSNLCRLYIEADIPSEIFGPGTLSWCIIRHDTNLELTELSDHEMLYNIIGTLVED LPQLTKLHLGWSGDETKDMVWDHTQRSYEESLWGDALEWVDIVAERAERGGVVLDSNV KPVETPRISTMIQEKEEENVGTGEAKQPATSSQQPLLEGS LY89DRAFT_758257 MVSLRSFLVASMSVAAAIATPYELATRDTIVTTSTTGTAGGYYY SCYIESGSGVSMDIGTGTYKLTWTTAAEDVVAGIGWSTGAVRTISYTGSLSASGDSLL ALYGWTTSPLVEYYVIETYGTYNPGSAGTLKGTVTSDGSVYDIYEVVRTDAPSIQGTA TFNQYLSIRQTERTSGTITLATHFNAWAALGMDLGTFNYQIMATEGYESAGSSSITIT LY89DRAFT_738983 MALVASAAAGPLKQRATCPSIHVFGARETTASAGYGSSITVVDD ILNGYSGSTAEAINYPACGGQSSCGGDSYSQSVAAGVSAAVTAVNNYAAECPSTQLVL VGYSQGSEIFDVALCGGGDPNQGITNTAVLFSSAALANIKAAIFMGDPLYHYGLSYDV GTCTAGGFDARPSGFTCSSAAKIQSYCDATDPYCCNGSDAATHEGYGAEYGSAAYSFV KSKLTDLGK LY89DRAFT_700195 MTSAQTNTVVGPNVLIVGAGITGLLIAQGLEKNFLEQIRRREWT MGIHWSIPIIEKLLPTDLSNRLFEAQCNPELVTDLYTFIELHNSETGDVLKTISTPNL KRVSRKKLRTLCADGLEILWGKSLEDIIHESDGEGVSAHFSDGSTYHGNILVGADGPK SKVRKLLLGADKAKTTPMDLIYNMSIVKYGDAKKALYVISGHPQNSFGYNPNGVFSFL AVQDMPDLNNPETWAFQVGTSWLGQRDPKLSNEERLAMVRRAASQLSEPFRSANLWMP DDTIVNTDPISYWVSIPFGDHQGRVTLCGDAAHPLPPHRGQGLQHCILDASNLVSAVA KIKREQGNKESLIADYTEEMIERGAEEVKLSVQTALTVHDWAVFMESPLMKHGITKVS LY89DRAFT_758259 MLPTLKLLSKHRAAVGVAAVASTAFALFGYDTTIAGGVIALKSF QVEFKLSTNAVKSADISSNVVALLNSGAFFGALAPALLSRYIGRKVLMTIAACFMLLG GTLQTSAQPPSISMIYGGRVISGFGVGIVSSLIPMYIAETAPKQLRGLLMSFTELSLV LGSMVAYWTVYGCSLHLKPTSKQWRVPLSLQVVLGAIILSGSFIIVESPRWLGKQDQW DEAAKALQWLRGASSSEEVRVELAEIRAQIEEEIKATSGRSIKELFQRQNLFRLFWAC GIMVLAVGTGQTAILYYAPTVFKQIGFTGQNPGLLASGVFTVVKVVATILFLTLVVQN FKRKHLFLFGSALMAIMLFTLGALLKTHPPITGHAANNSSSGRTMMATIYIYIIGFTV SWGPLSWVYVGEIFPTRIRDYGMAIAVMVIWFFNFVISKWTPLIFLNLTWKTWMLFGS FNAIGFIFAIFLPETKGLSLEEMDVLFGLVDESTRRLDIEEHLGVITDTTMIGMEKGT LY89DRAFT_758265 MPPQPVGHCLLWALLHITILFSQIVHATPTVSLIESLQPTRTRH VLEARGSVTFPSYQANPSTILWPPNCATTDPDACLGSNSVKSCTVKYCSKMVALTCWN HVYAIDFECLCGSMSSTTCPSCINGPSDVNKQLYFAWLAAYCYLDPGWSGLPASWNTN SSSWNTFQVGGIGSADFENYETSNPFTSQFITDNHYYEVSFFVPTCASGCDFLNSRWS GTYIDGDVVTGLAGANLLPDNYSIGGAPQSDYLYVDLTAVCTGWSWSDLQNSCNGVCG SPTGLTGLLVWLNSKCGDVADFAGMPSNWQDSLSFPNDTYPAQGSFPSWPSCLSSIND PGCQLSSIESNCTVTVCATGSVDQSGNCDQVPAINLTCFCPQINYETSCTNECALSWQ RASRLNWINDTCSVLGSGTSLPSNWTSLLLIQRSEILPWGWNISSSAIPQSQCPAAWK SLVAFLVVNLFMLLLIPILGRRTVVKKITFGFFGGIESRHWYYTGPLAVGLHLASNAV NASIIKGVPGYENTSIGHFTLLWCTRPRLAWLVVALLPYQAEKSMYFSATASILFSEV VLQLIGSYSMGKAANYARIQEFLLQGHLAGSHFAKEAAVMYGGSLLWLTAVPFAIAAC IWTIFGVSERINRFSEYWIWTRKCTRDNCAIVSTQIQFIRTARSKVKPPREGVGWQFA DIQQSLLVSIDAVIEKWGKLNETWKNLPQEIRREQNRRLAAKKREERAKDLLEGTRQG NARWTQRSQAYDALRLERRDLETNWFSNPETKQRQAQTKKAAARDSIVIVRAHKDAIQ TAIVACEQRILVFENAIARIEARIAAANHQLMHIKQRLRNLKWKWPCIHADDDDEVLQ LNSQHDSISRQCQKDMAQLDAMRSDPQLVAEKTRAGDLQSVLDIWEALCLSKENLMHN WDANVQQWELIAEKRREQDKKENLIRFPVAIVTGMLLCWIAQWLWWAGYVEVAGDRYC PPKLSTIASVWTVFAATGSMVGASF LY89DRAFT_786461 MPIVCFEGRCSNTKMASPILFFGDGSLCLHPSYKRLLLSRKDDS LLSTFLTRARLVLQCEMTKIPLPDRKGMPDLAELEALIQEGSAPLDHQCLAPAMLVII QLGQFISYYEARPEEPYPSSEVAITVGLCVGQLSAIAVSQARSLVELIPLAVESVRIA FRTGMTATIIRDELEQQSSASETWAMTVPTEVGYDLLDTIHKETATLERKKAYVSASF RKAVTIQGPPTTLDNIDSWLSSNRPKTFRQRLPIYTPYHAPHLYSQENVSKVLEGSEA IYSSVEWTGTAPRLISPVTGKYYSDSSRRSMLESVLYDVLKEPIQWADVSSGCAQYVA ATSISNWVIRPFGPTLVAGSLASGLKIEAKVEAAIDDFFNPNNPDKMATPAREPIAIV GMAGRFPEAMSHDELWKLLEDGIDACKVIPADRFDADIYVSKDGPAKNPAGTPYGCFM KQPGLFDARLFNMSPREAEQTDPQQRLILTTAYEALEMSGFVPNRTPSTQLERIGTYY GQTGDEYREINAAQDIQTYYISGNDRAFGPGRINHYFKFGGPSMSVDTACSSSAVALN VACSAIWANDCSTALVGGMMLLTSPDNFCGLSRGHFLNTTGNCKTFDDKADGYCRGEA VATVVIKRLSDAKADNDNILAVILGAGTNYSAASASITHPHGPTQEVLYKKLLNEAGL HPFDIDFVELHGTGTQAGDAAEMSSVSNVFAPATPQRPVETPLWLSSVKANIGHGESS SGVAALIKTLLVLREEKVPKHVGIKSGIMNHTFPDFEQRRIKVAFGGHGSFPRTKERK RRALVNNFGAAGGNTALLIEEAPEKAATEIVDTRTEHVINVAAKTLTSIKNNIKNLIE YLEKEPATNLSDLSYTTTARRMQQPMKVSVTASSVSELKDRLAAALASESFKQSAKTS NIIFTFTGQGSLYLPLAKDLYVSSQQFQSDINRFNQICLDQGFPSFLSVIDGSISDME LLSPAQTQLAICAVQMSLYRLWSSWGVNPTAVIGHSLGEYAALFASGIVSANDTLFLV GLRACILEATCTQKTHCMLSIHLTMANIEKHLGNRLEKLEVACINGPEDIVLSGAADL VKDAQDLLKAAGVKCTTLNTPYAFHSAQVDPILNDFEAAAASVKFMKPRIPLLSPLLA TVIRDASVVGPSYLRRHAREAVNFHQTLLQAESASLANKETVWLELGPNPVNLGMVRS TLGTQVRAMPSLRKNENAWTTCAKAMSFFYTAGVEVNFNEYHRDFAACQNLLTLPSYA FDEKNYWLEYKNDWLLLKDGAAAPSRITEIKAEPAITTSVQRLVSKDVKGSTASLVFE TDLSEPHMHNIIAGHSLNGLAMCPAGVYADIALTIGDYIRRELKVNVDTSAINVADME ILGSIVVPVPRVATPQVLRISATANLDTGRIDLEFGTYAPETNKTSAKAHCHVDYGSE KGWLQQWSKSAWLVQKRIDELERGVNNGTVDKITKKMAYQLFSSLVVYGTQYQGMQEV LIDAEELEATATLKLCQDTNVGDFFASPLWIDNLAQLSGFVMNAIGIVDPKVAVYISH GWGSMQVVGPLDANKPYRVHVKMQPLDKSIMAGDVTVFQGETVIGVLGDVKFQRVPKS LLDTMLGGSPKAAHSNVKAIAAAAPATKKAPAPKAAPKLAPKRAPVQNSPMTKILELM AEEIGIPAAEMTDDSVFEEMGVDSLLSLTILSKIREALGMDLSPSTFQECQTVGDLRR SLGDASDSSSSSNSSSSDDDYDIVSETSLESGIQTPALIDEPCTNNAMVDVLHAVIAE EVGVDVEELIAIDDLSSLGVDSLMSLTIVAALREKLGMDIPSSLLEECTSLKSMKESL NLGPSPEPAAKPISSPKKSVANSMLLQGQPTTTSQTLFLFPDGSGSATSYASLPLISP STSIIAINSPFLKTPDTYTVSLESASALMVTELQARQPHGPYLLAGWSAGGMYAYEAA RLLISQGETVSKLILIDSPCRTDYGPMPHDVLEYVSKSGVISGQATGTAPQWLVDHFQ GTIRAVREYTPKPFEEGKGPEQTFCVWAKKGVFEDWPKAELAGLDLTDAVASWLLKPK TDPGSQGWEKLLGRGIRCVSVEGNHFSMVHPPNCKSLSRAIGDALEDDEGKRVGKWRI A LY89DRAFT_227217 MHEWHFSSSNTPIPTLPDCQETNSHKPLGTISPLVLLCLLPHSR ESLSWSAEVEMPFSHRDFSTPLFRNFEIVQLSTSLQLFRRKTTDSFLILRTLVQRI LY89DRAFT_227321 MIENQIQSYVKINVYLTIPSPCISHKVCLFRVRLHDTTNQTLTN FHLPTLAVSQFTLSSHRTAKPRVLVKTRNSNRAVMMPPHRCIRVVHGEPMVRDGCCGT GSVYRGEDFPLEFPWSVRSFMLFVCAAMMVLFGLFLMFGVWVTERKVE LY89DRAFT_227318 MSSSWTSDPQPQVSSEQRAKKLRHACNSCHRAKVKCSRGTPCAG CAASGDRCVYSESNRAGRPRGTKNKRTLDLMNANAASNSSERSRSRTPSDPQPPMSSA MNSGMSTPALMSGMPTPNISPEMVNNLSFLDSRDAFWTFGGPGTGFDDFPTDALLDAS SALNTSTSHMFGNDPILSHDFDKNPLGLFSHTDLTVDYFSLPLTPSSTSSPSSNSSKC SCLNHHAKLLVRLKTLWQATTPPPIDVVLDGVKQVLEPWKQFIQCHICQPDEDQEALL LSAMSFRVVLRLLQRVCNRSTEFDAFFDDGTAGLRVGNYDITGDEQNLVLDLLVSQTL GKIQFAIECLKERSTQQKLGGLDIQMHGAGADNREGTPDADYVQQLLGNLESTVQLLH RGLKSNNLMSMDGMGV LY89DRAFT_227358 MILQPFTCLAALASLSAAAILPKDVNSSFCSGTSTEKGLTPGCQ HGPQSRQCWGRYDINTNWYDVTPYTGVTREYWLEAVNITASPDGFEQYVLAFNGTIPG PTIFADWGDDVIVHVTNKMPNNGTSIHWHGVRQYLNNENDGVPGVTQCPIAPGETYTY KWRATQYGSSWYHSHFSFQYSMGLQGAIIINGPATADYDEDLGALFMQDWAHIDPFNE WWWDRPTNGPPAQQSALINGTNVFNCPTNGTSPRCLGTGKRFEMNFESGKKYRLRIIN TGLYSNFRFAIDGHQLTVIGMDFVPLVPYTTDNVIISMGQRYDIIIEANAPPADYWLR PIWQRSCCDNDVWNNTLGIVRYNSRSTALPTSTNPATLYPDACDDEPYYKLSPWVPLS VPAPKNTWDVKPLNLYYEFVPMPAGFLFTLNNSYIWVNFSQPTNLLLTDGVPESNFTN TGYNPVKGFTDYSAFPITVVDDWVYFALQDISHRNRSHPMHLHGHDYYVLAQGSGNFT NLGELNLVNPPRRDVATLPSNGHMVMAFKTDNPGSWLMHCHIAAHSSEGLGLQFIERE KEIVGTFKAESQLNETCRAWGEYWAKDQIYVQEDSGI LY89DRAFT_227416 MKSLVSKNKVNQNPRKIQNNPQNKYFVHRRAESQSLKKLFECWF FASSTALRYYLSILNTDSSAPKSNSAIPNQLPLGLFYNPRQSEYASRHNPRQIVIYGA DMYRDDSRDQFSGDVGPDFGILSIHPSIHPSSSPQMSKFQVLLSQVMEWNVM LY89DRAFT_227411 MTHTAAKIGVIICSSRKPRACPQITDFIISTINSLPLRGDRINN TILTPIDLAEWNLPMFDESDVPSQVHDPSKYDHAHTRAWAAEVLKYDAFIFVVPQYNW GYPAVVKNAIDYLYHEWKGKAALVVSYGGHGGGKCNAQLRQVLCGVNMIPTQTSVELS FPGREFTVKAARGKNLELDGSGKPGTTWGGQEREQIAKAYNELLKIAGANVSESQE LY89DRAFT_654212 MPPRILACVLCQQRKVRCDRKSPCANCIKAQVECIPSTLQPRRR RRQFPESDLLERLRRYEDLLRQKGVEVEPLTHPRLGVNSAQSPHDAHDASDSEGDDEK VRKTSSVGLDKPSPGETEASQEWPGGRKTFWRAINREFRATRDSEYDSDEFEEATIEK SWDQMYDNNDHILFGSGMEPKNLTLLHPDPVHIFRLWHVYLDNVNPLLKITHSPTVQQ QIIEASSNLSEIDPALEAMMFGIYSTAILSMADDDCFNIFGQDRETLILRYRNGCQQA LINVSFLRTTNLRVLGALFLYLLSIRQNIDPRSLSAMLGMAARIAQRMGLHSEAHNKE HPPFEAEMRRRLWWQIVLLDGRIGEMAGSKDSTMNPAWDCSLPLNVNDSDLYPHIKEP PAPRDGATECLFIMLRCEIADWIRHAPFYLDFCSPFLKPLARQPGPGQPKTLDEVEEM IEAKYLKHCDLQIPLHFGSSCLSRSFVGKWRLGECIAQHHNPETGIQQPHRNKMTDNA IRMIELDTLVRTCPLTKGFIWFADYHFPFPAYVALVQELRRSTIGPLVDRAWEAIRIN FDERKLATLSIRSVLFRHMFGLLIKAWEAREAALESVDGQPLHLTEPQFITDIRAKTG KEKRVDEALQGLSLTTPPPLSFVQTPEMGMDVNSDFFMGGGQAFDALAMGGVEPMGMW NMDFNMMGEFDGGFGNNLFPGMQDLGTGALGSW LY89DRAFT_654216 MESSRESSQEREKLERNAPIVADVASSSEDIAAKYEQAEQNYQP KSFKFWTILIGLYLAVFIVALDRTIIATAIPAITDEFHSLQDVGWYASAYMLTGASSN LVFGKIYKYYSTKWSFLASIFVFEVGSALCGAAPNSIALIVGRAIAGLGSSGIFSGGL MIMVPLIPLRKRPIYYALFGIAFGVSSVLGPVLGGLFTDKATWRWCFYINLPIGAITV LAVLFFLRIDSAHEKVSLKEKLIQLDPIGTFFLIPSVVSLILALQWGGTTYAWSSAKV IGLLVCFATLFILFWLVQIYLPRTATVKISIITQRSIAFGAIFMFALTGSLFIIVYYL PIWFQVVKGDSAVHSGISTLPLVLGLVVFSLVSGKATQKLGYYVPFMLASPLLTTVGA ALLYTLAPASNHEKWIGYQVLYGLGTGAAMQLPMLAVQAVLPAADISSGMALMFFAQQ LGGAIFVAVGQNIFSSRVVSQLTGVPGIDAQQIVHLGATQLREVVPAQDLGVVVQAFN YGCVGTFLVAVGLSGATLLAAAGMEWKNINEKEEKDGVEAEMRFE LY89DRAFT_738994 MELDKFHKFPELPVELRREIWKLTPLHSRTIEIGVDFAGPDELS GLRFSSFTDNPGTLSANKESREITLPFYQIILGGDHTVRRGTLYCNFDKDIVSFFGED FEPLEGGAVFQPFYTYRGRVQKISWIARERFEKIQKVIINNMLWSPHQTNLFQPRGPN LSYGTRTFQKGLFFGHFPELREVTLVARTGREGCGCLYNPRFQREALEGKITRMIIER IGRDFLSSMLQTEVFDKREVLVTGFEYTWRMVSTLTAAEW LY89DRAFT_674146 MDTSSANLAVLQSLPDPNLQLYDQHLTATWLGSFSELPAEVRIR IWELSFSRRQVHMIPKSPRRSDHIRRKQPSIETLRCARQQPAAFCVNAESRAVALENH VKIADDANAPNSGATYVHKELDRLVIYMGTTSSMLTDEYNLASPGPALRDLLPKFKRV ELVFES LY89DRAFT_722692 MLRNLSWRLGDLVEQCEAQPCRHNNFDYLCLITWLQEQATCQLC KSDVHEVRYELGEDGRQGKVYKLTGRSGPETSSDRAEERLSRSVYEDETIQRQRFVYR LDLYSLHVGPNRRQPAASRYRELSPQLFMTDPELVSRARMWPRRELRVFKILYADGDS LDDHDPIRRRRAGNAEFLLKYTVAILKTMDFFLIPKSQSSVEQLYLPWILFGQTLPN LY89DRAFT_674149 MYFATVLALAAAAVSASPVERRAQTVAVPLKHVSNFTSIKNVVS KGKARLNAINGINSVGVTAAVSSGSVTNEDVTYVAPVVIGGKTWELIVDTGSSNTWCG AQTSCEKTSTGKSTGGSVEVSYGSGSFSGTEYTDTVTFAGLTVTKQSIGAASQASGFD GVDGIIGFGPVDLTEDTVSNANTVPTFMDNLYSQGSISTEVLGVSFRPESGSDTDDTN GELTLGGTDSTKYSGTLTYFPKLTSGDASAYWGIAVAEFSIGSTNLGTASGIVDTGTT LIYIPTSAYNKFLSATGGKTDSSSGLAEFSTAPTATFGIKFGSTTYTLTPSQYLVPTA QYSYYGLTSGKYYAWINDGGSSGVDCIIGQKFLEQYYAVFDTTNSRIGFATGV LY89DRAFT_227657 MNERPPHETEHQRVSGNGQAGAPIKQEAVFTVFAALPTEIRLQI WNQSLTPRMVKWIRKNERNVFSAPTRSLPLFEVCRESREASILYASYKKLPGSSGYIW FSSLIDYLFFNPGWIGLVDPAHVTPLADPLDSLLPELENVRNIIVHASYTDDRKKPIT MFEKFSSLEQILVAGEERSIGTQSKFMLGTVYDIKLYYVAMVKKRKPDVRIPYIAIGC LGWTGNERRQMHHGSGDNRQLLAVFDNQSQMMTHLSSVREEEWRFIQERRSQARLTLH LRRRDDPRGTRQPSSTGQNTYPTTPDLPSYDDTIFPNGHPNSDSEDSPVENKKPKKQS RWHQVKRWSRKLLRA LY89DRAFT_227519 MATENTKTVPTTFHRFSELPAELRIEIFQLSYIPRRIKLRKHSS RPKLAPRSSCALLLVSHEAHSIFIESYTRCLYEHGLPSQYINFAIDTLCINSGDKGLR NLIKQYPKTMEKVQWLDVRPSDRTGRVDWTACKVQDMTSLRLLTLRWSDLEGELVSGY FFSEPLVETIFGLRRAFLARQSETGQALPVIAALCGPGEQTRGSVIRGAGDLGLALKA PSAVTWERFEVDMSPVWRKNWPGREKYTSRKWLAEEFVSA LY89DRAFT_739000 MSAQDSQAGVWTQQPSSTANQMSTKDQEIVEGMEKWKNIREQRR AEQGLPVEEVEVEDAKKEEKEKRASDKAACWLCCFHCSTCC LY89DRAFT_654225 MPYEHGRHYDLVVFGATGYTGKLTAEHIANHLPTDLRWAIAGRS RDKLEKFSDELRKLNPDRLQPDIEVVALTEEDLNTLAKKTFILITTVGPYGLYGEYAF KACAENGTHYLDSTGEVTFTASMIKKYDAVAKESGSMLFPQLGLESSPADLITWSLAK YNRMEFRAKTRDVVVSVHRLDSVPSGGTVSSLLSIFDVCSLEEVHTAMKPYALSPVPN PNQATAPIFPGTKTIPHLGRVTTFLSGAMDRAIVYRTWGLLSQIHSKQEESYGPDFSF EEYTKTGNWFSGRMVHYGTAMTALLLAKSSSMRKLVKRLVYKPGEGPSMEQAKDDIIE YRALATPDRPTEEVQAYCQATYHGSMYSLTGAFLAQAAATVHDGSLNLGGGIYTPACL GKGFVDRLDNVGFKIETRSVSI LY89DRAFT_722697 MSNKEIVAMERTFTVFGLLPTELRLRIWEFAIPGPRTICLNLVP CKDETRHMAIKDEGHDTLDAPELRDGETAASGSADPRPTQEDESDSESDYEESIRSPF VTEVAFRSDCSPPSLLSVCQESRAVASKHYNKTFATPYAPGNTYFDFDRDTIYVRYNT VSPNGANYPAFIKEMFRVREGGLATISRVAILVRLDEPLSARGGCSLELLVSDILLRV FPRLEKLTIVLAEWAADQDDSSSVRFMDPIEYEERILNNGLFPPEHVSGQMQEELDWN CLYYAKIRPEVLSHIISQKMTASDIPPLMPSIDYKLAFKDSEEEYIHSVRERLRKIWG KGRNLEFKKKMVIIEKQMGAPGLSLAEVF LY89DRAFT_688782 MPPPAAPAVAGAQAQTESGMSPMIKSAIQGLAIFFGMQFIMGQF MGNKGATTTTTDASGAVVTVPANTAAIPPFMSRPNHLDEGAAYNPIPQRLAPIWPLDS SLDITIVVSPTFVSEPLAKVPKDRIVVEENGFKFGNYDENRVIDTSFEVPKEVQNNGT LWGHFYIALAGSSIDPSVQGYDPTKAYHFVHPLTQYIAQKKIKKTKNLLAAANETETE VEEVPTGPIIKSHYHPNFTMSFVPDAGVMLFPTLQPAVRQYVHPEATGARDATGQNGW YYPVLFVNTFWQLRTHMMPLNSTVTTLPLHINLNHLANWKFSLIASVDEGVKQTARNA ANGQMQAGGGDGTEFEMIKEVLLDTNIYLLGTTVVVSILHMIFEMLAFKSDISHYRNK KNNVGISVRSILANVFMQAVIFLYLMDNNENTSWMILFSQGMGIVLELWKITTVVNVR IRDAPNSFIPYRIAFEDTHTLSDVEEKTKEYDEIAFKYLYMIAVPLLLAYAGYSLKYE THKSWYSFVITTLVGSVYAYGFLMMVPSLYINYRLKSVAHMPGRTMMYKTLNTFIDDL FAFTVKMPLLHRLATLRDDVIFFVYLYQSYKYKVDYTRVNEFGQGGDEEQIEEKVAAR PLAAPAGADASVAVRKEGAQKAQKVLDNVAEKASGSSKKGNATKRK LY89DRAFT_227770 MASGGASPAAKPTDLSTPLHGLSKLNPNHVLSIASDENATPSNG SPTVKVCVFCGSSAGKSPAHMEAARSLAKVFHENNISLVYGGGTVGLMGEVARTLVSL SGPTSVHGIIPAPLVKYERGPDSTIANDPSFLPDYNTYGKTTVVKDMHTRKQMMAQEV LAGGQGSGFVALSGGYGTLEELMEVVTWNQLGIHNRGVVIYNVEGYWDGLLNWVKGSV EAGFVGEGTKGIIKEAKTGQECVEGLKDYKVAEGRFKLEWGNE LY89DRAFT_594492 MFRNNYDNDSVTFSPQGRIFQVEYASEAVKQGSVVVGIVSKTHA VLVALKRNAEELSSYQKKVIAIDEHLGLALAGLASDARVLSNFMKQQSLSSRLTYGRA IPLERLVSSIGDRAQTNTQHYGKRPYGVGLLVAGVDETGPHLFEFQPSGMTQEMVACA IGARSQMARTYLERNLEKFADAGREELVKHGLAALKESLAQDKELTVENCSVGVVGVR AEGAKAIEAFKLYDGMEVKQWVDLVAEGNETAEGGMEVDS LY89DRAFT_688785 MTAGLKTIISLSFVLAIGFLLVILSCALFHQYLPLLVVATYVLA PLPNWICGRCANPDDFVESSGNAVVDFGRFCTGFLVLMGVALPVLLAHSAIIRWDACV MSIIGGGLIYGTIIAFSMFFEEEQEF LY89DRAFT_624960 MLIPKPLILFIDAYDSFSNNIISLLETTLDVSVRTIKIDNPALA TDAGLHEELRHYVAVICGPGPGHPAKEEDVGLIRRIWKLSENELLPVLGICLGFQSLC LEFGAKVRRLKGPQHGIVRRIQHAGENKVEGQQSIFHNVGEIAATLYQSLCVDIGQDS VPNGQWEVQKWKPSTRCPDLQPLAWVEHDLSIANDAGVEDARVLVAVQHVSKPFWALQ YHPESICTNPESTKVLEQWFFHATCWNNLHRKRRLSFDGPIQGRTAVRESLLSQHEKT RLEKLDGHMGLTSIVPKIHAVNDLVCHSLVVPIPANVSVVEISEIVQGDRGDRIVFES SNAHEKSVGSADVRGKYSIIGLEIDDCLRYEYTSGENSLRSICRKPGDQYSQILDIDP YGGIWPFLAKHLDRRQVLNVVPAEVGKESPFWGGFMGYTTYELGLQSIGVIPVKTGAS NVGRPDLSFAWVTRSMVIDHVKNIIYLQELAPNSDASKSASWQNRIRSLLQNALPPVS NFLLNGTMVHIRNMARLPAKFTQRNPFQSTLRNTSSESVLSITTPEDADYEQKVHKCQ ESIRSGDSYEICLTDQTLVTLRKSRSISSWDLYKTLRSRQPAPFASYIRLGSATLVSA SPERFLKYSAEGKCELRPMKGTVRKSAEVSTLAQATALLDIPKEKAENLMIVDLVRHD LHGVCGSGNVAVPRLMVVEEYKSVFQMISIVQGQIPQAVDPDLKWHMREKEVEEAMRK RYTGLDVLAASLPPGSMTGAPKKRSCEILQEIEGGKDRSLYSGVVGYMDVAGRGDWSV TIRCMFKWDDEDGVEIFETGEKIETETWHIGAGGAVTALSTARGEREEMLTKLSGTLG LFRQ LY89DRAFT_739008 MRYTLAAAFMWPWIVIAGSLTDIKHVVFLMQSGRSFDHYFGTMS GVKGIVTPGQSSTEGVPAGSSILPWPASYLGGNWTDVAQCMIGGYDGWSQSHQVWDNG ANDDWASANTPNSMAYFTRDDIPTHFGIAEAYTVGDMYQQAVMSSIDPNRVFFFSGSA GIPGGPQQSDEGGPVLQDSAGWPGCGHTDPNLDCWPLKWHPIMKHLQDANVTWQVYQD TPYAHGQQILAGFEDFNITAPGDPMYNRGLAVNESNSFITFIDQAMNGTLPQETLTGK DAGGWFDHVPPTHSPNGTAGEWMQDPYGGAGYTPAGPGQVMFLEKWLQALGYNVTTSE INPWRRQYMSNLINAFDFNNPNYSVPNPPWPATPPNDTVLSSFCGQYPDRNPLIPINE TRSSALATEEGFKQVRGNLTEGRYLVFEQNGYALANTGGAEMTTMVATPDHELIVQQW VVEQYEAFVPNFKFYSVVDKRYVENVVIEYLGADVGYSLFCDGLYWGIENNETAGIMQ FSSKPAGFNIYSVTYGNSAWT LY89DRAFT_688788 MEFKPTHATVENEGCNLHYYFYGTGPLITFIPGGNGHGLQYNAI MELMSPTFTCVTFDRRQMSNSQVEKSKPLSHPQQARDVAAIIKAMGFSKSIIFGSSLG GVIAFQFGIDFPDMVDRIVCHEAPTSSLLPNSTEVYNKLYTCEQLYRTVGIQAAQQEF RKFFIGYDDVGVPPTRSDPRNEPSFWEYEFWTASIYTPDLRKLVKNGTSIAVMAGERS KDAWFSVATEEQAAILGCERVVVPGHHQGFEAETELFLPPFLSLLERMELEKKEKTLE K LY89DRAFT_654244 MTILQVLGTTLILASLLTIVEGLRRIFFHPLAHIPGPKLAALTW WYEFYFDVIHQGRYVFQIQELHKKYGPIIRVTPDEIHVNDVGFLDTVYAPSMSYRDKY EYQLRTLRVSGGVGTTVSHEMHRKRREALSPFFSRRNVLYLEPLITRKVEQLCQVIGK HAVEKIPANLSDLFFAFSNDVVTNFLFAHQSDLLSDEGKVATLRENSNSLLMGININK HFPWLPDFLESLPLSISKSIMPPGLIDMLSLFDLMREELLRIMKAKSSKVHDEKPLGP TGKESVYASVLDSPVLPPSEKALLRLEQEGALLVLAGGESPAKTLNVIFCHLLSNLAI LSRLRTELATTSDDASWNRLEQLPYLSAVIEEGNRLSFGVTARAARIAQDTLTYKPSQ YVTFPVKAGTSYTIPPGTPISITTLSSQTAETVFPDPFAFYPGRWLGEEGRERRKFQM AFGKGGRKCLGIELARAELYLVVFALIKKFDMTLWQTDESDVAFVHDFQVAMPKMESK GVRVMAELI LY89DRAFT_674160 MNFRSLEVFIKCLHMLSYRFLNGRGSYNAIKIFPTVEKVCKEKN APLLLTSIYDVEGNMLRNSNFAKRAHEMYSKALEVLLTSDSSQDRDRMLARSYSTLGN SSLAMNDVAKSLHYHQQGLLLQEKLTALHNELVFGNTLALAYINASWAYWKSGQLDKG SEIVEKALKLIDNLNKAPNKVWARRSWGLRVLGNIRIAQKRDAEGFEIHQEAFKIQIN HFGYEHHDTGDLCYKLSYHYHHFSNTPEAIKYLKAALNVYK LY89DRAFT_700217 MIATFNASDSAAEAELGDLPPRPVTVLNVVDREIPDSTWLKAKK SQMLADDDVFNEEFFKCIILSNALQLPTGISRSEVRLEDGSKSTIHEVRTGGSVRNGP YFAIGSALFQVFKLYDDPQDAFMFGVQVDEDQPESFIQYFESVIPVPSRLYFDPPNLK HPLSGKRFAVKDVYDMKGVPTSASCRDYQSFIGKADVTAEMILRLTRAGAVIVGKTKT AQFACGENAPDWVDYSCPFNPRGDGYMDPDGSSTGSGAELAAYEWLDHSIGTDTLGSM VGPSASNGVFGIRPTHGISNLQGVFPVSRLLDTAGCFSRSISDLKTVGLSWYEGQIEN PRFQSPLRVLYAPEEFNKFSEPKRLIMDAFIEDLETVLKISKTDFSIASTWDEEAPPS CTRPIQKFLATTLAHIQLYDCYHNNAKWRNSFKETRGREPYVNPIVRFKWGLGANLTP QDYEKACSERETYRTFLREEVFNESTVLVLPCGSPIPRYRDVYDRPPAESGYNLQGFG FLRDLYGFLGGLPLLIIPVGQALVESSVTKEMVSQPVCISMVGAPGTDGQLINLAEHI IKESGRPLQVKVGRTLFEV LY89DRAFT_710102 MAWGILESNRLEHVPGTSLLEDDAVGGSVVSSTLKRATGKQQHI VLVPQPSNDLNDPLRWPLWQRDLMLLMYLYVTLLSVGGIGPLLSASALILVEDFNITF TEVSLLTGYQLCAVGGIGIFISALCHKYGKRPGFLFSITVAFVGTVWGGAAQSYGSLL GARVVQGLGTAMWESVMYSIIGDLYHVHERGIRVAALTIAISGLANLPALLSGVITER LGWRWMFWMLAIFLGIGVFLLVIFGWETAYNRAPIYNIDTSSNNNIDLLSAMKENHSY VENVEPSVDTVEKAQVGEDAPKPRNPFAKRLLPFSGVYSPDSILLMLLRPFTILANPA VIWSTLFLSITTAWFVVISFIIAQIFSAPPYLLDSAHIGYMSAGPCIGGILGSVVSGL MSDPLVIGLAKRNRGVYEPEFRLILLLPFLVTASIGFFCFGNFVERGYSPVVMTVIWG IALASQQFMSVAVGTYIIDAYRDISVEVFIIGMVVKNFLFFGLSFGVNDWVTRWGSAR VFDTIGGIEVALFFLSIPIWVWGKQWRAYFHFKHIS LY89DRAFT_688793 MSKRVAIVTGAASGIGLAFTKHLLSRGHRVVMADFNALEGEKQS AELGEDTLFIHTDVASYAGQAALFKAAFEWGGRRLDVLCANAGIADSQNIYEVGSPVK EDGTVEPLSLQTIEVDLNAPIQGVWLFKHYARQNAVPGGKVIITSSAAGFYPMSTNPL YTAAKHGLVGFTRSCGEDSPFAKESITVNCICPAFVPTNLCPPHMLALFPKEHITPMT TVTKALDTFLDTASMTGQCVELSLDQLYFRKMIDYPNASQRWLGQESKKFWEDAY LY89DRAFT_688794 MPEEVGAENYQDIFHWAETQKDGAIPSFAVRKNDPYEYLSGFNN SFESEAIPGTIPQGQNSPRCVRFGLYTEQMTASAFVAPRHSNKKSWLYRVRPAVAHQG FTDMPDNPDTEANFLPLNPRVHVSPQQVAWLPFEIPAGSDVDFVSGLKTVAGSGDPSL REGIATHVYMANKSMDKRAFVNSDGDFLIVPQQGSLDIQTEFGPLFVQPGEIVVIQRG QRFRVELPDGPSRGYILEIWGANFELPELGPLGANGLANARDFLHPKAQYEVKKEKWE VVYKLSGKFFYSTQYHSPFDVVAWHGNYVPYKYDLTKFVNIGSISVDHIDPSIFCVLT AKSRDPIAPLADFLIFSPRWDVASHTYRPPYYHRNVASELMGLIYGEYGGRSDEFQPG GVSFECGMVPHGVAYAEFKEASASPPPLMQISKGAIAIMFESSRPFTITDWAWSSDKK HEHDPKMWDDLVDNFSTHAKEVEQMLQNETSQALKAKNRN LY89DRAFT_228046 MVSLSQGTVMPSDHDSPVGLEGHGYEQTNDGGTARSQDADGLQP IQLACRQASRNKYVCSICGQAFIRGEHLRRHEQSHSKEKPYKCRRCRSKFARRDTMLR HIRNFHLDLKAAPHSVMDVDVPSPNSSPLSANTDITEASGSQLGGEHLDIGAGEGLMA VGSGYPLGPSGGNLRLGGFYGHANPQQVDKPSSMDASQDLRFSESVENNYRPSDVESA SVPARPDNFEAPQPDVSSGAYLPEESLWFPDGESNIFDINDLATMFSTNMPQSTPGRH TPLIGFLGEQSEDFFFTAPHASQSMGFSEDEEYLHAVIATTDKTYDAANSPVVTDSEV STCVEMINLFSISKLPLSKNMINRYFKAYVQYFDPHTPIVHLAAFKIDNAPVSLTLAM LTIGALYASEPDRASALYSMASECLEQAERQGALLDIHEQDPYGVVGTVQSRVLLAQY ALCSSKGTLVDAGLVHLLKAESIFRAGIPSGHSSDEWTSWIYAESFRRVELWTLVLCA AFGADGQVPVHLPSLGHIHHQLPCSQQIWTESSKDGWLTATANGAKSLHPVTFTSSLA ILIQGGPLTEEVSPFGTLVLIAGLLVYILTLERTTSLDSSNTRSHWVPSAEKSLKEWE KTWKNHSQGPAHSPSGKNEHLLADCVPLFLTACYHTYASRLLKHLKASLELKMLSDAP RLTPDAAPNGNSIRGNILPTVNGAISWKTWEQLLTPQSEIEWNDLTQAVRLAAGYILV RAKQGFRNVARTAPLEMGFHNVLSGFEGALLVSCWVFAASRERRSDPNAVLLHRIVQD VVREMDEQMCHEVQVKKYPAIASLAGLQSMMSQGWVWSLAHRRAEQLQLHETRIIAAL DQRGQTQG LY89DRAFT_654259 MASAQVEDETLQLATFLSQLAYEDLPQEVIERSKQAILNIIGCA LGSANASPRRKALAALLPGAPAERGASGAVTIWGRPERTNLEDGAILNGIAATTADYD DTHLETVIHVACTAIAAILPFAEAHHLSGKEVILAFAVAVETQCAVALAVSPSSYADG WHITGLTGSFSGAAGIAKLLRLPPNQFAAALGHASSMASGTRAMFGTDTKTLHAGQHA RNGITAAKMAKEGFASCVAPIEAWARLVSTTIDTSQIAALARGGPWQILRVSFKPYPC GIVIHPLIDACLEMYAFFSSANKTAEGPKRVEDIAKLEVVANPQLVRLCNVRHPRNGL ETIFSLYHGCAVALVHGRAGVAEFSDATAAHDAQVYAVRDLITVRIDDKMRDDEAILH FRSRPSSGGAISEKTIRIERALGSVENPLSKAFLEEKFSRQAECSLDRKFADAIIKAC WELDLVEDVSDIVKLLGATRV LY89DRAFT_674167 MPTTSPKIYSSIYPYIDAANFKNAHKGKVVLVTGAGRGIGQQIA LHFALAGAATVVLLDLVVANLAETIKLCEGHGSQALPFACNVSKQEQVNAVFNEIFSK VGDIDILINVAGVCNAKPILLETYAAIWGDIEVNLGGVIQTTMKVLPRMKARGSGCII NIASRAGTVTVPYLASYGVSKAGVIKFTESVQKDLDTDGLGDKIQLYALHPGAVQTDL SRRSMDPEVASAYPKFVANRPKWLKNFNTSVDLAAAVCVALASGRVKNSMRGRYLDCE HDLEAFTTEDAEKEIMGGNLHTLEVRFLGGLPNDGGSSANLFTFEE LY89DRAFT_739019 MFLRGIKSGGVFNPAYTYLGFPGFFVIASVLATLGLTFYRLWFE DKRLRHLPPKVPGPPIINQTFYHMQDDLATNAIKWTSEYGEIYRTRTGTTDWIWLNSG EAVKEIIDRKSSIYSSRLLNPMGFEAASGGRRVVFMPRGKQWRTIRGIIHKLLTPKAA KSYAPIQVFEAKQLSVDLLDSPQDFYMHNRRYTASLILQITYGRRLPKFECDEIRKIF EVLVRFGQIRRPGQWLVDSFPSLANFPPFDLVSNWRQFGKECHLKDSKIWMEFWTQMC QEIEAGTAPHSFGKGFVNSNWAEKGLDELQAAYVLGTMIEAGSETTSVQLNNTLVGIL SRGQEVMKAAHEELDRVVGSSRTPTFDDEKNLPYIRAMVKEVNRWRFVNKFGTNHYAT EDGWYKGYFIPKGAVVMINIWGLQYDPKRFPEPEKYEPMRYYNHKLSAAESVSAANPN LRDHWCYGGGRRICPGMHVAERSLFLNIARLMWGFDVYHAKDENGVEIPVDATFGGMI PGATAAPQPFKCDIRVRTPQHEKILRQEWMDAQAEGLKLEDINFEGAEEDVKA LY89DRAFT_688799 MHHSEPNDQEIIDASWLVGADGGRSTVRAESGIAFEGFTWPKEE FVATNVYYPFDKYGFTNRNFMIDSINWAIVAKISNDDLWRVAYGVKPGMTKDQILEEL PQRFKNFLPGPGEGYTVKQANSYRPHQRCAARFRKGHVILVGDAAHLNNPIGGLGLTT GILDAGPLARALTAVISGNAPDSLLDRWDELRRKCWHEQTNKQSIEFKRIAQQGGHGQ DPDGIWKSDDVAEKNGMTAYLVNANPDVKEKDEALYKALQVPENQRSMRHRVWGLALP ADWMAEYEDPDVVKRRGDLRPVEI LY89DRAFT_594436 MSKPVAIITGAASGIGLSLTRHLLSSGWRVAMADRNEEKGRALE KELGPDVMFMKVDVSKYDQQAALFQSAFEWGNGRLDFLAANAGIDDRQSLYEGVLNTL GPPPELNTDCIQVDMIAVLQGVWLLSHYARRNKVPGGKVVITCSGAGIYPMETNPQYT MAKHGLIGLARSAGPRFKEENVTINCILPGFVKTGLAPPEMIDRMPQEYVTPMSTVLR AYDMFLRSSKMTGQAVELSGDQLHFHSVPNYPDEISRWLHEDAKKLWSEVYAVGSAPK SS LY89DRAFT_710106 MDNEKDQIQDIGEIVEYAQDYSKVDPNATSLARENRLVRKIDFR VLPPLAIMFAISIIDRINIGSAKVLGMTKDLELTGNRYNVCLLLFFPAYILAELPSNH LLVKFRPAVWLAFIMFSWGAVLTGMAFTHNWRALAFLRFVLGLFEGGLLPGVVYIISS WYCRYEVHRRIGWTYSAGILSSAFAGVLSYALGLMGGIRNMAGWRWIYAIEGGTTMVI AIICYFCLAPFPEESCFLKAADKDLYMARLERDRGNSATELITWKVLKETLTDWTTWI TWLLFTFTNSSTYALAFFVPTILTDMGYTGLKASLFSAWPYLPTIGILWIGSYISDKT KMRIPIIIFQSILMIIGFILMRSQFTNEVRYLGVFLATIGCQCNVPAQISLAQTNAIG SGQRSIMAVVTMWGGASGGIIGSLIFRTQDAPHYTPGLYTSIAMASCIILGMLGLGSY YHLCNKRAEKGAVIYGIEGYRYSL LY89DRAFT_688802 MVHLNPNTTPAADVPVIEHAKVIIVGAGPVGLFLALKLAKASIQ VMVLEAENAVLQSPRATTYMPIVLNELEKVGLYEDVERAGFKNKEGVTYRTSNAKGGN VLARLEMSQIPKGAVKYDFAGIHLGQHSLAEILLTHCQREKNFSIKWGHRFVGVKQGG PQDPVTVNCLAIGEKFFTCDYLVGADGAGSSVRRSQCIAFEGFTWQDFRFVASNVKYP FEEYGFTTANMIVDEEDWAVIARTGPGDAPWRVAFGVRMTVPESEILTQIPEKYERLF PGPRPLKYELVSANPYWAHQRVAATLRVGKVILCGDAGHSNNPIGGLGLTTGLMDAAA LGNCLIRIYTHGEEADGLLDRYSRVRRNAWMEFTNPGSIDFKLRIHSTHPEVEASRKE FFDSLNSDPDISMKVATMMNNVVEDEFALPEDSFKDIPATA LY89DRAFT_654275 MSKTFNHPTLGELRPIWGETVNQFLGLKYAWLTARFATSELFSP APSKVTDATQYGPSVVTHSSGCKVEYGFIQQSLPERAFASSELDGLNLNIVVPTIASS GKGLPCFVFIHGGGFTNGGNSWPQYDLTRFVQLSIEQGEPIIAININYRMGVFGFLTS EELRKEGYVGNNGLRDQRVAFEWIKRNIAGFGGDPDNVTVGGQSAGGISTTLHIYSKT PQFARAISMGGTTLLMKPLPTFVHEMTYKTVVEALGLSAKTPEERISILKKTPAEELL SRLPPGLPLQPVMDGDFIPTAATFKDVADLHSATMPGKSWCKGLLIGNNQLDASIVVG ALGARKGPLITAFISSMRKTLAPYDTVADTIFTMYGMANSMSDSEAVTAILHFVTDIG LTAPVLTFAEGFSSKAYVYFFNEPNPWEGRFKGQASHILDVAFLFQNFNAFLDAAQAQ SARNFAVDVIRFVGGKAPWTAFDAETFVARVYGPSQGRGEALTLETTRREEVSTGRRL GIFRFAEQPGLDVISNALGVFLAGK LY89DRAFT_566286 LGGGLTILSYNDLNNTPSLGSGAILINQASSFASASQSCNLLGE RFWAPANETPSELNNSLSYQVYLGAFPEIQLFWISNSTSRLCRAINYLGSVSNVDCGR ELPALCSQSAPVSNSTFTNTSATFQIAQQVGAVSITGYRDFYTWKFFGIRYAAKPERF TYSSVFAGTGQELAISQPPACQQYIGQVTSGSSEDCLFLNIWTPYLAPTSPAAKANLK PVMFYIYGGGFTSGAASDPNTDGTNLASRGDVVVVALNYRIGYFGFLAYPDGVHNGNY GIGDMVTALEWVSQNIRAFGGDPEQVTIFGQSAGAAAARTLLASPKTKGLFSNAIMQS TPDGWPLAAPIAHYMSVQEYYTNFTESALNSTGCLDATDPIACLSEVDAAVLANLQTA AQYPVVDGTYLTSAELALNNSSGHNSNISVIVGGVRDEFAILDTYYPPAGITIEQYFD ALSSEDFTQYPVLTNGTALFSIPPNPTADEIFNITVRVGTDGLFNCALEAAAYSAAKN NVFKKVYSYQFNRTYSPTDYTTTWCSAPLTASRPFGDPEMEYYKCHAGEQLYTFGTMK WGLPDRDGLDVLFSQWVVDYWTAFAWTGNPNPKKEYLEARGYWSTLERISKTGVWDQV AVNSSAWRVMQWDGFMAQEYPEQEQCAFLGLPLD LY89DRAFT_688803 MLLIYLHPICLSLLLRWVLHLRTLHSVFATQIKLFSGFISCERA KMIKSTQLARLDGLMLCASTDDEHGGSSLDEIKSQVKMVLRRLNRNSEPQASIESGQY TLHYLISQDIVYMCISERSYPRKLAFTYLSDLMTEFSTTYPLQQVLSSTMRPYAFMEF DTFISRTKATYSDSRATQNLDKLNDELRDVTKVMTKNIEDLLYRGDSLERMGEVSSRL REDSLKYRKAAVRINWELMLKQYGPFAGVGLFIIVFIWWRFF LY89DRAFT_786497 MQTRKRTSSKSNDYIDTPQTAKTARTTRGSTRTPKTTEELLANP KNEVYSCPPTELLSILTSPDAQALIAEQHLHLVAAHRKLINTTGLAQRYIANFQRDGK NGFYDPQWQLEAREAHFRHKKGDFDGYLKWKLYQDWPELEKVIKEREEAELARSQDKV SENESGDRHDAESDKLVETINGGNEKHDDGLDMLLGTLSNGSNAKHVDGLAEGYDQPM DTLNGSHENHDDGLAQQEKSLNAPINATNDGHNDRLARLDEPLDAVNGGSNDGHGAGL AHNQNQPPAEVNGEDSAKPGGMEEDEPMEGH LY89DRAFT_625006 MQLLNPLQLLTAALVAASSAAADDAAILYKEIARNTNQSLLWGP YRSNLYFGVRPRIPKSLMGGLMWSRVDNFQDVQNNFRHTCEQGDNMRGYGWDEYDARL GGKQTIYDEGNGIDITTTFVKIPGGSNGGSWATRVRGTARKDAPPDLKTTILFYASLE GLGSLEVENEEDVGYEADVNLKGDSQGLGEYKLTVTGGRGYHPKAIHPADDEKPLDRT LVHSLMVPEQAIWQTKPILFKLLKDQIDEYLEKYGEENAPPPWQTYTIINKPGAGNLH MIQKVFEGNFEFDIIFNSGSSGKVYTSQDVTGFIENTSKAFWDRFIATFDLQPPFAIE SLQQFSSNLFSNLIGGLGYFYGDSVVDRSYAPEYDEENEGFHLETAEARGRKQEKLEG PSELFTTVPSRSFFPRGFLWDEGFHLMPIVDWDLDLALEVLKSWFSLIDEDGWIGREQ ILGAEARSKVPAEFQVQYPHYANPPTLFFIVDSFITKLGALNGTTGGDKTNKESLGAK PNSVHLRSPELGLQYLQELYPKLKKHYYWFRKTQFGDLKSYDRDAFSTKEAYRWRGRS ERHILTSGLDDYPRPQPPHPGELHVDLMSWMGMMTKSLKNIASLLKYDDDVKELTTIE TAILRNIDDLHWSERDKCYCDATIDDYEENQLVCHKGYISLFPFLTGLIDSQSEKLDH ILKLLGDESELWSEYGIRSLSKSDPFYGTDENYWRGPIWMNMNYLALVQLLKYAQIQG PHAETATDLYSRLRLNLVKTVFDSWQETGFAWEQYNPETGAGQRTQHFTGWTSLVVKI MGMPDLSEGKYKVEGHDEL LY89DRAFT_688806 MAEPPHKRARRPDSKQMWEESDRRAPIPSRERDEPRERDRDRRG DYDRRDDRDRDRNRRYRSRSPHDSRGGRDRDRDRGGRERERDGRGGGRGRDDRDAEKD GGRYGRGARKDEGRGGRERNSDRVGGRDMRDKPRERSRSRDRPRDSKRDTRSRSPRRE REREVKSTRDAEKDIPKPKVDDDFTKDRTATPPVSFKVGGQDHDRMDVDGAQPKKGAG KDKKEKIQEEIEDDEEIVIEDDGMAAMQAMMGFGGFGTTHQQKVEGNNVGAVRKEKRT EYRQYMNRVGGFNRPLSPSRD LY89DRAFT_739030 MSFTRFLDLPLEIRQKIWDEASSIPRVIDISPRPAFSLSISLAF FEEFRRAPVVFQTYCQRIPSILHVPREARRIGLEHYELGFGTDFRDSADPVALLKADP KIYINWDNDIICLLLDREIYSLHVTDHTTWSAIISGELENLPISRIAVNMDDPQSMSG LRLMSPSVTGDKLREIIVYYATEDFSANLQHDSGKFTHIKISSVEELPDE LY89DRAFT_228232 MSFTAFPRLPYELRRTIWNEACCVTRVLDLRIEPFRTEDILVAF FYEYDDAPATVRTWCRIAPPILHASQESRSVGLKHYQPDFDYNFTIPGSDTKLSFTAK AKIYVNWDYDIICPFDAHRDSGDGFARHALMLRRLLHCDKLSRIAFDVEDFQLLREEI EELASRSSIIELSLFSAALGEPFIPNFRRSKPTAFELIGIGTEEDIEELLERFDTGQP AQNLFNVVKDLNDRITTRRMTTPLPDSRPGAIFKPRILAPYQHWDLVWMNMLGRKIRG LK LY89DRAFT_654287 MSTNTNTTPTPLPKYIYKILPSSPTPPSPLPPNLPLSPLDRRDT FLHFSTSAQILGTLQNFFKSEKHVWILRVPYERVEKFVKWEDARGKGPDEKGGCWDVE GSRGLFPHVYGNGEAGDECGLRLGREEVDEVGRWERGGEEWGKEGWPFGEDEPKE LY89DRAFT_228270 MHHLSSLSLHFSCLRSFIACPPCSSRLVSKVRIIPSIYKYTTSD RGLTSLLEFLRINFNSYRLHSLQCRIAQISRTRSLSPKRSVE LY89DRAFT_228276 MAEISHLLLAVLCTFLSLMVSADYHGVTFLFPIDGLTLSHDDTV NVTYTSDFPVPLLYTFCRNSTDNNLITEVRESVPGFNGSELVQLKWPNISSCYWDLRP NTSYGYGANSPSINVLATSRPEPSTVGLAQVTATGAAETGSASSDGTPSSTPSSSSSS GLSTGAKAGIGVGVALGVIIIAAVAGFFFLRRRKQKTAVAVPNTPVSPDYPAYPKNTR WRPQVTPQYREATFAHSPHQEYHEAHDVPKPQELPSDVKHEMPAGELRHELR LY89DRAFT_688809 MAGTNFLIMNAAFPPLEPNDGHSHLKAPEPSGRKSFESASSVPN STHYRSSISSLSESINNTTHSTTLQNANTYTFHLPETYKHSRRDIHVRDAQGTEILYA KMSEHNPLKPDIQFFSNSTSATPVGSAKLRHGKNLKLILEHGEEKEMHNVSKNRDYCF YLPDSAGTSRKVLWTRVNMEGGGGPATGKGKRALRYELGDEGSGEVLAVYDAGEHAKN DFLHRGTLTMSSELSVGEEGGLWVLMGALGIYEKARRRVPTGGIGAIIPGS LY89DRAFT_722728 MSASATTHPPETSFGTVTSWLPLTTPFVSPDGCSSALWARWGFI QSESYNALAFDPSYDGISFDGRLSCLPPEAKLWWERDSSVKSGVSTEYSIGLIVCPQD YATVGTSIVGAGSTWVACCPSSSSGAKIEIGVGIGGAVICLLAFLLLPIRHQLKERKA GTQLEFSREGRPEWKVELLGAGKILAHHELPAMHETDMDITSIPQWHELPV LY89DRAFT_674186 MAPSPLQQRRTQNTLLFQKLLNLREGASPFTLVLDTLEQSGWPV VREFARRAKISKSKIIFISFSSLRRKVSFDIDSFVPAPGKSLAALREEILSHLPPPPT TAPSSSSSATRYLIIIDDLHTLCSRHTHHLSPFLSSLLISPQISLLATYHTDITLPVT KAISSYQPPSLTTLTYLATAILTVSSLSQVLARKRARDKSLQEPVFGLDEHREGVIVS FNGKATEKIIGVVVNIELRRRSGRGIVENFVLLPPSTSSSSTKLSGLSEFILLDEHPL YAPAPISTGPGGDAGEEEVETTFNLNLTEKQKRDREGVVMPYFDAQKEGGSAGPGEGG RILYEMGAEDREDFDDEEDEI LY89DRAFT_758307 MLFTLNSPTKHQSLIQNTSKQLLRIPQEQLDPRIFIMTVLGCSF ALAGSQIIPLLYLTLGTTIARDLNAANLLIWLLTAGIVAQGSLAPFVGPLADLFGRKI IFLVGLACSMLAGILCAATPNAAGFLAGQVLFGFGGVIQELLAISVVAEIVPTAKRSL YAALILMSIIPWAPGTMYGNFIAEASWRWIGCTLSIWHAITFVILAWFYRPPPRVNAL GLTKREMLGRIDWIGGFLIITGMLFFLIGLNWGDQQYAWKNAHVISFLVVGGVLIVGF GFWEWRMIHAPRPFFCLMFVIFAAGINYIGVVVFWPIESISINTLPIGLGILGGAILV AFIMTFFCVMQTVAAACLVLVNPSRISTAFAPIILSLIGTGGVLIPNQVIITVITPDD LIASVTALTVGLRAQAQVLGLSIFYNRFVHQVTAKAYKTIVPALLIAGVYDITTITDF ITSLTAVPFKQLYTEIPGFVTSQANYELGAKAVVTCFSEAIKLIWYITIPFGVSACIV AMCMGDVSRFMDQHVAVVLH LY89DRAFT_739038 MLFSRLMLISWALSSIWAASWIVPGSVWTDTSGNKIDAHGGVIV QRGDTFYWIGQSASDNISPYLYSSTDLLNWKNLGKQSSIQSLWRPKIAKPDGSFWVSI SGGILGQEIYGQVNRDIQALVSTQMEGGYSTHGAAVTVPPNGYSYSDTGMFQDTDGTW YIFTSADHNIVQINKINSDGSIGAKASQLAAGAYEAPGILTRVWQSLSIRL LY89DRAFT_739039 MAAALAHTHFVAHTYHMDIKPANFLIDADFHLVLIDWERSGVPA AVTAPEVDGTWDVEEVSAEGSSTPRYTKYTGPETRNTSLSSTPGVYPEWSKKCPKALE LAEVFSLGRCMWKLLRQPDI LY89DRAFT_674189 MSNIVLPYSAHHYNSLPDIMDASLNFKPTDATFLTTIIGQLFVK HQVQKHFGIILLHKHFPLDENEKLVNCQAVAVPWKTSSHDEQMSAALEPFLSELRAVL KRLGLLEKLGICAFTSEDADSDAQMEFTLGRANITLLFDVDPGDDSIDAVWKFEQPSE FDSLTFIRSSHPSPVLCCSWTMQTSLQSRSLWTSEKTH LY89DRAFT_688810 MHFFITAPLLAGLASAGFIDTIESIIKTSEPVEALSEWSYTNCG TDTDAISLHSFTLSPDPPVPGSPLTATFDFTANSEILEGAYVDIDVKLGFIILLSKTI DLCDDSEDGVLYDTGVSCPIAAGDYVLTKNVDLPAEIPPAKFTVESRGYTFDDQDMFC VDVVANFMTTVAVREGL LY89DRAFT_674191 MSENNYLPLIVSNLPWLLLAFTAFKALSLKYKSGLSKLPGPQLA AFTDLWRFFVAWGRRPEVAHQELHAKYGDAVRMGPNMVSISDYKAVKEVYGFNIAYIK SDFYPVQRAIANGKALESLFNTTDNKFHAKIRRAISSTYATSTIVQFEPLVDSTISTF LDQLDERYVGKDGDAGICDFSIWLHYFAFDVIGELLFKQRIGFLEGGTDVEGIISNVG KIMSYISLIGQMPWLDHVLMKNPIRIWMSARGIGQKASPMATFAAKRIAERLAMKDSA TIPEDKATLPPDILDRFLLLKEKDPVFFNDTWVLSLMVGNVFGGSDSTAITMRTIFYH LLKSPHTMDALMEELSEVKFSRDDGIATWNETRQLPYLTAVLQEALRLHPAAGFHLER IVPASGLQVGQHFLPAGTIVGASAWVLHQKESMFGPKCQEFRPERWIEASEQRRTEMN NAMFAFSMGSKECLGKGIAFLETYKLIPTILRKYELSLVDPKAEWKLENAFFVNQTDF YIRIQRRHEVKV LY89DRAFT_654297 MSSDEIVWQIINQQFCSFKLTTTKEKNFCRNEYNVTGLCNRQSC PLANSRYATVRANPDTGTLYLYMKTIERAHTPSKLWERIKLSQNYAKALQQIDDRLIY WPKFLIHKCKQRLTRLMQVGIRMRRIAKEDERLGEKLVPKLAPKVRRREETRERKAEA AAKVERAIERELIERLRSGAYGDQPLNVSEAIWKKVLKGLERGGEGTRDEDLDEGIEE EEGEMENEEEFEDEEGVGDVEYVSDLGEDEEDLGDIEDWLGSEQDEETGAEDDEDSES DSSEEEAAGTKRKKAPSSKPKPKPKKFPRMEIEVEEEFEAPRRELAHA LY89DRAFT_688813 MASYFSNLLTTTTSRVASIRQNLLPSENDGDTEDDTHLCRVLRA YYTEKGRSFPGWLPPDPKAPPPVVVQPVYTTNVGARYGGLQNQGPGGGSALSSLWDSQ PQQQQEQPMGLRQGRGGRLAAGGGAARQNPFARQANTPEPQIQARPLPSQRAGSYQTA GAFGAGPTPPGSSSGLSAKDRLKKNFRGERAASPAMGSASMADPQVQRSNSYGSSGGG GNYEDRFMPQGAVGAPSGGGDKPFMAATSPWASSESEFGGGGYGYSGGGGGGGARQGL PSGPAAGRRGPGLPSGPRGMR LY89DRAFT_674194 MANSASRIRPPALPVSRTCIWKTVGEVRIALDIYLPSSNDGTNH PIMLYIHGGGWIASNKTDYSRPLFHKFLALGFVIVSMDYRLLPETTFDGQLEDIRDVE SWLKNNLPLELEEINFKVATDKIVVAGASAGAHLALLTPKLWSTLPASILSLYGPTDM RRVPYLRRGRLSQCDAPSCTPEVLAAATNYGKPPTDMGCSNIPLGFSTPRSQINLMMF REGLSAEVLLKGLIRGEDGALRMPDKGSVTSEEIDEISPLQLCQRIRYPPIYQVIGTS DDIFDTYHVTEFHDALTAQGIHCEKVLVPEKGHAFDVYEKVGGEVHTKILNPAAEWAA AFALGPGGHRVRATADSPPESPLCCC LY89DRAFT_674195 MEAKNENKDIAGPSNSNASDAITKDHGPLTEFTCFSELPVELSR EIFKHACFVPRVIDVFPMFCPDMGMDWDDLDGMDESTALTSVTQRVAPAVLHVSREAR ELRVFPEHLDYDFDYRPPAKVYVNWACDTICPTACFDNYNFEGNGTAIGSALTQLKSL PKLRHLAVTVSGLKALKKSLPKLAIEVITVYLAPGLDNWSSDMAEDEMEGGCACCQHL NSNTKFGINLTIPGEYLEAQAERFSKGEDAEVNEDEVEYDKFLPRVSASSVRAASKNI VNLFQALVKKEKAMKEAGRVWKAPRFQVMVMEVHEKENYDAHEQERYRAMKAAAAKVK AASSGIQGDDMADGGVI LY89DRAFT_786512 MTAPSDQMLPRVSAPPALDEDKDTSSNGSSQGFMPDTVGAELDD DEIEEPMAQSADENENDELDNEFKLSSFTCFAELPIELRQQIWKQVCFIPRNIDLFCG FTYSQRDEKAQAQLYKLFATHQLAFRSMCGRKVPPILHTSKEARSEGQKYYKVGFGKT TENDIGGMLLCLKIPPRIYVNWDCDIIIPTEGPFVYGAHDGMRPKMKMYRVDYHTLAS CYNIRHLAVPYAINKKFLKPLIETLPLQEITTFTSSQRLGDWAEPREWVLQMRTRICS GFEFIEPRQLFQSLKHEREYYGVKDLDPDHLIRGVTCETEQVENLVSEVALLMPSDWK PPKVSFKVMKILRE LY89DRAFT_228383 MNSLAPTHTDLFTEELAKLKKIRSLAINPDVALRGAVAAWTEFR VWVDNHIEDLIVYLDKDVTLVHNHNPPPVIYDPDNAVRIHPTRYGNNTETKEMLKAIR MNPRDVDSYSALMCEVLMEILRLENMFEDGDLQTDHANWKPPTPKFMVMATSPSAT LY89DRAFT_786513 MKSLTATAFAALASFSAAQNSTNSNTSINGTFSTSGPHNVRFDT GTYGPPVEEYHYYYDQWPIGLAASRTGRVFACYTRGTYAYTLGEIINQTAEAAYPSME LNTPEGGLYTTTNGIQFGSNNQTAFISVQALYITPDDTLWVLDTGRPTIDESQAPSMP YAAPGGPKLVSINLSNNSIARTYTFPANVHYPDSYMNDLRFDMRPNVTESGGGIAYIV DSSNEGRTGFIMVDLATGESWRQLTQHPSTLRTYADVPSYNGIPFYLRQKGSPLGFQQ EGLDGAELSLYGDVMYYSPLTSDYLYSIETQYLQANPNNDTLSAKRAFDNVKNLGERG GNANGFSSDSLGNVYMLMPTNNAIYIYNDTTLQAEPYVRDPRIIWPDSANVGFDGYIY FNINQLPYQPDWNDGVDGRQYPGLILRSKLPDGAGKNMILGASTNGTA LY89DRAFT_758332 MELPYRLASQRSTVTNTPSVAGSRTTTPATSFDSTSAQPDLQRS GQKRTFEAANGATLDKGYSYGYHTPYFQPPLQLKPHRIPVLPSARFALPYERNAQTVD VSQAAKQLRTAKPSDAISFHLLYAHDKELTECQTCMLRWPSRVGAYHEFFPGRVDLLP TYSSDPAFRLGGEAGYDVIREQKCRELWHREEAKHVQDLGGYRAKPLHDGQKDYYDIS EPLLKKPKLS LY89DRAFT_786514 METQDREEQAIKDRMADIQPKHFTQKQIIMSFGYANIHTFMRAH RLKFSDFQHYEQAQTMIEQQRYNLQSKWEQDRDMEELTMETVTGLPTDSQSPLMVTTR EHNKNIRDQMSLEQELMELGVMMGGSSLQAVRLEKEDVLFRYVDKERERASRKARGLK KSSSRQKEDIEWTVRPTLKADGPTSRGRSREPKTIQREASTYVSFADLIDDA LY89DRAFT_739052 MYSDSKTPKKRVSVRKQAPISRETKETQDTFDEKDEDKATKESE KEAALNKETKEETKKDYLLILLGIGFWLEN LY89DRAFT_688818 MPPAKNTSSSSSKTTNNGSSTKATSTTQPDDKSYNSFYKEGGWG NMKNFAESHGLRLDKHEDIEEAKAIRDGYREQDQRAWEEAQAAKGGKN LY89DRAFT_722739 MGAKCVDVGDANPDIAGIGIILSFSIQAGIAIVTSFYSFYLEWR VGPRSKSEGVAPYQEQLKIANEILLQGGDVQVMTGISLLLAAISQIDSLQLYHLHIVY DTVSFVGISLCASIACSFSPDMDGKYIRYTLIAIWALLYLAYASLFGTQLQSWNYDKT GHCYITSRIATADASHPYFDTIYLSITCLYVNIALIFAFSVTSNQPKIMSQLLCMYLA ALQFPLHVYSVSALRKSNEPVLNSGSSEQQWGFGQVVAMILLANNVIVLLNGIQERRV KLMNPPPLSPRFQPYQQCRLFIIITKETSDELFASMLYVK LY89DRAFT_722740 MIRTSTGGSIPPEASSHTAAGLVDETSNQELASALPVSCTEQEL CLATAIKAQPQPIKRLMINRAASDTAPAPSIRPSGLPLQFIDQQKLRQSSGSDLRRVV RSHARRDVDVKRRHIKATLQARSPRPLLEKKSRKEQLLSKSSHNHAADNTSSASQLNE QDSLALPIQLHSTPSNAALSLNHESAESSSIAQRYLHHIQGHGRVDGAPESIPGIEIM SVAVDRAQDFRWQGDEIHGDYQAPGSFDSLLSPRNSSSILLPQTGIPSPYIPNNADVR SNLVAGTWRHDPFNVHGELNNPRVSFLLSHYNNILATVWVYGDKLLSFQTSRPELLHT IILFTASHLQALTNSNEYDRDIFHHRGEAIRIINSSLDDPSEQTSDQMIAALSSLILY EVSTAHLSSCDCANNREDSYGSKETASMHRQGLQQILKLRYAKPDLGIHRFVQHILAH PLAVAGAPEEPTLEDSPITASISIQSTSKEPRNTPLGHAVTTSFQWLTSSAAQFESAS YINSDTYQVRQDIEEFLELCPNYPREKLSFGFRSWLLAAMVYLHVAIVPPSAIHTLPR NVEEILAQLKSAMYGSTTESQTISACSPRLWVLMLNGLYARTTDPVLLGSPPKMDLST VSALHWCRAQLLLRDIPWAERRWKLEPIEDDWEWVQANGFWRESVSKWNSQ LY89DRAFT_688820 MSLAVELNAVYTDFHSTAPVALTGPIKKSTSDFVASFNANGAIK VGDKLPSFRLSDASGKEVDSASLIANGPLLITFYRGNWCPFCNLALRALQKHLEDFKA KGVTLVAITPELPDTSLSTTEKNELEFTVLSDVGNVFAKKLGITFQQPNDMRSVFDTI GINFKERNGDDSLVVPLPATLLVDKSGVVRNTFIDPDYVHRLEPTTALEWVDALKN LY89DRAFT_654308 MNTPSSASDRPPKRLRLGTRSCAECRRRKVRCIFGTNKEICNEC ALHDAECVPQGGKKVTSDRQDNQEVNQKLANLEEMVRRICNTMDLSMESSNIGSSTIS HSTTDPFADAPLLNLFKDAMLIQDLDTLPRSALKTPRDNRFKSCMKTFRLLLPNADDL NTIFTTSQLFWKIWQKGQGLVFDPDPQNFDSVRSATSFIHGSMDSDNPARVAKAVLFV AFCIQQLPNNVVNNHTFLTADPEARSDSYILLADMLLSIGENSMPTIDGLECLDILAK LYMNMGKPRESWLSIRRAINLALLLRLHGSNGTTKARERNIWSHLWAGDRYLSMVLGL PSATTDSYPGISRPLAGSSIAEGIIYEIAIMAGKVNERNQNSATADYETTVQLDQQLR NCLHQIPSDWWNAQPSASTPIEMVYGLQVLKLQFYMLQKVMHQPYMLKSFEDSQYEPS NSAACDASREMIYAYQTLRNHNQMELIICDLMDFQVFTAAVVLVIKHLWGPTPCAFRE KGDWELVQSVTASLKKVASRMECNVAGQAFEILEYLTTAYSGTYSGPGQFEAVIPYFG KVKINRIQPRNSAPQTEPLDFPTDGLMEFPSTIDLATNSFEPLFWNESVDFLSGPELG IDWTSLIDEGVNYDWSQHFDPTQLGGPIIGDGSTFLSV LY89DRAFT_700237 MAPPFAPPPPPPPPESPLFRHRQLAPTANVRVSPICLGAMNFGD AHKARMGECTKETSFEILDTFKKLGGNFIDTANGYQDGESEQWLGEWLTSRKCRDEMV IATKFSSAYRKHEKEKIQSNFGGNGTKSLHVSVNNSLKQLQTSYIDILYLHWWDYAST IPELMHSLNDFVAAGKVLYLGISDTPAWFVVYQGMWNASMRDFERDIIPMCKDEGMGL LPYGTLGQGRFQTEAAFKEREKNNPGRKGKPKAVERAVSKVMEDLGSTKKTTITSIAM AYVMQKAPYVFPIVGGRKVDHIKGNIDALKVDLTEEDIAKIDGVNRFDFGFPNTFLSG TLFGEEDDTSETPEGPQSVWLTSILGTFDWAIGGKPIRPFKK LY89DRAFT_739059 MASLSIAQAISASIGVCLLYRFGVIVYRLYFHPLSKYPGPKHLA ISGLPQMYYQNVTGTFYKDIRALHDQHGNIVRVAPNELSIDGSIGWTEAYGHKKAGEL EFKKDRLFYRPLESGQGVNGSGVHDIFTASREDHRRQRRLIAHAFSDAALTEQEELIQ TYVDLLMTRFRENAALGKVLDMVKFFNFLTFDLIGDLAFGDPFDCLQTSTMNAWISMI YIFVKASSQLRIFAEYPLLKPLLRLIITKSAQDQVKKSMDYTGEKLERRLALGKNLPR KDFLSYILRHNDEKGMSHPEIMGNSESFIVAGSETTATLLSGLTYFLGHNPAAWQRLT TEIRESFSSEKDITMRAASALPYLHACIEEGLRMYPPAALTPPRISPGANIDGNYIPE GTKIWIHQWSTYHRNSNFAMADNFIPERWLPQSHAYYEPQFANDNQACMQPFSYGPRN CIGKNLAYAEIRLAMAKMAWNFEIEIMPECTSWMEGQKVFTVFQKPPLFVKLHLRAGR EEKEQVEQN LY89DRAFT_228558 MRTKTMLSIDEEKVMGQEELVDIEANTRTHLGIIFTPTSDHSSQ SFPPQKEEATVLHKFLRYPAPGSLTIKSTSWLDGVRGVAALGVYMFHAMGCWARIVPA WHADADQNNILQLPILRTFFVSGGAAVSLFFVISGYVLTHRSLRWMRAGSAHRVPPAV ASSMFRRGFRLYLPPVLLTFCEMLSTRCGFVPGYNFTFVPESSFFAQFVDWISETYHL ISPIYNFKRAMQGFITHPKYDAVVWTIPLEFYGSFVCYILLLILVWIPNDGARMGLVA LLSISSMSMGSWNIFCFLSGMLIADFNLSQEENGTVSSTMPRIRGIAWTAVFATAFYV AGFPTLMVGEAHLKPMPGFEILRSLTPTALYMQDHSRFWWSISGVALLLSISQLPRLR SIFETYFCQYLGKISFSLYLVHLFSLVLFGLKFQEFLMRVAGLQPHANTLLYWAVCGV WYFVFTLLVFAVAGQVERWVDVPSVRFAKWLEAKCLSMCRDKQ LY89DRAFT_228685 MLICWCSANDFNYLDHLTSEHHLCHNLINLYIDTHREIKHGSSF ITLPAVSTSIQTAYTTQTATPSTIFVYQTVTVATSGAVQTVSAPVPNFKLAMVPVGGG TTLYMEVLVGDALAYKSTTDITQASVFTIDTLNRLVTTAADPEIACAYSSISNYYMEG LRHTWFDAHTQYFTPLSCAVNSDLTLTCSYKTATSFTYYASQQSFFYSTAGYDGFPTY IANVIPQ LY89DRAFT_228580 MRSLILSSLAALAVGSSASVMQPRNVANNNCGRAVAGTAKGTAF MSSARADCSSNVISYTTVTVTPLATTLTQGVDVQSTAVATSIETDAESTTGTSTILST EVDQYVSTAYSTSIEIESLTVPTTTTPPVITATSVITVTSSSVSTVTSYYPTAAAVAK RDLEDTEFEDCEIEERDASSTITASLTIPAYASACTNWAEYVVC LY89DRAFT_688824 MAILSKISSAFINVFVLTWDFGLFILNLLTFSHKPGHVVPPHAA GHGLTWPEYVPPKEGDSRSACPMLNAMANHGILPHDGKNITFKELNTKVRQTFNFAPS FCFFVPKFSADFLNRSYWSGHFDLAELSLHNAIEHDASLTRHDAALVPDQGKPDSQLV DDLLKEATGKMPDGSPLLTIPDLSRALSKRRIDARKTNAAYSENLFHNMFGSANSSTM LTIFGGRINDLKPMLAEERFPEDWEPRILARYGLTMAKFNGTVLPVEFGVKTKVAKQ LY89DRAFT_625038 MALKTFKQWTIRTQTGIGGLDFSEAELPESLSEHEVLCKIHAAS LNYRDLMLIKGGKHLTTAPNIVPGSDGAGIVIAVGTSVTSFSVGDQVITHLVPSIAAS RFPAAVDIFAGLGQAHHGTLRQYGVFHEDTLIRMPKNLSFEEAATLTCSGLTAWNALF GDRGVESLNGKAVLTQGTGGVSVAALQFANAAGATVISTTSSDAKAARLKSLGAANTI NYRTSPNWGEVSKSLSPNGEGFYNIVDVGGLSTLSESFKAVAVNGTIALTGVLGEAGE EKPDIMDALWRVCSVRGLFLGTRDQFNDMVKFIEENDVRPVFDEKVFGLGELEEALMY LEEQKHFSKVVIRVT LY89DRAFT_688827 MYTKQVIVALAAFTAASAAVLPRQVVTPEPAQGAPSGTYSITSF QVDQLPGSLYTTYTLQVTDPSNPSTAPDNTSTTCSTEASTLPAIAGFQDLSCDDPSVK WSFVANSTGYNLEIKHNWGEQVQHAIFVAYDNVTDTATAFFPSSDVKNNPNAEPGQSA PYLDAPASFDLEYYRYIS LY89DRAFT_700241 MINSFYLAVVGLVIYGIYSLLQVGSRDKRLPPGPPTTPIFGNAL SNSPNGAWKEVKTHNCHRFEEWAKEYGPVFSLKVFSGTMIVLADQKSVYQLCDKKGSI YSDRPPLPIPLFITRGAHITMEPQGPSWREKRTVVTKNLNPKALDEKHFRIQEAESTV FMNNLLEDPDKVFEWAKLYATSVAAIISWGFRAKDFNSFWYREFYDFMDKWLETIEPG ANPPVEFFPFLWYMPGKWKKRVYNTRDRMDKLWSHGRKLVDERRSRGDQRECIIDIKL DEYNAEGWKMSQHAFNIMFGELLEAGADTTANMLLTLILAITKFPETQAKARKELDRV CGTDRTPQFTDFEALPYINAIVKEGLRWRPTSELGLPHQVSQDDWYDGMLIPKNSVIW IGIWSLHQNPDLYPEPELFNPDRFLSHPHLADKYSVGSDYEKRDHYGYGAGRRICPGI HLAERSMWRIVAKLLWAFEFSELPDHPLDVNAYTSAILVRPLEYKVKVTPRSEKHLAT IKGELKGAMEFLAQYD LY89DRAFT_228881 MGIRSIEDILAQDEDENAVDEIEELLMNTDWTAESQLHILSKVD TSKERFFRTVTLWGKDEEKGLKTAFELLIKLFSKEKELWKQWDMRKSRQADEDSAAMT PSVIDSPNCIIAQQILAILPEVMEKTYEEHNGDNILHAVCRHGVKSIAELVLSFVQQY DVEQFDSMLEAPNSSNETPLRVAIYVSGQDVSLVELLAKHSDGRRQTHLLKAVEDGKL NHLKILLDTSEEGDKLLSLEVLKGAARSGHTDVWDFITTKKPNLAKDAGLLRTAIEYR TLEIVLRLLDSHPEILRKLDDVKKAAKLAVDLAEKEIEIPTPKPGKKLKAKKALEEEQ KQRHQREVSRSIRNLLLERMLRELSPKDVKGCWPRAQGQAAREVYLELNVSSSRNWAW FVQFVKTAEQEVSEAKALKQAQDAAKIQDQKDQSNRDANSRKDAQELQTTQTSSKAPQ APNSKSSKYNADFQPYLKYVSFPDLDEIRRHRSAEKIRHLEGKEVKYVLQWLRLVKGV KRILKLGVLDSHIQPHREEIIEEALEGLQVEELDWKRVDLSIRSVEFAAKNVRILHLY SSGGWAPLCHWMSCEGINNLSDGKDDKSIERAQKQKEEITTWLTNIWKGMPPKPASAE GTAKENLLQLTQIPFSVDYAAWTLAGPQNIEEQKGTAARDARTVVRLKSFVKTYDRLH KRRMASADPSNHLRRIKVAIIDTGVDDGQFETGTLKSFTGQTFSETGDSHWWLSADSH GTQMAKLITSIDPCCELYIAKVGDSKTDITVGAVTDALNWAISEKVDVISMSFALDKP YKGLSEAVRTAGFNGIFMVCSTADEGGNKPNCWPAQCPETVGIAACDEMGVLTHYSNT EAQFYFQGERIVYDSVQGSDTREEISGSSVATAIAAGVASLVLACCQIDEIEVALEDR AKEVKKMFNRMTGGGKQESTKYVRPWVAFGEGDKGRGETMFLPETGER LY89DRAFT_722750 MSTQSIEPSRLFNDEGLACSSYDGPVGDGAFKTHNRTPDQIQRL VITDRGAESYFKASVRMITCVHGHMETIDNIPSRPATLLVVEYRLHPKSGHSFNDVYT SFTFKEARTTPVGNSASPQVIAFAPFRRPRHWDKLESTVKKTQDLGLKLGVSGGAPVT AEVSGDSSVEETHLQQYFAKGAADIQNNDDTGLDDTIWWTLEQNRKQKLGVLPVFRVA VLIERQNMEDFVGIFKMDIHGSFNYLVGQVGGQVSRFFRRTSLDDPVNFSPTKMPLQG KTNGINPASLGSLVKDVGDGDGVYLPEDFHIESFLPS LY89DRAFT_228965 MDYLSDRSDPDNDIDNIPPEEEFIDSTDSTDGEDGDNVSDWDRG RVSEAHNTIILERQEELPRSIDSLFKKGLLDKKGEMGSTILHLITERWSKTNRSGSDL AELFKAVMEKCPRLFLEKDDLGKSILNRSSMRKKKSNFAQFFIYEYPDKTEELVREDP DILKLMVASSMELDIWKALLGRLGKSAGKLLLRCDEGGNTFIHNILRNIDHGPFHVAL PHIILEIIRAAPDSLKTLNDEGKSLYGCFIEGMNKRTESSELRMRKESALPASHDSRT MTEKSSRLSEKYFDGPKISPTGKIFDNPKMDNPPSRRPEKYSDDPKMNISSSRLLPDP KDNAKSAAQTSQPVVRKRAKEPKPEETEELVNHILAEVKEVLLREMYRGLGEMEIHSL LHPYTSEIDVDLLELEKSRDPIQTLKGILEPLPLEPFLRSVRLPYFRTDLAARTDIYF EILGTLNSHGVRRIMRLEVDEAIDCPSSDDTITKAASGFHIEEWDWRKTDIDTGVLCK VAPEARKLYLYSSGNFPVLKHWSSDEGLAMFKNLEEVSLIVFSKIETPQTTEDCVREF RMRMDKACPKIEFKVRHFKPSFEGSRSWSWLLQGDQGRLIETAKGPNPKTLTERFERL TRHLEPCFDKPSIDVKVSIIDDGIDPVEIGNRIGGGISFAHYPGEHSRLKPYYFSSNG RGTALAHIMLRLCAQLKFYVARVDIEQRSLTPIVQVSTYQPQ LY89DRAFT_739068 MYDLHAHDDAVVAVSSRVRHFYESKRPFRIYHGSTNSTRESQRR HDNTVDTSSLNHVHHVDAEQKTALVEPNVPMDALVAATIGRSRLVPLVVMEFPGITVG GGFSGTSGESSSFRYGAFDTTINWIEIVLPNGDVTRAYRNKAEKTDLFWGAASAFGTL SVVTLLEVQLKDARPYVKLTYSLHDPMGATAMMREEIETDTSVNYLDGIVFSQSSTVV CSGRLADAPPPGSKVQRFARSIDPWFYVHAKRLHKRLQENPSNSITESVPLLDYLFRY DRGGFWVAKYSFKYFLVPYNRITRFILNPLMHTRVMYRALHLSGLANYYIIQDVGVPH NKVGEFTDWLHKTFNFYPLWLCPLHLARDTPNGGHGLHSEFAHLPPDKRGLMNFGIWG PGSANRHEFIRQNQALERKVQELGGKKWLYAHAYYTEEEFWSHYDRKSYDAVRAKYGA SWMPSVYDKVKVDIASEDREMRKWIPWLLALFWSIWPLRGLYGVLKTMLGGDYLLTKR SHSYAKTD LY89DRAFT_739069 MASGYRSRSRSKLIGSLSLYVAVEQFSDLKCLISNERRKTTWTR VAPCCGADAPPPPGFSRLVKSAQHSMIKSIDYNVAIASNQTLVHGFVQEPNGRGTLSI LLSCLAVLLLNTWTVLHLNIPPHSSTWRNYLHKVKWWIIALICPDGLAVSSCEQWRNA RRSVKALKKTYPWWTITHGFYAEMGGYRIVSADGQRQMYIFRVKELIWLTEHGVLVIP EITLEELHDKSNADWLVKGIALAQSTWFMLQICARVNQHLTIATLELATVAFIGCTGL MYFFWWHKPMDLETFTPILDPGLTSAQLCELARGICLLHEVSEWYRPPPKEAHDHGWD WFWFEKPMNIMHLEVISRGNQVPADLRAIVKDNFTAHARVTSWFMPAVNESHSSEWGP WDHLCVFSIGTMFNGIHCAAWKFTFASRTESVLWKTSVCIMLAVIYLWVPISAMTWWL PNRSRLKSLPYWFATFCYFLARIYLLFEVFFGMRALEPTIFLSVNWSQYIPHAQ LY89DRAFT_710126 MLPSSRGTLIFSRVFAWFFQSIAPLSIAYCLLVLFILSPSQRLP IALEVWLLAEALFFLLVYLPMSHFIHDPAMKVVGIDRWQRRELFKKCITTITDPKRYI SLWHHGAKIEDIGRENVKEWICWAFLDKSTVGPDEEDELEEYVDLLETLLPKGTLPSG NKFPLGKTPGIQTLRFSLDPVNITHKPLLFYVLGVGGADIYVAFIMWYHGYQHHATKQ WFASFPFRPQTLLSPHSSPAQHISYWYRPHTSKTRLPILYIHGIGILHTYGDFFAELA NRLDQDPEEDGQIGVIVLEILPISFRMTHAALSPDDMRSEIRSILDQHSWSRFVLMAN SYGTVISTQLLRSPSLNSRIGDVIFVDPVAFLLHLPDMTYNFTRRPPKSASEHQLYYF ASTDMGAAHTLARRFSWTDNILWKEDFEGRRWTVMLSELDIIVAVDAIGSYLTRPEGL KALQDDRLESEWKTTKWTGGKLDVMWLKGLNHAEVFDTKRDRKMVIDIAMSYSSEYAK MVEKSLLFKASPARFASAFGLDNTLDWDHGELDTSLRPKAKNSLSKRRFS LY89DRAFT_710127 MSQGYSDLQVVHDDQPGLEYSPPAQGHDVGGQTFWILAGVIGIV VVAAAVGGGVGGSLGSKSTNTKNAASTSTAQIASTSSSSTPSSLSSSTSTIPLSTSTI TGGSPEYTLLSDCPSSNNTFYTVNVGQEMSFRKICGAAYLNAINGGDVVNVRTLSLDD CIVECATYNFQNKTAIAAGNNNVCNSVCWRNTFTNDDFPGQCFGFTTQNSSSTFVVQN ETICDSAAWIDQNI LY89DRAFT_722755 MAAPLFLLSPEIFCQIFSLLPPHGVFGVSLTCRFLLEVAKTNLG RHKELAQKHKVVYLGYSVEMDGLLSPIVYLDMIESNPNMAFYPRVVQMLEYSHDQSDG EGSADEGDMFEGRDRERGIMENRKGFRESVEACPYLTEGEDLKWKTAISENGDDDAAL ALLLSMLPNLKEIVIWIHYRPLPYTSQMVSRIAQATRLNPERTTALSKLQSFRLGQRG DTDADNLRFFLPFMELPSVWHIAGWRIKQEGRMNEDEDTEPIMSVTYPNIKMIDFEQS NVDERAMQELLSMTNHLSTFAYEVDGNMPYGVAFAPDVMVDALLQYSAHFLEELQLVV QPDWAIVEPWRFIMSLRLFQKLRTVEVDAEFLCQRDDDDDDLPDDMGSLVESMPETLE TLALRYLRLDQIDVLFDGFGEKRQQRLKSLSKVILLHPDHGNRNAHLIVERVRKVCED GCVELEVFDERGGFPFYDAIMKIGLIEKPMLCENYPTNWD LY89DRAFT_758431 MGTFPASWTSVPPLTKNVGILLFEHRPRKYPAASNHTEEKQPII ATYSTSHTPKVTNSSRQSIVLIDSQFQSNIYNDYSTKQTPTMKKSVLKLRIAAVILAA VVVLITICICYADSPCGDEQIDEENPQSLNQSRESRRRKSGDSDIEFALLSPMSPMSV LFVGREL LY89DRAFT_722756 MAHLVASFNRLDRSKQLPSGLLKNHWYFTIINTESRFQITTDRT QILSLPTDAAQTDVIVPLLLGTFVKGLHRGSDILPDDVPPFAPFSWGTRSPDLARAIE VKLRALGVRLDLCTVQPGTKEQGEVADESWIKVMDLVTNTLGTALPQQASQGRCWFDE MFPVQQSILLLPECQRSDWKEHKKACGERSRTSNGQQSSSMSGQALEPSDYYHRVART IPEAKVLADSINLPLPPRGGGLSKPIRRLVITGKDTTQNLRLLLGPNWQSASSTWSEA RIEILLDAPIGSPSYAFHKRNDEGGLVSSPRSASVDETARIQTVREIQQAIRTRLGTR RQPTTQDMKAVLLTFGNNWGAMLPDYNLAVNTMDQGVPVRWGSGFDC LY89DRAFT_594412 MQVPHDSPTLLDRLRSLGLINVHSSVTNTPASTNPSSNAASAQG FRNTFFDQLSLNPTPGRAAVSESSEPNSTVSTSKINTKSKATGYTNIFTLGDFAAVDA ISMLTDSYGRVSHMGILDGSYSFFVTTALNAALCFKVKDHVCLVGGDPLCPEELFSEL LDQFAKFRRKHNWGIIFIGASDTFASYAREQRWTTIQFGNERVLNPVTNAVIQEKTQK RIISQNRQLLNPSKGAITLGIYNPSVLKDSELQAKLVAVYDAWREARNNSGDPQAFIT VFDPFAITGLMTYVYTMDRMGTPNGFAALRRIGANNGFHLDPYIAHPSAPRGISDLLV YSSMALLNAAGCTYLSLGYEPLTESGEIFGMPKWIQSLTRRTHKSVYGGLKVSGKKGY YDKWCPDEEQKTGLHLIFPAGTPGVQDIVAVMHFANISIRGLILTKMKGMTKRQKKEI DKSEKETAKNNPTETPETEMTTAQTGSAEKNTATPTPPKKARPAKGKSAQKKDSENVS EDDVVAQSTTPEVDPTTLIQTEDSGIEMASDHGKSPDTGETSDRVNGQIGDMPQDTNG VSSEEHFPVPERSPQRVLGVN LY89DRAFT_688833 MLELRRLDQLTDHGDIDDSSDLSERLDEQHTTIKPVLTFLEKKN LVFLCTIYFIHAIPLTFSWYTMPIILRQQLSYSAVGTFLISQYPYSWKALWSPLVDGL HHPLIGRRKTWIVPSFVIGGAVLFWLAFTQDSLVMEVANGSSLAMAWIVLPWLIIMFV CSNIRIALDSWSINLLSPPNVHWASSITNVGETSAGLISCNLFLGVTSLNSPIQEDGK TEPASTFLFFGASATVFIATAILLMIGNRESDKGQKRQSIRKVYNIIWEILKLRHVWT LMLVHVASMVGFITNDTITILELVKNHFSDLDLAILATAGLPFAIAGSFLVANAMQTR HPLHVWRRMFPWRLILAFISQLTILFVARYPNSRFRWLVILIPFCLSQLYGVAMWVAF VAFHAQVSDPQFGGVYMSVLATTLNIRYDTLQFLSTKAIGMADDMTGSTSLIDGYQIV NATTVVLAVPIYWFFLRPATLYLQTIDASAWRIRSQEPADGVAYEMVGNVLDDEHQS LY89DRAFT_688834 MMPPVAERVSRGPHTNFSSIQPQPIVLFSLFKIFQSLNNNTTRK LQDSIIHSILSTPFLRNLNQLHLLVIGPWNT LY89DRAFT_722759 MNEALCSILCGEDRWQFGSWFHRSIKFNKDGTGELWCCIESCWF IAVELEWKVLSPPQPKQIVNNIRVTRTDPQLLGHLNLEITLTKRLAPGVNTSIMREGN MLNERILSDEALRTKYFTVRMEKGNFREPCWSLMGSTESSTRYALRLLFDKSPYPPRE EWKEPEGAPDSNMFWDNIEFVGRNSPELYQKGIAMNDLNTADWSSCVIS LY89DRAFT_688836 MSEPSTLATLTHLPFPTTDPTHFVHIISNLLSLSECNSIIASHT DLSPSNLTYGTIRTREQFFDRPLANRLWSRISKFYAGTRIQDEDGYWWVAKGLNPDLR LSKYEKDGKFTAHFDYPRSLSLNEQTFLSLNIYLNTVPSSLGGSTRILRHEATKGDYW NGIDGLEVLARIQPVQGCASVFRDTVWHDGDKLLGGEKFLLRSDVVFEREEAFDFDKM YEEEEKRERAEKLVEREKFVEADERAREIDPGNGG LY89DRAFT_758432 TATYDHRLRKTGHPVRSAIHKSQIGRLVVGWVTTSEYLLLYVLF FLDKFCIILANFVGWRRKKLSDFGKGLAPPSTNDYVFESSMLVGSCGNILVTMGKEKH VAAICPNNL LY89DRAFT_594462 MAPTIRRKPLPSRICTEPRASSTPSSVNSQSASLIQFEDYELDL GLFTNSHPAAEHQSLLFASDSVPSTAIPQYPGHSHSAHISAKSLKGADSSNSVIELGA PPIQYVSWGVDWRKPTFICVALVCALSLSLGHHFYYSSLNSKIAGDEAKQAWTIRFGT GFAFLIVLSLHAATAVAFGQYVWTVVKRTPLTLESLDTLYSLTSDPSGIFSFELFSSA KVALLFALTFWSVGLVGITPPATLTVIARNITYYDVPVPILDLSQTGWERVQEVWNPG WITYTIATQAAIGLEVVALPPPVASQDWAYEIEFLGPTTRCELASPEEQAVFDNVSLS FESNNLIFNYLQLNDAIWNNTINWQGPMGTPIRLFYSSWAYSDLNSFGVGPTDWFPDC AGCRDSSYSSNQAIYIQTSTASIVCYESNALFTITIQSVGGQQQITQTDIRPAPNTTS SSPANSAHYLALSALLMGNLTLSYLFIMDPGPTASYKDQWMINSGDTQMLSTGLIACN DIQASPWVNLSNKGDGSPDTWPNYGALVSWRQMFQNTFPSEPWMCRNQTFLRAIEDLA NNITISYLSSPELTNTNTTFKTITTSNSSNYYQYRPLYLALPYGIGLLCVSIVATIGL YSIHLNGVTHSMNFSSILATTRNPDLDVLTRGASLGAEPLKTDISKVKLRFGPLLDSK EGERGDDDEGIHVGFGRANKVGTLRKGKSYI LY89DRAFT_786540 MLLLSPNTGTCFSASDDVLASLWRLSSAIEAVHNFLPDEYKVRK IGCRYDIKPKNILCMNGKLVLSDFGLSRLRPEEESSRSLFPRGEGSYIAPECEPSDKD FKPARVGRASDIWSFGCVLSEVLAYLSAAPGDGPDAVVKFREARKHNLGPLISRHFHT VDGIAPAVIDLLSKYTADPSSTAVFKSLALLIEEILQFDPENRPSASTITRRLYQLSQ RDVFDKICVNFESCLAPLDLDLQIEFRRLKIWGEIVGLASEGRDIQPPAWLTHCHSHR EYEDTQEILRRCLVETQNIRMQMDKNRNPPYKLAYHLQSILDSLWDMQPDHAKRSMAS ALEESILGSQDITDLLGLQEHNDMTSHTVAGHSNQRLDNYRRVAYLATMKEVAVAVTN QSSSNQDLSVDRSSLGPPTTKVNEKARL LY89DRAFT_674228 MDVYSFGLLCMWLLFFNSEQKSHDDFLEALRAQGNIREFAYTLM TEESKQFDMPVGERIKLLHFFELALAKDPRHRSTSFEQLVKGLGTKGSEVIVHTFVHH DELLRDNHSFQVTSSLLQFYQVDYLVRSSVAQCLMQKAREPRLATPTHSSSPGPAFQA ALCYRLGFSVRRDDNEATAILNSNGLPLDAVEDQMQSIRIMSEGSIFGDGNFSRFQLQ GKVTMMELPEHYRELREMDNVQCEYDREIADISANLGELHPITLTLKSTYCSILFSEG YWQRCVNLGRDALQGCIKVYGQSHRKTLQSKEDLVSYLTKQGSWKEAEDLATQLVETR SSILGADHLDTLASKVVLADTFLSNSTWGQAEPLYRSVWETYEVNFGTDHHFTLDAMT SLETSINVLGRTHPSTILRMHNLAATLFTMGKSKEAENKFHESLVLRMDVLGAVHPST LASMEFLAAQFRAQGKWQDAEALQKKLLHIQEDILPPENFEFMDIKLNLAYTFREQGK FPDAAMLESSVLKITEEAYGQNHPRTLSVRLASAATFYAQGLWKEAARLERMAMDGYH ETLGRSHPLTLESMSNLAMTLVKLEQTSDAELLENQSLQLKRQVLGTEHPDTLKSLAN LVDIYTDLHRYSEAEKLAIELAEMNKRILGEKHPYTLTSMASLAGLFMLTDRLSQAKN VFQRLLDTTLEELGPHHPNTLDRVAELANTYFKMSRYEAAERLQRVNLQRSTEILGKE HPDTLWRMYGLGSTWLYQDRLDDAERLLTETRDAHMRVLGEEHSCTLYATENLALVLA KQGRFDDAERLERGVVEVRERLFGSENSDTLDSLEFLRKILERDEVLPEEDDVECSDS SWETDTELDEA LY89DRAFT_786543 MRSLVALLVCSSAVLAVLQLLSSEPKRFKAKKTFRIVNSSMLHN ASARYTFRTRPCRWTRRTAISIATRPKSSLTSGIQRYGCSRASATFIPTASRYLTTST RSAIQSPNAGQYIDIDGDTIYALSTGAGARAGIAIVRISGPACLQIYRALCPEKPPPK ARYATVRMLHDPSDNGLILDSSALVLYFPGPKTVTGENVLELHIHGGPATVKAVLSAI PRCSPHTRMAEPGEFTRRAFENGRLDLVQVETLSDTLAAETEQQRRAAVRGTSRKLGR SYDGWREQLVYARGELEALIDFSEDQHFDESPAELLADVIKSVHEIVGTITQHEYASQ RGNLLRRGVEIALIGPPNAGKSSLLNLIVGREASIVSSEAGTTRDIVEVRYDIGGYLC TFADTAGLRKASIEGGQTRTDNLAGFDRDSKTSSMFDIVGTIEQEGIKRAKTRAQESD LIILLASIERNESAVGTRWSIEYDEDTLFHILGDVDNPPKPSIIVVNKCDSAPANIKP DLLQGFENHLQEKLPTGTFPAIVGISCLEAITPKNEQDKDPGNIGTLTAQLTKVIASM TDLPAEQEDLIGVTERQRQLLSACKGHLMDFLSETSSDQLSSLEGDMEVDVVLAAEHL RSAADCLSRITGRGLAGDVEEVLGVVFEKFCVGK LY89DRAFT_688840 MVSQSTTFTTTSSLLNRGRGGYNGPNTSSSDDEDSRSSSSIFTN AGRGGYNAPLVDSGRGGYNRGDDDEEEDGRGGYN LY89DRAFT_654351 MGDYGERSMTPNVASSVIIIGSGCTGLAIAHGLRKAGIPVVVCE KNAAVFSPGERDWNMGLHWGVPILKSLIPDYAAAQLQSVQVDPNTPTKPVDSLAFLNG QTGEVMVAPEIPNFHRLRRSKLRALLAKDLDIRWNKRLKNLVFEDDNSSVIAVFEDGE HITGRLIIGADGARSTVRKLLLGPEIAPSTRLPYSATFVQAKYTHEQALFLRSFHPLY IAAPHPDGYFAFFGLQDAPDADKPEGWTFFFYISWNASLEQQTTERVTFGNKERLAQV KRKSKGYCEPWKSAFEWVPEDQPAWYFDLTVWDPSLPDHQWDTRNGRVTLAGDAAHPM TYQRGQGLNHSITDAGKLVEAITTEAKLATAIEKYEAEMKTRAGEEVKLSVLNTTMLH DWAKVMESPVFKAGLQKNK LY89DRAFT_786545 MADLTRGLTPAMEASSSAASQTTPSIHTEHSGHDSALSLADTIP DSGQQEEDSVADNYDEEDHDFDDNDSAFGGSLIGCDTDTLASYITDYRYENGRRYHAY RDGEYWGPNDEHSSDLQDLAHHMYLMTLEGLLHLAPLHSPHNILDLGTGTGIWAIEMA DAYPSAHVIGTDLSPIQPLFVPPNCAFEIEDLNLSWTYPKNHFDFIHIRELFGCVADW DDFFSQAFEHTKPGGYVEILEHSVWPVADDDTINEESFFTTWGKTVVGLGEKFGKSFT IWEESKERMEKAGFVDVVETRYKWPMNGWPSPEHRTHGNDGDKPWRRLRELGVWNQLR LYDGVEGFMIRLLTVIGGWSYEAAQVFLAHMRAELKDLKIHAYLDVTVVYGRKPGGNP RPAVPSSTSTGYSVRPMVSTIPEDYQGLP LY89DRAFT_739086 MVYCGKPSKSCRQCRNRNIKCDKKHPSCGQCLRATLTCPGYPDL NNLLIRNQTSITIHRVFAQQTAHIQLPTAVPNISVLDRAKCLFVSTHVFGSHPSFYYM KEFFPPRESDDFLIKTVQAVCLAYLANETGRGDVMCRARVRYSEGLVAMGRALGRRGQ AGRREVIATVLLMDCFERLSQLEGDGDSRHLDGAVALCKVRGKAQFEDPVSVALFHHL SCNVLASCLSRGTEILGEYMQLRAEVAGVMLPTDSKWRAENLILDFMALRMRLRSSDE EGDELWEGLKKEYRELCKVFSRVRDDEVMVSPAQKRNILCSLREMMDGLFGECDELMK RREMAGDLRGSENGEGLLVL LY89DRAFT_594266 MPSLAGIPITSNGLGLMRLTQPGHQLPDKQTFAVLKAALAAGVN VWNGADFYGPPSNNSLHLMNRYFSAHPEDATKVVFSLKTGVVDIRTFKMDVSPSFLRE SLSTALQILDGKKKIDLFGPCRAPPTPDVTIEDSVLACAESVKEGKIGGIQLSEVGSE TIRRAAKAAKIEMVEVEASLWSTEIFSNGVVETCAELGIVIEAHTPLGAGMLTGKIRR VEDMGEDHHKYFPRWQGENFQKNLLLVKELEKLAEKKGCTTAQLALSWLKRGNGKVGS AWIVPIFGARSEERIKENCMDVEFSDDELSEISKILESFPVVGTRYPEPGMKFVEF LY89DRAFT_229246 MPRAIMSLIDHEHQYVLAEATRSVSLYDPKKTEQGDELWVGSVQ LPLKWGICPDTLHTFISGDGSKDKSTDYITANQHCYIINDLASLECFKDKSYVAGPPF LRFYAEVPLKLHGYVVGGFCVVDYKPRAGLDAAALETLVEVASAITEHLGLVIAQDRL KRSREMIQTLAQFARGKQAQDLLNVITRRWTQDPAKAQTMSVHNRTDSERHATESKTE SNTFIVPDVSIQVSDYGSAPSESGTDSLTVDSTMSEHGSVYTPTEHGFSTPTADNTFL AGELEMPKSVRSFLSTNASSRRNSGTDELARTAVKLLFNRACHRIRQASDLEGIIFVE CSTQDTAMPSKEHTPKSNRSPDSEDDLARETSNTVDCKFRPIVPDTGSQGNSDTDGKG KQSRVCELLGYAFRSEVGGFGPAPLPRHSSLPQSTLRTLRDHYPQGEIFHFDENGSIL EFEDGRAKRCGAETDDESRIEFERNKKQLRGYQLLDVCLGARSIIFFPLWDPQKDQWF AGSLAWTNDPARLLDEDDVAMLATFGSCVMAEKAKMDALNADYTTTKAEFISSVSRGL ERAPSW LY89DRAFT_722769 MTSSSGPARPASPSNSFYALSDDEEGEYNTITHTTSGRGVKLLF SKSKVYIHPTPSAKDNIPGYIALLQQKPPPDSRPTSSGSASARLKTASSLLLAWLPEH SLGDALDTYVKVDLSDGDSPPKQSYLVPPPPTTTTHSGSVGHYAYAIPVSAVYSLLVR PPSLGWWFGSVIINSRAGDSFPALFFHDSECQSTILQKKKHARENFDPFGANGEMFWG GDEVLRWLRRYVEVERSGAEPNIYLIEPSAEDKAAFGGKVTQAAVVRRPSSSGVQVGG AAGANPRGTGDARMDPVTKFVKEAGWNLMEKFSKVTTFTRRTADSVIENPRVPPQVRR LLKNPEVQTLQEEFDSARIYLARWAMGIAEQSERDRNQRIWTARDVLEMEETDVGEFE LLDTEMGNLSLKELRKTVTMKEWTSFFDPRTGRLQVTVDEVKERIFHGGLDPDDGVRK EAWLFLLGVYDWESTSEDRKAEIASLRDEYVRLKGAWWDRLVDLGGEGEEGEWWREQK GRIEKDVHRTDRTIPLFAGEDAPHPDPSSPFADVGTNVHLEQMKDMLLTYNEYNRDLG YVQGMSDLLAPIYAVMQDDAIAFWGFQHFMDRMERNFLRDQSGMRKQLLTLDHLVQLM DPKLYLHLQSADSTNFFFFFRMLLVWYKREFEWLDVLTLWERLWTDYLSSNFHLFIAL AILEKHRSVIMEHLKHFDEVLKYVNELSNTIDLESTLIRAEALFRRFQRTVEAIDKKS NFPAPKLRHRVQNASIGSTQSLRSPPASPGATANTSGTDTTTAGATNSGAGSSSPARQ AGSADKGKGKAKDEGELEEQRPKVISPELRELLSRKVEVLPRKVVAKTGEGLSSLGKD GSK LY89DRAFT_654362 MKPVDGKVSEGSPQSPAPKPTSKPPKGDIAEQPVQEPPKQAEGQ KLSVSDSGEADYDLRPTSSKPRSESLESLTELLFSEAYLRTLTSDPQLLARFSSFLSH YEPSIAPLVLQYVETQKVVKAISYANAVAKTLPGNENAAELSKSFQDSAQHAFDTLLN TALPAWVTYNLVKTSTSILIAEITNQSTPLTRDLVGGLSEVFCITDPNVEDNPIVYAS EEFYRLTGYGRDSVIGFNCRFLQGGKTRRESVRRLKEAIGRGEEICETLLNYRRDGRP FVNVLMLAPLHDDRGNVKYYLGAQVDASRLVEGGRGVEGLERCLVRKEMEEKRNIEGD REPKQIVLEKLRDLSMAFDLEESAVVQSQSRRNSISKDEEPFTKGRAARRRLEYDGEN LASEDDDFGDEEKHTLPEWTISQNKPTGKLPGIYKKYLLVRPYPSLRAIFVSQSARRL GKLQQKPLLSYLAAPASTLAGLKECFQSGTPVTGKVAIMKQAGNNPSTHGEICWISAT PMLDGNDGVGVWMVVIVDKNSASSN LY89DRAFT_688845 MFETVTAARPSTWTNGFFRRLMLHFKNGFSHIKNVCQVTLRLGW SPWHASRITFRHILRTTSSERGAVIERFIAVKQRELDTVAVASALVASVVASLLSWSW FPVPPYTAKIALLCALVHSLLAVGIAGQQSIALGRASLHSGHWAFFMPTISGRARYFD ESEITDASRLQCFAWQIPSMLVGNSIIFILVGIAISVFSAARKAGCWGDEVVTAICLT ISLLFGLGSYFISWMSIEWKILEEIEHDTKIPDSKA LY89DRAFT_786552 MEVPFSEPRHITIFTVAAGTPSALTDMKLGRFIPTSAVDHWGIY IESADDYETHNGLCIELDRSEDGGIIARDRTRREREEKEPQKGIKYDFTQKFTAWEDR RIIQCAKDICKDQMYSTYHVIKGNCQTLVNLLVQRIASGDLYIPKSTASHIFSKGPSN ANLVGIWEADMVPSERLRLMAKTRAASKVPWNTNQLEAPGEASFTLVQSAMGPLWNIS QVKNRDDPLTLEEQRLNAYYLSNLIDGAVEPVQEQSLRTGRSPGLRSDIDIKERIKAA EMSVKNSLEETFRRSRGMSTLGASLAVYDDPKIPLERREEMRKRLTDLNSRYLRSKRA ALLDQTRQLPRLYLGKDTVRREDITSEQTRQQLELWLKNDEQDNNPEIVDKGPSQVSG PKESR LY89DRAFT_654364 MDAPSPIYTPAVSSESDGDLRKMELVYFSNEFPREDLQDLFRRL NNHSKDRHHPVLARFISEVTWAVKDEIRRLPTELKQLFSPFDTVFSWADDTKLRDGLL CGAVDGVLLIVVQLATYIGYAEHHPAELRDLAHTCLAGLGVGLLTATAVALSSTIADI PLAGADAVRLAFRLGIHVQGISENLEGRELSESPDTWAYVVHNVDPVAAQEELDIMHS KEMKPDTGKIFVSAVSRTSVTVSGPPSRLKTLFVKSEFFRGAKFIALPVYGGLCHAPH IYGPQDTNSIIYGSSLSTLDTDSRPVIPLYSTSTGLPYQSKNTAELFESVISELLTQA IYWDHVIRGVVDRVKYTITSEVVLYCFGNSIPLNDLNIALKSSTSKLSISISNLMPWI AQATPRDTTPRNAAQSKLAIVGMSCRLPGGASSTEKFWELLEKGLDVSRQVPADRFDI ETHYDPTGKQLNKTMTQYGCFIDEPGLFDAPFFNMSPREAQVVDPQMRLALVTAYEAM ERAGFVGNRTAATQLERIGTYYGQAADDYREVNQGQEVTTYYIPGGCRAFGPGRINYF FKFAGPSYSIDTACSSGLAAIEVACQALWNGQIDTAIAGGVNVLTNPDGFSGLCNGHF LTTGQNACKTWDATADGYCRADGIGSLVIKRLEDAEADYDNILGVVLGAGTNHSAQAV SITHPHAGHQAYLSRQVLRQAGVDPLSVSYVELHGTGTQAGDHEEMQGIMNVYAPLTK RRSQPLYIGASKANVGHSESAAGTTALIKVLLMLQKNAIPPHVGIKTEINPKFPRDFD KRNLHIPFEVTPWEQIPGRKRLAVVNNFGAAGGNTTMLLEEAPIRVITETDPRQTHII AISAKTKISLSGNIERLIAYLDSHPDVNLADLSYTTTARRYQHTHRVAIATSDVAHLK KQLTSRLQKIDAIKAVGKSDPPPIAFVFTGQGASYKSMSLELYHNVPTFRETIEHLDC LAQGQGFPSFVPALDGSHPKDHAHSPVVTQLALVCIEISLAKYWSSLGVKPDIIMGHS LGEYAAMHVAGVVTANDIIFMVGRRAQLLQEKCKIGSHTMMAIRASLSQIVENSGGNP YTVACVNGPSDTVLSGTKDQMDKIAVPLETAGFRCIKLDLAFAFHSEQVDPILDDFEA LSKTGVIFQEPKLPVISPLLGKVIFDGKTLNANYVRRGTREAVDFLSALKNAQNISAV SNETVWIEIGPHPVCTGFVKSTIPDTQLAIPSIRRGDDNLKTMSESMAALHLAGVEVS WNEFHRPFEKSLRLLDLPTYAWNDKTYWLQYNGDWCLTKGNTFYDTKKGPTKPVSKQA GNIQTSTVQQIIEETFNGSAGTVVMQSDLMQPDFLAAAHGHRMNSCGVVTSSIHADIA YTLGGYIHRKFYPKSTEVTANIADLVVTKGLVAQSNNKMPQLIQVTATTGDIRSGVDL TWQNVDNDGNVQEPFATASILYGVATDWLSSWTPIAHLVHSRVEALEHLAVEGKASRF SRNMAYTLFASKLVDYAEKYRGMQTAVIYDLEGFADVQLTSKESGVWTVPPYFIDSVA HLAGFIMNCSDAMDTQNNYCVTPGWKSMRFAKPLIPGAKYRSYVKMIPTVEDPTIYFG DVYIMEQDGAIMGMVGGIQFRRYPRILLSRFFSPPEQTKEEGTRKISPPPSLTPAPVA SKNTKSEARKAHSRHDSAVEMSILSPGELATTPHPVKDVKSVAVDAAAPSAESTVDLS TITGKALALIAKEAALSMSDLEDEAEIASLGIDSLMSLVIAEKFKTELDIKVGGSLFL DYPTIGDLRKWLDEYYS LY89DRAFT_594535 MLQDVDTLVMKDDKGGYRQINKSLNICAFDDYLKGQTARLPQLA NVEQHTPRVLRVMGQNPGIFTFQGTNTYIVGTGHDRLIIDTSGGEPEWAELIASTLKS EDIGLSHVLLTHWHGDHTGGVPDLIRLYSHLKDHIYKNDPDKCQQNITDGQVFEVEGA TVRALHVPGHSEDHMCFILEEEQAMFTGDNVLGHGTSAVEDLGTFMNSLEKMHSQNCI TGYSAHGVTITNLPAKIAGELSQKLRRERQVMQALGQFRSHGQRSVTVKELVTEIYGE GLDEDTRTLALEPFIGEVLRKLAGDNRVAFEMRAGKKKWYSVEAVSQIATGRFADVKA SSRVIVQAEELST LY89DRAFT_688847 MDLAFNHTSHSISPDMLSWIDLASESAATIGSTALIATLVSALA YLSYTPKVDKKSPAFTSDTFPFIGSWRFFTQKIGFWKKSMAESKTGNFSFWLGKNHIV GVSGEAARKMYLDDRRLHLIKGITLIGHGPDFIDGRSTVIHDIWKGTGSSDRSYAVRR LLDLQRSDLLVKRLPRVTKDARVALEAIAANLSPVINPSKVCYRLVVKQGARVVGADE ISDDPKLLDALLSYMPILQFTSSLHLLAVPWLSYFSLSYWKRRYGRWGVTKIVTPLVN KRMEKDAARVDDALQTLIDHGDSKDYITNFLISMLFITGANAGVISGSMLNIVAHHPD WQEKMYREIKAAANTHSRNKNASLVDQLDSLPFDAWEDMSSSIDLCYKEAIRMWVAFP MGRFNDSPNPIPIPGTNEVIPPGSFACYNTVDVHYSEKLYPDPMKWEPERWLEGREEF KKEAYGWMGWGAGRHPCVGMRWAKIQQNIILAYALALYKWSGCDENGELISKFQQPTH ALDHLAPKLPQGTHCKFVPREAE LY89DRAFT_786556 MTASTSHLSETSVASSPTKGRYLCLTICGYRKPGMSEEDYRNHM VNISAPMTKDLMVKYKIKRWTQIHNQSATRALMAQLFDPQMCNVADFDCFSQVVFEDI EDYKRIKQDPWYKQHLIHDHENFADTKRSSMTIGWIEEFVRDGEVVDGFKVC LY89DRAFT_688849 MNDTQKTSSTTHDSEAMESKEKKDMAGTDGDIVYPSGIKLALLM LSIFTAMFLVSLDKLIISTAIPQITDDFKSADDIGWYGTAYLLTNCAFQLVFGKLYKF LPIKATFLTSILLFEAGSALCGAAPSSIAFILGRALAGLGAGGVLAGTMAVMVYSVPL QKRPKYQGYFGAVFGVSSVLGPTVGGAFTTHVTWRWCFYLNLPIGGAVMILIFFLLHI PDRAANKMSPKEKLQQVNILGLLGLIPGIVCLCLALQWGGTKYTWGNGRVVALLVLAF ALLIFFALVQVWKPEQAIIAPRVFVQRSIAAGFWTSICIGAHQTLFLYYLPIWFQAIK GKTAVDSGIDLLPMVLPIVFASIGNGELVSRIGYYTPSLIFGVCLTTIGSGLFTTFEP NTSTGKWVGYQIIYGFGLGCSSQAPNMAAQTVLPRDDVALGASLMFFGQTLFGAVFTS VGQNVLDNQLTKRLAGIPGIDSRVIQSTGATDLLKLVPAQYYTTALDAYDTSLRVVYR VGLCMACLAILGAASMEFRTVKKKTPPKDLEGEGAAKEANGQHDLKQQEATDAMEGTS EKATAGALNNEEKIDGREATTADSSETAHTVS LY89DRAFT_688850 MSTISIQVTAVLTGAFLSGAMMSLCFIAVPVLLDTATEASQLLP QWARMYYYGHQIMPTIAVGTLLLYCYISFRNKRSWRLFALAGVTTVSIAPFTWIFMVS TNDELFRLEASSKVMDVEIEQVKGLVVRWTWLHFMRSLLPLAGAVMGALGIF LY89DRAFT_739099 MAALHDHEQPQLRSSCHACAASKLRCSREKPTCSRCSKRGVPCE YVAAKRGGRKPNSRPSTSTTVTGSGSENRSPSASNTAVNSNANDSDNVNWFATPSANQ RVDPLHSPDSLHMSPQTKSYVASPDILQDLFGPMDQMLSLGPPDTEIDLTDYISPPMS FSADMADVPFFGAVDFFSTGMDDSNNGSLSGSFPAFDVSELFAVSIPSPAPKDLNSAD RGFHSFQEIHATESPCSCLVRALGLMAKLFPSSYLDQSSAPLAIQVAITQNEITIEAV SKMLECSCLQDGYLLVVVSLIIFRVLGWYEAIARQKTGTQDPPANYSRSSSGSSLSGL VVQCSTVGKHNLDGADSLRMTAQQVLGELHRVRRLIDQLSSKLKVQAAKEWRRGETEV SANLDLDLEMKLPLSAAMYSQLDVDLKKRLRALSLEMIDRLRRL LY89DRAFT_594589 QLLACMNWMGEFQILACIPLQGSIATKEVADLAGVPEKLLYRVV RMMATAGFLQEPQPGHIAHTALSTPLVTDLSCLDAAIFLAQIAAPTALHMASATQRPG DPEPVNKSAYSIAFNTSQTFELTCVERTKLQRQWFAYRRCAAGDVEGSMTELLGRLNW HSLGGAYIVDVRLVCAQSTEAAIVLAEMYPSLRFIVQMMDEPARESNGPAGPGKVESS GGRITVQKRMPGAVQGVMNAAVYILRLPTAQQMLVELNAHLGVLRANTSATLILGPPL LPEPGAVDRDVEVIARQRDLYRLQLTNQYGMELGELVEIVNGVGDSEGHLIVASRLRS PTSTAVALGVKYEAYVGGTHAADEVI LY89DRAFT_594291 MATTTSQVETVHITTNGTALAKTALKVVLGAMVLGKEGAEIARV HDLKDCAALLDVLQKHGHNEVDTARVYGSSEELLGQLKLQERGIVIDTKVKSIPRGPM YSHKKDGLKRALLDSLKALQTDKIDLWYLHAPDRSTLYEETLEAVDDLYKEGYFKRFG LSNYAAWEVAQICELCERNGWKKPDVYQGGYHALQRTVEPELFPCLRNYGIAFYQYSP IAGGMLTDKYHRNTIEHEEGSRFDPKKMQGKAFRGRYWNDAHFDALDVIRPVAKKLGM TTAEAAVRWASHHSLMKREYGDAVIIGASSTVQLEENLANLEKGPLPEEMAKAFDEGW EIVKGVCGSYFA LY89DRAFT_700262 MEINFRPNLNVAIRIALSMSLLEALPMTGESLTCSALASKTSSD AEFVLRIARVLGAFDILTEGTNSEGEVTYSHTMFSRFLTGPPAKASAKHLFDNMLQAQ ANSAGGYYQKFGFHSPTDPKNCGFSFAHGKEDMGLFEILGAQPERMKLFNDAMMVTAS FGLKELSTCYPWGELKGNKDGVVLVDVGGGKGHVVKELRGAVEGFKGQGKLVLQDMGV VLEGGVVDLDPEVKLMAYDFFKEVQPVKGANYFFKAIFHDWPDSSCPQILKNLAPAMK PTPSNPSPKLLITDLVLADHSPPAGLVLRDINMLVIGGKERSRSQWESLMNEAGFKII GVHSQEGMPIGSVVECVLA LY89DRAFT_758473 MEIERRYHQNHDSRPSPRFLKFPISIEAITKALNDAKLTNKDGS KVIPAQVDSGFDSVRSGLLLLAAKHQIGANLVKSSLAWDPQQEGDLGDPEYWSIGEDT SIDTSKCDPDYFWYRMEITSPALKFSKGQSMTDVRRVCDILSQDYLTVVNTSCNLHVH FSYGRILSRMDEGDQNPAFDSMDTHWLASAGIKIIRSALDLPALTKLISQTDIFEGFS SRDMSVSIHHITHVLYPGWNSSTAGDIYNDRLKRKRHTVEFRLYEGTLDKDKVEHWIK TLGGIINWLENYTVEELERKLMQMLPRMKETTDLAPQERGSEEGQEENGEDRNRKAMG GEGLAGKGKEKQSDEGELGGGTDEENGCRTVIDILEQLGLQCQADFYRDKLYLHADAL QWVG LY89DRAFT_229665 MPRMRATLICLLFIFTGVANAQLGANSTIDPSTVSATVRSQWCE AQINTCGVLCSGDANTNTCDTTTLDYGCTCASNSSAPGLLYYTETIPTYCCEQNYANC ISAASGDASAQATCQQNEKTNCGHLDPANFTAVPVASASTSSATQQPRLANQVAHYAR RQRARQVQALRPIPRNKQTLEPLLPPTQVPTQIMVFRRAQRQESESELHSAHFS LY89DRAFT_654387 MLYDLLERRKEKFVLWIPGGAEPPSLVLGTIDGATPANFTQLFQ GQLVQANNIRFFTDVVMAFGHDPYVYIAFDQFHIQPYDPNDPGDNWQSHRDNQLRDGY GGRSWKYIENTTTYDPKSGQTQVVHPSWAFHQGHLHRWMSDFGVAINYITSHDVEGYR KERLYNFLDSNGIIDKETRSKFAFALLLTAVGIPMIFAGEEFCDQMDRPIGQKQIDPV NYERKAEPWRSAVFNYVANLVRFREQCPALGDDDTNFFFTDESRGGKIMAWTRGGSGN APVVVVANFTDEDTPGTEYVIDGWPDQDRDDWREVSQGRDVPREWVGREPLMQWEVKI YTYWK LY89DRAFT_786565 MLCVLLTRLLRHVILCGVALPYIVRARSVNLRSTAPAPLSIPPS QEFDGDDGPWSTFTIQIGSPPQSVKVLISTYATQTWAIAEEGCGSGDPTDCDTLRGGL YNYSASTTWVPNLANLTTQIYGLGLESNLGYSGNGRYGFDDITLGFQGSGGPTLKNQT VAGVATKDFFMGIFGLKPLSSNFTSFNDPIPSFMQNLKNQSMIPSTSWAYTAGNQYHS SEVLGSLTLGGYDASRFIPNNLSFNFSTNDQPDLAVQVTSITSLNSSSNDPTILLSTP IITYLDSTVPYLYLPLSTCDLVASTFGLTYNTTTQLYTISNTQHTLLVAQNPTINFTL TRPDSLGSPINLVFSYQAFALQATWPLVDTPTLYFPMKIANDSSQYRLGRAFFQETYV IADYERRNFSISQVRYDIPTQEIITIYPPGNTTSLSTSTKKSVLATGAIAGIAIGGAI LLISLILLLFYVCHYKPKTLAKRRASELSAAQQPTSQPTEYTKPELDTISPILHEVPP DNFPAQEIDSKSKQVFFELPAKEEVAAEVSGSTNLSSVLLREQEEMRIRREGEVRWSW DRRRRASRGEKSVGTESEDLSSGWGDREEERTVWSLGTTLRGASEHEHEDEKGKGYVP DMELQDVVLNRDGEERDDDEGDAGASSVSSGWVSSPKSAFSGLR LY89DRAFT_229739 MLPQSLWLLVLSYLSSIEARSSPQLRGVAFSNGTFTNASLSVVS SSIGSVSSAVPISTQTATATSISTSDTGKSTNSSIASQISASKILKSSAPTESPKTTR TLRNETVQTHAPCCFVIQDTISEEWWQRTSYISATNLVNLTSYTTYITERPGITATRV ETNVYLTNASFAFTFLVGKDPISNLINSAPTPVEATQVLNATQIVTGGITMQSPSAFY VYNTVKIITAAPVTDARGHVYCATASTGAHGDVFRHINSNAEAYFGGLGIVGQSYAPI STSVTTETDTYTELYPITSVVTETNTWSEVFVSTQYTNSAGSVVVETSTPTGVVISLV TPFIYAPKRGTTTPGPFAHCIQDADTEAYGYVPKTLIDFLASDPQYSSQYPGLESCYG GGPSIIQAPMCYVPFETTTFQTAGGDITSATTIYW LY89DRAFT_625116 MTSQDPPLDEIQWRSPGAAQSMMGIHENSVLHYFAMSPFFDPTS NNGIISSQAQYIPSLMNVVATRVAFEAHLRTMSGLEFMISEQPAEMTPGAGTGVWVIR KQTRRKRHAEEDEITVHSTYFVVGENIYMAPSLADVLGSRMLSLMSSLNKFVSSAAAL PDFSPAMGHTYMPPSSGNRKTNESQLGQASKETTPLPDSLQTPKKSAQSNGSSYISSR ILEDSLLISLKYGDEYMDEIPITGQPGEFHLKSTGRKDASKLMVPAPGKVLQMPGKAP PAPPSLKTDLPPERKGSRSEKSPKTPGMPKLKRRKSKAVGAGSTSPT LY89DRAFT_688861 MPPALCPGRHEIDDVALDASPSENLLMRLPIEIRIDIYKYMIMN PNGSSYHTTAYSFSAERGYSFYTVNNACPSLYDLSILRTSRKTRKEVLEVFYENNIFC IAPFRNHRYSGSGYEPTGLLSFGNIQHLCLDLWHTSKYDADNETTMFYNMVNIIAHMS DFLTMKSITLIINASTFTRDLFVEGLPSSKLGFEASK LY89DRAFT_229773 MVQRVDCFRYCADKLSEIKSKKLIVYTPYHARAAFHQIAYISRT YDANRYLQALHSELGGELWINDILSRKDGALKGKLPFPLNCCEFDHTRVWDAMASARN RERRFFKLTSVFGFSCTTLTESDHASKAEGMSDISPMGNPFILHTINKSLCQISACYE EIDVRLRQPDLTQTEMEKIVEEWELKFDSEMERLPDPKTMSTEAKLFLGGVAAWPVRY HHTDVAD LY89DRAFT_688862 MDLLSSIRKSGSRGGVNFSWDEVQTSQHRENYLGHSLMAPVGRW QKGKDLSWYAKGDDTPGEDGETAEQKSVRERKEEIRRIKEAEEDALARALGLPVADRN VSGANAISVGEVNRMVKEVGVGEEEDGGGEGRSKGFGDFVGKLDGREAIDTAINGDEK GGLVKKGREKERDGKRKDDGRERRSSRERRHRQHRHRSRSGDRRRHRSRSGDRHSRHG REDRDDKEGRRPRRSRSPERRERRYRSRSPDGRTKDREDRGRYVERSRRSRSPASREH RARRYS LY89DRAFT_654396 MDFSKLRATALQSANDEEAVTVNTRALIDKVLARYSGEWTTLRE LIQNAADAQASRVKIKFESIPSSTIPLPTTTNASEILKHVLLNHTLQRLVVTNDGLPF GDNDWTRLKRIAEGNPDETKIGAFGVGFYSVFADCEEPFVSSGDQAMAFYWKGNALFT RKTNLPAEQTSRETNFVLDYRNTTTPMPNLLSISQFLATSLTFVALQAIELWVDDSKI ISLQKKVSPGVEVPIPRSINTATKEGIMTVQSLERESVQMDATFMNVVGWKPATSVTT PKMPGSFDGAYGSSTNDTGVLLKSFFSRITIGSGHDKTKTKAMKEEKALQEIVLEDVT ATTTVSVFLRVTTATVKTSVSMQFAEELERATKKPPPRITRPALLTASYDEAVASTEE NAVAKGVDIFASVVPGKHPGGRVFIGFPTHQTTGAGMHLSAPSVIPTVERESIDLNAR WVRTWNQEMLRAAGIMARLAFMNEMKDLSDDIKRAVERAGRGSKVSKVEIDHFTPRAL HILDTFIFTDATPNPNVRHLIEEAFWVANSNPDVEIFSTRGVLSNRHVRLATEDFSGF VEGIPVIPKALVKNSFIQKLVDFDLISMITTDDVRKELSAKALSGDQLSQFIKWISHK AAKNEIDARTIHSLLDVAVATTSDAAGNGNVIALGTINNYLEVKKIPADVPIPPTCIP FEFSRASQFHEMQALGWQSLEIDDWLRHLVKTNKSRPAEQDYTKTTAFAANVLQILSK GWSGLKQESRDDVVLVLQKEAIVPSKLGIKKPGESYLGNVKLFDDLPNVITITGVKNP FLVELGVRKTVELDTVFNRLLATPAEGSADKDANGNRFMDLLKYLASVHDDIPAEDMK KLRNAKFCPAEAGPKGMESTKGTARKYSVKELFEPKDDLRQLGLPILRWPGPPGSYRV KSATGEFLYALGLRPFPSVPELIEMMASEAPTPGPHRAMDYFIANHHNNAYYQFRIGT TDKKFLPLEKASGSTVQVVSPTECFTNPNCEVFGFNILRQDLHIHANKFDVTANPNIL ECVNRLLASPPTDQTQATKVFEYLSSRLNELGQSSVAKIGDSRIVPVGSNKFGRREPF SDKMLTPRQCYIGTSSTYGEIFEFVDFGSNANAFLFKCGSKTEPTIIELATLACNEPA RLLGVLQSPEKYMSLLRKLADEKHQLRKNKDLWKQMKKARFLLGEAEVSANDTNEKRS SQPPVSGVDQNGVDLDDNDAAPAKQYLLAIPSEIVVIDDFVSYRLFKSSLVTAVEDEK LEDFYMDLGSPALSVLVKTSLSLGQRSEKQDSAVQMQNHVLERAKLFLHELKREEIKH DYRWLEKNLKVEVVSAIELRRSLASVGVSHREKRAAACEYTGSSLSSQHTLYITGAKS SLYQISTSICKLILERAGQSHMITFEQFLTLSLIDLKNRGYNVDRILRIKAAERRIAE EERQKQLVLEQERIRHQENLWNQRQQQMSLAPVANPEDGQQMPGSFGADSPENSPTPI QQDKSRFSLSNFVKRGGMSGLGSFGQHLQKQLGGGSSGSPSQEHDEVADVRKSVKPQP QKLKQGEIERVTSPAAVSQNLASAIAASRPHNSDHLFSQPTTAVVKEQETYCDSASAQ NVVYLADTANSTRMFIDKDHPAHQEFLRQNVQSLNDFAVLLHEICAIYNLNPITMHIF YDPAGNTIAFNSNGSIFCNYRYFLQLHKENLADGRGIAGAYWWVVVAHELAHNLVSSH DSQHGFYTENMIAQYFPRMMEQVRRWNNDLTKVKQINQRINQRF LY89DRAFT_560472 DKDEPIIAPIPPLHHQIIYFLSIWSFKTILNTGLFLRKHLTAPP SSQLTPLVKPYPVRPTLKNRIFLPPSLVPNAKYPVYFSIHGGGWAIGSPEIDDGFCSS FCRKNNIIVVSLDYRKSPTYKFPFAVTDTTALVLAVLADSSLPIDGEKISIGGFSAGG NLAFAACQDEELKGKINGLVGFYPVLNSAESRETKEDRRPKGEDVPRDGVAWSAMFVD WGYVPQGQDRRDPLLSPRFAGRDVFPGNVYLVGAEWDML LY89DRAFT_229914 MQATLRKAKNNYDGHLSALTSALVEFQKAQCFFAVTLQIAALIV IPPYFNGMHEQDQILLRLTAANGFSPIVLTLAHIDFLGGRNSWYLLFLSGVTFTLGTA TYWSTSPSLTGGPINWQTTYQNPIAPLSSCGNVSPFAPCFVRNQFQDYNLWPKFQGVS WYTLTDTVGLVVWVICLGIFLYRVTYKICHIRNNWERISKHLTILRTDYKASFQAMVA KVQSYKQGARIVSVCGRFSATLRLPQISGTMAHVASQSKSWDYAQLVLGYLGLIAQLI SVIIVLASSTSLIATQMTFGQIVAVGIWVPVFLEYAYLEISKQILLRAGEWMY LY89DRAFT_625127 MPFISSELSIAIIGGGIGGLVLALQLEADGFKNITIFEASRKLK AIGSGINLQPSAVLVLRNLGLLSELEATGIKTAELRYYNKFGHYVVSEPRGEAAGYLI PQISIHRGMLHDLLLKAVTDRLGEDCVRTDHVFTSFTQTEKSITANFTRRSDPGIPPK FSSKTADILVGADGINSTVRRLLYPNEGLPNFSGRLLWRGVSEREPFLTGRSMVWAGH ADQKFIAYPVGREAELNGKSLVNWIAELRVRDKDDPDLTPPQADWGKVVSKDRFADKF QSWTFGFLSIPELIAETKEVSEFPMCDRTPVDRWSFGRLTLLGDAAHPLYPIGSNGAS QAILDAVALSSALQLDSDVPLALTTYQNIRLPPTAKICFANRANGPDHVLQLAHERAP DGFENINDVIGSMELEEVGKAYKLLAGFDLESVNRKARETEG LY89DRAFT_674259 MAFRPLPASTIARVVRPAISQTAIRHYSAPVDGAIPAAKQKYVP TGGTYPKGFLVSGTHVGVKATNKSNPDLAFLASETPCAAAAVFTKNKFQAAPVTVSRE MLKRRSNKGIRSVIVNSGCANAVTGKGGMEDAEKMGAEADKCFGQSSDGKGGSSIVMS TGVIGQRLPIQKILLKIPTAYDGLGSSHEHWLTAARAICTTDTFPKLISRTFSLPSSP SIEYRIAGMTKGAGMIHPNMATLLGMIATDAPVASNLLPSLLTSAVHKSFNSITIDGD TSTNDTVAVLANGAAGGQEITSGSSEDYAAFQSTLTEFATDLAKLVVRDGEGATKFVT IRVTDSPSEVGARKIASTIARSPLVKTALYGRDANWGRIACATGYALLSEPGLPVNEV AEVVPEKISVSFIPSDGSPELKLLVNGEPESVDEARASEILEHEDLEIHVSLGNGKEQ ATYWTCDYSHEYITINGDYRT LY89DRAFT_594325 MEIVTACQDVLEDAAQAKAAGKVLPSLEEERAAHEAALAKEVEA RKAEENKKKELEHLEEQRIQDTMIQDELKRRKERVQESRRKNRPPPQVVSQTSIDTSV NGQAPHETLAFEQPISLMDTHNNQIIFQVVAGKICLRKGPTSKNFTVRPVVAQGAGDI PILVLKQTNLDTEVKDSNKFKSQLQLLESELKSLKTIRHQNILEMLDYKVHKTIDEDG ESDTSWTVSVLTEFAEKGSLQEFLDIVGSLGVEKVRSWTIELLEALRFLHEKGIIHED IHAGNVLLVRSSNGEVKPKLADAGYQNKLHALSGRKQPTDTISVAKSAYWLPPEIANS SQLQYTQKTDIWDFGIMFLQMMFGLTVVQKYSAPSALTDSLALSDSLNELVLKMFKTD PKKRPRAVELGPSEFLATDAPILEDDNEHSASPSKFGSVSSLLPITPRRQRRESMTVT SPFPRSRYMEDFVEEGRLGKGGFGEVVKARKRLDGQIYAIKKITQKSSASLTEVLKEV RLLSQLAHPAVVRYYNTWTEEVFDGSENDEDNTSTEVGTVEDSVSELSPGHDSDVDVG ASSRGLDFMSSLGPQIEFGYDSDEEVDEDDEESDDELDDTSSLGEPAHNGITSPVKRG LVQKRTRSNSRYLRPYKTILYISMEYCEKQTLRDLIKRGLYKDSDEIWRLFRQILEGL AHIHGLNVVHRDLKPENVFIDAASNVKIGDFGLATSGQYTMADKASSAAAHISGDMTR SIGTAFYVAPEVKSTVAGTYTSKVDMYSLGIIFFEMCFRPLIPGMDRAIVGESLRHKN PTFPNEFKVSEKPIQADIMLSLMNHNAKNRPSSSELLKSGKLPVQMESETIRQALIGL TDAKSPYYDKMMKALFSLPSSQAKDYAWEMGAQHPTANDLLLQSIVKQKLVSIFRHHG AVETPRTILFPRSDHYGPNAVQLLDERGAILQLPYDLTLPFARAIAKHEPAVERSFAF GRVFRDRDSGGQPQTFGEVDFDIVSTDTLDLALKEAEVIKVLDEIVGNFPALTTTQMC FHVNHSDLLDLVFDFCRIEPTIRQAVADTLSKLNVQSWTWAKIRTELRSPLIGVSAPS VDDLQRFDFRDTPNKAFTKLKTLFEGGDTFQRASSTIAHLRDVIEYTKRFGVRSKIYV TPLGSLNEKFCKGGVIFSCLFDRKVKDVFAAGGRYDSLIREHRYKSGTHSEPRHAVGF NLAWEKMARLPKASAKGFLKKPEEEVNGIWTTKRCDVLVASHDATILRTSGVEIVQTL WNNEISAELARDSRSPEDLLSQYRNDHHSWIVIIKQDSVLKVKSMDRKDVDDADIPSH QLLAWLKSEIRDRDQRNGTHQRARLQRGSSQQDTSAAEHEQDVRVLVAGTKSKKSNRR NIVEQAQARAASVVHEFLDGPIAAIETTDQVMELIRATKLSDPEGWRQVTHAVPTTER RYIGEIHDLMNTLANQNKDTTRNAFVYNFRTGKCIYYDLGA LY89DRAFT_688866 MHYQGDTKAGTLIGKDRYGNKYFENLEEELPLRTRWVDYKDAEL DPSHIEPGWHAWISYMVDKPPTQDPILQTQVRPWELPDHRPNFTASRGAFKTYSTVKP KLTAWNTTAEPRT LY89DRAFT_654414 MIVRSTIRCSATRRLPVYRMRLLQAKPRRYSTSASSVTAPPSSS PASMLGAFTNELDKIAPKFEVQGSQIHVLRTPSEFYETLKSKILGAEKRIFLSTLYIG KTEHELIATLQQALRAKPKLKLSILTDALRGTRESPDPSCASLLAPLVSEFGADRVEI RMYHTPNLTGLRKKYIPKRINEGWGLQHMKLYGMDDEIIISGANLSSDYFTNRQDRYH VFSSKEITDYFSKLHHAVSNLSFLVTPSAQEPAGFTLEWPTSNLAPSPLTSPTAYITA STTLLTPLLRPTSTPHSTAVSNTNTTIYPISQLTPLLSPDTSTELPSLTRILTTLSHP SYASSSWTFTAGYFNPDPSLTALLLSTSSRTNTVITASPYANGFYGSKGVSGLLPAAY TLLSRRFLERVHASSRDHDIVLKEWRRGTVGEKEGWTYHAKGLWVSVNGEENPSLSVV GSSNYTKRSYGLDLETGVVIVTGDEGLKNRLREERDGLQEFAGVVGMDDFLKVERRVS WRVRVAMWIVGMVGGAL LY89DRAFT_674263 MVAETKLYNSLGIQPDASQDEIKKAYRKMALKHHPDKNKDNPAS AEKFKEVSQAYEILSDPEKRKTYDQYGLEFMLRGGPPPPEPGAGGNPYAGAAGGMPSG FNFGNMPGGAGGGRDFHYEFSTGGPGGGGFSFSNPESIFSEFLRGQTGGMGGGADGFE DLFGSGGSRRSSGRSRTAFAGAEPAGVRRAQTPEVTTVERPLPLTLEELFKGTHKKMK IKRKAFDEVTGKRTTQDKVLEMDIKPGLKKGSKIKFKGVGDQEEGGQQDLHFVVEEKK HPLFTRDGDDIHHTIDLELKEALTGWKRTVTTIDGKQINIEKAGPTQPGSKDSYPNLG MPLSKKPDTRGNFVITYNVKFPISLTPAQKTKLKEIL LY89DRAFT_786579 MAAHLQPNNEQIENVVNLTGVSREEAIQRLKGNNNNVEQAIGEF FDSDGSSNKYRWDEAAFNNDQDGIPSNHGIAFDIHAPDDPGPYGPRFDGAGAPSRPPS RTSNNKSPLSKIIDLTVEHAAADPSMSIGFDHDSELQQALAASRAEAGMSPQETGVTG TDQVYFGPATRPQNEYDSGQWGLVPLGKSAIQDEFLDPEPAQRVRAADAPAFLKPSKN HRLGALITIYHEIPVTRNLFMNAQDTDIHFGYDKEWWTGKQIELPNLSPEDEQTHFTV DREFQRLMAFLDKTERSYGSVEALANHPEVVRAHRRKSDQSIEAAVLDSWRRKFDPNK LALTRQIFSTGVDSEREENAQDFAILELILPMTGSMQETFYDMADEILWPNFGPTDIS DSPYLSRIAEVIAFQVEGSDDRKRVEIPLVWYPDRYLKPARQAALDMRLHKREVQEEL ERIDKLEDQLTHIPLRTGKIVKVQDLLNASLKHDNYEVKRDVSELDDDMLSERRPSPA ATKLSSELRKLVASIDKKLIALNEEKEKARQTFRELSKLYTEPTSEPDSPKLHKYTLR GVSTSMNTMFICRRAEPDLIDMDVDGDEPSGPKDQWWMINYANEGYNPVTVEKTTTER VLEEARYETSNTLLVYASEKAMNHPIQALPKALESFVKWENTNFKSEFRTVEDIQTDT QTIIDDSQPVGLSSPGKRKFHESSLYNQDYGVKISEREVRTSDGSPDWDAGPSNDSFA PNGKQEVIMGIDPSLLTKDHNDHEAGQEMQERSSMPMLSGRPLTKEKASTIDSMDLDQ VVEDMNVKEESAAVKHVGFVE LY89DRAFT_594358 MASVARPRLMNEFKSLDKEKWVNVELRDGGLFKWDIGLIVINPD SVFNGAYFKASLTSRPQLACEMTFTDKYPFSPPTFKFIRPIFHPNIYTDGKVCISILH APGEDEQSGELASERWTSVQSVETVLRSILLLLDDPEISSPANVDAGITYRDAREVYD AKAKESVKESLQDVPKDFAMPTTLEEAPPAKIQEDDDFWNESGDEDDFGASDSSGEDA MDEDEEDEEEPEEDEEMEEEE LY89DRAFT_700273 MSSEISLTEGEADFHVEGAGQPCKTHYKIFGTFPSPSGVRPLIV LHGGPGVPHNYLLPIRHLNQNSIPVIFYDQLGCGLSTHLPHLTGTAGAAFWTPQLFLS EITNLLSHLKITTYSLLGNSWGGMLASLHGISQPPGLHKLIIADSPASMPLWITAALK LRSQLPQDVQDTLSKHEKDGTTDSAEYEAASQVFYERHLKEDSTVYNVMNGPNEFHIT GTLKEWSVVDEVHKIKVPTLLINGKYDEAQDEVVEPFFRGIEKVKWVRFAESSHLPQL EEMEEYLKVVREFLAD LY89DRAFT_230160 MTLFTSIFRPIYKYFSKPKINATEKSSKKIEPEKPNKESDPGNK INTQPQPKPQPKPQTPKSKPLSWWASIKQKLGLGKKRENCNCRNESAEEGFARFKRWV ENGGMFVNPDGTTPTIRVVHTPTTRVVHSCYHHRRAPRSRAWRFDDNVLVAAYGSGMF DEHRF LY89DRAFT_654423 MLNWWLGKPAGRNRVEDENAELLDAPETPAPVFAARALKSAIFG TPARPDDTIYDDLDRTMPKDDRSSQARSMSPTKPSGILLTPGTAMGRRKTVSFDHEVA DQENESKTRVKPSSKSGIPDDCPGKFPSPWIPKSEARKARRRTSLTQALEDARGQKSE KSRLEVRRRSSDSEAAPQPAPKEEIPHTTVSSQSLKPEVDSQDFLKEPTKEAGVDSDM TVDLNEPHSKSGKFWKSEYERYQEDIRVEITKLTNYKNLAKSYAHSKDAQAMNLAVKL KEEQAKVIEMENKISKLSFKIARLGREGRDDDAPVLIKELARQTALAVQYKGQVEEFR VALEENGIEISPQKGASKGETGFASPRTEQTILDTRRELRKARAQLKDMAAFREELQS LHQALSDAKKASTKLEAENTKLTQELLHSDLRLATHLEKCEAKDHQSDEHKLKKDEAI QNLQRDYDQLKEQAKSSRRDAEQLLKKRQEQIVDLRKELASVRGSDFTVKELQQALQK KDLEHAQEVEKYKKQIEDLKRSQETGASFARRLEEKTDNKEDIILPPREWYPPKASPI RESQIPVPASTISRPLKTFSSRSSLHEDSLHTPRPRASHPALSEIINNANVDTLPPQR YGPVQHTPMGPTTPLSDQFPMTVKSPELTMPCFESTQLVEPAKGVHARNCNASPRPSM FNIASSPPKAALMRTHTANGLSRQRSNNDLGSRRLADINSSRLADASRLPALETTKTK VPIPPERVAAAKARLEAKAAEKKKAAEAGKENVKA LY89DRAFT_625151 MPKRRKTVDEDEAQVDQGEEELAPKKSRSSLGKSENGTPIKTNS SKRRSLHSQIVADEDEASESREDIEAAEETNDLSEGDELAEEEVQVTPSNHTTSPHRR NGNHPISRTPSKGLLNKKLFSTPTKNTDTTDVNDTPRITRNADRSARKKSTRNLIERT ILGNTSENDEEEDELAGHIYGSDDEDDLGEDADGLPDDSNAPATPSKRGRAKGSKNRR RSPTPPENLAPHEKYFIHNRGGRAKTSNNNLSSLALLDHEEYFGLARDMKDSHADRIK FLEELHAEDFKQWQFELSQNFNICVYGWGSKKSLLMQFADRTYNSQADHSKSKIIVVN GYVHNLTVKDVLNTVALAVAERGTKLGAQPAEMLENLIALLEDDKDQRITLIIHSIDR PPLRKSATQTVLSRLSAHPQVQMVASADHPSFPLLWDSSLRSTYNFLFHDCTTFKPYT NEIDVVDEVHELLGRSGRRVGGKEGVSFVLKSLPENAKNLFRVLIGEQLAAMEGGSGA AADGDEDDDYEKQPTKFRNNDAGVEYRVLYKKVVEEFICSNDMAFRTLLKEFHDHQMI QSRKDALGTEMLWVPFRKEELETILEDIMS LY89DRAFT_625156 MADVETAQLETMAPHDGEGDDVSTALTTTNTEVAAPGERKVKKI IRKKKRPARPQVDPSTMKSEPPPQTGTIFNIWYNKWSGGDREDKYLSKTAAAGRCNIA KDTGYTKGDKVTGSYFCLFFARGICPKGHECEYLHRLPGIHDMFNPNVDVFGRDKHSD YRDDMGGVGSFMRQNRTIYVGRIHVTDDIEEVVARHFAEWGQVERIRVLNTRGVAFIT YSNEANAQFAKEAMAHQSLDHSEILNVRWATADPNPMAQKREVRRIEEQAAEAVRRAL PAEFVAEIEGRDPEARKRKRIEGGFGLAGYEAPDDIYYARGANAVNPVGRQGLELEEE QRLMIEADQVEREEAEESGIFSSSTLAALKGAQASVAPKPAPKASSGPLVAYDSDSD LY89DRAFT_688878 MLFEVPGEVKSASLNTAAAPFPDFLIDNHSLPDLVPQYHAASMS NSLADSPESQAIRHEIADLEKRLRDANARLNSIEDREEADSNAPSKVLRSDGPFSASS HHFLLLLSDSALPLGSFAFSSGLESYLAHSRPSSSFPAFLEFSLSSYASTTLPFVLAA YRKPEDLLDLDDALDAAIMCTVGRRASVAQGRALLSIWDRSFSSALPPGASGAAVESL KGIAGLMKSASSKESSDLPPASAHLGPLFGTIACLLGMSLQQTAYVFMLSHVKALLSA AVRASIFGPYHAQKLLASVEVQEGIQAVIDQQWNTKIEDAGQSVPVMDLWIGRHEMLY SRIFNS LY89DRAFT_674271 MLVSLILRVCQLLFAAVVLALSVVLVHGYGPGHAPSLLDYGVFC GAGALIFAAVGVLALFLEPLQGIIMLALDGVASFFLLAGAAAFSAKIRSGSCTNGYYI ADILGTINTSPYKFYAGNGDQQIENAAKDLENRCRIAQTDEAFLWIVVICFIATAVLG FLGKSGKRGATY LY89DRAFT_786586 MSAALWRTIPQLFPGKPTFTEADIPEQHGKVIIVTGGNAGLGYH LCKILYERGAKVYMLSRSQSKAEEAITKIKTEVTKSPGDLKYIHIDLADMTTIKPAVQ AFAAQETKLDILFNNAGIGAAPDGSKTKQGFELLMGTNAIAPFLLTQLLLSYLQTAAK TAPKNCVRVVWTASPIIEDSYVPAGGLTVSHLESPHLSNFNNYALSKTANWYLASELA HRVQKDGIVSVVQNPGNLKTAVWDPAPWWIRKLMSITMHPPIYGAYTNLWAGVSENVT LEDGVQGKYVVPWGQWHSTPRKDLLDALKGEGEGGTGHAGKVWAWCEEKTRVWA LY89DRAFT_674273 MTSEARTSNSSLQSPDISTSSVASGITLVNVQQASNEDGSAART DSNRCNSQLTPTSAAATSPVASPGAEQRNRKPLSFSMNSLIKCFFSKEIKMNVATLTS VTLAVLAVVYTILSDNVGQKQLDLGMWVFCKSYPDDPQVQNSTACQMYSQKKSLDDLR RRDRTTLSEAPIQILDSVPDWLSVPSRIDRHSCQVVCWNSASSHTHVALASTGVSRSY LNTVDSIRSMLFESVIPRLRITVDSLLKCYVENRDKISSLVIESVIPQLKVAIGSPTL SYAAITRSLRSFILDSVIPQLRKLTASQNFSYMEVLESVRSLIFVDSIRSLVIDPGFP QLAIALGWPKLSFTEVGNSIRSQILDPTLRKYIVIDVILWLGLKALAPGVVLVTQLIY QYGMLLVKILCRPAIRISDDTCGLQQRTPFELIHQVWLESELHVHAMEGDRIMNR LY89DRAFT_594761 MDDSSYYFTGEELTFSPTLPPTTIATSTREDSPSTEVVNRKPFT KNYVESAIQQTCATMIIEMIFAYPRMMTRRETLPPFMHAFSPADDTDNAQNKLPGHLV NCMAIAQLFAVRTADTQSFVGTTIQAEIRGFRSRLSTFNAYDSMSALQACLLYLVIRA VEDAPQEAKDDIEMLLIYDNVCQRAMETVQGSCQTTNNSPDLRWKDWIFMESIRRIGC VWFVLGLVFHIRTGKPCFLTDHYREILLPCTKSEWEAKTEGEWKKENEIAAASNGTAL QTFGDLIDAHRHLPGSQMFDKLARWNAGVDNLGVMLNLAVRMV LY89DRAFT_688883 MAVTNKTIALISGANQGIGAAAALRLAKEHNYHVIIGSRNIENG EKVANQIKSEGFSADTVQLDLQSDESIAAATTYLAKTYGHLDVLVNNAGILYDRRELT TRELFDVTLSTNVTGTACLTESLLPLLRKSAQRPRVLFVSSRMGSLAESLDSSRPWYA TDYKAYDASKAAVNILTANYSRILANKEARVNSVCPGLVATNLTGYIAYGTTTEIGAQ RIVELATLTGKDSDVTGTFSDKDGSISW LY89DRAFT_654445 MSLFSRIVLPSARINLRTSQRLYRPFTSSVLRLNKYNRSPRKTN RSRSQEQRVQQDVSDQYDQNNFEPPPRRPHVNSGKIVVGGIIAACAGVYIYSVNLEVQ IKSNFSNKAKENIEWFRKHFVMSYESIQNGRYYTILTSAFNHVSLMHIGFNMIGLWGF GRSIITLFGVPTFGIIFVGAAVTGGIAQYSTWQCNKGYHKAVVGASGAVFGLVTALTF VMPRHSIILGFVPMPMWTALGISVAASIAGLQGVWGPHLGHADHLGGMAFGALFWLLV LRRRPRGWMPYYP LY89DRAFT_625174 MDYYEMGRCPVYASFFGAMGCCVALTLSCLGAGYGIARSGIGIS AVSVMRPDMMIRNLMPPILAGVLAIYGLVVAVVISSSLKEKSALHTNFVQLAAGIAVG LSCLSSGLCIGIIGDAGVRASGQQPRMFVGMMLMLIFAEVLGIYGLVVALLLLS LY89DRAFT_230274 MAFTQCPGSSWQVPPFASYGWGPTDSAMLSAWSAACNTQRSGNS SSASITQTNSISTTSAVSTSTSANYSSTINVLAITTPKPSLGGNNTSTSTATIDLTSG RNSSSSSPASAPIPTTSSSAVLAGTKNINAVVGGVIGGLGLLCLIVFGVLILRKVQRS EDRKPKRNRSWWRFRQNTAMQTGGLHEKAGTAFWRYEKDGQSKIIHEKEGDGPRRQAT ELSAETIFEMEQDG LY89DRAFT_674278 MRGVTTFAIAATLLSTCTEGIQLHKRTDGAPRVVEFPVSRRTTP NPVARDRLRRRSETVQATLDNEETLYFANATLGTPAQSFRLHIDTGSSDLWVNTATST LCKGKGSPCAFAGTYSANASSTYSYVASDFNISYVDGSGASGDYVTDTFTIGGTTLKS LQFGIGYTSSSDEGILGIGYQINEVQVGRAGKAAYNNLPAQMVADDLIQSNAYSLWLN DLDANTGSILFGGVDTAKYHGSLETLPVQKEDGYFAEFLITLTEVTLGNTVIASNQAQ AVLLDTGSSLTYLPDAMTEAIYEQVAAQYDSSEGAAYVPCSLASNTTTLNFTFSTPTI SVPMDELVLSVTSSNGRQLTFSDGTAACLFGIAPAGSSTSVLGDTFIRSAYLVYDLAN NEISIAQTNFNATGTSVVEIGTGTTAVPDATLVANAATAVAGTNGGAVAGTVTVGGTA TSKAGAQRTTAPMNIGAMAAVGAGIVYAAM LY89DRAFT_722811 MFVSLVQSSTLILLIQSHYSQHYFLVPFLHTRSDSLQLQDAIRQ TLYFEPIIEVSVPFLGRYFSDDSLAPDSGQGSPGLLRGRGHHDVRMRRQNSVGSDIRV FAGTRLRRELPVVCFKSATGTESVGVGQRYKAGLSDVVSRMHIILCYWWRKTA LY89DRAFT_758503 MFLARDANATSSYSTQDHGPSIVEASWILMGIATIVMAARFYVR ARLVKRISIDDWLMLFSYILTIIATICFTISVHFGLGKHEELLTPAGSVEAVKWNFIG QIIGIVSATCSRLSFCMMLFVVTSVTKQTRQQRISRILLWSVALVQLLVNVGLVIFLL TQCDPLKKLWDRTVSGTCLPLDNQEHFAFVQGSVNSATDLALAIFPATIIWNLKLKLA IKISLIITMGLGFFTMIAAIVKTVHLNSIAHLTDFSYETSYLIIWFSLEMWFLIITAS IPTLRPLLCRTSRSSYGSKTISGTIIPGSKAAAAWNRSRNFTNMQSEFKELTNSPITS PNIQGDYYYGRYEPPMAERTERIAVGMDDGSPAGIRRPVFLEVSV LY89DRAFT_786592 MSKMYFQIPIILWGLSRLVSGVAFINPPDGATQQAQDYSSNAAH KVGSSLKIEWSLQSAEQDKPLTLVMWEDVANTYPEYISQDIAANTTTFDWTVATNKTF STTQAFFFDLYFSPATISSGVNNEVAISHYFNITTSASSTSSSTSSTSTPTSTSTPSS SSSSSSSSSLSTGAKIGIGAGIGVVAIAALAVAFLIFRHRRQKKNANLGIATDAFPAA EKAPPGYKEHHDEETGFVVPGGVSELAGGGYKPVGVAPEGGASELDGEANAGLDRREG IEGRYEMGG LY89DRAFT_594114 MARSPQETPFLPRTFDENDPNRSVSRIASHLFTNWNPGHGHLEV VPLPSTSHKQLYQLTYRRHIAFDQRTHHETALVKVHKLPLSNSQKQVFNIHKVLALHS LASPLLLTFLNGYAYGFVHGHACSSSIMSKESIWRAIAWEMAHWHVILPVSDAESGRE VPDVWSKAREWLDILSAEGEKAKLEKEFEFLIERLRGRNTNKEQFVFGHGNLRPENIV WKGTHDEYSDEYQIDAIKFIDYEHCTYCPVAFELATYFSTWAGSECDYELLPAEKTRR AFIREYVDACALYSNSDSEDEIEVEGQEDAVEKLVQEVDEWRGFVGFYWGLFALLKAT DTEVDSAIRHEHAKYSKLKFAEYYAWKEDDVTIAREGGQVHVVERERMGN LY89DRAFT_674283 MASPDVLDMLGNPAQLDGFNGIEPERDRTTAAVRLGGHAGTWNR SIPGSNGYLGSFPRPHASLNSLGDPYIQMRPTPNFPTLVSSPERSNIDHPDRPLSPSA ESLRHDIPFQARKCQHPGCKDQRLFTQPSAYKKHTDKHLRPFKCPVPTCTVNSFATPG DLKRHEREVHAAPAYIYPIISCKRHRRGFSRKDNLVQHLRRTHDLVLGDSAGSIQTEL SVVSEEAFRGTESTDQVEDVISSPSEKTSLMTKLKELENEKVEAVKRFDGDILALKRI LAIM LY89DRAFT_230422 MATVSAPRDSLMADRSQASPAASQTSSVVGSPESYPVKQNGIAV PSTESLNGNGNGHAAPPSEPQKSTLVSQPPPEKPSMTKRLTRMFSTKDAMRSENSINS MSTANSQAPLQPQEPKAAARRPSPPARKFSSTDKATAKAEKVEKTITTPAKDKKSAGQ VAIHQRFILNPDIQGGHEHHLKSAKRQEKLSDMLRNVISGKTKNPDHAHEGEQQLSLM SSWVDQLKSERDSLASDKKGGPNATATLVEKYGKCQEIVGRGAFGIVRISHKRTENGT GEQLYAVKEFRRRPEENEKKYSKRLTSEFCISSSLRHPNVIHTLDLLQDSKGDYCEVM EFCAGGDLYTLVLAAGKLEVAEADCYFKQMMRGVEYMHEMGVAHRDLKPENLLLTTRG SLKITDFGNGECFRMAWEQDAHMVTGLCGSAPYIAPEEYIDKEFDARAVDVWATGVIY MAMRTGRHLWRVAKKEEDEFYERYLEGRRDEEGYAPIESLHRARCRNVIYSILDPNPT RRITASQILKSEWGREIKLCKAGEEGL LY89DRAFT_230583 MSTAIAMAPSPAPHDRSSYVDIAPSKPSNSPPAQRTSASATPNQ PAQRTGSGSPKAPAAAKSSPNASRNGVPPKIIVKKEPPSSPDLPTSRHRPRKLDLSKN TSSVNPGTARPSAGQLTSRGESGGLGIQDVGLACLSPGFVTQDPTMREQLQRSISVRE QQRHIIESRLQQTAKPGDAPMESAKERELGGGFGAKTPGTTKRKAPPGLSIVAPSHEQ FAHERVIQSAPLNQSFTGRHQPHPLTRHIANQPSNLSNTSHIHHVPATQTANRLPPIT DVFAAEGLGAHREAANSSRASFFQQNNSGSASNSSHSNSRPTFPSPGHNNNPPPQSAR PREYRSAEDAQVELAGGRPELLPKLVHYGGHQPPTPPSPLTGNAMRQGEASRSGGGRR RPRAEYEEGGSPPLGTGPSAQRRRPFGEGRDSPVSQQRKKDEFIQLCARAWDLFHS LY89DRAFT_594174 LPGSTETAASFEFKNEDHTLGNALRFIIMKNPDVEFCGYSIPHP SEAVMNIRIQTYDNTSAVQALEKGLDDLIDLCDIVDDKFKQARQDFVNRMD LY89DRAFT_230405 MVINPTYLAQRTRQSVNWSDAQRRVLRSYREWIRSAPEIQTMYS LNIPVAQLRTKMRQEFERHRFVNQIGVVDMLLFQSHAEYQETLNYWKQLPHILKYFRA DEDPNARRPQNFMSGFLEGRN LY89DRAFT_722817 MPAIRHASKRKAPPAGFSDIEDDLLIFSNKMKDAENAPTTSVPR HQVHWPIFQISHQRSRYVYELYYEKEAISKELYEWLLKNGYADKMLIAKWKKTGYEKL CCLRCVQTKETNFNSTCICRVPKAQMKEDQAVECVSCGCRGCASSD LY89DRAFT_688893 MPTACPATTTKMPSLELIHDHMAVTHAKKASVTVTIAPAPDSGI EPTLLTLRPELTYPPPLRFYFAYGSNLSLTQMSRRCPTATYHSFGILRNHTWKIGPRG YANVVPTSASCSPSSTLQKSSGAHKPVVYGALYTLEASDEEALDYAEGVPFAYEKRDL FIEVLSVADSSSCEVRVGQMVKALVYVDVKRTGEGVCREEYCGRMNRSIRDARKMGMP EWYIRDVMRKGVREEEICEDMPDPFHPGILY LY89DRAFT_722819 MEKSTEKELQILVLGLCRTGTYSLKAALSELGYNVYHMASVWRS PGHADLWTEAFERKFGEKGEVLDKDVVGKEDFERILKGWDVVTDIPAACFGPELIAAY PDAKIILTTRETEGWVRSMRGTIWGSQADPLRPIDKLFYNKHFSSVARMMETFFDTYF YGDFPRFGARVFE LY89DRAFT_625197 MAPSAKENGGGNARRKSFNPLSRASPNHLPQINTDVGNMNADEG EKKDKKKLGKRTSIFGLGQISSPDVLEGGMSPVRSSSTLDSPKTRPRTLQKGRPSSIF GSLGRKSVNHMEDEITFAAGSPISPAGSGEMSGNSSFSRNVLYHGEVQTATTMFRKKK EYLVLTDTHILRFKSQNRASETFPQIPNQFGRSHNARHPSSTSIGSLQEVQSATSHHS AELDNRIPLQQVVTIYKVEDGRPYFTMDVVYLDEEVHGAGSLQLILQDPKEADLWHTS IRGAAIKARLLMTEPYPERVIRYLVAAVEAVDDYSPNCFHIFRVVRRLSAYKGLRASQ DDVQKIGNAVYYLVVGMHLVHLIPLPDFHSPKELELKGKKSRTSFGIVSLVRVWVNYD DDRFQLEWRKPLMPAEILELASSGNPDIGYSILKVFHYLKPQWLDTTYNYRGPRPIAE VSDLDVSPNYDYGCFDRTVTAYMIAYGCDPSNLQYAVDTEADFSPEFHLCPPRYSQRY TALELLCVFRSLRYNETFRAISFRGINLHDLHGVYDVGYEHVAITTRGGLNIKKYMNI DPTRKTLLYMEIQALILKSYKLQRLDFANCLPKRAPQEVFDIEEETTGDHDPGCEIVE ALMPIGIAELPSVTWLVLSGIGLGEGDIEFMRASLHKPQSRIRAIEMSQCKLDDRLIN QMISHLERQYTSMECIDLSNNPGRINLESFQRSMSRYTNLRVINFSKTFWTTSTESIL IPKVMLTWKLEQLHLDGISLNANTLDAISTYLASEASNNLQILHLNQCNLTGSDVAFL MHAMCRYPGEVRDFELHVDSNKLERGISEIIKAIMMQHTPSKFSMRMIEFAKEDHFRQ LLRALRFNHTIRRLDISTASLPQDANEETCEELRLLFHDNKTLDYFDISGEQSHLETT RFGIGLTYALTGLIGNTTLRTLRIEFQNLGARGADTLASVIEQNTGLQSIYCDHNEIT LQGYTSIVNALTKNYTLLVLPFMKSDGFNALKQLHLTSTDYEGEHSWNTPVKPDPTKP PTLRQKLQIMGLGAQKVKKDTTPQDVIDAARLLGVEWDLQMQRAVKLLQRNAKIQSGD EGYDTVDEANARMDEKDLRPNTAISEGTILDQAKNNTTPRVELKDPYDVTTSAISPST TLANNVRSSEDTKTSSEEDEMLTIGKHARKIRVRNPEPSEWTLKGKDEKTMVHYQELD GTPIPPPQELDGNNVRYLDVGTKKLSFEETEGSPETRLSIRPNLGTKSEELGKKLDEF SFKEVDDEKTLYPGMEVGLDIRRSRSDGTDFSVPSNSSSSGVPELRLPTDEKIFELSE DERAIFAGLES LY89DRAFT_674291 MAMLASKSPFPAPMVSNSSNLSASSAQTAIQSSRRVPAMMNANQ SFASPTESEFSDVEGPESAKNWDEERVCDWLNSVNCGEYEKLFRKNNINGENLLEMDK AVLQEMGIDKIGDRVRLFLNIKKLRTKAYANQKKRNRDSFAGLDAYTPSSSGSPRPPN GTRAMPTNKRFSRQFESFNPPTAIPENLSKPPSRPSSPLASSEPRGLRQRHGVMSPAE ISRREAGQGYFNGMSIPSSAATVSGRRPGTPSGSQTPQTARPMHHIRGNGSIDGSLMA QLPQNQDIIRVISSGGVTKVVRVADCNSCDEVMRVTLRKFGYREDHDRNYCFWVLAGV DADPSLCRRLPENELWRIIKDQKRPERNRLILRKIHQGEPGEAELQRAAGIAMEEAAA NHHRALENVDKRSQLKVQKVLGESWNDGLQHPLSPASFVSTSSAVSAGERAANVNNAA RDLERPPSVERPRDTARHKAGKNGVLRQFFGQRPPSELITSDLTTYFPDHPREEIDRT ARLSLRRSTRLSKVNSRLSVASNLSFASSVADAPPMPTIADTWLSGNSQLAKVRPLDL SRGNSNRYRDSVASSMLERVQEESPTEPNRKSYVSFDSGSDTATNVNVTDPDGNIIQN SYFEDGSTENGSLRDITQALNDDGEDEDEELQSFLAGDSWDDSKWMKGALIGQGSFGS VYLALHAVTGELLAVKQVEAPSPGATSANDARKKSMIDALKREISLLRDLQHPNIVQY LGASSSAEHLNIFLEYVPGGSVQTMLNSYGALREPLIRNFVRQIVTGLAYLHGREIIH RDIKGANILVDNKGGIKISDFGISKKIEASNLLNGAGNNKNRPSLQGSVFWMAPEVVK QTSYTRKADIWSLGCLVVEMMTGTHPFPDCSQLQAIFKIGGAKISPTIPDHASEEAKT FLKTAFEVEHTKRPSAEELLLSPFLNPMT LY89DRAFT_674292 MGSATPAGERYDRQMIYSAAQQGKEDMAWTKTAVTDRTGWRDAR EFNGTRKYREFGLHDARWAGRVSSRDTAGEDVYSRRLVWSSLGPYSRMDYFGWWIVDG GSKWKDIAGPIALVWSFNAAAVPYRVLQQYSTTMSTTVLY LY89DRAFT_786602 MALDPSNGYVAARSLQDLTENALPIFNVERVQLQFSIASDFVAA QVANNVLVLALSNGRILRIDLDNPADIDDIDLPKKTSEVGVIRRMFLDPTASHLIICT ALGENYYLHTQSRQPRPLSRLRGVSIESIAWNPALPTTSTREILIGASDGNIYEAYIE QSTEFYRKEEKYLKTLQKLQDGPVAGIWVDTVADPRKQDVRRVLIATQGRLLHLVGKI GRGGHDGGGSIFTRLFETEQPTVHEISRVSSTANSSLVVSPDAPDSNSLESIATDRIF AWLSSQGVFYGRLLTAPASEELGTKVFSEGKLLPRSQLPAAEAPGSRKKTAIDSIESI ALTQWHIVCLVGSRVIAVNRLDDTVVFDQVVLDPGQQALGLFADQQKNTFWLFTAQEI YEIVATDEDRDVWKVMLKTEHFDAALKYARGPTQKDAVATASGDYLISKGSYLEAAGV YGKSSKPFETVALIFVDNDQQDALRKYLLTKITMYKKSSTMQRIMIASWLVEIFMSKL NSLDDTIITNAELSETLNPAQTQDQLETIRAEFQEFVKKYKSDLDKKTTYDIISSHGR EDELLFYASAINDYNYVLGYWMQRERWKEVLDVLKKQTEPEIFYRYSSGLITHVATDL VDILMRHPDLKPRNLIPALLAYDRNFKGPLSQNQAVRYLLHVINQLHSTDAAVHNTLI SIYASHPSKDEKALLSYLETQGDEPSFDSDFALRLCIQHSRVQSCVHIYSTMGQYLQA VELALAHSEIDLASLVADRPVSNPALRKKLWLAVAKKVISQSNGIKTAIEFLKRCDLL KIEDLIPFFPDFVVIDDFKEEICAALEDYSRNIDVLKKEMDESSQTATNIKIDITALD HRYAIVEPGEKCYICGLPLLSRQFFVFPCQHAFHSDCLGRKVMEQVGIGKGKRIRELQ GLISKGMLAGAKRERAIAELDGLVAGACILCSDFAIKRIDEPFVLPTDDRNEWAL LY89DRAFT_594208 MVGPPSILSTSATPTQKSLYNQPSYSTLNGRTSSDRDGLQPGPM GNGTRAMSNSSASYGPRGSSLAPSPAPGSFSSNMRSQLAPSRAGSGLDVNASMVNLER LNEDDGVIPEQILASLRESLNREMKIKEGSENMLEALNTKKAKQTKEQRQRVEAELNS SNQKIKELRNQISELQRPKIPTTPTRSRMEVMFQSSSGLRSPPSATRSAGGSELDEPA ESPTYALAEILQALETEGLTPDYYVGHANNLVELFKRYPMLKYDLVWSIFGLRMQVML LSDSRDVVAAGYRMTRYAMSDVASLQKIRALNTDYLVILSLIKERKADVEREQALKFV RAFLDIKDGVKELSRGVVRTIASVAEHTEDRLRSICIETLAEIMVRDPELLMASGGLR PLADALGEGTYEGSESLTAAFLYLLDAPERRKYLRSGYELEVLFTAFTDSLFAKESIL KQNSKSIAYALKSWSGLMTLSMYNFRAIRSLVHCLMLPNPSIRETVIDLLYLLLRIKS PFWATSFLAGRRLTTYGRVANLKAAPQKITQPVDDESGDKSFVDHYTALILAVLISCD LLHALLQVTREADNSMLKRKTTLLIGEVLKLASNLLPSSWSSQLQLLPELFTAASHFG KEDRFDATGTVYQISSISRTLYRTTPSAAGALAINHSSDNLTILEDQPKLNPNLSLDE TTFRQLLVETQVLTSSNSLKWKWDIILKVIEGPLLNGKRLDEAIKASKFIKRIMSFYR PFKYKFAEVRNTRIHSKYVRVGCALMHTLLQTTEGVKYLVDNKLLRQIAECLAQCDPT SGLTASSPMFSRERLSETLCSGYFAMIGTLTGDVKGLAMLDRWRMINMMYHIIDLKQR PDLIKLLLSNFNYTLQGHPRVLLSKALTAGSKEIRIYATNVLRRYSSRSRPSGPGNQG VGDSKWAIQLLVTQLYDPEVEVCATAIKILEEACNRKDYLEYIVQCRPALDHLGEIGA PLLLRFLSTSIGYHYLDGLDYISNEMDDWFLGRNDTYVGVIEASLARSFVEIQEDTSN RMSMDEDNFFMEGDNDAHVPPHFYRELTRTKEGCKLLRDKGHFEEFAATIRDYGMLSN DAELILKVKGCLWAVGNVGSMELGAPFLEESDVIENIVKIAESHEIMSLRGTAFFVLG LISRSVHGLEILSEYNWDSNTTTMGVSLGLCIPQDLSKFFSYQPWKHEKVIDIVMTDQ QRKASSEARRDDDPVNQRILELITDLGNTVLQKKAMTELMHIKGKKAPGFKQPALFRK IMILLESHHFRLGVRHFVAELFDRSLLRRIVFEEESSDEDMVSDNDDASEGRTERQRS ISDPSELGIKNGVLG LY89DRAFT_688903 MASIVSARYGKDNVRVYKVERDEKLGTQTVTEMTVCVLLEGAIQ TSYTKADNSVVVATDSMKNTVYIKAKENPVTPPELFASILGTHFVETYPHITTANIKV VTHRWTRMTIDGKPHPHSFYRDGNETRNVEAVVRKGQGIDIRSGIVGLLVLKSTGSQF HGFVRDEYTTLPEVWDRILSTDVDCGWQWKTFSGLTAVRSAIPKFDQAWNGARSITMK TFAEENSPSVQNTMYKMCNSILEAVPEVETVDYALPNKHYFEIDLSWHKGTKNTGKDA EVYAPQSGPNGLIEVKVARSQKSSKL LY89DRAFT_786605 MDTPLTTTSNVLSILTFLLGLLASYLTFYTLTLSASSEILAFKS DLEATKATLKSVLECCGSESCLAPVERDGGLMREIEVLERLVGELEEDEDEEEGMGGG RGGKKKGRYMGWRQVWRRVRWVGRRKEMRRRMERIVWLKMEVSVGEMDLLLRKMVAQV HVMTERFEKGDKGKVELDDLSC LY89DRAFT_688904 MSRFFRAAGDSSSESSSSDEEELYSEEEEEEKAASDAEDSDEED EDEEDSSSEDEDGNKKSGINKFLRDADSESEESSDEDRGKVVKSAKDKRLEELEGTVK AIENGEKINDWGVISNEYDKLNRQVAKLVQAGNTPKMYIRVVAELEEFMNETIAKQKV STKKMNATNARGLNAVKQRIKKNNKDYQKDIDAYRADKDAFMESEEEEEPAPKPKKVK PVSGVPQLALDDDDEGFSTVGKGGRTLQFTPESILKHLRTIMESRGKKNTDRTEQIKI MEKLYEVAQTPYQRVRVLLTIISTRFDLSTGVQTFMSQDQWKAAEKEFANLLEVLESN RDLVVVESAEEWEDDDKQPTITPGQKFKIPGSIVSYVERLDDELTRSLQHIDPHTAEY IDRLSDEGALYNNIVRTLLFTETLKKDDSLQVQQDSLNRIVMRRLEHVYFKPAAVVKI LEENCWTAIPKSLDSSITPRNKTTDATELVNVLCTYLFINSDGIIRARAMLCQIYFLA LHDNYYKARDMMLMSHLQETINAFDVHSQILFNRTLVQVGLCAFRAGLVYEAQTTLQE ICGSGRQKELLAQGVMIQRYNQVSPEQERLERQRQLPFHMHINLELLECVYLTCSMLL EIPLLAQTGSSPDIKKRVISKTYRRMLEYHERQIFTGPPENTRDHVMQASKALAAGEW KKATEFIHSIKIWELMAKPESIKTMLSEQIQEEGLRTYLFTYAPFYDTLSVTTLSSMF ELSKRKVAAIVSKMISHEELAAALDQVNSSIIFRKGVELSRLQSLALTLSDKASGLIE SNERTLETRTQGTANAFERQGGRGGRGGNRGGQRGGGRGGRGGSSAPRQAGGTQFTGG ALGAAVRA LY89DRAFT_625214 MAEAQQKSEDPTAASEGQQGASNPAVEDVWDEERLEKAMKTLKE MHIQLRGLRTTVPRLISPLATKQPSPEVLFQEFSKSAETANKEIQRFRKLMGDQDTLQ VLEQARKSRAERPTGIKAWRVTDHPDWLDRDT LY89DRAFT_722827 MISNMDTHEASESNDVIVIQDIPAGIIFGYDARSYPILKKAIYG GIRQVPKGVHFVWAGSSHNSLRTGFWLMTSEERNWLFAKRWDPEQEVLINNDKLKQSS LVVPEFFLGLHPYHETPTGASGGSDILSRLPNIWYNLTSSINGVMLSRITSNSTVNNW YVSTTHEYTEKRETQQAGFSISEKDEVLSFIFPKETRTYTQDAIGRERTKQAMDTSNH VTTIINDVCDSGDYTEIVGELQFCYITGMILGNVACMEHWIHVVKIVFRAFKLTLDSP IFFKKFIEAIHTQFLFDDVGIDGSIFDFDNSLAQDLKIILIKFKSEFDQLVALQQNLT DDQKAVCKGFEEFEQSLLTFHNGWNLQANYVRSGRIQMEDGEYVDAEMTDFQAEDERG EFAPAVVELDDDGREKGLISF LY89DRAFT_688907 MPPKKVVRPAQENISLGPQVRDGELVFGVARIFASFNDTFVHVT DLSGRETICRVTGGMKVKADRDESSPYAAMLAAQDVATRCKELGINALHIKIRATGGN GTKTPGPGAQSALRALARSGMKIGRIEDVTPTPSDSTRRKGGRRGRRL LY89DRAFT_688908 MNGLRVNACDKEEKYKALCEEFADNKLLKIFPNGHLVSRSSDYI IYNVEAASIDKVVAGYGPSTKQGAIVGGQTSCKAPEIAAFEKHLPEDVDIVCCHSLHG PNIDPRGQPLVLIKHRASQENFDKVEHVISCLGSTHVYLSAAQHDRITADTQAVTHAA FLSMGKAWHANSQFPWEIARYVGGIENVKINLTLRIYSQKWHVYAGLAILNPFAREQI KQYAQSVTDLYKLMLGGHRDEFEFRIKKAGLAVFGTMESTEELLLKDEVLDRFSLGKK PETPLPNNHLSLLAMVDCWSQLGIVPYDHMICSTPLFRLWLGVTEYLFRKPALLDEVI RIAIEDNTFRSDDLEFTFAARGWSDCVTFGDFESYKDRFVSTQKFFEPRFKDATKVGN EMMKTILDNTSR LY89DRAFT_688910 MASTSQSVQCFGKKKTATAVAHCKAGKGLVKVNGKPLNLVQPEI LRFKVYEPLLIVGLDKFANVDIRVRVTGGGHTSQIYAIRQAISKSIVAYYQKFVDEHS KNTLKQALTTYDRTLLVADNRRCEPKKFGGPGARARYQKSYR LY89DRAFT_594531 MSRKGVGLAAFDRSRLTSAQYATHGNNLRTSHSTSLATQLSVFR SLLQQFAQTHAKDIRSNPTFRAEFARMCSAIGVDPLASSSGAGGKDGGGSFWAQLLGG SVNDFYFELAVRVVEVCGATREENGGLIGVKEVRDRIMSTRPEGSAEITDDDILRAVE TLKPLGSSYSTLKVGHKTYIRSVPKELNTDQSAVLEAAQVLGYVSVSMLMVNLRWPRA RAATAIDDLVSESMLWVDKQCDEYEYWSPGFMLEVQDEGSLG LY89DRAFT_594393 MSLVSSQHHLFNSNSLLSSTEVELHDNTPDNTEATLSTLLGAFA DTQHPSMDRSVTEYTQSGIHSPYSHAFNDAQSEETTADHASAAQYTPQQDVRNNNYST SATPTSEYGVYPASARSGSFPEHIQRQYHPASNHSGSSGGMAQPTSPSMPLQDGRPNH PSNIKSDQDVPIDPSIATSSPTYPAHGGGQYSPYPPQQDMQHGYPSHAGGAMYAQPRP DWSGYAGQPQHAMQHGYPVTGAQTPTSAAPAGARPGQVYSFVPIPGAQQHKRPRRRYE EIERMYKCGWQGCEKAYGTLNHLNAHVTMQSHGSKRTPEEFKEIRKEWKARKKEEENQ RKADEERARAAAVPADGQGENAPPGGYPQPGRSVQLPPIGYQPGAQVPGQYQAPPAGS VQQLQEYGSNHLQYGGYPASPYGAPNQQHMYSQRHYTLASVPSLTFC LY89DRAFT_674304 MHGRWCGVGAGFVLARRCSGSSRDGRSVSTSLSTARSCLVEDLI TTFLDSRDQIATRSATGCLDYTSTTRSVGPQDGTSRRVAFFLADAVISYGAVGPQNLN FGRIQCKSSVGNAVKARGSQFPLDERVKDADGPDVDVAWSRGDNDDGIEDENAVGCTE RPRDRKRSLASPKTKTNANHDHTKTSLPTLALGRRRGLAVCCAVSLHSRSHKFNSIST TEMCPGAEVQARSKDVGRDRVDGPGEGTLV LY89DRAFT_231544 MLVEFPYSGFLISQGLIRLELESIQTTRRMAMHASRKRTKQNVW YVTIHLWSTAHIVGNTSIFHYLREGRRLPESTLLFIRHLALCLVETR LY89DRAFT_786614 MNRLMTPVSESQDPQFFSSSQDLLEMRVEDLISRDRIDVCYNRE TTARRRRIEWPSENEDFFKTFEKISITPSPIGDAQREEALKLLHDEVSKCNEENGIDV HIWERYPAKNDKHIRQLLMSLYYRARIQSTLLDIFVVLVQRSFITLDNLRTPIELNTT SEACCEVNRLLSTFRAIERERNLDLGPTDKLPYAIWDFEFVYEAVDWLSAMIVRGCTD IQSLFGTIEISGYLGKVDEKAWERMKKAVGKISFVCNKSERAATSLGLSNFGFWLPHG LGDVSEESILQSVADCFCRFDRIERVFKRFFNIPDDELVTAEKQAQYLDKHRERCSPA LRKYLENEFLYRKASGRQG LY89DRAFT_231540 MHLLKSGVERQKDWASLFCLFSGRLFLQACSVCVLFHVVLLSFH SLQHPYWAEQQEWSNTLLGLARCVVCEVGGSSLGCLFLKGQKIWRDGKGREGKEGKEV DSWHRIQHYGCKGNKQWDEKKHRMCVLWV LY89DRAFT_231539 MSSATTNRPSGAMTSAARSTRFPEFGAATGRHNSDSSQSSTLGQ TFGNGGSWQPSSNIWSSSTIGSGFSNSKRDASRSRVAGNDDFPDGPSGSRALAESGSE ADPWVARANGPWNPPDTTSPTLQSHSGSTSPSHTRNSIPNVTPQTLLEIQNHPYQQPR PAIGPASSYGRTKSGLDPSSGSFKFSRKPSFAYNDDKENSAQYMSNADNYDIDIPSRY LGISGTSRDGSMPPSRASESGFNGGNMAFTNGQPFGSIGNHTPNSSIHSQRPSFSGPS TSFQSQTNGSRYEMSQNETDLSERFAGIGLGRETENTSSPQVNNNSGSSYSPNHPGFA QQNYPFTSSSGMWGDGQKAFNNFETYSSQPFAEQAYFNKPTRFTERGSVSPGGSEYRR GLNSPKYYSAAGTPPSGSDQIYRPSSRGPRAPQGQNELDRRLQNIHFAQQQAQAYMYA SPFQGQYPSHPYDYPPPGTFRPGTVPYGYPMPMTSYPPAQTIPTRPAKDQDVGVGVRS VLLEEFRSNSKSNKRYELKDIYNHVVEFSGDQHGSRFIQQKLETANSDEKEQLFREIQ PNALQLMTDVFGNYVIQKLFEHGNQVQKRVLAEQMKNHVMELSMQMYGCRVVQKALEH VLADQQAELVEELQADVLKCVKDQNGNHVVQKAIERVPTEHIQFVIEAFRGQVHVLAT HPYGCRVIQRILEYCKPHDQAAVLEELHQCASMLITDQYGNYVTQHVIQHGKPEDRAK IIKIITGQLLTLSKHKFASNVVEKSIQFGTDEQRRAIVAQLTALHSDSTSPLQLMMKD QYGNYVIQKLLGQLKGSERDAFVEEMKPQLLMLKKYNYGKQIAAIEKLIFNGTPAYNI TPSSVMPSNHTMPIEISSSAPTPMLTNGQNSPQSSSLPSTNVSTIDDAPDNSKPLEID GKPCPEVVINGI LY89DRAFT_232573 MYWDLRREPKAMTRLRERKVGIGYFSGVNSAKEWIGNRAERVKP IPGMSVDIPKEGEKGGGGGGEVELCRLDESKEGRRETRGEAQCNQSGKLARTNSLSAG GEQLELSVNYRCEKHVPAANPANQISKGPGRVEPQPLPLSPTEDGDELPGNEVCLLLP GEL LY89DRAFT_688916 MIDLLIALLVLVFVALALVGALFMVRKIRRNRAIARQQLPMHNE PGSRPISHHRRLTITATPYKGARGSSIYVYDEKSSMMNSPSSPPLSPENVPEIRITFP DEQDESGRRKSGRVVVVRVGETGVGLEPLQEEQLPAYEKESSERFHSIDMERIGGLKE KSSWS LY89DRAFT_700302 MAKGRVLVIAGSDSSGGAGLEADQKVISAHGCYAMTATTALTAQ NTLGVVDIHNTPPEFVRKLIDACISDIGVDVVKTGMLASAETIKIVAKALKDHHVTTT VIDPVMVSTSGSQLLPQNAVRELREDLLPHTTILTPNVPEAKLLLSDAGIPASDPHDV EDLISLAKAVQSLGPRYVLLKGGHLPFKSDRTVAKTEEEKMLMVDILYGNGKVTKIET AYLKSKNTHGTGCSLASAIASNLANGLKMTEAVRRACRYVEAGIKTSTDLGQGNGPIN HFHSTYTLPFPPGRFIEYVLERSDVQKSWKEHTEHEFVGGLADGTLPIESFKYYLIQD YLFLIQFARANALVAYKAKTIEDIAAGAKIVAHIYQEMALHIDYCKGFGITREEIVAT KEHQACTAYTRYVLDIGQSQDWFALQVALAPCLIGYGEIARRLYADSRTKREGNIYWK WIENYVADDYTEAVKVGRDLLERNAVLQSPARIEELIQIFINATNSLSKMSYGGGGYG GGRGGSSGYDNGRDSYSRNNYSSGYSNGGGGYGGSSNGYGGGGGGGNVNGYSGGGGYG GGGGYGGGGQGGDRMSNLGAGLQKQNWDLNNLPKFEKSFYKEDPLVTNRSESDVIKFR NQHMIAVQGRDVPRPVETFDEAGFPTYVMSEVKAQGFPAPTAIQSQGWPMALSGRDVV GIAETGSGKTLTYCLPAIVHINAQPLLAPGDGPIVLVLAPTRELAVQIQQEITKFGKS SRIRNTCVYGGVPKGGQIRDLAKGVEVCIATPGRLIDMLESGKTNLRRVTYLVLDEAD RMLDMGFEPQIRKILGQIRPDRQTCMWSATWPKEVRALASDYLNDFIQVNIGSMELSA NHRITQIVEVVSEFEKRDKMTKHLEKIMEDKDNKILIFTGTKRVADDITRFLRQDGWP ALSIHGDKQQNERDWVLNEFKTGKSPIMVATDVASRGIDVRNITHVLNYDYPNNSEDY IHRIGRTGRAGAKGTAITFFTTDNSKQARDLVSVLTEAKQQIDPRLAEMTRYGGGGGG GGRYGRGGGFGGGRGRGGGFTSSNSAPLGGNRRW LY89DRAFT_674312 MADAFPQDRSLRTSVRSTVLGENFVSIAFNADKAADPNAKLYIN DYNLDSATYAKVTTGMVAHVKKWIAAGIPIDRISSQSHLNARQSSGTSGAALAASGAL Q LY89DRAFT_722839 MAADLQTVAQLLQATLDPQQHKQAELGLKAQEKFPGFSLLLLNI VATDSLPLNTRLSGALFFKNFIKFNWVDEEGAYKLPENEVITIKKELIGLMISVPASL QAQLGESISIIADSDFWTRWDTLVDDLVSRLTLDNAKVNIGVLEVAHSIFRRWRPLFA SDGLYTEINHVLERFGEPFVRLLISTDQQIDANTNNKDVLKQHVDVLNLSVKLFYDLS CQDLPPIFEENLASVTKLLHKYLTYDNPLLATDDDTESGPLEFVKAGICEAMTLYMQK YEDAFGELCKPFITSTWSLLTTIGPETKFDILVSKALHFLTAVASINNHAQNFNNEEV LGQVVEKVILPNVSLRESDIEQFEDEPIEYIRRDLEGSDADTRRRAATDFLRQLLEKF ETLVTNVVGRYITHYLAAFNKDPTSDWKSKDTAVYLFSAIAAKGVITTTHGVKTTNSL VDIVDFFQNNIANDLIADTGVEPILKVDAIKFLYTFRSQLTKAQWSAAFPPLVKNLAS SNYVVYTYASIAVERVLFLTNDAGQHIFGKDEITPFSKDLLEHLFLLIEKDSAPEKVQ ENEFLMKCVMRVLIVIKDGVLPITDSVLRHLIKITDIIGQNPSNPRFYYYHFEAMGAL VRYAAPSQPEKLENHLYPPFAGILHRDVQEFMPYVFQLFAALLEARPQGPLSEYYKAL IAPVLMPTLWESRGNIPALARLLSSLIPRSAADIVANEQVEPILGIFQKLMAGKSRTE LYAFDVLEAVITSCEVSAIQQYFPTILTIIFTRLNSNPPEKFKHRFVRFYHLISSRDQ SGLGTDFFIQNSDTVQDGVYVPIYLTIILPTTQQLARPLDRKLAVFSLTKTLTDSQAF ANKYAKGWGKTCDALLKLLENPPVPVSSDDIGAEVDVDDIGFGVGFTPLNTCKKIARD EWPEVTDVKSWVASYLKSADARRDGAIGGYANTRLEPEAQAVLISYMR LY89DRAFT_688921 MDTDEITDYLLHRESRLQSFSQSTPRASMSPSAGDVIQTPDSKA SGGFASVFKNLTGNRSSKSPNPQSPASIAQQPNGNNASQPAIQGGPPNYEQLYAQLKA DNPLPERIAAAESLRHAVQDYPLSGVTSVFKQGKDLIEATNTPEARIAGFELLTACVQ QSTSTDPERLAYFRVLTGAVNSDDFHLQLASLVALAKNGKDLSGFSYETLPLLILWLR QTYAITTAARKLAGRQNRSSKGKAPLGEETNLALLFAFIVDVIKFSFSASSVETTSKL IDVVLYICVHTPLSGDLKACINVIDAIVTYGDIPSSKLLDCVKVLCSIHCLVEEVQPE AWRSISNLCQSHNGQITVRILLDILRNPPLDGAKGKQSIREIRGALSVLEKLFAKNGE DGYPLVPFTFLMLALEKVVAVEHTKVENDVLRLVLSLFNSETEAVNNTVMEEDWSTMF RVVVQCSRRSLGTADGHVIADRSRLISPLAKDETPEQSLTTSLSQSLYSLILKIESLL VMHMGANFFQREDCIEFFVAVHKFLPESCSKLVIDHYMASRYCYPTDLQWKEHTKIIL SAFFIDRSQPTHIRLHALKAVTDVFEVIEMMDEHEDPDTVQIFVTSILNDVGDEKDIS ILQEVVAFAVTVAENADETLFNYVVDAIRGSIVSDRLQSPLGSPPGSRQGLMSTTRPT SALNPNTITQTPSNVVTRGLVQIFMRTMDISASKAFRIFSELLWIAKSHDCETDARIS ALKMLFRIRADWADGIFLTPFTESDGLAASLYRTPASLARKQATDEAAQQHRMSRVED SGSARISRSTSFGQGHPQARQPFRSGSGVTRTLQKNHQMWMTPDADALPEPASGEISS IIVSFLEPEDGTKADPDTFQAINGQGEDTELASETKHPTTPSQSRQNALNIGLYLETI TALIAHGCDWEVYSYILVHLPSQLTNQALFKGAITQIKSLREVICEHIKSNGFQEPPL SSGLRKADVAICLVQNMNMVMSYHRHFNKVEQDEIVRTFLQGVGERTSKSCIHSLSIC CHELPGSTSKALVHILQKMSQIITQSHVAVHILEFLACLARLPALYVNFREEEYRIVF AICFRYLQYVRDQQEKEISNRNSTPPVRTSGVSLSSSRGVADSSPAESNFQPNASDDL PQYVYALAYHVIIFWFLSLKLPDRAGQVSWITKNLVSTDSNGKEKIDEQAQVTLDFMQ RVAYADVDESDPDPAFTKENFGEIKKKRWIIGNSLITVEQATRGDWAQITKRQPSGTS CYIIQEKFSRPPAHQEQIQNDVMKDGRHSEENVKLPSHLLLQLTASIPQVGDVTRPLP LPDDDFMDRAIKNFNRSFTVDGHKVGVIYLGENQTNEIEILANIQGSSDYTEFLTGLG TLTKLQGAKFNTQGLDRQFNSDGEYTFCWRDRVTEIIFHVTTQMPTNLDQDPQCISKK RHIGNDFVNIIFNNSGLPFRFDTFPSEFNYVNIVVTPESRASFVATRIRSKTQADNAF YKVQVMSKPGFPEISPAAETKIMSLAALPGFIRLLALNASVFSLVWANREGGQHVSSW RNRLQEINRIRDRYSSKSPGSTPASPPGTSNGVGNVRDSLSSLRRSSVANFLTNAAEP VSQRSSVLSTAETEVGPGTGEESLVESLDFSRWA LY89DRAFT_786621 MNRNRSERAAPKPPPAFSFVTITNPNESKTRSRKRAVRSHVAYY QHHKDDDRDAPSTRRSSSKRVVVTTEEYPSTPTFSSESSSDSINFHVLQSGGLTGSEL DVLGSTYGSSPATPTFSGTRVDPFESYPVPWKPHYGPILDFYLTHVLIDTPGLARKGE VFLLRTAWFPFIMNHPTTFYAALAFAASIYYKRSPATPNLLDLHQKAILGINDALSKM EHKPDDFTIGAVFCMSLLESMYGDASSYRVHMKGLQRMVEMRGGLGHLGLDGLLERMI VWLDFNHAKVHKTSLFFGESVDVSKRPSPFYHPKDSAVVDTGSTKILR LY89DRAFT_739170 MVNIKSVRESNLAFKLSGHASGLVAVFVGATSGIGKGTLKQFAK YAQAPKVYILGRSKKAAHPLLDELQASNPQSKFEFIETEISLMKNVDLACDQIKANEK KVDILFTSPGYLSFDSRKESVEGMDIPHALRYYTRLRFAYNLIPLLLESPNPRVVSIL AGGQESEIDINDLEVRNDFSFIKAAKNGTTQTTLAFEELAKSYPSISFLHKYPGFVNT GVIARLLSTAPGIFYYPAALASFLVLPILNLFSTSEDEAGERGLFLVTSARYPPAKPK TEFVGVEVQGIPVATASVVKDGQGNGVYRLTSDDESAPESPVLPGYRLDHVDKTVWEE TVAAWDRALEKST LY89DRAFT_594722 MAARCVARSCPRLSTSTSPSAAQAFMQLAPRRHLYNAPPVLDFL IPNVLLAALHHGWATKTVSKGRPPQNRAFTSSTRHQQTTAIFNPRKDDDGNDMNIEIT PRAANRLKEIMNKDSNSNLALRITVESGGCHGFQYLMSLTTLPSPLQLSNSVEEEATL ALNTSSSDPNAMPSGSPGPDTRSKHTKDEKDAKLGEDDIVFEADGAMVVMDQPSLELL KDSKVDFTMELIGSQFKIVDNPLATSSCGCGTSFDIKI LY89DRAFT_739173 MPAPKKTGSATTAQVAKTSVPTADQILVPETLLKKRKSQEKERE ARTAELEKKKKAQKEKRGVIFKRAEKYVKEYRDQEREKIRLQRLAKQEGSFHIPAEDK LVFVVRIKGINKIAPKPRKILQLLRLLQINNGVFVRMTKATLEMLKVVEPWIAYGYPN LKTVRELIYKRGYGKVNKQRIALTDNAIIEESLGKYGIVCMEDLIHEIFTVGPNFKQA ANFLWPFKLSNPTGGFRSRKFRHFVEGGDLGNREDKINSLIRSMN LY89DRAFT_233187 MTTIYAIGSNGSGQLGIGHKEDVSVPKQVLFANDPDQPITQVKA GGNHTLLLSSGTLYCSGDKTTGACGIVSGTSENDIRFYQLRLTSEDSTLNTTPTVFCA ATWEVTVVVQKDEHGRNTQLYTLGIGNKGELGQGEFLFRSAKASLINNFPPDNLEVVD LAAAVSHVVVVLSNGDVYGWGSGRKGQLGQPEGIVHSPRKISQLDFKVVKAVCGREFT YLLGEPTSGRYTILGSDKAGVKSSAPEDVRQWKDVGASWGSVFVLKQDGTLLSWGRDD HGQLAPAGLPPLSHIAIGSEHGLGLTKDGEVLVWGWGEHGNCGPDTTDGDVQGRWNVI ASSKYLPAESKISSIGAGCATSWICLTT LY89DRAFT_233184 MAILTFTLSPEAIGKLYDSLVCLGKFSEAVSIEASHDKLVLTAL NSSKSAYCSFTLIGNKFFSKYLYRPVRSSNQSKEKFTCKIYNKALLSVFKGRVVDPTR EKDTAVERCDVSVEDGEGKSKSRFVIKMVCRHGVLKTFRLTFESVAPMHALFVRESAN NCWSISSRTLKEFVEHFGPGTEQLDIYSEDARVSFTSYTEKIMSGNEILKQPLHTTIA VDTLEFGEFAVEEKLHIVISVKDFKSMVAHAGILNVLVKALYSRPSSPMQLSYSDEGI MSEFILMTIGESRGGSATPANASRTGSKRPASKQPLEATSSPKRTATSMPPPPMNAAP SLNRETTRSRISRPSPPPPQPSVQSQSLFLEGDDDRRWDPANFDGEEEEEMLLWDTGG EKVRSINFWRAHDNLSKDAVTMNSARRHQGSAQQDQDSNDRRRPPAQESGDYNLIPTQ RLAPTQRLSEVRGMFDD LY89DRAFT_654533 MLELPTDFYHMVCEELANRQEFGTLFNCALSGKILVGPALLWLY SSEGNDNDLTQNDTFQGRLDAQKRTFLNWALQWKSITRSSLGNTAYPYCLWIRSLDLR NLSYLLEDNMFREAQESFFAEDMAGFLKAPETPMKLKVRGAKAKKRLDIQSVLELIGE SITSFISEAASQNRATVAVEDLSGDIRATVLPKWVSRMSKLKSMTLWDGGALNADVAE AITKSCHNFDDLTFYLCLADTDNDLAAFFRGLRQNSLRSFAAISAASVGPQSLLSLNH HAQSLKRLKLDGLKLDAIKNLSYLQGCDRLESLEISDADGTINLEATENDVYLEVVAW LSKCTSLRELLVRNFVSGPVILTEVCLSNNIRLQKLQVVGYPMVGNRDFHKALSHQSN LESLELRADPEGAFRDDIEDLITSISRLSKLKYLNLLSTSDYFRTQEILALVPQLQNL EELWFGGYDVTDDIWHSMANLHHLRALNIHAVTSFTSSGILAYISTLQDTNQGMLLSV MAQSPEHGLTEVEEVTIRLGIAARIDGRFEYTLYREIDSDAESFSD LY89DRAFT_688930 MSLYEISPDTSINVVASGKKVSTRPTLIFLHFWGGSSRTFTNTI TYLSPHFHCIAVDFPGWGSSIGPQKSEAYSIAQLAIDIETLIPKLDVEDFVLVGHSMG GKVSQHIAGRNQLSGLKAVVLLGPAPPTPFSLPPDMKQQQISAYSSHESAEFVVRNVL SSAQISDEMVSALVEDMLKGTELATKAWPAYAMAEDIATVARKITVPVLVIGGELDKV EPIQRLEEKVLANINGAEMVLIKGSGHLLPVEAPEQVASHIEAFVQKVTG LY89DRAFT_654537 MDSPSSSLDQNLDLSKLSPKDKQELQQFVVNESQKARIQQTVHS LTDVCWRKCVTGTIRSGKLEKNEEACAQNCVDRFLDANLLVIKHLDSMRNSQ LY89DRAFT_688932 MPPKDTKKGAASKGKGNKQASAAAKAALKGGHSHKVRKVRLSTT FHRPKTLQLSRTPKYPRKSIPHTPRLDEHKVIIHPLNTESAMKKIEENNTLVFIVDIK ANKRQIKEALKKLYDIDTIKINTLVRPDGSKKAFARLTADVDALDIAATRLAIV LY89DRAFT_654544 MATAKKKAKLKSLSHGRPPTAKPVRTISRTKTRTLIRTHHTLEK QRAKALADGDDAKAALLLKRIDEQGGIEGYQRASLIGQNNERGGDSSKLLMDWLEPVV SVLKERVKDGRPIRMLEVGALSVTNACSKSRLFDVERIDLNSQAEGITQEDFMERPLP RDVDEQFDIISLSLVLNYVPDPLGRGKMLLRTLEFLRSRQTSQDLQNWFPSLFLVLPA SCVTNSRYMDETKLESIMSSLGYAQTKKKLSNKLVYYLWRLDAGKVKQSTVFKKEELR AGKARNNFSIVLK LY89DRAFT_594431 MSRIPKTWDEYQYGTSGSHRGSVSSAGGRSIRFDEQTVGSPSAS SALLDAPGERSESDQHGELRRRRSSIGLHLNSIAQIGGVNSIENFARSWTRAAAFDLL TPHRPSFILQDDQDRDEEGTIQYGRSEYGHMPRTSLLRAHLEANDSPEVAVDDDSELD PNTPRPLDHRESEGRRLGAEFGSMQGSVRGSNIGSVRAQSIFAIAPHLATPLAGSYDG TGSYGTLRSSLNESSMVHAGQLWRQQQANRAEGDREPLLVKEVEQGGKVVLVVAGQST LPQTVFNSTNVLIGVGLLSLPMGLKYSGWICGMVFLLLSAIVTAYTAKLLAKCMDCDA GLITFADLAYVSYGQKARIATSVLFTLELLAACVALVVLFADTLDLLIPGVGVIQWKI LCGILLIPLNFAPLRLLSFSSVIGIFSCFSIVLIVFVDGFIKPHTPGSLREPAETYLF PNNWLTLPLSFGLLMSPWGGHSVFPNIYRDMRHPYKFKKAVKITFTFTYLLDCATAVA GILMFGEGVMNEITANIIDTSSYPRALSILMSIFIAIIPLTKVPLNARPIVSTIEVFS GLDPVSISDSPALTGLPSYTRGILKVAIRVIVVIVFVIISIVFPAFDSIMAFMGSTLC FTICVILPLMFYLKIFGKEVSVRERIFCYFLIAISSVLAIVGTVWAFLPKSMIGAE LY89DRAFT_688935 METPSLDVEVVLLDIEGTVCPISFVKDVLFPYALQALDEILATQ WTSPTFLPYRDAFPPEHRTSPSAFRAHVHDLVARDVKIAYLKNLQGYLWIRGYESGKI RCPLFPDVYPSFQKWHEKGTPIVIYSSGSVAAQKLLFQYTGDGDLRGLIEGWFDTVNA GMKMQRESYLTIAGTRGVEVGRWLFLSDRVEEVGAARQAGMQSFVVVREGNAALSEEE KRGQVLIGSFDQIRINGV LY89DRAFT_674328 MYSIIDTTYKYQPPSRLGCSSFKSRVFTLTASHVSSAASGKHKH EAPVRKRRREMWVRSVILCVCVWLRGDDDAEVRVARSVLVASYPRMLERQALARLEGY FWNFFLQPPEFCEVMHGTRRVEANGQQCNNLRRHRSPTEVSPTGACIGFRGGEDMYLS SNLGMVVPWVRRDAGTTFNVGNKLVYLNGRQTLTAETLMLSWWMLATIVDICPRRVFS QAVSNSHCNYATSSMTGRSGVWSSTTSFRAEAVREARVE LY89DRAFT_233813 MECLKDQFYDGVVLDGRFRTVSPLNHGSFGMVFMAEDLTTNEYV AIKCLTKKSAITDADSSFAVDERSEELACHARLGAHANIVNLIHSFETEAHIYIVLEF CSRGDLYEAIRTGTGPLETEHVRRFMLQLVDAVAYIHGKGMYHRDIKPENIFLTQAGE MKLGDFGLATSEKWTYETTVGSDRYMSPEQYDSAGAGYAPEQADIWAIGICLLNILFS RNPFTTPTESDPLFLDFSRDKQSLFDVFPTMSQDTYEVIAQCMSLDPRKRSLKAAREA LERVVSFTTFDESLDDFCSAERRGIASANREPLRTPSIQSPQMDNGSFPWAKALHASP PQQFRQLSAIPDDESYQSEDLFPSSEESNKDWFSVGQQTPSLASMLDSSLGASMKSLA IRRPFKAGPPKASLTPISGSLPITMSKPKPLPSLSSVFGKKNEVSKSWSDLWDEDIEE EEEEAEKQLKARQMQNARTWSHESKNENEDDTIRGPLELKSSSFVNIPKLQQPEAGRA TADIDADLVADGFFFHDTPSKELEAVVSQFSPRYSPPPKRTTFDKWSALGERRRAYTG TSESEKSPELWKARRNVGLGFTGFGAGVWDNKANTVIKENGAKDRGKECPWASHRVRD HHGHQRHMVEHSDLEWVGGWRDAHM LY89DRAFT_674330 MAITHEEFGYATGALQAAKAFAAEIRGKIVVITGVSPNSIGSAL ALAVGSQGPKQLILASRTLANIQKVAAEIHSVYPDVNIDEVVLDLSNFQSIRQAAQRV KAIVGAGAAVDVLFNNAGINVSRKSLTETGVELQFATNHIGPFLFTNLLLPSMLQAKG RKRRVVNTASEAHVISPVRFSDINQEPGKVVPKDEQPRRGLPEGMLKNDGTYESSIAY GQSKTANVLFSVGLNARYASQGLKSFAVSPGNIMTNLVRAMTEEALQGFFAGIGDKWK NLDQGASTLVVAGFDPGLDEEAGVYVEDCQMKRPAGWASDSAKAERLWKLSEGLCGYF SASQGMARTVMSPHLSDFREIVADLQDFNVA LY89DRAFT_233553 MPTSTPLTDSELRSELQVAETPLTPPFFLNQILHGRCKVLFYLK STFVAHAIFGVWSDATVHPKLIEPWVRLWTLECTKYQIISRHCSSLQDRVQLRHSHPP QCTIMIEYYDLLFSDDLYQSWPNNDITYPTMRSCEATVKLIASLCTTRDFLRCRHYVI CPSWYPLFPDSLSPSSFSAQPNRC LY89DRAFT_233894 MSKLPSNVDEDEDGMDIDSNLQLERSQQIASRTLAAATALAKVL RDAPEWLSDIRRLQPQDVIILLTPVVFPVSQEPTDSSDPFEPLGRYLSRRHAKIRHVP YTKRHGITSTHLGFIKRGHAIILCLAEQPLQLELVDIVLAIRGDKPVVVVCCSPLDTK QALPFPTVIDTAGYSRPALEATAELIFAERSLPTAHSLPDSSNESTVQPKVWPVEDFV EQRDIASVATLWLACLGERFALDQQTLANVLRKPGYSKHYVVRNPSTGETLGFCATYL SYVDREGEKLVASLAILVVHPQTRFRGIGLSLHNHAINSLKRTRGIIRLQLGSTFPRL LYGPPSDDQSSDEWFRRRGWQFDKGAPGQGQVVHDFLLEFKDWHYRPGSSSTTEYRVC TQDDMTKVIDLVERTSALDDRLGWFDQYWTLINGPNVKDIVVAVEEQDIVGTALTYSP GGSQMSNNIPWPCRIGNDVGGVTCVSMFPDKRHIIMSGLINTCVETLRTQGMKRLFLD GVTDHSQFFTNLGFKEWARYRDVWKSV LY89DRAFT_625288 MEDLLLDLESASKRPAKQRAIKISGLIDRVCSKAYDDGFLVEEL HRVVDTITLANELDQGSLSNLIRNLYPVSKVPDSVVIKVVSSLGNGRFKPSYNTQAGL LKWLVMVYDVLENPRMLSQLYSIIFNLLDTAAIRPQLCHVLSLITRRKHVRPFRIQLL MELVRRAGNEPPLIGLMRVFKDYYPDVIVGDATAGRASVFTHPNPEWRARLSEVQDLH LQRTQDALPIEQRTFRIANKGTNALKRKRGSVIPYVYTSHAQEFSTTLEEIEDVHEFV QKFEKIDPPNQLVAVISDPLLQKFLHLRSSEVDSSRIDSWLLAFFEDQLQNSGTEKDG ILEMLDAILKYARYTKNLPPACLSYLQSMISFWNGNTGADTILGLLTYVPLADFEDLY ASTFRLLEGAILCDGTTESKLRLLRFYGSLLDQWITTLLSQPKPIAAAGQAIKLLMEH VSDLSLTIIQSSIDTGALCTVLEFYERAAASTMHSNLKSVVRISLPPAELIYTLHFTN SLAIQSKLCALLAIYKKAFEFAMAPKNAMKQESYSSVYVNGFNGFLMDLCNCLWRSKA FNYADPNARGCLLDPTVNVVLSKYISTLDGSLSLLTLFTFSYSPLLCLLAISHIRELE DASVDEIERRHAGPVSQMSLKQLENQGGMKLSWQDYRLGFLHYLERRGVLGISELMYN TMKHLMPSKEVRS LY89DRAFT_564289 ASTFRWLQRKRYQYEVTFSLYMLTPTEKFIFNSFLFLFFSMIII AMTLYLPQHIAFLTNRAWFYYNGDENAKAA LY89DRAFT_594627 SGCTMLRGTLSHAGKGLHINYVSTCLIPKRCIAASSPVWVKKMP DRPGPVNEDEFTEAFLKGSGPGGQKINKTSSAVQLKHIPTGIVLKVQATRSRTQNRKI ARQMLADRIEELEKGKESRTAVVGETKKKRKSSAVKKSKRKYRLLEEAKAEKGVGDAG AEDTSQS LY89DRAFT_233503 MSEHEDVSLDTGYSTPVPELDDHRHQSASVQRSRSRRGTVDTMH SAFRTLSPDLNLVNQGLLPTITRDFEHAIEDEDGEATSPHPGRVAPEDRIRRGTAATL DPRSVSPPNSVKAFADARRRERGLSTSEPNSVKAFADARRHEDCELHRIPSTASRRSK RSLRSRRYTNDNDAKSFAGSNKTAEEDVCFPLHPSGNKDTLQIDFDVLEDFIADEESR ERTPPKGQPQARIFPDLRPQREHDTPTIVTYGGDIVSVPSSQAYDIQEKLSQPESSNE FLGIAQRIENRFEFFSSMGESTIHAAEFGDLVLPGEDVRSLFTLPPGEDVDGVWWLNM NNPTDEEIRAICKAFGVHPLTIEDICTQETREKIELFPSYYFACFRSFHVQEIDEGQQ YEPFNIYVVVFREGTLSFSFSPNPHAANVRRRITMLKDYVALSADWICYALIDNIVDC FAPIINKIEHETDVIEDQVFIARNDDMHTFLRKIGMVRKNVMGLMKLLGGKADVLKGF TKRCNANYKVTPHMDIGLYLGDIQDHVVTMMTSLAHFEKMLSRSHSNYLAQLSIDNIT QGNHANKVLSKITLIASILVPLNLVCGMFGMNVEVPWRSADSLAPFFGILGSLVAFVI ISLVVARRMKFI LY89DRAFT_688942 MADQILEQARELFEGQIDFEGQKLAEFLATALLATVGAISFIVG YFRQDIKGALLIGLGGTVLTFLAVVPPWPIFNKHPVKWLPVGGQNLDTSELIIDG LY89DRAFT_688943 MADIDMTDAPSGSSAPAKKVLGKVKAGGEGGADGKKRFEVKKWN AVALWAWDIVVDNCAICRNHIMDLCIECQANQASATSEECTVAWGICNHAFHFHCISR WLKTRQVCPLDNRDWEFQKYGR LY89DRAFT_594345 MSADTPTRGHRHTRSAAIPGIAASSQNPRNPHHLNQHAHARNSQ SDMNNNGSDQVLQPATPPRTPRRDNQVSSQNPSNSAASGTGSKQKSRNKNRPKNVMTS PVTTRNDRNTPPFAGVQSAGIPSSAKPMNTPSVAAYAGPTFHASPAPSALPIPSFYSK SVPESPAAKGLKSLKGGPLATPPHALPSAQQSRREESPLDLFFKADREEKARAHSANQ ATAAAGPFQPPIGPSRASQTPPATSSLVQPRQGSSNRQSTGGIFAMELDGEREAGTPY GPAFSTPYSERINAARNNQQTRSVDRESPITKNSLDKSEALKAYLFSGASILLTTKEE DPNLTSVDSLALNSYQSPPGSLNGPRSAGLPQQTYYNGYQQSFDSKHSTNTSRLPGRS SGLRQEVTPTKTPTSTFDRTGACSNSPTPARGYGNAPLSGAPQVHSNIPQNQEAPPLF NVASGSRNSDLQGIEDSLRKILKLDSAGSSGVSIAPTAQQATVSVPKYGGGRPQPMNG MHNGVTRS LY89DRAFT_625309 MPVCLQNATMKRVFRRNGQIAPPSLAHSRRLHQQRRGFADVVHD TKPYDVVVIGGGHAGSEACAGAARAGARTALVTPKLDNIGVCSCNPSFGGIGKGTMLR EIDALDGVAGRIIDKAGVQFRVLNRRKGPAVWGPRAQIDRQLYKKHMREELSSYPNLS IVPGSAADIIVVHEDGYKAGGRKITGVRLESGEIIPTNRVVITTGTFLGGEIHIGLEC HPAGRMGEAATFGLSKSLRDAGFQLGRLKTGTPPRLARDTIDFGVLEAQQGDDPPTPF SYLNNTVAVQEQMLCYATYTNEGTHDVVRANLDKSIHIRESVKGPRYCPSLESKVIRF ADKQKHIVWLEPEGFNSDVIYPNGLSMTIPAEAQEQLLRTIKGLENVTMLQAGYGVEY DYVDPRSLKSTLETKAIGGLYLAGQINGTTGYEEAAAQGIIAGVNAGLAAQSKPPIML SRSDGYIGIMIDDLITKGVSEPYRMFTSRSEYRMSARADNADLRLTTKGREAGIVGDT RWSSFLDEVAQMDALKASLLAKVASPPTWIKDGFRVGNDSTRRSAFDVLRLADVTVTD LAPLVPEVMTHSTRIRDRVGIEAVYAPYVQQQAAAMKVFQKDESLKLPLDLNYDQIHG LSMHEKAILNETRPESVGQARRIEGMTPSGTLRLLAYVQTRHRTAARAAVFDEVAARK KVYMVS LY89DRAFT_625310 MANRKKKNVVIVGAGAAGMSCAATLAQHPNDFQVTIIERMAVTG GQATSISLDKSKYGTDWMNDGVQGGSPIFKHTFNFFKKYGHEPQEVKLQVSFGKGPDG FWTNCFPSKLVNQFSGDIKKFGKVLKLIKWTMPVLGLVPIRIMLRMFFFSKDFGDKMV YPLIALFLGTGNQTANVACAILERLFDDQNMKLWDYDESTLLPNLPTMVTFPKLHDFY EDWRKDLESKGVDIRLQTDVTEILQRSDKGVVLQIRPFDPDAKDRRVTHTGPSSKTET FDELVMCVLADDALKILGRIASSKEKFVLGGAKFYDDITITHSDSRYFQKHYETKFDP SLCAEPSSKAQADQIAFAKGEQRGSDDEPSGYRPMYYTKSYAQDPKKIEMSFDCTNYQ HQFRMDHDAETAPIPHDRHVFQSIFLDKRNQDLWTINEIDENKVIERKWWHQLGHRWQ HYVRVVPGMMFINGNNHTLFAGSWTLVNMHELACVSGISAAYILGANYVKFDDFAEDF FSKYLLISHGMRYSSEQKRRKEKRN LY89DRAFT_710210 MSDSESDEDLKRAIALSLQESHPLPNKSEQAVIDLISEDEDDDL DAPVKTKLTSSLPIANVPKHKAVGPSTLGLDAIDDAGASKLNKESSTMESSVRLEHST EKVDPRHQAFGILGLNRKQMEEERLARLSALKGLDANDKVTDESRKRKAETSSQEHFE GRNVKTKVSPPGEAFMSNVVNTGLAHRIATASGSNQYSTAGTSSGIQYPDGIVKKTWA KGFPRTGDDITIEEVLQKDDLELAVLSAFQIDTDWIIGKLGKKTKAVWVLQAKSDAEK ANWRDNAPREYRFCFPSMDGIVNCMHSKLQLLSHGSYLRIVIPSANLVPYDWGESGVM ENVCFLIDLPKLPSGQTAELNTQFGIELSYFLTALGLDQKIVDSLRKFDFSRTAEIAF VHSIGGSHDTAWQRTGYCGLGTAVQKLGLATDKPLEIDFLAASIGSLSEEFIRCMYLA CQGDDGLTEYQLRTGKSTRVKGQAETKRKPSDDILSRCRVYFPLEETVYRSKGGAGSG GTICFHEKFYNSGNFPTAIMRDSVSKRQGLLMHSKMIFIRGSTIALAYVGSANLSEAA WGKLVKDKKTKEPKLNCRNWECGVLLPVRGSTSARNPSDHENTRIPLGMEVFDGVVPV PMKTPGDAYGERKPWYFAQH LY89DRAFT_234239 MMMMMMLLLTTISDMSFGGGDDDAIVVLPRRFIWSSYSTVQSLS SFLLLKLFHTVPGRPLFSFVELPPSHARRSFPGSGPPSLPRLLLPSGSFLSLGVFYLL FPVAAAVPVPTYVSLFQRGDAYQTGPALYRLRQDSAGDGLAQAKDRRLHTKSTGAPPP VPTPLSCCDYSSYCTIFWLCPVFTTVLFSYCLYRALPNPPPPT LY89DRAFT_594471 MASASPLSPTFYGHIASTQDALLLFEACLSGALNHVARRPHDRE RVSLIKSGNVFIYEEHSSGIKRWTDGVPWSPSRILGNFLVYRELERPFPPGEKKRAMK RSKRSPGISKPDSFGPPGNGISNGYNPVPAAASSFETSSTNSLSKETERSLIGSLVDS YGFKEEGLVKKTVSVTVGGVSHHLVSYYTVADVMNNKFTTPSKDPRFQHITPRPDLIT KQNFRTPIDEVDS LY89DRAFT_234360 MRKGTGIVSFSWFQSCSEFKACSSSREKTTPTKRPLLKALTQIT PHENIYTVPNILTFSRLVAAPVIGYLVLHDYHAWAVGLFAYAGITDLVDGYIARRWNL QTVVGTVIDPMADKTLMTILTVCLAIKGALPVWLAAIILGRDVGLGIAAIYYRWISLP PPKTFARYWDFSLPSAEVHPTTISKYNTALQLALIGAATAMPLLTVDVSVAMTAMQYL VATTTVWSGASYIYTKDAVKILNQEQQQGKDENLGDRK LY89DRAFT_786649 MDVVQAVSGYISKMVSAGDGVSGAPSAKMKILLLDSDTMSVVST AITQSALLNHEVYLIDRLDNQNREKMRHLRCLCFVRPSPDSIQYLIDEFRQPKYGEYN IYFSNVVKKSSLERLAEADDHEVVRAVQEHFADYIVVNPDLFTFDLGFPKQRIWSSNP NTWNADALQRTAEGLIAVLLSLKKKPLIRYEKNSLLAQKLAKEVRYQVTQEDQLFDFR KVDTPPILLILDRRDDPITPLLTQWTYQALVHELLGIHNGRVDLSDVPDIRPELKEVV LSQDQDPFFKKNMYLNFGDLGGNIKDYVEQYQSKTKNSSNIESIADMKRFIEEYPEFR KLSGNVSKHVTLVGELSRKVGSENLLEVSEVEQSLACNDNHAGDLKNVQKLIQSPNVT PDCKLRLVALYSLRYERHPSNALPVLVDLLGAAGNVPQRRIDLVAKLLIYHSSLQQAQ TAGGISDIFESAGIFAGARDRFKGLKGVENVYTQHSPRLELTLQNLIKGRLREQQYPF VDGSTRDKPQDIILFIVGGVTFEEAKCVSQVNASSPGIRVVLGGTSVHNSTTFLEEME DAVSSWPEPQPTTAAGRLRKETGRR LY89DRAFT_786650 MLPYINLPFEYVAGILGTSADELKLITSFLLSYPLAGLLKRVPD EKPALKNLFIISVSTFYLVGLFDLWDGYRTLAVSSVGAYCIAQYVQGPFMPWIGFLFL MGHLSINQLARQFLNDPGVIDITGAQMVLVMKLTAFCWNVADGRLPEEDLSDFQKERA MKRLPSLLDFAGYVLFFPSLMAGPAFDYVDYKRWIETTMFEVPAGVDPSKKPPTRKKR KIPRSATPALWKAASGLFWILMFLQLSGWFYPDLLTGDTYMTYGFTRRVWILHMLGVT TRTKYYGVWALTEGACILSGLGYKGVDPATGKVSWDRLRNVSPWGVESAQNCRAYLGN WNINTNNWLRNYVYLRVTPRGKKPGFRASMATFVTSAFWHGFYPGYYLTFVLASFVQT AAKNCRRYLRPFVLDPKTAQPTSAKIYYDVASWFATQLIFSFTTAPFVLLTLPASFLV WARVYFYAVIVTTLTTAFFASPGKAFLVKKLNRRAGTTGPLKRTHSQESLTSKEPVLG LPSEPQEDLEELVSEVKAEMESRQRKGSKSVKAPYFPSKSTKT LY89DRAFT_688953 MAPTGLYSRFKAYYTGEKDPEVPPVSTTTAVALLVLYTLIYVLP FYISSTTRPSPQLSRDAPSVIRGRIRSVTLSCIICSVTTFVILSSVEHGDPFQSLHLM GYYPIGLDETVKTVALTAILFAGPLFEAGIAEGGWRDWIRLRGVNETVSGWIGWRNYV AGPLTEEVLFRSASVPLLLLSQVSNARIIFLTPVVFGLAHVHHFYEFRITHPHTSIIA ALLRSLLQFSYTTLFGGYVTFIYMRSGSLLSVILVHAFCNWRGLPRFWGRISAAETVM GPDVGEGKRSEDNPTKNSNDDKLAIVWDIAYYLILLFGAVAWYKSLWTLTESDSALTT F LY89DRAFT_234468 MRGAGKHCCSCNTFYSHRIVCSPLNQPWSKCSLPSNRSGQRRKT CRPLHETIPASGKTRG LY89DRAFT_234471 MSDNSPPRKPLHERTDSLSNTRAGTAAAGIRLVPNVPQLLGQRD EIYSRTGSHLPTHPSHFLTPGKGKGPALDDEFQRTTKAQAASSSSGSPVITTHTPHQP PQYGNSATGSPISRPKPLPRKRLHIHSDNKTFSLLQDDSQSVQDDSKSLSSKHRSSDS LLSDPSNAGSRRNSIGSCVPGPHSPVVPTTPAPQNKQPISADPISNSPWNYQLVGGLR KVPKTPDLKQKAATTSDSPLPPLPETSDGPPALSHDLSTKPSFQSTETTNSEHTNYKV YAGSESSSEPIFHPPSIDDNYQVIGSPSPTSSIIYRPQTAASEDENENYQLHGDPSPS TSFVNLPPPGRYSQESLVVPPLRPRARRSNENLGYYKSRSRESLRTGSLTSISTVLSQ QEALRAIVGSGSLIQLPILKQKPEGPSSWENPLRLHPARSHMNEHPHQWSSQLSTVLS VSDGGTDRGSRSWSDGRISSGFPSSTSRHSRQMLSISSSMAQEETISRSESIEPPTPA FVQNGQRHHSSSSIGIVSNQDEFGDGITDMQDLNLRTRPSRSRLSGFFSISDNGRTNT MRSTTSSRANSLLGNSIPTWAKLYYGSGERRYLGAPGSSTEGTDSRSNSFRTGSPNTD HFPLSIYSPRRRPREVNPRDINRSARGSLEISPAPQLGSDGRLIHDPHAGRFRTWSMS SIWSPHLRLDRRATRRSVWEPPSINWSTEGGMFGRRNIQIVMFIAGFVFPFAWMIAAL LPLPPSPMRDMRERDNSTSNLDSSNDVPNDYARQFGPMDEVRYESAKWWRGLNRWMSI LGLLVIVAVVVLVIISIREGW LY89DRAFT_722871 MSSDSQVYDKIVHVDASRAIKDIGYARSVTAQAPAFVVYNDAFL DLLGGSPQIKLVETRDYEFAHEAGVFSPLTNSVFFTSNYQSSDPVEIHSVDCSTLAIT KVAWPEVHHGNGACQYQSKVLYCSQGSRSVPSSLVLVDPLTNASETLINNFYGREFSS INDVVVNHKTGDIWFTDPTYGFEQAFRPSPTMPVQLYRFSPAKHQVWMVADSFTQCNG LCFSEDYKRMYVTDTGAIQAHAGPGDGHQFSCNPRLPATIYVYDVIHGQRLQNRRVFA YCNNGVPDGIKCDQYGNVYSGCGDGVHVWDTEGTLIGKINVGGTTANFCFTDSGLWLF AEKKLFFCRFGTKGALVDIECSR LY89DRAFT_710219 MARHTFTREQMDMYFDRICMPQSKRVYDVINVRPSYLFKKIVHH EGVGGYCIEVNYLFHLILYNLGFSVYMAGSRIFHPDTKTYGGWTHVVNIVTIGTSKCL LDGGMGPNGPHCAMPLQDGEVIAQISPAQMRLDYESIGQHLDKSQKMWVFRHRYDQDL EMVPVYCFTDQEFTPEDVESMNFEPMLNPQTIFAHKILCVRFTTDRETNEGNGPGSAS EESLEGEIHGSLSLNHDILKWRRHGKKETEITLKSEESRLEILKKYFGITFLADDTEP IHGTAAHIELGPAQGAPAFQAPALCAAIKPTRCDG LY89DRAFT_594774 MENAAHIVAPCGNHTQTIILLHGRDSIASEFAEEFLESQASDDR TLPEIFPTTRWVFPTSKIRNSARFGAPMSQWFDMWSVENHLEKNEIQIDGLRESVKEI LDLIRTEAVLISPDRIILGGISQGCATAIHTLLYGGIRLGGFIGLSSWLPFEPEITTT MADNMAWSIDGSTFVLETPVFLSHSRDDDIIPIANGKKLSTTLEKLEMVVSWKQYEDG GHWVNEPQGVDDIVSFIHSCRRVQLDEEVI LY89DRAFT_688956 MIVRSAISIPRQHYIIAKSEGTVAQDMPDNDLSSITMIQRNKTP MFPIEWIIAGQSSIYNLNNSPELADRTADTQPLKVSREIIRS LY89DRAFT_722874 MPLNGHSRHPVTTSKEHDRPSKRACWRYFGTGRFQVHGISDHVM DREERGRPPRGKTERTDCGPLGPEQEDTLQEIAVASIDTTIVCSGIHSISWKIFRDAA FLLRHCRVLTRELDLWRIFCLYNVTSQASVQTYSAHLVDCIHATYARYATEPRDRIFG LFGILDIKVRLELQELNYSTPLGRIFQKVTEMMIKEHPSLAYLHYSSFMISSIDETDI PSWVLWMDNNPLQVLPEPLREFQLNETGGVIITNSTMKVSGFVLDFVLHATDNFSVEK FGRQVLKCYENLIEISAIIKDRDSPLDVLWQAFALDEEAPTVDRHIAFAAWLAGLKTK RGDRTTDEIQAMLNDDPSIRNRLEDSMIGEFVDQASSYHEDLCKAYVDNAGEGRLFDS KKCQGRNFFITHRGYCGVGPAGDSRPDNDTPAVQVGDLITILSTATAPVTLRRIDDDY FRLVGLAHVPHIIEGSEFQKGMSEDLQEFWIR LY89DRAFT_594373 LMGGKHFTIPCTLSKNRYSINLSALIDSRANSFVFMDTTYANNI TTFLNLKPQPLIQPIIPKGFNKQPGKLVTHFITLYLSLDSQRQENIPFLILDLSNHNI ILGLKWILYFNI LY89DRAFT_595445 PFQGFLKRTKIGDDITYDLELKLPPTSEHLHLPINPAALDIYSS KEAPAKVSINHDAAAHSKIHQAPLQPKKRRVNWTTEEDATLL LY89DRAFT_654616 MGLGDPQQVFGVQELYRPAREPAAIDIVAVHGLNGDAVKTWTSG NICWLNHPDLLPKYLKRARVLAWGYNANISSLTGKTTSSERILQHAQTLIAQLHADRD LEDANDRPIIFLCHSLGGIIVKRALAYSASRHASNIAHLHSIYTCTFAILFFGTPHHG SSKARLLGSLQKLASLAIPKVAVQIESSLVNALEEESETLQNITDQFAPLMANFRIFF FWEQEKTDLKYAKEYIVDESSAAPILDNTERCGIAADHRGMCKFERSTSQGFRTAVAA LRRYGQEAPQVIRSRWIKTTEMLIENRRHEAMEMLRGIQPLSGDAFPPEIVHRIEDSF QRKEILDQAGRQERVTENH LY89DRAFT_700341 MKRTLISLFLVAFATHIIYYVTKRYLQHRADATLGRRHRCQPPP ELPYRWPLGLDRIKELWDLNSEDYEPRNNLSQYLLIGPRAFHILHPRNVESLLSTNFT DYGFGVRRDVFAHLLGNGIFAQEGPAWKHSRELLRKQFIRTQYQNLDHFREHVDNLIT HLPVDGVIDLQPLFFNLTLDTTTALLLGRSVYSLRADIDQDNENRLFAESFSIAQEGL AKRFRIAPWHFFYNPPKFRRACSNVHRFVERYIRERNLQNEKNAFGEESCGFIDQVAK ESVSNEDLRDQLLNILLAGRDTTACCLSWTLEISSVMGASEHPSREQIRRMPFLACVI KESLRLYSPVPLNNRTATKTTILPTGGGPDGHSPILVRRGELVVFSQYVNSRMRSIFG SDADDFRPERWEGSGLSGIGWAYFPFSGGPRQCLGEDFALMEVSYTVVRLLQASSLIA LPSGEKIEPVGAERQRLTLVLSSEDGCRVKIEWR LY89DRAFT_654619 MPSGLLHLNTVEFNQARVNREDRNASVDIVAVHGLNEDMIEAWT DAETGILWLRNFLPEAIPVARVLTFGYDASASSFYSAGWADTIQKHAHTLVAALQADR SIEGCDHRPIIFVCHGLGGILVKKALAYSASRTSAQVVHLYTIFVSTYGILFFGTPHN RTDTASWFALESTQSSELRTTIQADGQFNTALNWNSETLEIITDQFAPLMKQFHIFFF WEEVQTSFGHRSGFIVEESSAAPILDNTERSGIDATHSRMVKFSETNSSSYRTIIAAL TRYCREAPRVIAHRWEVALAALARARSNEAFELAGLAFDIHNDRLFPCDHSASRRSHS KHFFPPQETAADFIGREDISHILHNALFPPENTSSINRQRKFVVYGMGGSGKTQFCSK FARDNQEQYWAVFTIHATSVETAKNSFARIGKIGGLGDTEGAGKYWLSQLEEPWLLII DNADNPDLDLAGLFPEGDRGHILITTRNPDFRSHGTAGSVELKGLKKQEALHLLLKRA DVPRPWDASTEAAGNEITRTLGYLALALIQAGTSIFRKICDLTDYLNFYNHYRSRRRA RPHSMAAPEDDDIVYSAFDFSMNYLQAKCTVVSQDAVELLNIVGFYHFEHIRVDIFTR AVENRLRALASSANRPTHSRLLGAIITRLQPPPTLPQFLRQDLKTLHPYRVRRALHEL YSLSLISYDGKDASFSLHPLVHTWARDRLDQREKALWAQIALNTLTESILLPPDDAGE IHGEFRKDLLPHLDACLAACPIRISDYRSRLGRLQLSYAKFFQQTLLLIIRDQALNAG KCGYVYAERGRFGEATVYLSMVKDVLVETLGYENERTMIAMLGLAGTYWGLGRLEEAI TLQKRVVEARSKVFGPEHRETLLAMDQLGRSYWLHGQYHEALNLQQLTTDRMKAVLGS DHDDTLTALDNLGVTLGSWHRFQESMEIHQQVLLSREKRLGATDLETLTTMNNLAMAL LDLKRLDEARGTMHKVYEERKLQLGKEHPWTLWALCNLAKINIELGLLQEAEYMLVDG IAAGKRSLSDNHLGVLMGCGELARVYARQGRFDEAEKLTLDTIQRLEKSRGHEHPDSV YAMWKLAQLYELQDKIEKAVQACEVALERVNMRLTKQHPFCKKIESKLCSLRNRLRPK LEDALIDERRDPEQHEDHVVRQFKARSQRTW LY89DRAFT_563201 ELQLSCSVKTLKRRCKEAGYYSCICCQKPYLTKTQANARWLWGI AHMFWTIWEWSQILYSDEVTFQVGGKKCKQRCIRNKKERCHPDCIQFQMHRGGTIPVH FFGAVGYGYKSPLINIHGTRKSGAFTQTDYLAQVLKPYIQDFLAAFAAVLGPGKTPQF MEDGNSAHGHKTTSNICATWRTSMGITLFPHPAVSPDMNPIEKCWRRIKQALHRRLRQ PTTEVQMVVAVLEEWDKIPQEWINGLI LY89DRAFT_786661 MQQIRDSVRSGTKVDFARLMNWPSDQSNPSYAFGNVNRQMNFIG NADRDGQPAQDLYPNFIQFRQARGSLDATDVERWVEFCCRLVWLAGLIAEDPAEALPF SQWFASVAGNGAIQRSGSRLSILDLLSRMNFPADTTMYWKERIAKFACYTPGDADDRV DDELPPAGYTYPSQGPGGAGGGGGGGDGGAGPLRDPPGDDEDDEDDDGDNGDNASPSR RVNINPTSRKRPAPHRGETPAQKIQRKADWRRGRVRTPSPPPSRPPTPEIAGRRLPPG GRGTAPKETPINKDARQIAIELNQAVRRALAAELEAQRLAREGVDAAEIERRRREAEA ATDRERLRLAAAAAETEAEVEAAREMRRLRDEARVAEETERLRLAAEAAAEDPEAAEE TERLRVAAAEAAVSTQPTDVRLEDGTLVYIPDDFYNGTMGLNPDAITEVSGLRILWDD LGGPPKKPTAGQDAAAPALTLNPGESDTSLRRRCIRAAIQQIIDAFVASERAAAAIQS AAEAAAARQDLEDAASERSARPDLDLQVPAEGMIAPVGVIAEEEEMRFDGFSWQVANF NLNRWNIRDVSSRITNRAGTERRGVCGIRSVIEAMRLQYPDDPLGQITPVALLERFTE MRRTSGERPNALITDVELNQLLFEWTGGRYSVVVYDMNAEFPEELRAARRVGTPEEQA RQSRNLFLIYGPHTDGAIGNEGGHWQALQRK LY89DRAFT_758673 MASKTYSDDPHLYLYTSLTSGSSHIVTATSRMETILKANRIPFK ALDIATDEKARMLWGRRAGKDESGRPRKIPGLVQMGLVIGDLVEVEDWNEYGELKQHV KIVGNSNMTPVRTPAVTPSSTPSKPAVQKENIKPESAAASTSKETETPKSSVPEATPI TLALRQVGQEAAQKAKDNKKKPMETFSGVGKAEPAKDEKAVPAPITTDSTSDDEIKQI EEEEAIPEESEEDDDDDEK LY89DRAFT_234715 MPSPTGAVLIARALHELGVKVIFGLVGIPVVQIAEEAIALGIRF IAFRNEQAASYAATAYGYLTGRPGVCLVVGGPGVIHAMAGVINSSANAFPLLLLAGSS ETHLVTKGGFQEMDAISLLAPHTKISIQASLDSIPQSLTNAYRTSWYGRAGTSFVDLP ADVIQEVGEEESTHIVPLPSKPAADPDRIRQAAILLKSAKAPLVIVGKGAAYAQAEVT IRKLIDQSNIPFLPTPMGKGVLPDSHPSNTASARSTALEGADVVLLLGGRLNWILHYG EAPKWNHAVKIIQVDISAEELGRNTGDASLAILGDINLVASQLITALSGWTYSTSSPY VSTIRSSAAKNEGKARQAAKSSKIPMTYAKTFTTIKDTLDQLSPLNDGDIVYVSEGAN TMDISRSIFPVSHPRLRLDAGTHATMGVGLGYAIAAHCAYNLPSPEGLSESSSSHKKV VCLEGDSAFGFSLAEVETMSRYGMDILIFVINNSGVYHGDSESSDDWLEKQKLTIAGK SGKGGLRSSSLGWEVEYEKIAEMCGGKGYLVRTPDELAKATEEGFKASVPVVVNVIIE AGTGQKLEFAWQNNSKKTKKTAAKL LY89DRAFT_739219 MCFLGTKKPPRKHPKPQPPAKIICPFQSQPGNRTSKLPHHVHFP PPPPPPPSNPTPKPTFFLSKPKQKPKPKPKKQEMIPYVPVHAYGFPVPPLPPPTNHGQ IAIWNYNDYTSFEQSLELSRYRERERVAKEERERKVVEERQGREWGELERFRERQWRR DDAFQGLMMESLRERIKVGEKGEKDGGGAGGEWKKEVGGLRKEVEEMKREREMGDTVA VAKARAEAFKEAREEEKAKKEKESFGWGDRDNREREYGRYSREQDGFDWDRGRGDWNQ KDRGKKALEDRFDDIEIFGRGMALGRQEAQPLPTPARRPLLGERRGGFGYGGEFHYKE DSYEGYEYSHGMPWHQPHLSERRDPLRRGACPGTEGLRDGIKGVQSSLGRFEDRFRLE DAKRGIEKDFRQQYAFDRLADTVGQMNRRLGC LY89DRAFT_674360 MRFVSILVALFGLIVTAAAIKDMIPTLTESTTLTIISCGPSATN CAGSAGVIVIDTFTTTECSTTSIPTPPSVISVSTTSSTPIHLTDTTSMSSSTVPTEST SYVATTSGTSSFTTVTASPQFPNSSPTSANSSVTETTTSTGSLSNSETSSTIHITPTA SAPKQTSASDGKRVAVSGVFLGSVTLVAVMFG LY89DRAFT_654629 MYSSFFSIATLPFFIGAIFACPQHDTHTNSPNKRATGGQDWAYE ASYNWGMVNPNYTTCQTGTTQSPIQLLLTQGLAQNHLPNFSTSTYTLVPGTLSNWGYG PAFTVNTSSSISSAPSFTYLDSNSQNTTLYLKGWHIHAPADHSVQGDRAKAELHLVHI DSSGAEAGVIAIRIDPGNSNSEFFSQFLPSSSSQNNITSLPSFNSQATLPMQLDIYQI LREVNMFSDFWTYMGSLTSPPCTEGIRWWVARNTLFVGVDQMRDILGVSTFSARVEQE VWLHGINV LY89DRAFT_234750 MAYSPTATAVLGSSTAHSTFFSATSSQLIQLRRQHTYITPATKV FGEIENALGQKTELSTPLIWFPKDERKLLGLGVTSPSQPSSPVPEFMNPLQFRRYLQM LYPMRVTMF LY89DRAFT_654631 MISTNSPLIGKSSVVVTGETRQQGALSTVIADHFEPPVLNEKVK LDKVFNARNLQQICGIKIRWTSNLADYLMMRNDDTAVEIFHCAAFLRCHENSSLFPKG FIEETIQTLAILLPEHNKDVKTWFKSQQKLIDTAIFQLARECGQLKKSVRQIKNFVYW HDRLLILKQVFDEAEPSTASQWWNDRRKKVQWCTFWVAALVLLLTIFFGLLQGIEGAL QVYKAFNP LY89DRAFT_563994 IVRLLLHKGARIEQSSPLHGTALCAAVSRARFDVVQILLEKGAN VNAVGSPYGNALQAAAWVGNVAIVEALHRNGAEINARGEGECTALQVACYAGNSGAVR SLLVRGAGVDMPGGKYGRALEAA LY89DRAFT_536512 LYRLVVLGDGGVGKTAMVIQLTMNHFVETYDPTIEDTYRKQLDI NGKSCMLEVLDTAGQEEYTALREQWIRDSEGAVLVYSITSRSSFRRIQRFNAQVLHVK GVEKFPILLVGNKSDRVTEREVSTQEGYALARELGCEFTESSAKNRKHIQESFMDIVR QVQKYRLQ LY89DRAFT_688969 MAEEYPYKEVHALFCFWDNSRSINFEMEGLESSLQNYALNSISQ LHFRSSIKNENGADLDDIRSWIKKYSHPDHLLIFYCSGHGEADKCGRLTLYPQFRPAK DLIEDQNCIEWPSLYEALCTHSRSDILILMYCCDAAAAINDMPQDLTTSITVEIIMAC GANELAGGPGVTFHTALVATLKKMAMNRHPFSAAVLARETASQMRRWKSRITVLIGER HHNHFSIPIYFRSHNGSAQRPILLKPSGVKHPIRQRRAIADIMGAENSWQK LY89DRAFT_688970 MKTLGLLGGMSWESTVTYYQEINRRVRQVKGGLHSARCIVFSFD FAEIEELQHAGKWDEAGLLLDEAAVKLKLAGAEGIVLCSNTMHFISLNIESASQLPLI HIVDPTARAILKKGYKCVGLLGTRFTMEKDFYKARLTEEFGLRVIVPEEEGRATVHDV IYTELCNGIINETSREKYYKIIADLKKAGADCLILGCTEIGLLVDEGGSELPVFDTAH IHAIAAADWAMGIEGRKENKSLIL LY89DRAFT_688971 MSTSILSSTDEAHVSQTCKAYSLESTQFHALHSRSAGAKATAYC PYSQFRVGASILTDDGQYIDGANVENASYPVGTCAERVAFGKAITEGHKKFKAIAVAT DISPPASPCGMCRQFIREFCELQTPIIMFDKDGNFVVMRLEQLLPLSFGPEALPRPGS LPARG LY89DRAFT_786673 MGSPVAMTDTEHFSPYRADGKLYGFVCIVTGAQQPVGHAIVEEL AAHGAACIYACDKGTSSSYTALIESVAKAYPNTKVIGYPFNVAKEDETLGLIDDVLNS WGRLDVWVCSAGLLGPPSLEATTPADLQKCFEANSMAPFFALKYAPPAMGKLCSKQGY PNAAPKDQKYGSIIVVSSVASTYGGCWGPCYTMSSHAALGVVRAGVAVLKGSGVRINC ISPGQIDAGVDLQSFDMRGMTAQFPPASLQSKETQKRDIGLERSGLPVEVGRVAGFLA SGFSSYITGANMVVDGGASVMNPLTVPI LY89DRAFT_625380 MAASATQNPPVKTESKSAKKKKKAKAASSESEAVKSPAVAELNT PSATAESNSGDGSYESPYIKELYKNIRNVNKKITNASKVDNVVAANPGKSLDELVSTK LINADQKAQILKKPALQASLTQLEEQIAQYKKFDQEYKAASQAEKAEFEKTLTERANK ELEEAVSAAKVEAEATAAKDQQDNLLLLSQFLKLAAIRRSEEEVAELEESKALEGLLG QVYHGDSTAVSAMMNLIQGSSEKVKSVNGEVLDVDFAHLKEIASVIPPTIMPAPEAEE EEVEEEPAAATTEYPVESDPTIANAGLTELDEPTTATLTNGHTESTLEGQGIPANAGF GDGAANAAAEANWDQSNDLSTSQEWVEVPRDATETDTGVTATPAAPSQVQSWADDQPD SPVCILSTQFISILTATQPAAPASNPNDGFQEIQRNRGGRGNFRGGRGRADGFSRGGR GSFRGDVYRGRGRGGGGANGPRG LY89DRAFT_688974 MAAGQKLYPRATVKKIVKAHSKRNVSKNVDVLIFLDYALFLQTL MKEASINAKQAGERGISAKSVKKVTALSLTKFKG LY89DRAFT_688976 MATDRDVLPGNVKPVNYDISLYDLELGGGFSYQGTVTILSKITK TSKEITLNAHQLKIHSAEVALEHTKTQQTFKTTDISYDAPRQRATLSFAEDLPTSEKA SLVIKFEGTINNNMAGFYRSKYKPAVTPAPSVPKDGDNHVMFSTQFESCDARRAFPCF DEPNLKATFDFEIELPEDQVALSNMPEKKIKKSKDGFKVVSFDRTPIMSTYLLAWAVG DFEYIEDFTKRKYGGKNLPVRVYTTRGLKHQGQYALDHAPQIVDYFSDIFGIEYPLPK CDLLAVHEFSHGAMENWGLITYRTTAVLFDEKASDTKFKNRIAYVVAHELAHQWFGNL VTMDWWNELWLNEGFATWVGWLATDKIHPDWHVWPQFVSEGMQTAFTLDSLRSSHPIE VPVKDALDVDQIFDSISYLKGSSVIRMLAGHLGQDTFLRGVGDYLKAHAYGNAKTTDL WSALSKASGQDVPALMDPWIQKMGFPVLTVGEELGQIGVKQSRYLSTGDVKPEDDTTT WWVPLGFEGKSGSKEVASIALLKKEDIVREVDDSYYKINKENSGFYRTNYPPARLAKL GSQTDRLTISDKIGLVGDAGALAMSGEAATPGLLAFVEGFQSETNHLVWSQVLGSLAT VKSVFADDAAISEGLKNFTLKLITPAVEKIGWASSPNDDFLTTQLRSLLLLTAGLNGH EQTIAEAKQRFGGYKGGNTDAIHPSLRGAVWGIAIRNGGKDEFAALKKEWQTTTSIDG KEIALRALGRIQDVELLPDYLKLLFNEVAVQDMHTGAIALAVNPRTRHGLWKYIQDNW ISIREQLSKNMVVLDRFVKCSLNKFNDKQTEKGIAKFFEGKDNRGYDRTLNVVSDTIL GRATYKERDAAVTLEWLKVHGYA LY89DRAFT_688977 MTREPSDDTEGLLQKDELFDSDQLSRPTWQQKSKSWRAHLRVNQ IYFAVIVLLIVSNITTLSFLSSLYATRQTYTPSVNQPPGGVPPTFKHLVRTPTPTFVN VSWYPPEDSFFREHNSTDADEKWKWYDASYGGYIMIPKEEAVTADIDPARHAYVDRPD LGVEGYPVLPEAIHEMHCVNMVRRNLYYNIEHTRAGCHPPNCEPPELESWRMQHVDHC LEIIRNRVACTADLGIVPFMWYGPNGKLAGDMARMHTCSNYDAIRKFVTEKGVLPGET KGILKPPEGAFITDLRN LY89DRAFT_594845 MQTVRRKHFHILSFTAGFAASIVLGVIALSIYRLTSVPKARAEI EAESWNYCGRSSVTAMSRGCVMEPMFYGWMPPQCVYQELTESLPVFEDRKYFSDANLT QPIQVPQLWAGEHNVIYTDRYHDEHCLFQWRKLEYAYNHQMDFIDNKTISMHHSKHCA DQLSISSEIANGPRANYIELGFYRCRKTIW LY89DRAFT_688979 MKVTFFLAAFIATVLAAPAAQPVAKRNFDGIYVVGGEEGESAEK RNFDGIYVVGGEEGESAEKRNFDGIYVVGGEEGESAEKRNFDGIYVVGGEEGESAEKK RNFDGIYVVGGEEGESAE LY89DRAFT_654661 MGDVQTYVTYWKQPEDGSGPDSGDWKQLIVGHKHQGDRRDIVAH DVRGNESTYSLDKHGFEIHKLPTKERDHLNQEEVQKEYYQEIIDLIKKQTGGKDVTCF GNIVRQYKRDALTPEEFIPGQVQGPSPRVHVDVSPIDPERLVGNMMPGKLDAMTKGSR WQFFSIWRPTKSVSRDPLAYADSGSVPNSDYCDIVGPKYNGTILKCGDKREHRWHYCS NMTPDEVVIVKHLDSKRDIPAWRCPHTSIPLPGTEDLPARESIEVRALVLFD LY89DRAFT_739236 MPDPLSIAISVASLVATAAKLLNVVNSYRSQYNLQDLSAVSIKV QCDCILVALGQIQNALLSNQQISARLMCDDSFSGQSLKTVLGACELTFAVVVGRLSKL TGNIDNGALLLSPREKVERLWKESEIMDLSQNISRISDGLNLLLTAFNMKSQLEIQQV LTTSRASILLEQMVDDASSIFLSDCNASYISETVALRDQEPMMHTEIDPKDFSFDQEV LMTPAYRKVQISHPRPVPNLILGSSSRTARPIQRKPVPSAARRAAVPPQEEVQSKVPA LPKTNEEQSLGSPSSQITTNSQTRESIHEFLIPLPVFPTIELASTEFDVILESGDFAT SPISSNTKPDDETHETIHEVQADPRTPDHASEHSEILEEADTTENDSISSVTALAEEF QIEMVNLPKKATLWDSMPEASRSMAEDSSGEVLKLAYNRHKLYVTPTEINVSGMGLTE LNEETIRMVQQTLESLFLFSNSIKTLPKSIMGCQNLVYLNLKANGLESVPEEICGIRT LKTLHLSQNAITDLPPDLANLQNLEIRDPLPSTI LY89DRAFT_739237 MENLTIIYAHNNPFTDAELDSWQKTCQYAGSSEYSKNVTKQLKV LLNNSSGSAVLNSLSEPAARTLPRWPFQNNVSRELSTPRTLPMPKWPFQEQVDTQDIE TLPWLAPGEAFETRVWPSDKSSFEAYGYDQDRMGGEWDAGEMSRLGFGKWMNNVLYNG SGRVLEWEDMEDSSKGSNETAGLAAD LY89DRAFT_758707 MAAGQGSHSLKPLFQIQETGQPAPLQQPNNKPTSPKTAKATPLT SINFFAPPTGTTVDVSPVELVLVDVLAWLGPPTTLVVTFTATEEEAELLGEEVGVWLG VEAAAIEDVLTAAGEDVVEGGEVGAEVSDAEQPKPVPQQEGGMPYYLR LY89DRAFT_688983 MNQSDSVDSNPILLGGYNFGFDQNSTNLTGSHFKDHILVSAIAV NGLCVVAFLALAIVTCCMKLSWRAKGRKVFAVLYGLVVAMSLAYGRTLADEIIHEFGL SSVVGPYLALPILKQVFANATDTILIFVLYSIIRNRSKHLRPGTQDHAHAHRIHMGTW LLIFLLGIADESLFFYAQAYVESDDIDPAKALNIVNWYKNIHLSYVIIYCTIILEIFA CSVRIWHEARQKQAQSPISNILVQVIAPFLVIRSISNVALSVIYITLGRKEEKSTAVV VGILLGLTSVVVYVGLVVIAFEKDWDVPKVPTIARFVDTAHHLDNEADGKLFP LY89DRAFT_688984 MAPVESEHFETLQLHAGQEPDPATNARAVPIYATTSYTFNDSAH GARLFGLKEFGNIYSRIMNPTVDVFEKRIAALEGGVAAVAASSGQAAQFMAIAAVAHA GDNIVSTTNLYGGTYNQLKVFLPRLGIHTKFVNGDKAEDFAKAIDENTKAVYIESIGN PKYNIPDFEAIAKLAHEHGVPVIVDNTFGAGGYFVQPIKYGADIVVHSATKWIGGHGT TIGGIVVDSGKFNWGANAKRFPEMVEPSPGYHGLKFWETFGPITFAIRVRVEILRDLG SALNPFAAFQLIQGIETLSLRAERHAFNSLALARWLESSPHVSWVSYPGLESHPSHEL AKRYLKRGFGGVLSFGVKGGGAAGSQVVDGFKLISNLANVGDSKTLAIHPWSTTHEQL SEEERLASGVTEDLIRISVGTEHIDDIIADFQQSFEASAAAKTTGGAEENAEKTGNVE ADAKMVV LY89DRAFT_674379 MSLITYWGDSQISNGSVNFGDSFSSHESTTFSILSDTEFNTTEG NGLWYSGEYAQDTLHVNGSSFADFIFAFIEDGVEVGDNFLGLGDKVDEAAVQDDSSSP ENTFLTYAVSKGLIQTTAYSVSFDDPLDTLPTGNILLGGLDAGKINGSLETLDTTIVR GTNTFYDTVLAVPLESVSYSPSGDLSHQKAIQPFQAIQVHPSSGPMSLPVDIATTIWA ALGATYDTTIDPPDAVPVVPCSYLTNTTTLDLHFSSTTIIQIPMSDITVHNGTGINGE DGTYAEGCKLDIGAIYTNESFNSIGVMALKHMYTVFDLQNNEISIGLRSTSNKASSNI MAIGQAGVPALNLGASSPTPTPTPSPSHPSTPKKSHRALAIGLGVGLSLGIILLVGII VGAILFFRRKRATPAPTQDTAPNPARSPVQAPFHPSSPQPDYSQYPEVAVIPEKPPGS DDGLSPARSQGPQHISPTSEQDTTAHELPGSTIRHSELSGISTFQIPRSPEQNHS LY89DRAFT_235296 MRPTFPLTRHGALSSLLLISSPHPPPQLLLHTRNCNNTIPSGFV CDFSHSSFFLLPSSCSSSFRFSTTFGIYLLHTTLFHSHARTSCSSSLPLKIGITPEIP DT LY89DRAFT_625398 MAFGLLLPLRIAQGIFAIVVMGLSAYVAHWYDADTLTASPSQIN FLIFVPLFSFLSLIYLELSPRFMAKVSHPYIHLATSLLNTLFYFAGFIALSVFLGKLL FCRGSVCAAARADAVFAAFSWLLWTGATALLALDMFKGVRTDREAKVAMKEQGAGVGA LY89DRAFT_688989 MPVIYGSISVLRLLLFYLFVYLRIAVDEPIRVLCWQIFPIPILN GLLDGILFITGVLAVPGTGVFGKHRRQTDPGEGFWSGWSFAEITMFIMLLVLFGHNIS VTLGVQVTYRECKLHHVENRSLQVSESD LY89DRAFT_235309 MEALGYEDDETAGRDIGCINDAAYTYWNHIADTMHTLKIWLSAM SLLIWSTSTDDWSWAPGILCLYGATTFDSLPILCAGKNGGGVKALNPDTLQDGYRLVS LVSLFCLGILSPIMPIVTIIEIRGENRSFAIILSIVLLLLSLLNLVFVMAGYWRLRNI DETK LY89DRAFT_739243 MFRSGDEEATLDDGLKIDRLKVGIGTREYFFPSTVDDFVFHVAA DPGSCAAINGDVVGRYYGGKSVSDERLQGVRNWLDRCLKHPGCCKILSGTEDIDARDA PLPTRCIEIKGGKIVLQETSDLRGTYVTLSHRWNSSTELCITTMSNLADRQKEVDVSC LSKIFQDAISVTRGLGVRYLWIDSLCIIQKGDNYDDWNRESFKMAQYYQRSILTLAAT SGSVEEGLCPTKSYDIRRIVRLPYRDKSGVQRGYFYLYPERNLSKVYRETIRSSELLQ RGWVFQEWLLSRRIVCFTPFGTLIECQSRDPRNICGESLKIEIARDDPLPLILKRLFD FDSSNISDLWYTVVEVYSALSLTNPAQDRIKALSGIALEYGRAMKKSHATSRKLPSSS NLAATGEYACGLWPDDLHVGLLWQHKNPCSINQRIEGAPTWSWASITGRVSWNRLTEG RSNTTPACTFIKLITPNSVELSLSGTRGDASEMYSDEPDAPLLSATSSTNECLTGTIF SVNNMINAHLVLRGHLQRILIEGNFHNLDDLRDLPEKYDPLGGVDDFRKYYSRKTKTS SPPQIPTIRFVCLPSLQPDNNDIERLTPSSKSLKEISGWASFEHPDFQTLYWLHENEC QILALHASTMGWNQGGFQFGYVVPWHAAFNVLFLQCVKWRRFQRVGVGVLFGKEAERR FREGEEREIVIV LY89DRAFT_594970 MDSKFKLSEVKSREDFDEITRVIWDAFEHPLNPFLRIMFYLKSD GPEHRTIAKAACSQGLFEIHSGDASSRWVKINELGTGKMTACANWNLHETNPYELPED PPIATWWPEGEGRNFATKFYTQCVSHKPTMYNKPHLYLNISATMPTFQRKGVGGMLVE WGLKWADEMGLESFTEATQVGKPLYEHYGFSVIDVITVDKTSMAIENPSEEWKELERR LLPNIQCVLVKHEDLEVAHN LY89DRAFT_739245 MPITVHRHHGPWDQSTRKIRPLKYLEDYISEVTSDFRLLYPNTK YYSPDAIFHDNAATFHGANDIKAMMKLVFGKFEKASMEPRYLQVIDETEGEKALYTVI GELMVSYYIKGDAEPVLAPRLMVFTIMNGTDSEAYDGLVFSEVYLSWNVGIVKDEVAR RANLRHEN LY89DRAFT_739246 MAITKVLLTGASGYIGGAVLAQILKSNHDDVRISALVRSSEHAD IIRNLGVESILFKGLDQLDLIKASASEHDLVISCASAMDLPSCLALVEGLAQRRASTG TDVHYIHTSGTLNFGDHPITGKSFLGIRTDKDDIFSWEKETQDDWLLRKVDIGITEAG LRFGVKTYIVNPPIIYGIGNGPIHKQSLQIPALINLSLGFKQAVVLGDGHGIWTIIHI SDAARLYDVILQKFLQKQPIDSGRKGYYFVEAGETTWKIISQAIARAGHSQGLFASAE LKQLSPETFVESLGIPFLNAGMVEVIWGSNSRIKGIKSRELGWRPEVPIGIFADSIVE DFNIISQNVSA LY89DRAFT_654671 MLDINYYYVFTIIVIASGGIPKGYDEDGFSASIGLKSFKNDYDL NASQWKNNASGLANRQANITSFGVLGAAFGSILALMLTDRFGRLRCWRFFVVLWGSGI LMQIFSSGIIGFMLFARIWGGLGAGGLTVVAPLYLSEVAPARSRGMIVSIYMVLLLSF LSLGFFINYAASAKMPATPTQYRLVQAIPLIPVGIAFIGSFFLSDTPRWLASKDRGDE ALHALSRLRRTGKNDFELSYEYEEIQEQIRAKQQTLSHVPVWTIIKEIATISTYRERF LLAIFMQTVAQWSGGNGITYYIPQIFVYAGVTGGNTSLITSGAYGIVKLVFTLIFTWG LIDVFGRRRCMLTGIGLQCITHVWMAIYMSIFVSSHYKSASEAAIASVFIYAVGWSIG LCTVQYLYGTEIFPTRIRSVCYASNMALHWFFQFAVVRVTPNMFVSFNVWGAYVFWAL ICFIGFVILGLWAPETKGVPLERMEELFAGRWWMGWKARVDLTQLPTGEKFVDGVKEK STATEIGKV LY89DRAFT_722903 MHIIDPIQYPLSPDAQYVPQSHLLSEALRFESSVGIQNIVLVQP SIYGFDNSCMLNALRQLGPHRARAVVAFDPATTTILTLEEWHKLGVRGVRVNLQSVGK KLGMEELASILEQYANLIRQFDWVLQLYVSLDTVAGLAEIIPKLGLKVCLDHFGHPSM SFSAEKVLNSGRLDPYSLPGFNSLIELLQEEQIYVKMSAPYRISRLVGDEDIEIVAKE LLRVAGKKRVVFATDWPHTRFEGLDIRPFMEQVIEWCHGDKVLLERVFRGNAEDLWGV R LY89DRAFT_722904 MAGNEKPNAATKIIAGGFAGASETIITYPAEFIKTRRQLPQHAH GSISSIAVIQSTYRTFGWTGFYSGCGALAMSNTLKSGIRFFSFETSRDLLDKLFHTQQ GQRSPWVNVLSGLSAGVVEVLLVVTPGEALKTRLIKDSGPKGQRVLSGRGVFGAMTHI VQRDGISTLWKGAIPVMSKQATNSAVRISTFGAMQEQVAKRWPRWEGQVVTTLTIGAI SGVVTVYASMPFDNMKTRMQGSCNEYSGMVDCARKSLMMEGVGVFWRGTTPRLVRLTL SSGITFTVYDQVVRVLRAAQQPHTEMHLA LY89DRAFT_700358 MSSASHSAKATKKSAFSCDQCRKRKVRCGGEQPSCRRCTVRNDT CMYKLSPTLSYTERLENKVKDLEARLSQFQQLDSKQNGTKDSLSSLHPWSPDEKSSSD RAETFKGLKVDDKGAITYHGTTSFFQLPTSTDNGPFDSASSDKSDLTAESIGRRERLV RNAWEQRALETLAETPEPFQYLLKAHWCWIQPLFNFVYRPAFTRDMEVLGPYYSHTLL NAMPSHSVRWCKNDQQISHLLAPYENGQLFSRQARTLVFEDLTQGHSSVPTVQTLLLL SAQECSAGNRTQAWLYSGMAFRLIEDMGICIDGQKYAGSVRLSDEDIEIRHRLFWSCF FWDKIISLYLGRSPTLQHSSISPPQIMLDDSAETELWTPHGIVYPDNVEYPPTQAHSI SCFTRMCRLSEIFNRILINMYDPLGRNTEADIRDCVLKEGENLKVWWNDLPNFLQIEA KALPPHCPPSHIVTLNCLYHTFKILLYRPMLSHRSKQQAPDPNHLVECVSSATTIIAI FDLFSRTFGYGYCILSLSYSVYTAASMFLLQIQAAASPDEQAMNRLAFCIQSLERITS YNPVIGSSLQLITEALSKLGIDLSSSRISTSTKESQEDVAQIINAVYEDNGSETFYLP SGSNEPVDPQLLQDLALENFEVSPETLEAFSNLHPMDPTFGSFQDWNYTSTQESQ LY89DRAFT_786692 MPISLIFFHFLLSSAMQNRSQLDTEGLEALRPTSLPLMETTFGP NVNRIKLQKYTTAVTDFPYDPVKWKNRAICFISLGFPELAAGDAYKSLLLFKLVLTES ETSSIGSLGWIQYGMSRWMGDPNLILWTTPAELCRKLLQIDLRKSPYETHDILLSALC YIGAVHDAKKLCAEPLRLYPSDVSFSNWVHRLNTRYDAIEATAGSQVLQPGLAFEASL RKNQACGAVRMVLYPWIPKKFLVRPPELILQVNKDLEEVSNGLLEVKKSTIGNNLLNA HTTNLGIFVRKDVKRNEVVLQSPGPLGVTARNTYAHIRLLTQTTSDYCYNCGVLLKKY GYAKGQLLSFEAQVLAPIKVVQTLGVDVIANLDYDFWVLNIIWHRMRDNWAFHYSNSN RDSIDTVSCMSPYNFLNHSCDPMLHVDSATMGRNTFKTWYASRDIKAGEEVFITYVSY KMPKRDRQIALEPYLAGKCTCSRCQSEV LY89DRAFT_786693 MAPSRTDEPLPSFTQANNEPYHGPPRNIKNIDAIEFSASLQPKN YNILGTHPDSRILFLDVNILDSSGRDPYRGDVLIERERITRVGTVLNVEELKRDPKVR VFHGRGRTLMSGLGDAHTHFTWNGGDLNRLGELGVEEHTLLTARSAQCYLDSGYTMCF GAASAKDRLDIVIRDAINAGDIPGPRYLANGKEIARRDGDLVPGITAYADGPDEMREV IRHHVDIGVDQIKLSMSGEEITEIRSAQDCYFSDEETAACVDEAHGLGKRLCAHARAR DSVKMCVRHGVDVIYHASYIDEEGMDMLEKQKDKHVVAPGINWLIATLHDAAAFGYPN EKAQQVGYQKEYDASIRGLREMHRRGITVLPGGDYGFAWTPHGTYARDLSHFVNLLGF TPMEAIIAATAGVAKLFMRENELGKIKEGFFADSILVDGDPLEDIGCLQDHDRLNVIV INGRVHKAGREEYVAPPIAGQDGGVHPIVPNFPEVKREMQKNY LY89DRAFT_710240 MHLSPLSALLLLPAALATHLRISVPSTPLLQQPSTLPPSTHATL ITLSHHYSAPLTVSNAFEFRNVSSGSYLLDVHCHTHFFAPLRVDVHEGLKLKSDGSGV DDIAEVMAWGTFRGNEWGNKGEAVVVKEVEGKVGVWGFEVPARVVKDYYIERAGFSPL SLLQNPMILIAGFSMILVFGMPYIMDNMDPEMKAEFEERQKSSPLSGGAQANPLQSFD PAAWLAGAPSAGTKEKRDDRAPAERGVTR LY89DRAFT_786695 MASDISAQAAAPPSSENAFPSHRLTLRQRYPEKTPLVLVACGSF SPITYLHLRMFEMASDYARFNTEFEIMGGYLSPVGDAYKKAGLAAATHRLRMCELAVE SSEFIMVDHWEAKNSSYIPTALVLDHFNHEINEVLGGAEKPDGTRVPIRIVLLAGADL VQTMSTPGVWSIDDLDHILKVYGAFIVERQGTDIDDALSSLEAYRNNIYVIKQIIQND VSSTKIRLFLRRELSIRYLVPSAVVEYIEANDLYKAEGVPQIEDKGKGKAVG LY89DRAFT_625418 MEYKFENIQKVLVANRGEIAVRLIRACKNVGISSVSIYTQSDST SLHASLADENILLSGDNANAYLDIDEILKICKEYNVDAVIPGYGFLSEDAAFAQKVGD AGMVFAGPSPESMTEMGQKHRARDLAISANVPIVPGTGLLESENDALDAAKKLGFPVM LKATGGGGGLGLQVCQNEEELSPAFKKVKSRGNTLFNNSGVFLEKYYPESRHIEVQIF GNGTDVVHFGERECSIQRRHQKVIEECPSPFVEQRPGMRKRLTDCAVAYALQLHYKSA GTIEFLVDDKTGGFFFLEMNTRLQVEHGITELCYGVDLVALMLQQADCERAGKTGLSS EYLHSLQKDGPNGAAIETRVYAEVPFRNYAPSPGLLQHVQWPEGNGIRVDTWVKTGQR IASFYDPLIAKVMVHAIDRNEACKKMTSVLSEAKLQGPPTNLYFLRDVVASKSFLEGD TLTNFLDTKFTYKPCAIDVLSPGSFTTIQDFPARATSGHGIPKGGPMDNISSRIANIL VGNDPGTESMEITISGPELLFTAPAVFAVSGAPVTVTIDGEEKPMWSRIVISAAQKLK IGKVENGGCRCYLAVKGGFPEIPSYLGSKAGTPSLAFGGTQGRQLQMGDWIELDPKTK EWSKSAKPYTLPKPCIPDYDIKEIYCMHGPHDSDDFMTPKDRQMLYSTAWKIGHNSNR TGIRLVGPVPEWSRKDGGEGGSHPSNVFDYGYPSPGGINWGGDSAAIFSMDSPDLGGL LCSSTIISADLWRLGQVKPGGHLKLTPTTFEHAIELKEKIEKFVGDIQALVEGTSIHV PVLELSLPASGLPEGTSNAVLKEVPANEHRFRVVYRQGGDCFLLVEIGQQTCDVRVTS RIRLLVQKLEALNLGLVMNPNIGSVMIQFNANTITQSALLAKITEAESSIEYTTSIEI PCREIHLPVVFDHPDIRASEQRYMETNRPTAAYLPDNVEYLRTNNGFSTRREVFQTLL ESPYLIVAVGFLVGTPILFPLNPMSGIVAQKYNPTRVSTPGGTIGMGGSLFAIYPLEA PGGYMLFARTMECWDTFGTGASFSPSRPWLFEPFDIVRYHEVSVSEYDQLMLDYRRGE YKFDIRDGVFDLHSVHERFEESRTDPKVISFREGQKKGVAHNLALEKKLYGEWVTEQE AEKAREVERVKEMMESEPSITIDSPIDANVWKVLVEPGEVLREGQVVAILEAMKMEIN VLCTEEAVGAKVEAIASKPGTVVSPGAWIVVAKVH LY89DRAFT_625421 MTGLVKKIEINCDMGEGFGRWKMGPDEELMKYIDVANIACGFHA GDPSLMLKTVHLGKANNVKMGAHPGLQDLFGFGRRRIEVDPEDMYASILYQVGALKAF LDAEGVPLNHIKPHGELFFYMQRDAVIMDAVLRAASHYMVPVYACKNEMQKEMCAKYG LPFQEELYVDIDYNPQGGLVPVAKSKTATPDMIYERVLNCALKDERDHNEGGVLKIGF HGQPFSICVHSDMATALDNAKMARKAVDEANAKLFSK LY89DRAFT_722911 MSSSRCHPQLGPPRPPRPHRSQRPLQQQPAAKGSLRSLLLQHSS KRLYVHPLKWTSLHLSLLCCIVINDQLNTDHCCLSQGPWRKDALELWPRIRRSITSSR RNDHSRTLMTYLGMNAQRDCQICSGSLAFRYNQKPVCHLQLPLMAWYNKTCLWAYIDS NWINDLHEEYKPRQNVFLERAKRAVDEKDLPISPFCMAVILAMAQQVTPGQQVTLFLF DHELPSDSDQIISTFYEYTLQASQTYLSKFDQSYDAFESELNIHRRVIPLKRNMLKVL SDAVQRAATGPGDQAETAASTDREAAITNSPYPVDLAKRKPLDELDSNILVKRVKSSN HEGKE LY89DRAFT_722912 MSSSSNSQPNMDEDERPKVDVELHASSFDSISLYTHRADRKTVT KSGSNWGSEETELFRICYKGTRDPRWPNSPPSSPLSNNSLWAEFVKNTDRILYRTNKE IRSHFRDLSRFFLALANLLRPSVASTSTRSSERTLKARQLPDQASKQSVHECIGSSSP TQPSSQESAYDPALNANDDDLDEERERKQAEVASSSLAAEFISLVIDVFLDQPISLKK RLAFRESPTKFILANQNTVKSKCFDDGSIIWHEFDTVSQQWTLGKHVCSVETKPSFIQ SDEEGKGKISAEMIPQYACELIGAVTQRTKDEPLKMNVSRWQPLIVTHSCYMTILSTT FYPTYLEYIMGTSNEPDVDPPFLEIYCTKTHNLKTSVGRKNAAEDLLILCEKLRADDR GGEVGDEESDKEESDGGD LY89DRAFT_594965 KFLTEYSEIPDDKILPHVYEIRDKAWAIRSYPCTGQGLFLNSLI TRSPVYSEVLEKLKAGGRLVEVGSFIGHDMRRMAFDGAPSSNFYAVDIVNHWDVGYSM FNDREKFHAHFIESDIVHTNSQLQELNGTVDIIYISKVFHQWDWDTQFAALRSIIALS KPGAIVFGFHAGTIQGGLLAYSNGGLTMWLHDETSWTRIWEEAGKETGTKWDAGQVSM RDISEMASSPESLAWLDGNCRLMDFVARRLE LY89DRAFT_688996 MSDYGAPPPGPPPPKVPEGWKAQWNDQYKEWFYVNVYTKKSQWD RPTSPIYPPNNDDAPPGPPPGYIGGGAAASDTKHNPYDNSPNTESDAELARRLQAEED ERARGFGGGSSSAMNDYANTPMPGGSQSPYGQELPPREQKKGFLGKLLGGSKMGGGSS QQYRPPQQGYGGGGYGTPPPQQYGAYPQQGYGQQGYPPQGYQQGYGPGPGYGGGGYGG GGGYGGGYQQQAPPKKSGIGAGGAAALGLGGGLIGGMLLEDAIQDHDQSEYQQGYDQG QDNGGGDGGGDFGGGDDGGGGDF LY89DRAFT_688997 MASWDYIAKLVCIGDSGTGKSSLTIRLCEGRFSAQHDVTIGVEF GSRIMPVGPPHTPIQKPEISRTSSVADISEGLPEPRRKEPEIEQKHMKLSLWDTAGQE TYKSVTRSYFRGASGALLVFDISRRPTFLHVMDWLNDLRQIAEPDIVVVLVGNKSDLA DENKREVTQVEAEEWAKKNGVLEYVETSAKSGEGVEMAFGRVAERIYQNVEAGKYDLN DRRSGVKGPPVGGNKGVKLGTQGKKSGYGGCC LY89DRAFT_674400 MFSEYASRFLAQSQSRFSTLIQPDNADAPPAHNPNERYRRANQP FQTSSRRYPSRHGNPYQSANLSTIGGFTTRYTAAPDAPLFHSALDDFREEDDEEERDR ETADFFALQKSRRTFAPISRLEESSETDPEASKDSLDQSKDEDGRAVEDRGRGRGIKS SWNGGGRSHRERGRTPGTIGEETDPSDKSESARGVSESSKGKDKMVDVGLESTIEDDD PPDDLMADVRDDESVPAFQNFQPKPKHGAIRLPTDSRHDREREAAFQAGAGISRPPSS VETVPATIATTLGEAPKHDIFFGSLFLICLGALFATFFLIFLHTETPSNNIGDTIYST LHASFHLFAVDTVASVIVSLVWLALLRSYVRILVHLILIAVPIILISFSLYPFIASYK GSEAGMSLQDRAMRWLSFIPAILALAWLYTIYRGRHSLNRAIGILEFASRILAANPAL LGMGFITLASVVVWTWVWLGMFTRVFLGGHLSTSVTKFIIDATSWWLAVFFVLMYIWT LSVISGIQRATTAATVSQWYFHRNVVPAPSSREIVAAAATHATTTLFGTISLSTLIAL LIRLPLLVLPSRLMSVVSIFFYTFIPTPIVALTNPLTLTYAAIHSQPLQNSARGLSQM SFLAPNTPTNTLTPRSFQARNHGSSLLPYRLAKLLLHATRFIMATALGFGGWVATARQ LDIAMPGGKGIRGSAYAYVVGLVASFIGWGVLGAMEGVLSGIVDAAVICWGSEKGMAG GGAYCLEAGYLFGEGRERDLP LY89DRAFT_235573 MIAWGMFPVQLPWLHVSFATEFDDSAGWVVFRACMQILTSSSVY NWDLKPTLARHAGLFLGIPGFREVGLAAYHHATMTVQYLRNAVSPSFHLP LY89DRAFT_674401 MAIRGVAFVCLASLISAQQINSAHGVIPATSGTVTPAAAVVAAK GNFAWESVQLTQSVLTNLTNLNLTNIALFKYPTSTAASTSQCKVFPGDADWPGPVTWE ILNILSGDALIKTVPLAAPCFTSWPEYNATECAIITSSWSDPHLHVANPTSAQWPLYE GLTCVPPSLRSEATNCTIGGYAAYSINVTNVAQIQLALNFARNLNLRLVVRNTGHDFA DKSVGAGSLSLWTRNLDSLEFYKDYTYGSYSGPAFVMGAGVETADVYLLAEQNNVTAV GGECRTVGIAGGYIAGGGHSPMSSLKGMAADQVLFMKVVLPSGEFVTASATENPDLFW ALRGGGGSTFGVVTSVVVMAYPKIPVTTMTFSYSISSTISADTFWNGLGVYMKYFPTF PDAGSYGYFNIAPNAAGNLTFTFDPFWGANMTKIQTQALVAPYLSDLAKLGIAVTPVY TEYQSLYPAWNASFPPEVVGGWTNHAGSRLFPRENFVNATLLNETLAVVRHAIEGGAI LVGYNIKSAVNPHANQNNSVNPAWRETLTHFILPGLWDADATFAQIQNVSETLTNDWL ARWRAVSPGAGSYFAEGDINEPDFQQSFYGSYYPQLLAMKKEFDPWDLFYAPTAVGSE YWYITGQIPYIPTQNGRLCRKT LY89DRAFT_654707 MADRIPILPSRKRKRRRLDKSAISARLVLDDHVKGDVGILSEDL FADLFPTIADAHENGNGHSNLPEFHHVAISPWGPSALVEDSTWSVVPVRPSSALAHST VQFSPSSLALQGFAEALQKVAPSKISSHSRSGIEIRILDIIPLPLETVFVTIEGELAK RLENGEGTFHAEHTRTNGLPNGKSTSQIPEDRLTAALREALGSLKVVHTGDLFSLPLP PHPITHIPPPPAKVTLCEPVGQGTLSGRTKIIITQTGHHDKGVKKSPALPVNRVLNGV QEDDEDTSNDQFYSAAEDRYKTDAAIEEDDTTETEDEQSEDNDDLSDDSMDDMISLHA PMLPAHNASGISTIQPGTPRTVGRGRRTDGINTPGSVFSTFTSATLRAGGPQGRLFKV QGLMQTLPEAMLHPKPSSDDDEEARVFVDITSLTKIGCFSGDWVRLEAASEPPPQGGG LWGLGSFGHVEQEDPLWRPVKVFGLPENYSHRPVTRIPSSKQGERRMSFFENQVKKPS SSTVYCSPILLANMENTPYCRIAPLKRGPQLMKIPQPKITGSSKPPFARELTLQKIST PFSTERTLQNALFAGLKQYFASRTRIVRKGDLIGIPIDQELGRTLYQPAGGDDLDIEN LLSNSTIDDPRPSKPAKVTGVGWFKIGYVGIAKEDAESSEDDDFWGGVAAIDASSTHM MQSGSENRRLPATMESTWEYYLGLKSMSKSEVDSTSMPEPRKHHVSALQRRLRELIAA ATSPRAIHLELQPLAILLVSTQRNIGKATMASRASADIGLHTFTIDAYDMVSEGGAGS DVKTAGLLEARAERAMACGSEFCSLLIRHIEALTADRMVTTLKEIVANARVVVATTTE VDKIPDGVRGLFTHELEMNAPDEWEREGILRGIIDEQPVALAPDVDLSAVAVKTAALV AGDLVDVVDRALVARNTRLEYLALSCSDAETSVAVRDVLVAGGPAGRCLTKADFELAV EAARKNFADAIGAPKIPNVSWDDVGGLTDVKDAVMETIQLPLERPELFAKGMKKRSGI LFYGPPGTGKTLLAKAIATEFSLNFFSVKGPELLNMYIGESEANVRRVFQRARDARPC VVFFDELDSVAPKRGNQGDSGGVMDRIVSQLLAELDGMSDGEDGGGGVFVIGATNRPD LLDAALLRPGRFDKMLYLGVSDTHEKQLTIMEALTRKFTLHPSLSLPRVASRLPFTYT GADFYALCSDAMLKAVTRQASLVDSKIAQINNENIAAGKNKISTAYFFDHFAKEEDVA VMVTEEDFVEAERELIPSVSVKELEHYGKVRSMFEKVEEAEKGERQRSNGKGKGKEKV VDRKGKGKDRSAGRWDEGSDDEDKGFFDERVNGHGAKGKGKAVDMGFQQEGEDEDLY LY89DRAFT_235667 MGDRSESNASAASKSSVTSTTSTTSTVIPTAAIKYTHQAEKLSF VKPEKDEDGSGTAIRADYITPQDPVIVTGDGSRLPGVPLSEAEKLNRLKEDIEGNPSD VIEEASGLERPEPEHSTPSPQNGTTIQKSGEGSLRRAMPPSRTNPLFPPLPLYGPSSL TRDLQCLTFRTTSWFCSLTFLGIVVLGAIFTSIPTMFNHIWLRVTFRNPDARRPFYEE EKRLKRLRKEEARAWKKERSRRNSRVKMDDTEDEAAHAEFIPTEGGPDPLVCDVGYYA RRVGLDMEEFKVQTEDGFVITLWHIYNPLEFTPMTPQQRSARGPDIFTDAGPSRPSER SNKKPKFPILMLHGLLQSAGAYCTNDDASLAFYLCKSGYDVWLGNNRCGFTPEHTLLS YSDPRMWAWNIRQMGVMDLPALTSRVLSETGFEKLGLIAHSQGTTQTLVALAKEQRPD LGSKITVFCALAPAAYAGPLIGKAYFKFMRIISPALFRIIFGIHAFIPFMMLMLKYLP AKPYGGMGYMVFHFLFNWSDERWDRGVRDRCFQFAPVYVSAESMRWWLGRECFARQKC ILATREEGMNEEKEDAEMEAYYAKQNKNRDKNSGRERRMSEVKKKTTHARVPKGSTSW YDEQVPPFALWVCGSDDLVDGQKLLRRFERGREPHVRVVHSKVIEEYEHLDVIWAMDS IEKVGREVKEVLWKTCDVRDRVRVPRGCEDVEAWVDPKGREGEKGAMEYEEGVMRKED DGGDQSSSSDN LY89DRAFT_674404 MSSGPAVAITTICFTVLALICVFARLVARIGLLKNGGRDEIAIV ASALSSVGLMVSTLYQVKYGLGKHQNTLSAADFEEILKCLWVSILFYNISLICTKASI ILQYLRVFVGPRIRIACWTALAIVVAYFIQAIITSIWTCVPIYAFWDLSITGHCIDRK FLWFFNAAFNIFTDLLIITLPMPVLKSLRLPIRQKIGLMMIFALGAFVCLISVLRLHS LYVVSISHDITRDNADASLWSNIEANVGIICASLPTIKPLVSRLFPHLLSTNRSNQGA YQTQSHASRDLFSTIASRPHRDLEDGHRTITKVEAGEELDSVGRDIKHDLNGKDIFVL TSMTQDVVSRKPSPSVPEGEGRSETGSEKDLIFQRN LY89DRAFT_786708 MSSEPSNTAIILIPGSFSPPSFFHKIVPLLSSRGYLPLPTALQS AGSRPQGPATFADDVAYIKSTIQKLVDEGKDVVLAMNSYGGFPGTEATQSLSKKERQS QGLKGGVIALVYLASFLPGVGESLRENMGDDLPDSIKNAGDYMTMNYEEDWKNIFNDL PEEQARYYMNQMPVHSTVSLSGKFSYPGYLYIPTTYFLTEKDKIISPENQEAMVEKVR GLGGEVRVVRSKAGHVPMLSAPEEVVEVIVGAAKGQ LY89DRAFT_625451 MPPPRGTPNPIEGPGDYDVTTIVHDHSYPAISNLTSSATHAGKS VFIAGASRGIGLGISISFAQAGASQIALGARSNLESAKKEILAAAAKAKRPEPKVLCV KIDITSQSSTEEAAKLVEKEFGKLDIVVNNAGIFPAPALVADSDPKAWWETWNVNVNG PYLVTRAFLPLLLKGGDKTIITTGSVGAHCIMPTLSAYQPTKLAVLRFMQFVAKEYED QGVVAFCIHPGNVITDIVGGEEGIRPELRPIFTETADLPGDTLTFLTREKRDWLSGRY INVTWDMPELMAKEAEIVKGDKLKVKFDF LY89DRAFT_689005 MAIPAYLGVTYITPIHHNIYPSIDPTSHSLAQPEKVVLITGASK GIGRSIALSYAKANVACLVLVSRTASDLDRVVAEIKALNTEIRVRTFAVDATSEDGVQ RVKDEVIKEEGRLDVLVNNAGASTPWVPIPESPASDYWRTFEINVKSPFLFLHAFLPL LKETGEKTGKGTDIINVSSIGAHATMPGASAYQVSKLALLRLSEFVDVEFGQNGAVTG VRCVAIHPGGVKTDLTANSSEAVKSSLVDTPELAGGFCVWLTSEDRAWLRGRYLSVSW DVDELEKKREEIEGTDKLKMRMVL LY89DRAFT_722924 MAPIRVGFIGLSKTGWAPGAHLPYLKESDNYQIVAICNSSVESS KAAIETYGLPTSTKAYADPEELAKDKDIDLVVCSVRVDRHFATIAPSLKAGKDVVVEW PLGKNLAEAKELLKLKNEGGVKNAVVDLQARQAPVVNQAKKLIEKGAIGKMLSSTWAG QAGSGGPTNPVQFAYLGNRKVGGNIVTIHFGHSVDYVQYVLGYGFESPPKTLLANTRP IVKLVDGEKVVDEKFSKDSDDTIFLAGTLSSGIPLSMTLRGGTPFKGTPGLDWRIYGE TGEIRITSSGPFLNIGYPDMKIQIHDFEKDTVEDIPLEQDEFDEGGKYGLPARNVARV YKALGEGKNNCSFEDAVERHALLDGMYRENGIDA LY89DRAFT_235727 MGPQSEGGPSGDGRNFGGQYTAYDPAREAVKDYNGHDEGRRYQS ERNVEQDTTLARPNAFGMENRMTASPSGSASGRERDRDGQPPKTSGERNRSRTRNGRT ASGTLRICKKCGEPLTGQFVRALGGTFHLDCFRCRDCGEIVASKFFPVDEENGEGQYP LCETDYFRRLDLICQKCKGALRGSYITALDKKYHIDHFTCSVCPTVFGAQDSYYEHDG QVYCHFHYSTQFAQRCNGCQTAILKQFVEIFRNGQNQHWHPECYMIHKFWNVRLASSQ ERPENARLLEDVTDEEGRNAVRLEEERMEDKVYRIWSVLSTFEESSAACISDMLLHVS NGAYVDGVLTAKKFILHVDILFRSADKLDATMAKLGPKGLSYAREAKLLCKKIVAFFA LLSKTQESGVRKLGVTQELLALVTGLAHYLKLLIRICLQGALKVEREQHTSDCLHVFL DDLGDMELIKNDDRSLEATTGTPSLGAPNSDDCVTCRRDIEDECARSGERRWHRSCLQ CTNCARELGQNLEDARWSNQDQRVYCNNCEGRAPGSIGGFERVTKLQQYVFLLRVALA RLLDMLRNSGALPHTSDDPNLNGYNSSEGYRLPADADPPLLRSDTRSKSFGGNADENR NSSTYESALNDVRRLRSTRMDRHLSSNIKKARTSRILDGPEGRSARPGSSGADGSDSR DPGFKIVEERDGNGEARTQLMFGREDGITLDDIPRIMATHQAKEQRPNAYKHARDPFR NSMGAEAKLINGHQRNISSGNDLDQATGEPSPQKREGKKYFSELSALEYFIVRHVAVI TMQPMLEGHFTMEELLNLIESRKPANFWNKMGKAFKGNDGKKGGKKKGVFGVPLDVII DRDGADSTDGIGPGALRIPAIVDDIVTTMRKMDLSVEGVFRKNGNIKRLNDSIAIIDK EGCDAVDFTKENVVQIAALLKKYLRELPDPLLTFKLHRLFIASQKIADEDKRRRVLHL TCCLLPKAHRDCLEILCSFFNWVASFHQVDEESGSKMDTHNLATVIAPNILYTNAKGP VDDNFLGIEVVHTLIECNEQMCEVPEDLQSILGDQTLFNNPSDITTKEILKRYGDLGM NGGPRHEVQTTELPHRSKDGSGRALAPQVTRVDTDPSQAYAWQKEASVRHVQEPSAPY GGPSNNSQQQWQGQDLEQPAPYVHRNGTPDSQKDARREYRNSGWRPQNGSVGVTGAG LY89DRAFT_722926 MSNNSYIVRISKELSDLQKSSDLSLAVACRDIDVRNVKAIIIGP PDTPYEFGFFEFAVKFGKDYPGKAPSVNATTTNGGRCRFNPNIYAGGKVCLSILGTWR GERGEEWSSAQGLESILISIQSLMSSNPYENEPGFETANTDDDKKNQKAYVAKIRHES LRISVIQRLEDYLGISADGAVQQVPSINEEDDIDEEMHEMDRDAFDEASASFDPFRDL CKRRFLWYFDSYLLAISKAKSEAEDEQSFTRMPFECSGNIMEGKFNYTELERRLRLIH KTMKVETARWAEEGLASIKKESSVASNLQRQYEQVVESYKRDKTVTLDVELVDKNPFV WAITYFGRPMTNLDGGLFRIKLFFSPRFPEEQPRAKFETQLFHHRIASDGTPCYTSKR SEDVKSHIDAIIEALEEEEPPYDPRTLVNPEAAKLYWGSADDKKLYNRKLRRAVQRSM EE LY89DRAFT_786714 MAPIPSSQKKPLGNVMVIGGCGFLGHHIVAQLVESYNCKVSVID IRTVRNRRDGVQYFDGDITSMESLLPIFQKTKPDVVIHTASPQLHGGSKELYRKVNVD GTRCVIEACQETNVKALVYTSSASVIHDNASDLINADERWPIIPAKSQTEYYSQTKAE AELLVLSANRSLTNPSLLTISIRPAGIFGEGDMQCLPPIMAVYANNQTDFQLGDNTNL FDFTYVGNVAHAHCLASLALLTTSTMKIKPLDTEKVDGEAFFITNDQPVYFWDFARAI WKACGSEKGTEHVWEISQDVGLMIGGILEWGMWVVGRAPKLTRRQVKYSCMIRYYDCG KAKRRLGYRPLTGLPEAIEQSVKFILEEQRVEREKKGQ LY89DRAFT_722928 MHAFTAIIAASGLLASVSASPMLIIRDTCGSAPSASGSQTPISQ PTGITTAQACEAQCEANSACESFVFGMVDNTVECMLYSVAASSVPTQSSSNLVVYDKA CSSIPAVVPTTSNPTGAATSTSNTGTNNGGGSTNGNQNHKRDTCGSSPTGTTSQAPLS TPAGITTAANCQAQCDANSACESFVFGMVNNADECILYSVAAASVPTQTSSNLVAYDK ACASVPTQTPTTSNPTGADNGSTGSTGSTGSTGSTGNTGNTGSNTGSNTGSNTGNGAT KPAKA LY89DRAFT_689010 MSDIARGNVEILVYTTAPSLGRDDTRYRALAQAYLDFRPAKRRW LGDIPIDANSQDINIRAGSQSHQSTQEERESEASWRPDEDGPESMSQQQPQNDFDRQD ITDLLNSPDLSFMSVMDNRASPAFRGQITCRPAGSQSSRGSWQAPRSEVPDSQPDIIP TLAAFSTPTRILEVFLQNQDNSQSTSLSGPSPTSDRHHSSGLKIRLSSQKSSISSSQA RQRSSNEQSSSLEASNEGRSSSQSLPQNRNENGSPELRGSIEKSSASETNPPTESHGK SSLEVEVGTNGSSRSFYAVQMQNQNGDIPSVGIINTSDPLSSFDVLAVTSSPSPVRAP PKPPLRVLRSKSPNTPVVQEFQPGKKRKLLGSIPETQIPASAPPALAMDDLQSTSRPA KKPRLEPTSSMIEARTRIAPSSAPVPQSPKLKTTIWTDRLEIRPRPPETSVGELKAEM LISPKLHTAAQRMPLASYFTPIKQTRDLLPMERGYWLVNCSTWTEEVRVGCWNFLGEL VGNGLAGWGVWCVRDAQFETIRVYCWGIVVGHIYLVLIAGSYNRIKKSDASWIAGDGK TIIRMPS LY89DRAFT_739276 MVIPRFQPIPGESKVSGILGGTIFPQILVVFFLVGRIISRVVVI GRWSWDDTLIVIAWVFTLILTILLDIGTLFGQGHHIQDVPPNLILESAPLLAGDIFQC NSVTGGPFIAANVMCFQAQPVIKASTALQTITDAWMILMVVPVVSTLEIPRKQKLALM GLLSLGILVIIASIARISTLLSVGDSIDITWITGEFDIWVCIGMLHRYHLRLRSNNTT TYSQSIPPNFMASRSGSGKTKPTQVTGRRETAIGSQSQRNKISDPVSIELERTDTWLS DSSEQKLVLKKGFTVRSKEYISKYPLSPLQRNPSTDPGRGWQA LY89DRAFT_595113 MILFETSTSVFELLWDIALTLFILRIAFVYFLLTFLSGLFLAYF RFSTLQPINHLTVPQAELVTMPFWLLLITLWARWTIVAYEVPRVRGFRIAIGGVALGF LVIAELAGGIVLYERGVTKWVWETDPLAAGMGCLVLGLFGLMPALLMVFEGETDEMGE TSHGHEKKSVAAAV LY89DRAFT_739278 MDVDEESSSPVLDKASILASYAPSEAPFSLPDTLPSSPTTISSP IPLPETTESIEYSYTEPLLPSTRTVKVYHKDIAPLLSSAGVRGLITTVVHGTYSSRPA TLILFSFSFRSGDHGFRFKNANIKIQFSNRPSSKPEETSPAIATFAPRKIYGLPTKEG RKNTIGGEISLQVPAGPLTVGPTLNGSRESEWEQTHRYKTVGNFWSSKYGTDWDIVYW DMKENKRTKEGIPDRLNVGVVVEREEVGAFTASVDIEVDTPVLSGAFSSPWEKRRPAA FVPGVEMGKWKRTRRFEELSERDWRELVPFEEEWESVFVGERMNRSLEVPGAWPGGPH AAQNDGNDGDVDFVRVKVDIGEQGEH LY89DRAFT_758758 SDEAIFETGKNGRIYVTRRVDERRCPDCIKSVYKSGRTTVMIWG ALSWDYKSPLVFLEKLPERKGICSKAYLQQVLQPIIFPLFDDLGPEYIFMEDGSKVHK GHAKLPRLQHNIRGFNWPPSSPDLNPIEKV LY89DRAFT_236247 MTSNAGAQVAKSVTSAMIVSLDQVILLFIYRSSWYKMQHDDGSI WRNFMTDRTQILARGY LY89DRAFT_236266 MPSNQAKAIPEPQWNQHRDDIIDLYLTENMAVICEKMKERGFSA TESQYESRLRKWKIHKNGTKEDWKNVCRLVRIRADQGKGSDVYMHGKLIPADKVKRWT SRFLTPMELNNVHQARHPQAPDSFTIKSPKEETSFRFPTPETQNALPIREIMTANLPS CRLSQSIALRGLTFTLGPTPNSRYINPRLLSGPSSSLSQDQEPTGTDNGSNLYNNALQ LISDFRNGTFSNLNPLVSTLRLLMPAVILRDFEGPNLILNEEFVNSALTRLVIFTTTN NFAGLESLPSNIIECLRNPTNMRLLEELQSTPGLEVEGFAENLFCASVASGNAGIAEY LLRTSNLDSNQLVCSREGWRFTPIQLAVALGSTEVTRILIRAKADLNKSLLAINPPVL RTLNIAVSEMKDPFFWKVHTDLKLVRMLLEAGAVLGMDELESDIYSGDVKVDAVVLLI TSYFSASATRHIAGRILGMLLQEKSDRIPCDLKPPDFTWNVIIGIFDRDPMAINLIRS YMDNHANLHPALDVIAGEGHLELVKALLRPGEIPTTHILIRAIHSGSVELVEYLLDVG ADANGHVVTSTKRNMTPIAEAIWSGNAVIVELLKAKIGWL LY89DRAFT_689015 MPTTKFSVEEKYSYLEGFGGYHQSEVVRGANPVGINSPQVPGFG LCTERISGTSFTAPRATNLQTWMYRVHPSLSQGKFSAISTTDNPPKFQLSPNPLQWND FPMEPGHDWISSQKTLARSGDPATKAGLAYLVYSASKDMDPQTVFFSADGDYLIIPHA GTLDIQTELGSLLVRQNEIAVIPRGIRYRVTVPNGPARGYICELFQGHFQLPELGPIG SCSLANVRDFQIPTAHFEGSIENGIATCQPSNWSVIIKLAGKLYSRTQDHTPFDVVAW HGTYYPYKYDLGRFSVIGSTLFDHPDPSISTVLTAPSHREPGTAVVDFAIFPPRWYVM EDTYWPPYFHRNTMSEFIGVVVNSQDEGKGEGFKPLGALLNNPMVPHGVDEKAHEEAR VKVLGPEKVGMEGYMFFLLEAEAMMAVSDWGMDAAAGADVKAKL LY89DRAFT_758763 MPPSIIDLPTELLLMIGDLIVDRRTLRALVRQCHLFAQLFSALA YERLVIGLFNHPPEFYRDAHDEDPPSTIKHTKYLEVLVWDFEDDDHLGIDLDEEDLFD YKLNVISDFGICISKWITSMPNLESFRFDCRAYEDTDFMGMMGPYEDKIPELCNALWQ CKTLRHLSVLYYGTSVKEPDWECLHNIDFLTGFKNLTSLELYGFYELELSAEVKVSLL NRVAHIISKSPRLKKLGLSLTLPSHHFENENPDILIVRPDDDYLEMLCLQCSSCIDFK PLKLETLRLGHGTYLLPSMSKCENYLAKLVDLESLRNLHVWNGGVRSSVGQRPTSLNV QWDMLKHCSALRQLEITILDASVTDWLNRSGNSIQELIITQPLPSMDPKKCHFDDLKQ KLPTLKMVYLTDKPVYDAFETEETSSSDSSSSDSGNETLGNDSSITRVLKKTVLDLIC DHGLFLERLAIDIDLNTEWFVKVGNCGSVWQVTAPKIIVGTSENQRIRSIGLRKLRPD EVVSMYMFAIDTLNNKRPLGLSCPHFAFNPETERVEPTVGEQEAEIDETDNSLIEMET ANDRHESYGWLDTVLN LY89DRAFT_236303 MSVFFPFRDFSRRRYKQNVVAWGKMKERQTLDFAIATYTWFTYL SFLLYILRGECPLGFWVSAGEGPGYGSGLRNFFRPPFAEKRSPDFP LY89DRAFT_236313 MEDNKDMLAPPVVSQLRAQAGGLMERSLSAAIREEREDLREAAE QTLNVIMDLSLDGTIRWVSPSWTDVIGTTFESVQGKAIKDLLVSDNKEVFAEVVESMK KDDSRSQIVRFSVQLGPASKLAPLQMPDQLMAEGDEEAAAPDEPLTIDLEGQGIMVYD RSSGGESHTMWSFRPWIAPREIQIDLPAVIVDSLGSGAEVLASYLTTLAETGLDDPQN HAPPLPVLCRICERQIPPWWFEKHTELCLQEHKAEMEVQMCHENLIEHRHAIVKVLDA LEARKARPTSGDQAILTPPQAEYKGMPIGPNSATSSSSSGTASPGTPEGRSRDTSRTG FGHARARSFAVRRPQARIVELLLDLCDTSIEINTPAIKEAPSQAPGEFRTQSPQSESR ISQVMQWSSPSTNTLEQEQGLALLCADSERAAKAKVEAVLRHRRIIEYSERIRIEFSV IVQDCIDEAVRKATKIAAGHLTDSEDDEERTPAHEDGFFSGSFDGPSSLAMALREAEM GRAGDRRSSSTAVSTRSSSPKECPTPRSHTGHQSILSQSQDSRRQSLFFESDAGDNSD GSIRSSSVMSRPARTESPVSEFGDLRRAASSRQRHRRSLILPGAVSPRRQESPVRMPQ PSSPLRIAKPRALPIHEGIVSPTASPMLPGSEFSSPALVPSHHRRQSSAAGSDPSRPP PSPRLTSVNAPQARAVPPSIKDFEIIKPISKGAFGSVYLAKKKSTGDYYAIKVLKKAD MVAKNQVTNVKAERAIMMWQGESDFVAKLYWTFSSKDYLYLVMEYLNGGDCASLIKVL GGLPEDWAKKYLGEVILGVEHLHNRGIVHRDLKPDNLLIDQKGHLKLTDFGLSRMGLI GRQKRVLNSQTNESAPDLLKSGPFARTTSISSSRSTSFDFQGHHSPGSTPQMTPDLAA SLSTPSYFNLSRESTLNKEARRASGRSDSGGSEALNQMFSSFSLNESAGQQMAARKSP IEEMSENEGSGSPDLISLNHVTSHSSSEQRNTPPQTSMMPPPMALFDPDDSNRRFVGT PDYLAPETVNGVGQDEMSDWWSVGCILFEFLYGYPPFHAGTPDEVFENILARRISWPD DNDFEVSAEAKDLMNKLLCLDPRGRIGANTDEKFSCGGEEIRNHPWFEGVNWGKLLED DAQFVPAPENPEDTEYFDSRGATLQSFAEEIEDATSPPSTAGTEYHDRPHDALSRVRS QVNSIKRGLMPLHIPPHVRDMKSRRLSEPVVADDFGNFAFKNLPVLEKANKDVIQKLR AEAMAAQSKNTTPVSPVAGPSGALSPGPTLEGSPILPMPIQRTLSNAKASQRPSSPSG LSQSNSSPSRASQPSSPLLVSFVAGQNGEGRRKTSSNSSSLSHQSSNSLAPGSFFEVP RMPASLQKAVSAVSSPIKGRGATPAPLALSPQKISTPRQASGSSNRARSQTVGSQEGS PIPADLFSHRKRRSQVFDMSPSSSDNEGDKANALLRVQRRRQSSRRMSQITLADGPAF RPLDVLICEDHPVSRMVMEKLLEKLRCRTITVANGAEAMRYAMSEIKFDIIMMEFKLP QINGADVARMIRDTKNANMHTPIVAVTGYLKELQTPHHFDALIEKPPTTSKLSETMCR LCQWKAPPAGQNTSNPIGYPQPSGLRQESLRLEDSPTSGSSGYAHMPGSSFRGSSRED SISSSMFGDNESFMNEDIPVVISRKATGDWDENSGLGISNEEAILDSRDLPKSLPPHL LHEESAPGRMEVVHKLPIRQRSTEKMKAKRESMEKHRHECAESGDDEDEELGDVCVRE KSSPRSVAKTHRGSKLGTEMMRTNSRGSVVSSSDVGASADTIEPPPTVVEELPAASEI TGASLTPPEVFHSPGSKISEIDLLETPRPASSQIDPMDEEPTPRAPSVVSNPGDYIMH RNNSQDKR LY89DRAFT_236300 MTDLAQHSCSPEISLSRLSRYLLQLSPASPTPTQLICAASGSHN QLSQGPNNPNPLFLLFSFSTSTTTPTRNPPIAASTRPCATCALLLLLTIDRVGAHLHS RPRPFTFNPVPEPPANDQSRQTVTPATFSTGALTLPITTHRDISINPHDVLLSHHLLV LGSLPDCSADALSTRFPAYDNR LY89DRAFT_786727 MIGPLKVLVANLKANDPPIIVSAQTNHALDQLLNHIMKFEPYKD SEANLKAQRALPVKPDGHTVTADGREIVLVDAALWTSTSALNRMSQEIGTIQGVYTFF AYGYGDGTAKTRMIYITLPVDFNFQDFLDMTHIRTIDGRLLALEDVHMGWKLKDPYNN DLSYNPMMVSTGI LY89DRAFT_674424 MGIAKILRVAAADNLNGERVSKSPGRVKMGVKNLAKHLCAVLFV PNITQENGGMTVEMLAIFVLPGRSSGNREEEKIKVKGDPSWRAREICEKALRQSLCAE KKEAKLMRVYMLYKNPTTPNNPSLAPSLPPCLLSLMSKRRPHNEPFAATQARTHPSKS PSHPYAMGGPIRPVRKGTEAHQMNDLPKYKKLYFTAAHLTAAHLTREVAWESGPLGSP GFPGAQPRSPTPWSGCVGWDTTTTAGRRVSQKL LY89DRAFT_674425 MPFHSSSVQDRIMDTRASISFFPFTTSTSRLPPGTAPSHLPPKH SNLANATKTRWSQHWLSNTYPSVWSKSKSRRDLDPPKARTVSVDEGLLSQVVELEMEE QERGKWTGRRDESYTESKREKKRRMRQILEVGALFGVGTLLVGLVVICVSAGGMMRSA AAMEDGRCERAEELMNIGIFNCSLHLSRAEAQWESDAWSSSVVATRPNSEAKTQSLNS TQLKALCNAIGGRKNQKASPNRD LY89DRAFT_700380 MPETEDDPSVRFWYRPFLFAPGGAAEAEDWVKELELATVTEMAK KDLEKTGERLKVLVLYGSLRHRSFSRLLAYEVCRILWRLGCDVRVFDPEGLPMKDERK HNHPLVQELLSLSLWSDGHFWVSPEQHGNLTAVFKNQIDWIPLSTGSVRPTQGRTLGI AMVSGGSQSFNTVNSLRILGRWMRMFTIPNQSSVPQAYTLFTEESVEDEENESGVSRM MAGPNRDRLVDCAEEFVKYTIIMRQHVGLFGDRYSERSAADAKVTAAAAAEVPAPAAS EKVNGVDLKKI LY89DRAFT_674427 MDDSSSNAINQTSTASPDAIERARLRRNQRNSRARKQAYIQDLE QRWGKCVELGAQATMEMQKEAQRVHEENRLLRKALHNQGLDDATIERALEAAKSASCA VPAPPQVREGLITDELPAVSWSNIDSAGEHPSMAAVPSTGVENPQVVGETDIPQTLDL FDWLDNLSQIKDAFGAESFDDLFDYSAVPLESSDFIGLNASMDPYVDYTPSSTIS LY89DRAFT_700381 MSLNPTCAPTPPPLEHTSPPLSPNATPPPPDGGYGWICVISQFF INGFTWGVVASYSVYLAYYPSHNLFPDSSPLDFAFIGGFNFAFALLIAPIATLLTKLY GVRTPMLLGIILLPSSFIGASFATKIWHLYLAQGMGIGVAVGLIYIPATAIIPQWFLK KRSLASGICSAGSGIGGHIICFATEAMLQNVGLVWSLRITAAVVFVVNLVATLLMRSR DEEIRPNERMFNFRLWRSYEVRLLLGWSFVLMFGYIVLMFSLSDYAIAIGRSNSDSAV VAAVLNLGAADRYGRVEIAGTLTFVCGVLVFVMWVPATTFSVLVTFAFLSGAILGVFW ATIAPLAADVVGLKELPAFLSIIWLSVVLPTVFAEAIALKLRRPGLGARSYLHAQVFT GLSYILASFLLFELGRFRRNAVSH LY89DRAFT_700382 MAGVGLLTSVRGALIVIPWALYLVVMDLILSILLPFSFFFPNFV YDTSSFVAFTNWNWIQCIFEVFNGGKITMSGDELPEGESAIVISNHVSWTDFYMIQAL AIRSGMLGRCRWFSKIELRWVPLLGWGIWAMGMPMVSRQWTKDKKELDRVFAGITQKK WPTWLISFSEATRYTPKKAEEAKIWCKANDRPVPKHLLYPRTKGFVTTVQHLRKAKHM KAVYDMTIAYEHNHKFLEAPTIWESLAMGDLSGKKGYKFHVHVQRYLLADLPESDAEL AKWLETRWVEKGEYLEGKREEWARS LY89DRAFT_625491 MAPISLSDIISSLPSEDSWGPPTSTETTLNGVPYAPYSKGDKLG RMADWTTEGKDGRDGRGGRQQYNRNFRDQQVYGAGTSSLFAVQLAEDESSFSVVSNTR DSTKTRFGRGGTFTRGRGQRGGRADTRGGRGQFQRVGGRGGQQGYNNYDNRGGRGGAR GGRRFGWKDYDKPQRNRDASVNIKPDWKMLEEIDFVRLAKLNLDTDSGEDIDGYGFLY YYDRSFDKQPVKSAERKLNVVDRAAYNVTTTSDPVIQELAEKNEATIFATDSILSMLM CSPRSVYPWDIVIVRQGNKLFFDKRDNATLDMVTVNENAADAPMDASEGSKDAINQPS ALAEEATYINHNFANQVMIESEQKVEMAHENPFYNPAEETEPPASKAYKYRRFDLSLN DEEPVHLIVRTEIDAVQKNAISGEDQFLTVKALNEFDHKAQGSGGALDWRTKLVSQRG AVVATEMKNNSCKLARWTVQSVMAGADVMKLGFVSRANPKTNDKHVILGVVGWKPRDF AQQMNLSLSNGWGIVRTIADMCLKRDEGKFVLVKDPNKQILRLYEVPAGSFEDDGEGE IIEEVEEAED LY89DRAFT_786734 MRTSKSTPDSPPPAYTSTSRSQPPPRIHVVQESTPLLYPNARYT YIPPPNTTQSHYNPLLYRPLYLRPSPTIITRGRSNKTCRYTLLALLSLSILVAVFKTS FPFPPFHPPSLALPPEKAAPVRIAIIGAGPAGVGAAWALAELQGSEVEVVVVEREERV GGRMNLGVDLRLEGKMWGGQRVEIEDVASAELFADERGVVRRRAEGVLGLVFGEDKKK EGSEEVGFFDGGGFVVRMTRPGGLMGWKEWAGLVLKYGKSFLAARNVPTGTMGLFRGM VELGGKETFEGVEEWVQKAGLRDSVEMSARERLSVNGVGDAYRKDIIESQVRRQLGQG TEEISDLALSLALWREEIGGPRPKNGIKMETVLKQLLERSGAEVRLGTSLQSMKQEHV VGKKSPVWRLGFKGRGYGSEVFDKVILTTPWGEGETEYYRRVTNTFLISEKGLKAEYF NVTNESDLPAQILPVRGSNLPEEFENIHEISHVKNIFGPDVDFGAQSVFKMYKVLSDK PLSEQTMRSLWERVRFYSVQSQRAYPLLFPRNSGFGSFKVHEGVWNTATIEHVASSVD LSWAAGENVAKLIKQDIEE LY89DRAFT_689025 ITEFGPKVYMNPEIDIREEVVFVFLYIILELLLRYCTTCQLRTS VPKST LY89DRAFT_625493 MASVQQPNAPTPKPSNPTSSIPDTCKVVSAVTIAKSLLAEVAKD LQLLEYKPKLVGLLANEDEHAKTYAEYSAKTCKENGFDFDLRVVSKADIEESILAANT DKNVHGIIVYYPIFPSPRQDQYIQQLVALEKDVEGLSHKYIFNMYQNIRFLDIPMCGQ KSILPCTPLAVVKVLEYLQIYNTIMAYGNRLFGKTITVINRSEVVGRPLAALLANDGA KVYSVDLDGVQIFTRGRGIKNDKHEVAETDMKLEDCFPLSDVVVTGVPSKAYKVDVNM LRDGAVCVNFSSDKNFDGPAVKQHASIYVPAIGKVTIAVLLRNLLRLCHNRDMAESYK HMARQARRSMDVCSLHGVKIEAAEAVVKKAIAAGYLNEKSDTLAVEGSPLPAGSKDKT KTDGLMTELETLWSQLPELNIKEQAEKQEELDRLLLALDESFQKEEK LY89DRAFT_689026 MANDRQDLQIWVNPPEDFDREIFLSGYVNELELGSYRIVDLFRD IRPYVENDQDLLGIAIALSFLPQLFKRLLSGNIPFLNSDKQLILKWLCQRISNDDDNP TFRAGLGEVVEAISAISDSEGFTGENAVEVVISIFALGKKMEYKDLKSETRAKVLRLV QKLFERYELRFNSRPGVNGFVTGVVDLAHFEKSPECLGVLFPLWSNISKTWGSELQSK KIAEKAFRSFYRYFPIDVQRNYAQPPVAPSLKQLAHLLEECITSNSIFADFAFKALLE NLDSVQSAGTKLEILEMLLSCTSKYEIAIVQRWAEELWKNLKYEIWQAENEQVVQTSL NVLHAVSKTLATEDDGLDNSQSSLIRFINKIGIECNGKTQDSMQWLIPNGRIFHALAT SSPRALYHITKSTIPKIYVKWQDTPLAKEKGYILVSLNHILKAHHGYYEPVQNWTQMF DQNKSKTFSALEACHNEINSMYDEAIQLSADQWIDRKSSVDVPELYLNTAKYEDLLVK SAIEGLALLVDIPRFYPETTRAKVVESILKIHATTLHEGTRLQCVSVLQDITTTQPGL WTNTILSGLWADIPHQISDAPDSAGDFEKLNDTITAFETMVEIGCHEVGRDNIMYQPS DLFAGSVWHRNFDALMRMLLLKLDEVLPSHVQYEFANAILITISKALGKFEDCLATAR SSPLNIEPPPQRPEEGPYDYIVTHLYKSLHPEQRRHQRWQHTTEIEWFTYIRHFPNSS ERQLDQLVQTIGEITLQVLRSKSTTDENNFLLRWNADTENNDCSALSSLFTEGIGGCD MLRMDEWQMDVRRGPDEKCLANVLAMYMVAGTRPHNERELRLDFHNAGLYMMAGYIGF DIRARSEFREGNFDCSPLSRQSMLWYIQLIVNKFTSPSEDPEDSHLDVLERYLAEARS VTAEVPMSPERRERLMQVLAHYTAAALAAFKDPLMNPCFNLMIDCLEDPAPFGLIAAR LFRVILAPSKILTRENFCNVRSVAPLWVLTKIVPRLLRKWRAADDEYSQANYLIALST LLEFINPEDYISDHSEELLPAILEGTSVRGDASARLIYLKALNEFIKLDRKAVEEHLF TVVNSLRNLLQNALNDPTNNPVKCRLAAVDVLKTMMSSYGKDELNMFRPRIEQQIHLA CFDLSAEVRRKGQEAHTLWSVWLQTMGD LY89DRAFT_786737 MAVSAEKISVHSIADLKNTSDDAIPNYLNSLGFTQSHTLSDTRL ALGYSAFAICAATFCWDYQFGFESTKYYTAVAVLLYSILNGALTFWIWAVEKGTVYVG TNKNGDKIQVATKTQKHVPIYNVTITTYSKANPTVPNPISLKKPFTQWFDKQGHFVSL PFQQMFASNVSVIGKADPTKVVEKKKVANVEGANKSMDEKWASLLAESSGVSLDDLSG GTAAGTGSVKSKGRPKKN LY89DRAFT_654768 MAQVPVQTIHRDPQLFYWILIPITLVMILTGVLRHYATVLMASA PKRQDMPTLREQRSLMRGINLRANANAISPASFQARKDYLVNAYESGAFLKEPEKKGQ GAPNPMTDPAAMEGMMGMMKGNMAMIVPQTLIMGWINAFFSGFVIIRLPFPLTIKFKS MLQAGVATRDMDPQWMSSISWYVLCIFGLQSVFNYLLGSDNAASQMAQQMGSMGPGAG AQMFGPGVDPDKQFQGEAENLAVLAHQYTLEGVEDRILNTVRV LY89DRAFT_625504 MLRQIKPRNARSKRALDKKAPKAVENPKTTLFLRGTTCSQVVQD ALTDLFQLRIPLAKKFTKKNPIHPFDDASSLEFFSEKNDASMLVFGSTSKKRPHAITL VRTFSYKVLDMVELYLNAETFRTLAQFKNKKCAVGLKPMLLFAGTPFESPVSDEYTLL KSFFTDFFKGEPADKVDVEGLQYIVSISARDTVDGEEVKPSVHLRVYLIRTKKSGQKL PRVEVEEMGPRMDFRVGRVKEADESIMKEALKRAKTSAERPKKNISTDIVGDKMGRIH LGRQDLKELQTRKMKGLKRSRDVARSEDEMEEDEISEDEPAKKTRKR LY89DRAFT_786740 MSGIENLQRALESIILNPKYADYLAILKAARNGAVYGAKVRFPH ALVMIFLFRSGTVREKLALIFRATKTHAQNLAKYAMVYKITMLVLKHMGSTPGKEGKL DTFFAGLLGGYLVFGRRSKRGHVSSVSKQIVIFVFARVCLAVAQLSIEPAMGIIKDPE MTKRIAHDAWPVFAALSWGSVMWLFRWYPDTVQSGLRSSMDYIYVQSDHWDSLRNFLI YNK LY89DRAFT_625508 MSNAVIVTALGGIGATYIFFWALLYLTQDSKEPPIVSSSIPFIS PILGMIRWSMDFYPHMRKRHSNLPIYTLRIPGVRIYVINSLDLIPVVQRQWRTLIFAP ISVKAVQAAMGASKEAVAVLEHDLVTEHGFVNGMAKATHSTMSKGSALDGLSSKTFEA FDEALNRFAVPTTVNMYEWVGKQIMRATTDAVYGPANPMRDAQNLKAWHKTSLTDISH QQISPALMFMMLDILPQNLFFKAAVQAREKLVKSFAKYYADGSYKQGSSYIQQFVQHC IEQKIPQQDIPRFLLGTVFNNVANTILTAFWVVFRIFSDPIVLEDCRNEVLQAVQDQD GISTIDLSFILNSCPVLLSTYHEVFRYHGMANSVRVVSEDHMLDNKYLLKKGNLIMMS ARAQHSNSAIWGENVDEFYHKRFLKNGKRFSPVAFRGFGGGTTLCPGRHFATSEILLL ATSLLLRFDVRPTKGIWVKPSTAKSSQAEAMEQPDDDIEVEFVPSSGARDKKWCVAFS GPRADPLLAQK LY89DRAFT_739303 MEANSGAASPHILPSLSLRKRKRQSAVTPENPFLLSPAQSYRGE DGLGISRRDMKDMIALFQQRHVPYIAVFRAQEFQDLDYIIDHEPRFAYAMCYVTARYL PGGKEIREALLPEVSLIPKDVYTAKVGVHHDDDMCLLKALTVLYAYADLTPPSQAARP SGKENLLYWSLKSAAEMYAFRLSLHRSIQELKLDMAANSGNIYTTKSFQRYTYWLFLY SMSHYCSLVTGTPPTMRVDLSIRAISGLLDQLGRYPEYPTNLFGAVEIYLIWEQTSTA HPQLGEWWCLPDPTERANEDLTESLLNEADRAIDEWYAKWWPYMSSEPRGSFLDYHGR FTRFCLASYAIKCLRISSEGLTQLQRSQLQRCVSCANHVLEFPLTRGTIEKDNLRYVD DAACIIVSFCCVFLLSACQAFPSELVNVSECLENVTDAANLMVELAINQDHKPHYQGS FLLKRVETLKAALEMSRLHEQHEPNVTDNSDVRLSPAATGENSKLLLEGFDQLFHEDG LFGLEPIWDFSMLFPGT LY89DRAFT_236650 MRTLFRMESGFNSRNFRRSTNSSQSSLQKPTMTGPSKKWFKPSL TTSSSSKKKSRTNTSLSTQSQTQTPFQQPREPQSQPQNPFQEPPPSQSQQSSNQDRPP PPTYTTSMPTPSSSGKPSKRSKAWKKTKEFLSSIGEPPTAEYDRQQAALRAEEGKVKT SGREAFGAVNYGPYHQGGPYQGGRL LY89DRAFT_722955 MFRNPRENLSIEKPVKPSVGRAPTPPNESRPPQPPSTMASRSAK PLTPRPNSKSNLPVLGKAQTANKKIAPVKTPGKPNASILSFFKKVDSPMTDDPIFLSQ GSRAAATLPTPKASTPEVLDSEDDDIYGVGYTDTDRFNEVEGSVKRRKTSGTGTPNAP GIDTKEDCPMQNDPLKASAEAQNGCTPPPPEITGKPKPKKQRRTGPFLSDSESDYEVD NPALQTAAVQIEKRQLRSAVAEQSKDTNEVVTTKPVDQEDIQENRPIVPEIESVGPAD QLAWKRRKRVPVAEAQAKWEALQPKDPSPKDESSGAFPVSPKLESVEKYDEMPSVPSL KKEATIIDEWGGFEDLEEFPDDDEFGEGEEAVERKWMEEQARLEAAELGEDDESFNGF DDEPMTETLISELPEESMTAACPICEAALAGISPEEATRHVNGCLDGNPVPLPTPVKS EPAEIKYMPPEAKNRFARKAAIARPGQANPIALGGASSDAPSSAFSKLMSGKAEDSAW ANAAAAEAKSRGKPAYQRTCPFYKIMPGFFICVDAFRYGAVQGCNAYFLSHFHSDHYI GLTSSWCHGPIYCSKVTANLVKQQLKVDPKYVVPLQFEERFEVPGTQGVAVTMIPANH CPGSSLFLFEKVVGKGANPKIQRVLHCGDFRACPAHIAHPLLMPDIVDSITGKTKQQK IDVCYLDTTYLNPRYAFPSQEEVIKACADMCVSLRKERAEESDAWEQVKKERAGSGMT KFVNSAIKAEDDSIAMSLSTKSNKSRGRLLVICGTYSIGKERICLGIARALDCKIWAP PGKIRICAALEDEELTSRMTDDPREAQIHMQMLMEIRPETLQDYLNSYKPHFSRIVGF RPSGWNYKPPNSRFTDSPSVSTVLYSQNWKSSYSMSELVPQRGSTREASCFGVPYSEH SSFRELTMFICALRIEKVVPTVNVGTATGRSKMKAWIERWLAERRKNGCLRLGQGEGE VRW LY89DRAFT_710268 MAAQDKPTDAHVENTSRSDLDDIQNLKNVDGDVALKMFDDYSAL NSHIDPKAERRLVRKLDMYIIPFICITYLITYIDKATLGYAAIFGMTKDAHLVGTQYS WLGSIFYFRYLFFEYPTSFAMQKVSVAKWLGVNIFLWGGICMALAYLLITAMWYTVEE QPIRVGYWSTFLGLANSFGGLLAYGIGNIKGGALDSWRYQFIVIGSFSAAWGIIVFLF LAENPASARFLSDDEKKMAVERVRENQTGIKNTTFKKYQLIEALLDPKTWFLFFFGLS TQVVNGSVSNFGTLTIKGFGYSSLVTTLLQIPYGAIIIFAVLSAMYLQRWLPGQKRCI VAGLYVLPALAGVAGITALPASSKHARLACYYLTAFYTASFAICMSLITANTCGSTKR TTVNAIFFISYCAGNIIGPFAFKPAEAPVYRSGIIAILVAYCVEIVVLVGFAGYLRML NGRKERDETKSHYL LY89DRAFT_710269 MHPKAPSFDSLPLEKDGPRGNAWGLYGTIDELGMLNRLTPENTL AAMKEAVHGIRISTDSPLDRPKHIHHKHPRTVNDDILTLNTQSSSQWDGFRHFGYQDH KDYFNGCQQKDIQNSTRNGTHTWVDHGGIVGRGVLLDYFTWATSRNTTPPTPLSSTEI TVADLLAGYVSALDALSASEAEAYAATQPHPAIGLRSCEESLRWIWESGFAAMAGDMP AFEALPFQSTEYWMHEWMLARWGLPIGELFDLERLAEECRRVEKWSFFFSSVPLKVPG GVASPPTGVAIL LY89DRAFT_710270 MLLLEVPIAGGKLSPVSLPAIFPLRYAPISESHVDFSGGEQGVF SPHVAGMGQGQPMSVYGSLYACIHYLGLRLEYGQSSVAGRGTCGRAFWVVWKIDLHLI TALFGVFIFNILDRSNIASARLGGLQTDLKLTDTQYQTAVSIMFVGYLLGQIPSNMVL TKLNPSRYLPVAIFIWGGLSLATAGVHNFSQIFAVRFLIGFAESPFFAGALLLISSWY KPSEIAPRVALMYCGNTVANGFGGVLAAGVLSGFDGASGLEGWRWLYIIEGAGTMLTE QEQRLAEWRIAASANGELDETSSLKQGLIDTVTDIKVWLLVLTQICLLSSQTWTYFFP SIVQTLGYGRIRSLLLTSPVYVFGFFTSLGNSLVAAKTGHRAILVIWPLCLAIVDNSH QTAVRYIGMFLMCAGSFSAFNVLEAWVGSTVPRTRTKRAITYALVNMLGNLSNIYGSY FFPAASAPQYVLGGVVLSSFAAGGVCFAAVLGLYLRRENKRAVEAENEDGVMRYKFLI LY89DRAFT_236727 MYVKLDFSSTTNCQPLNVRVVRWLLHIAIAFLVTDSLLLYLSNL LLHCSSLYQGECAARLRW LY89DRAFT_674447 MALNRTFTLNTGAKTPAVGFGTWQAAPKEVEKAVEIALKSGYRH VDCAAIYRNETEVGEGIKKSGVKREDIFITGKLWNTKHRPEDVEKALDKTLADLGTDY VDLYLMHWPCAFKPGDKFFPLDSDGVFELDDVDPSVTWKAMEALIKTGKAKAVGVSNF NIRRLESLLATCTIVPAVNQIEVHPYLQQPKLLEFCKSKGIQVEAYSPLGNNQTGEPR TVDDAKVHEIGKKLGKDPGQVLVSWGVQRGHVVLPKSVTESRIQSNFQDFEIPADSFT ELNALERHKRFNFPARWGFDIFGEAGEEMVKKAAKDAGEENKTKFTV LY89DRAFT_236798 MLLYLHPLYVDSHLFSFPSPFPFPPNSELTSFCRRIMELHPASL AIGSNGLYHQSNDLDPHSPDNSTSRSNPSPSMSMSHVTDQASGLKRKRRGSSRILNKE ERKKVTRACDACKMWVLFCSTVFCISYFELRKLRCTGTQPCSRCDKQALLCEYNAEHR RGRPPSPGPPTLAQANDSFLSVSMPVGDNGLHRKSSRS LY89DRAFT_739310 MPTYRFLHRATAEAWLKRVCDENENRVNNEAPLSNAKVALVLLI LATATLYGEDAVGTLHDAEADDGAQSGIFFAAAEHRLSIESGPIRLESVQARLATSMY LLGSSRINQAWYTFGTTSQMILALGLHRKRFTQSHATSFNLVEVEIRKRIFWSAYTLD KYLSVILGRPRIFRDEDIDQQLPERINDSDLTNTVAKSRSTHNQCVSDGPVLHAKLAR IVGKISSDLYPTTTTSNTKWVEVAQQRTAELKAWKESLPAFLEPEKVDPSMLIPIFQR QSSVLRLAYLHALILANRPSILKNFADLSRPQDPLTGEMEDRLKECIDAAVLVVETVN GFIEESRMRKPYWFTHYIAFCAISTLYVYAIQNAQRSGLSEDKSVPPTHLRYFEAAER CQRGIYGTTAPSSPFRRYNIILDELKKEVALRLHPRSGFPNLVDVNNSNPPVRTQVAK APYMMDSSGGTPNRTPSSYESYPIANPAGEQQDYQGSLSRALYSQGNASMNHGAPTAI YDENTMMQLSMFGANDELGWAEFDSCVSML LY89DRAFT_700419 MVRIDQSPLTIDELVRQRSQEIPLEPIVSSSSSGIEYVDYNSRQ IDIFAFRVAQIYKTLIPQRFSSSDPEVVVGLLGPSNFEYFISVLALTKLGHTVLFLST RISIAAYRSLLETTGAKHLLVDASFQETAAQVKAQCASVHVHEIIDATSYNFATTDDT VDTRMCQHLQPEIESKKVSWIIHSSGSTGLPKPIYQTHSAALINYATNLNLRGFITLP LYHAHGISSIYIYSASLPLTHHNLLSIMQRYRFDIFYGVPYALKLLGESPEGIAALAR LKVVMFGGSACPDALGDQLVDNGVNLISHYGTTETGQLMTSFREHGDKSWDYVRAGSA VQPYLSWELRSPGIYELAVLKGWSLLVASNRPDGSYATKDLFTPHPTIPNAWKYFARL DDTIVLMNGEKVVPNSFEHLIRHDKLVAEAVLFGSGKARAGLMIIPSEAARDLSADEV RNALVPTLNEANKDMPGYGQITLDMVEVLPVGTEYPRTDKSTVIRAAFYRTFESQVQK VYDSADVPSGNLCLTEAELVEYIRTELLKILPPNSSVPLSNDIDFFSIGIDSLLAIQL RTVLSKNIDTNKTKLGSNVVFDFPSINALAHELYRLRTGGDSTTISIQDKMTELIEKY SVFEPHVPVANSTEEQYLVVTGATGSLGAHLVSQLVLREDMKKVYCLVRASSQENAYL RVVRSMQERAVYDHLPLAGREKIVALPSHFGDASLGLSSKMYSEIVSNITGLIHCAWS VNFNLGLGSFEADCIAGAHNLIALCLKSHHPEPATFNFCSSVSAVARTKGGFLFEALP ESLDYAQGMGYAQSKLVTEHICVAAAKSYGIKTRVLRVGQVIADTAYGIWNATEAIPL MMQAALTIGAIPRLDESPLWLPVDVVASTVSDISLGTAAAGVMNVVNHHSFHWTRDLI PALHAAGLEFQELGQKEWVAALRRSNPDPVTNPPIKLVDFFASKYDNDSPKLALTYDT SYARFLSPSLASAPVLSQSLVDKFVSHFQATSWVLKVVFVLLEVDVADVLREMCVEKE GHYISRDIVE LY89DRAFT_710274 MKHLAAYLLLTLGGNSSPSAKDITAVLESVGIEADEERLTTLLK ELEGKDINELIAEGSSKLASVPSGGSGGGAAPAAGGAAAGGAAAEEKEEEKKEEEKEE SDEDMGFGLFD LY89DRAFT_689035 MADEYDAEAAAEIKKKRAFRKFSYRGIDLDQLLDLSSDQLRDVV HARARRRFNRGLKRRPMGLIKKLRKSKQEAKPNEKPDLVKTHLRNMIVVPEMIGSVIG IYSGKEFNQVEIKPEMVGHYLGEFSISYRPVKHGRPGIGATHSSRFIPLK LY89DRAFT_739315 MTLMEKIIHKVEQKIENKSRNPNGNAAFGQGYGGPQQQQDLFMG GGGGGGHHQQQGNHHHGGHHQGGGFGGPGGPGGFNGDQQGGFGGQQGGFGGPQGGFGG QSGPEGHHGGPGGGGFGGPGGPGGPQGGPGGRW LY89DRAFT_758860 MNKLITLLMISLVLLSLSTGFPSIVNNEAIAARSKRCMCKETGT FCSSRKGKTNGNCPDNTLLSCEHGEKATVYWSCTNPFNSTDSVCVEGADPSGKDDECA PIILNVG LY89DRAFT_722966 MNKLTILLALSVLFVGITGFPSTVTNETIIARKDSQECKKNGTY CSSRQDFFSGDDCPGSPSRFLVHCALWGHYSTYQECYSGPCIEGEDKNGSDDKCAPS LY89DRAFT_674456 MPPRRSHKKSKAGCQRCKNRKIKHGVPCDFEGPISPNTAATPTT PVFFRQDTVESSSHMSSFSTPRTTASAIVPFYHQPPSLIRANQTLPSSRALELKLMHH FTARTCLTFSDGLEQNMAWQVDIPTIAYDAQYLMDAILAVSALHMRVMYPEDRSLIRA SHGYMASALAQYSSLLTQGVSELNSEALFSTSALIAFQASASRLFDEDGPYSLPLAWF HSFQGVKTVVMASWRWLRTSNKIFPIINSQPALFLDLDPERKHFFAPLLDDLEEHLQT FPESLRMETKQAYLHAVAFLNWAHRRPVRNRILGFAAAVSRRYVDLVAQHDPRVLVIT ACFFALTKMVDDVWWLNGIAKREVNGICSLLPSDWWPKLDWPLRIANHEGPMDDETWG SSLSELHSLKKEENNVHEHIDLLAQIINESNPPLD LY89DRAFT_236940 MADHPIDTPPRAPSPVHRFGTLAVHAGSPHDPVTGAVIESISLS TTFAQTAVGQPIGKYEYSRSSNPNRDNFETAVAALENAKHALAFSSGSATTAVILQSL AAGSHVISVSDVYGGTHRYFTQVASAHGVKVTFTPEIEVDIRQHITPDTKLVWIETPS NPTLRLVDIRAVATQAHQHGIKVVVDNTFMSPYVQNPLDHGADIVVHSVTKYINGHSD VVMGVAAFNSEEMLKRLSFLQNAIGAIPSPFDCWLAHRGMKTLHLRAREATTNATSVA KALEGSPHVIAVNYPGLNSHPHRAIAIKQHRNGMGGGMLSFRIQGGHAAAERFCQATS LFTLAESLGGVESLVEVPSSMTHAGIPKDQREAVGVYDDLVRMSCGVEDAEDLKADVL QALEKAVVLPKITNGLNGFAHRAK LY89DRAFT_786759 MFILTKIADLVQIIPEDFGKDPAQAIEDNINTKYANKVIQKIGL CICLYDLLSASEGLIGHGSGVVNVNVEFRLVVFRPFKHEVMTGRISSATTTGIRIRTP FFDDIFVPASKLPEGSRFEVSEGLYVWETEGQSLFFDTQETVRFRIEDEVWHDQIPIG PRDKEDAGVVKSSPYQLIATMEDAGLGPCLWWDGDDGEGDEMES LY89DRAFT_739319 MAGTQQYQYPPPPPPPGPPPSNHQPQHQQYGYAQQPAPYQQAPR GGGPPRGGRGGAQGGRGDFHGNAPYNPQNPSAGFNAHQGPTPGFNGPNGQQAPAPYIG PQAPGPQGQQGPGYSGPGQWNNDHNQHGPGPQQHAPQSHGPQQHATPHHGPPHGPPQQ HGPGPQHHGPAHHNPAQQHQPPMQHGPQVAPLQAQNYHPNYAPQTFQPPQAYGQQPPQ PFQPPQPSYNHGHAGPTPPHGPPAWNGPPQQTRPPFNNNRGGRGGFTGGRGGHEAPLM GPPIRMGFDNERGGGHMTQASNGFPQQYPSHTPPAPFTQPYQNYPPQDFSQGGQRPSL DPNPYNSGPIRGRGGNNNFRGRGRGDFQQFRGRGDGRHNHNNGHRATSENFHKPAGAD ANGKKKKKRRTNTLGLTPNGVDHEDSDDEVDDADEEARLVTLLGPDTPQLPTDLDAWL AERRSRYPTKARRDAAAEELRQRREQNRQKRHNDQHGNKVIKKEDGETKMEKQQRKAE KLRLELEKTERRIQEQMSGGGKRKREDGDEGDNDRGQVSDAGSDGSSGSESEADQPET VSSRHHVPFHVQQPPKAQLQRHCKYFSTGGTCGKKGKCRFVHDQEVRNQALRDKEANG GKMTLAQRLILNDTAKDDLTILKSIKYLKEKGLMSEQLTGLPRQDDYKDEENGYAENL NYGDN LY89DRAFT_565906 MRLLNAKTLLLKDFVGDEAVPRYAILSHTWGTDELSLQEWNEET SKKAKTGYTKIKYCCDQALSDGIEWAWIDTCCIDKSSSAELSEAINSMFRWYQNAVRC YAYLFDVPGHVNPALDPSELARSRWFKRGWTLQELLAPKNLIFFSSTWRRIGTKEELY GPLATITGIEPEILQGEDLQAVSVATRMSWAAKRETTRTEDMAYCLLGIFDINMPLLY GEGKRAFFRLQEEILKANSDQSIFAWG LY89DRAFT_556462 DRTAGIDVKEGKYGTALQAAAITGSTLIVRKLIAKGADVNTSGC GWFGNAFQAAAATGSTEVVRLLLEKKANPNALGGWYGNALRAASTKGYVDIVRILLAA DFEIYLRGLFYGNALQAAAAEGHTQVVEMLIAQGA LY89DRAFT_541161 QPAILRSFDRKTDNMLFGECKVWEACRATSAAPTFFDSITIGKR KQTFADGGMIYNNPVEQVYQEAELLWPRQEKLLISIGTGEAPGAAFDGNIGTLINAMK TIVTDSDTKAKDFHRTHHSLAEDNLYFRFSVDQGLASIGLEEYDAKGKIADATDTYIS NPEPMRKFAECVKSLKEDI LY89DRAFT_595046 MLGRLRMSLEECENAYLKLSEQISQPRHSRFSIFRATTFLRADG KFDAAILEEVIKETLAENDFPEDVL LY89DRAFT_237178 MWKHLEMMLISVLLVLLDFGSRVWTHPLPEINCDQTLVLTKSQS SVRSTQIDHIAANLQDGIVACNLAVKDDGFSVNLATKDKAQELFYHLEKFISKTTGRY TETECHCDTGNADKVFRRAPQRLPHEDLEMPDVDTSDTGYPEDNQSDNSSSTGSSTGL AVWAWVCIGIYSVGGAVILGVLIWYCFWHEAEGAGSAYEENTGSEL LY89DRAFT_739323 MSAFSFSFSGDDIEEASVLDAPQILVRQAAETGSLQRTENSAFP VAGQPLLQPQKHDLKEILNTLPSKISYSTLTIRFDDGSEVAVPRRELWDVRVQLMAEE DDEGLGNLGKDDVRTGIYEGGFKSWESSVDVVKVLHQRKDELLGGSRKYLELGCGTAL PSLAVFQWLLERRNASGLSLHLGLADYNPGVLRLVTLPNLLLSWAKITQKGLWEPEGE LDIDSKLMEEFIAALQFDHIELYFFSGTWSPEFVHLVVETMNSNSAHLTIVAAETIYS PTALKSFGETLMNLLTSMPDNLRTSLIAAKKVYFGVGGSMEDFCELIRDKGGIIEQIR EETEGVRRAVVEVKMPV LY89DRAFT_594986 MAAPSTEGYAPEWLEYEQALGQRPVLGGSAQDIVDQYTALGAML ATQAPPPDTSVETRDETADGVPVRIYTPPGAAGKKLPLAVYFHGGGYLVGDLNSEDPW CRVIAKSIPCIMVSVDYRLSTEHKLPVMLDDCLTAYRWARKNAADIGADQSHTISIGA SAGGGLALTVADQLIKSGNKDHVQGIVAIVPVAAHPSSVPSKYASHYTAHKDNASGVP IIDWGSMETFYKTAAADPQDEKTFVTLSKNLKDFPPTYICTCGKDPLRDDGKVLEMML KEEGVKTKSDFYEGVPHYWWMFPGMRNADKFFGNLIEGTKWVLGGGK LY89DRAFT_625548 MGKRSRKTVEDSEEQANTMGHSKKTISVNHSAIDPGLALLFASS AGPVKAPPKARYEAPPPPRKRKIVVDEPEETDEAESEDEEDELSSIDEDIEDADIGDL SEEEEERSDEGPAPETIVEAPISKKERKRKRKNDEEDLEGKYLEKLAREEEREEEQRQ TERKLKRQKLLATEEAEDAQSGEDASEPGEHGEASEQDSEDGSEDEKPIRTTPSDVPL HESLMPDRDAVELEKATRTVFLANVCTTAISSKTAKKTLLTHMGSFIPSLPAPPEGKP PHKVESIRFRSTAYAGTALPKKAAFAKKELMAATTKSTNAYVVYSTAYAAREAVKKLN GTVILDRHLRVDGVAHPAKTDHRRCVFVGNLGFVDDESMLDQGGENERKRSKIPSDVE EGLWRQFSKAGIVESVRVVRDEKTRVGKGFAYVQFEDPNAVEAALLFNEKKYPPMLPR VLRVVRAKAVRKTALASASSRTESKKPRKDRVFNPRTSSELSSLKGRASKLLGKAGAS QFKKKEGSGANGMAMGRRGDGVGVAGIAKTPESIVFEGYRASAKNGKPKDLKFGIGSG KKGGKPKNRGAKRASEWKKSGGKKV LY89DRAFT_674466 MSIEMEQAANSVLQATGDDASLDELSDVEVDGDGSSSLSEIEDK DAEGEEEGEVSDALSDVSNEDNDSEAETERLDPSPNKFRPHQDVVLSSQNNQVYERSP SKLNKQITAEEPEEEEEEDEEEEEELLSDDDISVNESPESPRSPALDEPELEPPTAAM SLQDSSGEGKKTLSTMDPDTKKRKRSIMGGGVFDDDLDEPLRKRTGSVLTPGDDYAVE DEINHEEEVDNSNPISGDVSGDEAEPAQEEDVGEDNEDHVADEGTVPEVPDSLVSPKK RGRKKKKETENGVDNHDDPETGAEGDAVLNGNLEVRNGDEDHADNEGDDEAEAALKNE EERESKTSSPVDTGTLTRTSVERKRIALDQLTSIERQFATFRDRLYDERLEQLNREEA MLRQDKPTHPEYLAMMECIDARRDARFRVADNLRDLEMESLRITAVAKRSQILTQYQQ DVRQIREKKLEQLGQQWYEIQHDRRSYAGSVPDYALKFPTVRPQQIMNQVAYSNEVSI LSGVAKYVGFPAAPNMAAATSAELEEDLEKMGRVKHTQVPPPGLPLQELAALRTVGST SRFKPAEEQFIEQTPWANPQHPSHAHLLQRQTSAQQPPRTTSPFSQVQVQPRRHSHQQ GSGGPISGTFTTTSHHTSNGVSMSGGRISPHNPFANSSHSHTIAPSPLGSRQTSLSPQ QNRLLSAVPDPQHTLPPPQDIPKSNGAHQSPPITHAEGPRDFSHEVRRDMAEARREAA SMMSRF LY89DRAFT_786767 MAVLPRSSYYDKHYKQSAALIRARRPYLIRNSLTGLGLFAFCMG VYSWTIKAVSQDEFEDVKVPDAPAQAPQAGASTMASVAK LY89DRAFT_654818 MATPTLSVEQQIKQLDEARKLVLSDATYYERIIQGILPIAAPGA RVELRRWVADFLAETFASPWVPAHEKEKMSLIVLDTLKSMIENPQEDAAVVKSVVQTA ASLYPVVVRRIINEPNDSQTWSKMLAIKLRILRIWDTATTGVRICCIKFAQRVVLVQT NGPAVDPRNGNPLEVSLSMVPPNHPLMPPMNLEAEASGLLDRMLSVFQENISDAVLVD ATLNSLSILIRARPHIANRILNVILNFNPLKQANSPMTPKLRVMVKSMEKTTRSLLVH ILKRDNQHPLAGKIQQYVERMMRSKSDIFDEVSRKRGPPEPTDGLDAAKRQKLAAQVT SAPPKFHVPPLDPGPHSVAELFTVTTDDALKAFDVAQLSEDMVVKIGITILQRIDVDM LNQAVEGVRKRLKIMSEIERLVVTSSTIPLDVEDDDDDYEPAEDTEQILNKLDAAPPA ELVKLLPPAPEVALGKFKLPDPLPMSPEEVALAGRGVVDRLFGLMTSLDERDKKSKSA GFNRLAASTNDRDSWVTIITRIATRASAGLEEADGVKVEANRPTPFSMSNTIREEMYN YVFMDFRKRIDTAVQWLCEEWYNDRMQMKRGVDAILHYEKWVLRILDGILPYLDSQDR TLLIKFLGDIPGLSAEVLGRVTGLCVNPSTVNMALQSLLYLLVYRPPAKELVLAAVED VWNTYEDARPVVVKLFVKYRPDYGERFKAAEGAERANGVPEVKEVAAT LY89DRAFT_722978 MRDEPVGLRESPQRVIDDIQSKEVERTRIASAGPNYSGIGYRKT TKSNKTNVADRSLSPVSSNAMNALNGLTIPSAEPTKKSKSTSTIRSVPSDQGSLLSTS QDDPSRRAQGRSDPTAMPHYTGEHIPAIPVSSSLNNLTRQHSNSTGLSVASSVVRRIS SEFGPTRSLASTNGLHIPEDSASTSETHDTNDSNSASSANGVNGSSLVTSPLNPNTTQ QWSTAVGRSTLGKSGRVIEKLQNQLDTMRRELTSEKANTVEYRNAVALAETKMGQMRE EYDIALHDAAIAKTSLKRRERQVAEMKAQIESEKQRADKAVEREKGWREQMEKVEEES KRKVEEAQMHAALMDGRVKAMSSHWKDQGAEVNRTVVKLRTEIGKIVEARRADDNRMN MLQGLCDQQAEQLTSLQAEKEAIERKFEEYKREQEQALQDIKTKAISQEQQNESKLQE TQEVLGQLKWALNLQKNTRSPR LY89DRAFT_237325 MSAYYYERKDYAPKSNLASSVISKSTAPPRERRITFATEWFWYP EGVKTVRDRFNAIMAAKEINVSHEWDEPTRSFRLRCRAQDTTEVSKSFYGVLSAIIKE ELKKGYNGHRPSTYLPGDDEDIAAAVLEALQDTEDEIPEDEREELRLDITESLNSRLI RRLALYQKDLRENANQSAEAIASRPSPVPEPEYPALIDPYSFRFKWSEYEGKPLKLEK VFSDDTLQELKKLTRCDFHKGIKTGILYIGGKTERDLELAKLKLDNLRKIYKSRRPYA GHVFYAESHDSTRFFLWPFVKVKKFYFETTLLDNLHLMMNGGYARQPTELPPDWQKLP DAITIRCALWDQSNHTFEPMKKVDISTVSVAGTSTPLTTWGFLWVYSPTGNVNPQLRY ANLTTSEVPTRPSRAAGAPSGPPIANGITKSTSSNAIERAQIGDRMKSTVSPGPDRRV VSSNETTLRIEKWQDDVVGNSVRPIVAGGNGEWTLQDILQAGKRAELDAKPEWDDYKQ IDVDRVLLNRKELPTQASSALKLGVATMKMKRSTTVTSESTSAGTGSRPPASQQATPA VQMPRSVSQPLPIQPQSARGPEVVQSPQSALSDITNNLLLDMTIPSSESGSLTNSEAT LPARSQPHPILDDDVSMVAYSILQPARPGEVWNGPINSMSMSMQPMEPSEPEPHVEEE LICLTPPESEAFMEFSPGPSPAVSPNRGVVTGEVPVIDKIQEEDEVATRTFHKTMNQK AGRGGRQKQATPLKKRAARLPLPSPPRPKAKAPIDLEDPVPQFVYDITKSFSELVDAV RLFRGRLVLQVEFGRILLRNMGSMVTKMPGEKLYRAQTLHNHFQTSEVGKVLFTNVLS MLPRDMQYLVEIKDKKGKCLWKPNPINWGVLYEFHYRDMKALDSPFFRVEINGETFKS QLLVKHDLGHTYVHGTLRHWDCRIAALGFATPPAPNQKHQDVHTAIKKSLYIPGQSKT PQLMWEMDSSLIEQFQLVEVIVKRVTKYGSEDGKSVLNVIEVQSLDVQCHEVPAKSSL LFDAAPGPENEPPVKRLRYWWEASISSPRGDKILEENQSLELGDEPSWSAKDMEESDV ASSLYAPACEMLRQMDGVGFENRNGMPPRSVMEQSKFVPKGGPQDEIGFW LY89DRAFT_237321 MYNNIQESRSLLLFLLLLLLERPPYSYNIFLGKEKIPENASTFR RKGIVLIEILSAPTLILTRQEHFCKQEKITRLRSPIVIVQNPPKKEKKRHRSIIRPIS L LY89DRAFT_237335 MAAYDDGLVHDPHHDPTNYGMVSAPHGPETGDEGARMRYTHDPQ REAQIFNHILHPDDLYTPEGVYWADLPLGQRMKFVNSVNNAEAKKELGQIGAMMKRDP LSPVGWYFRNAVLPGAGLGLEGYVLFSIGNLTPLFQAVWPTCWKSYKVCDEQWINSVT YLEVLGIIVGQIMVGIIGDSIGRRWGLIQDAIIMFVGLIMLTASWGDNLNGWVICYAW SLFFYSIGVGGEYPMTATAAMENATGSGRVSTKEDRLHRGRKVTMAFLMQGWGQFFNQ VILIVLLLIFHHSGNPPYSKVAAQWTYRVSFALPAVGTLWLVYYRTYKMPLASKALNA MKKKSKVTGYDVQSLKMTFKYFGPRLIATTGGWFANDVFFYGNKLFQSQFIKVLSPES AASGNVMTGWLWNLVNVGVQLCGYYLASFLIDHKFYGRKWMQQIGFLMDFILFVVPAF HYKYYSGIHGIKAFQAMYFLSGFFNQFGPNSVTFLVAAEVYPTSIRATAHGISAACGK AGALLAAVVYNYITTQQKFYFVPWFGLAGMLVTFLFLPDTTGLDLKEQERRWAYLRQG REDEYHGIAIHPQHLSLWERFRGVGKHYNPELDREQKMAELRGEWEEKEAAKLQNPED QIFDDEFSDEIHSYFKGTSTGREGKLASSSSDEGSAQNEKISPVV LY89DRAFT_722981 MVEEISAERYIKQLNTNLLGVINVTRALMPHFRARKAGVVVFIG SSGGIAGEPGAGAYCASKFALEAGLWSKMNGNQPGDPQKAVNMMIDVVKGEGVTEGKE MPDRLPLGRDVLGKIRERYQRHLEVCEEWEGVICSTDFEGEERGDVRTVVVGE LY89DRAFT_700408 MDIYAGTKEARRNIPMEGEEAAAVEAALSDLPVEQSIVVVDQPV AEEDTKPALRDQVPINPPTFDTAGKILPPPPSADGTEMGTFQKAMLAVKMDARAFDTA LDDLMELSHDIYYGLEIAKDGPIVEKLICLTLGSGSEKIPAGKAGREHKAASILASSV QNNPTALKEIVKWGRMVFHPICSEAKKGEKPNFVTTLRTRLGREKDAGALKAKVGAIS GLLHDESFRTQFLENSGMELLLAIFLKKGEDFDPVRRKVAQLVTDNFLDEGMGAKVGV WPKMPVTEAKVCKENKERMLEDGCWEYHVGAFGEQKGKLAWVKEFEVLLTEQREKFGD SILDREL LY89DRAFT_758900 MASSNIEDILSFASSDREDILSGTIDDSEDILSWAIDDSEDDLE DDTESLASLETDDGQDHPPEKVLAQTTSKNGFTWFLVKWRDCPVLRSSWEGESLFIRC PEIYESWKVERKRQAEGKSQPLEIEAFNKAVKKLETAERQRRLLRRLKRKVNRVLSIV TD LY89DRAFT_689055 MFQLRRKAVQSVARAPASISRVTKRYSTGQHGKTGDEVHHFAGS STEHDHHHAGPKAESLGNAFYFVLAAIPVTLCVYTFSRPSSDGTLPGLSKIIDSYSYY KERWVARNTLHTAAIEQAAFDRNLFQSSQGSKHVNLRFPEIFNTGAPHNVSAGQGPRN MDQLVAHYEKLNSDEEERKGRALAEKAS LY89DRAFT_689056 MPIGDLLAQITGEPSPTETTHASTFLPPKRKADDQLRRPVNKVQ RTEPTTTILSKPVPNNARSLSVEGPLSKIKLGSSAQKPTASATFKNGRPTPPLANDAP KAPPKKGSFAEIMARGKAAQSTLGQVGKIQHKKIEKMPSKREREEMKAHKSSNIAKNM APGSKFNKAPLPNARDGRNGAKESGKKAPPEPEKKIKKAATATTGYAGTARPKPGGAK GPARSSASASSSRYDRGSDRGRYRDDRHGSSARYAYVSEEEEDEEEEEREYDSDVSSD MEAAAFEVDEEEQLASRIARREDAEALAEENRLKREKEEKRRRLAAMAKSRR LY89DRAFT_739337 MLFFSFFKTLVDQEVTVELKNDILLRGTLKSVDQYLNIKLDQIS VVDELKYPHLSSVKNVFIRGSVVRYVHLPGNAVDTALLEDATRREAANSGGKAK LY89DRAFT_654837 MAAADVEVSPPKAPGLPDYLLDPNATLKDDSAKWRYGRAPDYTN TRKVYEATKTKSHEAASLPNLVENLVKNWEVEASFKPEIDDWRTIDRPNYTFSINGGP PQSAEHMLKVGTYNAIITPNDYYDPTKSDFASSHKTFKRMMPTFAWEVLEVYSGPPTV AFKWRHWGVMKNDYVGYNDKGEKVTVKAHDGPIDIQGITIAKVDDKVRLQAVETWFDP LEMFRQISPSGEVTRTIHVPKPGEDITTQLHGEGYDAESGTPTDEPESTPLEAKKDFE KVFPSPEMTHEAAVTGPVVPVVEKSGAKAHESVPEETPTSEETASAVHTEMASSTPAS CPFLAPSAPS LY89DRAFT_689058 MSNISAAEEQAIEAIAPRKLHQIFTLPATDKNEALKVTYSIAGP DIGEDVPTIFFCGGMFGTRYMAMWYNLFAEKQRVRIIFTDRPAFGGSTPVPISQRIST FLETVPALLKHLNISHIHLASHSAGTTYALNLLWHRPDLLSPTNPTVHFFSPWVHQSI SGVSFLSAAAKLPDPLLDHWNGVTSFIIQRASPAFASSSGVLNSFSGLFKKSSIEAEK KAEEERKCLEYYGMSLEVKDQVSKKIFKYAFAENSKGGNDEARLCMKSVEGCHWDACE DYEELVGKLKDFWAKKVQDGGKKLKVKIILGEEDIMIGAKGKRYWEACWTQERCGDGI EVDSKELKGGDHESVIDATKGPFADMCTVVKEGGN LY89DRAFT_689059 MPQNEYMERWKKLHGRRLDHEERARKKAAREGHKASEDAQKLTG LRAKLYQKKRHHEKIQMKKQIKAHEERNVKSSAPAEPSSTPLPQYLLDRSNPTNAKAL SSAIKNKRNEKAAKFSVPLPKVRGIAEEEMFKVVKTGKKTAKKGWKRMITKPTFVGPD FTRRPVKYERFIRPMGLRYKKANVTHPELGVTVQLPIISVKKNPQNPMYTQLGVLTKG TIIEVNVSELGLVTAGGKVVWVSHVCFMFKIQPLPKFNLED LY89DRAFT_689060 MIELGSQISLPNSKMLSRTLKRSISDHIPSSSLPPTFLLPCRAR YFNSTTQHVEPALDSSSIPPAVAPAASANPATPTASPIKKQLLRSVPLRPPPIATPLT LDASVKEMLPLLAVQPEHYISIHIHGKPYLVRKGDSVRLPFQMPGVVPGDVLRLNRAS SLGSRDYTLKGTPYLDERIFQCRATVIGTEAEPMRIKEKTKRRNRKVKTVRSKHKFTI LKIAELRVKNIDELREG LY89DRAFT_722988 MAGQYSQQRNPGVRHSNPKPQPKKKAPRVPGESRFRNEDLSHNF IGKAYENMPARMYRHNLTATETYKTSGVWWRTARQLVRPETHPEEIVVKKHVSKSLAL GAAPTKKSKGRCGRSFRQDNRTSERAMNASPCTKASGEHVSDEDRRQAQDTRLRPEDN LTPCKSTSAQTCEQQTSVQEDNDWVDIESENEQKSPNTDQSATNEDGFVLV LY89DRAFT_758930 MVQPRGYDDGLEMAPYQGMEVNVDDYQGMEVHQYPGAIDDGSEK HAFHENVNIYDEDPNSRLRRDLKTRQIAMIALGGALGTGLLIQTGPTLASSGPASMLI GYALVGVLCFAVMSAMGEMAAWLPIPSGFTGFAHRFVDPALGFALGWNYWFKYIITTP NNLIAATLVIGYWFDKAGYDGPGSKPAIYITILLATIIAINYFGVRFFGEFEFWLSSA KVLIMIALVIFCLVLAAGGGPDHQATGFKYWKDPGAFKAYKAAGSGGKFLGFWNVLTN AVFSFLGAELVGICAAEASNPRKAIPAAVKLTFFRIVFFYLVLILLLGMTVPYDSSLL LTANSKSNTTVSGDASPFVVAAQIASVNGIPGVINAFLLVFTFSAANSDLYIATRTLY SLAVEGNAPRIFSRTDNKGIPVYALALSSAFCLIAFISTDVGSFKTFQYFVTLVTVFG ILTWISILVTHIYFVRARKAQDIPDSALTFVSPFGALGSKIALVFACTITLFNGWATF VHDPSTGLFDWRTFIVSYLPIPLYIIMIFGYKVVMKSNTVSPEEADLHGGKAKIDADE AEFLAEEMRKKGWVLESGYQRLYRVTLGNFF LY89DRAFT_710298 MSTSSKINANHRKRWGYSFQWTDEHLSREEIDRLRSNYDDLGSN ALEKFQAIVAETKVEHLAGAPSPAKPDLYTVLKNNYEDNGILEEFWNELHSVPDFVDW KQIERAQSFFARYAVANSTAFALQGFIRENSASPGIAEVFARTGGFSIKNLLSRVIET FTWLIQVTYSLESIQPGGEGHTSTIRVRLLHASVRQRILKLARSRSEYFPIEKYGAPV NDLDSLHAISLFCCSPMWDGFPKVGIYPNKQEIEDFIALFRYVAYLTGTPTEYWETPE KAKAVMETMLLYELDPNKTSKILAHNFIKWLEDQPPAYLSRSFMEAGCRWMNGHAMCD ALGLGRPSLYYYLVFAGHNVIVASLAYSQKLIPALDGFMIRYFRKTLYDAVTDNAGLA GGNGPFDFKFVPDLEQKFGKEVGLLEGRGRKKLPRPVEHVFFGAFLFACLVGMLLIFA GVKLFLSLSGN LY89DRAFT_722991 MMLDLQNPDQSDWHFAVEAPPGKVSVVIPDLFSSFLSLPPRVNP LYLKVKAESEAWFSEKLGANRNVSRKIQKLDFAWFCSIAAPEANKEELRTLCDWGNWV FPFDDTFDNGDLRSDEIIGRQVLNSLLSVMRDCRTQADTHSEKTVLVEIHDTIWERVV KSSQPYVQQRFAVAMELYCEGIIAHVGHFFQHKIPSLEEYMAVRRRGVGVLPVFALME YAHNIKIPENIYNSTAMRELRRIGIDVVLIQNDILSYAKEQEEGVPHNLVTVFRTNGM SIQDAFDQAGEMLKHCYRDWYLAQAELLQYGEAIDAQLQLYMIAIQNVMTASLNWHFK STRYFGDKNDSVRRYRRITVLEDP LY89DRAFT_739346 MRLNLPSIIVLTWGVVGGLCAWPNGPLVTSGRWIHNSLGQNVTY AGVNWPGAADVMIPEGLQYASIASIVSGIKSLGMNAIRLTFAIEMIDDIYNGGDVTLA TAFNKALGTTNGPVVYNKVLKNNPSFSASTTRLQVYDAIAAECLKQEIYVHLDNHMSK GGWCCSTTDGNAWFGDTYFNVANWKRGLEYMVTHGKSWGNLMSIGMRNELRTPSDNTT LTNNYYNWDNWYTNMVAASKIINTANSNILIFFSGLNFDTTLTPIVAGTNLGSSVYFN KTSFPYWDKMVLELHDYENSVSSCSTLQSDLTGNGFSTLSGTQTNTMPMVVTEWGHDQ TDGSYTGVYASCLHSYLPAQHVGWMIWVLAGSYYIRSGTQDYDETWGLYNHNWSAWRS TAAVANLQSMVSATLAS LY89DRAFT_674483 MADELGLYVMDEADLEGHGFYDAVARSKAIPESMPYEEQKLLTF GEAAKFTLDDPTWNAAYVERMRQLVHRDKNHPSVIIGVSEPRPSMAKTIKLYMIHKAL EEGDNFKKPIVLCEYARSDFSLMNDDFVFKFDKISAQITKWSIRGNDILDETNGPQLT FWRAPTDNDVPHDAEVRSVQCSQKPSSTATIVVQSWISPPVLAWGFDTTTTYTIHGDG ELRVHILANPRGESYNDKKEATKTGVWSSNVDELITKYEFPQENGNRTDTRWVQLTNN KGFGIQAVLKFEKPARQVGFDLNLQRCSAHELAKAKHPHKLRRSDRFIFRIDRAHQGL GTASCGSGTMAQHQLPFQMIDFTVDLVRIGL LY89DRAFT_237677 MARYRELRSNEIRVIRLSTDQDDPKFASGPIHCSLEYVSLEESN PITNDDQRRLNKHFTTWPCPISEDIESQPGWQDSLWRKSVWRTPSSDTKTNSNSTSSL DPLDEGTELLWRYGWGDYVALSYVWGNATVKSTIFVDGAPMAVTQNLEAALCQLRNYP RIKQGFRIWADAICINQDDLNERAKQVTRMQQIYASAMHIVIWLGPSSDDSDLAMTAI RYFGIRSQDDNPLKEAYKRVEKEMFVNHPHLRWRHNHIYVRMPRKVFRGMYHLLARPY FRRLWVLQEIASGARSTPVMCGAKCVQLDDIYQTLRLVRDVDGNELGRQIIHAVNGPG AMGRSWDRLPGRIDTYTISEKLWERPIAMIEAQNVPQTLTKSAIHDTIFDALILSREA DTGDERDRIYGILGLPCLDAVVKMVPDYHLPAIDTYTIFTKTLLSTGYFNYLRLVASP VPAIDTKYFKPSTLSRPRKPKFVRCPEVVNLGCEHGLPSWVVCWSCPRNPAMNLFNHG SAALKSYFVAPVFRNHNLMTVQAVLFDSINSLAAFHATESDRSYPLNGPEVQSIYGNV AGTLEALWRTMTGNSTRSGASPPPSLSSILDPSVWEMGIGGLTTRRALFGLDSFFQRN KGLRLFDRSLVQLINYEEGITESGRDQEYRRSRTQQDTGYWKGTASDRQYLRDATLWA MKILAWRRLISTNEGYLGLAPAAARAGDTIAIVPGCDVPLVLRRNGDCFQILGESYVH GMMSGEVVKMLEQGKKKMIEITLC LY89DRAFT_594853 MASDEKDLGAASHVNDIDNSSTDAILLATEADTTTYSPWSRSMF RLYGVLAIAYLCGCLNGFDGSLMGAINAMKPYQNYFGIGSTGSSTGIVFAIYNIGSIP AVVLTGPVNDYLGRRAGMFTGSVIIIIGTCIQAPAVNMHMFLAGRFLLGFGVSFCCVS APCYVSELAHPKWRGTLTGLYNTCWYIGSIIASWTCYGTAFLSTNWSWRIPIWCQLLS SVVVAGGAFFLPESPRWLVGQDRVDEARAILAKYHGEGREDHPIVNLQISEMYYQIQT DATDKRWWDYSGLVKSHNARRRLICVLGMAFFGQWSGNSVSSYYFPEMMATAGIADEH TQLKLNGVFPVLCWLGAISGARMTDKIGRRPLLLWSILFSSICFAIITGTTKLAVEHN NKMASNVAITFVYLFGIVFSFGWTPLQSMYIAETLPTETRAKGTAIGNFGSSVASTVI QYSSGPAFKNITYYFYLVFVAWDLIEFVVIYFFFVETKDRTLEELDEVFSDLHPVKKS LQKRSVQTVAATVGVDVNEKSMVA LY89DRAFT_739350 MAYPDQGRPGRSNSDSSTANAMTTPYAARPRKRTAHACGACRLR KSRCDGRQPCSWCTENEVDCLYGKQEPSITQGKSDQILETVLRMDGLLRSIHENLNEH NHVRSIASPRFSDPSSPDHQDFAKVANATISSTHISATQDLLSGSFAAPFPSLRAQFH PIFLLESKCPPMPVQPRSIRPVFTTDEAERLLTSFQRNVNFWYPTVSKATLQVLFEKV RNGFMGNTCEDCAALLVMALGAASELIHVVFSQTESRGFQSRQQQSELMTIANVCFDE ALKLLTVAYMDVSTIATQCVFLAALYCSFLQRPLQTWGHLNTAAAKCRSLIAYGTESG NVEDDECIRRIFWSCYIIESDLISELSHLPQSGVADQESEVPLPGPLQTHFTESETES SALYFLSCISIRRLLNRVHQLLYAAEKHNTYTSSRDESLRALITELDRQLVLWHDTLP GFLVFKEDTRECANEHAAFLRQRYLACKSVIYRPYVEIVLKHNDMAHSRETVEGAGRC LKASCDHIANLKSFGQTVMIDTWICSLSMTSTMFIILVSLQNQALGPTLLEHNIPDFG PHLRRLLTSWIGMMQQKSPSIEQSIRLIAEIEHLIGASR LY89DRAFT_674488 MLLLTITGLASLAFIAKASPFENQARAACNADNCLRELRGTSSL ASPYCSSYYTSTTTVTTTTTVPALDATFPGVKKREPTVAPDFEKRATTLPPFATSCTQ TATALSSGCSCLLGSAAATTTSTITSTSTLPLPVQCSSQNSYGLYPTPLSIVSIPGGN GASGLAFEVYPGGCCAYCFASPNCIAYYEEVDYVASVPGKVACGVQITGSQPIEPLGV DSCPLGTVTLSAGPVETTVLWGVGNCGVVEG LY89DRAFT_654865 MATASKTYLDLVNDCDRFPYDDPLASPSEHWSSIYRFYLPNDPR HHGLMLSSTVQKMLWTDDFLVNHQARTVHLDPKDTSDLSKSCTTALSKQVQVCIDAKD SFHVISGRHSEPYPIVGANYPVSLERYASTLFGIISRGAHLTAYTQTSEGLKIWVPRR SPKLFTYPNCLDTTVAGGISLEDPFECIVREADEEASLSEDLVRKNAVVCGCISYVGL NDARGGGEMGLIVPDIIYVYDLELPADIICQQNDEEVKEFTLMSIDEVKEGLARSEFK TNSAIVMIDFFLRHGIITVEDEPNYAEIVARIHRRLPLPTGPSKIVG LY89DRAFT_710302 MGAKPQVDTQFNVTSGCLCYGDIHNVWHGTSSPICKFPTSVEQH AGGTVTAQIISFNTLVEKGTDRLCAWFASHVDVDPEVEIDKILNVSGSPYERDSGSRL NDKKTAKESVLVINRYDWGYYDKRGKEELGIDDTAETDWATWVFGEGAALVDFESAKT EVLRWNEKKRQERDNSPNGVWMFIPGGEYMFGRFGFDEDRSGARSFLFFTTYTNFCST SFVGTDRALRVEETDEEWFQRCLRKEGYFEGLVELKQQISWFNGPLHLPAESEYLGPY DSRECILTSTDIDAIRIRPGVKAHEFADPLKEDCLTCLNEMIMSYLEHFIAPASSHNT VVAACCLIPYSDPIPGFNDRAVGSKIKSFLIPRCEDKSLVRDEKFIAGICACIAYLLS EVLELANNHAGRRSKLIPVDIRLAVFMDTKLRDLFKYSRFFWKGNSQIFQVAGASRTT ELTQTAE LY89DRAFT_786793 MQLRTGGLGGQLMGTSCSMYGKGKGKGGAKVQNLAFEVDYASNL KPLAVIKREDYFWNRLSCRYPDKMRFSKLPAVKSISFLMLDWYEVVTFCLDDVGLLEP EQWCFSLDADSDIFKAAMGSKWRNVTWKVTIAKDGNRSLCELLMNWNPDGWTWRYWQR LQHEAVFG LY89DRAFT_689069 MASRLARSTLGAGTRLRPALPSRTLPALTTSLTSYRSASSVPAE DPKKKAQSIIDSLPGNSLISKTAILSSTAGVAIYALSNEYYVVNEETIVALCLLSIWT AVFKYGGPMYKDWADGQINKIKGILNAARADHTDAVKTRIESVSQLGSVVDVTKTLFE VSKETAQLEAKAFELEQSTALAAEAKAVLDSWVRYEGQVKIRQQKELSESIIAKIQKE LENPKVLQQILQQSVADVEKIVQSKAQ LY89DRAFT_710304 MPTDIGKAGHAAKRKSSATDKRPAKRARSESSDEDGQAQILLLE NEIFESKKNYNNIAKLIKILGDDSKDADSSIVAAISLCRVFTRLMMSGDMSRKQSATE KDTIVVKWLRERYAEYKSGLLDLLREEGAGPTMFTLCMRLLKTEGQHLRIGQGSFPAV FVTDMVRVLLKDDGEGNVRKEFSEKFVEEYDDIRFYTLEAIQKILGEANTGVDNKLFD NALEILSSIQSVPESKEELEDFYVTTPEKSNHALYSLTKHKKNAQGAWLALMGLQMDK DQRKSLLRLVSTSIAPWFMKPELLMDFLTDSYDAGGSTSLLALSGVFYLIQERNLDYP SFYRKLYSLLDSEILHSKHRSRFFRLMDTFLSSTHLPAVLVASFLKRLSRLTLNAPPS GVVVVVPWIYNLLKRHPSTTFMIHRETRGASAKERLENEGMDDPFDMEEDDPMETHAI DSSLWEIVTLQSHYHPNVATLAKIISEQFTKTAYNLEDFLDHSYGSMLEAEHVKEVKK TPVIEFEIPKRIFLKQDLATEVEDSLLVKLWDFS LY89DRAFT_595264 MPFKDLLKKKDKGEEQQQDTAAAEEQPVFLFMRSDTNTQELIRP PTFSSHNTPDDPPYADTRNESKTSRLFKGRSRSGSVVSTASVSSRASQKSNTKSPNSR RLSERLHLKKAEPTSESVPQDLPDIQLATGEEDGGDGAESQWEKRATMLARKNEQSRS RPATPLGGSTTDLGSFNDMSISGRPGSAGRHESVVSTKQTDDNIQEAIRLHEAGELVT STSMFGRLADPNGENNALSQVLYGLALRHGWGCKPDPAEAVKYLSEAASNAASVEDLA LQAGMKKGGAAKGELVLAIFELANCFRHGWGVQIDKFAAKQYYETAANLGDTDAMNEV AWCYMEGFGCKKDKYAAAKYYRFAEQAGSKTLGNSWIWKEKYDPDKTVPKKSK LY89DRAFT_237772 MAAFPMHNFYRPSALTVDTHTQNYFEEDEGSILDENILEQNGLD SGLEMSPPINGSRRESFAVSSALFSPKTDEWHHVDMQPMASNNPFVEHSNNPFMRVES QSSNYGHQSHGWPINSGSGMSTPMQGHDGLPADFEVNAPMFQRPMQTPFTNPTNQQLP LFSSGNTSNGSIPTSPQKEWSVADAMDHRSMPKRMRPHSPTLRSHPDMTRRGDGIRKK NARFDIPAERNLNNIDQLIAQSTDEQEIKELKQQKRLLRNRQAALDSRQRKKQHTERL EDEKKHYTAVINDLEEDLAEAKLALDEWARKEQQYQQYIESMQLEKEEMVRNHTIETG DLRKKVSVLTEHIQRLEVTPMSAMPSSTGFSADYSDIDSLTMDGAWDNISFLNDFSPP EAEVKVETSLVPIKKSENSLLSDPEKPAAQGLLLMLLLFGAFVASKGSSPSIPQMSDD VRAASATLLEDIFKDAGVPQTASGISEAVAPLPSGNSWSTTPMPSLGGNGMVGVTQST LGDIADSLTQPTEEQTHEQLFSMSAAQYNGLTNQDFLQATPQRSTSQGRRNLGETLAA MRSNSKKSAAEVYTRSLLWDQVPSEVVRNFAKLVSDCNSQNKDCSTSAG LY89DRAFT_689076 MDITTVLASPQIQDLPEPRLLTTHEASLSLNLSTRLSPLSSRIP PPLTLLHHLYLHLHINPSHAYTRHIEHNPQNHPHRHGTNSTTRKSFHNTKTNYHHLPA SYLPEIYSTATPADILRRLPTHAPDHEPPQLRLTRPFIPSPINSPIGFDSNPTQSHLT SPQKPNQPTNQPAAAAAAAEHYAPQLALHTYLGTYASITESQVRPLSQSWESSLPMFR MHYCGG LY89DRAFT_654880 MSRGSGRGSRVPQVHRNRTLVLNGNNSTTSINTPQGVNNDENAK INGQASTTGSAWVSKTDRHLQIINTSIYEKESQLRTKAIEETRQQKLRERGERDKKRF TKQLQRSANNAYSSSTSKSINGTIVDIEGIAFRVTKNGDKLIKVPSDPHPPSATPKTW SSGGILFFRSKNGNLYRAGIVTAHRRKIGLQKIQEPCRAFTTTGSCNKGPKCRYIHDP SKVAVCKKFLKGSCPSGDKCDLSHELTPERTPTCLHFAKGNCSKPNCQYPHVRVSPSA LVCRAFGIYGYCEKGTSCTERHVHECPDFSNTGTCDTKGCKLLHRHKASVMRTNTAHN NQSGEDESSDISSDDGGEIGDEDVDSDDLEEFLGDEDGDVDCDIPMQQDYVQLS LY89DRAFT_595412 MADTHIKPDPEGLGASPGAFSEEDIYEDAGDLEFNADPSFQSLY LAKVPKYMWEAWSTLDDDAEIQIGTIRQSQEKGNNGEMRLHMLLKPDIAAHQIIPKEY LLDVTEEKVKNTFVFTEKDLPGFKSKSKEKFDLATANMPARLTRPKNDKPMSKEPYDP NKRFQPYYRKAIPKRTAVSGTVKHEVNCIAVINEESDRILNQRTLDAMKPKSFTKFMN QDLSAISSGFIQPGTIHAQNTFTDFIKTKNHSSGARPQLTKTARMPQNELLDRIFECF ARYNYWSMKALRTELQQPEAYLRETLEKVAVLAKSGRFATQWSLKSENKIANYESIGD AVAPVVEGDVPDESDMADEEDGDEDEDVKFEDVA LY89DRAFT_238592 MKENHESGRFPLPSLPGIPTEILEIIAEYLPQQALHALMLTNSA FIEVAVVQMYEEPYFASTYRFAQFVHLVSRNEHLALLVRSLDISYITQPDDSVCEPGA GWREFSYRHKDMYPVTPPGFWPWKKQKPLVTHETLRTLGTQRTKSSHPPLSPLLGPSS RRNVPIGTMCHVLAACRRLKKVDLSELAIVSDAIFLSKELSRNDRRFEYGQFMTRFPT SDNPCLSSFSGPPESPSMHPWFVPASEVESQLLPPFSESDKKRGSRDDPYLPLTTNLK STPSSCPSPMHVFETDIDNAERRKMAGKVWIYGDEIVSWLATLPELEELRLRGTMWIN NTRTRRLVSDLVRPGDKLGGEKKTAAKGLQFLDLSHSGYVMCQREAWFGSREHVEEIV HKAYGK LY89DRAFT_689079 MASPKIKLALQEISASADKQQGYETLLKETPSLSSPATLSQDLT TTLDAIFADSLGIVATRSLTASFVDILKQVPINDVKVEVGEHALVIFQSQASSFEEQN AQIRELMATAHEDDEDFLAAAKVLAGIPLESSQRKVTNDDKIRFWIRITRNYLEVDDT TLAEQYLNKAKNVIYTVSDREMNLHFSLCQARIQDARRNFLAAAQGYQDISFMPVIAE EERLHTLSMAIKCAVLAPAGPARSRALGRLYKDERANTLPEYSILEKMFLDRLLSPEE VAKFAEGLATHQLARTSDGSTVLAKAVVEHNLRGASRLYNNIGFDALGSLLGLDGDKA EETTARMIEQGRLVGRIDQIERVIWFEGGEATGEKGSGRAEGIVGRELKRWDANVQGL AEEVEKVTSELQLVYPDFVAANLVV LY89DRAFT_700432 MFLNNSLHILCFGDSLTAGWSLSTHPVLHPYAGSLEATLGKSLP SINVSTDVQGVGGDQTECPPNVCPGFLPRMEKLYQELDDSQHYDWAVILGGTNDLNAG RDAPEIYTSLQKVWGIPLSHDTKVLALTVPECGYCEPITNKRKAVLNDNILKHPADNF FTFNLHDSVPYGNMSEEMRKEIWDDIIHFTPKGYDLVGSRIAERLTEILKDDLSQDRD HGELKK LY89DRAFT_654891 MATASPTPMGASHFASLSRRPPSRQAYKQMPSRPPLHRSDSVPA VVHARAYSLNDSSDDEIAIPVMKFSAATNALLNDAALTGDPSPIRPKKATPEAKDYGK FSFRAGIDSIQDKLSPIAAKVHSPYPRRVIRLSNGTPSTLRRSASVSSAVKRRNERSA EKLESPLSLSTPAPEPKTIPKPSSGDHGASFSSSEKMSIRAESVPRDEEVDPGSQEYP STVARPNFATSQGSVSRYAPSTIGRTRYGEDPALQGSMRINRVAKVTGAFLKGPARRG RRRISDEERSPIDENGQGLDSAPSSQEPESQESQAPESQSQGPQSQGSSLGRSSFHAS MYRDFASGSPVGSKDVLNSALRSNSPPPPTLSSSQRSATAKTSSQQAQPVFKVPAPRP DLPSAHDQENEAPPTFKRNKQPLIHLDKIEKVPTRPQSMDMDALVGPERPALALRSQN TPRRPAPAPPPPKMSLLDAATSTAGAAASQTNSKRNKLRVNGKIFTRLDCIGRGGSSR VYRVMAENSKFFALKKVNLEDADENAVRGFKGEIDLLDKLKSVDRVIRLYDYELNEDK GTLSVLMEMGELDMNKILEMRLKSDNSKFDPSFVRHYWKEMLECLEAIHEYDIVHSDL KPHNFVLVQGRLKLIDFGIANAIQTDETVNVHRETQIGTPNYMSPESLMDQNAKPDAR GRIPNEPKLMKLGKPSDIWSLGCILYQMTYGRAPFAHIQNPMQRCQAIINFNYAIEYP STGVGGVPVPAALIKTLKKCLNRDQFQRPSATELLKDSDPFLNPIECSDAALPITEEL LGRILLNVAAKIKDRNPTEAELLQSWPHGYFDRLRKNLAEGRGL LY89DRAFT_739365 MTGKQQQKRHKYNLRPRSSTNSISSASSMKSKSKATSIGSSSMS SSSKATALSSTFTLFPNLPPEIRIMIWHMAMHPRVVMVTHKVVTNPPTPLTRSSISDP YPALLATSHESRSITLPLYTSIFPAPSLGPLRWHYSSNTNHIPKHLKKAVLPHSFHHT LPSHLAKVNKAMNHPSKAHKDYSAFATRYRKSHSVITPAFFSPSTDILYLCRPSTKFQ SGLWTPSSSNAKQITSLALEFDTFGVARKGDGKRRWSGVYAYKNLGAVETLYLVCLED REVVRVRFGEGLGVVEWSFRLMREGEEGWGLFREQMGKWWSGVVWDVKIGKKGKMSLW VAAKKEWKVDFEQWETDKRLILEGNERVAKEWGSAKRVYSWSDAVHWMRSLQPSRLML NGDGETYEGPVKVAYNPLRG LY89DRAFT_238763 MLEGDTWVYSLDLQSNAFREQMGDFWTGVTWKIQLARMDHHYDA DLWRIEDEWKPDFIRWHLEQYSICLQISTIPAAWTVAHSPILHYSHGEELETRLARSK PNLLEGGDGTTYNGPQ LY89DRAFT_238762 MTTSSFMGLPVEMRLQIWNYILKIPRVVTIDYDPNSEDFTRAKL HQTTPVLLHVCRESRALAFPRYGSVFTPTNGREYTGCRGLNVIERLLHLLTIDGPATA REKRDA LY89DRAFT_595114 MLTIKDEPVENLRPLKVRVIGAGYSGIYLGIRIPQRLRNVDLQI YEKNEGIGGTWWENRYPGCACDIPSHSYQYSFDPNPNWSAFYAPAKEICAYLHGIADK YGVMRHVKLSHKITSCKWNDYTKKWELTVENVKTGETINDDADVLLSARGNLNDFVWP KIPGFETFKGEVMHSAAWNQQYDFKNKRVGVIGGGSSSIQIVPNLQKVEGIQLSCFVR SRTWISNPFGDSAMEKLGLDPAKLDFSPEQREEFANNPQRFLEFRKLIEVDGNTIHGV TFKDSDMQTEAVKSFRAMMKKRLAKKPEIVDALTPSFSVGCRRLTPGPGYLEALVEDN VDFISTPISSISPTSINLSDGRNIEIDALVCATGFNSSSRPPFEIYGKNGVSLQKRFT PYPETYLTMGVDGFPNFFMMLGPNSAIGSGSLTMMIETEGDYIIKCIRKLQKEDYTTI TPKLARVKDFSEYCAEYFKKTVYMDECNSWYRSEGGKGDRITGLWPGSTLHCLETLRA PRWEDYEFESREENRLRWLGNGWSVTQVEGGGDPAWYLEPGMVDVPREGRPEDDEVGR LRPWSY LY89DRAFT_595243 MHLAGKTVHPHWIEHGLLDRWRRGCDAQHNEECQQGFTKDRLVG SHPTWLIDTWTLCLVHGHLDMPYVALSYVWGPPDFYKTSRRDLAMLQQVQAFHHLKGQ SLVPQTIIDAFAVTQLLGQRYLWVDSLCIVQDDEEMKAAEIINMSYIYAQATITIIAR QGKTAKYGLRGFPGTSQPRHTSQDLFRIDKKYRLLKSPQYYGYGCEWDCRGWTYQEEV FSRRRLIFYNDRVWWECQCSKFQEDIHTPPGSRKSLPSRIPNESRIFSSDILDLEGYR SLVTFYNTRQFTIPEDVVPAFAGITAVLTPSFKGFLFGLPLLSFDTALCWQPFGMPGI DCKRRGPRNPLIQARSYLPSWSWMGWQTHIDHHSMTITHARKRYGNYRHSTFNTSING TTKSIIRWYSKERDSTKLHPIDGPRTLEAVMAEGFKEDGTLPTGWRRIEYKDREWHDH VSTGFTPPKYLFIHESEPESEFWFPVPTAQDYTDSPALPLGNLLCCKTQKSSLLIGRR RVIKGKNPLKLEHDLLDKEMRWSGIIQLHNTNQLPLENEDISTKLALPCDLVVISEGF VLEGSRLYSIPGWEFDERPKGPHGTKYEFFNVLWVEWEDDIAYRKGCGRVMKSAWDAQ DPEWIDLTLG LY89DRAFT_595261 MSLRKGATFHHPTTPERELPHPFKVPALPRRSQSTLEDVVDAHK RRVALTLGNIDRGLSAVEDSSPTARQSFRDEADPVPQGFLNHTVDTPTTKSYGPIMDG VMSEEPASINRRSLRPRHNRRPSRYSDSGLGSSIGSMSGKKAAETQSTSVNDVAASAI TRSAAAHSSTIENLPRLSSRATNRITEHILKPLLAKPSLRDFYPIVKDCPRRIHAKEI VCLRDLEKTLIFMAPVSEIQDEVVGSVAHSIFRLKERTKSAALYLDFCLTSIRCIQAT VEYLSDREQTRPHDRPYTNGYFVDLVDQIKQYAQQVQAAKEKEAKGETLDDEDPESSD EIKLHGGLTKNGKPAELVRVKKNGKAISIATGQPVEMDEDSKEAMRFKRSMSEEAEDD ESILRSMARRKRSASAAELAPKRCREPGCDKEFKRPCDLTKHEKTHSRPWKCPVEACK YHEYGWPTEKEMDRHHNDKHSAAPALYECHFKPCPYRSKRESNCKQHMEKAHGWTYVR SKNNGKNRDRATATNTSTGLPTPPTTGIPTPGNDSNIMNTPEEDDMDMSNYEGSVYYG HQSEITFPEYNNEPDMGGMFAPQQLQIDYSPVTEYTNSASDASPYTSNTLAGQDHFNE NFSTFTNTGADFNLFEGEDLYSANVQLPTPTHEIFQNLSGYETSGLPYSVGPVPHISP LGHGNTMLYTPTSLREVDEGFSEDFVPNDCLGNDFQLFPSSTGGTVASSAPSALFGEL PSGVNFNNMSGQEMIDFFNHQTAVAAGNNMDWDSDTYNGYRSQ LY89DRAFT_758974 MVHCETSNFASNAITSILFVFIASVAYTIWLTIYRLYFSPISHI PGPKLAAASWWYEFYFQVIKGGQYFKKIAKLHEQYSPIVRITPLEVHIDDPEFVDTIY TNAERRDKTPWHKNAFSSDTSAFATADHDLHRTRRATLNPYFSKSQIRKFAPWIQERA NMLCRRFQEEYKGTDNVLTINEAFSCLTADSVMEYSFADLHIATNFPILIQLQIFILP RSFVTWLDPTSKIIFAWLNNVERHVDDVVKGSQKHQDVNHATIFSEILQSKRPPQENS KERLFNEAQTVVSAGVETTAWTMSVTFFHLLNNPEIMQKLNKEIEEAWTDPDVPLDLP TLEALPYLTAVIQEGLRMAYRGAARLPRISPDKDIPYTIPSASSCTTTKTVFPSSHTF APERGLASPPPHLRNGKESPLSRYMISFSKGSRNCLGMQLAYAELYITLANVVRKNKF VLWETGLEDVVADREFLLARPKEGSLGIRVKVV LY89DRAFT_654901 MHLIEHKDTTRDTRFKPRIGHVLYRIPGIMWSSGLCWRSRHRPC KAIFHQRHSTRELFVTRRYSSSSIDEVTDDDRRRIYIHGSGNKGCFMAFCLATKPNPP PITLLVANRERIKAFEEEGKKIRIYTDGKWFESGGVDIELLEMRHGRAITEMSSGPAS FDSISSQLRVTVTPEGREVSAKEAFGGECPPKPKASQRPIFNLITTIRSPQAARSMVE VQHRLGPDSTVIAMQDGLGVLEEVFGTCFPDPRTRPDFRLAFISHDARYQGKGLRIVW SGIGVCVIGPLADGSVSKVKGDRWRRTQHSQYLLDEVSSSPILDCREVDQRSSIVMRY RKLIIDAVIGPLATAYSCENKDLLSKPGAPEILEDLVTEAWAVMRHDVAGTYLQGVHN LY89DRAFT_238894 MFKRFEYKPLNNSKNEIRLLEILPADEDGDNPAFQGELVHATLE DESFIAISYAWEDPTLINYPPDSQCILDLTSDAKLSIGRNLTALLSVVQKTLADTYLW IDAICINQEDITERNKEVTRMRDIYRSASDVLIWLGPEENDSDLALSLMGMITHQNIT TNPSFEDFTENPEWPKWPEEDIAWVMENIDNGNHLPQWRAFNSLLERTWWVRVWMCQE LALAQNVTFLCGLGIFSRHDFYSVVLNICQEDFDWINDVLVPKGISLNKGRLDIIFDL LLLWNSADVSDLLQVMWLTIDRLATDERDKIYGILGLATDAERIVPEADYTLSISNLY RNLTKAMIEERGDLDFLSLVFNRPLASSNPPWMPTASRAEIYMFNTSFFEPSMRSFSF QAAGDTGPKVDFSIERDSLTAEGFVLDVVDGLGPAWSDSCKTPNMIQSSHKTHYPMPG ETFRALWMSLVGSINEVSDGFSLAPDIFGELFAAQCCNLEDKMNPKTDEELSEMEASI GFPRWYARHRNWGVAGKTIREWTQSVHASNTLPFLQVTEHEVWRDFDKMWKWLVPGRR LMSSRKGLLGLVPDSTQSGDLVCILFGGRMPFILRPIEEGYFLLGDSYVHGVMRGEIM ADFEEGSFQKTVFSIR LY89DRAFT_689087 MDIQEACIHSPLDSTKAQIRVLRLQPRLNPVPDMIVCSLAVADL DHSNCQYEALSYEWGDPKSKELPIVLKGVEVVVRENL LY89DRAFT_556367 EYIFMEDGSKVHKGHARLPRLQHNIRGFNWPPSSPDLNPIEKVW RWMKEELKNLDYVPKNKVDLKRELQKLWDRVDPRDFRHYTEQLTCKIEDVIKYKGMAT LY89DRAFT_723019 MADPATIISIINGSLGLAVKVGTVANDLYTLSKRLKYAELTLES VASECEVIQTAWEGIQTWAKKQPARQDEGQQKLFDRLNRSLLFGTMVFSKLEDDLKEF TAGQQSPSFFRRGKVVWNEASFAQHQDRIRGQVAAMTLLLQVINLPTTREQEELLVKE TETLRETDESAWTIIDCGNTSSFRYSDSQITRRSIDSAPAVYVRFDFEAELFSGRVYA RNLDKLKQDDTTNQGKQPIRNARAELVVHREYQVDHEDQATIFSVSRFEDPNSGILLD KMISRPQTFVNQMIHYPKQNNRREPPRTSLHAKQLAESRAKRNSIDMSTSRTNVGKYT GEPLSPFSMSSFGSFTEDNELRLLRERHWWREPTRNDVGQTDMQKSTETMDIATRYTS VNSTFVWKNTFAFTIVHTELGSSAQYIAPVQTFNYDLETLGQFAFSFFESKNFSGSFF KSGEEQSNSLNITAQLEGEIAKEFLEGALTYLLDKAAEYLKLDGGVETRIRKDKEKDY IISKYDKMLRRAKS LY89DRAFT_238953 MRGNMVSGTGLLQSLLVLGVASVKAANSSLPIVDLGYAFHQAQY SISNQSNVYYNFSNIRYAAPPLGNLRFAATEAPLNNRSAGVQDGSYGNICPQAFANWQ IGALSLNPGASNENEDCLFLDVVVPQYVYDNKASKSPSPVIVWFYGGGFTLGSKYSAG NPTGLLDQSLDTESKGQVWVGFNYRLGAMGWMNGPDFAVAGGTPNAGLYDQQMALQWV QDNIHLFGGDPSQVTVMGESAGGGSITHHITAYGAGNNTNLFQQAILQSPAFIPTSLK NTTNTAFANFLVFANVSTLEEARQLDTLTLQTANKKAQALAFYGTFSFGPAPDGTYVP DLPGKLLLEGKYNKNLSIIAAHNTNEAGHYTPPTASTSSNFTTYMKLYFPAISATTLN YLDTVLFPAIYNGSYPWTTPFQRLDTAISDFTFICSTRWLANAYGDKSHNYIFSVLPG NHTQDIPYTFFNGPISTVKNDTLAVNMQRYFTMFVENGSPNRLGLDHWVETGSEGKVM NFNATFTDTRVDTETVNERCTWWQKALYGDDWA LY89DRAFT_238950 MSATPTPRAYQLPPELWAYICEDLSRKDLRNLRLVCRYLQDCTA PALFKTVFLKVSLDSFANLQKISEHPVFSRSVRYIYYDGRILAPFLLNCEFQDWRQNY LDARMYARYNAFGSKQRHNVYLAFINHTSGQEYIRKGTHEKEMLSQYLSRLPGLCGIF VQSSEYNSLCPGAFTKPTNSTNPILLKTFTEPEFYRQQNDEYFWSLFEAATSNPQLSE LSGVGLDIRQWTLRANLSMELSQRVPNIRRLKLEFSGQPKDFSSFERVLIRLPSLESL RISFTYIDSSEYLEAPVRSNLLNEQMHWKRLRHLSLQAIPTGSQHLKTFLAKHSNSLR SLELGDIIFVPDPENPDAKDATWIDFILFLCDELTLNNVKFSGTLYAGRNEKWTIPES PFQYHMPFHPGNLRFRIEQYITHNSYACPFTSIEHRGKDSSGNGGFLESHSSRWKWTP DASWVLTLGSRIHVPNSPWEASAELLGSI LY89DRAFT_594956 MTPPEEDYRFTVRIPQRDDDAEEAYQANLTAFFLRVNDVRLQEH ARVAAGGLLKKELLNLFPTPGSATPNPSMVSSRGRTIKEWRGGPKYNKVSTIGKGAFA VVYKITAKFDGVPYAAKELEKRRFMKNGVLDQKVDREMDIMRKIQHSNVVQYIEHIDW HDYLYIIMEYVPGGDLGSMINEHGSLPELNVKAMASQLLSALKYLHTIGITHRDVKPD NILIYSREPFHVKLTDFGLSKMIDSEETFLRTFCGTLLYCAPEVYSEYREYDHTGKRN LRGLDKRSLPPQRYGHAVDIWSLAGVLFYALCGSPPYPVKNGTSYQELLNQIMTQPLD IRPLQREGISDNGIRFVRGMLHTRPEYRTTIEDLEDSSWLRGGQSFEMSMDEDEVDMI DGGYNNSQLEEGTSQLSINPAERQVNDSQESRDDESELTELQQPEIPSSFNSSDDFST GGESFAFMQDHANPTNGRLFGEVDVSALGSSGAIPLDRLNLPNPSREHIGFSQESRFS QSAPENAFPKVATDPRRYDPNLNPAKMPTDMPPPPPPPPHALPANQPRSEDDDRATRS SSLFGAESMVGHLNMHSPASAASPAADSPIASTEPKDVRDPAVSLRRPREEDYDDDAT WRPADLPAKRQRRSSREIELQVPPSTFWDPKDKSTHHQNYPRMSTTDFHAYQQYALSK GEKFVPGQKTFETTMQSFRSSRSPSVEPDATTRAYSEPTKEEGRRMLMKRDERQLAEE ISRATEPIAKGGPVREEYLPVTMRSLGAPEAPLATKIIPGAVYPSVGNDFQPPKRIIA KLSSTRDSVLPTINLNITESVTSWGRAAKATVHYPQPKETKIPKFAFKIILFKPGFYT DLIGGHSQEWTEADQDMKLYISTKASQGIWVNGIHIPSHDVKDANGVSDPNAVSKFWT ELKHGDEITIWHSDKTPYKEEQNNRLRLECYWGQSKQPRRGEAVEFVDEGDFLDELEQ ACSSQERRILKEKEERHAEEKKIAKHDKENEKQARQGGSRQVDMNQSFSDTPSFSA LY89DRAFT_238991 MGLIVCRGVEIPRLSPGLVDVDIEKMVQTFDNFSIQELDDLQYI DTIPFNGVNRSILAFFSGLFKFLERMVHKSESTRCFEEGSAAYSRDLAMSMATSPELL GNEVPNRVGSLSAEIFRHDARNADYRCFPRKLQEGKWTFMLISDVPDGLENSTKYPLW RYAFITAAPSHRGSKRKAPGFGFEGENCPSSTWNRLPRSTPDIVIADIGAYLGSIIPS EAMGVKDTRQKVLEAWQAAMWRKIEVTYRRRGGPDRKRTSWKRPKLCSRKEVESWLGS SDFDEAKQEKWRKDRLEMRS LY89DRAFT_239122 MSETDAHNQFPFITTCLATAFWRFTAETSDQASLVDTHSLRMRS YDELTQICPGAGVIDVTDLSKLRYCYLKDTVKPMPASELHLEMQEIEGIVNLDLIGSR DLNSLWPGSWANDLAEDDVLDRPKLATNTSVPKLRATALAATIDRLLSARRDELSLII DSVNIFPGFWPAFKDRLYASPDLATNSKNVGALLNIALANDK LY89DRAFT_700440 MSPPAIIAPSILSADFADLGAECSNTIKRGATWLHIDIMDGHFV PNITFGAPVVTKIRSHVERPTTKHGKGTFDCHMMISEPKKWVKEFQKAGCDLYCFHYE AAIDSTAAESPESTSELKTNPRELIRYIHECGMLAGIAIKPETSVDVLWDILASNQEK EVPDMVLVMTVHPGFGGQKFMASELPKVAELRKRYPELNIEVDGGLGPGTIDQAAEAG ANVIVAGSAVFGAKDPAEVIAKLRDAVESRRGKL LY89DRAFT_689095 MAVTDFILTPWAIPVGLVLFWLVPYLTSNTALQSIPGPFAAKFS NLWLLLQARQGKRYLSVDAAHKKYGKLVRIQPDHVSVADESAIQEIYGHGNGFLKSEF YDAFVSIQRGLFNTRDRAEHTRKRKTVSHTFSPKSIGQFEVYMHQNLDIFVRQWDTLS TNAHGQFAKIDCLHWYNYLAFDMIGDLAFGQPFGMLEKGKDITEIRMTPDAPITYAPA VEVLNRRGEVSGTLGCLPQLKPYAKYLPDPFFSQGLAAVQNLAGIAVARVSQRLEGKQ DVERVDLLARLMEGKDEKGEKLGREELTAEALTQLIAGSDTTSNTSCAILYWVTKTPG VLQKLRKELDEAIPEGVDVPSFEMVKDLKYMQKVINETLRIHSTSALGLPRVVPPGAG IELLGHHFPPYTVLSVPSYTIHHSKEIWGSDADEFVPDRWDNLTEKQKNGFIPFSYGP RSCVGRNVAEMELALIVATTFRRYDFDLYQDKLETREGFLRKPLECLVGIKKRTNI LY89DRAFT_239168 MATIAVDESLSEDRLDNGAEGVPAHEAHFQSPEHLYSYGRSPMI NMMPLSAVQYYCIARGIFQNEQWDKAANSAFKLNGRHVIDAATLKATWGGAWKRNKMQ ENGNSRNVGVVRNRLGTIRGAALEIVIRVAFSTSLDNLPVLLDSARKLPDFWPTLKAR IYSLPHLIVDSATAGQLLRTVLKDERTIDLSPFPLSEEQVSQILCHDSMNESSLIILS LSGNQNIRETLLSEILDRHKALEELHLLNTPQIPLQTKLELMSRVEGIMLMDSELLAR AFLCTEVVEPSMAMDLKSQPTSFHYVQPVVSQITFLVCNQMTERLQRLPDGGLDVSKS NVDDPSGRRRQFTTTLSLEAINSDKLRTLHGLAKYIAWTSTFGAWVDFGSDTHYRGRQ LALIFSTSTCTKNREYEATTISSDLYRFKSL LY89DRAFT_625661 MSSEPLVTHIFTADPSAHVFNGRIYVYPSHDIATPIQDNDNGDQ YAMTDYHVLSMDAVGSPVTDHGVVLSLEDVPWAGKQLWAPDAAEKNGKFYLFFPARDT EGVFRIGVAVGDKPEGPFKPEEKPIEGSYSIDPASFVDEDGEAYLYFGGIWGGQLQCW TTGKFDKEAYTNMEAQSGPALLPRVAKLSSDMLSFTSPPTELEILDPVTKKPLDSSDH DRRFFEAAWMHKYNGKYYFSYSTGDTHYLAYAVGDSPVGPFTYGGKILEPVQGWTTHH SIVEHDGRWWLFHHDTSMSGKNHLRCVKVREIFYDKEGKIVLTPE LY89DRAFT_239181 MPSLTLDTTTSPHTQEHGQTQSTSRSASPVRAPPYSPITPTLST ARPIFTHTSQPPPAPIPQPPPETIDFSTNPDVLALKATMSILQQQKKTAEQDMKLLAD TKERALRDPERFATALTEGRIHTRGDTLFNPSNEADSDEEMEDADDLGQIESGRQAVA RATNEEKWKPLPTPQNVVRMPAVNWEQYGVVGDSLEKIHKDQIARPNEGIPQKMGPDG KFQAGSEGQRRDYLGIAAPYNPLKDKIEKSGTKKSGKR LY89DRAFT_239182 MSDSYSLLRIHKNGYLLLFVFSMALALTSVSEHEETVTVNDVPK SELQFHGLPRRFVGFLVRVCLIFHRHTPEYALIVMLRRQNMLARISLNRKS LY89DRAFT_239201 MANKNRRTAAKYSPGTSKLIIATKPTLRTPREVQQVQSPKLINT EKHYKRLQGANADITVLQTDTNLSQYRITTRSFSDGSTQSQIDHPYQEKGYDFITNMT EITEDARKGCLDARKGCLATRWVEIVVEVEGTGDVNVAILRELEKLRFILKHITKLAI RIKLPALTKFSGQSLASIATSQAYSIVERIVREVDEEMILVDKMNVAGEECCQA LY89DRAFT_239218 MPPKTEIIRRRALSAIFRREVYSGDESQSRLLRLPFELRNKIWE YCFTYPNGIPIDCQIQNDRRFKRKGSPWPGASVLALLFTCRQVYVETIDMTWRACQFR TDAISVLHLESVVIPRRRDAIVNLSVQWDYHVNKAPASREQWIKVWEALSRLKSLKTL RVLVIGGWPVNTWRREREVLFEDMRKVEGLESFDFSLQAYTHGCRLDVPWKDGHWLD LY89DRAFT_239290 MKTSFVLYCAVALSGSIQAVLHRFPIHEHYVPTPSFAQRFKREQ VPFSYQDFNDDTHKIPFKDVAIVLYGIDATLGTPPQPFLMSIDIDWDVLFVPSIACAS QFCNSRKLSRFDSSISSSFVQGQQQLTIEYASMMSHGAISNETLSFGGLQVERQPFLE ATDIWADGYFHWYRGYSGVIGFQPRFDTEAVVPFSSPWQTIVESGTLDRNIFSIRLPN GPHDFLQPRTNGELVIGGVDTQYSDHNFTILPLTPTTPDSWSVEARSVIWGDGTSLRQ DFDHCVATFSISIPAIRLPGAWSHRLYELIGAREHDGFFMTFPCQNREFLPNLTIVLG GNEMILTPYEYSLESVGQPGSGLSCMVGFDQSPDNTIQLGWPFLENFISVFDQDLREV RIAKSNQK LY89DRAFT_556551 ILMTMVAFSAIALYNVLELNVIIFATFRCRKGLYFWSFIVATWG IVPHTVGFIFKFFAVTSVWWAPLVLVSVGWFAMVSGQSVVLYSRLHLVVRNYERIRWV LYMIIFNAVVLGIPDMILAFFTNRPNAQASVVNAFTIFDKVQVSIFFVQECIISGLYI YETVRLLRPAEDIGRNPLRKLLVHLILVNVLVLIFDATLLGTEYSGNWEIQTTYKPAI YSIKLKIEFSVLNRLVSIVK LY89DRAFT_239324 MKAKESWWLSTFYSFCIQATVRRVLLQLQNSPRSELAAKQYLQL AVRLFATISANYDPLMRDYASFNPDTSAKGSRVSDFRDAQLVIQQSSWASKGIDCSAI YLMQLFDDDWSELAADDIVPIYDEPIPVPELSSPFADPCVDLDSLGASNWAQDFGLYQ SFSPKPYRPSSLSSMESVDNFTRITFSLPAADTKNHIPQERTLHTCDYHLCTVPSFPT LAGLKSHLRDHAENVVRQWKEKQPSRCLWARNCKSKAIFKTERIFRTHLENVHISPIL CTVKDCQHRKPFRSRYDLERHITVFHKEASASYLYCPCTKCDQSPIAFIRKDKWLLHI QTCHEDTECPFDDCPGGINGDVCLTHSDVVTHIRKDHWNDGKKQAFT LY89DRAFT_654925 MPTKTLPTLLPYRDAHSTEVKYTAPPSSSSSTHLYNTPLPYDSD NEPLIPNPYTTLPAPLSDYDLAHFTATGRPAPAFKSPSGLASLGGHMFTAPQLLPQRY TDDSIIPAIIVDVIPSPSLHPQKKARKNGLLGKVLRPREGDGKGKGVMKVAFMPRREY LKYFARGVQGEYIGTEPYRRWSEEELEREFGRFKPACLEKKKGGRKI LY89DRAFT_595324 MVSTNDPENIQALLATKFHDFDLGPQRHEMFKEMLGHGIFTAEG EKWAHYRHQLKPQFAREQIGDLEATNRHLNVMLKVMPEENAAGWIESVNLLPLLYRLT MDLSTEFLFGQSVNSQSNTLYSQESGNTKDMQEQIDFAEAMVYAQEFISWRFRIRGFY LFVSKKKFREACATVQNFVSRFVDVALSPDAKHATMTARGKPKFTLLDELTKETRDPI ELRDQMLHVLLAGRDTTSATSGWAILLLSRAPAEFAKLRAAVLSHFGTASNPTNEMTF ESLKACKEMTYVIYETLRLFPLVPLNGRFALKDTILPVGGGPDLKSPIVIKKGENVGF STYVMHRRHDIWGEDAEEFRPSRWEKRKLGWEYVPFSGGPRVCLGQQYAMNNIAFVLA KLVQRYDAIETLDMEGGLKKGLSIVMAPKNGVMVKMHRASE LY89DRAFT_595115 SLQHTFPLFKLKGSVAWIQLVPSSDCHFECIPSNFERSHKGLPY ARLDIFAQSFLDKHELVDLVDLVDGMDLAPEWGIEHLQLDGTVDVAWAERKNEAIRTS VPLTESSCFLEVNTAPWERREIWERIVGRKQDRIGPEIPKEFWATSFRPKGDRDPRLP LEKGAFDSLKVFEWSGDPGNYDVVVVNWDQVAET LY89DRAFT_239350 MQILVLFAFHALSLFHAIWNQTFLIRYEVLIFILDIVRQKTSCL NLLVRLYFQKTISTIFIDKLVKKRWT LY89DRAFT_723033 MKRKADTQSSGQNGKGILKKRVKTALAEEDVQKCFRKGLFAKDI LLGYAKDYADSEPYKHSVISSLINDDLLRKVRNEIRENVQFTPKETDIYRIHQSGDLA NLDGLEDGALDKLPSLLKLRDAMYSEKFREYVSTVTDCGKLSGRKTDMAINVYTPGCH LLCHDDVIGSRRVSYILYLTDPDLPWKEEWGGALRLFPTKTFEDDEGVKTITPSPDYS KVIPPAWNQLSFFAVQPGESFHDVEEVYHAESKEQLEKDGGRIRMAISGWFHIPQIGE DGHIKGEEEKWGKNSSLKQLQGNPDEYDFPKSQPVTIEFPSKPEEEKGLEQEELDFLL KYMAPTYLTPDTLEEVAERFMDLSSVALDGLLSNKFSAKVKTYIEVQESTALPEKTSE IEDGQWRIAKPPHKHRYLYMQPSLSSSQEENADENPIKELLEVFLPSKEFRKWLELAT GCDIENFDILARRFRRGLDYALAAGHDGEPRLEISLGLTPTPGWGADEEEEEEGDEDA KQSESSDKKKTKEKQKEEEPEEEDDIGGHEVYMAGEDEDDADAAIYRAASGEEDDNIL FASPASWNKMSIVLRDSGVLKFVKYVSQSAKGDRWDISGAFGVKITEGLDDREEEMVP LPESDEEEVFNGFPDSDDSDSD LY89DRAFT_625671 MSSFDVASARERFPALKQPQVFFDNAGGSQTLGSVIDSIVAYLS KTNVQLGASYAVGQKSTALYGEGYAAAAKYINTSAENIVLGSSTTQLFRTLSTALSFP PGSEIVISKVDHEANIAPWVSLAQNTNMTIKWWTPKTKENPKLLASDLKELLSEKTAL VTCTHASNILGTIHDIKAIAEVVHTIPGALLCVDAVAYAPHRKLDMQELGADFYSFSW YKVYGPHISMLYASKKGLEAVKSLGHFFNPSATLADKLGLAGSCYELTASIPSVVEYF GPKQTETWQSIVKHEFDLQSTLLDYLNARSDVAICGEKEPDSSKRVSTVSFVVKGRKS RDVVEAVDKATNGEMGIRWGGFYSNRLIEEVLGLDPKDGVVRVSMVHYNTVDEVKQLL AVFDQVLGKA LY89DRAFT_558910 AWAIVTGLTDGIGLNYVRELAELGFNIVVHGRNAKKLDQVHHDL KYSYPHLQFRALLLEASTSDLKSVNAAVDGIRDLHVTVLINNVGTAAKNDGPFLEPFV QTSAEDVDLLINVNARFPMQFTRAVLPLLLAHGGPALIMTLGSMTEYGIPYLLSYSCL KSFDLAFSRAL LY89DRAFT_239356 MTQHRGQNTGQDINNKLLLHNSNNSAHLLNIFTTPQNHYYLSIR KLQNSTMASKNVDQKSDFSTSILRLKHLILSDDDDRATIAHFQAYRRLGGHPTKEMYE KYLAAIENQQAECSISINRPHEQNNNINSVFITAQAEGATSHGPIHITSHPGKDTTDQ CTEPPASSNIVWDIGCKAKDAVVFVASGVAKLFWLTK LY89DRAFT_739396 MFYAGVDFKTRGQAVADSTDPHLTLRRNTVDLPTAFKREAFLKK KTWKPMISKGARIYRLTDELQSGQQLVSTLLRGWPTDLPILVNDYRHARSKFGEAMRK EVKRRIEQEAMTATLKRMEAKVEALQNLASPSLKSHAGQVIVDIMPWALSAALWNYVV PSFGQWGFYWFFGGSS LY89DRAFT_786830 MATAAVQHAPGNTVDQVIEPLAQENKLAKHDVVTELNYYNDPGD GSLPAPVYVGQTNVERPHAAHKIVIHDISGDEDKYTLDSHGFQFVKHESKEKDFLDNE KIKAEYYPEVEQLLKDFTGASRVFIFDHTIRRAPTDSRSGPTVQRGPGKRVHIDQSYR ASEARVKYHLPDEADELLKNRFQIINVWRPIKTILRDPLSLADAHSVPDSDLVPAALI YPDREGETYAVKFNPSHKWYFKYAQRPDEPLFIKCYDSVDDGRPRRIPHSAFSNPAHD DEPPRESIEVRTLVFYDY LY89DRAFT_759008 MASSSHAGADDEPLLRPSSSSDSIASYGSISKSQNGDENGSISN GNLLDRSVENDVLPETAVLGRNLGWSSAYILIMSRVIGSGIFATPGAIMRSVGSIGIT LLLWVAGALISWLGLAISLEYGCMLPRSGGDKVYLEFVYRHPRFLASVLIAVRSVLLG FTASNCIVFGEYVLYAFDREPSQFEGKILALGLLTTIVIIHSCFLKTGIFIQNVLGWV KIALAVFMVFTSLFVVLFRSNESTESSTIHIKTGGSYIWDGSVWNWGVISTAMFKVSY SYAGLANVNNVLNEVRDPVRTLKSAATTALITSCILYLLVNIAYFLVVPIEDIKDSGE LIAALFFERVFGPKVGRVMLPLAIATSAAGNVMVVTFSHARVVQEIARQGFLPFSQFI SSSKPLGAPMGALITHYIPSFLVISIPAANIYSFILDVEGYPGQFFSIASSFGLLWLR YKRPDLQRPYKSFLPAVAFQILHSIAVIFAPFVPREGLNWRQHLSEVSYAFVGTSIIL FGVGYWYFWTVWIPRRNGCTLEEIEATLDDGTTVTRLVHVPIGSKPVPTVGRPTPEE LY89DRAFT_689105 MAPRILIFGTGSIGAAYAYILSRAVPASNIVTVCRSNFEAASQN GFTIHSSLWGENQKVQPVVVRSVDEAAPTDPELGFDYVLITSKALQTVPSTPELIKPA ISPKTTIVLIQNGIGIEEPYVKLFPNNPLLSTVVYLPATQTAPGVVLHKELELLHIGS YPASSNTKSASKLADLLTSAGASSKVHEDVQFERWSKLLINASWNPICALTRSRDVQV LRSSAEAEEYVRDVMLEIAAVANACGYQEINAALIDFQLGRAVARDLPGVEPSMMADA LAGRKMEVEAIVGNVVRIAKERGVKTPLLRSIYVLVSALDSSFGSKA LY89DRAFT_723039 MELQIHDFISKLPEECKSAVVRYLAGKDAANLRLTSRAWRDTAA EGLFNVGEPYGNCEECITRGVLVFRPHRTSSYFINALSKWPWLARHIKEIEIYLPDKR VQEMVAALDHRTEMWKEELDSSWPKYRLQNLGICRKDLYRMAESFIDLFSHLTHVESV SAFSERCPFPESEKELCAFWDYLCPERFDPEPSFPHRYQDEPAAIHLFIGIFRALKYL KSPINRLSLEHVPLSVFEILHRHQTQQAVDRSAKGKLGLGKALVVHPFESTLSKVRDL HIGLVVDSVQVGPLLPVSKQGAFFLKDGLGFMSSLKSLTMIWSDMDDASLFGIKVH LY89DRAFT_759009 MSNETPVGLQETQNPGDTAQPVEDGGVRAWSQVFGAFLIFWNIW GLPMSFGAFQSFYTLEYLPTYSSSAISWIGTLEGALLILLGVVSGPLYDLGYYHVLLY AGSFLTVFGMMMLSISTEYYQVFLSQGVCVGVGCGLLYVPTMSMIGGAFKNKRAIAMG LVTSGTALGGIIYTIIFSELISRVGFPWTVRTIAFVSLATFAIALPAILGPRVKVSGP ARSLIDPTVFTDLPFLTFAAAQFFIFLGYLVPLFYIPIFAQVAVKTDKSLALYLLVAC QAASLFGRLSASVPAHYFSVMLPWVASCGVSGILCFCWIVSDRLPAFIAFCILYGFFG GALIALPPSIFPVLCPDPNTLGSRMGLSWTTSALSFVIGTPVAGAIIDLQHANFLGVQ VWSGVTLMVGTVLLVALWIMLYRSNGRVLI LY89DRAFT_759013 MKRSPCPTILVIGPSLVSASTFATNCTIPSLTTTIVTAPNSRGT LQILWSSLFTIFICIWTVQHLNVPGQRDGRDKNWRGDLKWAWKRFWTKFKWMLFSLIF PEFLLAKAVADWMAAKNTLKIERAYEDPLEWTLAHAFYINMGGFVTRSALRRNDLQGS KLLHLDITHVEIFRLLSYIKKLPITKEEVEDKSKADSLVKASAIAQVAWMVIQVAART IEGLPVTQMEITACSFAACCFITYMFWWNKPKNINEATDLGLNWVEISERRTSSIVSM RILQIMLMYEWSSMAHINMSLYDQPPRNDSLLRTQRDEHRTQFYFSQGLMFGGIVLGA TQCAAWKLDFPTKVDRDIWRFASIASASVVILFYALSLQEINSRWPDAVDRNLSKRKS PIFVVLSLLCGLIYTVCRMFILFESVYSLFHLSPEAFKTTWSTNLPHVN LY89DRAFT_700450 MQFSSLFVAAAALAVANAAQFTMTASQFVSVKTGTPFDLTWSNA TGAVTLLLKNGAANNLQTVETIASGLTGTSYSWTPEATLPSGSYAFEIDDSTGPNYSI QFTLAGAASSSSSASSTSSGSSTSSSTGSSSTGSTTTTSSSSSATAKSNSTSSTTSGS STKTTSGSKTATTTGSSTSSTSSPSSVSSASGFASPLAFVLLAVAAIMTLN LY89DRAFT_239475 MQLSLTFLTAFASLAMAQNPFLYNPGDLTSITAGSSFMIKWAPS TGTTDTVTILLRQGNPAQLTTVETIASSITNTGAYLWPVPASLVNGALYTFEIYDDLN HDLANYSNQFSIVSTNTVTSVLAAPASTITTNGHVTTVYATATAAAVVGSSSVSGSGS GTTTSPVESPVATKNAGSRREVGGVVMGVVVGVLAVL LY89DRAFT_239477 MNSSTIRRLVQGTKTRIKAANTPRDDILKAVEYQKLLSLVDELD FLLASLAEMDVYAMKDFEAIAGVKEQRKGLAEVLRRLLSDSAHANSDGRAINRWRYKK KLHAVSKELVRGVEGDVLRLREVCSRASEPASFSTAVENNQTENSIYHRTVETPPPNY FDTSVRNPRPETSVELPTTAQSPTIIPPSHPPTTNSNIAISSTTTHPRGCILILSLVA TFVLFSCISGLYFTLNKSLGYSMGDAFTLAGYIIAVGTLVSSAALARHWPSCRCWKGK DRACGEVGDG LY89DRAFT_625684 MAPTIILIRHAEALHNITKDYMIRDPPLTDDGLKIQCPALSTAL QALPLAQEIELIVVSPMRRTLQTTEASLGWLLNRGFPAVARAEWQENTVNNIDIGRPI SELVKEYPRIDFSGVDRVFPAKEGLYEFSLESLTERGVVARKWLKERKEKVIAVVSHD GFMRVGISHRKFGNADYRIFEFEEDVNGRDGLKLVEWDLTENNGGGLGTSPKGTFGWL PHDFKYMPRPPPLSVQEELLGQAE LY89DRAFT_595005 MHLIYQEAAEVAVWLGDEDKESLLAWELIEDFERCQGDVNATRD LINISRERQFAGLLSLFRRDYFWRIWVVQEITCAQKARVHCGSKTISWSNLHRIGNDM ERLRETLRDTIYRNKPAALFSLMTGGPKSLKVASDETFVEEKAPPLFDLLQLHMSKLS TDPHDKVYGLMGIASDGSSFGPIDYARSPRATFVHTACCIIRNTKKLNAICLRQDDDN IYNLPSWVPDWERRNLHPSHRVVGLHIRRPPFAAAKDTHADAKFKEDKEVLLTSGFVV DIITTAAKPLYLDGPESETTPTLIAFHNWFTVYTNNVREKDLDVFARTFCGGAWYPQY SEFEKDLSQRLVFFFAEYHGIPQVLEAREYAMVSSAALRMHAKRFIVTRTKLAGLAPQ EAQEGDKIVVLLGCDFPVVMRDMGSYWKLIGEVYVDGIMYGEAMESFPSSIYEKCTFS IR LY89DRAFT_689111 MAESGPISSPHLGKLCPTCRNLFDTWDEIVEELESYFQFGRGGK GSYGLAFHRDAIAWRIEVENGCIFCIRLFDSLKEEARCKVLESRTKGELQVRLCLWAL AWARKEERAKRDYQLWVTIKRAGDETRASPQLDGGVTLVPRPADAIESEPELKLAPST SSDESLAFIKNWIQSCRTDHPQCHTAPHFFRLPTRLIEISTQGLRLWTPNDVFGMLDY VTLSHCWGAMETLKLTKNNIQTLSSKIPVHSLCGTFLDAVLVTIKLGYRYVWIDSMCI IQDDEDDWRRESGLMADVYGNAVVNLAATASKDGLGGLFRERVVSRISKQYVQTKSQQ IFEIPDERLYFRCLDQSPLSQRAWVFQERFLARRTIHFTAEQIFCECRRHIACEGAPG GAAVRPADPDDIPFPSERKLGDPEEWREIIQLYSRAKLTYSKDKLIALSGVARQFQSV TGDQYVAGLWKTDLEIYLCWRVESSESLQPIPDPETSVYQAPSWSWASSNQAINWYLY MTPLKNTFSNDALCRLVNFLDIHLEPVGPDPLGQLQAAHLDIETGPLIRGNVIASLLN DFDQPFDNSYQTELDGKTFLLRGEGQVSPDHKIEYESVADSVYFLPLSVDNSGDEVMH YKTGVTMYGLVVTKADCDFPGVFKRFGAWEIIFNVESYSELMGLFLMRPQSLMDESLY QEKFEKEEGGIPRYVITLV LY89DRAFT_723046 MADPAKTVAFIGLGVMGYPMAVNLRKGLSAEYTLLICDVNKDAI AKFQKQMEGKGPVEVVENGLEAVQKANMIITMLPNSSAVKAVYLSPTTGLLPGALSTA SSSSSSSSSSSSSSGTPHPKMLMECGTIETATILEVASAVKNTTLFSGTLTFVDAPVS GGPMGAEDGTLTFMVGAAQTADFETVKEVLAHMGKRDAVFHCGDVGAGTAFKIINNYL SAITSLAASEALNIGAKMGLDLKLLTEVINVSGGQCWVTSKSNPVPGVQENVPSSRGY EGGFRIELCEKVLRMGAQLAGDVGARTVLDGPALGAFGEAMRDERYRGKDARVVYKWL NESERR LY89DRAFT_239627 MTKSPHALSLPAPSEKQTYVTISALEAGHLTLPEHLFVTNAAPE KRTTVPSLAFLIRHPSPSKILPSQSTNLIFDLGLKRDFTKYRTAQQHHASQRQPTITS LDAAASLRAGGVDPEKDVDTVILSHVHWDHVGTPSDFPNSNFVVGSGTLHLLANGAGP LYPAELFNADELPFDRTYELPSSNSSDAGKAAKQQTAHKWEPLADFPAVVDYFGDGSV YVVDSPGHLIGHVNLLARISPTKWVYLGGDCCHDVRILTGESDVAMYDDGRGGLRSVH LDTDAAKETISRIGGLLKRGEVVTDGGGEVDVEVVVAHDKGWSEGNKGRFLPGHL LY89DRAFT_239626 MASTLPKLMKAQFLDDFKKPYELRSTPLPALTSSHDLLIKVDAA SYCHTDAVLADGLMPPYPPSFPHVGCHEFAGTIVALPSDTSTSSFKIGDRIGVPGRGF HPCESCFECLSYSDPESDPPGFSVYCPKAKNHGIGADGGFREYAVVDERQVALIPEAL NAVETAPLMCAGVTIYAAIKRCGLKPGQRVGILGAGGGLGHLGLQFATKMGLKTYGMD NSEVALQLARGLETGAKIVDVRTEEAEKVVQQIGEEDGKTIRGEMGLDAVIILPESQK AFDYGINLLRNHGKCVVVSFPDKGFHLSAHAVVFRDISIIGSLVGSNKTLNEMLQFAA KHNVKAIVKRYPLSKLNELVEEYHKGGGGKLVIDMSMEDSS LY89DRAFT_595433 MLDAVESSTQAPAPVKKAKRFLCKHCQRRFARLEHLQRHERIHT QEKPFGCRLCGHHFTRSDLLIRHERLTHNKDASADAQRNEYDENEDGSSQSRPNKRRA ATLPYERHSNGEISNSPQGISAGMRHTSSMTDTNFTSSMVANQSDEYSLAALSMAAEY SSLHGAFLTPPVPEHNTSAYQPTPNQNEQIEMLSDPMALQNTENMQGLGLEESLDSLA AFLDNEPLSSYHFSSLTSAEQLPFFSPESFSYANDFLPHHEAQVVHGTRLNHQNVEES NSFSLFGSRLPSLQPEEPRSRPDHQTTQSRPFYEVSSDDRQCIVSKLEEFASTLPDDF LLPTRLALCRYIAAYVNGFHEHLPLIHIPTMTIEGCAVELVLAMAAVGAQYCFEGEKG VELFNASQAIAVQRIRRRDARLVNFHRRAESEMSALSRGVDGTSNLGSPNMDTAVSGP LGLPSEPETSATIEREDLIQTAQALLILMAMATWAKHKEILREALAIQSILATLIRDD GLKSLTTPEDVSWEEWVRIEITKRTKLIVFCFFNLHCIVYNIPPLILNSEIKLSLPSS AAEFKAQSAEAWREARQNGLIILEFQEALRRLFSRGGKDKTEWNSSLGNYVLIHALIQ HIFFVRQIAKCRYDGPDLKSEEVTSLEHALRNWQIGWKRNPESSLDPTDPNGPVAFNS TALLRLAYIRLNVDTGPGRALDTRDPIQIANAFRESPAIKRTPKLVRAVLHSAHALSV PVKIGVRLVAKTQTFIWSIQHSLCSLECAFLLSKWLEALSQSNPDPPITEDERKITAL VKTMLDETEFAVPSDMPLDSAATRKFLSAGVLRVWATIFKGAQTWAIVDVIGSSLNIY ADMLEPN LY89DRAFT_689113 MSSDPKFDALHKQLFDEGLKMRRSVVGDEYVDRALANGSTEFSR AGQELVTEFCWGYAWTRPGLDKKQRSLINIGMLMALNRAPELAVHIRGARNNGLTELE IREAIIHATTYCGVPAGVDAMKTAEKVLNDMAEKGEHARELGGKDPKA LY89DRAFT_654964 MGSIKENAIGFIGLGAMGKPMAGHLANKLPAQTRIYVFDVVESV VDDLCAHFPDKVMKGANAKDVAEKSETIITMVPEGTHVKAVYLDPQTGICQSDISGKL LIDCSTIDTPTSHLVKDHIAEHFPTASFYDAPVSGGVVGAVKGTIAFFLGASDTDPNL PRLTSLLQMMGKQLIPCGGPTFGLAAKLSNNYLSGTIAIACSEAMDMAMRSGLDPRVL AKVYAAGTAQNTICDRFCPVPGVYAEAPSSKGYKEGFKVQLMRKDFALAVEMAKRVGS KNVLGEVGLETYTGVMNDPRCKDLDSRVVYRYLGGDEDWKAKIDST LY89DRAFT_700458 MSNVFIKQTAPPSPPLEAIPATVQLHVLSAGHLTLPERHFVHPA SEEARSTVPSLAFLIQHENIETGRKTRIVFDLGLRRDLERYSEPIQQHAATRQPISTD PDVVKSLKAGGLTPDDIDFVIYSHVHWDHVGEPRDFSQSKFVVGNGATDLLQGTSTSL RGGHSFFESDLIPHDRTIELSSPTASKFSNINEPLSQKPGVPNFHQQWKSHLHLPHVI DLFQDGSLYIVDAPGHLPGHINLLARTGINESVYLAGDACHDRRILRKEKTIGTWADA EGYTCCIHANREKAEETIDRIRELERQGIEVIFAHDVEWEQDPKNRDRQHPYAQNLPP LHDSLLSTMATPKPSQEEQKQKFISTLGATSWNSSWASVSSLSPEIFAASIHLSSIPL KKQHLHPKIQSLISLTVSASSTHLYTPGIHRHTKAALENGASPAEIMEVLELTSTLGI HACNIGVPLLMEVMKEEGLYEGKFGAASGWEEGSERKRLREEFTAKRGYWHEFWEDFL KLDPEFFAAYLDFSTVPWVRDARDGKAGGVLEPKVKELVYCAFDAASTHLYQPGLKLH MRNALGLGASPEEIMEVLELATLLSLHTLEVATPILVEHMKK LY89DRAFT_700459 MDASFTDEKAQQSFHENIEDDSPAAIEYRKKEAKLLRKLDCFIA PVMMLLMLIMFYIFYILAEFPSSILVKRLQFNRVIPAITFCWGLVCMCTGFVQGFSSL IVTRILLGFFEGCLFPSMTLFLCNWYKREELATRIAFLFIASALSGAFGGLLAWAILY MDGTAGLPGWRWLYILEGIITIVWAGICIFVVPKNYETAYFLNAEDKAIMRQRAEIME AYSGGSGHYSMKDIKEAAKDVKSWVHGVIQIAVVTILYGFGTFLPIIIKNGFHYSTVQ AQYLVIPVNLWGAIVYAVGAYLSDKYKTRFLPLIICAPFGIAGYAILLTKVSPGVQYF ATYLIATACFLCTGTNIAWLSGNCAPDGKRAASLGILLTLTNIGGVVSGQIYQTKAAP KYTLGHAWSLGCLAFAWCGWWIVRAIYTRREKAKDKALAEGVVIDHENYTDRAPDFRY QF LY89DRAFT_594790 MSVDEHTYAPYVKLAGGGILIFVALIFVRRRWFSSISDIPGPFL GSFSVLWQIVHALKGHTEEETIAEHRKHGDFVRIGYNEVSIGHPDAINEVLKSQMNKG DWYRIFSLPDSRYVNQMSEVDAKRHITKTKNVAPGYAFSNVIKAEPYVDGALQVFERQ LDTLSEAHQPVNFDEWFNYLGFDIMGEVTFSKQFGFLEQGRDIGNAIANTRALGIYVA IMGHFWWAHDYLLANPLIGYLNLQPTMHIFDTALAAVDARSKNDKVRKDMIEEWITMR KKHPDRMEEKEILAAAVANIGAGADTVSATLQALFYNLLRNEDTLKQLREEIDTANLA EVPTHEETQKLLFLQACIKEALRIHTPVAFGLPRVAPKGGVTVLGRHFDEGVILSVNP WVIHRRREIFGEDADLFSPTRWMDPIRSREMEKSLIAFGAGYGQCPGRHLAQMELSKT TAMLVRDYEIRQVHKGECWKFETHFTAVPYGWPCYVTRRRKP LY89DRAFT_239679 MQVSTTRQLVKRRTRSVRSILSSLGSLQLDDILQHGHRYHGSDS VLLPNDESEQDRLDLQHHILKMCLDGELTVTNLPTSSQHIFDVGTGTGIWAIEAGDKY ESAQITGVDISPIQPVWVPPNVMFEIDDITKPWHRTKDSIDFIHIRNMVGSIRDWKAL FAEALEHLKPGGRIEVTDIRTKFECLDGTFEERGKACKEWADTFHEIAKGMGMDFDPT PNVPDWLKEVGFEHVHLESRIIPVGPWPKNKKLKYLGAYYLSHMLDGGMENYSMMLFT KAGWEATSVHALLGKVRTELKDPGMHTFTRACFVTGQKRL LY89DRAFT_239696 MTNQTHPYGPLLVTLTTAYDLRWSDKGSGGKKDASFWHAKSQGD LRPLGTVALQGYDDPNNRRAALLIGPHGQASVAPPIRYDQVYNDKGTGSNRDGSIWRP VAPASYHALGDVVAAGYNAPSLDDIWCVRSDLVTAGKFSDLVWDDHGTGGDNDVSIWD VQPRDAHFEADKVPFSGDTFISANNYSAPNNALAKALLVDVPAHIFEGGSREAPRLTS KVAPSASTALELDRSVVIPFTCVFPANDQTSVDLIFNPFISIEQWGNWTLALFDNNTV SVKQSSSQEITTGITNSQTETFEHSTGVKISAEVGVELVGKVTTELYYQFTYTTSAST ETLRSQTITHTLTTPPQHAAALWVKHLAYRAVRLADGSIIGQDLPFDLPVTSRSQFPE DELGGCLCGMKLQAEQSKLYRF LY89DRAFT_239668 MLSLRLRSPEELRAFYSIPLQGSQKSQQVLNIGSSVTIQSESFV SHSVYTRSYLSRDSASYLGGRSLETLSSKSQKTIIIEEQLEFENNQEITPRQDSAIPP LEESEEDVPWLESLGHHPYHNLEGTKKIGRIGAWRLKSRRDNSSETAGFKNPAAFILY DNPKLRLDKETLSRIDTPGVTEDEIAHMKIIEDDMDDNFSLVGSPTSSLAPSDRLIGH ADNSTRTLFLDFGVDSNVRTSTYSFDNDLDSDSDSDNMMIEDSNTHSLIEETLNKSNP PVEAPTSYYCLFCFRQFHSKEDWKDHEFLEHYSRARDWICMPWGSTDWLEDGDQICVF CGAVDPSPSHLKKHNDKPCCDQPVSERTFVCLEEFQEHLEKVHDQWLLTDNMNKWSFT PLDDYYWQCGFCEHILMTWTDRVRHMNHHFKERHSIACWDPFVPSYPFDRNTGMIKQW CPHEIDRNKLLAQQVERSKFFDEVEVPVSPNISLGLHIDKTVSVSVPGRVHSNNCSAY FDDEKVAIRHDKLWHQPRDVWLCPTKSDVETAAHLQIDPLASYLFPNPSSSPSKDYCP YCEESSEDIFYDLDKDIDECFTDWDVRIAHLESEHNFDGCPGDLMFFRSGQFLLHLVS SHNLRLSRSTKGIMESCRKKEVVLLDAQTSIQKSNPPDVSTSIKKSYPPPVSVESDRE D LY89DRAFT_595209 MLPHPTSLGPYIPLKQQHQEIRLVTLQPGKWDDPIQCELSTMHL HEAHSSYEALSYVWDAEPGSGEMILNGHPRDVTKNFFLALRRLRKSSDIRVLWVDALC IDQENHIEKSHQVNLMGEIYRCCARVFLWLGDDSNG LY89DRAFT_674557 MVWRLKTQFHHDEEKLKENNINTLLNNCWIGSIDEARKRAVPAY TFTKMSELPLEIQLKIWGRTLSACRGEPRMYRIDTMTDDKERIQIYNLSQDSGPGTSR AVERPHTRLEEIKHMLVILQVCQTSRMAALEVCSVLQSCKRDFKAYSTHYLRYYATRY DKFALKGSWYDHKFLVDILANTIDEPPSIHFVAEENSFLSIQRLIVDLNIFAKFPLDL WAQFYNLRSLTISMLPDDFPSNIDMVKSLKFCKRVAWVREKVLFSLQTAKKEQRPDWR IPKIKITGDFHVRPIDVDVGRKVGKASQKDAGEFEDDAIWYQQTVALMTHTIPHDEIR RYKLRYSRKVILPESTGRPEQPHERALGEEPRQQEKGYFVFSVDVLI LY89DRAFT_689119 MYTTSTLSSLALLASAATATWTYGGCMNLAHFEENISPRYVDTL DSPFMSSGLCLETCTTPYAGIDNTTCYCGTAPFPTLDRSLDSMCDTPCPGYAMDTCGG ASEFGWVSMYTQSANSSSSSSSSASSIASSSSTTATLPYTTSIIYTTELETITSCAAT VTNCPARTSTTVYSVGTTVIPCSTSAATIVSSVPTSTGSNSTVKATLSPTATPSVVTT SSASVVGSWGSVVLFVVAGVVALL LY89DRAFT_654979 MSFLGGAECSTAGNPLSQFSKHVQDDKSLQRDRLVGRGPGPSLQ GLRSNGGMGFSGSQDNMMNEFMQHNGQMPEAFAVQHDLHQMRSGSASPGLNGWAQEFN PGGETQARMEAAFGAPKGAAFSPADFAKFQQMNQSSAARTASPMNRPINNGIYQPQMG MGMMGMGGMGMNMGMMNRPYSSFQTPPPQQQEDKGKGKMIELDDEHWEEQFKQIDMQG QEVDESLAAEAELDQMDRSVLESETNEFGDFESIWRGIQAETAAAREMVADDNIGNHL GDDFGEWDGFDGLGTHSAQFRDPQLGDYMFESDNLFKDVNNPFEEGVRIMDEGGNLSL AALAFEAAVQKEPQHLEAWVRLGSAQAQNEKETPAIRAMAQALKIDPNNLSALMGLAV SYTNEGYDSTAYRTLERWLSIKYPNIVSPSDLSSEDEVGFTDRHILHEKVTDLFIRAA QLSPDGEHMDPDVQVGLGVLFYGAEEYDKAVDCFSAALASTEHGIVNRSDQLHLLWNR LGATLANSGRSEEAIAAYEKALTTRPNFVRARYNLGVSCINIGCYEEAASHLLGALAM HKVVETEGREKARDILGGSGVEEAELERMISQNQSTNLYDTLRRVFSQMGRRDLAERV VVGMDVEGFRGDFEF LY89DRAFT_239842 MHLIRRAAVRAAASRSSISSSRTINTASPFLLRSKTQSPIASSV FRRFKSDEQQKSQVESADGESGSVKSAIESASTYASEVAESVTESAQNVAEAAGFAPR ERREERSGFEDRRGGGRFDADRPSPPPTNGIYVGNLLFDVTAADLEREFASFGTITNA TVASDARGLSKGFGYVEFETQESAAAAIEGKHQALLEGRRLVVNYMKKNTRGEGRPEL EPTKTLFIGNLAFEMSDADLNKLFRDIRNVFDVRVAIDRRTGQPRGFAHADFVDVESA IKGKEALMDKEVYGRKLRIDYSAGSRTSGGDGAPRGDRDGGRGGGRGGYGGGDRRGGG GYGGDRRGGDRGGYGGNRGGDRGGDRF LY89DRAFT_239848 MTPSPISTGPSTQPLNSPASGAMSVASIVSPTSYGFAHPFYEGN NSLNGTNGDSRRESVDSRLGANFGDMRLANSPYASANPSTTSLQSTLAQQRNPGSAHP DRNSGPFRLSSGYQPNYQRVPDGPSMTSRTAPLITGPALGAIARAPEPTKGQAWAFPE EEVQRLPSAARPSDDTNRHGTSFYDDSRRNSYADSIASSQYTTESRLPPGQRRLEDGV PSDYHRASSEYQVSTHHHSLQHRQISELQNDDGSPTNSQPYSRTPELRVSHKLAERKR RLEMKDLFDTLRTLQSVERGAKASKWEILSKAISEHERQTKIIDDQKKQIQRLEAHLG RVEHDLDIARREANSARLENAQLRSDVSGLQGGAPGYHGPAPPVQHSHGYAMQPSEPQ QQLPPLRNIPGPEVMNGVQYQHEQRSNGYRPGDRF LY89DRAFT_723063 MESRVECGDDERGPGTVAIRRWHSERATGLGEYQARKRQRRSLS RTERGCGAILSLSPIEDMDGDDLLGVAAMQSRAVWSVQSIHPSRGKNQVQRQGQYQWC SAVQVQYKAGGKSGLARPRCTSKKACRMCGKKKWDKDSEGECSASRRERERARRLVAG DGDGDDGQGKDCGKARSRLDRDERTGGLGGEERGAQRWMEIRRQRERESMDYSSMDGW MVRRTQHAEQTEKQQRVLVQYSQSNQVLVLILVLVLVVVLPCPCPVAPPLFHILESIS YP LY89DRAFT_689123 MALISRSFRRRYIRPRAILLTLTLLFLFDAYLITSFRPPPTRST TLPSHLKDKKIFIASIHRNSEYMLRLYWNSALLRLCEHLGPKNVYVSILESGSLEDTK GALRDLMGKLNEMGVQNRIELGMDVKEQVAMLKEVPEDPDARQGWIFTGRGQRGWEVR RIAYLAELRNRAMEPLEMMEKEMKFDTILWINDVVFTSEDVTTLLATRDGDYAAACAL DFSGNAEMYYDTFALRDSSGLKSATGTWPYFSSHRSLRALQSLVPIPVQSCWNGLIAL DATPFYTSPIASSAASPPKSALKFRALPDSLAKSHLEASECCLIHADNPLRDTKGVFL NPNVRVSYNASTYSKVNWGVEVRADMMDIVNGVKGGDGRLWPGSAEMVRGLWRNRAVR WMGWVKVWSEKRVVERRVRKWISQGKKATVMEKRSDVGIECMVNEMQVLYESGWQHV LY89DRAFT_689128 MSSTLFKPLKLGNLQLQNRLVLAPLTRFRADDNHVPLSFVPEYY AQRASSPGTLLITEATFIAPQASGYGNAPGIWSQAQIEGWKKVTAAVHEKHSFIFLQL WALGRASNPKQLKKEFGDKYEVKSASDIPFEGGAKPTPLTETEIKEYVGLYAQAAKNA IAAGFDGVEVHGANGYLIDQFLQDVSNQRTDSYGGSIENRARFGLEVTKAVVEAVGAE KTGIRMSPYSSFQGMGMKDPVPQFSYYASELKKLKLAYIHVVESRIEGNAEVESTEKV DFLIDVWDNQSPVLIAGGFTAASAKTAADEEYKGKDIAIVFGRHFIANPDLVYRIKNN IEFTPYDRNLFYNKGEKHGYIDWPFSKEFTAQL LY89DRAFT_595415 MLSLCTPSFGPPSKWQFLPLPTPNLTRDDEVLIKVHAAAINPTD IGGAQGRYWPAFNVPLPFKIGYDLAGTISAVGASVTKFKIGDEVFCCLAFKTAGFGNG SISEYAKSTEDLVVLKPRNLTFVQAASLPLVCLTAVQMFERIPGGVEGKAVWVTAGLS GVGSVAVQMAKNVYGAQRVCTSVSTRKVGLVDGLVGEGVVDEVVDYTKTDLKKAVPLK SVDVLIDTIGSMFSTLPLVKPGGMIITCAGPSPPSGRNLKNISSAINRFIEKLLDLID NLTRWWVGRSGVNYDSVLMKANGSELERVRTWVEEHKIRPVVGKVLKFRDLVGIREEC GRVMKGKGGVGKVVVEVIAE LY89DRAFT_625739 MMQQRRDEILAKKAKLAELKRQRELRTREISTSRQSIGSSSDLT VPPASRADNREELDKYISSILVSGDKSRPGSTGPGGAGSPAQKGSRPNSVLSAGELSN ENSEPSVNHAQHPQSLSISTQTLTTVPLSTVYEFQPSPVKEVFSYSKGVQTTEEWGTQ TSRPKAVEDEDEDDGDLPVTATPNKRLSRRMRDREEELRENIRREIEEELKAAQELTV DGPLKISTNTVKANFPARALTSEELNAVTSSDDFMDFVERSSKVIERALDQEYDILAD YALSGTNGVEDEDDVYGTGKGRRRVKEVAQFYDERWSKKRMVSDINFSPKFPELVLAA YTKNPSAPHEPDGLVQVWNMHLHDRPEFVFHAQSDVLAAKFSPFHPNLIIGGCYSGQV LLWDTRAKSSPVQKTPLTGSGHTHPIYSVDIVGTQNANNIISCSTDGVVCGWTVDMLA QPQELLTLTTPPPAKTEDLSPTCMAFPQTDPTYFLVGSEEGTIFPCHRYDRAGAKAGV DQRVSYRGHAAPVMSIDFHPARGPVDLGDLVLSSSLDWSVRLWKVRAPAATSTTGITG EGHSVIPILDFTREDVVYDAAWSPVKPGVFSLVDGAGNLEVWDITVDIEVPLSKVSPS SRKGGRSMMSKSLNKLAWEETEGKRIATGGIDGTVTVFEVGPDLGGKESARNEEWTNV KKLIARLESTAAGVVANGVNGV LY89DRAFT_689130 MASTGVNVLRYSALGAGIFYGLYHQAKLSTAAKLSAINREYEHK QELIEKAKVEYSKKNAPPSAKKEGGDVISDPNDSRFDLEAYLKTLSKENP LY89DRAFT_625745 MATESLAEPFFKGSTGRNTRGLLRLIILCLIAAAALSSRLFSVI RFESIIHEFDPWFNFRATKYLVQHGFYDFWDWFDDRTWHPLGRVTGGTLYPGLMVTSG AIYHALRALTIPVDIRNICVLLAPGFAGLTAYATYLFTNEMSTSPSAGLLAAAFMGIA PGYISRSVAGSYDNEAIAIFLLVFTFFLWIKALKVGSIFWGAWCALFYGYMVSAWGGY VFITNLIPLHVFVLVCIGRFSSRVYVSYCTWYALGTLASMQIPFVGFLPIRSSEHMSA LGVFGLLQLVGFVEFVRSGVPSKQFTTLLRGFVLVVFAVAFGGLVLLTVSGVIAPWTG RFYSLWDTGYAKIHIPIIASVSEHQPTAWPAFFFDLNMLIWLFPAGVYLCFQKLADEQ VFVVVYAILASYFSGVMVRLMLTLTPIVCVAAALALSNLLDTYLTIKSPAEPTDSQID AANGAPASSKKAAKQDGLRSLSKPLVGIYTTFSKTSVVSALTAYLLIFVLHCTWVTSN AYSSPSVVLASRMPDGSQHIIDDYREAYQWLRQNTAEDAKIMSWWDYGYQIGGMADRP TLVDNNTWNNTHIATVGKAMSSREEVSYPIMRQHEVDYVLVVFGGLLGYSGDDINKFL WMVRIAEGIWPDEVKERDFFTDRGEYRVDEGATETMKNSLMYKMSYYNYNSLFPPGQA QDRVRGVRMPDVGPTLNTVEEAFTSENWIIRIYKVKDLDNVGRDHAAAASFDRGNKKK KVTKRRGPKVLRVE LY89DRAFT_723069 MASQDLASGQSWHDNVAGWIKAKEEATADTQRFTRTLKRQRSDD DVSSALVSVLNRVNIEAEGDVKVKLEEEDSKVPCDSLDYSKLVYPKTLIRRKNRAGPF AEQFMTPALFASILDRHNRRYKFTINQYHCAWEYAVEKEWPRGKLDMKNFNDSYSTWD EVSRQDQLDKEKLMIMTSIQDKDILTFDDRLKRSQNNFEKKYKRFVERGDMQQAAILS NRLANKFRRLGRELDSEIVARPASKRVKLDH LY89DRAFT_674570 MLTVYKAPRGEDSSSSSSDESSASRKVIPAGRPYVTKVNGKLVM AREKRERTADIALDLLGEAFGPRTRIIRRRARSVERGKGPILINGVPYVPQLPPPPNY TAPIPQQGFVPMTPMQPYNPAQYNPPYPLPYYPGQGQAFLMPPEQQKPQQRPNTKATE AELAQLVRMDTHFNKGSPDKAKENEKVVATKTTITIVKHVCANCGRLRSRKYHQEHPI RDGETPPAEFCRKCQRDVSSTSESSATEREERRKEKRAKKKKNVSSKKKKKVVVESSS EAESPKSKAGKQQSRPKPAKKASHSWPLEVSLLTLPQTEGIPFDPKEDYIVVEEEISD DDRWLRGRKREKILVEEYDGPSRPPSSLDSRAFPRRAHSAAERQDRYQNAKKPGRRPK VQPTEQPRRRSPSREYTRAEFASSHHDREFEKVVYPKNIPVHFVEEVKYDEPVEVSEG YHRAHEDDILYRTIPAHVVEEVLEKRPAGVSRQGPRDHPQSRNPRAHEYIVEEAESVG RPNPSLEPAFHERSTSIREPTNREHTFRDQSSNGSHSSEARRRRRRERAAPWEAPHVI NPQIDSEVVVVTERYEYRRPRNDEENRRRQERVDRTTLEARDQAQGFSHEDAARYFVD DWDRAEAPQPQTTVEHPRRSYRRDTLRQPSLSSAESYEYTISRVGNELPRVPTPPSPL KTGSRDDHWDNQPPYPTASEFEALQSRDEWEGRRGASESNHRCPQRRERSFSSPRTGY VREVEEERTGSARMPSPTRSGERIVELSEDEMDERERMAELGARHVTFRETPSLRSAK WPQSDEESPARKKSGDSSG LY89DRAFT_595102 MSLETAVLIRILFTTVVLYITGWIVYCRYFHPLRAIPGPFLASI SRSWIVYKTMAADMEHTQRALHKKHGYLVRIAPNEVSCSDPEAIKTIYGVKRLFRKTD FYNAWAPPNNGYVGHFLTRDEKVHSERRRIVNNVYSMSSVLESEEAVNSCSQLLCEIM SEFARQKKEVDLGLWINMYAFDVLGELFYGKMFGFMRDRTDFGGYMKAIDSLLPAFTI GGTLPSYLTKLYLLSTILFSPSVRGALGAIKHIEIASKNAVQKRKQELEEKEDGKHDM LRKMLEISSEKGSKIHFSHEDIYVESHSSLFAGADTTAAAINSILYYLMLNPVAYEKL TAEIDASVISGDLSIPASYAEAIKLPYLKACINEGMRLYPSVGLTMPRLVPAGGASIS GHYFAEGCRIGINPAVVHYDKRVYGEDADRFNPDRWIHAPDGCDIPRMDRTMIQFGAG PRTCIGKNISLSEIYKLVPHLVRLFHFRLADPSTGWKTKDYWFNKQTGINIYMEERIF H LY89DRAFT_759070 MEYTTLSADANSPLVEPYYKCYWYFGKWSAVFLCDASDDPIRNS FINFKLIEANEHLRNDLGAQADSVFGLIFVDTLDDGDDPFLYWRKDCRLAGGLQTTIC GWAKRYSALEQRLSMMEKNKLRMRTEYIDMGDAESEGADKDEDRRGDD LY89DRAFT_710342 MSSLISKPCMDPELRLALGKVSFPPISRITPEIWKAMRVANATP PSFLDDQKVRGISHREVRISSQDGSNHEIILSILQRSVETTEPRPCLYWIHGGGLHWG DRLHTLEFPTDVILECDAVCISVEYRLAPEHPFCTSVEDCYTGLQWTSDHTQELGIDP KRLMVGGSSAGGALAASIALLCRDRTGPSLCAQVLFCPMLDDSLATVSSRQYVECSDF VPRGVFEDSWKASLANNGQNSNTVVLPPARVKDLSGLPPAYLDVGSAEVLRDETVAFA SRLWENGVQADLHVWSGGFHGFDIFLPDAAVSQSSRRSKLMWARKVFQANSS LY89DRAFT_594836 MSAQDGDTSSRKQRRAPMACEFCRRRKMRCNNEKPTCRNCKEHG RDCIYYELEKKPRPSVARISQLEHENRELQNEVLTLRQRLRNTHDSSTTCSVSGNHQS PILALGNGSSEGPSMTCRRSLALLNRPRDHNPVRASRVCSTSERAFSPDKDSCYHGPT SAVFDEKSTEAAIRQGSATVTKVSDLWIKRQLVAESANQRQLETVNFLAGKLDFDGID PELGMHLLSIYWSRQQSSGPVVYRPAFMRDMACVGPYFSKLLLNAIYFYACKYTSRVE VRHDASNQLTAGWAFRQRVVELLRNSFDKSDIPTIQALLLLSSALFSWCDEKSVSWLY AGIAFSMIIDLGLNVDAGAATSQRRFSVEESEIRRRVFWAAYVNDKLQSLSQGRPALL ADSGTSLPLSFLDDHDELELFNALSYTENSASLMTPVYSISTFAEFCKLSIILDKILS NFYMEKSSSRDPTDLLNASKSLHAELENWRRSLPTHLDIKIADRARIKLPHFLSLLAF FNVIVILLHRPFVSDGHLQSTSSSNALHAFSICSVAAFEIDRILESYKQNYCFGTSPH IISYATYVSATIHVRIAAQRPRGSDAHCALRRCLDILDIQQSVCWSPRRAKKVIDALI SRMGVVLDHGEFAGSFESISSNINIDAIIRTFEREQCIPETQTVPFDNGEVTALHLNS TLRTPDDPINPSIGLEMPANVGDMSFLYDPIFGFNGSAFDDLDDLDFEFESPFL LY89DRAFT_739437 MQSLLQYRRLGLAVQKQIQRDHEKTNYPSSHLPAVPEDQGQVLQ DATNSSNGTDSEDDNPMDLGRIGSVITVRTQNSLRTTLGHALTGIHARERLTHEGKGG KVFVVGWEGESDPSNPRNWSVAYRACITLIVSSIGFVVGAASSADTAILPQAAAEFGV SDVVESMTIGLYLAGYAVGAIFAGPLSETFGRNAVYISTMIIYMIFIMASALAPNIGA QLSFRFLAGAFGATPLTCAGGSISDLWPTLEKTWSFPLYAIPGFGGPVLGPVIASYII NLGSWRWSEWIILIISGVGLGLIVLFQPETYPPLLLVWKAKHLRQKTCDDRFRAEMEI VESTLWSRLKIALTRPLVLALEPIVLFMTLYLTVLYIVLFTFLDGYPYIFQRVYGTSQ GLTNVIFTGIFVGIVLASFLVPWVYRITKESFGRNAFVPEVRLWFAMLGAPAIPISLF WMAWTDFDTISIWSSIMASVLLGYGIICIFMTAYMYIIDSYEVYAASALTFVTFTRYM TAGGMTVVGVPFYENLGPHMTLTIMACINVLMTPIPYVFYKYGHKIRQRSKNAVSWT LY89DRAFT_689134 MDQADIPALLSRLSSDEDAMRKMAVFKLQSSINDPAFADAFISS GGLNILRRLIMTTGGNTLAYSLQSLSRLLEVDMGWEIFEAAGAGELVERVVELIVTHP LVNILRGAMSILVAIVSHPQSSRGAIKIPSNFGFRALKPAIAVYPQFFEMVVSQLNSA DHALCANALMLLNALMRDAISDTGKSDTGKVAGTGEEWPKFIKRLQDLGVIRAAYILM QSSALQDLAHPLLEFQALTKVLLKKWREVSVDLEKPDHRRALKGLHLSSAPERKEHYG NGSRVEELAENGKKGSRRHNPEKWRRLGFVTESPAYEFDETGFLGMMDLTDYVRKYED GFQKLLLEQSSRPLHERCPFARSSLAVTMILYDHFEVDKSDVEDAKNYLILDGMKNYD KVFKPLLLQWSRLHGASLQAFFRLWKATGATRDDFEKVEELVRILVEQVVGQAPRTKD VSEVEEELAEFEYNRLRELQMELLELTFEDEWGQHLLQVREELKQEALHFVKEQRVRC LLQGAWFPKSVQYKSSMDNGYQNGPRSSKTSWRFVKLSHNRRWLHYADFPSPTTKDPP LDDLGEKLDVGSISSVLSNVSAAGDDTSSISSGSTLRSPSKTQKQNPTKITIMSFVPV EDDKSESSKRRQVERPILTLIPTSHSLASDWLDGLLMLLSQTPITAETNKLLALAGEY GLKIRLLNVRLDDGYGGPPEGAGVVPSREGLDEDYYYEVLPSRHARLPNSIQARVGFP EILG LY89DRAFT_240525 MEYDCVEADVDEDWHRCCCGGDFEKDHCYWLLFVDFPIFLVHLL VMLPYIIWVP LY89DRAFT_674577 MPTLAALTIYAFGLTAFAAGIMHLLSPSSATASLGLPDSCMPAT NGNSLAAIAMGIYYTLAAYQENRTFFYLTVPMRMLTSTVFWSQGGNWKMASIWEGGGA TITALALYFGS LY89DRAFT_540480 MVLPTKVLLAILPFFLQVTEVASQASGTGKTTRYWDCCKASCGW TKKISLASGANPVASCDKSDNQLSNYDAKSGCDGGGPAYMCSNQSPWAVSDSLAYGYA AATISGGSESSWCCACYELTFTSGAVSGKKMVVQVTNTGGDLGSNQFDLAIPGGGFGI FNGCTAEWGTPSTGWGKQYGGVDSRSACDAFPAALKQGCYFRFDWFQGADNPAMTFKQ VTCPAALTSKSGCVRQGETPSGAASSPTWTKSAGAVATGGMGAAPSATDSAAGTDASS GSDSSAVA LY89DRAFT_595255 MFTTPKKPRGSYTPYSSSPSKVRLNGLFADGIWQCSNCNPRLPA AHFQVKKDGPNQGNWFYTCQESRDNGCGFFLWDDKAAGREMRAVIGNTRSAADAARDK RTIEGHSAASNKFMADLAKANEDEFGDFSLSAEDEQKLVDAVEEADAGEYPQTPRKAI KTSEFMTTGSKRKRDEDTLPTPNSGSYKPNMANSLRKDEDVFNTPSSRLKGGMWDGHE RLGLRSPSATPTPSRFQDPSTDDNSPSKTTQNCDISEEVLELLKSQHIDEEASSNLRT LLSKHALKISGIVKGRDITRMALKTKDAKIAELQQKITALEAEREMDKTVIRHFKADM AHSVEKRRGRGRGKT LY89DRAFT_689141 MAAQASLTSRLDGKVALITGSSRGMGRQHALEFASRGADIVINY SSSPAPAEATVKKLTDMGRQAIAIKADMSKPAEIAELFAKAYEHFKHIDIVVSNSGVE SFGHISEIKPEEIDRVLSINTKGQLLVAQQAYKYLTPGGRLVMLSSISAQAKGIKNHS LYSGSKGAIEAFVRCLALDFGDKKITVIALAPGGVKTDMYVEAARLYVPGSEDWSDEK LEQTLAEWTPLGRVGVPEDISRVCAIAGGANA LY89DRAFT_759075 MDPECPPPGYDGMSSTVGLLQQSRNRTGWPLGNITVDWPYCTVN SHTTYWTSDDQDRTQPTKAMLERIRLRQDLENFDKQLEKITISKQKTWIERLRLHTKI IFQHMCKPTTDENAVSTFAMLAIVLYFRNTESRGSSIAKGPEFEDLVKQQVDMNVVSC CFESRFVGSLSLAESMQNTPSIIQVDFISFKDQWLECTLQKGITITQENGQPFNTISA YSPTSFIKIIKEKADNNAKMCHEEVTIYKCGHEETTGFSECPNGKSMEIPCYEPPKKT RVNRNCSSQKCKDQRRGEALDKASKKKYSW LY89DRAFT_594939 MDYKALDLDWNEIRLLTFLPQDADGRIRCRLDHASLINAPAYAA LSYCWGDPTPVKSIVINDVEVEIGPNLESALRCLQSRGYDRLWVDAICINQQDNVEKS QQLLWMGSIYRRATRVAAWV LY89DRAFT_689143 MILDIENVLNGNMRLMTTKKGSLSWAHLRAQKGDQIFVLHGCSM PVVLRPASNSNPRIYTLVGDAFLENMATSISGSSLRQSLEDFDFARFETRTVIRETVR QIELADSDLISENILPRGTIVWDSLPPLNLILHPSHAARSEWDYLEIC LY89DRAFT_700477 MADQRIENAAEAAGKAKDSSPEKPSILSSGGAVGKQFNPDGAIG GVAQEIGGPFDKDGAIGKQFDAAKDGLAGQVEKAVDGPSRPASEKK LY89DRAFT_739445 MLPLYDTQRLQRFEQKFIVPTNKMLFIRLNDDVLRFIAASVAQS EEVADILGLAPTCRRLYNAARFFVPSCLRIEVPSRRFLLLKRTMNEDASYGLGVYSAK IEAHSSQREGTWDELREFFRYIPNLKQLSATDSCLNVISVLLSPELSFRQSLKKLALC DVSLTAVDFFELVRLPQLHHLEVREFMNYDDDRNFSDDFHPSQLQVLKLYAGQIRCRT LQRIVGSSPSLHTLDCRSPLYGPSQKNVIWGSAFIATELSPLLVLSTLLSASQTLVTL NLTTNSQEWQGHDGTRLDLVRFRALKHISASAKLFVAPLSLGITRGGLFRLLPPSLIT LQLKFRFSIGILYATQSQRIDLAGYQRLLDQTLDPSVYEWILELAQHKRDFFPNLESV RMVELILERRLVHLFSSEDWVLPARFEQAFNEAGTTLKVSVRAPVGIEGRGYIP LY89DRAFT_710350 MSSFAMRKFTSGPSFSKALRNQTRQFSSTRPAARIITHAPLRAK EASPFVSNKYPVVDHEYDAIVVGAGGSGLRAAFGLAEAGFNTACISKLFPTRSHTVAA QGGINAALGNMHEDDWRWHMYDTVKGSDWLGDQDAIHYMTREAPQSVIELENYGCPFS RTDDGKIYQRAFGGQSQKFGKGGQAYRCCAAADRTGHALLHTLYGQSLRHNTNYFIEY FALDLIMEDGECKGVLAYNQEDGTLHRFRAHNTVLATGGYGRAYFSCTSAHTCTGDGM AMVARAGLPNQDLEFVQFHPTGIYGAGCLITEGARGEGGYLLNSEGERFMERYAPTAK DLASRDVVSRSMTMEIREGRGVGPDKDHIYLQLSHLPPEVLHERLPGISETASIFSGV DVRKQPIPVLPTVHYNMGGIPTKYTGEVLTVDAEGKDQVVPGLFACGEAACVSVHGAN RLGANSLLDLIVFGRAVSHTIRDNFEPGKPLPEISADAGADSISVLDQVRQSSGPKST HDIRLAMQKTMQTDVSVFRTQESLDEGVRKINEVDQTFKDVGIKDRSMIWNSDLVETL ELRNLLTCAVQTAEAAAARKESRGAHAREDFPDRDDKEWMKHSLTFQKKPHGKTDLSY RAVVGHTLDENECAAVPPFKRVY LY89DRAFT_739447 MKATNFFCSLFGAAVYFTQTVLAQDDVASHGTYTEPNTGIVFYT SNQANGTITGDGDESHVSLGGFTFGMALPGNALTVNSYEYIGLIIGSTPTGKGGWAGI LQGTNLGGGAAMPNHLMLLAWPTGTGDQIATSFRYATSYLDPSIYHGSANLTQIYSSV NSTHWTLVYRCQNCLIYDDPTQSPSNTSTTAGSFEQGWAQALTPPVNPANPLSDIGQH DNGMGEFQIEVKSATQASYSVWATKTATGVIASGTATATATFSAVPVPTTTSYDYIVI GGGAAGIPIADKLSEGGKSVLLIEKGVASSARWGGTIRPESHWMDGYNLTWFDVPGEC NRIWNGGAAGVACTDTDQMAGCVLGGGTAVNAGLWWKADPDDWDYNFPDGWQSDDMAA ATQRVFSRIPGTDTPSMDGKRYIQSGFDIIEEGLAQAGWESVTANNVPDQKNQSYAHT PYMYINGERGGPMATYLVSANARSNFHMWLNTSVERINRVAGHATSLNVIPTNNGGRS GTVSLTPVTGRVVLAAGAFGTPKLLFRSGIGPTDMLEVVQSSADGATMINQTEWINLP VGYNLDDHLNTDCVISHPNISYYDWVAAWDTPNVTDMNNYLTKRSGPLAQAAPNIGPM IWEEIEGPDGIVRAFQWTSRVEGSNGVPNGDSMTMSLYLGRGAVSRGRTVIQKGLNMI VSELPYGNANDLATVATAIDHMVAALKPVPQLTWNLPPSNMTGAEYVASVPLTYANVG ARRANHWLGTAKIGADDGRIANGTAVVDLDAKVYGTDNIFVVDASIHPGMPTTNPSAL IVVAAEHASELILALPVPSPVAKYSQCGGLYYDGSMVCETGSTCTIGNAYYSQCL LY89DRAFT_759107 MSFWKGYQGRRAYEDLLEGNPDGETLKGKLLEIIEPHGFKWRVF IVAGSGFLADAYAIFSPNVISPALAYIYWNQDTLGTKGMIINVVTLLGSCIGMVFFGF LADYFGRKRLYGVELVIGIIATLGLTQVSAGYNQASMNAFAWIVWWRLVLGIAIGAEY PLSALIAAEWSATSTRGTMLAAVFMMQPFGQFLAYIVGLAALQGITKSRIPDPTAIVS QFDAVWRCIIGVGGFPALLAIVLRWTIPETPRYLIDITQKADIRANETRIPPDQELDR RATQIDMKFDKPGFWDDWHTDYKKGQGFRRMLAGTAICWFLMDVCFYGLGLDTPRTLA KIWGASSSDVTPDDNIYDALHGDVTRALLTIPLSSIPGSVVFLLLVNYIPRVTVLRWT FVALAILFVITGSSLTAVYETNNHTVTIVFYAFALFILNAGPNTILFMLPSELFPTKY RGTCYGIAAASGKAGAIIIQLIVHFQNITRPDSGKMQLAIMLMCFTPLMLIGAFVAWV WIPEVQHPRDPKHVDKQQKGKLKYRERMVMPSRSLEEITANPTEDHTFGLRYHLSELF GGGSKAEGSAV LY89DRAFT_739449 MSLGNMHNVHRPKHSPSPDSHKYTRLFLDKNNHSHKLVSYTIEE DQVAQQRTVTWTTVASGKIMHIIPMVLPGIPFTNHTIQSPEKKNVVTFRAYNFGGLIK HKFIFEEEEDETRTEALHDPEYHFLDDKECEGFQSRVRGRRLLKTFDTEKISLTEIHR MRPNTAREYARKKPVKLWRRTDTAITFFANLDPVEYQTHLQFYLSWFKQEAGLRNDRK LVLRFNHQSDVQRQHESPSSEKKRRRSNVFIPSKGKRQKSEEPIPRRTSTGGSSSQFL KIHSGELKTDECVKKNNWESLEIQFTNEDDVEKFVSTCLESQGQPGLALSEGPYCVLP FTEPLQPAPVHPHYSPPSSSIENWWQKFNDNRNEIFPIITDNYAPIRIAILDTGIDTG NPYIRRNWDSKWLYRDFLVDDNVAAGLRVDSSGYSSHYVREVIGSLESGRQDQAIDLA GHGTHLAGIVLQLAPNANLCIARVLKNNLTTYDTGEAAKRVALAILYAVQVWKVKVIN LSIGFDQEKLGDSEMHVIIHALQYARQQHVVVFAAASNSANRELLAFPACQPDYVLSI NSTNGSGGRSWFNPLQQKYHENLSVLGESIKSTWLQDAYGKEEGVFRLGESVWKRAEG TSQATTIAACVAVLIVQFGRQYGVGEKLETFAGVRSVLREMTAQTGDGFWDIVPWIKV FRMHPGGIDSIKSRIAEILYEARI LY89DRAFT_536265 KTYFRMPDFDHPPDGLVQLGQIITSPRNPYQRLAPPLPIEVRSV QTNKKTNYHEVVARAQKGEIGLWVSFLASIVGAGGDVAAKWARDNTDLLEFRELEASF FEPDNEYLQKSVENSQKIRDHINKTPGKSLYMVTGVKIAHGARSIIQVRNAVGVDVKL GVDATPFTGVPVQGGPSFKIQDAHGERFQFEGSSDFVFAYRLLRIIPKPKGVFKIKRH EKGA LY89DRAFT_759114 MSSQENEMLRSQSAIPPFLSRPDSGIPEFLSRCPYFVDRLAGSM LGEYHEYLAENPEMEAHFGRGNPAGMTPDMIAAYQEYLADQPDIGNPRDETPERESPV VDSPASNKKIVLIFKKKADGSGYWTVTRDITEDPNDEDDGGLIDDQISPEPVKRTKRK KNDVESTSEDEGSISEEFDDENDDDARPYPAPKKRQIANPKKKSLKRKPLGNISEDEE APDKSATKSKTALKRPPWTKFEHDSLFAAIEEVVIKVKRSLSKLDFETVADELKKLLT GKAIKKGVKQGWTPFTWCKGGWTLSQAECQRLPLICYQGEIPSQLRQDSQGSLAW LY89DRAFT_723082 MSTIQIRTMPSEKLAKSILSGVTPAAKETFKLDSWDPAVFISDL LLQNTSNEKIPKTYEQTSKSMSVAFAKGIGSYSSYMDTIGFEPSIAVARELKDQQQLY KFVTEERSEGYPPHLEVVPPIDVFEKEDIFAENGPDGETNINQSSIGDLEGDIDPNKK WYSDAIFAQQNFTGINPTTIESAGKWVSRFTVEAQRQRLDKTMAQLLERADPASLFVQ DCSYFREAFGFSAKDQQKNIADPGGCFRGSTRWGCAAVTLFQLDPQGKLHPLAIAIDY KESLEKSVTIFNKRLSPSQTNVDQETDWPWRYAKTCAQVSDWIRHELAIHLTNTHLIE EGIIVAAHRTLPSDHAVNRLLSPHWIKTLSLK LY89DRAFT_700480 MAGTYSPMLSLVIAHFHLLANPDIMTKLRTELAANPLAVTPTQL EQLPYISGITHTNGKNETKTYTIPPGTSISVSTLLIHTNESIFPDPWKFEPERWTNAD EATLARRRRCMLSFMRGSRACVGMHLANAEMVVAVAAIARWNMRLFETTDQDVAFCHD YHVLCPRLGSKGLRVKDLGRQ LY89DRAFT_240690 MSAPYQEAIPKDDSTQPRASDFEATVGNPSDSEKSSLNSASKIQ EVSKVRWILVCAGLFLGALLYGLDTTIAASVQGPILLSLGEIDKLAWVGIGFPMGAVA VILLIGRFYSLFEIKYLMIGAVITFEAGSALCGAAPSMNAMIVGRVIAGMGGAGMYLG ALTYISIFTPLATRPIYNALIGLCWGTGCILGPLIGGGFAVSSATWRWAFYINLPLAA ALSPIYIFLFPKHCSTPTIPTVTKLATIDWIGVTLNASVFTLFQVVLTFSGSTWKWTE AGPIALWASFAVCLTLYVIQQTFSIFTTPEKRIFPVHFLKSRTMILLYVGTAAAATGL AVGVYYIPLFFQFTRGDSAIKAAIRLLPFIVLNVFFVMFSGAILPMVAKGLYAPFYLA TGIFTLIGGVLMYRVHVSTGVTSIYGFEILVAIGSGLTMQVAYSVAVAKAAKEDAQNA IGFINTAQIGTIAISLSIAASVFQNRGFINLRDALAGYNFTEDELRGALSGVQSAILK GGNAVVTQKAIEAIAKTIDSIWILVIAAGAVAFVCGVTMKWEKVDMEMVAGG LY89DRAFT_786877 MSRRLRSQEKMFLFLLFTFCVFELSFPNIYDSRTNVPEMLITRL QAFAFWIAALVSARQGQLPTTTSFLPASSEAFICKAGSCAYNVVASSIRGIPPAITTN DPKDKVPSITNYPYHPGKRSSRVTRVGTVKRVDEEDPTIIRQRDFTDPNTFLTGTKAQ WFQHAVGLVESSGPQRIETPTGGIPSSTWFDYSDLRNHAITTGTGPSWGCTSVLVFSE RGVWMAHFWEQEQIQNSTTFDSDVLNFLRIGSGDGIHQGLVDVVDWLFNGSIPEDIGE SLEEPLFSAAVIFTPSYRDSQKVPEGAKAADPIYGDQIKKIKALLIDQLPAFTDQNIQ VATYKPVNSAAEMEAQPWLGLMTFEYAPQHLRKTDDGQCIVSRALRIWNQDEILGVYP YTWPQSLPTASGLPDIQLRSKQVDIGACPADVSGILKSQGNGAYEPQFVFGLNAPATT IPTSTTASTIQPTPPPTLPDSDYMCSSWQLSPNGVQVGSDCGGDPNKVVTVTVSW LY89DRAFT_240731 MRLKIIALLTLSTVTLACCGNKGVTSCCGKGKCNIFCCNCNGGC DKSCKPVELPICWAWDGGTICDNPDGSTSMSGGGPKVPKVPPKPNGKLAAEAPIIRVS ADAPNVALCGGAMDEKPVDPTNKSEAGPKVSRVTPEGVSEDDNAMHQAVDGHGKGFFT FNEFLKYLGEERTPELEEYFDKYVNLWLLISSYESTNTSS LY89DRAFT_723086 MATSTYTETKVGDVKTTVKYLQPDSKNVRYFSKGLEVNTGKYDD VSIVVHDARPTQEEFTLDNGGFALIQHNSKLTNFYSREQLDTTYASEITSLVKSLTGA DDVVIFSAPVLRKTDAKVGTTYQPRAADVHTDYSSGNAEDFAPKKAQENGDIKYSRFA FVNVWRAITPPPQDWPLAVVDARSVAPDEGTPYPMIIVDKIPEKLPIVPQPAYTIEGA NFSFKPEHRWYYFRDMKIDEVLVFKLYDSNRHQGSKSWRCPHTAFFNPTEGTIPRESV EVRTICYFK LY89DRAFT_723087 MQKSGEEPTAMTIENAGSPEAHHDRKTQLQSVDLIEDEYPVKLS WRSWLVVFIICFVNMSQIFVVTAAGSVIAFIIRDLGDASISGWIIQGPLLMQAVLSPI VGRLSDVIDRRYLVSIPPLIAFAGAVISARAESMSVLIGGGILIGFTLSTVAIIEAVP AEVLPLKYRALASGLGFVGGATGGLLGVLGAGGVTNTDAGGWRSIFWIQAAFHLTSSV SLLIFYHPKRHPDYPDMTLKEIVWACDPIGSALFIEGSLLLLLAFDWSSAYPWGNVHV AIPIAIGGASLLLFCFYEWKGRSDGIVAHSLFKGSPNFMLSVFAFAVEGWIFYSAVNS ITPQIVLNLGFEDTSWQISIRQLSYNLVSLFACLPITWYATKYKDLKNPTIVCFVLFL IVCICYATITPAMNHAQIGYNVIAGIGQAGPLILIVALVQFTAPHQYLSCATGLAFSA RAIGGAFGSAVLDAIINGKLNSKYEPSILSAATGAGLSASAVPALLAALAAGDSTAIA AVPGINESIIAAVVNASHSAYASAYRLAWVSIIPFVVLAIVAIFFLKGVKEQMTEKVE ATVEHVPDKLGMEK LY89DRAFT_710354 MPVKSEPEVEQAKPPLMDTSRPALQSQAVILSPPQGRPVTWIKR WPLTIIAFAIGAISLPLSMWQPSKTPIDPLDYTARTKHVLSTTPLIDGHNDLPHLIRI ELYGKMCDGTFNFNDKLLGHTDVQRMRKGQMGGQFWSVFVECEEEVGIDDPTWIVRDT LEQIDIARRFIEEYPSVFQYCDTPRCAREAHKAGRIASMIGIEGGHQVGNSLGAIRQM YDLGARYITTTHNCDNAFATAASTVAAGREDKGLTPFGHEYVKEMNRLGMMIDLSHVS HQTMRDVLSVTQAPVIFSHSGAYSVQKHLRHAPDDVLRGIKANGGIVMAVFVNRFLNM KHPEEATIHDVVDHIMHIAEVAGWKHVGVGSDFSGTPAVPIGLEDVSKYPDLVALLME RGATDEQVRMFAGENLLRVWADIERDEGKRFKQQAIKGWATGFANSPFMFRSTRERSK NIKRIAHNFNYPKLLSRKL LY89DRAFT_759135 MSEASKELATSVHSLSEPSLSSKSVAENKLVWKLDVVLMPPLML AYFTHTLDRANLGNAKTGGLEADLGLVGNQYSLLLILFYIPYGIFNIPATMSAKRFNP AVVMPIIMGAWGTLAMALAAATSFGGILTCRVLMGAVKAGFLPCAMFYCSLFYTRKEL ALRISAFGMMGFIAGAVSGIIAYSVFQWHRELKGWQYLFLIEGALTVGISIFNFFWLP RSVTTSRWFTEEEAKLSQSRLDQDTTHEKGFVWADAVTELKDWKVWSFGFMALMYGIG SNSSSNFLPTMVKRLTVDTAKANLYTVGPNLTAAFIQLSTSWLSDHFQHRATFSAGAL LVSLIGFVLLGTLDLVHEVKVGYFITYLITFGTFTPGILVPAWVASNTHTTTGRALTL GLLFMGQNFAGIISSAVFRAQDAPVYKPALVTVAVTQGVFIVICLLQRQYYARINKKL DRGEIGHVAGMELNPSFRYAL LY89DRAFT_594882 MSLNLETRDFFLLPLVSSILLTIVYFLFNEIARYTARVKGLKGP RGLPVVGNLHQATAEQHRLWAKEYGPVFQIQLGNVPVVVVNSAAAAKNLFLTQTSALN SRPVFHVFHKIVSKNVLSIGTSPWDDSCKRRRKAAATALNRSKTESYLPILNLEVTEW IRDLYEEGNGGALAFDPTDLTHRLSLNLSLTLNYGFRAESTKDFQNSPLLQEVVHIET EIGKLRSTGSNYSNYIPALRILDRIKEILHISPGPAYGRDIGKRRLAYIAFLATSKQR ISKGTDNACIQGGVLKDPEASNLTEEELISISFSMMAGAETTTPTIGWGILFLAHHPD IQAQAYNTIVSGINPSNPLAPGSPQVDYLMAFTKEIMRYYTPLRLALPKATSGPAIWD GTTIPANTMVFLNSWSCNRDPIPFPNPDVFKPERWMKKEGGQRESLSHYTFGYGGRMC VASHVANQGLYMAFLNLVARFELSPGDGVEDINEGCIDPMKGIQDVRATRAGPKGVKV RFVPREGRKNIEK LY89DRAFT_240890 MASLWGIAKRGAAITNMHSTTSQPTSTIWASFYPDYASSSRFVL YSSTMSSEPLNFEPIKDDERSVLSSDAQHDILNLFEAAINSSLHPNIDEKAERFVKDL VTFASEGNSGLDADSVASATWKVLINAASCIPCRHCGQDVLVKIVGLLDTAGDTWKDY PGFGITMRENWNRSPVFQIDDDDDGGAFTLDEWLNLNSFLARLGEDFISFGLWELRNG MEGRSAEEMFTPKAVANARILVAAEWIIRGGRGLLRESLLNALSHEPTSGKPWAGGPL FPGARGFNLERWRFWKRR LY89DRAFT_786884 MDPSHSTSFSQWAVPSEPPRRPAGKSPFGSWHNGHNGGQRSQYP SQFGNGFDMPSVYEESYHPIIPLNHRFDKQVQHSVDDVWAHNTSSATISDINPTASKG PSFANILQADDREKAPESTEEPSTARRKASRRGRIHPRIHPNEWKKYKDCLENLYIEK QMTTVKIKDHMKAKFDFDASKQQYKNKFSEWVFSKTLRKDGPPEEFAAATPIGVSYST PATFEMVATPVNVKSVPSRPPEPSNSQPKPDAEEQDPSLFHLRSTWKGYTLLDAENMV TEAEAFDDLGKFEEAEHKFLEALAGFESLISPSNERTVSLAYRVAEFYAKNVRMHEAD EILERAVEYLQDPPVKDHQVREGGQNTRPTVSQKRTFISLSLTDSTSESDIGDKPQSL GFQLMLNKVRVRAREEEAEPILLDLIKQCEKYQGGFSLEIVKARSALLDLYTELDNLE QFRLALKDSRSCVLAILKKKSKVPADVSLLQEMIELVEHFIRAGRYNDSESMFKVIER EITTTFEIDEPSSAIDCFVAIGMAYQRHNKWRYAQPRFEHALAVAIGTQGPESTMARK LEAALDNQLFSMAALPKKGSTTLVRRRLRR LY89DRAFT_739466 MEEDDYEDDEDDDEPDQSARNFSFLGSSLDEATHPSGVNCEIKL YEARYNHKGERPRLQVGKYHRKQPELHQDHNSALVFTRWYNYDSEVETTELVIRSLHL KKALSKVIKEYPGINFKTQNIILGDLPKCLFHYRKELEAYGETLPADSDAFEHLELLL EHMWQQLQVPYASYSNLMESTVIKPGLDFSDLWMAFKPGDLLYLKAMGCHRVVQLKTM ILAGSRSFWKVYFVYIADDGRRVGYIKNECRIEKYDGYRPLVNLEIFPLQYHPDQAGV KKHTIARGQNMMALRGVKYRMYDGLIRAFELQKRRTVVGDSDVLPLPATMTKARVVID AQTFAKKNQYSMPFTDETLGRLPKYAESDEYFLYDEQLMICDHEVAGFSLAEKRWCWF DVDNIFEVEFNLTAFERLLLPQEQKDMIYSLVEVHTNSNLVFDDVIKGKGKGMVFLLH GVPGVGKTLTAESVADQTKRPLYTISSGELGVGTKAVEDNLKAALDLATTWNAIVLLD EADVFLEQRSMQDLDRNSLVSIFLRLMEYYEGILILTTNRIEAFDRAFKSRIHLAIKY HALSPAYRVNLWHAFITSTIDADRTSSDKLKNTYPWLTDTYLNEIGREDLNGRQIKNT VRTANALAVSAKVPLSPKHIETALKAMRMFESDFAESHHEAEEPSNKRRRVG LY89DRAFT_700490 MCLGNPQGKSSWDKTDICVVLASFLCLAASICVVTPSLTLSWQL KFERQIIIIGFLLSLMNLSLKRIAPTLFLISELRWGGSSLQNYDAILRNSISLSEIGY FWRITILSFILLPLGLSVGYKRFTGGTSSAVIGGKFPGRYGLAVPPLGDFTTMNNSVY FAIDANVPFMAASSNDSVPLPFESLPIAYGYNTLLLDNGSAALLDMPLPDYVLSIQQN LNGADFWNISATVNATVARYNTLTEAYRSNDSFWQETMDSSWSGSMGGLSSFELFNGN ALGFLPGIPNDYDGAYCLIGSYNSTLFWVGFFNDLSSPDAVAFRSVALMFNIRREICA GKWQINRTAITLLEGSCTGIETNQGPLSQYSNVPFPLDALPVLAHALTSYSVERNQSA WRLPAFVTAIATSYWARLAFMVPGQTQEGQFDSELNYPPTHEYITSTTTTLNATWMLY FLLAFQPVLTLFMFLSTTLFYSSPIGKGFGLVAVLSGIDSNCLHLLSGAGLSGELKRP VKLNISVVDDINDVQPMTSY LY89DRAFT_710359 MYMNRIAPSNSQLYISDTDGSNKVALMANQTKPFDYHANWSPDG EWIVFTSERRGDGQSDLYRVKPDGSSLEEVIVTDVFEDIAFVSTAINHTANVFVKDLT NGSDATPWNITGSDETVGSFWGPHGFFRPQWSPDGQWIALSSDASTDWTGHGNGTGWE HTQELSIYVVRPNGSDFRKVIGYSGWSLGTPKWSADGLRLVYNNMTVNNTYYAHGVDY QEEGVVSQIFSVDVGTGLDVVQHTFEETCKISGTYIGNSSMIGYVIKAGINAGINYTI SDSTHGYINGTYLRNPSWSPDGSKVVYEVPDFTLRTAEESLWSFDSEWEYRYMDVFPQ LQSGTGKLVTTQKNEGNASNNALVFNADYTDQQTVVNVYDLNDSADYVYNYEIGEAGA FQPTWRSNTSGLAVGFGFWFQERSDYPSTIYLADSNSTTNSSQYINLTDPSSAHNAGF PSFNPDGTKLVYRLWDGDNGPLGLRILDLQTRETFNLTSGWDNTPGWSPNGELIDICT IKPDGTNLQILTDSGANDAHAVWSADNHIMYNSGMYGYRDEDALYDNTFQPYGRIVIM DADGGNKTLLTDSMWEDSMPLYVPNSYLG LY89DRAFT_786888 MLTTSSKTMVATMAVFMVVSGLSVVLRLFLRKQRKTSLKADDYF VIAAWAFSAALAITNIVGVPVGGFGVPFESLSPAKAIVFLKILFVLQFWYIIAVALVK FSVLCFYGRVFGIGRYPTSVIVLLGISAAWLISFLFATFFQVWPLWCNWIACTPTTNY PVMYVLSSATDIVIDISILTLPAFFIRKLHLSGNQKIGIGAIFGLGIFCVVSSVARLA YTVLFQLADIEGNYAVNFDTAVVNIIMWSGIEACASTICANLPCYGPLIGRARSISAI ITNIGSFFSLRTSKNASTKRKPDLERGVSASSDNIIWLEPSVENSIEGGAVKVESREE AREYVELEEGIKVRNSVNIDQK LY89DRAFT_700492 MARFMFGLSFKGAGENASDSAKIEKLIQSLQLLKHPEGGWFAET DRDPLRIPNPFLSKEQQQHAAVQYTAAAAGDDTTRSAMTTIFYLLTPNSPKGRFHRNK GRTVHTLHKGRGRYVLIRTDEAKPGEKARIETFVVGHNVEKGERLQWIVEGDIYKASY LLPDDDGSTESESGLLISETVVPGFEFSDHNFMPANVLPELVSAKQAVELQWLQSSN LY89DRAFT_674608 MLEALVAIGLAGNVIQFVQYAGEMISQANAIRKSGSPSSLPDLR KLTESLTKQACIIEGHLEALNASQSITNEGNAATPRSQEDQHLLDVALDCRKTGDKFL AYLDTLFDPTASRNIIRSAKLSVKFKWAQHRIDEFASKLDKFRSVLSLATILALRVKT DDHNQVILEHLQALKTDSQGRVLQGSEGTRALQALVDIIQLQSGPRLDTIQSEIEKCL KKIDDLRRKLPHTAEDDILRWLNFRQMSWRYEEVPLPYQQTFQWIFQPSRDGLWDDFN AHLTHQNTVLPYWINGKAGSGKSTLMKFVVDDCRTEEALRRWAGDKQLLVVNFFFWNL GTLLPKSNVGMLRSLIHSVLEKYPELIPAVLPELYRSWKDSGPNSDADREPSQIEIKK AFDLLTSKTSGFLKLCIFIDGIDEFEGDHKEMSMFLISLAAGGIKVVVSGRPISACIN SFRDCPTLRLQDLTKPDMELYVKGNLSSHRSMVELIQRFPQHANDIVNEIKTKATGVF LWVKIVVRLLVDGLEEGDDIADLQNKLRQLPPDLRDLYIRMMGKMQPEHQAQAAEIFQ LFHAWNLSIVDQPLRTLVMSLAMQPPSEALGRKVEPLETDTIQWLCQNAEARIRSRCC GLIEVHKKVRETCLNDSSATPSYNQAPKAGLHYLVSDVDTESTIEFLHRTVAEFLISG DVWNEICEMTKNSTFNAYFNFACGCLSLLKTQDVHLSPSLYTHLDNIMAICRRSTSIS DRDLGQIYDSIESTMQQHQFQCYESREPFYSSLHWSADLLLVTTVPTSNQSVRSAANA FNLAARLALCQYLKAFCNFNDLPYLSRFCMVLHAMETWKISTVSLCDRSDMLLFLLEN AAKPEDSGPDSRLDTNLWAVAYTICSELLEDNKHVEAAELVRIFLVKARSRRSLMATK VGEVGILYPSTLIRRIKSFEKTLGTELEELRASKGPARGLSRYFRDMVAAESAKSSAG SYISTSQNRTTPNNPDFKLPSVFSTKIDTSRRAGSPRNRRGNKFKIQHDCTSSRILHN GGPINTAFNNTAITPSSEAYVVPPICPFGDHNKSQFTYDPIHSNQMYQQFPMQQSQHH KHQYNMSFSSSQFPNSFSPFTVHQPRQTPHMTPNMSPHTTIQFSNQMQQQQQMKTPIN APTEPSSHRRKRLAPSSMGKGPPTPIYPLEQQVSDLSPQTTIQMQQQQMKAPINAPTE PSSHRRKRLVLSDMINGPPRKQRFVPTTQSR LY89DRAFT_241203 MSSYIINKIFPTVLLLKVCQVRERRKHSSKTPHPAFVAVVICLQ VIQCSIIVQRYQLHRPHFHAYHGSSNM LY89DRAFT_241358 MDISYDELKENNEQLRTEINQYRIQLAELHHKDYQVPDGSIRDE LQSICRAIDTWISYASDGQGDRVRKRFKLAIENEENDARLSDLGLQPERPATSDAKLK EFKCLGELQDFILTLVIGRCIFTSILAQVYPVGITEEQLRSTVCQWKSDTLKAFCASE SFSKMHNKALLEYEQDLMLDLIYWLGEDRFGAYKDRLKESVFDPAVKLHLDMRCSSRE YEVIWMPENPKLDATIVQELAHTWTLKDISSWRPVSSLDPDHGLHWLFPGLTSIGTED GEKLELVKPVMVVYKRPPPLQSKRSLPPSTSSSPGPNETSSGQHTKDSTPKHYKASKD TSSGASKQGFMSRAASNLSSILSPTPSRKPEETRPPPKRTDTGASSTRRPSHDPSREA RRKTPMHPDEEAHPSDPYQGYSRSSDQEAPASPSYQGQYPFHSDHASYSTHVPLSSEP LELDYTYVDPEEMQRMYYRKGYQSASVRHSPAHGNEYGSGGHYQSPGPS LY89DRAFT_241035 MTSTNTNTVKALVAVDFGTTYSGLCWALAEKPGDNHAIQHNLIQ QWPGEAAGYNKVPSTLLYDNEGTHIWGYQIKDTVPRHEWFKLGLFPGAEDSNLAQRYP SLSALQKVHGEDAEKLVIDYLTSLRKHAESTMETTYGRALFSKISREYIITVPAVWSD IAQDKTRRCAQKAGMGDYVQIITEPEAAGIYALDSTPNVDLKINDTFVLCDAGGGTVD LVSYTIAALKPIPKLVESAEGSGGLCGSIFLNRIFSSHLKEKFKNYPDWTEEYHGDAL KAFDDDIKKNFMGDTRTPYIINVRPLRKPELGIVNGKLKISGQEVKEIFEPVIQEILA LVKGQITNTRKPVKAVLMAGGFGLSEYLRKRIQGAISTDIDVRKVAQGETAIVKGALI RGLSEKEPGLATIRVESRIARRHYGTMAYDIFGEGHEEGRKEPGPFGDRIKVMQWLIA KNTNISETLPNKPTLYWWDRPVLDGPPTIITVAIYACDRDQQPEYPDASKYSKLPLLG VRMSADFKRARCLQACQP LY89DRAFT_689165 MAQANDTIAIIVVLVVFMLVFIVWCLCRPRTRLLDIESNRPRTR AISTSSYTISNRPRRPMRQTSIRTQSVRHSSRRRTPITRPSPTRFPPPPPPPGPGYPN IHRPPVPESDSDTEPEPERRPTRVPAVVQPELRTGNRAPGPALGPGLVPRPGNANVDR GPAPAPPPGNPNIDRRPGAGPGNPNIYRGPVPPAPGNPNIARSPPNRNR LY89DRAFT_786894 MLLHDLDEDVLGLIVSYLSPIRQDVVNLALACRSFYPITKYPEH ISVTCPSAQYLALTNIFIEDPSYGHKMLSLHLRVDAPKCTIRRSTIDAFFQRVPNLRT LRLEADVKPHYTNLLLSPNLSFQNTLQTLHLTDSSLTVQEILNLLSLPKLRHLNLASD RPIHYSPPSTPQSPFLHLQTLRLNSLLPASILASILPRTLQLSSLRWKIALPANTLQT TLSHLSQSLVSLNLSTNRQTWVSHEIPPLDLSAFVCLTHVVASAELFFTPLSPQISRD GFFDLLPYSLKSITIYLPFQISLFYNLSLSHVDKVGRQAFLRGKLDPSLYNFIAQIAD QKRERFPNLTKVELLEGVGGNRGPFVEESWVPPRKVREKFEETGTELRVVVRADKVPG RDEGWVHWRGLGQGFRGSVW LY89DRAFT_625798 MAAAPPVPNGKAQNGSAIPPQSPAGTANGQALTGKQEHYLKREL ISHQVKFEITELNSPTALQRFGAPFKSDFGEVSPLDSDLPILRYIFVHHVREFPFLDK AKEKEFWQDKLQVFLESFAKKHISSSEDRLEETKRRKLSIKCQKLVELMMVSGVPTAS GYEERIRFSELEVVDAGAIEHGVLQTLPEGHYTNGWDVNVAGVRTTSVKRNIRYHKHA EFILRIKRKNELEYFVGRRYGDFARLHKRLRQELPGKVLPPMPKKNKQSSTASNLLSG ITGGNDSDASSISSVSTMGTVPQVQQSLNNLSVTGHRRQLSAASMGRASPRSSMDGRS TTPALQSEEVRNKPNILRRKSKKLTAEQPIVLWRENQRISLRAFLRTLLSNPQIANTK AIQDFLSFQPITPTDADVEDIARRKAMDEKRVEEQKQFYEIARKRAAELDVYMEQFRR DIIESNGLTKLFKEIKEKKTIQDLSIQYQKFAEWLRIEVAATIYHLFLAEDNSPELFA QAKKIHSMIPYTLIKNAIRIANPAAVMSSILDIFLAQPFGARSLMQRIFALTLNDGIR SFQKSIDAVMDKIGDPVFCEKLKKFSDADEDVKNIIREESKNDDVDLIVAILRSELIE PPLKSDQVGKIFNAYVAWNHVVENVDDEMKQGAQLFSYLKQLLKLYTRQRDKAMMLSM IEEPVTLQLLKDVFVIFYEPLVRVYKSANVYSSVTDFAVFIDDMIQVVEKCREQDVSA DPNQTVQAFIDLCQRHEHNFYKFVHEVHTHDNGLFTQLMGWIEGILEFLRQGPKAGKL DINALFTGAVSMNQLDKEKAISEINALISWQEARKKWHQDKTRQKMAAEGNISNVETI PGGIAFKSSDFGLDQMDLQDMAYEEEDESSDEEEEDDELDPIEAERKRRARKQDHLRR TAGEPVKPDVGEVYKLKENFLTMLRMVLAE LY89DRAFT_655061 MNTHLADFGKLKDSSPPNPTPTRPKHLTTRSISEITSIPKVHKH HHHPHLHHRDKDPAASNPTLKVQPSVVDVVGGERSRSEGVTPNESRNVSRRGSVNVAV VGPWEDSVGMGALQREKRVVKEGEVREERERGALRAAELRNALMGLNTLSNNTTRRLD NTYYSVLEKLSVLQSTISSLKELASMTKDLNQEFKNESEELVREVSAQLEGFGSFAEQ EKRIGDLQERVKAGRERIKALGGRVDIVKDRVEGWERAEGEWQERTRKNLRILWIVIS CFIAVLLALVAFQYTPAMTGPEVPRGLNTTGLLGKVPDFESFKNESQALKREVEERLD GSMNRAQPEENPRLRVFDEL LY89DRAFT_689167 MSTEVATAATAPQVTAQAQGMRKNGKQWHPQKSAFRPTSGMTSY EKRQEERKATAAVKAKEKEMKEEKEAERQRRITALRDKRAAKEEKARYEKLAETMHRK RVERLKKKEKRNKLLKS LY89DRAFT_594951 MATLTTAEIAPQPTPVFTVKGHEALMDAVEDIVFGSIAGVAGKY IEYPFDTVKVRLQSQPDHLPLRYTGPLDCFRQSIRSDGILGLYRGISAPLVGAALETS SLFFWERVGRESLFAAGLYQREKPLPLSALWLTGAISGAFTSLVLTPVELVKCKIQVP ISASTGKTPTPLAVIREVFRHQGLRGFWNGQLGTLIRETGGCAAWFGSKETVTLLFRH LNSRSATNTSSLPQHKNPNPQNLPTTSSDLDLTPLPLWQQALAGASAGMSYNFLFFPA DTIKSRMQTVAVDNKAEVQKKFWQEGVAIWRAYGVKGLYRGCGITVMRSAPSSAFIFI IFDALKGRFHLS LY89DRAFT_689169 MSIVGYSAYRAYSTGTSPLSSASKLLLTKQGATLYTIQLGLNLI WMPLFFVAKRPIEATVDIVALTGVTGYLAYVWGQVDEGAAWALVPYLGWLGFATYLSA GVGYLNDWNLKDKEVDASPAGKDTRYVDEKK LY89DRAFT_241954 MDAYPSYREPPLARSPQERTSWSSRDDGYRPNDRADNSRNDNFY RGRSPGVDRGRRTRDRSRSPAIVDRYEPSGRLPRDDYDRRDRGRDRDTRRSPAPINID RYVPGQDPPAITANPLQDPAMLAFQVGFSYFGEWWRTNEKIKEEKERIKTGRRPEPRR DGPEEREKEKARIQVAYDAYKEELQAKMARSFVQEHKTEQWFQERYYAEVRDPLKAQL NEFRRGNYSQWEQDLESGTFDEYSLEGIPKNESNGAGGVVEKEEGETTATNEVLGVGD LVPSRGSDIRDENAYQPTLLIKTIAPHVSRQNLEAFCKEHLGEDEGGFKWLSLSDPNP SKRYHRIGWVMLHPAPEAIPIVDRPEPKDEDGDVEIVAPPVPESTAVKALEAINGKTV KDEARGDFTCHVGVHAPPTQPRKKALWDLFSAPERIEKDLELAQRLVQKYEEDYESDF NAVLKIEERVEDLRQQGRLQPPVTAPVVKKVKKTRDYLGLDEAMDEGEEIEEEEEDDE EEGALDDEVDDEDLLVKKKQLDLMVEYLRRVFNFCFFCVFESDSIHELIRKCPGGHLR RPRNTLSSAGKAAAKASALGEPFPGKKRTNTDLTEDSETGPMSPGEERKFQRNSTASK AEQQLQRAFNWVKTFEEKLMQILEPESVDVRKLGGKPTEEALKEELAKYVKQEDDHKF RCRVPECTKLFKEEHFWKKHVEKRHPEWLEGLKKDFDLINTYVMDPAHIAPSRSDANS NGHFPPSNGHVPAGTPRGFNLQNFSLTGMPPMQGFGQQGFAPFFPGAVPGAAGWNAAD RGAGPIRRGGRPYQNNARAGPYDRRQRPDAGRLSPPPGSRRGGMASGSGRWGDGAAGG AAVGPREAVQGRSLKSYEDLDAVAGGGSGELNY LY89DRAFT_786901 MTRGEILHLHIGQAGTQLGNSAWELYLLEHGLKQDGRPDPDAKA LHDSGELDTVFTETGSGKYVPRSIFVDLDPSPIDEIRTGDYRQLFHPELLISGKEDAA NNYARGHYTIGKEILDGTLDKIRRVLDNCSSLQGFLIFHSFGGGTGSGFGSLLLERLS TDYGKKSKLEFAVYPAPRVSTAVVEPYNAVLSTHSTIENSDCTFLVDNEAVYDICRRN LDIPRPSFEHLNRLIAQVVSSITSSLRFDGALNVDLNEFQTNLVPYPRIHYPLISYAP VISAAKSSHESFKTQDLTLQCFEPNNQMVVCDPRNGKYMAVALLYRGDVVPRDCNAAV ASLKAKTSFNLVEWCPTGFKLGINYQKPMSVPSTSPNDGALASVDRSVSMLSNTTAIA EAWSRLDHKFDLMYSKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVAADSFEPG EGEEEY LY89DRAFT_723106 MALSQASANSTNASFKDKEKPMAVRAANILAARAVADAVRTSLG PRGMDKMIQSGKGETIITNDGNTMLKSMSVMHPSAKMLVDLSAAQDVEAGDGTTSVVV IAGSLLGAADRLLQKGIHPSVIAESFQRAAAAAVQVLHDMSHPISLNDTSALLQAATT SLSSKIVSQHSNILAPMAVTAVTKTIDIKTADNVDLKNIRILKKVGGTIEDSEMVDGL VLDQPVVKSGGGPVRMEKARIGLIQFQLSPPKPDMENQIVVNDYRQMDKILKEERTYL LNMVKKIKKAKCNVLFIQKSILRDAVNDLSLHFLAKLNILCIKDIERDEVEFICKSTG CKPIADIDSFTEDKLGSADLIEEVQSSGSRIVKVTGTKSAGKTVSIVCRGANSLILDE AERSLHDALCVIRCLVKKKALIAGGGAPEIEIASQLSKQSRALKGTEAICWKAFADAM EVIPTTLAENAGLNSIKVVTELRHKHEYGEKNAGVSIKSGGVKIDIADENVLQPLLVS TSAVELAAETVKMILRIDDIALSR LY89DRAFT_689173 MPSAVGRDWEKHKKDFADDEIEEKKITPLTDEDIQVLKTYGAAP YASGIKKLEQQIKEKQNSVNEKIGVKESDTGLAPPHLWDVAADRQRMSEEQPLQVARC TKIIADDKDAEKSKYVINVKQIAKFVVNLGERVSPTDIEEGMRVGVDRNKYQILLPLP PKIDASVTMMTVEEKPDVTYGDVGGCKEQVEKLREVVEMPLLSPERFVNLGIDPPKGA LLYGPPGTGKTLCARAVANRTDATFIRVIGSELVQKYVGEGARMVRELFEMARTKKAC IIFFDEIDAIGGARFDDGAGGDNEVQRTMLELITQLDGFDARGNIKVMFATNRPSTLD PALMRPGRIDRKIEFSLPDLEGRANILRIHAKSMSVERDIRWELISRLCPNSTGAELR SVCTEAGMFAIRARRKVATEKDFLSAVDKVIKGNLKFNSTATYMQYN LY89DRAFT_739485 MTIVVSDNNEGPVVNIAAWFGTTVMVLGVCTRMWSKYSAMRKWA LDDALVIVTMLLGCSMTVTITLAVANGLGTPQSSLTDYQTIRLQKYGYASQLLYIPAL CLTKMTTLVYLHVLSPECRFQTMNMTLEAFTILWSLAAEFAIAFQCQLPSPWAAISGK CFNTDTFWRINGGFDIVTDVMIVFLPLYLVWSLGMPWRRKGVVVMAFGSRLLVIPFTA WRIYSFSAINRHDQTRSLYYVYLTTTIQLNFAIFMACVTFLRPFLESTASGGLTTVVN STKTSSTRDTLSSLFSSQPRSEYKVSRPKPSYAMQNLSEVGLNETLNTTPRKSDSNTN GWHTDAPSINSNEINHPAHIYGIAR LY89DRAFT_655080 MDDKALGSFGDLELADQAGSASPIPDVGGNWSNMTRDEREMAFY GKKEQLKRRFGFLSITGFICSLLATWEILLAVFLYGFENGGPAGLVYGYIFCWVGTLA SMASLAELASMMPLAGGQYHWVSILAPPSTAKFLSFITGWLTVIAWEFGQASAGFLGA TIIQGLIILNQPNYVPTRWQGTLLYYAVLAFMVFINTFLARWLPKVEGVFLTIHVIGF FAVLIPLVYLGPHGDAKDVFATFINGGGWSTDGLSFFVGIITSVYTFTGADSANHMAE EVHNATTVVPWAIVATIIVNGILGLAMLIALLFCLGNTDAALNTPTGIPFIEIFAQAT SSNTGATVMASLILFLVAAGSSGTMATASRLLWSLARDNGVPFSGYIKRVHPSTRLPI FAVLVSAGIAFLLALINIGSTAAFNAIVSVAVAGFMASYTVPVALILYKRLRNDPVKD KLNWGPWCMGPIFGPIVNAVGLIYSIIALFFSFFPSTVTVTATTMNWSCALFGGSVIF SIVYYMVYGRHTYKWPVVDTIRRNQ LY89DRAFT_655081 MDSIQKPPLQLLVAEPSDALEILEMQIASFKDPYEWGFYVLFPE KEDREKGVKRMLDLWLNDPTATYIKVVDTETRKIISAAKWCIHKEPPPKSATVSQKIH FDWLPDADSNEWGEEIWDFLLQGQLARLQHGGCCIIEMLCTHPEHQRRGAGSMLMKWG IDIADSLGLRAFVQGTHQGKEHLYQKFGLMDHEGWLTVPVSEKHKDRPVVGWFNLERP AKTAHVEAKDST LY89DRAFT_786907 MSSWQPDSPVERPDGSLVCKAHGLVVCGVCTVDYSFMLENLNNS PFYDVVPRPTREQTLNPVPGVFPTRFRPSAPTDIPSSLFTYGNIFDHHRFVRRTDSKE ILIFTDGACLDNGKENPRAGCGFVFRPQTTNQPVKGGSFEFRLESRGPSGDALPQTSN RAELRAVIAALQYRAWDGEGWNRIVIATDSEYTVKGATEWIKNWQSNGWRTSNREPVK NKDSWELLLKEVRFLKEKGVEVVFWWIPRELNGEADAAAKRGAQEGEQQQFVRYCGVY TGIGGEMMSLMMPLPS LY89DRAFT_723112 MRFLLSLLALSVEAGLVLGKPYARNGIIYDETPEPLKARHEVRD LGAEESILDIVASCGNSYNLFTTGTGPVPTGKPDPNFDYLSFCCAFNQPTKTLTSISY YPTTVTLAGTPSTVTAVTTSTATLAGSGTATATCPIPAPSMICGQGGWGYATNNIYSK SGIDAIACHELCLANSACQSFQVETNSSTTTPTCNLYKVDPSGNNTIASPAAPFSFFA RDCPDHIPAACSNAGIQVPPIVIVPPPSASTTAPIVIVTPPAFNPDTVIITPTRIPGP VVTERAEQWATIPWFLQPFGTATLSEVCSCIYTHNIPATTVLGVLPKATTIYLALPGT IVTAFVTTVVTIGGGQPVTSIA LY89DRAFT_786909 MSEPDVNNTTRILTANAGNGLAASQNIPEGSLIIKISNPYLTLL EKAHLDSTCSWCFTKPENSTLKNCGGCKVVRYCSSDCQKKDWQAIHKKECKILKTSPD VLPTPTRGLLQLALRHKFRDEPDPKWEGLVMNKTQLIEAGRYDELTLQSMIAAKYSGR GDRWVFLGAQVLCQMSSNAFRVTLPDDTPIGLCFEPTLALANHSCSPNAVVVFDGRAI SFRALSDIKKGEEIRISYIENTQTRENRGAELEHRYFFTCQCEKCFKDETAYQTFLRL GPQIVTSPPDRLINMLIDPTSLPKNATSCLDSYNKLSLDPQISQALNSGQNSLDAYLK SSTDSPPSERLSFLRNLCSGHFFGIPAIAPSPAVQHNIFLIHLDNQSWIPALIFLLSL ALHTDPYNYPAPHHLVRVVRIFTIAKLFKFLSTLSPPEFVLLLSDQDIETRDSIQKIV PLLDFMDVLQVLMAIVSEEVGKSHGVGSRFAKEIEAEVEDLREGRKMAGGRKSGEALE RWLVDRRNDEGAKAAKRVVQVLWALANCVKTLVGVNL LY89DRAFT_689179 MEVVTGYCKKCDFEVGRFRNSWNQMGKTYYSPTYPPLSTDGMET RGDAFEGANDSQAADSMLRDIGCEKCGAIIGIRCEKAPEGHLLNKNQLILRLCEMSVL SEASGKKAKISVLNSYSLVLGPGHQKVARAKVLPPLPSTRPATRAGSLQTPETTEAPV QPSAGVETIFDVVKFKTWAENAINKQQKDIDRLSGTLENIDRDMTTFKEFMEEMRADR VKIDRITSSEGDKATQLASLREELNALRQQINQNGGLVSQTSHDISTRKIDVVIKDIQ RITRKADEVDFMKEAIAAMKSKIESLEASGSSVKVESLKDEMAVLRSKTESLEAKERS KAFSSSVELDSLKLGIASMNSKIENIGKTSEVDLLKKEMAVVRTKVESLELTEQSKAI RSFAEVDTLKRDVAAINSRLEVTRRSNEVDSLKEDIATIKSKIESLEAMARSTAPSGS DKQRSNLPTTDKRKHDDHNDGYTIREVPTIGRSTSKRRKISSAALPSSNRNRGTEAIP TPYQNFDEQSIVMASSSDHSFSSPIPDQERENRTWDGFDDSSMGNAPDPSTPLAMTAD LMSKPTAASKLVIEINNSMQPPMMMPLRNGTIFDASTRNELLEVSHIEAATGKKRDVN GRWTSTPRKTPKRSQGNRKGRRTGVNEVFANGLIGNASTSEIEQGSTRTLRPRKAPNR IQPMASIEVDTCDGHSDDRPWQPDPDANVEDEEYEEIQDENKENRTATNRSGPNRQVI KRDETPNTDVKPVIFSSMSAFSRAEREHTVPPIQIDGVLDRLAMPSYFQCGTCLNGFR TLQSLDYHQEHSKSCKKSPSDVIPEGFKCGLCSKLFKSFTGIKDHLDKKRCSLTAAER ASTPSQSRAGTPRPSLKCERCGKKWKNEAQLMSHTCVPKSEKKRQSLLEQAVTAAANE AAEKADSEVTATATGEQEATHEATGGAVTGGAVPEAGQDAILADQERLMGEALEGEAS LFVQ LY89DRAFT_723115 MATTDIEVPDVGSQLSDSGSSGKKRKHEEEEEDIAKQIGMDQTP SLPQQPELPEFNLTSASRSSQDKESPRENDRASSPGWQTVERPRKKTKRVPKAESKNY PAIGFSHDARLQTYVKLNDLQSLVLYILADGPSPQFVSVRHRPQIRKVVVLMVPGLEM DMFYKQRQDNREMEDSRRDRDRRDRNYEPDDIYPKRLRPEKLSTSLQPWADMFEHIWP VKTPGDDKFGRMHSPFHTMLTAPAPKTKEEKDWKKNRKGVAPAKEPQGWKNTRVPIPE FIHPTEVLLENEYTLHPAIYADEDDKAALAEHRQSNGVSEDHGWVDTIVKSFEDGTAP EEEIESGSITAGREVLAMDCEMCMTGETEFSLTRISLVSWDGTVVLDELVKPAKPITN YLTQYSGITEQMLEPVTTTLQDIQKRLLEILHPRTVLLGHSLNSDLNAIKITHPYIID TAVIYPHPRGPPLKSSLKWLAQKYLNREVQKGHGTVGAGAGHDSVEDARTVLDLVKQK CEKGKDWGTNEAQGENIFKRVARAGVKYKSQGGSAIPDPTSGKSSAMIDWGEPKKGPG AAANFPIGCTSDEEIMDGVIRAVKGDADGKEIPGGGVDFVWARFRELEALKGWWNNNK AIAAEAAAKDAAVEANGDVEMSSVSKGKEFPDSTEPAGVIPSNSLPVPEQLAASKSVS DAAADLTNRITKIYESLPPCTAFIVYSGSGDPREMSRLQAMNATFKREFKIKKWDKLS VKWTDTEEQALRQAVKVARSGVGFICVK LY89DRAFT_739493 MEKDTENTTIQYQPQDGTRSNHTWFDNLAIIFTIKLIFAITFIY VFPYMNNIDESYGTAVVIGYGTAFLGVSFWATSKFLDQPVQAHGRRVVHYGEGRIDWR NEKVFLSEDDETEDDDCELESEEDSVVSEGSTISEDEVVDLQCL LY89DRAFT_242156 MDKSSREGTKFLYRRESLRQASISNTYLNENPSSALPGFYTQAS KIDKIKIRLPRAFTSLHASPTETIETTTIMYNESATVPVATTAAMRSLDIKILFGLLI ALIVILAIAYLCCIRALPQDYSEIYKEDLLFSTPRYINAHLKWLTDAVALLPTTMNHE EVHKRYIGVEKRLKRYCKWQVKKKAMKKAMALVWDEAGMVAQRREFLMRLDMVLRMRK EALVVMENGGAAVDLSLERKRVDAAARVFSAERWGAFI LY89DRAFT_594840 MSSTEPSQWAEKASIYLETLDLPRYTRPRLTRYLISILAFLSLI VFYTSHQPSLLISEPEFPSISIYAANNTNHLPHIPPKIWQIYLDYTPYAIMPYTSYIQ SFITHSPSHTYTLLDAHGALSIISKLSTSKTHAHILPLFYAMSRRVLRADFLRYLLLA IEGGVYSDMDTEMLRPLHDWVPDEYKSRTKLIVGLEADQSPPVGGTTYEVQFCQWTLA GAAGHPALWGMVERILNEVQKRPFESPMKDVEFSDEEVLDITGPAGWTEVVYEYLSKA AGEEIGWRNLTGMRSPRLFGDILVLPIDAFASGVPHSGASGPGMGEAFVKHQFQGSWR GGDH LY89DRAFT_739496 MATSARIEAAFDAATGLPKHLVYDGLQYTKSNYNPEGITGRLVV LVAVADDEDEDEDVRGAGPYHVVFNRWVYHKSSTDPADIGDEVLRTKEAIPLHHANPP QQAQQPAILNAAPHILQPLQVPPPVHYSAPLQPAPAPPPAAVPPAGNQPPVHVQQPAG PFAPLNGHRIPGIGFPPRAAIPNPNNLQGLFDVLPHQRGNFNYIPAGPYNNYVGTLRG NTAAPPGSRQTFHHVEVTYLSQLLEIAMRLLGRRLRPVDDFPAIAEALNRRFHGTNYG PRLYVHRGYNTVHSFVIKSLVINHNALVNHLGLP LY89DRAFT_655092 MVNQQRNIGYETPTSSNVDPDTSKTAFLDRATLVSETQDGVRII DEQEEENLSRSLGQRHIQMIALAGAIGTGLFLSLGGALQTGGPLGALLGYGIVGLVVC AVQFALGETSALFPVTGAFVRHADILVDPALGFAVGWNVVYGSYLGVPSEISAALVLI EFWTDKYPALWITLLIIITFLVGIIFVGVYGEVEFFFALIKILLVVGVIIMGLMIDLG GVPGQERIGFRYWKNPGPFVEYLVPGSLGRFLGFWAVMINAVYSFSGIESLSVAAAET KSPRQNIPKACHRVFARVSLFYIVSVFIVGLIVPSNDSALNDDSGTAAQSPFVIAATR AGIKAVPSIINAVVLTSAWSSSNQAMLAGTRILYGLALKDQAPKIFLRTTKWGIPYVC VLLQTAVACLAFLSLSNGALTVFYWLLDLTAAGTLVSWATILFNHIRLHQALQKQGIV RQELPWHHWWTPYSSRIGLVFCILFLFTGGFSVFIKGNWDTTSFISSYLDIPIVIVLY GGWKIFKRTKILPLELVPIRAALDEMNDKPEDPIPPPNGWKRLNILW LY89DRAFT_723119 MPQVTYVTYTRGVDAKHLHLGNLVHDFKDPLNLEPYVEKAYTDL SEPAPAWARSRALDNFALTLGETPEDEEKEEEDEEVEGNKYLVAAAAKSTQLEIDDPE EFFEKITLKSDKAKKWLASHISAAESLQPHAPPQIWMATGLILMTHATWTNLSSKQTF TPGLPAPFDPTGVTAIRRSSVSEHIRPVIGYEEKEDTKHIPGAVIHETGKYPGTRGWA IRWERVDTKVMSAEKWKGGVDNQLMLNIPKMFPKIAIVELQEGTYAQNADKGSEDDDN YWDLFIDLVEELE LY89DRAFT_242206 MKIILTGSTGYIGNEVLLQCLANPSITSIIALSRRNLKISDPKL KVIIHEDFTTYPPELMTQLADADACIYCLGSNVPVKPPELNQKINFDYAITTARTFAG FFNSSNSAPMNKSFKFVYLSGALPEKDPEKKLWFLAENRRMRGELENALLQLGKEKEG TGFEVFVARPGFVQKEGAVLKAWLVGLVGKYIFMPDLAASMVDVALHGYSRPIIENEM LVELGRKVATRGNS LY89DRAFT_759223 MHYQPELDGSAEPLSTYSSLEMESSRDRTSLFYAIESRLQALER LAPASLNSETAPPNFSHIDVDTSPNGVTNTSEDALDFAGSANFDFNAEHSSHNFASAI EVTSSVDPDLGVQEDDLNFDDYLDFSFASYAEDTDTSTSPISNFDNAQRQQVADANTM IANATMPANMLAGGPLGTPATAPAALPPARLRCITCNRPFHRTGDLNRHALKHNPNAR RYACPEPTCRFSGLNGMVRKDKMKEHRARHGH LY89DRAFT_689184 MSSRHTKKHEKLFSCLLCPSKFGLKSDLDRHRPTHQQVQIKYKC PFPGCNSRGMARKDNLKQHIKKKHNSAARQTAEIKDPNEAQDTTQFLEANAHARNLEL LEAVSVGEEERVRELILEGKSLALKSREALSAISIAVDKGQTRILEILMEEEDYWYYR RSFLSEAIGKHSVKMVKAILDGEIRAKEPLDLEQPLDQAILRGSAEIVRMILDATKEP PNYLGSVEAAIRSGSVEIVRMILDAIKEPLILQRSLAEAIRCGNAEILQLMLDTLERH GTLDLIGIESCIVRAALSGRVFVMRMLIQYCTKMHQENPLALLITEDVDAVLPVVFRP DNINRRFGDPGVTLLHLVVLRRPQLINLLLEGGFDINAKARFRSTPLSYTLRRFYYIS VPNHDLVNNEVVKSLLDAGAKISPGDLASMPLDLRANYCKPSY LY89DRAFT_674637 MDIADSNISTRKNGCNAWDDEFQAQETERPGAEMNSKQPNFVWS QESSDNLVAAQANANTLPSLPNTRAPPCATTFDSSINHLLTRPLPEIEISDRNIILAE LSSLQSRCATLQRLVAGASSPVKQCQCGHGAHQCCVATGTLAPTLPNLSDDDNGSNLI ASRLYLPGEELDTGDDGGAPDLFSRGYSRLVPSSFDVVSTESAFQTEDLVQQDYNLFN ASIYNTMEADFQDLFDFSAASIQSPQQVDGASALNTTTTFAGGAHNAATISNISATQP ANAVVSRVSNNNQRPYNCPHPQCTLAFRRRGDRDHHALSHNPNAPRFSCTYPGCSRVG RRGFLRRDKLAQHQAHMNH LY89DRAFT_674638 MRTRHENIADPASKKSVRHYYQISSNEGTTAQSEEAVLKLLVAV SEADETSVQEMISAKVDLYGRDSTGRTAGDIAATKGHLGVMQLLIGAGINVNFNKTQP WLYQALKAGKFETAKCLLKAGAFQNATTHIGGRSSTIFGEMLVTQSSEAVELLQKHRN ENLLQPLGRLQELYTRSIGKDDSPRTLQCLKLMSQIEPNLCGGDTKFQFVQTPPLHIA AATGAVGVASFILDLGADVEAKSKAGDSPLILAIKSNHLPMVKLLLGRGASLHAISHI QLHGPDDFMPPMPLMISPSRIAMIRLIFKQRGLSQDEQPLQIPSWRQIFICQPRDKSE RVAWIQIEDFPSFHIHSDIAEALVELEENETPLPAYIFYSSVYCLPQRILHHSGIRGK TDQKGCDQVGAGDEVR LY89DRAFT_759226 MISSGPMIRISCLGDSLSMVWGLLERQVRTCIKVTSAEQISQCH SSISKIHQRSQSSFIPVFQNFVSQHIIFFPTMYFSGAAIFAAFSFATQVFAAPSTTST LAKRSDFVTTLQTTMNSMSSSINSSANALNASAASNGGKPTLMTSFTVLGDIGGTLTN GLGSITQLIEDPIIDFSIEEIQVLVEVLDVWAEILEVVEGIVDAVVNAFFPGLVDEFK PFIQIWINVVDAFTNPFLDFYSELVGTLTGSPELAAEINDYIAVIEKIRDDFLLSF LY89DRAFT_242251 MRYAYLLLSAVTLLAKVHCAPVADNANPTVTISSGVVIGTAKAI ISAAQSTTTVYNYLGIPFAAPPTGTGRFAPPAAPTAWNSPLEATALPPACLQQFIYPE STAEFLEEVFNNPGGPAPPESEDCLYLNVFAPTDASPSNKKAVLFYIFGGNLQFGTGS LLNYDGSSFSGFQDVVVVTFNYRTNIFGFSASPELPTGQQNVGFLDQRLALQWVQDNI AQFGGDPSQVTIFGESASGVSVKQLMAQPPSPLPFRAAIMQSEQAVLPGTGLASYQAT LREFGCTDERKGR LY89DRAFT_655098 MSSSEPPKFRIAIAGAGIAGLTAAIALRKHPLIDVQLYEQATEL KEIGASIALGPNGLRTLERLGLHNAISDDVCFRGPSNLPMIYRHWKTGEVIGNDKHED VDEYLHRTARYHRSHLHGALLENVPRESIHLGKRVEGVDVLRNGVNVRFKDGTEVGTD ILIGADGLRSAVRQYFVPDFKLLWSGWTAYRTCFDASLTESIPDLPEDSTHWWCQKTS FFSSKLGKNSYTVVGGIHTDPDDPNAQLKYAEWDEKASVQAFRDLFADWNPIVKALTE VTPSVRLYPNLSCATTLDSWIFDNRVVLIGDAAHAHGGAHATGGSLAIDDAYALSLAL FSSFPVNSTETPSLDQITAAMKLYEKTRKPHAERLLKFVHANNDVRLEKLRRGVEESD GELRARAAKGSNTTWLHEHDVVKTFQRTLRSLETNGGASDEARPRL LY89DRAFT_689189 MAPNKKVFIVGPGFIGWNIIDLLVPEGYSIAGLVRRKKHADGIS ASGATPVMGDLNDKALISSQAQEADIIFHTATADHLPSVEAILDGISARAKQGKETIF IHTSGTSVLDDNALGAFKSTKIYHDDIPSELDSVADDAPHRQIDLAIVKTKSSELLKS KAKISIMIPPLIYGFNPKHQRLSIQIPTLTRWAIKHGYAAHVGKGLAVESNIHVLDLA RAYVVLLHAMENAGYQDGLLANPYFFCETTGDGEPSWKEVAEVIGEALKKNGKIEDAV PKEMPEELYGDLFGEYTGAVTGLNSRSRANRLRALGWKPVEKDWRRSYLEDELPVILK EDHSGFGGYSGTVAS LY89DRAFT_739508 MAKTVPSLPHAEDNSDNSDSEAEETPSIVTIIPTIVDMTPPPPI AASLVEPGTIPEIKDVYKGKASCPCCITWSAEPQKKITTQAATDTSGYAILARQTEGH GDFGRELKLHSMVIQSELIKEVLNVVLKGYPGITTGLEHLTVEAPFAAFYHRWAELTD AYEASTDTTREHLTLLITLLHVEFEGMHKTVADLLKNNVIEYKYIWAIFQPGEHIVTD MHGQDTVMLLESGLPYDETQYGGGHRGWALSAKHIDYNGSMTGFGTTKIKVQEFKGTM ALSELEAIPLDQHEDKKGMVTRLVERGRQFAALRTATFQEYSGRAVLKEDNSWDGHDT QVNGRVMVDPFAYFQFNRHDNLALRPLTAPPPMVDTTMFIPHHGPPPPLPPPGGPRMM IHHHRPPPPPPPCRYDDDGNPIYEKKQRPADTELAELSDEDLLITVPFVRGYSFKSKE WVHLNITNLRPVHWTLDLFSNLVLPTEEKSLLLSLAKSHSRSTTHFDDFVIGKGRGMI VLLDGPPGVGKTLTAESVAEEMRAPLYTMSAGELGTQADAVEGKLGDILEMATMWKAV LLIDEADIFLEQRGSGELERNELVAIFLRHLEYFKGLMILTTNRIETMDLAFDSRVDI RLHYPALDAAARRQVWVNFVAKLPRAGGQETVFSEQELDELARLELNGRQIKSAVKTA HLLASGMEEGTRFEHVRTVLRITRGVLV LY89DRAFT_689191 MSTPIQEKEQFSFPAFAADPPANQNHERRSSDEDGTLQGEFAED GVDHNHLRVTTSYASGQHQLEKERDRSPMSITQQKEQSRRLDDDLEMLRAERVVSNAE KSNEDSMGRSKSMMGRSRSRNPGEPVDDFDIDTTPIHEKTKIYQPPAHPTTKLAKWFK KIHNSSFLVRYFFYISPLTLLLLIPLLLGLLVFKQTTVGGVRLFWFGIWLEIVWLTLW LGRIIAKMIPYPMGLVSSLFTNNSKKWRDLGKALEVPATLFFWWLAIEISFLPTMKNH HLDGSKATRPWEVITNKVIVSIFVGACLNFFEKIIIQLIAISFHLRTYADRIEVNKFQ IQSLVKLYVYSKEKIAMEDSEFEVHPQSGPASGARTPMAYVNKAQKNARHVFNKVGDV AGKVAGDFTGQAVKSSSHPHQVILQLLNSTNGSQVLARRLYRTFAQLDSETVLSDDLR PAFDNDDEATAAFTMFDKDLNGDISMEELESVCVEIGRERKAITASLKDLDSVVSKLD DVFLFIVVVITILVLISLISTSASGVLTSAGSTVLALSWLFSATAQEFLQSVIFVFVK HPFDVGDRVTIYGNTGSALKGDDYFVKEISLLYTEFKKMEGHVVQAPNSYLNTLFILN QRRSGGLAEAVPVTVKFGTTLDQIDTLRQRLLEFVGSENREYQKNILTELTTIYEAHS ITLNVVFFYKSNWQNELLRLQRRNKFICAMMVTMEETGMEGPRMRLAGATQQFPMHLH DAYPPQYTPAPASSDDQGPGPRSGSLAREAAGYPAPMDPTAPNPIVPQQSLRHHPSIL RSRGSSTSANRQRGESISAMGKRVDFSLGMSAISSGADFGDVYEDRRPILPPTITTTS PSPGPSRTRASEDDGSEAVRTGSRDSNQLSKVLSGSSSNKTHRNRFFGRRNRSKLGNA DEGTDLDDLEAGTREFTVDDLPDIPEASGANSAEWSEKVEGELERKRRETVTGGNGGG GRIDPRTGIVSPQAVARDSALERGELPTMSAPRRARTEVVGKGKR LY89DRAFT_595081 MLENNQGLASINDCHKHLSLCDSFGEDFWVKKPSGRVIICKNKG QIGVVTIYESGNIFNHNILQVAGEPRSTITILDAKWIGQHLPKQWKAICEKSHRRSDQ SSCLISQAKTYLQPSSPQYFVDTWLRCLAPASSDMNYITLSYVWGQVRNFTTTKATLA RLQKPGAFIDPKVLEKLPNTIKDAINLVEYLGERYLWIDALCIVQDDDEWKYQQIDNM ASIFANSHLTIIAEDGDDANYGLRGLRGISKPRNFVQKVHKLTSRLQVVAVSLFDDRL RTPSRWGTRGWTFQEDVFSTRRLRFHRDMVQWECNGNYWREERDKVHKNVRLGSPRYL SEIFVTPFPSLSGYVDLIQEYGKREFTNPEDALFAFSGITTVLNRTFSGGFIAGLPSM FLDIALLWQPTFTMRRRTTMIKSDMLPCLPSWSWVGWIGPTSSQSWDPGQCYIKRSSQ PRVKGSMTSHRVIPIVQWSVSETLQSTKKQVFRPWEKYQFHNWDDSQSMPQGWTRHQY QCRDLVSQAPLYLPPRSTGSVRNYFKHEKFPDTEFWHPIPLNNDVNGSTCPNARYLSG RTQRAWLYNGSVFQPKWNDGRVLLNDRFGDWAGILGPNDESSKEITLEVNLKIELIAI SKGLTTPKRDNNLDFEEWVINEQHKSLEIYNFYHVMWIGWDNGVAYRKALGRVPQDIW ERQELEWIDVTIG LY89DRAFT_242384 MAALLHLFIALLVLMVDSAMELAFISSIVGYLHRSGANQYPFTA ADGTTIFMNAKPAHLLVNEGHTSNGAAGTALVGVCFGGFLVLWWMRRRERRNITRPST IFLAYTVLTILSFLLTLSALAYTFVVVHQTSGQSIAQPIAAQFQGHGYPLDNWAPGTW TKALLALDLQHQVDRNYLNHWLRVMEGYQWNLIPLFIIGLMGGICFVFESVFVLSLRT ALNFT LY89DRAFT_655112 MSTSTLTTLLASVRSALATPSSLLPSTSSDQQARLDLIDLLPEL NAALIGDTAHLRELAWSAIHVLPLAAISRWKLASHVPLDGEITYHELSSKTGISSPLL KRILRHAMTSRLFLELPSGEISHSPTSRLLVTNDGLAAFVDLHTETALKSIAHTLDAL ERWPESTDPREGGYSLALGRGGETSVYEEVAKDEGRMVGFGRAMRFFSEGEGYEVGSL VEGYAWGRIGKGVVVDVGGANGFASAAISKAFPELEMVVQDIQDMKVEYPGANIRWMV HDYFTPQPVVGADVYLYRFVFHNLYDEKAVEVLRAAVPALKKKGARILINDECLSEPG KVRWRNERAARGLDAIMLACMSGRERESSDWEGLFEKADPRYKFLSAKIAPQSRLWLI EAEWTG LY89DRAFT_786930 MVATSAPSGYVYVGCYADNQAIRLLDGAIYTSSSMTVSVCAGYC STISGARFYPLIGVEDAGQCFCGSSFPRSPGIANEAGCNEECSGDSTQTCGGQGYINV YNATSLPSWITLLPSSTSTSTNTAQVTLLTTITQTPTSSPSNSTSPSPGTSAAVVAPA VIAGLLALSLLAIAGLWFLRRRKWKSISQTSPSHVEDHSLENQNKSRPEYVAEMDQNR VTPHLSELGPGVHEYR LY89DRAFT_242402 MHFLALYNAVLALGSLTAPLDALRKEREELEIRTEEDRKRGPKF VPSSIRLSKLYVQRARRLLGDTFEVCSLEGVQTLLLLSIYSQHALKIHASFMYSGMAT RTAIAIGLPAMASTCKDDVASRTWSCIYIQDIKVSCSSGRSIVLPSFAAPVDATFKAS LHISNVEESQDSIIPAQLGLYDVLRAASTDLYHSSRDLTLEERADVASQLERKLDLWV ANLPSWLRPDSVSFDESESASRQKLTLHIRFLQTKMFIYRPFLAGSTNPLLSHQQTVT CLAAAHASIDLIFSAFTHRIYFRTWWYNATHIFYATIIIIYIILMHDGQDIVSTASLS MEELSSDIQKSLKMLRAMDSMPVIVRYADLIEQVLKASSNEGETVSDDNQESGGVADL QNATTHWNRNTENNNDESQGESHLVANPSLDNLAFLAHEVRGLERDDLLLSLMDPSLL DDLTMENYGDMSSNFAADDTGIDYYASSNFASGQVNDSRSWHEE LY89DRAFT_759244 MGSILVEEVERKSDFNPLISNDPNHIYAEYDTLRATCPVAYTNE YNGYWLLTRYEDVKKCASDSATYISSVKAVVPSDPRGIRRPPLNFDAPRHTPYRTALD RTLKPARLKRLKGILEKRADMELAPMLDAGGGDVCMKFGAKFPAWVETEWLNLDEGST STLAATAAGWIKAWREQDGEKTTAHSMKMYDMAKELLAQRRENPRCPEDDPASSLLLE RDPDGNPLDEVHLIGALGQSLVVGMVAPPLLIGAICNHLSKDQDLQSQLRSDLSLVPA ATEEFIRLYTPYRGFCRTPSTGVTIHGRDIHAGEPITLSYTAANRDPSIFPEPDKFIL NRENLTSHLGFGRGRHRCAGMPLARIMIQIALRTILRRTKGFAVCGPLEYARMPEMGI ISCPLKMDI LY89DRAFT_689199 MASRTSLEKPLGDIELVEDAPQPSMRQFYAPQSIEEQALDRSIN LKLDCIILPVLALNFMLCGIDKTNIGNAATTTFAKDAHLKPNDISNAVSLLSVTFVTL QPLSTIIGRRIGVKYWISIMMLAWGSICMGHAGIKNSGTLIALRLLLGVAEAGFVPSV FFYLSTWYPKYHLAFRLGLFAGMYSIAGAFSGLIAYGVFQIKHSKFKGWQLLFLIEGG CTLGMAILSFFMLPANQKSAWFLSKAERAHAIHRMDVDVMKVYEVDAEGNQIKATRMV SMRDIKDVVLDWKKLLTVVFNILATLPVSAFGTFLPLVVKGMGYSGVKANLMSVSPFV VGAFGLFAFVYSSDHFHERSLHTICSMFLALIGLIVMFTSTDPSLRYGFTHICLAGAF SAGPSSSPGSRITRFSSVPEVLSLVSMDIVTSQGLLQDNYLKRNMRRVMGTR LY89DRAFT_739517 MKSLQQTCQDRLINDLSQAVDGVQAPARYCCGGSVLLDVYDQVV IYWNDADEDIISRKIEFPFERSPKTFKGLHRLIEACNKIGRLEAHRITTGFHPHDVGI LDLISQILLPSFQGEFLKLRPQHRGVTAKFEGLYVMQGPASKSQKPFRISDDANFLGT LICCIPMDHTGGELSVLNNEDWSRFPWTDETDQNVVVDQDPITGTVVGVLGNDINSDD LREGHPPDEPYDEKQWDTSSEDSVKHDTPKFRQHALHWAAFVEDCDYQIEPIRDGFQI FLSYDLFVTERCGTFVQGIRLLESTVFPLYKGVQALFHCPAFLKKGGIIGYHCQHKYF HTNEDTVGRMPYDLRGVDLVLYTSFKALGLEVDKKPILKKKDLEDFVMKDWEHDHEFG RYNQMTPEEQNDWLRDFHQKTCGCGGQHSMKFPNAWEWIAQFPHTYAGTEFHERDKNF RDMRCTHRGRFIDEKETERHKFDRRNVINDVWPFEIKGNVIWLKRTGYPTYETALELR YMDDFEDGQDDIPFRSLVAVFVKFSSKNQLEVTEKSETPS LY89DRAFT_655118 MVAFHFQVLVLALLPLIEASETILGAFIFSRHGDRSTKSYPPTH LTDLGYQQIYQSGGFYRNRYITNGSTQIYGISTDLVKLSQLEVQTAVDDVLQSCATGF LQGLYPPVGATLGSQTLANGTSVEAPFNGYQIVPVNALASAVDNSGDATWLQGASGCV NAVAASNNYFFSSDYKTLSSSTASFYQSILPVINNTFTAATAIYKNAYAIWDLVQVSM IHNATIQSSDLLTPANVLQLETLANHHEFSLAYNASNSLVAIAGSVLASQIVEYLNMT LTTTAPKLSLQLGEYAAFLSFFGLASLPSVSDNFTGIVNFASSMTFELVTNATVTGTA YPPVDQVSVRFLFANGSAADTPLMPYPLFGQSSLELPWTTFMTEMNKISVSGQADWCT ACGNTSTGCTSTSTSVVTVTATPGATDSSRDNGISTAVGGVIGAMVTLAVILGIEALV LLLVGLRIVEKRSASPVVVTEPQGGLKA LY89DRAFT_595446 MDVFSSLPTSNEHIKCVDMHTSGGPVRIPIQGLPNLTGTLLGQK SQASLPENDYIRRRLLLEPRGHDGMYGGILRKDTELTKSGVADIGILYIHSGGYSMMC GHVTLGVSRFLIDTHDPEVFPNREKLRFDTKSLTTELRLHAPCGLLRITVPTMPDGKS SDPNRDVSFLSVPSFAAGLDIEVPIETIRKWTELGTRSSIVVDISFSGAFFAIVSAQE LGFANGVKDIRNLEELWPVVNTIRDTISTAPELSAYTYLPTSPEPVLVNGVIIRDSTI GQTREDVSGAEVGIVFVENAIDRSPCGSGTAARVALAYAKGERKIGERWAYHSMLSLT SDGQGPFVGSPEEEIVIPGGKGVGARGVVVRTEGRAYYTGASIFVLEDIDKISRSGFK IMELSKALAGTRRTQHAQEPGT LY89DRAFT_689201 MASDKATQLPFSGPSLFTSGTDQRITRLSKVLSTSSGVDATLTL VGYGLFFVSSQISNLENLEITALTNPNASPDTLKHGPASVMKLAELGASTKTLAGMCS DFRTFTRLWGLLGVYAMAKRNYVDPPKDVVVRAVSYAQTLSLGAYYVYENGYYLAGKG VLRGWTVADIKRWAKTSLRMFLAYVALEFVRLYRARQLRETRKVKAVDEKDRKGIEAE EASWLRSALMNVAYTPLSMHWAFEGGMLSDGVVGALMSAVGLIKFRAAWAQAA LY89DRAFT_689202 MRSTFFLCLASARNLVAALPSLNSGLSDREQAPDHVLLEARQAP IAQTWTQPHYCTASTESGNFHDIYTALVNPSRSVSFDYSSFCSAVVRQTTIAWVDQTL TGRKTETLTSTTTTSVSTTSTDTSPGTTTLLCPTPSASMACGVSANGYYSNMITDQYI PSADCHQLCLSMSDCKSFQVVPQDNGIVKFDRCNLYNVSVAGNVDTTSPGIVALFYDR DCGDLLPSGCTPSPPLITPAPSYSPTVAAIQKRDYTVPPFLSSMQLILLQPVCSCLVD AAPPPTIITATNQIQSWDYVTVTTLKTEVYSYTDHPEWQTVYTSVV LY89DRAFT_739523 MAAAMVISMPGGWPRPSEESDRGIDHLQVPRIDTQIRRPSIHVP TSVSASSSRNNDELFFDTPLSASITEVNDTPYLMFEPVVESVERNDQLEWWRYDPPQE LFRVQEGTSEELAGLIPRSIERLRTQQEEAERQAAAAREVRPLIRTTRTVPRPRRNAV PTISNLDPSSATDEESDPSNNSATSLVSNDSGYASTTPEASKAPVQAITNESISMTSG KGKHRGPFSLSSLFRRKDNSTFNFDIPHIDTSQTSSSRDRVSPHYSSVEVQAPISPVP QVSVSESSTTECVSCLDDFASGDMVKLTCHSYCTDCFQRLITTALETEAQWPAKCCLN PIPSETIIPHLDTATKEKYTQRSAEWSIPTSDRIYCSHAGCNAWIPPRRIHKSTNTAR CKSCSHKTCTQCRGEPHHGVDCPQDPALQQTISLAEMEGWKRCYQCNAFVEHNQGCRH MTCRCKAEFCYICTARWRTCACTDAQLAIAQQDANVRRQERAAEIARLEAAAEEERIL VQMVEDFERQEAERAAAAAEEQRLRDEEARARREEEDRRIEERRVAAIGQRFRELNSE LEGLNEVQRVLIAERYEFEIEVLRKERQDALDALSVRHVPEKEALALSSEKMVFDAEY SYKQEYRTRLAEERRIEEEYIAQLQAFWQGKENAEQVVREKREELRSEQDREYKFWDA YRRKQIFAAKEGEKRKMERLMVKHGNEIKVVEGRAESDGVEWRRKVKAEGMWVEAVVR ERTGMLQEMEVVEYGRV LY89DRAFT_242515 MGTSIHNLLAVALSFFLFSSASTQSHDVDGTISPPTLEGQLQPS QTNTSDSLIHLYETEQCQTSPKPTCSDPNCLGPRYVNPMQYRCVATTAFQMDGRDVTL TGCRCCPIPLYVRCSKHDCRASTGTRQCASEELEGCVCQTIDDRIEAFRSREGEDMRV LAEGEVEEDIIEVNDDGLFDNERTTQTWPATVSTLLSQTTEEQERDRTGAVALPTFGL NQQPFRVMRDDIFGSFYLVYKTRCCGIKMLPRGVVWAFEN LY89DRAFT_242512 MEAPLVSEKTQSEVVAKAPTSNATAQQFKIVKVRKPDGTIVKVK RPITAEEAAKLTAAPAKPEPSSAELPKPTQNVETPDSTPKSTSATSKTPTPETKKADE GEKSSSEGVEKMSAPQKAPDSEKVSTTPSQDPAESKAPVPAPAGQSPLSKTDRTLNTV AHGARLYKGLHRLHRGSSRLVSVLAPQWDIDDWQEGDEDFSDDDDDDNDEDEEKYESD DEQDTKHEAASSELSSATKGLAHSTASNIPTMNTPRSQIEISEKKSEPAAALPQPKKT AVVNEKEVSGSDLDNGSLEKSGPEGGKNLQKKYKDWSRYIIWAIMILFPLLFMIIGIL VAVRDGQPVGDSLGDGTNQALSVAISVWPIIFAAIVAQSLRAYATYRVERGVRLMTLE QLVNSNSVASSIKQPFLLRRFNWITVALLVLWGLSPLATQAMQRISKTKSDDYITPSW LRYVDTAKNNSNYLSAPSDEFSNVEADFNRLYSAAFLPTYVTLGSDPYNNALIPLLDD PSNKTHWQDVDPTAGYYYLDYASAYGVPVAVMNSSFSDDYFSDTATFNVTISTGYLAF TCPPLRIVDLDFIDNSTLTTGYAEEATLYLDLTPMSGHTNGSLALASMITRNTTAAHP FDLDTGVDGFNYTFAYTNCTFSQVFVDANVSCQSGSCYTYAIRPTNLTNTTLTPGFLD SGSANWVTGILGSSGYTNDSVPTATDTERYLISNGRLSDSLPRMNLTTVDLDDFTYNL AFLMNTFWSIGFAPKNLTNVFYNSTSEPFNKDLGSSPLVSWAAGSKIDSYEIYVTKWG WLAALMICSVFLLLAGIFSIWWDARTVSPDVLGFASSVVRKSKYVQLPPVASAASGAE RARALGDVRVMMQDVKPSATVGRIALGTAHPNAQRLQPGRTYR LY89DRAFT_242550 MANQDNIELSSPSQQQNQKSAEGRTLHVHFSWKKFKTLITEGDD PKSKPLYIVDYKSFKPNLIFTNAQDKTTFGTGTLHPVSINADCDFRGRHIQIKALKRW KTAYEHHSLTYSDTETPVTMTWTSDSDFKTWDFICLDPQQNPVAKFAANLWDLKKIGK IEFLGPKAAMSEALREELLVTGMTLFYCMTLRATSIVSFFGAIFARPGHVEPEGPTTS EHVPGVEEGRVPGVEESHVGSSSQSH LY89DRAFT_739528 MLFRECPDDSTFGPTVHGCRDDFDFTLEFERLFLSLVPSTIFIV LALLRLLMLVRQPLVVKAFAFKGFKFSATITYAALQLSLLVLSSRPQKPQALFISSTV VSFVSSLCITAVSLAEHSRSVRPSILLQLYLLLTLLFDITQTRTLWLASSNAAAFTFS KIFTVSVALKTVIILSESHPKSKWIRWDNEKHSPEETIGIFGLSAYTWLNSTFFGGYT KILSIKDLYPLDQRMTTEALQMKLMHHLGASKSKRKSFVLLKALAKTLAPSLLLPIPA RLALTGFKFCQPFLINTLLDYLQEPKEGSSRNYGYGLIGAAVLIYSGIAISTAIYWYF HERTLCMSRASLAGAVYRKTTEVKLSAAGDAAALTLMSTDVERIRLGFMNLHEFWANT IEVALASWLLDRQLGAAFVAPLIVVLVCITCAAFVNRFTGRRQKVWMAKIQKRVGLTA NVISNMKHLKISGLAAPVERLIQAMRVDELGSSSKFRTVYIIVIAFGYAPLALCPVLT FAVTSRTLDVSTIFTSVSFLLLLADPLTYLFQNTPNLLAAFACLDRIQDFLTEDSRSD YRLSDVSQTTLSDTGSSDHQNEKEKGGSMVQISDGNFGWGAEKPALRNINIDIPSAKL TMFVGPVASGKSTLCKVLLGEIPVSQGRITMGSDGAVQRIGYCDQTPYLSNSTIKQNI IGFSTFNKIRYNEVIEATMLGQDLSILPDGDRTKVGSSGITLSGGQKQRVSLARALYL DSDFFIFDDILSGLDADTEDQVFARVFGTNGILRRRNATIVLSTHSVHHLPSADHIVA LGEYGTIVEQGSFETLMANENYVHSLDVKAKTTHSLENNLAVNITNRHISGVDEDPVA ELVPFSYLDKKDRMLGDSTVYRYYLSSLGKTSITAFIMFGLGWGFFYNWGNVWLQFWS EDVTSTTPAHSNSFYIGLYAVFQLSYLGSMFFSFLICFKTMIEVSGSKLHKAALKTVI SAPLRFFTTTDTGLITNLFSQDMTLIDNELPIAITNLACDVANALGMAAVIASSSPYL AISYPFIFVILYVIQKFYLRTSRQLRLLDLEAKSPLYTHFLDTIRGVATFRAFGWVQE GIDLNNRLLDNSQRPTYLLAMVQRWLGFALQVVVAVLAVAIVTLATQLRSSTGLTGAS LVTLMTFGDILAYIIRWYTQIETSIGAVSRLKNFSRKVKSESLEGEDVVPPREWPLKG GIEIRGVSASYSDAKALEDPSTSAGDSNSVSSSNLALKDLNITIKPGEKVAICGRSGS GKSSTILLLLRLLDPLQGCSENIIIDDIPLHKIDRSTLRERIIAVPQDAVFLPDGSSF MSNLDPFDSSNEDECRGVLELVGLWPLIDQRGGLKSGMSPGSLSQGQKQLFSLARAIL RRRIRSREHEAEFGVAVGSKEKVSTTEGGGILLLDEVSSSVDQETDRLMQRIIMEEFQ NYTIVMVSHRLELVMSFDIVLVMDKGSVVESGKPRELAEKEEGSFRELWMIGHKS LY89DRAFT_595294 MSLASQSAKKVRLYRTGDLARYLEDGTVCYVCRVDNQVKIRGQR LELEEVEKALENCLNGLENIALRNIVVDAIAFAGSTSKQLVAFLALGTVRPLGFFSWE GVDDSFFQTSKSEQEHFSELVERIETRMKLALPSYAVPSIWIPLKSVPFTVSRKKDRK RLRGIVEALSVKQISIFSSPTLSNGVSKMDDPITEHETKLIKLWADVFRVSTSTIERD DNFFSLGGDSVTAIKLIAAARTSGLDLSLDLVFRYPVLYEMSSVTKALIVRDEDVIPV PAFSLLDETWSVQLVLREASKRCRVDAHAIEDIYPCSPMSEGLIALSMKDPGTYVLQF VYQMPRSVDISKLKRAWEVVAKYTQLLRTRFFDYNSELLQVVVDEPLNWVVMDEDLIT YLAEEKARRVDLGERMSRLAVVRHHETNDKFLVWTIHHALVDGWSESDIISLVEQEYL EIPNNISTIPKFGSFIKHIKQQDTDAARKFWQQQFSGSTNLMFPPLPDPSYVPKVQRS NRILHHLGSHEDAELEHRISIFKRGSATPATMIQAAWFILVGLYSKSSDIITGVTLNG RTARLPGIESIPGPTVTTIPFRARFSPEQTVSSFLQMMQTQYLDILPFAQFGLQNIRR LSDDAVNACKFRSLLVVQSANKPQSERKILLGRSYSFPVMDFAIVMECELLDGSIVFR ATFDHAVLSESQVQLMLRQLERILQNVSASGPETTLKEVQRLPAEDMSAIATFTS LY89DRAFT_595438 MAPEVEDSSSKSLEKILEWYPTVPPAVDTLVHILIQKQAAWLPQ SQAVCSWDGDLSYAELDDLSSRLAAYLAAQGVGSETIVPLCFEKSVWAIVSVLAVLKA GGVFLLLDPSQPIARLKSIVGQTGANFALSS LY89DRAFT_674664 MIAFKGRFIPFMLSTSFQSALVMATLTAVARDLLPLPTVSGGTG FLPNGTGGVGGNGLGPTFTFSGFDPTAQISLLRGGLGGAGADRNPPGAGGLGEDPFGA LQFAETVQGGVNGTSGMVNTNLATGGNGGVGEGPFLDFLEVETSIRARSNITIPLQPG PFKTGNGGKGGNSGKSIGQWKGGKGGNGGNGGRAYIMWDTTMQSWLGVNGEDGEDGED GEDGEDAQIGKDGADGADGKDGKGWGNWD LY89DRAFT_786946 MSFKEQSRNIRLEGTILRAECSKADPSGKWPWHESELRLDRLLG NVSGQLVWLGTNFNHNAKHIYLEGTTLHALLPKSEHVWHPTSVDLNDRIANKDGRLVY ADPRLGFYSPRGQPNENEKVEYVPYSGVGNVDDYARRGLDATEAHAVECKRFEAEIVL RALQPFRYTRLPTPTSIRLLKIEDADEPSDFIHASLVVVDLAHSPQYDALSYTWGSPF TTSEPQLDEYYQRTTTILCNNQRLSVKQNLLDALRRLRKGQRSAVQSTENRGRYYKTP LIQAAEDGHGRLVHSLLLQGADITAQDRFGETALHYAAERGHLEVVKTLVQAGSSVFK LDNSRRTPLDCAKQKFRYETIKYLETQVATQKKTKAVPAPKGTYFPTAMRSQSYIWID ALCINQEDNDEKAIQVGMMGRIYKSAKSVVVWLGRERQDVNDTTAEDLFLDTWMLDAA GTSGKLDETTLDALLDDPQRHLSPKAPADLKETVTNWIKKLDEKQMRSWLIGLPFFRR SWFERAWVIQELVMAQEITVLCGQFVFSWDTFVLLSCAVDSCRVLMRAGTNPKGERGE NFHTIVYRSAAMSSKTVDPELYEIPAMTLERRRRAYHRQGALSSMSALALSRNYNATD TRDKVFAVLSISAPIRLSTKTGTHSVLPDYVQPARDLFVYVAMSLLQAHGPSILSLIR NPATPRVKGLPSWVPDLTMPIHYQPLGISIESLVSEAGQTTATAIYDAIGNNTLQPAY HVTAESYLVLHGFRWDSVQEIAQPGLSNIGPDTVELFRWGQIISELGGSVAENRAILW RTLISDEAQGKHPAPDLDKSFQNWLKYLCFIEMTGIRDAMANKLISMKNPVDALWPKR SKEQMLETFQVIQPSLATLGITFTKEDVNRWRTLDSFSPEDIQYANWYFGFEGNGRNF AKVIHSKDLSRRIFRTGKNFLGIGPEQTEIGDLIYLLPGTKVPYVFRHVKDGNFRVIG EAYVHGIMHGEAWQNHQMTSERLCIV LY89DRAFT_723149 MRTSKSLPLLVSALLGSVAHSANISLPEALAESYAPIRVECPSD IQWVRPATGLDPAEAEWVHNRKRVVLDALEKYLHRLELEDFDVYEYAYRLCNSNLTHV PVLGMIVSGGGYRSGYTGTGGMRALDDRLPEAVEQRTGGLLQSLTYLGGQSGGSWPVA SFSTHDFPTADKLVQEWVAANAKLGAVPENSTTGGSLTSIFGDVAAKYEAGFPVTVSD FLGRDFSYEFLPGPNGGLGLTWSSLRNQSKFLSHEMPMPMLQTTSLEANDVVYPQYLG LQVPNLNNPAYEITPFEMGSWDSSVSAFTPMEWTGTSLLNGMPVNTSSCVQGWDRISF MMGTAAAAFNFWYIEDATNGTVAPFPKRSIDTTMQGLEKRYEGQSLFPESEIQTLIEV YKSDFNLSMPEIAYSIWPNPFQVNTTNNTTSVSDSVGSLAELTFVDSTETGSSLPIAG MIQPARNVSFIIAWDDDADSIPYAWDNGTNLYNAYTIANASGLPFPIIPPVATIMNRN YSLKPTLFGCDANLTTTKSTASPIVLYMTTAPYSAYTNYTWITGNFSLTQFNEIFVNS FNQITQGNGTLDSEWTTCLGCAVIDRSLSALGMPRTRQCEKCMDKYCWDGTVDDVEPE IMDPPLMLYPNISFAEWNATSAIYSE LY89DRAFT_655144 MAVGARANAYNFAVTFFAAFGSFTYGYNSAIMGSVIGLPSFFPY FNIDESSSKGSSITGAINGVYYGGGALGCWTIAYLADYFGRRRCIQIICVGCIISAAL QAGSVHIAMLLVGRLLNGFCIGLINSIIPTYQSEIAPAAQRGRLVGSHGFIICIGYAM AGWAGYGSYFETNPAIQWRLVLALQAVAPLLLLIGSPWLPESPRWLISRGHDAEGLRI LERLHARPDDPYHIAAREEFMQIHKQVELERDQKVRNLIQLLIHPTYRRRMLYGFFFQ CLAQMTGVLVLLYTNLGLHGAAPLVLYACFNTWASFCNWVNSMILDRFGRIRIMAIGV IGCAVCVTIEAAMVARYANGGSKAGNAIGVLFIYLFVTFYGGCVDVSMYVYCSEIFPT LIRAQGVGFSISGLFVSALLFTELAPTAFNNIGWIYYLVFIFPPLFAVGFFIKYFPET KGLALEEVGAKFGDEVALDLTHLTEKEREDLDRKLADITTLTEVNHPAIVQQDA LY89DRAFT_689209 MPVSHLPAMLLSFTARAPLAQSCIRHDPASMSQIKKTVDGCWTC RIRRKRCNSRRPVCDNCHSLEIVCHFDEQKPKWMDNGDEQRKMMQTIKNETKQNALRR REKRNIRPQDQEMVITLEKEYLEVDVVDDVAGRDIPMLYAGNTEPLQAGMESVNIPGA GLDAHPKADTSVVHAPMQSPAIEIPSRSPGSMSLNLGREVELGSIMIYLDYVFPFLFP FYRPSLLETGRHWLLSLLCQNEVSFYTAASLSSYFFSIALQDGQRAMQESCRAIVWTQ LMEQMDLAVEKIQQDISEVRNCGDQVSLVQSARMLGEIIQLLIVEVMVRRDVDWNVHL TPALSLFEGIFEFHGTLASQPNLKILLRRLHPPPFATEFPVDKPLPNTAGQSALLFFT AILLFVDIISSTALDQPPRLEASHRHLLSSSQTDETLLALEGFVGCQNWVFIAISDIS ALSSWKKNAKCTQTLSVIDLANRAEPISKALEMGLKDLFANAISMKSKNGIATRLASY YSQPTGGDGDSSIAAMTRIWAHAARLYLSVVISGWQPAFPDVQTSVEKILDLFEMIKS PGQLRSLSWPLCVAGCLALPFQEQAFRDIIQSMGELRVFGTVSQAQSIMEAVWRARGT FITESWDIAECLRILGSPALL LY89DRAFT_700524 MAPSNSKRTVLITGCSDGGMGAALAVAFHKAGLHVYATARSPSA MTQVASAGIETLTLDIQSDSSIATCVSKLKSLDILVNNAGASYSMPISDLSIAESKKI FDVNVWAQIVVTQAFLPLLLKSKGMIVNQTSVVASMAVPFQSVYNASKAAMVILSDSQ RLELAPFGIRVVDLRTGAVATNIIKNQIKNTQVVLPKGSIYEPAREAVESAMRNDKMA NAGVPAAQWAGQVVQDLLKKTPPNVIWGGAQANMARIGTFMPHGMLDGTIKKMTGIDV VERMVRK LY89DRAFT_625896 MGGSFLRAWAPMLRSHDISIVQFIAFIDNLNVVSTASPPLQAMS LAGGVLGMVPHHWAMIAGNVIQTTANLGTFAVSKGRTELYMREVNEKMFKPRGLKVSI AKTEAIRSVLRVPTGRPMLPPLNAQTVQMGIAERVLLQLWPYNAVLDLNVPPPAEQTT MLAKLSAKQIAAQAKRNQSKLIKKHEKDLRK LY89DRAFT_786952 MARLGVGKSGGCRNCRRRKVKCDEHRPGCKRCEKSNQECEGYTR EHRFVDENFRTEKHVKKKASTETPQPDPRSSQDIILHSNSSSGLTAMNLEIHAFQDNI FMSFLLSNLFSGIPVVTPWLRLHAEDTSSASAQLSTRALSTVFFGRTHYQHNITARGY NLYGQALISLNQDLQDSKKGQSLSVVKTAMALELYEFIASNTSGWIKHAGGVGRLLEL RGPQLHQSPQGREIFEASRAIIALGYLVKRKRCFLESTEWKTIPWALEPEAKTSVMFL HDIACDLPGVMEDVDQLQSPQMSPEEFIKHHAFVSGRITSCLKELYGWRASWQRQNPH ACWEVLSTDSTTGSILFPLVFNYKSLIEANALTFYNALLLLLLKVASQVIGPQFDPST CAAHLPRGLRYEPLIPPGLAPNAQAIAYEICKSVEYLLGAERRRAGAFFLLFPLRVAW QAFNPVDMEAVWLQGIMRIIADSTGFEVSRGLMGEVVQSED LY89DRAFT_689211 MPKEVNTIGFIGLGNAGYHLAVNLPRAGFNLVVRDADPSRAQTF VDENPKSVAAGEKDENAWKEVDVLITMLPNGDIVREVLLGEAGVAKYLRPGTIAVDMS SSSPFDTEALNSKLKAMELPLIDAPITQTHLHAIRTGDATFMLGCDSPEVIERAMPVF KAMGKYVFPMGKSGAGHAMKTLNNYVSVGSIIALCDSLVAGQKFGLDPATMIDVLNVG TGRNFSTAYSMRDEGLTRRYQSGYQLALLIKDMKITKDVIKSTGFETGLPQLALSYLE DAIVGLEDSADHTECLKGWERRSGIELKKSAQPTESVVEEKVL LY89DRAFT_739539 MLSPISSLLIPLAFSAPAFPPPAELTQGIINANISLIAGGGAPN VSIILNITNGVYDTGDRPLNETLSVIEKIAAQEEVHVATVSGIFLESKQSPPVTACNY TFPVTNFDEFLSVANLITCANFGSIIGIQEQLPGSTASGPAGTSILATETRHDSFLRI LHGIAPNPSPFDTAVPAAWAYNVGLQFIVPGSCGVEPAYPAYPQLTVTSMEGQSFAHD TSYPSSVSFAWDPQQAWVPMYTEVVVNGTGSGSTMIPKNMSGMAFMALTTMQPADFTN LGDATLVGPIGISIS LY89DRAFT_674674 MAGPSPSPHKPRRKVKKTSNSSEVSSVAPSTTGRSSTSRPAYPL AAFLWPARSSVSQWEILPLILMAAGLFRWAAGLWGYSGFQNPPMHGDYEAQRHWMEIT TQLPVSQWYFHDLEWWGLDYPPLTAYHSWVLGKVGALIDPSWFTLHTSRGLDDPILKV FMRATVIISEYLIYIPAAVIFVRRLTRLQGINSWNASIALTAILMQPGTILIDHVHFQ YNTVMLGLVLASMSSVLAGRYMWSSVFFVLALGFKQMALYYAPAIFAYLLGVCIFPRI NVPRFLGIAIVTMVSFAVLLLPLLLGAFYDAYRGIEARPETFGRFAPLPIFTEYSYLV NYRAWYFPIIQQLAQVIHRVFPFARGLFEDKVANFWCALNVVIKLRNYPSELLQKAAL VATLVAITPGCFIIFLKPRKELLPLALATTAWGFFLFSFQVHEKSVLLPLMPMTVLLA SNHGLSKNVRAWIGFANLLGVWTMFPLLQRVDLRIPYYVLTLLWAYLLGLPPTSFAIY KQDGQPTWSGFATSSLHCIFYAAMILWHFMEAFLAPPHGKPDLWVVVNVGIGAAGFSI CYLWCLWNLVVESGLVNLSTPEKKKAKSQ LY89DRAFT_689215 MLLNIVALISVSLFNIVVAQTASSTTSAPAATHTVSVGADGLNF NPHEITGAAVGDIIEYRFYPQNHSVARAAFGPNPCIPYEDTGAGLVGFWSGFQPIAVV LNDPPIFRVRVNDTAPIFYYCSAPNACFEGMIGVINPNATETFQAQFNYTQNATLEFS PLEYFPAEVSATRTTTATGATMTPTAPPSTSSTAPASSSSHTSLGAGPIAGIAIGGFA VAVLAAALIYMCGRHKTINELMLRQSTLAASNHNSYQPASAGLTEANYSNMQKTPMSD AARFSDRETASYRSMSPPLDERSAMMGMQPMHFQGQGNGSPGLGVMSPGSPGYPSPTY YDTQTPHEMENGTVQSQAGLRQNPPPEHEGPHELAVGDTNVSTMDSRPFSYTDSESGF HAERKQ LY89DRAFT_595187 MTTRNSKQDFLAAPTKDENTVSENTSVAGMDEIDAEKQEQDTSN DEVPPRDITGWRWAVVVLAILSSTFLFALDNTIVADVQPTIVTHFDAVSKLSWLSVAF LIGAAATNLVWGKVFGQFNAKWTYILCVTLFEVGSAVCGAAPTINALIVGRAICGVGG AGMYVGVMTLLAATTTMHERPMYVGGTGLTWGLGTVLGPIIGGAFTDSSAGWRWAFYI NLVIGALCAPVYIFMLPNKDPRPGVSFMDRAREMDYLGGLLIIGAFVSGVMAMSFGGV TYPWNSGKIIGLFCCSGVLFILLGTQQVWCVFTTQKRRIFPIEFFKSRTILILFAMTA AGGTAVFLPIYMVPIFFQFTRNDSALEAGVRLLPLIFLMIFAVIANGAILSAYGYYMP WYTLGGAFVITGGALMYTVDVNSSISTIYGYTVLLGLGTGFYAQASFSVAQAVVEPDL IASAVGFITCAQVSGVTIALAIANSVFLNKSQTSIQAILPNVPLAEIQAAIAGAGSAF VASLNDAVKLEVLEAIVSAMSKTYILVITAGALTFVLSFAMKRERLFMVAGHAG LY89DRAFT_242837 MEGVDIRFVKLELEKRRSPSSARLSPLRVFLLWLSRGSHSTSHL LVWAQIIRRVGCLFSLSAVNGLYVGIYPLLSTNLDGAYPNCKAHTPARTHCTASSQEE FSSWRTASIHVLDYIYSYSFSALDLYRN LY89DRAFT_242724 MSKLIAPSGRKCKPSPLSKTVQLAPTDSCDAVVSTRLTTRASSH TTSTQNETPTSMRETNRRIYAGAHTSRMVDSWCIPEPHHMNSPKRQTGLNFKPCLDIE SGKMEWERIQYGQRDLYHRCVDGGRDNIHGLDDDASDCDGEEDLEIYEQEEFVFKGNL YCDEEEDSEVYEEEAFVFKGKLYHIDDDKISDIEEEQSDELVILYALEEDVE LY89DRAFT_759284 MIRSLSTYTFHSNYSNISQSAAEVSTSKMTNILSNFEGSDQDQN IGIEKVDQTLITRVEAWLNSISEGAPDTTSSTATESQQKEFSNTLSEDDTHQFDFKPY SSVPSIDIWDWVKQPPSPEKWCESVGDADDESSLDDADSTPESDWETSSLVGSCKESA SDGDSRSKYPENCEMKLPLTKRLRSPNNT LY89DRAFT_739545 MRSSILQALSLALFSTRALANISSPASGDQWPVDSNQMVTWDTT GLDGPIDIHLVPSTAIDATVIITEISLQVDNTGSLQWSPPETVDVMQAKVLIVDSKQV TVISDVFIIVLLDTTKTTSTKKLSTSTKLISTTLVKLTTTSLKVTTAKVVTISSTIMT TKPLTTSTKVLTTPAIILTTAPTSKATALVASTSKISTSTSIKALTSTVKPVTTTAKA LTTSMVLGTVSSKVTSKVANITMSTPLIQANVTAAAAKATFTGAASHMVEGRGYEVLV GGVLSVLGFMVF LY89DRAFT_242923 MRSVSSKCLPSRSNVFGFFQQQPSTSSLSCIHHSDSSLQGHRTS RLDVQTSLVYVVKKLAMFVQHSLITASSRSEKLLRRDSLSTHCGCCRL LY89DRAFT_739546 MADVEKVHDGSSDDPEKVAHLTPQATIGDGDIKEPRFIHADIND GDIALKAFVGHEGEVIVMTPEAERALLRKIDWNLMPMLCVVYGLNYLDKTTLSYASIM GLQTDIHLKGTNYQWLGSMFYIGYLAWEYPTNRLLQRLPLAKWSAFNIIMWGLTLCCM AAVKNFAGAVAVRFFLGVFEAAVTPGFALFTSQWYTRQEQGTRTGIWFSFNGFAQIFG GLVAYGISIGVKKHGAVIKSWQIVFLVIGLITATVGVIFLYFMPDNQLNAKFLKPHER LMAIERIRKNQQGVGNKHFKMYQLKEALTDPMTWAFVFFALVADIPNGGISNFFSQLI VSFGYTADQSLLYGTPGGAIEVIFLIVCGYLGDKLGRRVLVACSGMLTSILGMLLIVC LPLSNSKGRLAGYYFTQASPTGFVALLSLLASNVAGYTKKTTVGALYLIAYCTGNIIG PQTFRPKDKPRYVPAEITIVVCYSVCVLDLLFIHWYYARENKKNERVRAQPGYRKLDK QEFLDLTDKENPEFVYTL LY89DRAFT_689222 MSYSAGRYKWEVLRYLTKKELSRLTLTLICRPKAMSPSSFQLEQ TSPRTVFRNSIVIEISPMKPSDDIFITAPLTSQIDCRSLSQPCYSNSLSHLAATLGDE YHTSAGAAHRALALVILQRAKIMDSKIPRGVGAMLWAKGGSMEGRQAS LY89DRAFT_242998 MAERPATPSNVELSLLCRVRKSRRTQIRPYLPGPLDEDSTPKHP QAQPQHRAELDRRFRKLKQQ LY89DRAFT_242945 MHIPSVIAAVLGIRQVGNLLPSSYCSPYCPNCKGDVAVFDGSIT SCTPNSSFIANCGACQTCVLDYNLQNGETSVSKQVETALINILNLCANTTVSTEIAAM QSQASRINEWGEQIATTTSGGTLSSVTTTSKPTTTNWEAAHSDNPSWTTMLSTASWAP YYSARKSAESVSAASAVASSLALVSAALANETARHTSSPTPSLTSTADASAPPLSQSW VAGPVMGSILGMSTVFVVIFFTRRKQRRDMLAQDPVPKPFHDVPTSPSTRSSSAAGED VPQLHGESVEIKELESTEVHELPALEPVGTELNTPMEARPRIRLAGDGIGEDFAEGED EQWAMQSPLPLSPLPLLFAMSELRDQRMGRSESLRHETYYHP LY89DRAFT_243030 MFGGWGNPAYLDSTGNAVVASIFSDGTIPYTVGTYAIMNPGGYL VSVDPKTSLPINGGQSFFADTSAELNDGTYPDYLDFENTYDDATGGDASGAWSINFAG PLPGGDGFLSGIGDPAYTVQLSNSGLQSGVARLCAVTTASSDGRGGLSFEDGQPLQIA GYTGAFGTGCTEVNMYAKAIDGGDLVPNTS LY89DRAFT_594936 MATQTKLQTGAWLETPGPNARVVIRNDLPIPSPSKGEVLVKLEC TGVCHSDVYGITGQTSRSTNIMGHEGIGRIVEVGPGASQDLLQKRVGVKWLYSYCNEC EICEVNVTACPNQHNSGRDVPGTFQQYVVSPIEPLTMIPDTLDSAMAAPLLCAGISIY SAIMKLDLKSGQWLVILGAGGGLGHIGVQIAQRKGSKVIAVDTGEEKRKLCMDLGATC FLDFKTDDVTTEVKKLTNGYGAHGAVCLSGIRAGYELALSVLRNLSSLVPYKMAVHGF TIIGSSVGTKAQLDELLQMAVRGEITTITKVHEFEELDDLLEKLKRNQISGRVVVKIP Q LY89DRAFT_710399 MRLINTTTLQLEEYFDRKIPPYAILSHRWEEEEVTFQDMIAEGA ANSFKITGCCQRAREDGLKYAWIDTCCIDKSSSAELSEAINSMFKWYKNAEVCYAYLS DVLINGFDSTSLSSMFRRSRWFTRGWTLQELLAPHTIIFFDITWTEIGTKLQLRPLIE QITGITHLLNFNRASIAQKMSWAAERVTTRVEDQAYCLMGLFGVNMPPLYGEGDNAFR RLQLEILEVSDDESIFAWKEDLLWDTAGRMQSNLTLLQR LY89DRAFT_625914 MADVEAADASHEPQSRHVVYCGVCTLPPEYCEFGGTVKKCQEWL KKNNSTMYERLWSEDALAAATSSLSLDAQKRAEKDAHKKALKAEAAEAKQAATIASSK VIIKRVERNKRKFVTTVTGLENFGLENKKVAKDLGKKFATGASVTKVPSGGEEIVVQG DVSEEIEEFFLEKFPVIPEDNIELVEDKKKKGSGAGG LY89DRAFT_786968 MAPTRSKKQRKSTESAGSVDIQSFPAPPQMVPTKMRSPATTPPN NRSPIRKPKMGITAAQRQALIDNLQLEITERARKLRAQYTMQAQQLKTRIEIRVNRIP VALRKAKMGDLLLKYSETASKPAASTYKVHSPAKNLLQAEQSRSRASPSPNRGTKRLS VDMDKENEDIENPKKRTRAAPVPPARTTSRAKIAPSQVLSPRSANSRTLPRSPIARPI SPQKSFLARPVSPLKPVAPAPAGGAAGILTNMVEKAKTTRATVSRKVTAESSTTGAGR GRRAAPPASAPKIGKGRASTISESSDSSSTTVVRKPVPAKKAPAKKTMMSTIKGMGSQ KKMPAAAKSTASAAPVSTGRVLRKRN LY89DRAFT_243240 MVLEAVMVVVDNSESSRNGDYTPTRYEAQSDAVSLIFAAITQGN PESSVGLMSMGGKGPEVLVTLTTDHGKILSGLHRTKSKIRGSSHLATGIQIAGLALKH RQNKSQRQRIVVFTCSPIAEDDKTLVKLAKKMKKNNINIDFVAFGQVDDDTTNKLTAF NENVKSAEGSHMVVIHPGPGLLSDQLITTPILNGDGSGAGAGGGAEGASGGDGFEFGI DPSVDPELALALRMSMEEEKARVDKAEKEKKEQEDKAKLENIKEEDEQGEKAPLLGKD GEPSGDKKDDDDADKMDTA LY89DRAFT_243259 MVVFALIIINKAGGLIYQRDFAEGLNKLSINDYLVLAGTFHGVH AITTRLNPLHQHNLPPSTSDVRPDPPSGIEVLETENFRLQCFSTLTGTKFLLFTEPQQ PNVDKIVGRIYELYSDYVMKNPFYQLEMPVRCESFDRKLVQYVRPLNSR LY89DRAFT_595077 MAAPGRAPAPAPTSRSREAPQGDEEAAAELKLGEFQDVDALTHS EAMLVINALVAKRRMDKKNINETEMLMKTTDYLDHFARFKRKENVEAVERLLSAHKEL AKFERAQLGSLCCDTAEEAKTLIPSLQDKIGDEELQDLLDEITKLMGYGN LY89DRAFT_243266 MRFSLERAPADPSCAAGFLWYTCSDIDFYGCCSTDPCDAGCPDP ASLTKTISTNSPLRTSTLTVSAAQSSTSLPLTSSSAPTTSVLNNADLAGFTTSMTISE TGIPTSSPSPSASTASSTSNSTTNSIALIIGGAVAGVVVVSIMSLIIWFCLRRRKQKQ NKSSNRYSLQDSLPPYGIPTKDFVLDRTTGPALSLQESEPFAPFGGMSFLSI LY89DRAFT_689229 MPKYTPFLCLLPLVNLPTERCDSYGSAQEEAQYLDWHGCTPFTL QQKDSHVPVDRFMQPATCNLQPLILHRN LY89DRAFT_243306 MFGTCHASWYLIWRNTPSLAAHCCCRFESHIKITLTLLVFVRLR SSLKSQALPFSPNHLNFTMSKPNQHKGSFIGIKSFKFAIQPPTVWVMRKPRKISPTQR RLKVSQKEINPTSVDFFFTYAAAFKRSNGAECVYNRHISNGHKQNHLCSLNSGLQ LY89DRAFT_723173 MSLQSSQSLPKGDVELVLAAKETKDLAQVQGQEASPTNEEVRPA AYNIGPLNVEVARFKLRVSSSKLIASSDYFKAMLDSSFREGTELKEKDFVTIELLEDD PLAMMIILAILHGNHVQVPKKIDLPMLNKVAIIVDKYQWHELITPHATSYFDSLMNVH GPWKSFDKDLLIYLWIAWLFGMKDHFETLSKAAQHEATSSIDFTDERIRLPVRVLKAI NEQRTIAFQKIEKTIANFKTQMLTVDNMKSQKLKQSKMLVSMVLGNAMFCSQELQLGD FALPDYAGSSVRLLREGIDVVQTANGLMIKAKKEADTSRYIQDGGRWDLNYKLEEAIK ALNMDGWGLNFDDFKNISGRILLV LY89DRAFT_595313 MNETESITGELATIHLPGLDSVFEEQAALLNHAVQVIGMGKYQW ALFVLAGYGWMCDQLWQTTVSDALAQVAVEFQPQHSAFLSLALIAGLVCGAGFWGLGS DLIGRRLAFNLTLFIAGVFGLAAGAAPNFVACAMLCSFIGFGVGGNLPVDSAIFLEFL PATHQYLLVILSVWWALGQIIPAGAAWGFLPNFSCASTTPAGQCHKADNMGWRYLMYT MGALTLVLWAGRFLFFRLHESPKYLVGQGRYVEAIEVLDAVAKYNGTSQPITVAMLEK IELDHAERHGKSHEVAPVDRKAAIKRSLRAFKPGGFPHVRALFSTFKLAYSFTLILLI WGMIGLASPLYSNFLPEYLAAHGAKTGDGSINITYRNNFIIIVCSLPGTLLGGWLIGI KYVGRRGTLGASLIITSIFLFAFTTAKTSAENLAFNCVSTFVQYIMWGALYCYTPEVI PSLHRGTGTGLASAFNRVCGLMAPIIATYVGYSDVPIFVSASLYLVAGLLSFCLPFET MGKAAI LY89DRAFT_537318 PALGAFVSLDTPYAAQILARSGFDWLLIDMEHSPLLAHSSNDMV HATITASQGACVPVVRVPSHGVEWIKWALDSGVSAIIVPMVNNKEEMDLIIKRACYPP LGQRSSGPFRTPYADLEEKTNSFAKYQAETAKGVAVLAMIESVEGVENAEAIMSTKGV DGVFIGPVDLRSSMGFPGSDGKEEQYVIALQKILDISKRLGIPVGILGSQDNLEAQID MGFEFFLLAGDAAMMVMGAEMVLKRAK LY89DRAFT_689231 MASAATATSQMGDSQAFKKVEVDLRAQPSHPSTFDPSIHMSFTP PTEFYTLDELSLNSPFAVGHVAITAPFPLFSNEGVRALRADLFRPEVVSKHSYRESKT PELYKIRGYGKDAPFVYSTWTSPEILAACSKAAGVELEVVFDYEIGHINVQLPQGVDK DQDVVLPPAMPPKQDLKVSEEDRRASEKDEGNITAWHNDSYPWVCVCMLSDSTGMVGG ETALRTGDGKLLKVRGPGMGYAVMMQGGLINHVALKALGGGERITMVTSFRPKDPNAY DNSNLGNVKKVSDHAALFGQWSAYRAENLAKRALAFKDSLVGLSAEDISRVTNEWAEE QIAYLKVTAKELTDEGAKGNYNHNMDLLKKKF LY89DRAFT_739561 MPPTIYFGVELEFCLGYPANGQTGLLATSPLASSSFASPPFSAN FTSTDSEEVRKQVRDRAARAHIGRTFLTEGLPYKPSGTDNDKPNEDPDHWSVKDDDSI LEGGPRPDYSCRLRLSDKLVTSSTTITLPMSCGLHVHFSFGKAFDKNVPEANWTFERL KKLMMFFWVFEDHFDTLHSTQRRKDRHRCYMVMRRHTPISSSTLSKGLNKIKNTTSVI ELARLVSREEAEREMAVSIYHIAQRLSPGHLIGLTVNGQPKAYTPTVEFRRHKGTLSF AGVSNWIKTLAGVILWLEDKNVNDLPELLMELSRRVYELSKEETEGQDGGDETRDGLG SEDGSQKEVRERKFPIIELLKSIGLDAGITGYYYRELLVGRGSELRE LY89DRAFT_759331 MGGKIAVIGAGALGLCATKIFTEDGFIVTTYESREYLGGLWKDS DDSTISVHATTIFNSSKYRAAFSDFPFAKTDDDYPTATQLHEWLQRYARHFNLLPKVK FGMKVMNIERRGEKWDLAIRRNSTGQITTESFDKVCVATGSFFTPRWPILAGFDQFSG KVIHSIDYHGSKEFKDQNVFLVGMHATAQDVTNSLSENAKHVYLSHRGGLLLLPRYNE DGATFDSAGSLTVTMAMAWLNRYFPRTLFWLMDSALKKMSAKAFPNIPNEWGLDPAPS MAISTPLMADTLWTHLESRFAEPVPPIRRITGPRSIELENGRVLEDIDSIIYCTGYNF NIPEGLIPKTSTIEDLHPYPGNSFEQPPNLYRNIFPLHPDPSIRNSLAFIGQGAIVFP GFMQQELVAMTISQIWRGNSSLPSYDEMLNWRKRNVAERETTAAIYKPRQGSTFYPVF LNMGDQLPWLDETAGTDKELYHLCMKGLFTPAIWRLFETGKRKAMPREECRAMILSEN KLAEAQKKSRLESKKKS LY89DRAFT_243331 MSAETITEEAQRAAYLSNAQTKALHLFEEIERTLIRPGVTEKDI SKEIFQLASDRFGVTNHWHKRVVRSGPHTLLPYAENPADRTIEADDILFVDLGPVFEA WEADFGRTFVLGDDPVKHRLRDSLEPVWLATKKEYKANPDMTGEELYDTACRKAKEAG WEFGGQIAGHLVGSFPHERIPNDRITLYIAKGSNQPMSLLNASGQKRHWILEIHLVDR AREIGAFYEQLLTVD LY89DRAFT_689233 MSEKNSVLVSYLTFGLIMLMGVSYGGTSLGFPVNDINLSPCHVL DDLLKTDLVLYC LY89DRAFT_243355 MSNTQDSEFSASDRWMTASRRDAAEHLPSKFQPESVPVDSVIPN ATRSHVPTCNEVGQAYWSLYPPLIDAHQPLSSPQSEFPFRAKSESRDLTQQNQSTLLD SSSHSVNPRASFSPPGLVPTSTSYQNKSLLNRQVPLDLNFVEQVQLRSVPGSPYDINY PYQYESTTPTSQSTAFAFEPDAGLMKVIDAFDVDDTIPLHAPELEAIHNTCHQCKKPF LNKARLDSHATRTKHLPYACRCGKIFSRFDVLGRHIDRFCPRELYPCPYCSKHSGFQA FHRPDHLTQHLQNDHNINWYVSESSEDPQECQISSNSTMTLACSYEGCEYHFEHPARR VFHARKDFTKHLRKEHDDSLFPCSATGCPKVRGNGYFRERDLIQHRRKEHGGPSQSGL ENS LY89DRAFT_739564 MLPTLDNVTYDTLKHKMIEAWQVDVDSLETDWQDLTPFNNAAVK VIHFHGESDAIVPTAASVRYYESVHDIMSPYMTFNESAAHCATNGLEPKGSFLPTNLA VLIDWAENWSELTTLTATHSGGGNVGANAQLCGWRRRPPWTNDGTTMDCLFDQASYDT WIYDCDGVPLPVY LY89DRAFT_689235 MTFEIDYFKGSPTGEIFPAKTQRPALKSDEIFIEITHSGVCGTD EHYKYVDQGLGHEGIGIVRAIGPEVKSFKVGDRAGWGYMTEVCNICEHCIAGDWLYCT GDRKMYGFGNTDQGSFGSGAVWKEPYLFHIPDGIASENAAPLMCAGVTVFAPLTQFGL KATDVVGVVGIGGLGHLALQFARALGCEVVALSGSEEKKEEALKLGAHHFVATKGVEK LEVPRKINHLLITTSKMPDWSQYASILAPMASIYLLTITDFETKLDVPFMQFLLSGWK IIGSSVPPKVVYVQMLEFAALHGVKPVIEKFPLNYEGVKESMKKLDEGKMRYRGVLCA DLH LY89DRAFT_655196 MAPLNVGLMGYGFSTKSFHLPFILPNPDLRVYAFLQRAPAPSNA SEVEKGKHCTVDFPDAKHYQTSDEFFADPNIDLVIVCTHHDTHAEFAERVLSAGKHVV VEKPFTVSTVEADRVIAAAKNSGKILTVFQNRRYDSDFITLHHLVSNSPFGKITECEI HYDVDFPSWISGWTSPSYSPGQGMLFGLGSHTIDQALVLFGPPKSVTAFYRSLRGVES ETDDTFTIILQYGGEQKNLLVTIKTSVVSSMQYPLKFFIRGYEGSFVKFGDDKQESQI AKGMTTASEGFGIEDEATYGLLTTKERFCDTQDFDQVSGKWVGEFPSLKGDYEGFYND LVNAIRGESEVIVKPEVSRDGIRIIELARESADLGRTMPFE LY89DRAFT_243379 MPIVGKAEYLNPCLQNITDEDKDNPLPRLDHIHQASRWEKMRLA KRKKRGQVRVCGSFQWVLTLILCTTSSFTITHGYHA LY89DRAFT_739567 MHDWIMNALLASLLLLDFSNLSSALPTFDLFNATLPSRRYGVPE QKRDNVALRILPLGASIMSGTGSTTGNGILGYDVDMVGSLHTGTMIDHEQEAVPGDVL TQILARVPHSIGFKPNVVIINGGTNDGNGNVDIANVGSRMNDILNALWNADDMGSTCI MLSTLIPTTNANGAANRDNINSQYRALVTQRAAEGKCVYLADMDPGGQIWFDFDTDYL ATESPHDKGHKMMAAVFYQSITLALADNRIVAPVAFEAGPATCDKFGDSGIDAGGYTQ RGSGYSDGIYYHDSEEMGILWTATSSWDRDQWRFARLFDRNYDDLLAWVSNSDTDQTY AVWANSGDGNGKFAQVTNLTPDLLCDTAGLYFVDMTNDGLDDLVCIDADGNAYLSVNL GDGNRASGKTPTFKRASSSALIKSNEGYPQDRVRIVDIDGDGRGDYCVIQDNGDILFW RNGWIDPVPAYWGGMTGCGSAKLEQQPPGPTAEAVLRVLRVTVSTSPGVKASSKAHPR GQHLGMSAYITDTETNLRNRIHFARIYGPPTIFGNAPLQDYVFMQHTELSTTSHKFDM RVWKNTGGGATKLFADGNKYCNMMGHSNGMVDLVWTYSFGTMELYINRGKGSISDSDP DGYWDPSTGVIWTPPSNMDRRDLHLADWDGDGDCDIIYTNPDRGAVQVWLNNYPSTGK WDWTYLSNPAPGVTCSEKRGLGRDDLAVRVADITGNGRADYLCIQPDSTVSIGKDRAN LRWADVDGDGKDDMLWIEKFSGDGYVWYNDGSADPSVAPGSSFSWRTQDAVAFAGNAA GSCIYYPDLDGNGRADEHFVLESFTNRAKTSLSPNCGLQDATGDDATTDGSLPAVPAG GSTTTTAISTPTSTAITTPTQSADPDFPSRSLAGNPNCPDRQNFMAPLNTVGDAEGGV EFCLGHWTEGIFPQVLGGKATENALAYISLEYSDGSNEAYGTSVADDGHHRYGSIDYS ALVDTWSHFILSGDGWDGGVGRLEVGLVGAESEDIDIGKYYDSTPPVFTYNRGTDGKG ILLGFYGRAGDRIVMLQPYFTNSGLDRVIMTDQVFTPSFESLNALPFEERMMEAVQSS YILFNNRTSEDVTMQADLYLEVTAQTSMNVGSQKSHEYGTELGWAVKGEIKGKIGTPE VEIGGSVEQNGKYVMKWTDTTLNSKEDTEAHTIRARYVVSTVVKAGQKVQCDVIAYQS RVNIAYTATATVQLLDGTSFSYPAAGNFVNAASSSAYTTCNDVDVSKTTDDAHTITES GTYCLDGTRVGDVDQSDDFLDQYCPLSS LY89DRAFT_243512 MRFSSLPAALAVLAYTSTTNAQVNVGSIVSGALSTATSDAAGGI STGLSYASGGISTGLSYASGGVSTGLSYASGGVSTGLSYASGAESTATSDAAGAHSTA SSYLSGALSTASSKAAGGISTGLADASSAISSLNSVASTATGAAKSSALSKASSLSVS ANSVASSASVALTSAASSANSAASSATSTAGGAMVTAAPIWGAAIMGAAGLYML LY89DRAFT_739569 MKSPTSLRSSLLLNTTTSFCSSLTQSQPIPPLDLIHRFFVSSPK VTEHGPEWARSRLPFLSKTFSGRNGCVEYFELLGETLKMEMGEGTFPSKESGEWVVDE GGCVEGGEDEGVVTVVGKARFESLKTGKGWEEKFIYRFSGFDSSGKIGHWEIWADPLS AWVACEEGKF LY89DRAFT_723183 MHFTTTVPVALSGLFAASVVSGFSAPTRAMSLRFAGAIAVMAVP AIAVPVGIPEELVARNATTAEDKIKDKKKDDKKKDKKKDKASSTAAAAEATSTADVKR AVIEEELVARNETSAKDDKKSKKKDDKKKDKKKDKASSTAAAAEATSTAAAKRDVDDL ETREPEPKKDKKKGDKKGKKTKSATAAAAVATS LY89DRAFT_674703 MASVHSQPEDEPSSIGPEIHNKFVTFETTSQLYRLPLSSPLATT FLTLSGPSKIEFLKEHGEVIAKKSIEERGRIMIHHSGSFIFWNEGQIEYLVPREKEGW EDHELSKEFEKLVERGEGRREWILRNAMRIKEWPDHAQRKQSVEFVKRGTGEKENSEL HNA LY89DRAFT_739572 MDHKISDKTEPAVSVVELEVGFPKISRRRRYWTNSISLLRRSFD RNDLGESPWPKICRHFIYVFTVAVVLGAVAIIVYHSILLDLVRVLSPPKLPDTGLSKI VSEWVEPGTIPTSPSWLPNFSRDILPRAIHSHNDYWRAVPLFQALSLGVTGVEADCHL VNGELFVGHTARSLRPNRTFRTLYLDPLTTILENQNPNNNFTNGTINGVWDTKPTASI VLMTDLKTEGYSTLEAVQQQLQPFREKGWLTYWNGTAVVPGPIIHVGTGNTPFDAVLN STFSNTTYRDVFFDAPLDALTPSYNASNSYYASTSMSHLFWGIPSSGLSQARLDVVKG QIEMAKSLGLVSRYWNTPSWPATRRMNVWKQLVDLDVGMLNADEILEASRWNWKWCSV LGLHLC LY89DRAFT_689241 MSNIKNVAVIGGSGNLGPSVIEALLDAGFEVTALTRAESKATFP ASVKVQKVDYSSSTSVAEALKGQDAVVSTIATLALSQQSSLVEEAVKAGVKRFIPSEF GLNTPKVTGGTAKILGAKLQLQEQLKKLAAENPSFSWTGVSTSLFFDWGLKVGSLGFN VKDKTATIFDSGNEPFTGSNLPFIGQSVAAILKHPEQTANKYIQVASFTTTQNEILKL LEEESGTKWTVDHKKTEDFQKIADEKLAKGDYSSFGDYLKVYLFADGKGQSPKEGELA NKELGLKTEDLKATIKSVL LY89DRAFT_674706 MSSNLRKRSVVSSFICTPPNSPSGFTFALFKRSQDVSTYRGKWA VCSGSIDKTDLSPAAAAKREILEETQLSDCDISLLRRGRPFSLIDEALQTEWTIHPFA WQLKEGANQIKFDWEHIEFKFIKPEDLSSYDHVPQLEVGLERVVVSPMTQKALTALRN DHESGAQALALKALDFLLEAARSEELAGIWSSEEYWKELRWRAWHLAKNGRPSMAAAI ESSILNALEDIESHVSEDGGGKIATCSLSRLTSIIESVISSKLDSGASNLDPIARSFT DLILPETKGSDKESRTSMNITTISASGTVRTGLLRAIPQLIAQGIAVKLTVLESRPNF EGASFVNTLLDQFQGDSDILNKLKFEIVSDASVANAVKDAHFVVFGGDKVIPNGDVSN KIGSFSLAAIAKTVSPACKVVAMFETNKITGSGFDSEYLAVEENDPDEIINAWPLVLQ SDLYMKETLGAQVKVKNEYFEWVPARFVDHYITEKGLWGVEEIAKAAAELEEREKRLF GEL LY89DRAFT_739575 MADQNTQDLYSCNGCSEKIPTGRARIACHTCPDYHLCANCFVIK QFSRPHSESHTTMILKSSGFVVPSLPGFAPRAAPALPPRQNSARQSVRVSEMPTANWG ALWNIMKAPLEKMDKKNRKGSVDVKEDEFKNVELRGRSPSVMTGGLDKGKEQEKGSNS SSPLAQNPVNSLPPSPPKCVLRGIERVDSSAPSYPRPAKWESLFEADGTPRPIFVALM STIFSHLDPDHTEYLRPETYSAFLDVQGCDLELNSWKRTLQSDDGDNSKDIADFELGL YFSDNNISHNLNVRPKTSSDNDSIDEPTSAVEKRIRQSISFKPNMPMLSRQGFIDLIN IEYHKDLDKAHEGLNRAIKAYGIWKELDEMPRSVLPDQSKSTALKSVVEVGENDESAE ELEMPPPALPIRPRGGEAKVEALMQREEFDEEKSPKLSEPGLTTLSLGEEKDEPDVKK GEVEEKDEKKSGSENEGPVMADKEMEGDHEVTARGEEVKDGMSKASGNEHDM LY89DRAFT_674708 MPFPFTLPTTSAFSFSTFFTSDSHPSLPLSASTYRGVLRDTLKK HKRLPPSEQSPHLASVLLSLNNYIPYLLALDDGLGSKQVAGEEVDVVLKSTPTSEWRP TLSEAAVPGREMGRLKIQSLEYETYFVLSTLSYTYTLLSRVSLHPLYSSATASPSTEQ RTLAITTATKHLLQAASIHDYLSTRSDQVSSAPPCVDIAKSTFKALTSLALAEATLLA VLKDDPYPAAVAQDRNKNDKEWMIKAPEIPKVRAHLFARLCLAAAEHAANTLSLLHTS SGATKGKVDGDLLKYVEDLRRTGRGKACRFFGIDAELGGQTGAAIAWLKAGMHELGLG AKGEARKGLVFARMKKGWDEKREDKRVEKGSHWGSDAGRFEEGRVIEMLEKKWTKMND TINTQEIPPTGPLMASMPSGREMHKVTPFVPLVLDTSILESMRAPPDRADDYGVDGSS EDEAEEQAPAGAFPGTKGDYSGSGSYY LY89DRAFT_710411 MDSSHQSSLNSARGAGTTFGPDDTNPSSTATPATSVGSATAAGH GDHPQDNADDSNATLPGSKVGPQDEDLEGEQMRAAGEGDVMDAQFNKKDAGWGEQGSL TSDLDRQKAEQKSAREGVQAARQGGENVDGGAGNRVENEGMGSV LY89DRAFT_655216 MTTPQSLHHALLRPCILHILRAAGYHTTRPSVLDTLTDLAARYM LMLAQTTANHAALNHTEPELALEISITDVRMAMQDCGALAPENLLEEQEFNGQEDLRG VEGFIEWAMGAGNHEIRRVALEGPEGAKEDYLTALKKKHSAADEESRYNGTVLGRPTE PRAVKVEGSDITSLKEWAERLRKPPAPTSVQTSSSRRQSSALSSLGDEVMLDMDF LY89DRAFT_689245 MAGLKLKINVPNGIGAAPSTTTPSTTTPAVATPGGSKPKLTLKY KSNPSTPIPPDIPKPKKTKAGRTAKPSAKLIESKKRFKEETDTDNDEDGATISVQQPS KKIKLSIAGGPKTPAAKTPATPIVLKAKVKGQAPRRDPGVGYDSEASDREIDPVIEEE FILRMQPGDDCDYLRKAIEEKKIGVSKAQGGADVSMKFFDLHCRRGVVMVRKNVYAAT LVDLPCIIEGMKSWDRRGWWKSADICQMLLVFAQVQSEEDARTIPLPPDVDETYQYPH GITPPMHYVRKNRFRKRIHKTVIERVEEDVQRLLAKDEEASSTQYEIFDPEAEHRRAS QFNESTPGYDDEEDAEGDIEEDQGYFGHQPAGEEEVEVDEDLEADLLDALEAESANAA TPDVAGTPSVAPQVEPEEDSGDDSFDDDDGDDDGVDAPNEIDEEEKARLAQIQGTRED IAELENKIRANQESLSSQKNPLLRERLEGFIRKLRQEVQLKKSSIGEEEENE LY89DRAFT_595179 MAPTPESAAFLAKKPTVPPTFDGVDYDDTPRLKQAQDAIIREQW VKSMMARLVREELGKCYYKEGVNHLEKCGALRATKLHSKVIEGIEARRHQVEEFRDRV RLVGSLLERLSNTLQHINSNSTMICSRCLRRASALRNIPSIARSFSSSNTSLSPATAS PRTSGSPAATSTGIAQPFSTPLSPAPNDVSLGVGLRPKSKTPLPVSSCPAGTPMKGIN YLKAKDDPVALPEEEYPEWLWRCLDAKKNEGDEDGVVGDEFSKSKKLRRKAAKRQRKL EAARLASGDLSALEPKIPLTQQSIDLPSNEEGTIRGALQAGDRREELREAMRRERRSK IKESNFLKGM LY89DRAFT_739582 MAKSKQVKSASKAKSEVKPLSKVKNASVTKPSQTPNAKSKAVAK EVATKVNGKKSKPVKAATPSSDSESESEADSDSSADSSEEELEVKKPVANGKANGKTN GAVKKQEVDSSDSSDSSDSSEDDSDASDSDESEKSAAASDSDDSDESEDDSEEEAKPV AVAKNVAKKAVETGKAAVNGAAKAVAKATETSDSEDDEEDSDESASSDSSDDEEDSDD SEKEEAPSKKRKAEEDTVETVKKAKTEAVDDGSKNLFVGNLSWNVDDEWLYREFEEFG EISGARVISDKATGRSKGFGYVEFTSSSSAAAALKAKKGSLIDGREANVDFSTPRSND APRDRAQDRAKQFGDQKNEPSDTLFCGNLSFDADENTVAEAFGAHATVVNIRLPTDQE SGNPKGFGYVTFSSIDDAKTAYEAMLGQEIAGRPVRLDYAQPRPERTEGGRGGFGGGR GGGRGGFGGGRGGGRGGRGGFGDRGGRGGARGGRGGSTNRGGFGDFKGKKQTF LY89DRAFT_243869 MSTQRRHPKTRAGCVPCKQRRIKCDETTPSCRHCQRRKLTCSFL RYVPLKPRPHSPSPTSPPNNALLGLPLQFKILDLELLHFYTTTTSLELLGPHMTGTPI WRTSVVTISFAFPFLLHGLFSLSALHLVYLYSNTNPDLAQKYNNIATLHHLKCIADYR LEIQNLRPENSDACCACASLLAVHAWYRRGSRGADLFFADEGLSWYKLHRGGAEILQS AISWVQKGALSSMIRPLMVLESMFPVPSTSLVLVDIGDDIDKLNAISSCWGFFEEKDT LDSTLQTLRFAFNLTSHLSSKSGTELKGSISSCIATLSWMTLVPLRFCEMVEEKCPQA LILVAVYCILLKRIEGFWWIEGKAESLLEAVEGELGDGWQAWLEWPRREVETGAHTPW K LY89DRAFT_595071 MVQSGVPTRLRNDGTFCWDAAEIHADGIESWVEIASQPSSSSLS SIGDEIVTTGLRVQHDLNTRRRRRVAGPSHISLQARQTSSSQEEYEESESEEDRVMTS SNEHIPATSLPRVEYDAGSDSDDNDENATALGRRTDDGPSFTPQPNAFSHPPRQGGSY FPSHAHRQSYPPRPTRQHSSYNSPADHDAALRASLTTLLSIGAAAARGLPKREQPTMT SNEPMGLRFVPESELMAPNPANTSRPLTASTRARSSPSISSQEAAEKGKRKANNARPT TEKVRASKKKKVQVESVEDSLLSPTSLFWAMSAGVAVLVFGAGFVIGREVGRQEAMAG LNGSTLVDTGSCGREVARSSTGTLRRFKWGIGTGSGIVA LY89DRAFT_723196 MSFQIEIDNHHYSNIYYPGDTITGVLHLQGPLNDPTISITASLI GKSSTFITLARSVFSEDTKLFEETSTLFEGPCYLESGAETAFGFSFQFPEKTDKINRS GYVDWTQDGHVLPPSVDSAGSGEGPVFVRGSCAGKISYELHARLKKGKRFSCNVRRKI ELTVRRPDEIAASRDDLAAIEIPLRRPKPRSGDQRRPLFIWLDDLIGFNGLPSGMSLR LHTPSVLKLDTAVHMGLELIHSDKSSNPLLSQVRILGVEHKIVCKTWARAYEYQDSHT ETRTFGYKKMGRTLHLDETIDLTEDLDVVLDGNVYTPHFESYNITRRYSTKTIVKVDF GGRKHKITFELPMLNVLPSEKQCYIALEKEKSVYIESSKQSCILFDCCVLG LY89DRAFT_689249 MGNSVEQATLISRHSYHVPGKMQIEELLFEVPLDHSDPSRGTIR IFARSAKRSEPSNTGLPKPEQKPWLLWLQGGPGGGCPTPQSQERTPIFLDRGYQVLHM DQRGTGLSTPVTAATLALRGGPEEQADYLKFFRADNIVRDAEAIRKFLTAEYPEDLKK WTIWGQSYGGYCSFTYLSLYPEGLREVFVSGGVPPIGKTVDQIYSKIFFQTKKRNKAY YEKFPMDSRRIHTLIDHFDSKGGLALPSGGVLTGKRFLILGMNFGFKGGIQNTHDLVL RMATELEQFGFITRPSLSIFEDLTSFDDHIIYAVLREAIYCDGMSSNWSAERVGASMD EYNWIQGARDPQRPIYFAGGMALPFIFDISPELQQLKEVAEILAGFAWPRLYDEEQLR RNEVPLYTASFVDDAYVDFQNVQDALEGVGNCKQLITNTMYHDAVRKDTKKLLNEMFA LKDDLLE LY89DRAFT_739587 MGRDPVKQSVVAGDALISTDGSSSFTASLRPLDLPPPTTPCVAI NRMSAIRQVEPAAGLSPASRRHGSIASSSSTRPALHHQLQNRVDMSIGDIHVALQIVR TQEGRLAEGMSSSSAISVPACGFVLKFGNVPTSLKSSMTEKPQFEVSTIITCPEHVMN IGEVSPKRLILPFTCNLEANSCKSLLIPWQVASKNPLPSASHQTTYDMDGTTPIVLGA LVLVIFLIGVASLINIGRKKEGAAAMV LY89DRAFT_243899 MADIKLNTEHLETRQRQTIYQKESTFSKYIPGGYSTTRCLKLTG RPMMYAILSLAGCAIMFFGYDASVMSLVNTNTDYLTLMGADTGSKRDSAAIGGLVSLW FGGFAIGAISVGHYADRIGRLKTIELGCLWGILGAALQASAQNFTWMAFARIIGGIGC GHLNTIVPIWTSELADPNLRGAFVAVEFTLALSGSTIVYWMEYGCLKTQSLPFAWRFP LGFQALFLLILLVAVPFYPESPRHLMKIEKHDDARQVLRQCRLDPTEIRIDQEMTEIE DAIRLEASSTSHSFTSMLFTKDKLHTRRRVILGAGVQVMQKFTGIDFIATYAPEMFTL AGYGGDKPALLAGGNYISYTASLALAIYLADRVGRRKLMLAGSTMMGVILTAGGILAH EVVSKSAKGQTAEANRLGGGVAAVLYLYTFMYGSTWLTTCWVYPTEIFPLASRAKGVA LATVAFSIAGGVINEIVPYLINAIGFWVFILFALINLAMLIPIYLFYIETANRHLEDL DLLFAGGSHLSWRAEREFEALKEKGVEHVDEIPAREMS LY89DRAFT_787002 MRFQLLAVVLFLASLVRAQTIGAFYSLGGPTVVSLDPQTNTFVY NVYSKKGFSLMNSFAPTIPPKNGTSIACVGYSSPSSVYGSIYYQTSNNSIAQQLFKCS YASGNCVNYGGYLISANVTIPIKPNTGLSAALLSADLGYRITYEDIYGAVRQIGYSNT TKGVVTPWADGVLASNSTSLNGSALATTFVQSPNTTEPAQQTIYQLVDDQILPFVDNQ ATAMNQTQSWVAAAPITNLPNWYSTNASFATVIYNQWLMLFYIDTTRQLQWLRSTDGG GTWQAQPSMDTSIWPLGDTPNAPVAAATSINSTADNSASVFYTSNGTYVQAIMTNWNW VPYVVVQPVIASNTTNNTTIPLRPMTRKDTKDIKIGASTGGSVGFLIIIATIAWLLLR KKKVVPTPERPKSIGEWRGSESEFSGKAELHGQSAHICELDHDPECLLLHQLQLYRMY ELKGDIPVELQDTEARRPELDHTLCKCELDASVTYELPTCLEKEMSIAESSGRGKEVA VSVKELPAWSWDILSREGKEKGDEEARLESRGKEKAEN LY89DRAFT_787003 MHSSIILLSAFLSAVMASPQSTTPVALGGTCTSSSQWANGASCY AVNSMAVTLCGNFSATCSSDSQCAFNTCVSGSCEGVLSSSSATLTTIPTTTSSIPSST ATSTTIPLGASCTTSAQCANGASCYAVNSMEVTLCGNQGATCTSDSQCAFSACVSGSC NGVLSSTSTSSFASSFGKTSSTIMPTTSKASGSRSNVTVTSTSTGVPAGFTTSVTVIN GVTSSVLVNSVGATTSFGAVATSISVSTQASGAGRLVADFSGVLAVVGGFAMLL LY89DRAFT_655240 MSLLDEKWEAREPEWTFSTYAYHIAKWSINVLRPSVFAKTPRKE LTHTSWLDGLRGFAAFLVYWQHHQGWAHVGTSANNILETSFGYKDQYYFACLPGIRLF FTGGHVAVSVFFIISGYVLSAKPLALIHAGEVIKLGDNLSSAMFKRWPRLFLPAIITT FVYATSWHLFGLWTAVPVHQNTYVEEVAYWYNDFTCFSWVFRGGNVHYSKYNFHLWSI AIEFRGSIVIFTSLLAFSRFRRNARLLCEVGLIFYFLYIVDGVLYAMFTAGMLLCDLE TLARNDDLPLFFYTHLRAYKKAIFYILLFFGIYLSGVPSIDFLTSISLLEESPGWNWL AHLKPTSVSESDYKWFYLFWASIFLVSSISQTRVKAFFEMRFNQYLGRISFALYLVHG PILWILADRLYLAAGLTREINVDGIRSWIGIFPLSKAGPLGLEFAFLALHLIILPVTL WVAEICTTLVDKPSIKLAQWAYRKVQEFEVTQGGED LY89DRAFT_674720 MGRGKARRNPRGSHAEDAAIDKSAKNYVDKAVEAAKATFDASIK ETIGAVIEQFHREAVEEQVQFDEKINKIMETQNMMKENNQMRQWQDKISAAFNQISND LTRIQGVIHPTSFRGSAKGHREGEVEDERTAYSELSDLQHELGEQQMTPRPRLNMEDL YGIYNDSGDERIGTHQVASPEFDEQQDISDLVDAGACDFESVEDDTLDI LY89DRAFT_674721 MPTLSTIADIHDVNEHMDTVQAGMSEVKGGFEAVNSAIENVSGV CHGLDAMSQSKNLIVLQKELTKERRVLSCTRSSFKRGSRWFVEWPARFGVAQSCAGPR SHVQAALRSSAAKYRVQPVTVLLYKKNEENRGRFISLHTRQAAANDVLKNFGQQFSNV VTKPEMANMQTSLTELQSTIPALATVVDTQDILKGVQNLSIELTEVAAKSEDMDYLNE SIRDVSSKVNTVQSSMQALASIADVQDISTAIIKSKQDILNQIALNVNAADVDTKFEE VKAQVEGVQSSLPAVATVPDLCDIEAINAVATNNRSEIIDEVKAETLKYRNDIVTELL HLPSKDDINQHIATLPTKEELKVDMTTAMKDILTEADFVAEVTKLNDSTKDLLQKGHF DTKIEAVTTTIDTLLSNLKNEFLFVEQSQEASRVAIKDMATELVEVSKNGDNISEKTQ EIEQIVKNVRTSLYDILARTDFGGDGWWTNQQGGNEEDAPDIGFPKSQQNPKRTLSSE SSEHPSSQHEPTPRPRSDSDPLGIYHDDSDEPPVARPQVESPDLDVRSEHDTSIVARD FASGGEESLLFQDETDNSIEPTLTPLNQHVDVLRGQKHSRPSTMESMRAEQSKAAKAS DGARVPSGSKSTAAAAGPAPSPPSSGTWRKVSVGPKAGGKEMRAALKSVLGTKIGGLV HARDIKVGKKGGHRLANAELNAERPTVCWMAQYSLDKDWPAEGYPKLPSGRPGADHLE NLKKWWGEKWVDTNKACPHCEWMKGAGNEASCFYFVDTPEKEFIQLHQYVRLGKGGPT NLFFDDHHIHLLRIPATTPPLAFRSEPSTQWDKN LY89DRAFT_655245 MLSRRVLVASRFLRAHRTPQLRYPFPAVAQQFRTYADKIVKVPE MAESISEGTLKQWSKQIGDYVEQDEEIATIETDKIDVAVNAPEAGTIKEFLANEEDTV TVGQDLVRIELGGAPEGGEKEKGGAEPKDAASKEQPTSSDPEPSKKDESKSKDDSSPA PPPQEKKPEPKKETPPKQSEPKKTESKPSSTPTLGSRAERRVKMNRMRLRIAERLKQS QNTAASLTTFNEVDMSSLMEFRKLYKDDVLKKTGVKLGFMSAFSRASVLAMRDIPAVN ASIEGPNGGDTIVYRDYVDISVAVATEKGLVTPVVRNTEAMDLIGIEKSIADLGKKAR DSKLTIEDMAGGTFTISNGGVFGSLMGTPIINLPQTAVLGLHAIKDKPVVVNGQIVIR PMMYLALTYDHRLLDGREAVQFLVKVKEYIEDPRRMLL LY89DRAFT_689254 MSTKQAVSSLYRRSLKLALDWSVHRHLWRGQAMYIRSLFEANKH IRDPRQQRALFQEAEDLLEKWKHPDPYHAPTAPGGSKFERNLPAPILDPPPHIQM LY89DRAFT_689256 MTGGKSGGKAASGSKNAQSRSSKAGLAFPVGRVHRLLRKGNYAQ RVGAGAPVYLAAVLEYLAAEILELAGNAARDNKKTRIIPRHLQLAIRNDEELNKLLGH VTIAQGGVLPNIHQNLLPKKTAKGGKTASQEL LY89DRAFT_655253 MPPKAAAEKKPASKAPASKAPAEKKEAGKKTAATGDKKKRTKTR KETYSSYIYKVLKQVHPDTGISNRAMSILNSFVNDIFERVATEASKLAAYNKKSTISS REIQTSVRLILPGELAKHAVSEGTKAVTKYSSSTK LY89DRAFT_739596 MPSTKRGGKRGNSGAGRTRGGRRNPGLDTSFVPFSNTAAPRRDH NGFTLQDEARNTERHHSFWNTDQRLRDSQVTFVSAGTLDSTKPKDTEAALAEMTLDSP KDFDKIEVFEEEEENEHVEDTMMSSDHRPIQQHDKSPLEDSKFNYIVDVHGSEPVQTG IPPPRLRSVSPVPSNSSEEIILYAGRDRQGRGISRVSSAPRTVADPIEQKIRRVEDEI HKREEMLEEVLRHGATPPVLPNMASSSSIKDVRPKHQRRGRRNHSRSEDSEEAALIAD YIANIDKDDRVPKSFAQRELGGTEDEVWQDTEASSGEPIQKSESVLHGDWDRADICDF DDLSTSDGVMGDVQQILSKRDRESGVQYLVVWQDQTVDEARWVPTTTLTSVNALSHIE TFEAEEKLVAEFTGATEEDSGDSDDLDIDDEDGDDLADDEDLLLQKIERMSDEKIARL LAKQEELGMGSSKLILFDDDDEDDGDDDDDIPTFSNTFSPVMLSSKKSAGRGRGSKRP KGEFPSATMMADAFDGFDVMDFDRPSLKKRPKGRKGKFVPAISDSELEASMEMAWEND RLKKKDRKQEREELRAQGLLGSKSGKPDLKQKYKEGMDLLAVREEIKKFLMGNNITLS LPPMDKANRKAVHELAIALQLKSKSAGNGTTRFPILYKTARTTAFAEHAYNAAEARLT RRYLPRMDVSSRSSGVKRARGGGFNSGAVSYRDGDIVGGSAPELGAGNRGRAMLEKMG WSSGTALGALNNKGILQPVSHVVKTTKAGLG LY89DRAFT_710424 MAALDLGKYKKFVQYFYDPEPTNVLQSPIWCLGKEYRAPDRSSA AKDMHSSVPNVKSAPIGSSRVQPVTPPDSTASSIDSNLAYEDSENEQGGRWPSPFLDD FEARIWLTYRSNFPAIPKSQDPKAQAAMSLPVRIRSQFDKPAGFTSDTGWGCMIRSGQ SLLANALVMVRLGRDWRRGSSTAEERKIISLFADSPNAPYSIHKFVEHGATACGKHPG EWFGPSATARCIQALTNDHESSELRVYLTGDGVEVYEDTFMKIARPDGVTFKPTLILV GTRLGLDKITPVYWEALKASLQMPQSIGIAGGQPSSSHYFIGVQGSFFFYLDPHQTRP ALPLPANIEDYSQEDLDSCHTRRLRRIHVKEMDPSMLIAFLIRDENDWKDWRRAVQEV QGKAVIHVADREPALSTERDGAIDEVETFDDDDDDDTILDA LY89DRAFT_710425 MGAQQSSSRDSNSQNGEGVKRCYYEVLGIERSASEDEVKKAYRR KALELHPDRNYGNVEAATASFAEVSAAYEVLSDPQERAWYDSHRESILRGDDGTNEES YEHNIRVTSASDIVRLIGKFNSSVSFTDGPNGFYGSLRDRFANLAKEEDAACDWEGLE PVDYPDFGSAEDDYDDVVKPFYRVWINFSTKKTFSWRDAYRASDAPDRATRRLVEKEN KRLREEGIREFNDAVRSLVTFVRKRDPRYIPNSQTEADRQKILRDAALAQAAKSRAAN QAKIEKHVVPDWAQTREPEAEETSESEESEVEHIECVVCGKTFKSERQYETHEKSKKH IKAVQQLQREMRKENDLLDLNTTSQDTSAPDSAFEELDLDTKGIVVEGESATSVSDDI EDHLEGHSPEIRNSDLNQGSDVKVDPVANEISDSSEADDEYASRKEVEKRIVGTLSDE TTKVDISSDATPIASDVDSQRLGKAKLKRAKKKAKQEQLAQDLNEFKCAACNETFLSK SKLFNHIKEFDHAQPVPQAIQKGSKGKKGKR LY89DRAFT_244451 MFCRLSKSLDRPGFKRVEVPLTFDTEFFDILYGDVVNLDTLQNE QQKAVASNINTLSSQLVRLARPLQGKYKDKKTDLYRWRQLFEIYLQGSVFFSTHEKDH GSRDSATAAKQLNWFQDEVVKRGIVDTFTLPESRQALVQFVNINIELLRNLKFQELNQ KAISKILKKFDKRTHLGASQTFPRLIQSDAIMSGSMAKALCSQVTQDIVKLVPQIEDY SCPVCCDIVWRPVRMKCEHLFCSSCAVKLEKQKKRCPLCRENVLVNLMEDDIDNDMSS YLELWFPKEVREKRIAIETEAGREALGIHYKHPSEEKCVVM LY89DRAFT_244509 MKFAHELQETLEKEGFPPGWIATAIPYSKLKKLLKKVQLELESL GLDRQTLSQLAPPSDDPTDRRGSGVAIQYEIDGKIKLVPKLTLFIQVEGGSAVDAILS PETREYLEHLARSQPGSNNAGQYEHNPPMPGLDTQVIDRRCISSPYSSEV LY89DRAFT_595603 MYEILSQSKQRSLLTDLPDEVLQQITYYCSANDVLLNIQRLSKR FGRLASEPLLWRHHCKVEFKYWDSQHAIKAKFLGNAVDVDWKALYTYRREIDAQTTRL LNGILEAQVDRISKFRSISSFGYDAKDTLVRHCHAHEDTQDVLARRYYASAVLDHVHR SKALDLWRRVLHGEDVPIEKALGAFDLFVLHDHYGDLTEISDLFDNLLKHLRQEHPGI DDLPPRQKALTALRFLRKNNFVGLSSELAYRDLQNNFIGIALQDQDHPSLPLISVAIF CAVAQRLGLDAKCCGIPNHVHAMIIPAHGESLDDRPLREGEAQAEPMYLDPYGFDDEI PPQALRIILTQYGLRPHQFTEFMTDTDNANIILRTSRNILATVQEFRAQGTGATNTGH PTIRLHANPFADLDNAFYSALWANYMFGNPARPSEMTTQRQFIPFILERFERLYPMDA SLIEEYIVPQYGITDHTSENWELREALRVVRVADQTPKQRRLRDTQAAREGVKYKVGQ VFRHRRYAYMGVITGWDMECGMTSAWIAHNNVDSLSRGRQQSFYHALVEDTSIRYVAE ENIEVIEPDVPVSLMSLAGKFFKRWDKENRVFVSNIQDEYPED LY89DRAFT_739601 MSESVSSMAGEDNAGPGGAGDDGRAWLEVESDPEEFQKILRKLG AKGVTVQELYGLEDEAIYELTGQVLGMIFLFNYRLVDGEESEDIQNCPSQVWFANQTT NNSCATVAMLNILLNVPGMRFDANGTDILGNLKASTANLIPAERGQVLSDNACIRQIH NSSAREIDALNASLQVQRDHDEWVKISKYRRKSNGTKRKRASSGKTKKPKQDLEDAYH YIAYVPIGRGVWRLDGLLKQPRNLGRFEGDWTALARQNVLARIAEEEDVEYNLMALCA SEQQTVVLQSLKNAVSIEKIKQTTLVSSEQVAHHLKSAGIEPLQESELLSVFGITREQ LNENQGLADDIVERMKAEDHLKLLRQLITEQNDLKTTFVERLKYDIQTSNGVEDTKEE DLTDATFNIIKALVDAGMIDAKVGRR LY89DRAFT_787014 MSSTPQMRSSWPSTPGSNQPTPDSTRVRSPLPEIPNAAPAAAAT NAPLIPVNLIDAPSQRMYTLAVYGLLLVWRLYDWWKLVEDDTNSFALFFKWTLIDILF LFGIPLLRIPWLEWSESTSLVACSLHTVVNGMLMFRIPLPLEGTLLFFAKTIFDREMS ISENSVRPSTILHNSSLIMGKQIINILPEGSVTMNPEGQPFCVDSSHPVAHIPLYFNQ TKPKHVELLRIDFDTNANETIVLSQKEVNNAKKTQDDNLYTINYTAKKPGLYRLLKVV DKTKLEVQRRMSDTLVVTCPRAVVKSSSSDKCLGDLSDLTMEIEGTPPLKVVYSRTAN SDQSVHHFQSIQPENFASPLLGSTNAGTLVLAGSQDVSWARTHRITVPLNESMMPSGR WLYSIDEIHDATGNIANFTIGGDDGEHVYPKGAHLEQAFVVHERPFARLKGCDSRNPL MVANGQAIQFPVQYSSPGRAPDDTSHTLMWKFSPLESLTKNGDHGEETVFQEFSARAA HNTPVIRQPGLYTLTGVKSKFCDGEIKEPASCLLLNPPEPELTLSSENINDKCAGNSI GLLVDLDLIGTPPFTVRYDVITKAGKHPEDVKVSGLRHQLALKPTDAGHFKYQFTSID DAVYKGHQLSGSGLVLEQDVKPPASARLRVPSGSIDACIEEPVEMNVELSGEKPFTLE YELVHDGKRKKNKISSIGTDVYKISTDPLIKGGEYSLALTSVQDKTGCKIFLTSEAKF TVRRQRPKASFGLLEGKHKTVEVEGGNVELPLRLTGRSPWTLKYRNLNDKSGKILEKR ARSTNDILAVSERGIYEILEVLDEQCPGTVDTTASTFEVDWFLRPQIKVADTAALIPD GKKYRKREVCEGDIDAVEVSLIGSSPYNVRYRVSHKPEHGTSSISNKEFEAALGVATI SMDTSKPGTYEYKFSELSDALYDHDSKKFTPLVLEQKVNRKPSAHFIKPGQSYKYCKE ELAGDEVIPIKLEGVPPFSLEVDIKHQSNIRPETVKIANIESNHYDFRIPHRVLSLGI HQVSVRKVRDSHGCQQKTEYGGPHVQVQVYDVPTIYPLDSRVDYCVGERISYTLSGTA PFEIFYNFEGVQRKAKSTSTTFRRIAEKPGNFTITGVSDKASECKARTNISKLVHEMP SVKISKGRQVEVDIHEGGEAEILFEFWGTPPFEFTYTRSTNARRGQKSQVLETRHEVS YEHSKTIQSSQEGTYEVVAIKDKYCSFSTQKAESGNKQRLLQF LY89DRAFT_689261 MASAPGDPSKRPSSSGQKSSSAPASKRICISPRSNSMAQTRPSP NIESILHLPEDAVWSLRKQDLRACFLVLQQYAKSPYPLPTPTLPSPAIPASFPTEPNN NILSHLLRLPLEIRELIYIHTLPPVCSPPIRGPHPRQLQTHIHLTQPINPSLLLLNHQ IRTEALPLLYGAPTQIIHIKIDYNIWEHKTRRSDLILSSALTSSIKHIHVFIHLGSEK RTTKPGDIEADARIAEVKKGIKKLGKWLGGADVQTLKVNWQEPPQTYTWEQKKDILDG MRVLRAVKVDAGEINWGLNWNKGRKFRFEIEYLKELERGSQIEGAT LY89DRAFT_244556 MHFPLAVVALFWAGVSYIIYQVVTGYLIARHNAARARELKCEAP PAQKNRWPFGIDQIVRAIKADKAQRFPVDFIKRAEDVGAITYSWNLFGSENFQTADEK NIQAILATQFDDFDLGPFRRGLFWPLLGNGIFTQDGAGWEHSRTMMRPQFVREQVSDL GLEEIHVQNMMRALDLHLGSDKWTDCVDLQELFFRLTLDSATEFLFGESVDSQIQLIP GSKVKKDKDGLQSFDFASAFDKGQMAIATRARFGNLYWLVNPKGFKEACKACHDFIDH FVRLALSQDLREKELEKGGKDKYVFLEALAAETQDPIELRSQLLHILLAGRDTTASLL GWLFLCLSKDSTRYQKLRNICLEEFGTYDKPKAITFSKLKSCQYLQHCNNEALRLYPV VPINGRFANKDTTLPRGGGKDGQSKIFVPAGSSVDYSVHVLHHRKDIWGPDAEDFRPE RWENRKVGWEFVPFNGGPRICIGQQFALTEASYVTVRLLQRFDKMESMETDPIVRHNL TLTNCSGNGVKVRAHAVRSGSWDY LY89DRAFT_244634 MRKQSLHWFEQGGTCSSVLVCIRNDWTRRIASSRNLELRSTSPT PNARSLPRIANRNSTPSTLTITLAVKQSKATEMLHKQTPLVPEHSLSMPPKNLVVERV LDAMRGMKPEAPNLYVPCHLRLVVCPET LY89DRAFT_244547 MSSPWKKKQPEVVVDAVLKRAEVGKIGRKLKARLALAQYKAARG WEDLTLDTIEPKVEEELRQKRPLSSGDIFSDSSSSTNSVVSEFRYSGSRTLMSSPLKA PAIFSDQVGSRSGSGSSTGLRKRNFHHSFEHPSSPTSARKRFRSSPTAGRSVNAGRTS WKDSQLAQSSPIKPKKHTHFTTSSGPGLSFFSGSDEVHSTNFAATSDDEENMLPAHSF DIRSSPPRTPSPPRNRGSLGHRKDKTGKLGEEGADLLLYLATSPSPANPNRARMAPPS TPPPKTLALPSSMMTTPGGGGSLLAGFGGPVTPSQGFDFSDFVNITPSPAQGAWPKTP RTAKTPLTVARRRLTFDNFSHPGSSPNLREGSSGSTKHTGLGMQLGGDLIS LY89DRAFT_700567 MAHTILEKLRRRSKASFNKNSDQSTDSSTDGSGIATQSSSTVNS ASGGATPPLLTNSTSSSDLHNLGTLPPPTRPTLSTASSSKRQSVSGMSGLGMQSPQSP PSSLPSSPYAPRITSINDKQWVYQKTILISGIIGDPSTLALDGNIIVTRYDDAFPPLT FKVHGSHFKTCLPLMPGKNVLRFDFTSPKLSNNSTSNPIHSTTITLHMIPPQSGPPVQ LVILLASDSPATFDAPPGRIEKEGNGLDVAIQKFRMTGYLWQAFTAENMSRNKLQRRT FRMEEEWVTGTSNYRDRQNKTMRSEAKVHIVRCDKTVKEIQDIERAQQNPNGTKTGDL LTIAAEAMKNYFQPLPNQTQLCAVLLLDSHWDKERNLIRGHAAVTGSAHGVNVALFGS HALHSYPSCFEEIVPAFTDCTPTDTNHVANDCNESGSSWEAANIGIGAHLHELGHLFG CPHEERGIMLRDYVTFSRSFITNEPYSTRTKFKAGLVLREDECAWHRLDTLRFRSHLS FALPNDPPRQGDDSIQVWPVENEKVIVTAASGVAFYEVFLEGDIECHYWEEYGYVEGP NYGSHPKQIILTEAILKNSVPENRKTAKFQKICIKSKFGGSLDIDNFATLASKASKVK LSNGRLAFRSRSLGLSQLDGSTPEEIVFHSTVQKTKLLTQVRVYHGNFVDGMEFIYED STTQLFGKRGGTPGGSEFNLDTRRGEVISGFYVRSGHWIDGIAIITSLGRKSPLYGQP NGSESYLIPPIGYSLAGVTGSSAAWVDGFAMDKQKKLLSEDNSHFTMIKMLHLADLIT ELNGFCGVMSIFSSMRYCLGPPSAHANLWYALAFMPFGLFFDFMDGKVARWRKKSSLM GQELDSLADLVSFGLSPAAAAFAIGLRTPMDHVFLTFFVLCGLTRLARFNITVANVPK DDTGKSKYFEGTPIPTSLSIAALMAYWVGQGWILDDLPMGTWATGTVFEFHPVVGLFI LSGCLMTSKTLHVPKP LY89DRAFT_595585 GRGGFQQRDNGPPSDVFEMGTFMHACEGEIICESINSKIPYFNA PIYLQNKTAIGKVDEILGPINQVFFSVKPSEGIQAGSFKSGDKFYIGGDKLLPLEKFL PKPKPPPGAPKPKRAGGVRGGKGGPMRGGRGGARGAPRGRGGFSAGGRGGRGGGGFSR GGSGGFTPRGRGGARGGFSRGRG LY89DRAFT_244687 MDKAKQAVDVVADGVKKLTTDEKKPKAKKEKGGDGGADNTPLEM NPAPQFLEERLKLFQKLKVKFDAEIAAKPREQITITLADGSIKSGTSWETTPAEIAKG ISNSLLKRTVVARLNGDNEQLWDLERPLEASCKLELLSFDDEEGKKVFWHSSAHILGE ASERRFGCSLCIGPPIENGFYYEMALPDGAPVQQADWQPLETLVSKIVKEKQPFERLV LSKEDLLEMFSYNKYKQHIIKDKIPDGTSTTVYRNGPLIDLCRGPHVPNTGRIETFAI MKNSASYFLGNKDNDSLQRIYGVSFPDKKQMAEHKKFLEEAAKRDHRKIGRDQELFFF HDLSPGSAMWLPHGTRIYNTIQAFLREEYWKRGYSEVISPNMYNAELWKTSGHWDYYK DDMFTFGVEKDTFALKPMNCPGHCLMFAHRERSHRELPWRVADFGVLHRNEASGALSG LTRVRRFQQDDAHIFVREDQIKDEITDLFDFLNTVYGLLGFTFKMKLSTRPEKFMGAK ETWDMAEAKLSAALDEFIPGGWELNEGDGAFYGPKIDITISDCLKRDWQCATIQLDFQ LPQNFGLEYMTSEQPPKESEEAAKAKEEEAKKKAEEAKRKAEDGKKKAEPIDPNAKKE RVIKPLTPGCARPVMIHRAIAGSIERFTAILTEHFAGKWPFWLSPRQILVIPVGVGFY DYAKEVQSIFKAEHMYIDVDVGPNTLQKKIRTGQLAQYNFIFVVGDQEMKGREVNVRN RDDTSSQDRGKPVPLTEAITKLKALRDERRSDNPFPGEAKVETQSKA LY89DRAFT_244679 MTALNPNTAVPPPSQAHLDKGYGPTTASRSNLVSNSVATDFGAT PGNPQEFSPLSQSAAQQNFGKFNEEWDASQRGSSIIDGNNMQRSNSVMSQGDTLIPSR GGTLKKKASMRRTGSLKRSSSRRSSRAGSVRSLALQPGQDVDEMHSAFFSPVPTTGNP TEILANRFQAWRKILKDLITYFREIQASYEHRGKSLLKVANIINNTSAPAGFLESGGI DDAAQVLRTFHKSSIAEANKSREIENDVIAALGGLRQDLQQKIKEIKNLSGDFKNSVD KEMEATRRAVNDLQDGLGQSDIDPAQNTGRKDPYLLKLAVDRQVEKQIDEENYLHQAY LNLEASGRELEAIVVGEIQKSYNAFAGILKREADAAYNTVEELRTGPIAMPKDHEWFN FIKNDEHFVDPELPVREADFIHYPGKNNELAQEVRAGLLERKSKYLKSYTAGWYVLSP THLHEFKSADKNQAPIMSLYLPEQKLGSHSTGGASSNKFMLKGRQTGTMHRGHSWVFR AESYETMLAWYEDIKTLTETSPQERTAFVRQHARSVSGNSQRAGSISSDGIVDEEDDE PFSGANSGIVNPGPKQDVLPRRPQPGGRFPSDIAVDSLSTRGLQAPLSPSSGSSGFGD VQDRNVAAASALPGSGVGNNYADPSSPTHAALVNQQAEKDGINPYTSQPIHHHDHTTN GEAAGGLAAAGLGGAALGAAGTAAYHHYEEDQDANYRQQQEEQAAREAATVAAPDTMK HQYAEQAAREAATMSSPDAAQDQYAQQAAAEAAIIASPDVLPTNHLDAHVMSGGRSQG DLVENGTNPIETALQPLTQDSRPFLPAGQSHTSVKTISQLHVPGEYPKDVVRRDTLGP SMPV LY89DRAFT_626039 MEEFEYTLENSQQFWDELEDIVSANCPSHQLIDNALRSYLYFTT RFKDEYLASDYDFAQCLRKLFQSSLFDKNKEYVRTQIVYSLLQEDEPASLHVIASFLL FDGRQNEATFEMMNNEGCFPRLVDLIKKGKRDDARLHRMLLELLYEMSRVQKISSEDL GVVDDDFCQYLFQIIEELSDDVDDPYHYPVIRVLLVLNEQWMVASTRSPVESHPPSTP LTNRVIKLLSLHGSSCMTFGENIILLLNRETETSLQLLILKLLYLLFTTKATYEYFYT NDLHVLLDVIIRNLLDLPNELISLRHTYLRVLYPLLAHTQLNQPPHYKQDEIIKVLSI LGGSANTHWEPADETTVRLVERVAKVPWLRDEDVSEGEVARKLLGISLSPTQTASMVS VVDVAAVMEKPGVKTPSRKTEFEEEIHNFDGAADRKESHELEVHMPVKKKPPPPARRT KHAPPPIPPVLQVNGGKIPPKAPPRRRTRLKPMASTGDLESKARES LY89DRAFT_246433 MQWRLDPVRPFGWPMRLRTLMQQAKQARTALGVQIGWLANRLPT ATHCRPEYPHPHIHIHIQPQSESARTPSERTSFYPATVAAAATATTTATCPFLNGSSR HVMHAGCAAIPDYLHTCCTVPRFPFGLCCGVHYSRAFIIETKTRTGTRTGSQATDRGV NEETFKTSICIWRNAELIADTNCESPR LY89DRAFT_739612 MSAGGGVPHRSFEERAQAREQMLSSLRESSQQDRRVYVGNLSYD VKWHHLKDFMRSAGEVLFADVLLLPNGMSKVGAYTIVEYATREQAQQAVNTLSNQNLM GRLVYVREDREAEPRFTGPPAAGRGGYEGGTGRGGFGAGGYGGGAMAAGGAGGGGRQI YVANLPYTVGWQDLKDLFRQAARNGAVIRADVHVGPDGRPKGSGIVAFESPDDARNAI QQFNGYDWQGRPLEVREDRFASSGPGFGGRGGFGGGRGGFGGGFGGRGGFGGRGGFGG GFGGGRGGFGGGYGGGGAPSGFDGGAVASAAPPNPFTDYATSGTERSETIYVRNLPWS TSNEDLVELFTTIGKVEQAEIQYEPNGRSRGTGVVRFDTAENADTAIEKFSGYQYGGR PLGLTFVKYQTPGGGDAMETEATSLTQDQIM LY89DRAFT_674742 MAEEQVPTGALHTTAAIGKSGFEKDMMRPGIDKAYIVLGLEELG IVGRRRAVEEGGHCWFCNARGGCGECGAQEIKPEEEQSNRPHREETPSKKRNRELRPE KDRPSYKRVKAERSEGQPSTPNSMYSTPRAPMSNLGYSPSAPSTRALAYPDPTNSLNW NPHKYPDSPYGLDSKFGASSSLRGCPPQNYHSPLRQQANFNMPAPGPLHAGPTSQNWS ALSYDAFSGQQLAGNMPYNAFTGQAPSGHMSYNTGAGLSREQPSGTMQAIIDPSLLHP NTKPETIPIPGPNSYTQEPINDNFPLLSGRLRGD LY89DRAFT_787026 MSKSHLSNDDFFLRLSELFDERRQKNHGSIHLTQKRMSYGADGD VESPEPSTAQPFPDLTPSKPLPIIIRATNGKSKEKRDKKVKLSTIVDVDALEGFYSKY AEVCKLGMSGLKKRDRSKAKEKLKAKKKKQGGAAAGGTEVKKEKP LY89DRAFT_626051 MAPPNLLKLPKAILDQIGAQDTYSGGHKSRSRPGSRKDLRKAAR AERKTVRTVPPPAKRTKIHHTESRSLGDSRAAIERPYPKKPTQDEPSAPPPKSILKRT KKRDPLPEVGQDERSISPPARVSRTVKNKFAEDDEEIAALEKKLGLKGKKKLPQSFKD DGLDELLEGLDEEDSDAPDSKKRKAEGDEWLEQKRKQARQTSNPASVKTREVEESEGN EEVLETSSDDDGASDMSLDGHEFPDDESEVSDVSQLSADGNELDVDNDFDGFDSDSDD DDMDEDTEISQPTPRIRENPYVAPVPASSAGKYIPPSLRKAAFSDPEELVRLRRRTQG LINRLTDANLISILGDIEKLYRENPRQHVTSTLVDVLLTSVCEPTSLPDTLIILPAGF IAAVYKVIGTDFGAQVIQRVAELFDEHYNRAMFLQDSPVAASAETSKETSNLIMLLSE MYNFQVVGSNLMFDYIRLFLSKLSELNAELLLKIIRTSGPQLRQDDPSSLKDIVAMLR PAVESVGEDNISVRTKFMIETINNLKNNRMKTGAVASAVTSEHTIQMKKILGTLNTRN IKASEPLRIGLKDINESDKKGKWWLVGASWAGNGHKEDDEAVLNGDKRNTAATEDTGT SDLLQLAREQRMNTDIRRAIFISIMSAEDYQDAYMRLMKLKLKRVQEYEIPKVLVHCA GGEKSYNPYYTLIAKKVCGDRRLRTAFQFCLWDLFKKMGEFDEEDDASEEEEDSLDSR QIVNLAKMFGTLILEGGLGLSVFKNLNLSYLQTKTKTFLEVLFISMLLQSQRQAKLKK DEQAVVSIFAKAKDTPQLIRGLQYFMKKVVSKTDIAGSKEEKATVKWACKVAGDTLES LAVVDSVAD LY89DRAFT_689276 MLRSLLVPRAAARSAFKKSSSLPAVIAPSSIARWSRRGYATEAE EKDLVIIGGGVAGYVAAIKAGQEGMKVTCIEKRGTLGGTCLNVGCIPSKALLNNSHLY HQILHDTKARGIDVGDVKLNLAQMMKSKDTAVSGLTKGVEFLFKKNGVEYVKGTASFA GEHEIKVNLTEGGEKTIIGKNILIATGSEATPFPGLEIDEKRIITSTGAIALDKVPES MVVIGGGIIGLEMGSVWSRLGSKVTVVEFLGQIGGPGMDAEISKAAQKILKKQGIDFK LNTKVLGGDATGDKIKLEVEAAKGGKKETLDADVVLVAIGRRPYTAGLGLENVGLETD EKGRLVIDHEFRTKLPHIRVVGDCTFGPMLAHKAEEEAVAVVEWIKKGYGHVNYGAIP SVMYTHPEVAWVGQNEQEVKASGVEYKIGTFPFSANSRAKTNLDTEGFVKMIADAKTD RILGIHIIGPNAGEMIAEGTLALEYGASTEDIGRTSHAHPTLAEAFKEAAMATYGKAI HF LY89DRAFT_723225 MIGNRRSQLRGGVLGGRGYGLGLGSNLSPLSPLGLVGQRRGYGG LGSYGYGNAGALGALGGLGRRSLLGGALQAGGLGLRAGGLGGGLGAGVLANKVGLGLG LGLGGLGVGVLANRGVHGLGGPSLGAELALLRGQRVSNRAPCELIESTGAHVCQNCSQ RGDINDPFGQAPCVCGLCDWGSGNEVDVDACWWVGWARGWSVPIRIPVVCDSPQPTIH SPLRHGFSTMNSFVSSGISLLHFYA LY89DRAFT_700577 MSFTKSPTRTETILSRGQVEGLIADGRSIIILDQRVLKVDAWLK YHPGGDKAIMHMIGRDATDEVNGFHSPEARKQMERYRIGRVEGRWKNFVPPIQGGKFR SYCEGVADSEDEEQVIEDHSMDSDISRTPSPIFDAEIRQRLARKAELHSSSASSVSTA AEPDDGMSYLDARTKEQIDLDIAKYPPLDLDTQDEVVQKYRILDQRLRAEGLYDCNYT AYAVEACRYTFLFCMMLLFLRWGWYATSGMFLGCLWHQLVFTVHDAGHMGITHNFVID TTIGIIIADFIGGLSVGWWKRNHNVHHIVTNSPEHDPDIEHMPFFVISHRFLESLTSS FYERVMAFDAVAKLILPYQHYLYYPILTFGRFNLYVLSWEYLIRGLGPKKGPAWWHRW LEITGQVFFWYWFGYVIMYKTIPTASGRWAFLMVSHMVTMPLHAQITLSHFSMSTAEL GPHESFPQKMLRTTMDIDCPQWLDFFHGGLQFQAIHHLFPRMPRHNLRRAQKLVQEFC TDVGIPYALYGFVDGSRSVIGRLEEVGRQAAILAKCQKTLAENDEIFHGH LY89DRAFT_246633 MRENRFCFRGVMFLLSASKTRSEFVAFHSLNKRKRGARGVVVRV AANTNLMLIGTFSTHTMKISYCFCLCDAMPPWLTIPLMMQAFGAQIVGASFARLESRF EGEQVAAWISVFLSTPQEQDCGEEIGSDRIP LY89DRAFT_626059 MDPSIQRALNDKLYDKRKVGALDLERVIRDLAAAKEFERIKKII EQLCNDYAYAVHQPHARNGGLIGLAAAAIALGPDLARYLDVIVPPVLACFTDQDARVR YYACESMYNIAKVAKGEVLPYFNDIFDALCKLGADSELSVKNGAELLDRLIKDIVSES AANYVSILHASEESATETDKETPDASVDTPTAFSLARFIPLLKERIYVINPFTRTFLV GWITLLDSIPDLELVSYLPEFLGGLFRFLSDPNRDVHVATQGALERFLSEIKRISRVK KGLEESRKSRSDGKRKRSGSMDSENSVAPEGGADEDDDESTTAADKEETTDEDDWVPG QDVQVRFKEILEILTANLDSPLEEDSLLESLRWIVDLLDICPEEVLPFAPKILAHLLP AMASGVESIRQAASRVNTSLMDYVVSLADEGAPSAAPSAGPSRIPTSIVKDSANNDRR DSSTNTRGSISNSRELDRRESEVQTPPPPYKTPTPTSPSQPSQPQVELDYAAAVASLT LLFLNDHEATRVAALTWLLMLHRKAPRKVLAFNDGTFPALLKTLSDPAEAVVTRDLQL LSQISRNSGDDYFSSFMENLLQLFSTDRKLLETRGNLIIRQLCISLSAERIYRTLADC IEKEEDVEFASIMVQNLNNNLITAPELAELRKRLRNLETKDGQTFFVALFRSWCYNAV ATFSLCLLAQAYEQAYNLLQIFAELEMTVNMLIQIDKLVQLLESPVFTYLRLQLLEPE KYPHLYKCLYGLLMLLPQSSAFAALKNRLNSVSAIGYLHIAPRAAPTNAGPSSFDRSS RLKGRDEAGIRWNELLEKFRSVQERARRAQRPGGDFDDRLSEVRVGDGLDVRAFKDLA RLQGPPLPVKDTPANPSGGQPAANKSKSSLGKFGRLGGAVSGGRPKR LY89DRAFT_710443 MAHLSPSAIFSPSVARQQLAAAKDWNYVDSWLSTKFLGKTPPPF ERNNETLKALLSLAAVNESADEERDLLAKVEAKALQDLQAKEETDPNAELVTSIEESL PREGQTSLEALSHASVALKQPIPDIERLGRSILDLQVTSYDLEQTTDRIAILESHLNS ELQVINTLIRDLQSEAYQPPSNLSKQTIEYQRKAKTLASKLPELRDRTSSLVTSAGTP KITIQDVKAEEDKFKALMVIVKDLEAQIKSYHGLPQDTDLARLELERLRVELRDLTLE RDAMFEGLVERESPKKTRT LY89DRAFT_655323 MIVNLSALAFAASILSSNSLVLALSPADIPSDTPISSLLASANA HLAKGETNDALTYYDIAISRDPENYLTYFKRGATYLSLGRTVQATQDFNKVLTIKPGF EGALVQRAKIHAKNGDWDAAKKDFTAHGNSEEDLAQLEEAKGAAHLAVAAEKSGNWEE CVSQSGVAIMVASKMLSLRKTRAHCRFERGEVQEGMSDLKHVLQMQPGMTEPHMQISA ITYYGLADLEHGMDQMRKCLHSDPDSKKCKKLYRREKTLDKQLAAIKKHMEKKQYASA VKLLLPAGEDVGLVQDVKDDVKEFREAGTIPEHAPNELESSIVQMVCEAYHEMKNSKK AVTWCDEALTLDEHALYGLLNKAQRHMEAENFDAAIASLNGAKEHHPGAQQVNQLLQN AHTELKRSKTKDYYKVLGLTRDADELQIKSAYRKMVKLHHPDKAHKQGITKEDAEKKM AQVNEAYEVLSDPELKHRYDQGDDPNNHEQQRQHPFHGSPFQGGSPFGHGGGQQFSFK FGGGGPGGFPFGG LY89DRAFT_723230 MNSLTGGGKRKRAAEDSDEDLRLQPPTQRYRTLALEKIQNFPGD RYDSLSGEVDLIFRRGLIRPAGTQTQVRRAGLGVPGDPKYEEDAEFIRARREKENRQV NNIKDSWLASAATWKDTLSNAWKGTKVLGRGTQGIAGLWSRSDTEASIKHVVVKQANG LGDSEKEASFMELLNKANSRHIVKLYKHSVVESSQGEPNPFREAFSTNSTPPAMIARL YTEYCKGGGYGEIYWRSERLIWRLFQCLVLGVAAMKNGNELLDGESWDTRVLHLDIKP GNVFVGTNDNNHLKTPVLKFGDFDGSAFAPQPPKAQTFDWLLSQWRTPGFESPEQLKA IDIWKSREARSEAISESPRNEYDSDGNRVSVVNLPKWSTFDSERGSGEDEPVTGPEVD YDPNLKYGPWSNLWSAAKIMWHLINRGHEFFYIKDSTADEFTLFGEFAFELSPDKLVV SLGPEILRAPYSATLRKTIAHCMAKNWQDRPSSREVLKTIEAALAACKLAEPGQGFGS GFDAGLTSYDEPPTEMPDF LY89DRAFT_689282 MGVPFDYAPDRPEHIDAILNGLDRYNPETTSIFQEYVVQQCEEK TYDAYANLALLKLYQFNPHLTKDETITNILVKSLTVFPSPDFSLCLHLLPPHILTPAS TQSALPAAGDAPLSEAVQKLNVLNNLLGQASYSQFWATLDSDDLYADLVADVAGFEEL IRIRIALTISQSVREIERSVLESWLGLQSEGFDKFVNGVCGWEIEGTVVKVPLNKENE AKGTIVRENVKVDQFARVIRRAYEQPA LY89DRAFT_626071 MTQLPSKRRKLGHTPSAELTQEDESENVYDAQSAEESADEVAIP QSKQAALRPKHSQAIDDAALYAGGSYKSSLFKLQVDELLAEVQPNYEKRLTGVNEALR KLKTFIEEVEDCDPVSVTEATKSLLKSHKITIPFPDPKPDRNAAYKLMYSRPSNINVV GSYALKTMVKTEGSLSIDMVLVMPSAIFQEKDYLNYRYFYKRAYYLACLAAGLQEKVE DEFVLAFEYLHGNSLQPILVVKPKSDSKKSNKSYDIRIIPAVPSGTFAESKLRPDKNA IRPKDNHSEQSSLLPPTPFYNATLQSDCNIEPYLKLQHAAAKQSTGFKDACILGRVWL GQRGFSSRLSDGGFGQFEWAALTALLMKGGGPKGHSVLSPSYSSYQMFKALLQYLSIN DLASKPVCFEIENMLTAKSNLPMAYDGSRGQNLLFKMTAWSYALLRDEARTSLEMLND ATFDQFESTFIIMSSQQLQRYDCSLNISSTPKQVETNSYDHATKERIFAMRMFDVLHE GLMDRVKLVNVQLPSTNSWSLKSSSTPPQEPLLISFVFDPANIDRLVDHGPSAEEKKK AAKFQKFWGEKAELRRFKDGSILESLVWSPGSAHAVFREIVTYLVTCHFGAEFSNSLE FVGEGFEKLLPGGTSSKNFEALKQAFSTLQNQIKDLEGLPLQLRQLSAISPQLRYSSI ETPIFTFTQPMIRPADILIQFEGSGRWPDDIVAIQRTKIAFLLKIGALLQEVDGTVVI RVGLENEDHQLQNSAFLDIICGSGAVFRLRIHNDREQTLLERHIKDKSSNHREREDAV SALSMYKKTFLQLPLLNQSISTHCTRFPLLSSTMRLVKMWFNRHMLSRHVSEELIELI VARTFLHPYPWRAPSSTMTGFLRTLSFISRWDWRQKPLVVDFTGTMTTKDVDSVNTRL EAWRKIDPSMNRTVLIAASNHDITGTAFTDSGPSKMVAARMTALARSACKLVKEKGRD LDHRALFASSTADYNFIVHISPKFTGTQPGKNSKPKFKNLEVQGGADIEMIGLQPVEQ YLQELEKLYTDSIVFFHGGVGSNVIAGLWNPQKAAPRQFKVNLAYATKPSKHEDGEAD EVVIDKAAILAEIARLGGDMVSRIEVQH LY89DRAFT_626074 MSVIELGESIPALTPHATSVSLPEWQDNIGYEEGQERVLSKMVT GYPRFFIHKLITAFADDIVKKHGKTGQQAMLFPSSRAARRCVNFMQSKDQSGTSDHLQ VVDLVLDNSRADSETLKKISSSISAVIYNRDLFPLAKQYWQHSGDGVSSRRASFCHAL FQEGILVEHTALQPISNIFQKPCKGPRRYQRASIDKTPPKGNKVGVESSENHPDSPLN GSTESRESSQFLEERFGRNLDVSFVENAKSAIRRRIAGSLMGEVDLTEAPSPKMDTNV RGVVGLSEDDVYLYPCGMNSIFNAHRMMLEVRGPMKSIMYGFPYVDTLKILEKFGPGC LFYGNGSSEDLDDLEKRLESGEKFLALFCEFPGNPMLKCPDLQRIRKLADKYDFGVVV DETIGNFINVHVLPYADVVVSSLTKIFSGECNVMGGGSILNPRGRYYQALKRVASFDY EDNYWAEDVIFMERNSRHFVSRIERINDNAETICNILRAHPLVKALYYPKFNDSRPFY DACRTPNGGYGGLLSFTFHTNAQAVAFYDRLETAKGPSLGTNFTLTSPYVILAHFLEL DWAAQFEVPAELIRISVGLEDADDLKSRFAVALKAAEECQSQ LY89DRAFT_595663 MTQVFVRRNNNRIGENSAADNSIIEEITCINFMCHDKLHVQLGP LINFIVGHNGSGKSAVLTAITLCLGGKVASTNRGSSLKSMIKSGKEQAALIIKMKNKG NDAYQPDMFGDSIIIERHFNKSGSSSYKLKNANGKLISSKKGDVDDIIEYYQLQVDNP MNVLTQDAAKSFIQTSTPSQKYVFFHQGVQLEALDNDYKLLSDTCDQIETKLNEAKEG ILELKKNAEAAEAKSAVVRQHEGMRRKCKEMTRKLAWAQVFEIEEKLHQKERDVTDHE QQIENSQRRIEEKSEEFEVADRNLELATQAQASLQEGLTPLKDEEEVAKNAHDDAVKQ VKAFAVKTKEMKGNLQEAEKKVKDYNGKITEHVRLLEEANGGAHAQKLTELAEAERAA ADALAAEKRNKEELPQLEKSRWNAVEACKSLDNPLSMKRREVEIAQERLQSLNRDRGN VMAGFDAKMPRLIQAIKNDRGFLETPVGPMGLHIKLNNPIWSNLLESTMGSNLTGFIV TSKADQVRLSNLLRKERMTFCPIVIGNHHPIDTTGNEPDEQFETILRALDIDNDLVKR QLIITMGIDQSILVKRREEGFRVMYQGPRPRNVRQCFCLHDSERGFGHRLGFTGSGGV HQDITPISPPKHKPRMQTDIESQVSYQRETVTELESQLKALETQKRQLQTTQQKCESA LGRHKQESNKLKIAVQRAEQRVDNLRAEADRYQPEDGVVDGLKVSLGEAEQELAICQE AYGNLALERTTLNEASLEKKRELDAVRARVTEYEFSMEKADQKVKNREQARRLVLGEK NQAIHNIEELRASKEIAVRKRDGLVEQVASFVEEAKKICAERVYLEPGETYASLGAAF ERLKARVKEAAKRQGGSDDEIHNAALEANRIYDEAKANRGELLGLLGLLKESFMKRMQ MYWRFQRYISARSRINFNYLLSERAFRGKLLIDHKAKKLDVQVEPDETTKSSKGRQTK TLSGGEKSFSSICLLLALWEAMGAPMRCLDEFDVFMDDVNRDVSTKLIITGARRSVGR QFILISPKALGAGAADGPDVKIIKLHDPRERQRRIDEMVEED LY89DRAFT_710449 MAAPSTILRRLRPISARGNLLARTYHSYDHPPPPGPFSTTESTI LSASLPHIPSHGFTLTSLSLGAKDAGYIDASTNLFPQGPFALVHYHLLTQRLGLAQKK EVLEADEGIGAKVKKLTWERLMGNKEIVHRWQEALALMAQPSNVPTSLKELAAQSDEI WFLSGDISVDTSWYTKRASLSTIYAATELFMTTDKSPDYIDTREFLDRRFKDVQFVGG VVGSVSQWVGFTASAGLNVLRSKGVRV LY89DRAFT_710450 MAPYDSDSSGGEDNDYTETNVLLGYASKEASDDTISYLGGQPSW LDPATPPSSALAKCKVCNDLMVLLLQLNGDLPESFPGHERRLYVLTCRRKTCRRKDGS VRALRGVRIADVPVKDRKVADKSERASEAQSSKPAINLGETLFGAKPSTSTTSANPFS TSSSAAFSNPFSSPSNTSGSPFEAAGLPTNELAAKPPQPPSTTADLPKTFASALSLNN DTPNFGPPPPPEPWPQESDLPPAYPLYYLADADYEVLDKVEETPVPAHTMDLDEGGAS GSQREDKDVYEDTIDKTFQNFADRLAQNPEQVIRYEFKGQPLLYSKNDAVGKLLAGSG KENTKIKTTSINGNKIPRCGNCGAGRVFEVQLTPHAIMELEKDEMSLDGMEWGTIIVG VCEKDCQQNGVNTGVGYIEEWAGVQWEELKGR LY89DRAFT_247038 MSSEEVPKEQQIADARDGLLKLNPGRSRQWAELWAKSLIELKYA QAPRIIKGNPRPKAAHTFSKETSKGQSGSGGKTPGGKTSIGKAPSGKTLEGKTPSAET RGEKTPAGKTSDSKTPGGKASGGKASGGKASGGKASGGKASGGKASSEKTGTGKGKTE SK LY89DRAFT_739630 MTDVTQTENATRDGDRVIILACQIMAANPHLTDTQALGLAKAIM AGGLNLVQGQMGEFVDPQVRTLAMQIIQAEPRVGHQQAVRVAQAIVYGGMGVTQGVGR LY89DRAFT_700587 MSATYIPHGDSFGPGVGIPGFGRQEPSFTRGDSAEFSAVSVSTP VDDGGSYYQNRSQHYQTQTPVSRFNDIHLPDYSASGPPTATILNPQPSSRGLNQASNT GTPISPNDAGLEWTMDRVLLWLANNQFSKDWQATFKYLNICGSVFLELGSGHGGRGNF GMMHQQVYPRLAKECSNSGTGWDQAREREEGKRMRRLIRGIVTGRAPDVTKTHIRRES SNANIPSAGTEGTLEGSPNLGREAQVSTPSTANGDDDSPGKTMFKGPGPGFSSRRVSA RSTTMPILSSSNGPLAESDSVSRNGHRNALRNIDGGRHSPSASSDTEGPFRAPALRVD GSPNPDSPGGTFVSMNASSTGNLSASPRSAKFGHRASNSTDSISSSAAIYGSGVPPGA SQILRGGMGGAVGELNLVRNQDTRRHGVDGARPSPLDSGERSASLEQPGSAKEGKGFL MKHFRKKNRKEDGAGPSPEEPNLESPTSPSLNFKAAPFMGKVSNSSETSLDRPSSTFS ASEYDRFAHTTGYRGRRNVPGRNFILATLDGWNYRMCEVTDLDSANEIRGTICSSLGL HDSEFVKIYLTDLGRSDHDEALDDQKLLIHRRAKADQSGSLKVFIKAPANAYTSGAQT VSLGMNFGNKLGLSPGLPPTAPLDEEAYAALNGARRRSSSSPPSSRQNTIKASIPPLS HESPELPSSDSQTDALRDRLMQFRSSQATGESQLPEAERQAFMELAAAEHKAEMERRQ KEYLAKKKASKETPSADGAFGIVGRNVDFDQPRNSPFEDKKPDSLFPQRKPPRPPAES ATLIKANSLSKRSGQQARLSLTSIDSDEKRMSGGDQFPSTIHELSEYAKRKPVPPSPS GGAIAALIGMGSRLGGVGHPSPNTASSSPNKRSPPGDSPQFDRGRSAMATVDFGTSAS GRSSPRSASGTPGSTTWSKGETAFTVPDYGEEGNQLIANDLIASGRMPENSTVTKLRE EDMKRAPSPGELSPSSAHTPSGMNIPGNRKSYGPNLDFTESNINFKNSPQQAPESDSD DDSDDGLFAVPIASRTPVKKSSLRRVNGGEDKSSDTEGIGKRPSLTIRTSRSKKGLSV SFSSPQNGGNTANTPRAPDFDDDNSRSAGKRPQRRNPNSAASNSEGGWSAESSEDMSA KLLRRESFAREDVWASRPPAEALINHLDDFFPNLDLDQPVLEESSGTSPPVSPIAEHQ TLEQLAAGQAGMNMGEQSSTGTMRSNLSYNDGDTLGSDESTLKALERPSSIQSVAQRN VRRSGGLGRMKSIREVARGAHEANKRYTQVTAPIQQGAASSTILRRKSTKMFGANIVQ IKPQRGSMILPQIPQDTIPKRQATFRWFKGQLIGKGTYGRVYLGMNATTGEFLAVKQV EVSAKAAGNDKDKMREMVAALDQEIDTMQHLDHVNIVQYLGCERKEMSISIFLEYISG GSVGSCLRKHGKFEETVVSSLTRQTLSGLAYLHREGILHRDLKADNILLDLDGTCKIS DFGISKKTDNIYGNDASNNMQGSVFWMAPEVVRSQGQGYSAKVDIWSLGCVVLEMFAG RRPWSKEETIGAIYKLGSLNEAPPIPDDVSQTISPVAVAFMADCFTIDPSERPTADTL LSRHPFCDLDANYNFLDTDLYAKIRGAY LY89DRAFT_700588 MLLFWQIEDIRISTPTVNLVSSHCAQATVWWLLDTNSPFYFFFK IPSSVHSTDFSTMQSDRSIDSTRNATAELKSPIDVAEYLFTRLQQIGVRSIHGLPGDY NLVALDYIPNLGLKWVGNVNELNAGYAADGYARIKGMSAIVTTFGVGELSTVNAIAGA YSEHVPIVHIVGMPSTASQKDGMLLHHTLGNGNFNVFADMNKEISCAMAKLNDPREAA ALIDDTLQKCWIQSRPVYITLPTDMVQKKIEGERLKTPIDLSFPTNDMAREDYVVEVV LKYLQSAKNPIILIDACAIRHRVLEEVHDLIDKTKLPVFVTPMGKGAVNETHPSFGGV YAGDGSQEEVQKRVEASDLILTIGAIKSDFNTAGFTYKTSQLNTIDFHSTHTTVRYSE YPGVSMRGVLRKVIQQVDLSKLSAVPGPKMENKVEENEDKSETITQKWIWPVVGQQFL KKDDIVITETGTANFGIWETKFPAGVTAISQVLWGSIGYSVGALQGACLAARDAGMDR RSILFVGDGSFQLTAQEVSTMIRLGLKPIIFVICNDGFTIERFIHGMDAEYNDIQQWH FKDLVKVFGAKEGTYRTYQIKTKEEVTKLFADKDFNSADVLQFVELYIPKKDAPRGLV LTAEAAAQRNAKE LY89DRAFT_596078 GNGGKWQTPHQQAKLASRSSGKIEPGDAGIWATCARGVERKAAA ELKAMLEECAERFYGLKSAPDEPEGDENSDDIEAVIKKELASMDQKKDGNTELFTQIH MDLQCVLFFKTRSGIDPVDFAHKICEEIVANPKIRRMRYINRLTPMTLFGKATEKGLE DLSKSVLGNYFKLNTQNGEGNNSSEDNQSLPTNETSQDHANYSYAIRPTIRNHNTLKR DAVIKQIASSIADTHKVDLTNAEKVIVVDIYQTVCGMSVVGGDWEALKRFNLAELYSH GQSTLNAKAASDAEAKLQSVATIEGAGVATTDNTGP LY89DRAFT_596020 MADNRGGGSRSPRKVPAATKKVGPPRQRMSSLMYSLPVRRLKDI LSHVLPDVTLSSTEELKSSGLARLFTLKMSDGEKVLLSVAPSLSIRLLRQESTILSTE AVLLNFLAESDLDGKSGKEKVEEGEDEEEDESSKAKDDSQKVSRALLGLAPKLLKHAK DSRELAYPYTIVSSTPGEPLTKLAPFLSVPERHDIDKQVGALARTLANLTSPMKLFGM ANKALPFPCQPSGPSAPPKLGCKTWAEGFNTLLESILRDGEDMAVLLPYEVIRSHFAR LSWRLDAVTTPRLAILDINDPKNVMIERDPDDEETMLPSEHIRLTGLRNWSQGVFGDP LIASCFDEPTEGFQEGWDAAGEEIIEDGEHSETRKLLYRCYRAIVEVVTEYYRPKGDS SRRELGGRRKLTQVLAQLEKVDVVDGDAPKRVRSSSTDGESSKRVKTESKGKTEPTGR TEPRGTTELKGKTEPKGRRYQKTNDGMTE LY89DRAFT_674765 MDSQDDGLKWFGEGFDGFPKRLPEDCVEYTLFIIDSRLKNQKEV LSRLEAVRKESLKLTESLLKDYIWQRDKFKLELESGKGLMYLHGLTNYGDSVEDEWLI VYILRELSNHFPDLWIKVVDTDGEFLLIEAANALPRWLNPEIADNRVWIHHNQLRIIP LTAASSSSTTDQTAPISRSLTIQEACQIITSTPDILMNSPLIEEEAFYRLRNYPDQIQ ASMHHALITIPRKLASILHDRPTSIAPATEAFYLRDPIALKPLQTESSELIFPPKDLV TVSTRFTKVLYAQLKSQQFECPVAWRDILLQTAKETGESGKRYVSLEMGMKVTSGFEM LVTDSKNNDNRVVRELKILLDDLATWEDSDLPSDQDISKWKDVTREDDESWLDINFED FERELQGKSQDKKKSGVPGSFGPEPPSGFGDAKTQADLKKMVERFESFLNDDNAGIDG AEMDDMDFDDDEDEEEDEEDSEDEDKDVSFDEKEFARMMREMMGMPPEEDEAEDPASG LRKVEEVESSDDEDGLSEARKIRKVMERMEAELNEAGALNLDPTPRKLSALKGKSKEE AEEDADSEDSDDDVNIDYNLAKNLLESFKSQAGMAGPGGNLLGLMGLQFPRDEDDTAS TSKKT LY89DRAFT_247277 MSGYPGSGYHGGYGGQPQQYQPQPNYYPPQNYGPPPQQGGYGYQ QPPPPQQPYGYSQPPPQQYGGYNGVPPNQPQYGRPGMPSVNSNAYVNGNPSAPPPPPS QMQHFGGGAPQGYAFQYSNCTGKRKALLIGINYFGQRGQLRGCINDVKNMSSFLHENF GYQRDDMVILTDDQQNPMSQPTKQNILRAMHWLVKDARPNDSLFFHYSGHGGQTKDLD GDEEDGYDEVIYPVDFRQVGHIVDDEMHRIMVMPLQPGVRLTAIFDSCHSGTALDLPY IYSTQGVLKEPNLAKEAGQGLLGVISSYSQGDLGGVASNLMGFFKKATSGDDAYNKTM ATKTSPADVVMWSGSKDDQTSLVYPFIPLYA LY89DRAFT_689296 MDSTPCRPGFPVWTPPFRVPNFSLPRKFPALPGTIDSRESLNTR PISTIPISNERPSTHLKASSNKRKRQHGAKPGENSCGIKKQKLIGRPRNSWTKSRLRK LVRLYLMTDLSVQDIAIVLRAKDFSPSKRDTQKQIKWHFPGRDPRQFRDQWNMPKIRL QLARECRAKKSARSTSLLRERKSRSPMDDELTSFHESDGTYGQGLNPELLNLPGSIDE SLPSEASTDANDISLEKCTFTDYNIRMVEEASNVRPSISDTTSDGCSPSISKIPSLVS SHASTRSTGSSSHANGSPSPEHLDHSEKSLSMIDVAESADTILKPDLETGQQETVPAT TATPLSLPNLRFFPAAEKHPASIPESHELSIHSIGGLRRRLPGKTDSVLGDVWSAFQN LTISGASTRSHTSWAGRVVSTISTSVSGLSHSDRHLHDVDENEESTFTPLGIEPRLPL PGDFAADSLYKAYYIGQRRYRRKWGEFEKRPRILKDSHSVRSIAKLVLSIHTHTLEVQ LLDPQRKDLFGNTALHIAAALQRLAMFHPLIRHGVNVHAENSANETLLHLVDDFDEYE YSILSTLKTAEYDFNQRNCLGQTALHQLMQGWMGELRLRGILAALAIFKIPLPSSRDS FGNTIMSQLEEAGIDKYTIRISLSERDDRPQKETWDLTEMAGLQRPRVPENQTAPVMP NYGDQVSITTMEELCLYDHHRALLKTIVKAYSSPRHEDNDGRNGLHCLAEVSLTLPIQ CEIPNYATACDGLAFRTRREGLLYGLVHVGVDPDNHDKEGLTPLMAFIIHTRDGEDED ATERLLSQLCEAGVNVNRRNRSGETPLHMAVKLGKRTVTKFLLENKANVHARDISGTG IIASGLEQSRKSTDGALLAQILLCVDLVKKAGGVASPTFYQEWAVDESGSNSKARRRI SLPRLALRT LY89DRAFT_247349 MFAGISQIGAVHDATRKILPPTPVRFPKCVPKTEDKFPPTRDAG SLVSLLQTVHRPADIKPLHFEALKIHVIPDASPEELIPDASFLPPSKDWDVVPQEELP EANEQTRKPLNNGNLSPGIQTYRERQKELLIDNTAAFRTIRRIPAPVGETAARLGNAY EFYKNLEFFSGYWHDTSIPPKPEPSSEETTSEEEKKDATPPHLQTHIRTGTGLQLPPD YRQNLLTAFVKLVAYDFGCNVSFPRTEPRLHLTPKKPSDPPSYFNSSVTFVYRTPKER AMARAGVVEGPVAALSARASTVFITKAEEWLDLAREVVAVLLTAQQRSREKKTEKRFG EGKWWTTTPRWGGGAGGPIGREGDKIDELAGPEKLPAAELGDVPPPPGTRVASEVKRQ IGGINGPSPNKRSKKVKEGGNMQIYDNYRKMNPPGPTWDRKAKYMSIGKVEGVGYDDV FLVSALNHHICVVRARIPESLLEVLDGGEEKEWERVSMWRSKWFDMYLGKERVEAMEL VWGMMAWLMRKIEGLKGPVEDEDDGDDGKAEKMDLS LY89DRAFT_700595 MSEKQAAKMSNPPDFSQLLRMDDRDLQLQAERRRLSLAGNTNSL PQLGSLGLNFASVGDDPFADPVKGAGWRPPPSTNPASNPFADPVSNRSNPFADPPTRP GATIPKANTYITDIRRSRGQSVDNTTSNKPTSMYRPPSTAVGSRYPSSLAPSRDSYRD TVFSSFSANARKGKGRSDPFDLERPELWRPKDTNSTAMYPAALNTKPDMVGQGTGTNS RAISTTSYLSKYSSGVSLGEWGDPGPDLGPGSGSSSLRGNASSNGSQDFSAAARGYFG EQQPVAENWDQSRDKNNVSPLSRTSSKGGVGKAM LY89DRAFT_689299 MSIKSMSAAERLPPIPSQPPLPKPSVLFFRFPSIKEPSQVSVLI MLIMILRVHHYALLILLSTPT LY89DRAFT_626113 MVKVDNKAVLIVIDGWGIPSETSPKAGDAIAAAETPVMDAFQKN ADGYTELEASSLAVGLPEGLMGNSEVGHLNIGAGRVVWQDVVRIDQTIKKGELNKNDV IMKTFKAAKEGTGRLHLCGLISDGGVHAKQDHLYALLKVAKELEIPHVYIHFFGDGRD TDPKSGAGYMEDLLKKIKEIGTGEMATVVGRYYAMDRDKRWERIEVGLNALCVGDGEE SSDPVATIKERYGKGENDEFLKPIIVGGKEARIHDNDQVFFFNYRSDRVREITQLLGD VDRSPLEDFPYPKNITLTTMTQYKLDYPFEIAFKPQHMGNVLAETLGTQGVNQVHVAE TEKYAHVTFFFNGGVEKVFPLETRDESQDLVPSNKEVATYDKAPEMSAMGVAKQMCKR ISEGKFEFVMNNFAPPDMVGHTGVYEAAIVGVATTDKAIGEIYECCKKEGYVLFITSD HGNAEEMKFEDGKPKTSHTTNKVPFIMANAPKGWSLKKTDGVLGDVAPTILEAMGLKQ PEEMTGQSLLVKSKKRAHEE LY89DRAFT_247479 MATSPTSQPPSLTNLPDGLSPDCLDTIPVLSAILARLQPPQNAN VPASTAGSPPAAATPKLVKDLENGTGPLTVNQIPIAADGIKSRLQKARAQVKQLPDME RSIAEQEQEMRELEEKIKKQRAALVHLKEVGSAIKREREQKEKEGGADLMETGED LY89DRAFT_596050 MENPVTNYHQSAISDSQIKDRFYRYFQQECTELQEQIVRLENYS FVGGEKQDAIDHVLSGISRLANDVSDSSAFVPAYDQRIYAQASLPKAIKALEEKLQEA RAKFAPRSRFQFKNKNTSAISINDAAELAAQQRLNASGLRPGFASSTESSMATTPANL MTPPGERDSKDTLGDLPSFPKNYNEEMSRGMPGPIRKPSFSQATNVNITGHTGLHIIL PSSASRATASGSLTKLSRCIVDMSVPTATKAPFAGLALNNIKNSLIIAGHVAGAAHIT GVENSIIVVASRQVRMHECKNVDIYLHCASRPIIEDCSNVRFSPIPENYMTASEEPVQ NHWDQVDDFKWLKAEHSPNWSILPEEKRINSDTWTKDVPGGPGVGLEDIFKTVGLTGR LY89DRAFT_247373 MASGDDQYHPKDAVKAAISGTMITGAAGGLVSAIQNTLTKRNVN AWGVFTKTGGTIAVFAAVGGTYEFTRFASANLRERDDSLNTAIGGFLAGSVLGLRFGS TPAVLGFGALTAVVLGAYDYTGGALSGFKKNNEIDEFERKQELRMNRRRPIEQTISEL GEGRGIYGPGYEERRRERIKEKYGIEVPAKS LY89DRAFT_626122 MDDREIPLGPGKTAPRLIIDLLDYDPRYPVKGGWNVLADFPPVF REGQLYLRKDLRACHHSLCKKEAQTNAPISNDQQPDRTTQWVVSTYCTRCRYHFKVSI DLYQRRSGQEPCHLLDPENPLHHFRLVESIDGREYKEKHGPNKYDLLTEAHRFVCTGS KCPAVLEIRVSPPRLPKNVTASIMDPAIVYTRGQREIKNQPERYAGQTPVTPSSAIGY LRQYLSDAKTTRDTGEVKKIARRNKKYMLAFADECDALFNYLDFRPIEEPGAEPGEEA AYFWQLPTITDSNRHFLEDVVIELDMILQGRPQSEKQASNITRYQAIQPLPALKDIER SLGYYDYPKRSRTVDLSAEEHPHYQSLGAVDCFTDTFLEWAYDRQRQCDPFNKPYYLD CLTDIAKGRDSSDLQMRVVMAESANEYGLKTIESSYKFFGLDADTREGDDHIMGLYKS RIESAPRQKDEARQCLLVIAKHRDSEKIEALANDRTMSFEEALDFLNVNKETASDSIE AAAVAVSLDGDKSRVAKALQVIAKHRGGDFTLSRAAAQMENGGVGSDLDIGDAYSRLQ INNRTVPDETIFAYYQSLTQGACAPGSKDSYTQALRTIALERSSDFLLRKIEDPNADV QASTAEPVGLDNIGNTCYLNSLLQYYYTVKPVRDMVIDFENYRMPLTVENIKNKRVGG RIVAKSEIEKAQKFVAELHNLFENLKTASSRSVKPTRELAELTIFSSLTEANFRRASI SSPSGPPNIDSIMNMDSPIIGPQWPPPREASQTSSMTAEDDIEMVDRPGEKQNEASDD SSEATLVDMDQLPSYDEVAGDNKDKNTLSDEKKNQSTNHTADLAELEGDAVMVNGETS PVESPQTMTAPEKPPPIPPRNKSGLVISTTESKDLIPDDDLWRFGTQQDVTEVIGNVT FRMQCAIKPTSIEEDSGEQIDIIRDTFFGSSTTYTQKAQSLEKKVEAWPTIIVYPGKG VIRDIYEAIDVVFDEQRVEVDNTVCPQYSCISKLPPVLQIQIQRTDYDKDSQRSSKNR TAVTFPETIYLDRYVDTGDPNSMVMKRRRETWRWKEKLRKLEARQEALKNAADEIPIP EALLATKDLVNTLQEAEVEDIEIFPSLPELLDERLSEVTAELENISGQIQALKQSLRD QFTDMRQYEYKLHSVFIHRGEAGGGHYWVYIYDFEHDIWREYNDEYVTEVKDRRRIFD QQGGAAGGTPYYLVYVRSSDKQDLVDAVCRDVQEIQMTDLTETRSDINMVNGADFPTG DVEHIERANPRPLRPKPVSNTIGGSWDDPEWLDNQPAGAPLDANGRQWG LY89DRAFT_595908 MVDILVGPEQELFRVHLKILPKKVAYFQKMFSSGFKEAVERKAY LPEDSPEAFHLFLEWLYIENFRTSDKADGKDGLRVKVYCLAEKICQPDLMDYTISSII SNLDRDGRILLTSTMLSAYKSSDPDSKLRKFVARCFFYALYSDPNSNGDWNIEELSEA MNASQDLCRDALTLVRDLLQYGGSRVDPRRLAKCTFHTHEKGAACSYKGDVP LY89DRAFT_655380 MDLRGQRFELNLSDDEDNAGPGSTAPSYAVPPLMSAFVGDIKER STAPPTAPKMKTTPTGFPEHKKRTKISAFKQQRAGAGPVQPVPADPSRPSAPRPQAPQ PANASSLIQDNSSFDESERRRIDEENNQRLAAMSTAEIEQERAELLAGLDPSLIERLL KRANLDEGRGDTGLYPPSENTAKTEDISEKAAISQDGVPTPTPTAKRIVASKSVTFED DKEPATPIDLKPASEPLSSVPDQPSIHFPSAPAAPELDPSDPSFLENLHTKYFPNLPA DPSKLAWMAPIPTHGSVADQESPYYPNSEGLSASALRFDFRGGLLPPRISRAMPSTKG LHHHGEAPEAAGYTVPELARLARSAFPAQRCVAFQTLGRLLYRLGRGEWGDADSEITK GLWQCVQGGKVIETLEEAAGAEGGHQGSKVYAIEAVWLWQKGGGQVWKAA LY89DRAFT_723253 MATSERVRNQDGARGVSPGSSASGLSSPPRSSPEAGSPSAQFAN DSHAGQNNNNAMSSSITVNPAGGLPNSVEKPPRKKPGRKPGFGANKPKINADSSATNT DAPKVRKTRKPRDPNAPTVRRRKNASLENSTPAEIQPAVAPGPPRQPPYVEASQNFHV PSPVATASTSQKGKNEDIPNSTQRPIQSFFNSAPPPPPPQQIQPQQSQPQQQQQQPTM RTSGQNYDPIRSNYDPVRETVISHNPYSNSQGSPSHPHSMNRASASPSISSLVDPPNQ ALASPSIATQSFFNQQQQARLHRDEGHTSVPPSPTVNRLAPAVPIENGHSKPPTPASM QRKNSEHMASAPGPSTISKKAPGPGSTATSSAAASPKPPKHKEPKGDIFPAPPPLPGS GLMQIGGGGPNDGTEYSAPTVILKIPMNGEVNKYINFTRLAEEQYGWDALHPRLAAQR DRLARVAAAGAALERNGSNKDSGDEMSLDSEGEGDNSNIEMGGMSDGRTGTDGGAKKI PRKRKMKEDEYDKDDGFVDDSELLWEEQAAAANDGFFVYSGPLVPEGEKPALESRGDG PPKRGRGRGSRGGSSRSSGRGGTTAAQEARARQGLPLSGPGSRGGSTTRKPRITKADR ARMEQEKLDREKMGSMASMPSNYGGMAQLASAPVLGSTPMVFNQ LY89DRAFT_689307 MATSEKKKERPSALRSIIAGSTAGAVEIAITYPAEFAKTRTQLN RNLADSAKLPWPPFGKAWYAGCTTLIIGNSLKAGIRFVAFDQYKALLQDADGKISGPR TVIAGFGAGVTESLLAVTPFESIKTTLIDDRKSGKPRMRGFLHAVPIIARERGLRGFF QGFVPTTARQAANSATRFGSYTFLRQLAQSYTAPGEKLGTLSTFGIGGVAGLITVYVT QPLDTVKTRMQSIEARHLYKNSFACAALIAKNEGILTFWSGALPRLVRLMLSGGIVFT MYEKSIELMDRLDPEKKYI LY89DRAFT_247911 MSKSIAYKHYIRALSRWPKDNLRPDCQFQDVMRKRLDRRFIPAS SPNTPNAAQAVANSAIDEKMELEQANAIYSLLENRYSRKYPIDGSLMRPASNPTHFED LMKELQEAPERSWWGSMTNRWKGFLRFQ LY89DRAFT_596049 MRGTSSSSSVEQESAQPESTTQPPRPIIERRPTHLPVFSQPTQP TGRVYGGGSASDGVFANLSAKPETGEKQEEHPPTYEQAAADAAPPYWETTILAPGLGG PDEVYVEGLPVGSVFSFIWNGMISMSFQLVGFLLTYLLHTTHAAKNGSRAGLGITLVQ YGFYMKGSGGGMSPPANGQDPNYTQPPNPDSHDFDPNQVNQAAAAQGMDSGSGGWSDV ASNEWLAYILMIVGWFILIRAVSDFLKARRHEQLVLQSPDRGLPVPIIATGEEPETAV LY89DRAFT_759536 MDPDNRDLISPGVEEDSSVPAFGSEAGDNQGVSVEEEAPELVDE SSIDLTFIFAKDEAPEFENELGLDMIDIFVGPKPIHFRVHEKLLSTKSGYFRSMLDGK YKEAIERTIHMPEDSPTTFSLFLKWLYGDDLKHLDKQTTKNQALLRQRIELYAFGEKI FNDHLMNCVISSIIRICRIHEFLPSACLVEFAYNNTREASLLRAWMCRVYIHALYKED DEDFSMYDMAKVMETVEDLRMDMITLFRAKNSESMSEKSPFLLDSCSFHHHDNEECAY ADPEKGFKAFLEQIEVDAAGHPSRFRKGHPLLSRGTCF LY89DRAFT_689311 MAWRCSGATNAELIQNLFAASLITSPAVKEAMMKVDRAHYCPVP SHAYEDSPQSIGHAATISAPHMHAHAAESLLPFLSRPNARILDIGSGSGYLTAVLAEL VSGPNSRVVGLEHIPQLRDMAETNMRKGERGTEMLEKGKVRFVVGDGRKGFNDEGGEG WDAIHVGAAAVEVHGELVEQLRCPGRIFIPVEDERGEQFVWTVDKDVEGTVTKKRLFG VRYVPLTDAPRP LY89DRAFT_248170 MSHQDPVQNQFTWPDLPYFQNLRSLKLFGLCDPMSRLARDIARV LIASPQLKILGLGMHMCDFIMRFGMPGLGDGTNNKLLIKEIIDEMQKLRVADESQLEL EELCLGLGGLPGSPLPVEQAIRDISPLTRLEKLQVLQLINGDGVHTTFTIDSEAFYPC TSLRRLVVDILNDDISDIIQTLHSSSSNLVEIQIRRTHSQARKLHLGPQYQMMPVEPG LALLKTLGTDWVKMHLEMPSALESLFRFQENDRTYAKLEELSLFLTVGPNGTVYGLIG PVEHRQWALLRTSLVNLPCLRRLLLRFDCPVPKSVASSLASTLFSAHEDFMHFQNLPS NFTYITLKSSESEFFSFRRIFVPGSIPIGVETRRRAWSLVDVPRDETNDWNWDVTHEP PEPHQRYYSSEF LY89DRAFT_248086 MAPELLPEIYLHSAQFISSPSTIANCCLVSKEFYRIFTPYLYKH LNLRTGPPNPDEKSEHDPTRALRRLRIAYLNDRFLFTKHFEISVHTGNDIIMSRYLSS MSNIVSFSAENKMSQHWKDIVFRGQNPYVGLAESTIMNYSLLISREISFLVSCRLAMW R LY89DRAFT_248016 MPKDTRRSPPRTFLPPTKPMKTERTHEENQERAYVAASRRSDRS LEARVESAHRASEIHKKRTGRGFKITVEGVANEEMYEEEDDLPPKYRNVTHHFPMDRN GEFNERLGAYLASNVALRTLVAQSMEASEQHPSGFAANHSQIPNQGPAQMQMHMQQTN HNQNMYQAQHGAMYRHQPYPAARPVRPQSFTSVSHNRSASFQATEPFKPSDHRRMSMP AAVPSAAGSPMQVPTPNSIHSVNSTPPQKPATFSRQSSGYGQQPPTPQQSQFQPPPPP AFSTDPYHPNYSPFTAQLPANAQGLLGSTLDLNNPHHQLMMAGSGNLASSYYDFGPQS QSQPQPNFNTSVGQQTHPTLDGLSSTLAQSALDMQFDADNGQPQSFFNDAYTENLVET PGETLDWNKYLTSDYFDCPGGSQNSQQ LY89DRAFT_655400 MASAKHLTMLVARGRPAARLTNSVRPFAPTTSSLAAFSTSTLRA YATPSGPPPPGFRLPKPERWDESKESSLDKAGKYFLLTEMARGMYVVLEQFFRPPYTI YYPFEKGPISPRFRGEHALRRYPSGEERCIACKLCEAICPAQAITIEAEEREDGSRRT TRYDIDMTKCIYCGFCQESCPVDAIVESPNAEYATETREELLYNKEKLLANGDKWEPE LAAAARADAPYR LY89DRAFT_626146 MFRTALLRSARSATSQAVRISGTIARPSLSSSVVTKSRFAPSAF QAARCYSAAAGLSKTEVEGRIVDLLKNFDKVSDASKLSPTSHFSNDLGLDSLDTVEVV MAIEEEFSIEIPDKEADAIHSVDKAVEYILSQPDAH LY89DRAFT_655405 MSSGLTRRRGGGAGGGGGDTENGESSRVASPVPKAGSSRDTSGP ETSYESGENGHKIAFDPRDISESAERSKQPKLTLMEEVLLLGLKDKQGYLSFWNDNIS YALRGCIVIELAFRGRVSMQKDSSRRRFPLADRVIEVVDDTLTGEVLLDEALKMMKAS EKMSVSSWIDLMSGETWNLMKIGYQLKQVRERLAKGLVDKGILRTEKRNFLLFDMATH PVADGGAKEEIRRRVRNVLTQRTVVLPGSQFLPENLEFRYIRTIAMVCAAYAANVLEN ALATLGHEARERAFAQVDELLAEYSQWPFGKRAGGSSGVGANLGQVIGEEVTNGKDKE LQLEVVAACLSVFTRLDSLL LY89DRAFT_655407 MAESSLQDRLREHAAAFDGLLSLIPAKFYYGEDTSDQWKKKKQT KEQAAAARRAKLDPDSAKTAKDVMDERARKRKLEELEELGGSDIEGVEKEQPKEGMKQ PQGKKAKKQKTSDTQDTPKTGKKQQATPDEKRKLKQERKKEKKLHKKEKENPAVDEPA NAPISKPTALEQPEESDQDAMDEENGDLAMVDNVDQSGEMAHFEADGLEEKPEQHESA SPSPTSPSPTFDNPTEPSTNTSTSSVIPPATAPKHIKLPTDPELLRSRLNARIEALRA ARKADGPDGRPARNRQELLEARRKKEEQRKAHKKELRMKAKVEEDARREAALASARDS PVSSMMSPAIHSPDHNFSFGRVSFADGQELAENLTSLKNAPRKRGPQDAATAFRATET KRQRIAGLDEEKRADIEEKDLWLNAKKRAHGEKVRDDTSLLKKTLKRKEKSKKKSEKE WTERKEGVAKSQAMKQKKREENLQKRRDEKGTKGKGKGKGKSVKSKKPKVKSRPGFEG SFGSGKRK LY89DRAFT_723263 MAPYVEASSLSEITQLAGNPPKYPRNPMETKRQPLTLYIARVPG SRDIILTTLKPQLKNVTAEDVSASLYYLHLNTEDDIRFLQDDEGAGIITEEPEPVLEK PLPRKPLPETARSSLELNRSANTGAAPSPSASMPRRKPVTSIASFTEGRQQSSNSDVT IPRRPLGPRPFGTEVPVAKSVLPGVENVRPDSRSRRQDASLEETTGRVGNQSFSSVDH MVDEMNPNAFSITVIRRDPSSGAQWNVGTIYGQPVPGEAQHRRSKGSKKPYFDMSIQL TTPGYSQFRNVSATGNSADGMTEVSSPVGVSPRADISPVQPRSGSGFNRHLRMEGSSF WERSSMHKRAQSDFSGERAVPRGKDMSLDATTFGALNVSSNDSMSTGAVDSRSKGYIF ESPWGGCCKFSTGSGGRTLKCKHSLPAPISAKTAGDFTSAPQPPSIVSELRFNLPSSG IFTPSTASDTTTKRSSIDSGRFNISKLGHMRNKLSPDKIRPPAPPPRPTLPPRPHPTS YAAMYPSDDEDRPPLPPRTLATSHLRPGYQQANSFLSTDTTQFEPDLSYSDEADDGDR LDLSIGREKAGGGNRGKRAKLGKLIVHDEGFKMLDLAVAANMGIWWSVWESENQ LY89DRAFT_723264 MQFTKALAILSLAATGLAAPTVEVAKRTNGISCAQSQGTLACCQ QKPVLQPVSSLGANIAPTLGGILGVIPDILPLIVPTVSVAAQCVAIIAEVEACATPNI CCLSSSSGNTNSAGLIQLLSNDDISVCPGVTV LY89DRAFT_689317 MIPVVEIPVTKGYDHAAMMITPPEEPSSSPAITQKRLGTTLAAT PQSIDKLKKPFRITLSDDKENIPSSSARTPIPSSTQRMYTPQSVNKLSTPFKCPGSAT ISRTSEKPTRKRRKVDYGGADGSKDDDKPWTNEDRMALANRDANRFPVFQVKDKETMF RKRFAVPLINKNAASYNPNRPPPTLGLRQGAVFVAKPLHDPSGEFAIVLYDPTIDEKP RTIEEAKKADEPEQPKIDAPLVHKSLAEILGIKKVVEGERPKVPVVIDPKLAKVLRPH QIEGVKFMYKCVTGMIEERANGCIMADEMGLGKTLQCITLMWTLIKQSPDAGKSTISK AIIACPSSLVKNWANELIKWLGPDAIQPFAIDGKASKEELIQQLRQWAIASGRAITRP VIIVSYETLRLYVDELKNTKIGLMLCDEGHRLKNGDSQTFTALNGLNVSRRVILSGTP IQNDLTEYFSLISFANPGLLGTRLEFRKKYEIPILRGRDAAGSDKDREKGDASVRELL AVVNKFIIRRTNDILSKYLPVKYEHVVFCNLAPFQLDLYNHFISSPDIRALLRGKGSQ PLKAIGMLKKLCNHPDLLNLPDDLPGCEQFWPDDYVPKEARGRDRDIKPWYSGKMQVL DRMLARIRQDTNDKIVLISNYTQTLDMFNKLCRHRGYGSLRLDGTMNVTKRQKLVDKF NDPEGSEFIFLLSSKAGGCGLNLIGANRLVLFDPDWNPAADQQALARVWRDGQKKDCF VYRFIATGTIEEKIFQRQSHKQSLSSCVVDSAEDVERHFTLDSLRELFQYRGSTTSDT HDTFKCKRCKPDGKQYIKAPAMMYGDTSTWNHFVNEGLKPIQDLLLRQECGEHEVSAV FQYISH LY89DRAFT_739663 MAPTRPSDIDNFFARYQNPILGTTFATQVLHYQYIRRTQPAIDS GVATAVRSTSFPRPLRAGLGWAVVFIGLLTKITLAKKSIRDFSDPILAAKTERKQWKQ VSKEEL LY89DRAFT_655411 MSADFWAGYISGAAGILIGNPLDLIKVRLQAGSPSLSRTSSSSN IRSTSPSTPRTYASQFSPASSLIRGAAAPILGYGALNALLFVTYNRTRDLLQTTNPTS PFPAQPNLWTTWLAGAIGGLATWVVSTPTELIKCRAQTSSSSTGASTWGITKQILKTE GVRGLYFGGVVTALRDSIGYGFYFWSYELSTRIMMSRFPPQEGQGGEAAKVLLCGGLA GVVTWASIFPLDVIKTRVQTQPSAVSGGESSPLLGVGGGGRRLGAIEVARMAYRNEGA SVFFRGLMVCSVRAFMVNAVQWAVYEWMMRELDPKIENGRL LY89DRAFT_595486 MSNAQKSAKGAGGGGKGSPKAKRGSAAGKKSEDEREETLQAVVL ADSFETRFIPFTIETPRCLLPLANTPLIEYTLEFLAMSGVADIYIYCGAHTSEVENYI QTSKWHPSSNASPFTSLEIVKTTARSIGDAMRDLDARDLITGDFLLVHGDLVSNLPID DALSRHRARRLADKNAIMTMILRTGGLGDHRTKAKGIEPVFVIDPTKNRCIHYEEMHP LQANKYVNLDPELLTMHTEMEIRTDLIDCGIDICTPDVLALWAESFDYEVPRRHFLHG VLKDYELNGKTIHTEIVDRHYAARVFNLQSYEAVSKDVLGRWTYPLVPDSNLVTDQDY SFEQGNFCKENGVMLANSCEIGQKTVVGKDTSVGAGSLVSNSVIGRRCQIGNNVTIKD SYIWDDVVVGDGTVVERSIIANEAVVGKNCTLEPGALISYGVRIADNREVKAGSRITR AKRKLHDASDDEPAELVPNDPLLVGEGGEGYGYYDDEDSDDEVAVLHNRLIYSTEHLN LSSDSISTLSSQVSADEPVEGRSHRSSFTGSISDDGETGSSSENFHHDAVTGLLDALR EGGNFGPASGARLEFMGLRLSNNASDHQLRRAIAVAFTKRISQLVENDKLGASEAVAQ AFSVEGVVEFLNDVAIGKDKEEDDQVDFITCLQKDLVHRGSGAVILAAVCQKLYQLEV LEEDAFLRWWDEAEALGDVEGEEMKRVREKTVVFIDWLKEADSESSEEEDDEDESD LY89DRAFT_700613 MDKGGDMGGPAANNSSDFVRKLYKMLEDPSYEHIVRWGENGDSF VVLENEKFTKMILPKHFKHSNFASFVRQLNKYDFHKVRQNNEDNTPSPYGANAWEFKH PEFQANKKDSLDNIRRKAPAPRKPTQTLEETYPSQQMDMVNSQLMATQAQLQHLSERY HDLAQGHVVLLQQVVQLQKFVKNHDGVMHRVMGFLHSVDAQRRNSRIGGPFGANGMGS GDPSMNDGIIDDHPASPLQQASELLDEFSAENLPNKELEQMTHDYNLRNDYSATPDNT NTSLPPHSESSSAHLGYTIGNDLDSLVYPVGHTNGIDPVNSEHINNIPYSLPANGMMP VDTMPEMLPESSSLISRKKSSIESVWGMTKPRILLVEDDKVCARIGSKFLQAFECGVE TARDGLEAVTKINGQSQFDLIFMDIIMPHLDGVSATVCIREARPQIPIIAMTSNIRAD DIDMYFRYGMNDVLPKPFTREGMLKALEKHLPQFKKNAQYTPQPAQMSHPGGFVTPTT THPPLGLNMGQLSAAQSLKEETSPGKSPATASSWHSPNQISGPSPVGPSPGGYMQQSM ADNRQYNMTPTHPHPQSGFPPAQNQPMGAPRPTQPHRRVMSDMAGGPPDDHPEKRQRM YPPPGGFSQ LY89DRAFT_626163 MTSPPVIRLVTSSAKSALVHLQCHVKPGASKQREGILSISDSVI EVCVAAQAKDGEANKAVREVFSDALKCPKSDVGVVRGFKSRDKTIAVTSIEVKGDEQL CISQIRDKLQSAADS LY89DRAFT_689320 MAGQSGYGCSNGSSSTRYTLTALNRWSVADKQQLPAIDKIKALH VYDFDNTLFNSPLPNPKLWNGPTIGFLQSQDTIANGGWWHDARILAATGEGLEKEEPR AWKGWWNERIVELIQLSMQQKDVLTILLTGRAESGFAELVKKMVTSKGLDFDIISLKP AAGPNNERFSSTMNFKQNFLETLMETYRGAEEIRVYEDRAKHAKGFRDYFTDYNKRQN GVGGSPTRGPITAEVVQVADGATQLDPVKETAEVQRLINDHNLAINNGRRGPRLQIKK TVFYTGYLINNADTQKLLTLAQVPPNMPDSELKFLANNVLITPRPCQNSILEKVGGMG SKTTWEVIGTAVYENKIWAACVRPVPETKKYYTENPVPIIVLVLRKGARPIDAGKIQN WQPVPPEKQFVFESTVGEKVLLRIEDEDPRENEYESLFPNKTFKRKHAPDDDPPRRDS GSYGHAQNSNSGRGGYQQNYNGGPRGGRGGHRGGGGSSSRGRGGNRGGNGGRSRGRGG YNYRSLDDVGERGNNTPPSYNAAVAYEDFPPLQKQYQTPQQLVQQQFQQYHQYQQQMQ GKGGNSGGGGGNQELQYF LY89DRAFT_689321 MASAEKGMKLDPKYDHYDFPTISPNTLSGHPGHTTPEQDAQVHQ LRMKLEAAGYTDRLDTLTLLRFLRARKFDVALSEKMFVDCEKWRKDTDLDNLVRTWDY KEKPEVFKYYPQYYHKTDKDGRPVYIEQLGNIDLAAMYKITTSERMLNNLAVEYERLA DPRLPACSRKSGTLLETCCTIMDLKGVGITKVSSVYSYVKQASAISQNYYPERLGRLY LINAPWGFSGVFNVVKGWLDPVTVEKIHVLGSGYQKELLSQVPAENLPKMFGGSCECD GGCGFSDAGPWTDPEWTKPAKWQREDNVIDNTATNPGTIPDGSAQKPVEMQGDEIKPA PALHQLE LY89DRAFT_248552 MADKGIKKDLAATNPRGIPYAPFVDKIEDYVTSRADVETTLKSF QEMISKYQFMEANQQRRAAGLKDKMPDIQKTLDTVKFLKTRKPGSDPIEATFELNDTL YAKAEIPPTDEVYLWLGANVMLSYPIDEAEEILSSQLSAAKRNLANCEEDLDFLREQI TTMEVATARVYNWDVTMRRKEKSEQAALEDTGKNGTPNG LY89DRAFT_248623 MESEWLRDVIRFSLKASITTTADDEPSRDPRLRKLVEGLLYSRQ FILTYHLVILGCILLFTLVHWAGNFKRWQRRRSSRCQVLNIEGTFDDDGDPISSTPAE WYGNRQGPQVVYSSGSSTVEGTASPPRKDFDEDEDTPLLYQDSTLRPSYPQRSLWTRT RAFLIYQPPPIPLINKTLPSNGASLVLGTFIALNIFCMFFHINFNAFEFFVLADRFGL VFVANLPLLYLLAAKNQPLKLLTGRSYESLNIFHRRLGELLCLQAFLHCAGMVFVWYI LFRPNGFGLLRFLLLKVIFLGLGAFFSYELIYFTSLGSFRQRWYELFLGLHIFFQVAA LVFVFFHHSAAQPYVGIALAIFLVDRIVYRLWKKSTRIETRLEIMEDNETVKLSSTII RRPVGQLSAFSGTSIIAGWTATDHVFVTVPSLSRKHVLQAHPFTIASAAPLQDDDRAH MELLIRAQNGFSRDLLNAARTQQELDIRVDGPYGSSHARDMLDDSDLALVVAGGSGIA VGWPLIQYLLDSTRSSDTEIASTSSLRKQKVVLIWIIHQGSHLSWIGRQALADAEYRG AEIIVPRATEEIGRPDLAAMIKDLVDIYAGGGSNKTRVVASGPDSMGRLVRNTCAGLV RDGGEVGVTIEKFGW LY89DRAFT_787077 MANTALSSSLPSTFADDLGKAFVEINVGEDDQISKSYTVHKAML LAQCSHIRTMVKIALFGGPDQIFWLEEDAGAVALLVAFLYKGSVPVFDERNGKLSASS YTQCQRSKTLVEMPKIDQSLIDAFDDIDRTDIVEPPWLAQAFEIDEEERLKSVERSNR ERRRWMALHRPSITVPANLVPVEADDDINHYQTTLLRLCFLAEKIPWPKLFNASIKSY IEGEARLCRLIPTNCVLLIYEHTAAGSKLRDMVLDVISTASGKANVDMATYTAYAQKN RAFMTDVFARLSGPKFEFESVLEAADDGVNDLLEKAEGERTDGSVAVSGESEMEDH LY89DRAFT_739672 MDNQTDLTHDDNIGREQISSTSLPHDWRSHPFIFLTQACHAGDF ETVRYILTEIREGPDLDDIKNSFSTDLLWACTTGYPDILTCLLEHGAPITNCATYAAS IEDADTAIRIYDILFEHGLNLADYPGIVQYLENEKVLEYLLSNGADPNGLDDYHNVPL NICDTESTIKLLVDYGTDLSLAPLLHDFVCFPNHTYSEESCEFLLSVGVDINAPAVYA GHAPPGSKLYQDAAGRLVDGGTALHFLVRGFGRKGRPDVDPIPRMKWLLEHGANVDIK DDCGLTPLDYATEPAMIELLKSYQV LY89DRAFT_787078 MQPRYSNYAIGFIFAMSISTATPAPLPISWSASSFSTAVEGLVT GYTEHIQKEYGTVPNMLGDGKMVGLVNVQVGSEGTDVYIGGKRIGL LY89DRAFT_759564 MRPQTSFLGCSILLSSFLAKSSFSHPLSERARLADCLYDLNPNF LDTGNTISTADIEACTTTALASRDLPRIDNGPPPALEDIIMKRGIQTTPLIVSGQSVA ADPVPLNQSLQIRDSAPPNVGFFDQVSDGTEPILTNFLEPSNLENSWTGPICSTITSN FNPKVSGVTGIFNYNIQGTHKIAESLDHKNRFLNLLVTFTGTDPTLLPEYDIAAL LY89DRAFT_248630 MYQAAQSSHSLKYGAFNLKTVNAVMNIHKLEVLPTETAGQVATQ TADPTSLKQRSNFGMPIGIFNIQIGDQAKGVSLFNANGLPAP LY89DRAFT_655435 MDQTQDFKTLQDQVQSALIATTRTAGQIASEDLGFHRSFNKEVG TALDEQSQRLLSLASALLKSAASIAELRAPVLEDADDLENDWRGVVDVVDSLLEKSDI CLDEYTGVIKRKEPTASEQTSQAASKSNKPGLNLGLAFRTQNIVKPQLTFDVKPNNHD NSPWKPILSSKPHAIVPLEKALSTIVDETDQPQYRHPYETEILNLQYPETVYQEATPI PYLPMATTSATFVDTEEGVVEMLNELKDATEIAIDLEHHDARSYVGLVSLMQISTRHK DWIVDTLKPWRQNLQVLNEVFANPKIVKVFHGAFMDMVWLQRDLGLYVVGLFDTHWAS RSLGYAGGSLAFLLKKFINFDADKKYQMADWRIRPLPKEMFFYARADTHFLLYIYDCM RNELVEKSKSDVDEENYIEIVLQKSKEVSLLRYERQIYNAESGRGPGGWYSLLIKTPA LLSNEQFAVFQAVHHWRDKIARADDDSPTFIMPQHVVMSLAKLMPMDMVALLGIAHPV SHAVKSRAGELLDIIKSAKAQGKNGPSMMDVLRPDTVGAIARANIPSVAAKNTIKTMV AVVDEGELRSNNSAFWGGAFGSSIWDGPTLTHNTKLTLSVPLPALSSEVFSASTGFAT EATPVKELAKDVTPSPEPAPKADEPFVLKRGSKRKSDMISDMESSDGEFDISFKDLEE DPAVAKAARKAERKAERRARKAAKKAAKAEAAAKASDSDEDDEDEPFDYSKAESVLNA KRKAEDHKSGSKKKQKPFDPYSKSADAPKGMRRQQTERSGKSHTFKK LY89DRAFT_626182 MSASLAPECDEVKERYDSCFLKWYSEKYLRGKGTTDECAALFKD YKRCLTSALKEKGIDKMLEEAREDHKENDAANLRRR LY89DRAFT_595994 GYITARWDLVTRLYDLAIFAWDHGVVARTAKGFAILSLFFFLFI IPIERLASRETDLHPRSASAGISAREQLRRRGSF LY89DRAFT_595899 MPEHDGLMRIFWPVDIPKSESPGIIVGWRNSGLDVFVVAILEDV DAPSVENALKVGTIFRNASHPISRIFELCGQSYMHVLGLTNTPHVDIDNLQMHASTGP SLKIPQISCARASTIQIIMFDRPLPNRMQYISLSPIPLALGDKAEMSIHAPGSVDAED EREEQENRKKTKALVEKLKLHSVIKHPASPKELALPRIVNQINCSWELHKLLQKNISL VGRRSRRSLSVSERVVESATTMRDFVIVAIWQLITLYIYPIVRRGFVIGLLCHRVAAE ALLLVLEWRAKPEYAALKDISATAQQVEIRLQQFSYWPMQYVTLRKRKDDWESVTTSH PDYIRFYNSLWLVANDVIIGIALGSYIIDNSAWVAELISDILSMYSIAALQRTISWLM DWPAGLKLNTELAAFLGDLFLWVIDHWANCIEALRPVLPHIIWFIGFSSFAGASMPIA LFSDMLSILTLHIYSFYMASARIFNWQYTILLSLFQLFRGKKHNVLRKRIDSCDYDLD QLLLGTILFTLLFFLLPTVVVFYLTFACSRMAIISLKAALDTLLACLNHFPLFALMLR IKDSQRLPGGIRFELRDTQHFSNSSTTAHSPPPTSYIYLKSVPLTFRAMFHQYFQLGH RIRKHYLSPRVLLCLATGKFVPPIHRKNLYSLQYSMLPARRAGIMDMWHALTSDEPKS AKSSGFDMKINGKRLNGNGRRHYGH LY89DRAFT_689326 MPHSISPEDTSTPDEIMSVQDADVDGETQMTEGTLIAESEERNG DTQASDVTDQDMTMADIGNDEEKLPKKELIKDEFKSEVKLEDLFADIESDDEFPSSAV PDVKISSSPEAPESPVHIGPTSRASDPEVMRSFYQRLFPWRYLFQWLNHSPTPSTDFG HREFAFTLQNDAYLRYQSFPTSDLLRKDVLRLNPSRFEIGPVYSTNPRDRKTLRKASS FKPIAKELCFDIDLTDYDDIRTCCDKANICNKCWQFMTMAIKVIDVALREDFGFKHIM WVYSGRRGAHAWVCDKKARTMDDQKRRAIAGYLEVIKGGAQSGKRVNVKRPLHSHVAR SLDILKPHFESDVLQDQDPWETDEKFARLLELLPDRTLNEALKKKWSSAPGRSSTSKW ADIHALAKSGVSKALDTKALRDAQQDIILEYTYPRLDIEVSKHLNHLLKSPFVVHPGT GRVCVPIDTKRCEDFDPLTTPTVTDLLREIDEWKAPEDSETEDKKLQDWEKTSLKPYV EYFRSFVLALMKDEKDIKVKREREENGGMDF LY89DRAFT_700622 MADDLAQQLNATKLSDGASPSTEAWKKDLKIPAKDRRVQTEDVT ATKGLEFEDFKLKRDLLMGIFEAGFEKPSPIQEEAIPVALTGRDILARAKNGTGKTAA FIIPALQQINPKNNKIQALILVPTRELAMQTSQVCKTLGKNLGVNVMVTTGGTLLRDD IVRLQDPVHIIVGTPGRILDLAGKGVADLSECPTFIMDEADKLLSPEFTPVIEQLLSH HPKDRQVMLFSATFPITVKAFSDKNMHDPYEINLMDELTLRGITQYYAFVEESQKVHC LNTLFSKLQINQSIIFCNSTNRVELLAKKITELGYSCFYSHARMIQNNRNRVFHDFRN GACRNLVCSDLLTRGIDIQAVNVVINFDFPKNAETYLHRIGRSGRFGHLGLAINLINW DDRFNLYNIERDLGTEIQPIPATIDKNLYVYDTPENIPRPVTNFQTNRQTSARESPAR EQGQQAGNSRGGGSGNYDNRRQNGTNPNQNQRQPQSQRQGQGFSRGGRYEQGGNRRGG GNQGQRQNGYEGQRGGRGQPTPAQ LY89DRAFT_248823 MSFIKERDSSILLSISSFCDIHGPSPIMVTQALPAGCTSCFEDE SLSTRRPSTGSRSLNPADGLAGITRAASRTNSASSENANATRPTFQNRTSSQASSSAI ETPPESPRVSALQSAQFASLSRRDSSFRRTYDENDKKRAIPCDNCALTLPPKNSTKED SSPTHNDINGPILRTRRVYERISIQVEHPSPPKSECSSSSESDNAISRKPAHRRTRSR TLVRVGTSSSNSSFKSTDSHEHFVDYTSSHEPLHPTSFSILRQSCLRTLSCETLPPST IQPNSSPTSPFLNSPFSNSMSIPSGGPIFFGDPLAGYTTAYVFRIPDPNARGRRRVYA LMALSTHRERAAMQTFSLLSAAFRDLAAWIQGLAEAELERSENANSPRLGGNDERGFG GGMGAGGGGSTHSTPTSSFLSGRNRGLDGMPRMVTKARGLAEIVGLPDFFIELHARFV RLLIQLGLQLGV LY89DRAFT_689330 MGDVMVENPANQVLPHRKQMPSSIPNIDTLENIGTDGSDEYSTM KKLQRHLEYIQLQEEYIKDEQRSLKRELVRAQEEIKRIQSVPLVIGQFMEAIDQNTGI VQSSTGSNYVVRILSTLDREKLKPSSSVALHRHSNSLVDILPPEADSSIAMLGADEKP DVTYADVGGLDMQKQEIREAVELPLTHFDLYRQIGIDPPRGVLLYGPPGTGKTMLVKA VANSTTANFIRVVGSEFVQKYLGEGPRMVRDVFRMARENSPAIIFIDEIDAIATKRFD AQTGADREVQRILLELLNQMDGFDQTSNVKVIMATNRADTLDPALLRPGRLDRKIEFP NLRDRRERRLIFTTIASKMSLAPEVDLDSLIVRNDPLSGAIIAAIMQEAGLRAVRKNR YNIIQVDLEDAYSSQVKGAQEAQKFDFYK LY89DRAFT_689331 MSSSITIPPRAGESSKAAAAPSSSSSSSYSSSPRTPQQPQQSAT PASSRPKYGHDRRPSLLSSSLSKQEHTVINIGDPDGVPRLITCVRSSQGYEWNPEIFL PSYVECDFESLERKRDPVHEIRLSDEEIKQMFPQ LY89DRAFT_540172 MTEFQRKIELQSPDDLQYLVSNIRRAANVEIDKNLPPIEGEDKM RARVEELVHDYINNVFNTAAANITINGLDPSPDLLNSILSSKSSLLEIEEHEPFNTKL FEHAKDLARQEEDLIEEIAALRRKMPGVVTDHVKRNYREGTETDEKVLKVVEDKVVGR EKGVADMGVERLERQDQVEANWGKGVKGLEGLMRTLPETVARKERAERAEEYV LY89DRAFT_562074 RTQSPEAVQSSPTNGEAKPVLSKTQQKRLLKAQRWEAGKETRRI QRREKHKAKLARKVEQKEMAQELGLHEDDQPDAEAQKKTLPRRPIQTPIGMILDCDFD ELMSEKEIISLGAQVTRCYSENKNAPYRAHMAVSSFGGRMKERFETVLTRNHENWKGV TFHEDNFMAAAEKLNAIMQGPEGGELVGALDNSIPGVEKGAENGEADEGESKEEGPIS IVYLTSDSEEILTKLEPNTSYVIGGIVDKNRYKGLCYRRARARGIRTAKLPIAQYMTM AGRSVLAVNHVMEIMLKWLDTGDWGEAFLSVIPKRKEAKLK LY89DRAFT_787089 MSSRPELKVDDEGGFISFFKSLPDSDDGAIRVFDRGDWYTAHGD DASFIARTVYKTTSVLRQLGRNDSTGLPSVTMTITVYKNFLREALFHLNKRIEVWASS GKMNWKIIKQASPGNLQDIEDELGGQFEAAPIILAVKVSAKASEARNVGVCFADASVR ELGVSEFLDNDLYSNFESLLIQLGVKECLIQVDKSGKDIELKKLKDIIDSCGVAFCER QIGDFATKDIDQDLARLLKDEKAAGTLPQTDLKLAMGSAAALIKYLGVMHDSSNFGQY QLYQHDLSQFMKLDASALKALNLMPGPRDGAKTMSLFGLLNHCKTPVGSRLLAQWLKQ PLMSKDEIEKRHQLVEAFVEDTELRQTMQEENLRAIPDLYRLAKRFQRKMGNLEDVVR AWQVVVKLPDFINTLEGVMDEQYRDPLDEAYTSKIRECSDSLEKLREMVETTVDLEAA QNHEYIIKPEFDEGLKIIRKKLDKLRHEMDQEHRAASQDLGQEMDKKLFLENHKVHGW CMRLTRTESGCIRNNKKYQECGTQKNGVYFTTQKLVSIRRDFDQLSENYNRTQSSLVN EVVSVAASYCPVVEQLAGILAHLDVIVSFAHCSVHAPTSYVRPKMHPRGEGDTILKEA RHPCMEMQDDIQFITNDVSLKRGSSEFLIITGPNMGGKSTYIRQIGVIALMAQVGCFV PCSEAELTIFDCILARVGASDSQLKGVSTFMAEMLETANILKSATSESLIIIDELGRG TSTYDGFGLAWAISEHIVKEIGCFSMFATHFHELTALVDQYPQVQNLHVVAHIDDNGK AKREVTLLYKVEEGVCDQSFGIHVAELVRFPEKVVNMAKRKADELEDFTSKHEGEAIS YKKEDVEEGSALLKEVLLKWKEECEGKDLSKDEMVSKMRELVKGDEKLLANPFFQSVK AL LY89DRAFT_248973 MASTTRYDEADLEVFDYVIIGGGTAGLTLAARLTEDSAVQVAVL EAGEKRFDDPHINIPGLMNTLYSDENYDWNFKTTPQPGLNGRTLAWPRGKVLGGSSAI NFMMVAHPSRVDLDNWEKLGNPGWNFDTLQPYYRKAETMNFPSDATAEALGTAIMDLV LHGASGPVQTSFTESPGELDKAWGRTFKTLGLGPGSDPRAGHTLGGYSLLKFMDKSAR RSHAGVAFYEPNAARENLTVLTGAFVQKIEFDTVQDVVTATGVRYTIEGKEHVIRTRG EVILAAGTVQSPQILELSGIGDKKRLENLGIVSVLDNSNVGENLQDHTLAGIGYEAID GVPTAEMIKQPGVLDWAINEWATKGLGPLSAGVTGTAFLSHDSLLSSEEKLSLESRLE EILSATPQPTSPGLKKQLDLQKKTLLNNKEADLQFNFGATGINPLAGNDISQLFNHND AGGYAGIVPALTHAFSRGSIHIQSPDPSIHPIIDPKYLSHPIDVELMISGLLFTQKLS ETAPLSTLLKDNPLGTGKIIQPSFHVEGRLTREKAEEIVRSSMITSFHPVGTCSMLPR EEGGVVDDRLRVYGVKRLRIVDASVVPLIVRGNIASTVYASAERAADIIKEDRKAV LY89DRAFT_248962 MAPKPVAHSGIWTPQLSQTFYTHANQLSKKLKSQQSPRQPQNYR QSCSYSGKDERYILSYEEELHLADHFAFLAHVAESVACVSAVTIEENRELPSLTVRLA SNETPRDHVVEGLSRILDVLREHAIEGRHRHTYKSRLFDAVINLSKDRIYGRLRSSQW KRPQHVKATGNTGPLYLRIESKLQQAKGKFGKAMRHAGLYAALTKLCVALKAVDLGAE SEQPHLLQLAIQESYSISKYGTFKSLEAHLRALGASQDVSQSREVLEIDKLGKYFELC DDLIRLSRQPETRPLCQNISLEICTSFPEIKRSGSPSPCHVHGEVQLVLFYEKNPRQT LPRAIGSSKSACFLCDLFIKKHGKFGLSHSHMKLYTMWTIPEIPWMNTEQRQRFQRLI HAMDTEIVLLLKEKSYHPNHAMESRAHMRLIDQVPDIASSNASPAVSVIIRNSNLLVM VENASATMLEPVPVQNPAISSSVYYSEDLPIKEDIGPSTRLLTLLIGKVDYIFDCEDV ESGQLQISSHIEGAGRKDVFRVNARDPDLDSILSLRDGADDHMLTFCVHDNDRHELEV VFRWTGSI LY89DRAFT_596131 MSVMLQTPSRASTASSSSFQPVSRQNTMSSHDGGRSVRQSKRYS MTTLYLSMSANEKDLEIEDDLAKAQKILRDLKSKISSQSKKNFVLEKDVRYLDSRIAL LIQNRMALEEQNEVASHLEDAADLQEGFFPNDEKTQKYGNLLFLLQSEPRHIAHLCRL VTMAEIDSLLQTVMFTIYGNQYESREEHLLLTMFQSVLTYQFDNTPEYSSLLRANTPV SRMMTTYTRRGPGQSYLKTVLADRINGLIELRDLDLEINPLKVYERMIEQIEEDTGSL PATLPKGVTAEQAAENPQVQAIIEPRLTMLMEIANGFLTTIIEGLEETPYGIRWICKQ IRSLTKRKYPDANDQVICTLIGGFFFLRFINPAIVTPKSYMLIEGTPAEKPRRTLTLI AKMLQNLANKPSYAKEPYMAKLQPFVQENKERVNKFMLDLCEVQDFYESLEMDNYVAL SKKDLELSITLNEVYATHALLEKHSSELNKDENSHLAVILNDLGPAPAQLPRKENRAI NLPLFSKWETAIDDLTAALDITQEEVYFMEAKSTFVQIMRSIPTNSAVARRPLRLERV ADAAATSRNDAVMVRKGIRAMELLSQLQELQVIDKSDQFSLLRDEVEQELQHLGSLKD GVVIETQKLEEVFKTIRDHNTYLVGQLETYKSYLHNVRSQSEGTRRKQQKHQVLGPYK FTHQALEKEGVIQKSNVPDNRRANIYFNFTSPLPGTFVISLHYKGRNRGLLELDLKLD DLLEMQKDNQEDLDLEYVQFNVPKVLALLNKRFARKKGW LY89DRAFT_739689 MRFTLGRKKSAPSPDKEHNTKNWFTTPYYKAVERRPWLLPPHEV AFGYTDDFPPVQSLRVLQHLYNKAAEKVEYTKCVDLDLDWDRLHETSAQLGTRAAQKK QRAVREDEAGDGLPTDILEKIGRYLSQVDLWCLRAVNRRMRYEVIGYNVEVGGRSEFG GPRDCLSFRIRSAVANRPWKMEVCPGVFSPCMRMKLWKRLENDIGTDKAEKLSCWYCV EQHPRWDFEWDSELHGYEGNLIESEDRICWEGYNLLLSRVLSWASLLYRITGKTSGMC GSSGDCTIINLKGVGRILAFDEGRPVNTMGASIFEHSVKVCSTCFMENCLRLHCPMDQ RPADCYLCYKIRRVPILSATVFSGLATRTRMPDDGRLELAQKGRCWGAQKLIQSLWNG RISKSLLILDWYDQNLERKSFPAWWKMSADFQPHTKPRFGD LY89DRAFT_689341 MGSITTNEVAGGLISEIRHFSRQLVREWGFMSTEAAGTSYPASA VHALIEISLNEGLMSAELCAPLNLDKSSVSRVVKKLVEAGELEEEFDGGAAGKGDGRV KRLVLSAKGRETVRVVNEYGNHQVASALAKLPTTTSPTTILTGITAYATALRASRLNY SPSTSNPPTITNPPLDLHLAPKPTIQILPGYRPGLVASTLQLHMSFYNAYYALGLEFE TNLSAEMAEHAPRLDGLKSQAWVAVSSNNNQTVGSVWIDGNYAAAAETEKKVARLRFF VVADGMQGMGIGRRLIGAAIGFCDEKGFEECLLWTFKGLDAARRLYEKVGFRLVSEEM KGMWGKELLVQCLVRKGGGGPV LY89DRAFT_689342 MATNGASQGLPPEAIAFATRMYDAARSGQVDIFEQALPRGLPAN MTNEKGDSLIMLAAYHGHAPLVKLLLDHGADPNRVNDRSQSPLAGAVFKGEDAVVETL LEGGADPDHGQPSALEAVVLFKQEDKWKAKFESAPGRGKAAS LY89DRAFT_595657 MKGLRRVIIQCSIPRVVTRRAYSSEAPLIKVLDVQAPNSGRMRI LSLARPAARNAISRALLHELRTNIDKIASEYDTENNEVPPQKIFGGAAEVDERGPTRA LVLASEVDSCFCAGADLKERAGFSPEETAAFLANLRNTFSSLAALPIPTISAISSLAL GGGLELALCTHMRVFASTATVGLPETRLGILPGAGGTYRLPALIGLGRARDLVLTGRR VSAAEAYFLGIADRLVEVLPKEGEEGKEELMTRAREEVLREAIRLAGEICEGGPIAIR SALQAVNYAREEVENKMYERVVATEDRNEALVAFREKRRPVFKGR LY89DRAFT_249181 MDDFSLPRMPALRLFYALWTLTLLSQTRKSGKGINETSDRQTRN CQADPIWCGDMRICINVMQRQTVEVKSFTITGNGALCDDKRINLVTEISTWVFSRAVS AESTAEPGFEKCRHKDRFKLMLRR LY89DRAFT_249194 MSSGCGAPPLKKDSLGEKNLEARHLDLFYDTNHPIFNKSPCYST SSQTDPSIDQRNESLDPLPPPCCYFLIYRCSGVKDVRAALLDVSRFSWDFLSLPELAS AAQHIFSLSVFSPNYMGGNFLSLATKPPHQTKPNSSDQRDHPDLRHGDSPRESNLILQ SLPYRCVCR LY89DRAFT_249223 MQSFENKPAAKRPGVNLNVDTTRDIGKGKEISPPQTPKMENYRP SRGNIVSANRALKVRTGLRGDRETFLDDITPVDPSSNKENDPDRGRINRDSHDLSLSP RQVTRDSLVDHMLLSLDQFSFGQDDGFGRQPTVDEEQLYNTFEEDTYQPTSNFAPRNP RGVGHTYSYSSDYDNADDSSRYSGGQLSRGRRSNSSSNFQTSLSRINSKRGDINSSFS TARNGPQVPPRGIHSRSGKGSKGSSANSFDLGYAQVTSNTRWAHGLAGRSSSFDYGSD RHAMNAPPPLSMARQTDTTASTFSPYDYDAAPTPTVPVGPRRPPPTSPIVLPPESPRI DTGMQKLERKRSTRSSKSGYKGKLSSNMSSGGRLDYGLQDPAQPLPPMPAFIKESAPA PLVGYGKTKEAPAGQPSKEKPGFFRRVFGSRNTPASVQEPPPSHGSTTSAETTDRPSS RPQHIGTQIKSHTHTQPQPPPPPKEHSHVLTKKPSSFFRRRKKSVSEAEAPMPPPIVP PLALQPDKEVAAAKPLPSPVSSLRKVMNPYLRTPARSPVDPFPQASIDQQMNHSPEPV ERSVKGFSPDYEPDKSATIRAVRPNTRESVDNLTSSHASAQKPLLSSPNAPSRDESRS DRDVTFLQDASDNDRDATSISGTDKDLLEPNHLPSRQHNSPSVVRDMALVAEYERTHS RRSPTPSKTDQAKSSPIGNPPRSPNEPGSGIKQTIVTTRDEEWVMLTPTKSPNGIDDK EQRVWLEPSSSEEDLSSPPALLKAGDTPGRFSGSTDTAYKSATSLPLVQVDGEEVPET PEHHLMSASEAIKSLDDVRPSPDAIVPTEGDRERAKKIYDGNEDFIQKEKAAAWLGEE GPLRSRTLLAYMELYDFANLNILAALRIMCGRLVLKAESQQVDRILVAFSKRWCECNP DHGFKGNDVVHTICYSLLLLNTDLHLADIEQKMTRSQFVKNTMPTIRKSVADSAPDAF EPARASILPGKSSTFDTNKSVPETGLKPERASIEVERPSWRSSFRPLPRTDSDGNAPT PLDYDTPQDDCGPLVKAPFHGTLRTWEVQVEIVLKDFYNSIRSERLPLFGAPSEKLAL QPPSTNSLSVFTNGMLRRTPSVLSKAPSESQSYVRGRTAETVRVGNGKWANKNRSRPR LYPGSGLGSSRTSLDDQSSMWSPSVSSSTWSKYSLGKTQTSMSVDSFGSSWPNADYQQ SIGFANALSQAIIREETIGSAGSGGSDRDEPRVTPLLEDESLELHGAPWAKEGIVKHK HHLESVDKKAKDRNWSEVFAVIEKGYMSLFSFSSKSMRNKSKGKAIAQGVVGGGNWQE NAESLGSFLLRQTIASALPSPGYSKARPHVWALSLPTGAVHLFQVGTPDIVKEFVSTA NYWSARLSNHPLVGGISNIEYGWSDSIVNNALVNAINDSTRPSTSGARPSIQSSLRSS LDQGYASSGPRARLPGDKITISDWSPPTQSMRASNLMEQDQLKTLTDYVSGIEEELQK HNQLRSPMLLAFTPRHPNSNKAMANWEKKSSYLLREIVKFRTYIDCLHAAEVGKGKIY AEREDREREKAAARRGKEVEVKDEDDDEGDTTLRPE LY89DRAFT_689347 MSFLLRTLPRQSRPLALRASLPTQAFSTTLTQRKSATETVKDAA KTVDRAVSDKLVDGIEIGRMFPLFPLLPLLPHPPFPQQQFHFNIYCDERRV LY89DRAFT_674823 MWPPLDRGPHVPTLPNFPNYFEKLQSTPFLNTIVECTPEPVRCF QTAASINLYTETGVDQCSRIIYDAASADDFISQLWGGGSNPTLFCSVSQGVAFCEEAM SDGNNYRSNKDCIQRYRALQQNEKLRAAIHGRHIEKSESGKPSQDWSSMDDAKKKQIA DLEKSYAEYRKHFDSLNSWRSGANVLSEPHRGGDESKLVDEKSQDSPPVIDVALDDKM KQVGNTAEKAEACARIHKVFMGSIRFSKAILYDFFTGSILPEFLPRWQEYKPAIEQSK DTQVSDVTSNEEVKQVDTTAEKDKKREFLRLIKEGMRYRHDLTVEQFRDALVSDVTSD EEVKQVDTAAEKHKRELVKLVDENTRYDHGFTYKQFRVAHVSDVTSNEEAKQVDDAAQ REKSELASAIEAQWIAHSKRSNEIKRNLLTGKMSLEPSQRVEEHTPTDEESTPESLNA AYDEDAAKRISDFGTDDHWVYTLSPWKNELVGRCTDDERELLLRKTRARFAWNMKWLG VPIIGSNGTTIWWDWGTAKHRYDRGEENQRADEGAWPRFRGPRREVARRDEPPVHRGA ENASQDDLTRQWACENIHRLNLITLVALLLTWVHYRACLLYSKKQDRVTKKPKNTDKK SDKKSDKKSDKKSDKKSDKKSEKKVETDNKQNKEGPKDELDLHAQHACERNHSWTSKI YKPLEKAEIITDNALLKLGATYLRSCNWLEAKLRAQRLKFSEDEHCCEAAKKQMEEGT KLSRERQKLIEVNDQLQAINKEMVDMALEIKRSRPSNAIPIPQKATALPKTTFRSNQT QTQGSEERTILSVPEWHPFSFGFSDFATNETTVAAIRKEFASNYERMCEAKKLTTEIA NLEGKRPAYPTFDDCSCHSDVCSFHTVPRQTVEEQEPQTAKVLFIAGKGKAVEIDLLA KNNDITEKNMLEANQVARSLETDFDPKSLRSIFSRMPEQYPSKELLERLFKEEDESEE EDVNVRSKKLRRMEILQMKVRTKNLWKKIGSLSTQTTVYPKPRYDI LY89DRAFT_723295 MSTISVHALSVMKTKNKKYWRSNIDADQCPKESIVYVVSNVIFE LLLGERHVVKEWTLEQNSKINTERTVCETTNSEDMTTRQKKIPGIESMLAQVNLEPGG GFASSEESSTTTQKKRKTHDSERDSDKEVPVTSPNTNVDPPALRFHSIRSSTQMSSID WQSDEEADFQFAPHSAQSPLTMRPKLIKGAKTAITVSEKPQDLRTMLIESAKAMVERK PGDLNYHKERNTQNPPKPSKAIDQAGSSIFRSSHSEDGILSSGMGHNRKSNSKVKSSR TLRQAKDNQQTTNKIARANEAKYPKPSHYGESDDDVSSFYLSDDSSPDPQPEESTQSF LSRISKLHNITLDTPAKKAFDIQADFDTAKPYDGNTPFAKRLRARLFPGMDQEGKEKT VEKGEAGKNSEKGGKEKTTKKEELDSEDEDWETVEKPKGPRKGLSWREELDWKLMSSW RKHEGLESFW LY89DRAFT_759591 MSSRQENVKWVDGLRGFASLLVVFTHIARAFDEDLFKPTSAEGA PPRFLQYPIVRILVQGRIGVTIFSLVTGYVCALKPIRQCRAGNQDAAFKSIARSAFRR VPRLILPTTIATTLIWFICQFGVFEVGNRVDSWWVNYTSPNMTPYFGDAIKSLLYHII TTWTKSWNIYDNNQWTLLPLLKGSMLIYMMLVATAYCKPRYRMIIELALFVYYYISND SAFGMQFFFGAFLSDLSQHPPHLAWCAARKWPSRLLSPFLCLIGLLLASYPEDKAQWM PWSKFMGQTSVYIFPADNETPRFYSGIGLIFFALGIHFSNPMKDILSNKYLLWFGKNS FAVYLLHGTLLRTLLVWMYFGLKTPGDIIHEDGRIEPGPPLKICGKARWYFWLPIWFV ILYYVANLWTKYVDPWCARVTERLVKYVFEDPQENSQEKRLLPQ LY89DRAFT_689349 MARLGSWKPRPLAHPSLDATEGEKERAHAHLFSEQLSSPTNKQL PVVFCSILIFTACCVWKRKAPENYQMGDHWMTPPIPRLMRISLPAVAVASWLLYGSSQ TASGVKRSHMDRLMDAWTLSPKKRSFY LY89DRAFT_739698 MTSQAWQILPLPSVSWRSPEALTHLQLNTSVPTPTAKDLGENQV LIRIKAASINARDVMVIAHDPIYPLTNIPTLSPCADGSGEIIASHPTSTYKPGDRIIM HPLPGYTTSTPPPSLEEMRGRGAGSVQGTLRQYAIVDESELFSVPAHLSYEQAAAIPA AGATALNALLFGPMEMKPGMTILTIGTGGVSCAAIQLASSLSLTVIATSSSPTKLTLA KSLGAIHTLLYTSPTWVADLLALTAGKGVDHVLDVAGDLATSLKCVKQGGLVSLIGFL DQSERGPKSDLVPDILYGGKIVRGVFGFNTEHVARLLEITSEYKLEPLMEVFEWEDAR EAFERSMGRSVVGKIVIRV LY89DRAFT_674827 MPSSSCLCGSNLISYTGTPTLKFRCHCTDERKLTGAAFALNVLW PSTTLSVVSGALKVWGKDVENGNTISNHSCGECGSLLYRTSTGYPGMMVLKAGCMDDG VEATKEFVPEVEIYTRSRAPWVPAVEGSVQEWAGFGSGAEGVAQK LY89DRAFT_723299 MASVTSLGFHQPTGLQYAIQERLVPPDPPSDSYKWLNYCEEHAG GSFDDDELLVAPRCVIWSRGGIFRKSYKFDLENEDVTQALLTTFPSLGPLAAKEKNLP LEIRSQERRETAVVVFLKTQAHVYFLSGTSHVIHLPFEVESASATPNGLIIQRKLRVD NLVAASLKFPRVPPNSFVSSQPQPFSAASSQQSTFSIADLGAPKQMTIPHSTLKDMWD PPSLKNDSNWPRLFSLSDPLAEMGLVVSQAPKSENRRHRRSSMNITALNTAEEILHVS RRDEFSPKSSNNPLVLALTLNRETSMYTVWKFAYIGEESSTPHKQRVPSGTMSRRRSS FVPGTGTGATTPVGNGQSTLRESLGGNAPIASRKPVKNEESKDQIADLVSSLDPDFES NAVPRRKSRRVSSMLARADLSASHERSAFSELATGHQPLRRGESLGSQHVRNSIGTYA GASGTSFTQPTQFNQSLNSYLEAPVDDLLDELRAGGDFEGFHNMGLDDEEFDALRQEI VLTHVSSVSAEHSNVRYSTQHVPAAAQCKIFTLTAPPSAADDKQGNTIVFCILDPDEK KLLVLTLYLKVHKAETDLNANNMASSERDVSVVTLGHIMRAKGVIDACRIDDGDVSRI LVLTETPDGYGELSLQAPWSVLMKVPLPGQFMISNTRILSHNPIPRAKREGGFKRVLS QGPRALQGLRNAKPGGVVDLLDDEGKMHQLQLVLQPRNPHVRRILEVCRAVLPGSRGG EGILVFWWNVIQWIRLESMDVVDTEWSALTITIFCLALALGVTSKAQPRQTHAKKKSR GFLRSSSGAQSDFENWDLMQNEETSNGNPVPGWASNNGWKWLTEMESASQDTGSLSSI FQPNGADNPSLVRKHVKLARDFSNTKFGQDSMSGCLPTSDSKSLEVRKAALADTFVGL HLWNEEQKLDTTMADSLSAGVVSLGPILAQLSRWLGWDTWIQYYEFEEASLVDLDFDN DSPIRSTIPQTFECPAIYDWIQACLYSRTLTPFMTLPQFVASRSESSRKNAQDLEQWN NLTPRTLLFEQFFSNMQPGWSAVQLVETLSSAGADLLLLETLPETILVPLQEAVIQSQ TEPPANWSEELLALVGREDVTMLLRPGQRPRQIQSTLLAPSHEANLDVHAICNFAAEP ETSGPFDGSAEVERQSITRSIFKDDRRMNEAMTILDTSKPTIARVRAQPTWTESELLE AQKEHAQMLAYRTLAIPSGRGLIYFSARVPLLTQKWHLPGFNLTCVMKPDNNTVNADK AAFSEEKIGWAFFHSGVSAGLSISRDAKGIDTSWILYNKPIPDLNNRHAGFLLALGLN GHLKTLAKWVAFKYLTPKHNMTSIGLLLGLAASYIGTMDSLITRLLSVHVTRMLPPGA AELNLSPLTQTTGIMGIGLLYCNTQHRRMSEIMVSEIEHIDTEIDEEPLRNEGYRLAA GFALGFINLGKGSDLRGLHDMRLTERLLTLAAGSKKVDLTHVLDKATAAAVIAITLIF MKSENYVLAKKIDVPDSLLQFDYVRPDIFLLRTLARHLIMWKHIGDSHFWIKSNLPVP YRDKSELENITFLTSSDLPFYNIVTGLCFSIALRFAGSASLPVRNLLIHYLDQFMRIC RLDADTFDKKLARNTVRNCQDLVALSLATVMAGTGDLEVFRRLRSMHGRDDSETPYGS HLAAHLALGALFLGGGTFTFGTSNLAIAALVVAFYPIFPSSVQDNKSHLQAFRHFWVM AAEPRCLVARDIDTNQPVPVPIIITTRGGDHTRRHTPCLLPELDQINTVRTDSPEYWN IVLDLQKNETHIAAFRSTQTIYVRRRPAHDATTSPFQSTLQALNDATTNTSHALEWIF ELPAFASLTKAERALVLPPNHGGATDVHAGTSETVVDARLVLEHATLESGKKDRLLGL RLLFEWADQATSEGRDVQWIRREVLEKLKAKVWMMASE LY89DRAFT_739701 MNFTTTPGSITALRYLLALLPIEDMTTNDDNLIFNDTFLKATKI QDPVFICLDTEGDSAREFGMRAEFERPFQFGISRMIGAGSVFPLLQNIFRTGHPDSSH QESRNTILVGHSVDGDIASLEKVIGRAWSIQDFPYSLVFDTQVTRRGLFGGPAHKRLE TQLIKLQISYKYLHNGGNDSNFTLKALLALALLDCPVNKDCSLELRKRKKIIRAIAQM PMPPRIADHLEPIPLDSVDEL LY89DRAFT_759597 MAQRYPGQGVPAKPANNQYDGQYNSHTTGNPPTPSSANATPNIG YPQAQTPSEISIQGRNQIQSRQQFHQIQSNPAVRVQNEQSSTYGYSHAQTGSMNYQTP SAPRDTTQTTYQQGFVQPQSNWPQLVQDQQNFGYGHGHTQPGMMYSQTTASREERHQT EHRQSFPQSQANWYPGVKNQNLAYGHGHVQPRYPYSQQAPVNAYQYPDPGSQTSQLYP HIAEQVRPHENTRSQLESLARQGFHPQQMVAPNTVHPSPDNMPSESGHTQGQRMASAP VQQQGSPTPLPTISSPSPVEAIADNKRKYDQTAFEEGQSAIAQAMETAASGWFRSFNH TQVNQPLPPKPAQKPRAPRNPPAARRQYQEPAPLPQQQTSAPASTSGFAPYNPFSPTP PYRSRYASQSLYAGLPVAPPRLPSPPPPPPPPPRSPQPPHPATHPSQIRESWHPEGCG CCDGGVPSELIRQGAAFRLPPTPQTILDPDNLPAEWMDNEDMMSAVLLPETCPPNHPY KVRDNEDGTPWTS LY89DRAFT_655491 MEAITQAIVNYSTLDVSYIKITNATKDTFLMSIQSRVNKTGPIG ATMSEMTVEMAGPAGTFGHLDLPVVKTSSSGADVTITDQLIKITDMAAFRAFVKSLMN DEKLTMKLKNGNGTIKSLGMTSKIFYQKDVHLKGMNGPKTVMVKTEVEGSGFKNEMLT INPSAFEIDMGVVKYEIRNAENVKIAEQKGKTHITRGESTSIMTGTMTGEKLEGDARL VGVGVEEESWHDETIRDLNTSVKLSEEFVGLCGS LY89DRAFT_571560 DTTKANIEPYAVLSHTWGHDEVTFQDMMLPNVLSKEGYSKITET CRLALAEDLNYVWIDTCCIDKTSSAELTETINSMFELYKKVAVCYVYLQDLDPQTYLK NGLKSCRWITRGWTLQELLASEKVHFYDRTWRCRGSKVDWAHDISIATGIHHDALLGR PLQAFSIAQRMSWASDRETTRAKDLAYYLLGIFEVHMPLIYGEGKHAFRRLQEEIVKR TNELTIFAWNQSKDCMNCTDPEPDHDGLFAPSPSNFYTSHDIIRSSHFESEFTLTNRG LKLKHHI LY89DRAFT_249366 MSTGTLAAAGPLTTTFTPSPACTNDFYTAPTYYSIGGPLASTCF PSGWASTSQYFSPGVCPEGYTQACASISASETFATCCPSGYVCYTPTIFNFVGQACAS VFTSQITTTVTDGSALTVLLATLHTKTEAVNGYGVSIRYNANDFATSSSSSSAPTTSS SSGSSTSNSASSATTSPPASPSTTASSGLSTGAKAGIGIGIAAVGLLALVALGFFIMK KRRRALPDYTVHEADGIGKQGWDGQPKVHKVSSAVHEVFTPPSELDGNSPRGAPEVYD GSNFR LY89DRAFT_626239 MAPGGPPGAGRGRGGKFKKFTRGGGKHFSKNLRPLDADGNEVAE RDPNADSSEEEEDSSEEESSSEDEDPNKPTMTREQRRAAAKAKKEAAIAKKKGVPQPG DLPSSSEEESEDEDMPANPNHSKAARNQAKAAPVTVDEAAEGVKDLSVNKGKKPVAEL SRREREALQAQQAKERYQKLHEAGKTDEAKADLARLKLIREKREAEAARKQAEKEERE EAEKSKKVEIDAREAKRREAALGTAGKASKGKGKK LY89DRAFT_689357 MAACNHEKTYSKTPPSLRFLSHNFPYIIPNQALNRFVSRCKLCD LIAAQDRATIAENPPPGINMVEGLERDIDLIEEMIAAGIATKQEEEDLGGLRKELENA IMFTNLRIDEAWLPYWEIWGPGDGPELLLDSLFDDGEDVIDWGA LY89DRAFT_655498 MASFLRGKQAGIQNDLSAGILSELFAPDDQARYGINSQIGSLAY DPVQSLLAIGTNETTFGSGQIYIFGQQRVQATLTLPRRASVKTLQFCADRLISLDSKN ELIIWDLALQKKVASYAPPGIVTALVTDPMLDWALIGLQNGEVIAFDLDREKLAPLRL PNFWRERNPRARLVSIVSMQLHPRDIGQLLIGYPEGAVIYSFKQNRAIKFFEYEVPIG APGGTADPIGAEKVRKPHLTQVFWHPSGTFVGTAHDDSSLVFWDPKDGRVVMARTITD SHVDKPGTQSSSFGNTPGTFALKEPFSKIAWCCKENPEDTGILLAGGVPTTLPQKGLT FLELGPTPVYATSTWQILTNHFEAKRQHLLPVPPGAEIADFCLIPRASPHFAGAQDPI AIIALLTNGELMTLSFPSGYPISPTNQLHPSISFVHPFVTTMAVAPVERVRWLGMTEN RQQGPQVVRGGAESTKTLKRYEARNIVQMAHGDGTVRIWDAGHDDELENSTVLQIDIA RSLDRYDDVNITSLSMGSSTGEVVVGTATGEVAVYRWGGNKLFGREAPQTTETVAGGL TDIASRAEPTLKEGLQPFVLYDMAKGPITAVKMSDVGFVGVGSEAGVFSIIDLRGPAV IFTASLSDFVKTDKRSSFMKKGNSSTSTKPDWPVVIEFGVMTLEGDSYSSIACFVGTN LGKVATFKILPQPNGGYSGQFAGVTSLADRVVSISPINAETGKLAPATGPTVGALRTG QQTNGTLVVVTQTEVRIFKPATAKGAHKTWDDVLCDAANVTDFEDRGRALVCLFGDGT TRAYSLPALKEIGIAKVSMLDKTRSTNSLVTSSGDIFGWTGPSEIAMLGVWGTGQPLP KSTDKLYNPEAIVPPRPTISNLQWISGTQYVSPTDLDLLIGGPDRPPSKRMLAAAAAE ERLARAGGSSRGAPGTVSTEGWGDYMTRQLNERTEKLNIMGDTTDRMLESSQAWADDA TRLAKKQGRGLMFSGITKGLFG LY89DRAFT_249435 MRGRTLARGAAICATWTQLTGAISLDITSETSIKSAAATVAYGM MKYYTGNNTGDNPGNLPSPYYWWEAGACFGTMVEYWYYTNDTSYNPTVTAALLSQVGT NDDFMPENQTKTEGNDDQGFWGMAAMSAAEMNYPNPPSDEPQWLALAQAVFNEMVTRW DDSTCGGGLRWQIFTWNNGYTYKNSIANGCFFNIAARLARYTGNATYADWAEKTWTWM EDVGLMSSDYAVYDGTSDTTNCSTIDHLQFTYNQGIYLFGAAVMYNYTNASSTWNTRL SGVMNGTDIFFKDDIMYEQACEDVNTVGTCDTDQQSFKAYLSRWMAATAKLVPWTTSY ITPKLQASAKAAAAQCDGGTDGTTCGEHWTANSTYDGIYGLGQEMSALSVIQAMLIDQ APELVTNTTGGTSVGNSDAGTGSTTSSSTGTVDTPVTGADKAGAGILTALVLCGVLGG TGFMIMGA LY89DRAFT_249432 MAEPSEPPRMPATPLETSISPDRQYQAIGGNASPTLTRTGTNRS RARRASTQSGLHRTDTHLSHKETHRDPNLDVNLPYRTFTEDADLAEYTVEKPEGEIDG GLSADGHSRYKLVTFVPNDPENPKNWSKAYKWYCTMVVAITCFVVAFCSSVVTADILG VEKEFHVSEEVGLLTITLFVVGFGVGPMVFAPLSEVLGRRLIYGSTLLLAVIFIIPCA VSKNIGTLLVCRAIDGIAFSAPMTLVGGTLADLWRTEERGVPMAAFSAAPFIGPAIGP LVGGFLSDAAGWRWLYWIQLILAGIVWFLITFTVPETYAPTILAARAKKLRKTTNDQM HVTEQDLDERPFTERLWIFLVRPVQLLFLEPIVFLVSVYMSVLYGLLYMFFVAYPIIF QEGKGYTAGITGLMFIPLAIGVLLSACCAPFVNKHYLYMCKKHNGSPPAEVRLIPMMI SCWLIPVGLFIFAWSSYPHLSYWGPMMGGFPVGFGFIFLYNSANNYLVDSYQHQAASA LAAKTFLRSFWGAGVVLFTTQMYARLGYQWASSLLAFIALGCCAIPYVFYFFGAQIRK RSKFAYSGDDEENLGKSGGGNEASDTELERQRSYASVP LY89DRAFT_249520 MFKIFLLYIVWFHEIVDADGTKRPLKRWMLNDRGEKAEFVPENS LQTLEKISSGDKLKAQCHCGGVKFYITRPNEASKKVQSPFPDLQVPYHSHSNANPENK TWWLRDNDTKYLAGTCTCTSCRQNLGMEIQTWAFVPKCNIFKEDGSPLDFHLGTMKTY PSSEGVFREFCGKCGATVFWHCEERPELIDVSVGLLDLEEGARVEGWLDWWTDRVSFE EMAVSRSLVASLEDGLKKWGAKRQGVEAQDIVS LY89DRAFT_674840 MAVPTQFACRTLKTISIVDAAPVYEPLPGFQRPEGTSRCCVYSP CGRYFAWASNEGVSVIDASVGHVITTLPTPNVYELGFSPRGSYIITWERPSKDEAGDA VKNLKVWRTIEDVPDGTEKEVVGRFVQKSQTGWNLQYTFDERYCARVVTNEVQFYESG DLGAVWNKLRAEGVADFSISPGNNHSVAVFIPERKGQPAAVKIFNVPQFNTPISQKTF YKGDKVQLKWNQLGTTLIVLAQTEVDKTGKSYYGETTLYVLSANGGFDSRITLDKEGP IHDVSWSPNSKEFGVVYGYMPAKTTIFNQRAVATHTFPLSPRNTILFSPTGRFVLVAG FGNLAGQMDIYDLEKDYKKICTIEVGNPSVCEWSPDSKYILTATTSPRLRVDNGVRLW HVGGGIMYNEDMVELYHVTWRPQSFDSFPAGDLLHPIPAPHASALAYLGTVKTPSKPA GAYRPPGARGLATPLHFKREDEGGAAHQVSNGTSLVGSNGFGRPRRQVPGAETVDNQV PGAVPANAVPGAAEGDENLSKAALKNKKKREAKKLKDAEAKAAGLVPSPEGPSAGTEN RSPDRRDRREHQRSRSKGQQDIRTPSQQRYRSNTQRGPNPHHNQPGPYNQQNQQNQHA QYNQHNGPNGNAPANQINNLTINPAASRGPDAPELSITSPGGGSPNAKKLRGLQKKIR AIEDLEMRLAGGEKLEDTQMKKIGTKQAVQAELSSLEAEN LY89DRAFT_710511 MSLQGQQKGLKDTIDTDPLSPLERALTPDLETDAEHLARPEITY TKTGASVATTGSRIPSFEVDFAPDDPEDPRNWPLWYRGMLIGAVSYATWTVVLYSTSY TSSMPGMMKQFNETSEPITTLGVTTYLLGLAVGSLILAPLSEIYGRRPIYIGSLALYC LLVLPCALATSLPEVLIVRFFGAFFGSAMISNAPGTVSDIVTEQYRALAFSVWSIAPM NGPVTGPLIGGFAAEYLGWRWTNWLVMILSGVGWIYLSCFKETYAPKILQQKAAKMRA ETGDDRWWCRYDQKLSRTQILKVNLSRPFILSFTEPILWFWNAYIAIIYGILYLCFVA YPLIYTGLRGWSLGDTGLAFVGIGVGTMIAIVTEPLARRIVNRHDKDPETGRVPPEAS ISIVCFASFLCPIGQLWFSWTSVPITIHWIWPILAGVPFGAGNCLVFIYASNYLAGSY GIYAASALAGNAVVRSFIGGTLPLAGPAMYSAMSPQWAGTLLGLIQVALIPIPFVFYK WGAKIRAKSPLIKRLREDQERRQKKQDDAARRRDRRMAIEKGEELAVNGGQNGDVEKE AVNITHEKV LY89DRAFT_249621 MLTHRRYYTPARLSSKPIKLRFEMANSRILSAFTVFIAVFLTYL TPTLALATDPKTGEIICIPTNNPNICQARVTIYYENGAWPYYSNADYPYNNHKYPDNY YDVWIDVFDPVCIHLGIAVGGQNSMYNPFWLISGSHADSVYQRSYLRRF LY89DRAFT_626259 MASNIFTPRVIKSPQNVQLSFELPHRIHTAKIYPLQSSNGSTVI LYGHENGVKIVWRGGRPFKSKQPTPAPSQKANGGGAIISLDSDDEGDSSRTFDDKPEF EDEEEELNPLRPYPSTLQVLDLCFNTDVLHLAVLPSSVLRADGPSWRGLESLKQRLVF TAACADNTVRLVTLPLTPPSPASKARSELRNDFTSASAGKGKWGETVTFLSGHQKPSD GISMTADPVGGPGTLVKSASPQSSTEPHLVVASHSREVTGLLRLYQIPIKSQQSHIEP FQSIYLSAPAKAISFNPALSGEYSSRLLVADSVGACRIYDYKLLIKTAEEASEIPLAE QGTWLLSLYTGFPKSDSQTSHTGAHAGFGRKSIVDAQWVSGGKAILVLMADGEWAIWD VEGSGPNASQGILGRQGVKGGSKSGYSLTGYLDGAMKSRSSGPPQMSTSKFAPMTPGT RKTAEPFSGRSSDVSICGQISVMDMPVSSPTSPPEESILFWFGETYAVIPSLAKYWSA HKNGGSGNLFTGPSGARMIKIENIDLQGERCSGVEQIPRNAPSSSLATDILVLAEHRF TILTTGKQSKPSTVPKPETRLVLAEKATNGGELDVIGIEQALTRMENSASRRKLF LY89DRAFT_787116 MTPPSLLPAQSFELTSELEMAVSDSILTPFVTPSATERQEMLEA GRITPQKRHLVHSSQDGSVQSSKKAPTRWEVCTPFFQIPVFEEGEELTSWEEDSQIEE AAEKQGKVEIAAPTPQTVTLDLCFHVQDPDDEYENLDSFQCRACGWSKASDIDLHNDS DSTSSLDSATEQLLLELEQPIRNRDDEATDSNPNKKGSNVHWRSDSQLTSTRLFESTS SEETRNSQLRNALQQIPDLGCLGQASLKGIVSMLPTSHSSILLDEAFAAARQKEPPCL PSFMGRIPTTYEDEEDDLDMDSVPLISSHRTTSPKPMSPLQQKTYQILAEVLDHHSSA VILCTHCQTLHSMTTHPRSCPSNTKLSIYPKLFFRPITFRRAQKAMKYYRAGDTSSCV RRAGLQFSRSDKVIEYEQELGEGENFQQPWWGAFGVATKFYVAEGCLKGRERLMYRTQ HFWFLKETKRNCWRAIKALEAEVRTRGGGNSLCKLCQHVDGAFWNFDDPGKYAGVRRC EECALEWEVNIGDVFAKELEEEGMLDSSSRVLKKKEGEERKRSEIKGILLVLTCWRDL GMCKSVLDPRWKAHFPECQTKILDKQAEMTWRNEENEESAQDWKLGGIKRAFESIDGY AGEDEEKEGDLKWSLEEFMGCRLKTLFKRKRCDGQVLKVRFCDLARIPPNTPSKLCLA VKAIGSFFGGS LY89DRAFT_655516 MEISKPAPRRSIYHNPLAKSYTAPLTKDNSTSIKAFYASLPNYA PTRLVPLSDLASELGIKGIYIKAETSRLGLPSFKLLGASWAVRQAIIHHANLPSSSSL DDLRGAVQKHDIKFCAATDGNHGRSVAFMGRLLGVNETKVFVPRGLDERIIASIADEG ANVVVADGNYDETVQTAHRFAEQNEDFMLIEAVAYTGYEDIPRWAVEGYTTMLHEIDA QLQLLGQEASMIIAPVGVGSLTQAIIQYYKSPNKTSPSLVIMTVEPDTAATLHKSLKA GESLSITTSPTIMAGLECGTLSSIAWPLLKQGVDISATISDWECHQAILDLAENDVEA GPCGAAALAGLRRLASEEVLNNDSVVVCICTEGTRPYEIPTRIEDPNLCL LY89DRAFT_739719 MGFLSNVNLRKVAIVLGLIVLIKVLLSYQTSFPFHEHPLPQFIP SYSAPKTSPKDYSIKPVAYIFPQYYVFEDNNRLHGENFTEWVNVNKTTHNAFDLETIR PHESIGYYNGLEFQTRQRQGKFLRDSGFYGAAFHHYWFAGRPVMDHVIQAMLEDGEPD IPFMLSWANEPWTARWDGGHGGKTLIAQDYGLQQAWRKHFDWLLPFFRHPKYIKSEGK VQFMVYNPSHMGHIAPQMFAAFRQWAVEEGLGGMDIIETRWGEGSTQGPDSWRGHPPD AINEFQPHAGGRDIAKFSSLERLSRVYHRGTLACWDTTPRHPIDGQAVCLPACHPKPW QYHLVEMFRKIKSDPNPIGAENFFFVNALNEWGEGNSIEPSVQFGDGYGVAMKNAIEI SDKEHIWPDSSMKTSVTHDEELATVMNQTVDVCVLVRTSPANAESEVFTLSSMLRSLQ AQSNQKWRAVVFQKDRSEFPSLGKIALQALDPRIKHVVIPNNVVLPKDRTEGFTATDW VLKNLTDINEGCASAKYFLVTDGHNTYESAAFDSLSTSTADLVGLNYESRNNMWNHPD LKNTTWADRCARLEDPALNFCTPSSPTPEAFDLTATFISLPKFLSAHLSLTPSPTNTT TPLSPSTDPSFPLINDLITKRQWSFARTSPTTNACQTRHNPTYSSCLKTGNFWFDSPL YHEVGCYTTSDFIEIVGKRSPYLTDADLGFFRERGLCMRYKEGMFGNMTREMERLEKG ELRKAGLR LY89DRAFT_787120 MADPAVPHFDPPGRKSTSSPSPGPNNGQTISLSHRSSFAENLRH SPRSQRHPSFTQAAVQELLNHPPAPKSSDPKFVGRDWRQIRVAELIDNTEVRWAELDV GVEQATKLLVDLGPPNVILLREKSSDTSACGTFDYSDLNAYLLVVVGLANPEEGQVDV FDALAKKAREGVSIPVRDIQTLAKKSPLVTLPESADLSKAIEHFGSGVHRILVCKDGT TEVIGILSQLKLVRFLWDNGTSFAAIDALYPMILKDLQIGTPQTIAINGDRSLSDALQ LMSDEGLTSVAVVDNASNVVGNISTADVKLLTNSSSIPLLKSTCIHFVSVILSERGIG DGKDSFPVFHVNPYSTLAHTVAKLVATRSHRMWVVESASPSPSAPATPLATPSITHAL HSSPSNSTPASPSLSGVFPAVSAASLPGARISGRLTGVISLTDILNLFARQSGLNPLS PNDQRSRRRRSSSSSVRPSFDSARNSSTEIRR LY89DRAFT_249746 MSVLPADVHNELTQLLDALQSADNSVRSQAEEHLANNWTTTKPE MLLMGLVEQIQGSNDPTTRSFAAVIFRRIASKSRKLPNDQTVELFLSLPQEQGYAIRS KLLEALGSESTNAVRNKIGDAVAEIAREYSDSKSQWPEILGVLFTLSISKEVGQREIA YRIFSTTPGIIEKQHEDTVLSAFTKGFKDEDVSVRLAAMEAFASFFRSINKKSQQKYY ALIPEVLNILPPLKEKQESEELTRALVSLIDLAEVAPKMFRPLFHNLVAFSVSVIQDK ELTDQARQNALELMATFADYAPAMVKKDATYTSDMITQCLSLMTDIGVDDDDASEWNA SDDMDPEESDLNHVAGEQCMDRLANKLGGSTILAPTFSWLPRMMNSEQWRDRHAALMA ISAISEGCRDLMVGELNQVLELVVPALRDPHPRVRWAGCNALGQMSTDFAGTMQEKYH SVVLPAIVPVLDSPEPRVQAHAAAALVNFCEEAEKNILEPYLDDLLTHLFQLLQSPKR YVQEQALSTIATIADSAEAAFSKYYDTLMPLLFSVLQQENTKELRLLRAKAMECATLI ALAVGKERLGNDAMNLVQLLATIQQSITDPDDPQAQYLMHCWGRMCRVLGADFLPFLP AVMPPLMELASAKADIQLLDDEEQVEQVQQEEGWELVPLKGKVIGIKTSTLDDKHMAI ELLVVYAQVLEGAFAPYVAEVMEKIALPGLAFFFHDPVRVVSAKCVPQLLNSYKKAFG NPSEQLAGLWNGTIEKLLEVLSAEPAIDTLAEMYQCFYESVEVMGKNCLSQQHMETFI DSATSALEDYKDRVAARAEEREEGGQEEGEEESEDMLFAIEDDQTLLSDMNKAYHCIF KNHGVSFLPSWERLHATYEQFLKSQDPTQRQWGLCIMDDVLEFCGEESWKYNSYIIEP LVAGCRDPAPANRQAAAYGIGVAAHKGGPQWSQFLGPATELLFQVTQFPNARGDDDVY ATENACAAIAKVLHYNPASVPNQQQVIVQWLDTLPVVNDEEAAPYAYAYLAQLIDQQN PAVVSQAGKVFIFIAQALEAETLQGQTATRIVAAGKALLQGAGLDPAALLQQLSPETQ QTVRAYFG LY89DRAFT_655525 MNRNNFFDAQYSQEDLQRRVAQRRANGTGPQLNDGPMDMTGMMG GGDSLDDIILQNNNEMRRQSLPQGYGSQDIDRRASMMEFGSGNGTLNGFQFGPSTATT DRSIRAMNMAGQPMEFSSPMQAQGSLAINTNSPFSQISTDMMGNMMGYAPMGIEGINE EDSSVMSANAMNMFSPTQFPQQYAPTSGMEGMGPDFIIQSNMAQNIPPRRNSMPSNDG LPNMTNASITNGPNVNSPTNMNMSQAMVSYASPVASTVAQAQPSQNSPFAATLAPEQS QFVSQSTEMGPVKPPEVKKPNYEGIYSQSGFDMLGALMKVASRKDPEVSLGKVDLSCA FVVCDLTAYDCPIIYVSENFENLTGYNKHEVKGQNCRFLQAPDGKVQAGATRPFTDNN SVYYLRNRIAEKKEAQMSLINYRKGGQPFMNLLTMIPITGEEGDELKYIVGFQVDLVM KPASIEANGAGGYYTIDYSQNQLPAYVWQPPEKLRIQSDGGQTISRDDVSTVLNAVNT KTESELTKRMWDKILLENTDDVIHVLSLKGLFLYLSPSSRHVLEYDASELVGTALSSV CHPSDIVPVTRELKDTSTGASVNVVFRIRRKRSGYTWFESHGSLCVEQGKGRKCIIMV GRERPVYALHRRDLEAAGGIGENELWSKLSTSGMFLFAATNFRTLLDRNPDDLVGTSF QALMRKESGVEFGRALEKGRTGQRVTHKHDIFNRKGLVLQAQTTLYPGDASEGQKPTF LVAQTRLLKSSSRNVAPALTNNTSSTSASPMARMDHMSEDSTPMTQSSNITNIQAGGS GLPIGSQDLALASEDNIFDELKTTRCTSWQFELRQMEKSNRLLAEELAVLLSSKKKRK RRKGKGNPTRDCANCHTRVTPEWRRGPSGQRDLCNSCGLRWAKQTGRVSPRPSTRGGN SSDNTKQSQSTSPAHSSPLQQEVSQSRSERPNLNAAPSGAGDVKGDGAAIPGSLGGRT TTESIPVHMPSLGYREGGQRGITNIDERDENDV LY89DRAFT_739723 MTVLYERYWGRCFNCGAINRKTNVQCVRCKGVPPSDGQSVYPER SQRSVRPPALLVPNLPPPMSNRQQPSYREPSYGPSYHRPSPYRSQRAGPFPNSMFPPS RPASASGLGPTYVNCPQCGIKNAAVNLECFNCHALRADTKKYVCHWCKTETLSRDGLQ ARCKNQSCRHPVARGE LY89DRAFT_626275 MSVVSLLGVNVLNNPAKFGDSYQFEITFECLEPLQKDLEWKLTY VGSATSDEHDQELDSLLVGPIPVGVNKFIFEADPPDTKRIPDAEILGVTVILLTCAYD NREFVRVGYYVNNEYDSDELNADPPSKPILEKVRRNVLAEKPRVTRFAIKWDSEASAP AEFPPEQPEADLVADGDQYGAEEAEEEEEEEVAEGAEGAVADPDAMVDDSEMAGVEGE KAVEAGEESDDGSEDLEAESSGSDEEELEEEEVEGEAEDMEMDGVEKPNGTNGAVDHH VDAVMAH LY89DRAFT_710519 MSEEAAEIALLHQMQQAGQDGGAWKNDDATGAAEGESPSNTENN KQEEVKREIVADDQVLRALSPSGAGAVSSGGDDYDPSSVTSLPAITIAGQEDSRSSSR ASARKPKTVGGFIADDSDEEYDASTPIQAGLQIPTGQTSNRAMSPSPLQTSVSQQDLQ SEPTNQGDSEAAQSSYALPVNSSTVGVPSVPTPTAQVPNSTVPPIQVSSVAKARLPHD KTGILEDRIKEDPRGDVDAWMSLIAEHRRRNKNDDARAVYERFFKVFPQAAEMWVQYI EMELELDNFGAAENIFGRSLLTVPNVQLWSTYLNYIRRRNDLTNDVTGTARSTISQAY DFVLANIGIDKDSGKIWHEYIQFIRSAPGQIGGGSWQDQQKMDQLRKAYQRAIMVPMS ALNALWKDYDQFEMQLNKTTGRKFLQEKSPGYMTARSANNLLENLTRGLVRTTLPRLP PMLGFEGDQDYLQQVELWKKWLAWEQDDPLVLKDDDVQSYKQRMLYVYKQAVMALRFW PETWVDAAEWCYSNGLDKDGDSFLVDGVAANPESCLVAFKRADRLESTLSVTEADKSL AERGDIVRAPFDQLLSTLYELIDKLKDRKVKDLAKLDESDAIDATISAIISRAEADDD EEDAAAKDAKAAQKATQTKAIQDGYAMQTELLSRTISFVWIALMRAIRRVQGKGNPKG DVGGSRQIFTDARKKGRVTSDVYVAAALIEHHVYKDPAGTKIFERGAKLFPEDPNFTL EYLKHLLSIGDTTNARVTFEQVVNRLTQKPELLPKAKPLYAYFHKYESQYGELSQISK LEQRMAEVFPEDPKLLRFASRYNSEGFDPTAVRLIISPSTQMRPKTLMQSIEGQPSMP HSPVYMPEASRSPRPVPMPLHATNSPKRPFPVEELENELNPPRKLARGASPLKGAAGR RLDQQKRLQGTPGHAPPFVVPRDITFLLNIIPPASTYNSTKFKPDAIVRLLRETIVPD FKTWKLARDQSEPPPQRYDGRRSQNQAPGPSPTQNYQPLQYGGQNGSWPAQGAAGFPA PYAASPVVTHERDPYPAASGLPPRPIFQPSVPEPSRSGYYPVDDASRGGTKPDIPPQL WTAASWYP LY89DRAFT_674854 MAARFRGAPGGDFGHGPGVQYPQIPEATYAAQGPQSGRRPGSEG YDGPSEPRQNPFGQGLGYDPARPIVKAKVITNTRVELPPDAYRLELHDGFCFCVEVFC FDVVGSKVFRSDVVVLKHDELACCLVVGLSCVDLVLNTVVVN LY89DRAFT_759605 MERESLRIFDRETNNWKDNYPTELPARPGWNDKGKAISIRVNQY KVVAWPDKDVQQYDINIGTGAEKRGKIMAVWKSRAVQSVITRNNTMWLWDGMKIAWTS ARMPEQRIMVNFDEEKGRAPRDPNNPDTCLCVIKPSKTIRMAVIEAYLAEKIPFDSSI LEAINFLDHLMRQGPSELYTQIKRSFFARGGNTVSLDNCVVAMKGVYSSIRLCNPKSA GGNPTAGLAVNVDVANGTFWAAQDVHQAARNFLKERNRASNWDVFRQNLRPIKDGKGG WTWSEDLKLLRKMAKLKFTVKHRGKQDDLKQYSIKRFTFLPRQDGGHSKNTYFKLKNR QTGSEEEISVFDYFRKTYNITINMWDIPLIETDKAGLFPMELCTLVPNQRYNFKLSPD QTAAMIKFAVTRPKERIASIQHGIGMLRWNEDKYLRHYGVKIDSNMTLTNARLLQPPE VQYQGSKANPGTSGRWDLRGKRFLLANEEPLNSWGICIVNDCLPEAAVRNFMNVFIQT YIGHGGKVQNKTPFIYRHAKTEDLSAMVPNFRTATGNQVKAMPQILFYVMPGRDSWMY ERLKRNNECRFAMVSQCVNIAHVQKAQPQYCSNVCMKVNAKLGGTSCKVAATGNPFKV PTMIIGADVSHASPGSVQASMAAITVSMDQICCRFAAAVQTNGHRVEMITKNNISTML MPLIKQWQNNAKIGGGRIPQHIYYFRDGVSEGQYAQVLNQEVKHMKECMIETFGAEAA NVKWTVAVCTKRHHIRFFPKDGDSQAADRNGNPLPGTLVERDVTHPFEYDFYLSAHSA IQGTARPVHYQVLLDEAKVNVNDFQKMIYTFSYQYMRSTTPVSIFPAIYYAHLASNRA RAHESKPASAGPGGGQKFDEARQDAAVAANRERRTQQGTSQTGSSAAVEVLPLVPLGN PESGNIAKIRTGMWYI LY89DRAFT_689376 MPKENKHSRGRREEKKLKRKRDNGEEEPVSKRQKSEDPADDPEV QILGTDDAIQPDGEEGGTAERPFYGMLEDEEQEYFRRADEMLDLNDFPSPEDRSLFLA NVYREAEGKELKIACSQSCSRLMERLILLSTVEQKKKLFEKFAGNFPHLIQHRFSSHC CETLFIQSAPVVTEELTADYKKEDTEEEETKEVATMESLFLQTLDELEGQMTFLLTDR FASHTLRVLLVILSGRPLEKSSTRTLLQSKKKEKITVTGYDSTPTELSLNKRAVPSSF QFAVDKIISDTIATMDQSFIRILATHPTGNPTLQLLLELELINPNSKKDVNADQKTII SALLPDDITTENSESATFVNGILYDAIGSRLLETICAFAPGKLFKQIYRTVFKDRIAA IARNEISSYVAMRVLNRISKEDLEEAVTAINPQILGLVQRNRTMIIKTLIERCQARGV ETVSLTASIAAAYDSDPSTLVLKMACIDDISSLNPAPVSITTDGSDPSPTPTTQPKST PSQLHGSLLAQSMLSIPGPPATLIQKSLLALPHETLLTLATYTTTSHILQTALLPTLS NTIPFRRKIINTLLFPSSPSTTNPILHLALSPTGSHVLDALLTSTCAPTATHTSAPLF ILAERIASSLLTHEHELRDSFTGRIVVRNWSLDLFKRRRGDWVKKVKAAGAEAVASLP SSAPTEELPEKKDKKKKKNTWGTDVVDPTKSAIQLAREKFAVEKAMKGANQGGRGNRG SGANAGVVVASK LY89DRAFT_723325 MTSNSTHLPIRTKSGEQNKPLNRAEEVDDLLNAVQSLIVPFIKA ADEDASTKPTGHGLAIPGGGPRTALVEHHKPEKLSSLMNFDLPGNGTGKEGLLATVEQ VLKYSVNTWDQGFLDKLYSSTNAVGVISELLLAVLNTNVHVFQVSPALSVIEKKTAKA FANLFGFDGPHAGGISTQGGSASNTTSIIIARNILYPESKTAGNGSHNFVLFTSAHGH YSLEKAAQMCGLGTDNVWSVPIDAQGRMIPSELLNLVQKAKDEGKTPFYVNATAGTTV LGSYDPFKEISEVCKEHNLWMHVDGSWGGSVIFSSKQGHKLAGVERADSLAVNPHKMM GVPITCSFLLGPDLTKFHKANTLPAGYLFHQENEGGEVWDLADLTLQCGRRGDSLKLA LAWIYHGSSGFEQQIDHAFSIASYFADQVSQRKDFFLVSENPPPCLQVCFYYAKEGKL GSKEENTKTTSEIVQKLIPMGFMVDYAPGEKGSFFRVVVNRDTRSGTVDGLIIATEEV GEEFVRMERGSENLWRMT LY89DRAFT_700656 MPDRITRITADLQDLGNFYNISISPLRRTRLHRYLSSELKSLQA TDFESYDQESKIDFLLLQNYLRRNLRQLELDEEKDGKMEVLLPFAGTIVGLCEDRQQM KEVNGEKAARELTSISTQILEVKKQVKSIKIKVEKSSAYRAANTIDQLRDHLKEWYGF FKGYDPMFTWWVSKPYEKIDEEMKSFAAFVREELVGIKPGDSDAIVGEPIGREGLLAD LEAEMIPYSPEEIIRIGEQEYIWCEAEMKKASHDLGYDDWREALQYVKNLYVPPGQQT LLARHLANEAVDYVKKHDLITVPKIAEETWRMFMMTPERQKVNPFFLGGRSIIVSYPT DTMDHEDKLMSMRGNNIHFSRSTVFHEMIPGHHLQFHYMARSRPYRKMFETPFWIEGW SLYWEMILWDKNFPATPENKIGMLFWRMHRCARIIFSVKFHLGLMTPQECIDMLVDMV GHERATAEGEVRRSFNGDYSPLYQAGYMLGALQLYSLRKDLVDGGKMGEKEYHDRVMR ANIMPIEMLRALIKNEKVREDFKTSWRFYGNLDDSKSM LY89DRAFT_655548 MPSRFRMPFHRQNTSTAQDEIEVSPSGSQNGGSQTLDEKHTELS TRDLSAAAIEDVPKFQKSHKWDPNLPQEQIDALNEASKTGDIEKINEVEHTFAEDSPY EEVRAAVRTTDDGSVANTVRAWILGMIFVTVGSGLNMFLSMRSPAINFPAIVVQLVVY PIGCFWARVVPMKVFNTFGLKWTFNTGPFTIKEHVVITLMSSVSIGYAYSTDALLALK AKPLYDIDLGWGFQLLFTLSSQLIGIALAGMFRRFLIWPSAMMWPGQFSSTSLFYALH DKKRSDASMTNGWVISRYRYFLYLTLGAFVWYWVPGVLWQGLSVFAFITWIKPNNVVL NQLFGGYTGLSLIPITFDWTYVSGYLNDPLLAPVHAMYNTLAGLVIFVIISSIGISYT NTFYSDYLPMSTSTTYDNTQSAYNVSRILTPEYTFDLAAYKSYSPMFLAPTFILNYGL SFAALTAAIVQTVLFNGSEIWYRFKAARNQEPDIHMKLMKRYPEAPDWWYVVLFVGSI ALGLATTLGFDSQLPWWGFFVSNIIGLIFIIPTCMILATTNIMLSLNVISPFLAGFMI PGKPIGVMIFKVFSTITLGQAQTYCGDLKLAHYMKVPPKIVFWCQVVASIWACFVQIA VMNWTLGTIDGVCTQEQSSHFTCPNGRTFFSSSIVWGVIGPERMFGPGSIYSSINWFW LLGALLPVAFYILMRYFPRSPLRLLNAPVMLGAMAWLPPATPLSFSTWVAFGLLFNAY IRTRFPGWWHNYNYVTAAALDSGLVLSTIIIFFAITLPSVTIPQWWGNVAVYETLDAS YTAVRRTVTGVGGDYFGPRVGGW LY89DRAFT_249992 MSELTLVDFEITSRDMGESLAPAPCRLPRTRLNVCRTLLSTGVI NITTLKFCIPVGPENGKLLCLFGQAIRACQRLKTLSVRALMPSRRSNAVMKCPWANAS DGIVPFPPVRYLRLYDFHLDPASEKDKNAWKQVVQWSEVVEFTTTCSALFYSYPMPKL RCLKLLSDRCELMTAPGVTEPESNPTRDALLALPPLLNLELTNANVLLNNDVWNHHKN TLTSLTAWSRTHQTIPLDSDFIAEMQQLRSITRLSIGVAHPRPRRFQMGGPQTNTGTD AVARFSAVEPFRTIHHSVQHLLWIEHLEIGMLPMQVDTAVRSCRELWNFLWGTLMVYG GAEHGPNWHPQLRILHINAKIADFGLVHLVVYARATLDGSCVVRCMQLEQLRRYEREY GEDPDVRGLHELVTKWEAFGPDN LY89DRAFT_249996 MANNPGNTGTNPPSNGNGAALDDSNGIQLENDILYLVFAHLPRS TQQKVALVCKRWNDICSPHINRVVDIALSAGSRQRNAGLLLRLGTDCALSSQVCVLRM EEWLPPSSVEVWKRGQSVGTT LY89DRAFT_250000 MPPKAKNKARAFKSTSVTTKSSSSPSLDPPAPFKRAPIAYEPFL SNLQKHHVYIAHIDSKPRDFKQKIFLVPLVLNVAVIALVLWRIKVIGPWYMKICFSLM GKFNDMTIDTARTPVDTMAWEIIKRTAVFMIDLLIYVFVWLPFPWDFFVGRKSGSPVA WRLAVGYQNKEIIVRRSRKWYSTVGHPVEKGEDQEKLFAVVKKATDPMWMSEKTGYAM LNTEWDLDWKLMVVATKLVDNKQLTMDDFRTMTFVHCEEFGWMVIESAQAGGSAKEEE GRRKIVAFKDELTAMGKENLFFRWIELVQYESSRPGGFGPEQQRKTMEKARDLFEAQG VDFDRFWAKIGGMQGMPGMDEM LY89DRAFT_655555 MRGRSLLKDQSCPSLCAFCALRLGQARRPSHVQRRYLQSSQSIA KPPAEAAALRQVDDAPSSQPSWGRLGPTRPPGLGPGPSATWGSSSGLGSVPPGPSRQR TGGNGIPPGRIPQRVGAVSPRIDGASNMDDLTPAERRARDMLQELEKARKLEAVRIEE EEQARRRPVLRFHGIGPEATRAGRPEPKSSTEAERRAEAEKAKARRLAFHNWNRQKQD EEDLKSRGGTGFRARHVMGDSDLTLPSAKDLAKASEKPENEWRQLRRTTKQSAVPEAA QALALPVQAKSASVRFEKAREQRQEQARSARYVPISMGLSIDLDRSKAGIPPGKRLGS RSLDFSKSIPDAESKPVQSQPTAIGSVSAAADQSLKDTTVTFTPMFAPKVEEPPKPAK TRVEEKKAFEKEEDEEAERSRPRRKKTRGKQEDDEPVYDDMPRGKDKDKANRRQQFAR YEQDELAEEEAYERAEAKRLRKQEKAAKKAAKILAAQPILLPEYISVSNLAMALKVRL EDFVYKMEELGFEETAHDYILNAETSGLIAMEYNFEPIIDRGESEDLKAREPAPDPSV LPQRPPVVTIMGHVDHGKTTLLDYLRKSSVAAGEHGGITQHIGAFSVPMPSGKVITFL DTPGHAAFLSMRQRGANVTDIVILVVAADDSVKPQTIEAINHAKAAKVPIIVAINKID KPDSDIDRVKQDLARHGVDVEDFGGDTQVVCVSGKTGQGMDELEEAAVTLSDVLDMRA ERDGPAEGWIIEASIKSMGKVATVLVRRGTMRPGDFIVAGRTWARIRCLRNEAGIEID EAGPGTPVEIDGWREQPLAGDEVLQAPDESKAKSVVDYRLEKEERDKLAEDMEAINEH RKVEQEKREREKAEASAAAEADENVLPETTKQNKSSGPREIYFIIKGDVSGSVEAVID SIAGLGNNEVQPHILRSGVGQLSEFDIEHAAAAKGILINFNTPVEPHIARLAEESKVA ILDYNIIYRLVDEVKAELSKHLPPLITQKVMGEAEIAHIFSINVKGRQQKAVAGCKVR NGTISKTAKIRVLRGGQKVYDGTLASLKNVKKDVPEMRKGSECGMGFDDWFDFQVGDQ VQSYEEIHEKRYL LY89DRAFT_723331 MTQSTSPHIVRLDAIHCPDSTFNSTFKHTYAAYQKTPPTDDIII QHLTSPKPANVAITTRIPISEKTLAACPDLKLVCAMAIGVDMIDLAACKKYGVRVCNV PAASNESVAEHAIALFFSLRRQVVRMHELTFPGVDWPLRMSLKDEFGECPGTCREEIV TILGGGELGGRVANICKALNMKVRFAERKGVAASEVREGRMAFDECIRSSTAFFLTLP LSPETLNMISSEELAAMRSDALIVNVARGGIINEEALVEALKKRQILGAATDVYFEEP AGLKNSTLVRAANEWTKDDSMKGRLVLSPHLAWWAKSSIEKLRTTVAANIEAWAGGEV KNEVL LY89DRAFT_739735 MKPGLFTFAALATTVAGSPIRHEGRQTNSTLADLLGDLLGTLSA NLTFDYVVLGGGTGGLTIAKRLAEDPTATVAVIEAGSLYQVTDPILEQTPAGDVTFVG TSETLPTVDWGFFTSKDPASDNQARSYARGKCLGGSSARNFMIYQRPTIGSLQNWADA VDDQSYTFDNFLPYYKKSPNFTPPGLLRAVNATPSYNADAFSATGGPLQVSYSNYAQS FSSYMQGGLNEIGISTIQDFNSGSLLGCQYCSSTIRPEGETRDSSQTSFLNEAISEGL SNLKVFALTMAKKVNFDANKKAISVDVEDLDLIPYTINVNKEVILSAGAFQSPQLLIV SGIGPAAQVQALGVPLVQDLPGVGQNMQDHIFFGPAYRVALTTFTKLANDPVYLLAEF AQYGATETGPFANPVSDFLGWEKVPASLRPTLGAAALADLDQYPADWPEIEYISGAGY VGDWSTLLFGQPKDGSQYATILATLVAPRSRGNITVVSADTNTLPIINTAYLQSPTDQ KVAIAAYKRVRQAFETEFMQRTVQGPEYYPGADVQTDDEILSTIKGSLQTVWHASSTC KMGVANDSMAVVDSHAKVFGVEGLRVVDASAFPFLPPGHPQSTVYALAEKIADDIKNG Q LY89DRAFT_689380 MTSRPTAVPDNDEDVEEPLPAHVHFVRDGLLNFNQFITLQAAMA YLKSEGPLIEKFDTIPISAYKPDGFTASRRARDEEFFTAVRELTEGARKFGKDNYYRF SSEYQNMFRAVFRHRMDDICGSCPDPTNTLGLGGWETLDDIESTLDASSIGDFDIESY FGFRIQAVEKLNPARFGDETSSKMFSSPVLDELAKKGLQSVPGSYHAKFPARNRRGSG LLRRKELCFPWCIMNHAAETGLECKDTWSEPSQTTLKAASTALAMFEKLARFGDNKMN DRHVPPVIAITYSGPEITVWIVYFEAVDAHYRDHKIQAIWRGNTMDTWDAIQFCRIVD NILYWAQHILRPQVSSHIEEWVRRYCPEEPNLHSRLENDTEMAQLINKIRDRLSNLGL SLSKNLPALVEQAVLFQEVMKASSPKAENIQKQEESVPKQESVPKQESVPKQESVPKQ ESVPKQEKHAERKVPDRPSHLTSPSTSAKIQTKTSLIQEFVEKRDQEETNTNKKDGKR DEDLGSGKPKLFPKLPVRSKETTSAHSDKDSQKFPSTEPDANPATFKFASKSKSVSEH AGAPKKAWSFPYQFKTSDFEFLEDCSRPYPEKGEACKIAATSSPPNPYTAKNFQLSFE VEYWWGDSSKDEPDSWEAIQKTARDVRGVSPGISIFGDLDFFKLSRVTRAKDLDASGR LTRHDSFKRTRSETKAAVDRANRLAPSRQKQIRLAWKEEYVNGRLFPAKR LY89DRAFT_689381 MNAFNLTNPPVSGGSQQIILNVGNDTHNIGKSLGNVSCPWVGPQ NVNDSNSFPIMTQWLEPANLTLDTKDYEDYTALPLPTPSCMPSVAFLPTTGQDYARDI ITSRYCPFMATGASQGGAITLDSTAPYAHVGYPIEDEQPTGYDVTLWASISLMEQTEC VAGFEVDQTTCSDLFGSIFNGCSDGGGTAGGSVVYGCGVYDLRTSAGSGEVPPKGVGA TTSGWYTS LY89DRAFT_723334 MKMLAPICVVLFCVLMKQAFGKPHASSSSNPTTVSRSFAKLNVR DGTPAYSRLMHYRCNTNMRLTEAVAWAEADVLSSKANPIRNQEFLRHNELNEIFVYIY CDEKDNPDDFYDDEPNCSKEEKTCCFPGDTAYSMSEPGIFWVGNIRQSQLQFFTRPTL SEQVRWLKGNPESATDINKLYRNSGATYFHEVLHYTPTIGDKIWD LY89DRAFT_739739 MDYLQMMDRFAAEYSIIPKSSQDKAQIALPKKTKSDEFSDGVPR KKQLPLEAPSSSSDIQILGQMLLALRKSAEQHLRIPIYLAIATHPQLPNLDHSTLQQA FNYAGLANIESYKYFSKKVSELSTAYAALGYGMCEHWTDIRVCELYDADFEEEYTLAI SFTDTSLTLTSVSIIGAHDLRGSNWATANLDYTSLPNLSMDQRKGNEEDIHFWPKVRE AIVTHIVKLRIPQRVILLGDQTENREFLQSVEDAFIAIGAWQLVYQVRNITYDPLFLA ARGAAEFAKCWQGSTWNCIEQKYCAKERDHDVEHWTREQYHQAVRHW LY89DRAFT_626304 MATVEHCLYCFETLAARLEKRTPMTLYQVQSSWAAYPKEDSPPT TKPKLPALQRLSESRSSSTGSSTPSSSTSTTSLTPASSLSSFSPIGLHPRRTSQRSST LTESPLFVTWNTLSSSSPHQKSLRGCIGTFTSTPLSAGLSSYALASAIQDDRFSPISL RELSSLEVSVTLLTDFETCPSPLDWEIGVHGIRISFYARNKRYGACYLPDVAVEQEWD KEETVVSAMRKAGWGGRREKWMEVGDLKVVRFQGVAESVGWGEFRRWREWVEKGKEEG K LY89DRAFT_250099 MASSSEPQRITITICGDGGCGKSSITLRLVRSQWTHEYDPTIED SYSITRRIDGQTYHLSLTDTAGQEEYRGMWASSNLRSDAFLLVYDITNKDSLGVLQEF NDLIDIEAETRLDAWERDLRSGRAKKGQQTPSGSGPVRPIKIVAGNKCDLQESRQVLA REGLDWARKRGCGFMETSARNTVNIEETFALIVRRVVEARRQAAGGSVGSGIGFDERR NTVMSLGASSSGMGTRRAVTAPLSPLPGGPEKEMSFGDAGGGGQEKENKFLGFFRRLA CWR LY89DRAFT_759615 MASKELAGALAHMLFCSITSQVTENKLQDQHNRKPEGARQPTLQ ALSFFVLATMSTSTFHAFSRLPPELRRMVWLFALPSPRVLSVHDLRVRRLPKWRIVYS AIDISCEISQGYPVILAVNSESRVEALRYYSMLHGLQEFCLMGDCLIKTTYYSRRFAS WPRIPQLVFLEHPWKAGHNMMIRQAAVEENYLPFLRPEACCNLEELHIVIHIRREATD TRSENWNTTMAERWNSNIAYPESKQAKVYHSGDTIEKSDGWGNADKQNKFLRKLEKAS KGNGPVVKLVRTDHLLRKNHDNPKNDRFCFPTSATPGWLDWGGCGDPWKATKMMKPLF GLREGKP LY89DRAFT_689384 MASPEGPVTQSVTTLLKPGLRLGDDNESPENQEFIRLNKLLKAQ PGCLSQYWGHQVENPNIFMWLIDWESKPIYNTFRESPAHDDIAGGVGKLMQFDLDSAP VFVTFTKWDKDAKAALKAPVTEFAYFKLPDGAGEKEENECRELLSTIPDYVTTVGKAL GSATGWVFLVEPESAKAPWLHGVYGWQDIEAHMRWREMPEIQAPVKVFMEAGKKGLAL VPAVEVPGINKETGWFHVRFHHDD LY89DRAFT_759616 MFEVIEQARHGRELDEHNALLTAAAEAYHADNLSQAEFSEIDKG CVALEKHYGKHKLQAKARRLARALAGDCVEHNADARAINELARSPSLPPQMVDKSNIG FLQEYYCGLRMIGIFERLEQHSRPCASFLPNGSSKKLAACASQPLSLHLNNLYSRHCY FKETLITMSTSFHEALHELDTKREKELQEFKNGLEARNAFPHHDQEIAEEQRSFDEVW TTYLAGNMTDTAIGEEITKSEEKMKSLLEKKREIEDETYAEILAEIEAIGARYEQEYR NLCLRFGVLAKLTLKAGGGFVGFLNGKRMSSLISIDQRFGNFYGFGAGIWVHGHDGLL DGFNGKKSSFGSSRAYFVI LY89DRAFT_739745 MISTTSLPKQIFSIRVNPRIPQRDHLLISRSPILPVKAASLLAS NTAAMPSYKIPNDEVWFTDDVEPIPESPPKIPQRSQGLTFGEMLERAIDLNISTVVSF EELSLRLYRHDLNAMVDDLTDKTYATELGYGKFSFMSQEDTRDIVLQRLDLKNQAGEQ MIAKIDELSIALKKEINVEIQEAHDRIISNAAELDIRVTSESNVTPETATVPKSKVVL MNTAATKSKRVSMSNATTKSNGVPKHTAAPKNKHVSLNNGVPESMQFTFQLPIRPRRQ VQAREVEEVKPSSIAKQ LY89DRAFT_739746 MQFSVIALLSLVAVAFASPIALPDRLDDIVARQTTATSSGVDAE APAMSDADGNVVSFNTASVYLDATAKGN LY89DRAFT_595892 MQLSIFTRLATLHFVFAHTVSAALFINDANQRNTIFPVLDGRNN VPFAERSLSTYSTADVAKHNTKTDPWIIYNNNVYDVTKYINIHPDGPDDITGVAGTDA TTAFNEAGHSSDANTTMATYLIGTL LY89DRAFT_689387 MQNYTSTTGSNFSSAPINYNPAPPSSSFYNPRAPPPPEEDEEEL YYSDEEPAPYEQQLSTSSQSGAGRDSSPGRSYETDATVTQASVRARARSRRPPPPLQV SSEVARIPQEFTRPNITGTAGKYESLDPSFKVHRSKYFKPGQIFKVVWAEPKGEPRSD TASISEQTDVTVEGWTADGEYGQKVYSSVRRFVVVATGNGFSNCVPILTYGRQGLGKR GITPEYHAIIYSTPEPPVLNFVPHLKPIQFEGRNARHKLEPMSLVNYAKIYPVEHNVK VQFIGWVAKDSQRHFVRDSNGVWNSLRQM LY89DRAFT_250173 MRQVKDFIEQKLEKIKHKMTKERPRGSQKQKRASTSSIGSSQGF PESPALSSQNPRTRQNSNQTTQSNTDALSRMNTKSTTCGGDYVVVSSNLGRYQVSEMD HQPPTRKDIQPEEAVSSQQRTQPISPGSGGNKPTQDDLTPGVEGILPQTLLPEPSSFA AETDPEEVLVPRPNEEPQEVHQPELLSVRSQSPTEEDKSSNTISSPHADLHLDSISLN DNPELLHRILQKLVRKKDDWDKLRSLRKNELGVRSSLQAQRTVLQEKEAAKQVADNDF MKLARENPPLESQRDLLESHARLQQTRNDYGPALVECVQREEALDVVEWEMGRLEDQL YVILFGEIQEDTNLASNFFPTETIVGSASTPSPWLGLVAGVQDRFQPLHTQYLSRQGD MDLAKERLGNLEQEAEDLYARQEYLQRLGHDLSPELSEALREIPSREAQIRSEMADIE ADVDRLRQECLDEGIDLDGESDDGSGDSLSFFEDDVQQDNH LY89DRAFT_689389 MSIDTPIHVSSCYATSSRTLLPAYSTSYDTPRAQIFECGCPDAD SKEDDSGTPQRPFSARPQPGDRRDLESAMLRPMPKLMTFWATPPGYGSIYV LY89DRAFT_739750 MEHKSSGDLVQCSIELAEPVLFQKGCTLGALSSMNGTGEEQQAI LRGKLVLRVIEAVKVKAIKLDFTGRSRNERHRGACGQFEEKDFIKHSWTFTRRSILPH SFGQETHTDLRTLPGCETSSPCGSYTPLQSSSIQLNSSSSDTPLDLDRYKARQKSLPF QSNRFQRHSTTKSSSHQFFEPGIYEYLIEFPIPRTCPETLKIGERYVNYQLEAFVKLH GVFRANIHKMKEIILVRVPEMDLLDRAGPILINNKWKDRLHYNLAIPSKSFPIGSKIP ITLRLSSSQVRCHSVAVYLTENIQFFGYEDDSYRRAPHRKIKLLEESVESRYLGDFGD SEMDVPDEKSKLSTQTMRSEEKLDSEKNSVALTPMESNESPDSRSGPTKIELEAQLPS CHSMRESGPTKRLIVDTNWECITVTHWIKVILHVRRIISLFDIQT LY89DRAFT_710532 MSEREQSTGTTMGSPNAAQPTQPALKRRRVAKACESCRSQKSKC DGEHPICGRCKGYGHVCSWPKDKKDKKHPNQGSDASSPGEPGPRDSQQPLLQWVIQSY DNLIASVQSDLPESTRNSTTSVLSQIRGRLPAGIVTSAAPGATSLQRNLFDQSGNNSR YLGEASDVRFFHTIKKILRDDVHSGSSVEGETQSYDQEILRLETHGGRHNLPTKEVAD AYIEIYFFTIHIAYPFLNKPSFMVRYARFWTGDVEADEGPSWLPLLYTIFAIGAYYTS FPRGENANVQAHLDYFGQAMSLSNSMMTDCTLENVQMLIAQCFFLLAMGQTDRCWNTL GLAIRVAQSIGLHVQDSREKPLTGLTILEQETIRRTWYSLYVLDRLLALQLGRPIAIH EDEYYVNLPSESEENACLFDGESNQFFYDQKSCPIDYFVSVIEFSRILGQVISDLYRP SQVAIEPDKLLSSTADLDEKLIEWKLSLPRHLRFDLGHTFEKSMILKRQRNMLAIKFH HLRTLMHRPYLCLAWVQQPNRPLMALLKRARYRVDSLERICVHEAQQTAHLLHNVADE KSLVHDFPWWQMISCLLCASSVLLVARACIEPDRADLVVQSQTLDEDAETCLKVFDAL SVNSDAARRARDMMKDLKRIRILPSRLLDSRSDSSSIIDGTNDNVHTDGLAGGPSFVQ TFNYGVSHTGIDWQTWPGELSDPMAWSAQFLNPSENVFFNSIPVSDAELPQYTQYEGQ I LY89DRAFT_655572 MAAQDETQASAAGFQDNHHVDGGEDLKAQLHRHTGTVSQVPFPP FGKLANPGPLGLIGFALTTFVLGLYQCGAGLPNSNPEGAVGPDQAVFGLAILMGGFAQ FVAGIMEFRVGNTFGTTVHCCYGAFWLSFAMFLIPSLGIKDAYAGDARAFSFALGIYL ILWCFLTVMFLVAALRTNWTIIAVFFFLVLAFLFLSIAQFIATEHPQASINVNKAGGA FTIICAAIAFYAGGSGLMTEETTFIRFPLGVIPRGEA LY89DRAFT_787148 MREIVHWLQWRQDTDTPKITGRSFPPAICIQIVLPEDTLPAKSC GEPAVRHSGDEIRGHLEVTTCGTFNFEVTLAFEGVVRTWLGLQNDGDPSHLPPSAELQ FLQETQKLFSDSSIRIIEGPFCKHRLPFRFIVPHQLISAHSDVHSDFLKLSPSTKQGH EFWAPTTKKMFRQPMIVYMVRIKRITTDKVVENFMRSTYQREIAVMPHTPLAPPLSIE AFPREYKPCVTRLVRQHRWTRPFGSIRLSAAEPPPLNVLASRYIPSTTATLSLAFIAN RLHDSDIRPCEEWNCEVRYYLRIRTFYSTRKMQQMPTFSATKRDPYLEVREIRTTLEI REYSGLCWSKDDRYQWKATLKVPIRTIKKLLPTFLNQLSARQYAIVVQLSIKGLVHGV LELVLPLQVVYYPGGEELGDGAEDGDDLSPPRQEFMASNVDLLPPPYEL LY89DRAFT_787149 MGTSLALDELKRYAIDLNEIKRYEVTEVYAPPDAKVDIVFVHGL NGDPRHTWTSKEKGTFWPSQLLPVSLKSAQARILVYGYNADVYTFGSSKGGPSSDMIH QHAQTMLKNLASERTNEEVEAHPIIFVAHSLGGILVKRALELSHDLQGKSDDALRSIY VSTYGVIFLGTPHNGADPAKWGIILQGMVDALIPRKIMFSHSQLVKTLQSNNETLQNI NLKFLDIYPNHLKVCMVHEAHPTDLKGTRMMIVDQLSAGPLLPDVQYFGIEATHSGMC KFESKNSPGYTNVSVTLKQWVQEAPPSIQQNWEEERILRQRQREGEAAKLLGISVLPA GTPRPTSPARNTSAPMNSPSTITITPTIIDLPPTTLSEQDAPYFIKPSGFRPNSLFVG RSSELLAMHRMLFDPKRRAEGTSAVLIQSLPGGGKTHLAREYVYRHKDDFPGGIFWLR AKSETELAAGFWDIACKAALKNMEGHDIDTSEAEEVHHEKFIQAVRKWFEKRHEWLLV LDGMHFNHGVLRKFIPDSRNTSLIYTSTEKAVIGDHHFMNPQIIKLPLLSAREAQRLL LLELGRQEPFGRDDLKYSMELVQSMGFLPVVIHAVAQRLKATDEPLSKFAKSYSSEPR LRGLGTYTAVVDQLKDLGAVEALNLIHLICFFSQHIPVEMISLGLRASDVEVKAMEQV TGRSLNNTFKILNTFALMDRNEHEAPQMHSSQSSKDSRDMLADNIDVIRLHSVVQAFF VDTLHAEGTLPVWLDRAVHVFCCSYDIANERIARKHNTGRVEDYRLYEIHGKKLQEHL TKYNSRHVIRGPIEDANIRPGITRRTSKHLTSSQKRLMVDAQDLLSKRLTSIRTEIER RTLDASDVIAGGKPETFQCSIFDRTSSSSDTGPETPGSHNKFHSSVSTWGLEPDKGQL ESPESLTHDYLRRLDSAYNNRHQFPISMPEDPGYDTDNEDSVAMTIQPSQGTMRAESA GGWEEVRPRRWRAGAEELPLHRTIKNLERTRYRDSAGAFRAMISSDPRAMKDTYLDVT SVIDTAQGYLQNSSSREQSRGRMSSQSSAEAALNNISQSSPPPARGGGKIQDRRSSSS RARPRGGMVAGTPSYAHAVTNATPDTVGSYRFPTTEQLPESSNSSTSDQHSTAIESLQ KFPVAARMPPYPPSPEYPATTTTTYSQENLTLGPNPYPTTLYPPLQGLPPIELHPSTI QRTSQTFSGSLPPPLRPSSSTTSSLLPPDILSLSSPNIKLHNSSAYYPGRPEFSHQEE GGYTSQPMSRDVSGQSKKGKRRASVAETEPMPRLPRFSPRIPPTSYQVYERMRERDLL GEEEEDLEFEDARQREGMMVKQSPRLELARLALRERIDGSVDPFQPPHLQQKPPFDPN APSFSPTAPGPPPNSMLSSLSSLPGPGSGPLPYPSPPRMNDSFYSRPQPHNTPASFPA AFPSPQSVYADTTASASPRMPSTQAQAADMERGGSGGSGGIVTGGRLVEFGEYPDPEV VDGNGRGRADVGNVGLGLGLDFGPGGGW LY89DRAFT_250254 MQVSQSVFPQSLPTPSHLSSYQRQQSRAEQSRGESHPLIVILHD RIVPKSPMPQGCDQGTTPTGNMPCRPSPFRLLVSAPSRRSPVGAAATASSRILRSETL NLTLRKSTPRHITPNTQPMAADSRPSYPITYILLYSLCTPSGRIHSVPFPNVSHVD LY89DRAFT_250300 MWYLSHPLHLALVSRCQYFQLPKTRCHREQKKTWPRVLVSTAVF FSSYYDYFAHMVRQVFGAAIFRRLQATYLQGCYRTAAFRFR LY89DRAFT_544944 KTRERSPYGSSRDKEHRSSREGSSTQVSRKAKGPLPSQAASFQA NEDPSKALVAAGDVPEKQKPSLASTGLLAAASNSVTQADGSSIALKYHEPPEARKPPS KDEWKLFVFKGADILETIELSLRSCWLVGREVAVVDMAAEHPSVSKQHAVIQFRYVEK TNEYGDKLGRVRPYLIDLESANGTSLNKEEISPSRYLELRDKDMIQFGHSTREYVLML SPK LY89DRAFT_723346 MESPTRLRPRGSMPHVASQWNSGQHQRGSYDARLSTGKARKSTE YLRPIAIPPSRPGRQPRQSPGMSFLATPETERWDFGSIRHIRQHSSETKTPVSAVDSV FELPSATSYNSPNSSTHSSFIAELEDTSPMALRTQKPSVQRSSTASPAVSHSSMEFKC AEMTSRAVIKVVDETIAAIEETNRKLLSRAVAAEEAANQLREQNLELESKIKHYSNNH RPKTAPSQPPNGTRPHHRPSPSSYSQPRTSQMSINHDPTPLSTFNAQIDKLLLPQPPK RDSRPREPPPYPPVHSHSHSSSTPISAPTYIDLAPTTGTPTGLSAPPKHHQRPPPLPL QPRTPSHSNSNCPASFRSYSQTNLTISGPIPGSVSRHEVTYGGTPLSSSQRAKNISLE EARRRAKPLPPLGPMSPSVLKGVVEIGGGKERDEWGRVVEKEEVKKRGFGGFFKWRRE KENIF LY89DRAFT_710536 MLSQLFFSFLLLQAIQAKTKDDIAPIVLSRTGGFEIGGKVLVNP ANPNQTLSCDHDISSPSPPPRRTSLLMWHSSSTQVFQNRWDGGPGYKDLFLSRNYPVY LWDGPRVGRANWARVPLSYTPAYRDQGNFVAWNFGPRLRARGYDEFDTDENVWLHGDV MAVAADSGKLGTDLEYLTNSAGGLRAQLATTRANGTNIKGIVTYESIGYVFPDNIGLK PNTVPGFGPFVVPVEEFKKLANVTAIQFVRGDHRDETFEYVKQSRQVAALINQYGGNA EVVMLGKDKGLKGSTHIAFAVAGVLDEFLERNGLDGYTDEDEE LY89DRAFT_562647 VYKECRVTESLLRSNFGQGTGASIIFLLPTIFIHRTPIIPALQL ILKIIILGSIFQYTFDIVNQTTGVLEDTINKPYRPVPSGLITLRGAKKRWLLTWILSP LLTAAISGRYAALWQLVWQLDVGFCYAWPRPNGPITRNSFIVLGTLALVSHANAIAAD QYPDRNMDFMLRSALSIWAGMVAHLQEFHDVVGDKASGKRTLAVILAPSRIWLVRKVT CLIFV LY89DRAFT_626327 MSKIIAIVGITGTQGISIAQTFLELPEWRVRGITRNPSSETASS LAAQGVEIFKADIDDPSSLLTAFTSATAIFSNTDFFLHLRAALEAGIPNPSQHAYELE VAQGVNIAEAAASTAVLKTLESFILSSLSDARKWSGGKYTTVYHFDSKAEMIRVTNER FPELAAKMSTVQIGHYVSNWKAFPPMAPQKQADGSFVTFRPTAASFRMPFVVTEKDTG AFVKALIDLPAGKDLLGVSETMTWPEWMETWGRIHGVKTGFKEVPSDEFFKDLPEPMG KELADAFAYMEEFGYDGGDPEVLTPDMLDTKIPLTSMEEYIKSQDWSAVLNSEVS LY89DRAFT_739760 MCGLVIGQRSSYPRWEGDRPANCTDHTATQPSPGDSELVIQPQD RRNNTLEVRLRLGEEELYRKEDYTLDQYSNPYHLYAIQILDLRKSGQLPRLPYITLEE IKDKSKSDSMIRVIAILQILWTTIQIIARAIRNLAISQLEIAVLAFAVCAIFTYVLNW SKPKAVQVPFTILQYNDSPPAQMLFILTKDVFSLSNWFLGFMDPAKGDGLKLGAPPFN SNNLDKGDDTSGLATVIGSVVFGGLHLAAWNFAFPTEIERTL LY89DRAFT_723348 MIPERDVPKGPALRKGGPRVRTYKPHVTSGCRTCKIRRVKCDEG KPSCKRCLGTGRICDGYLYGSNAKPPFPKTDELIALGSSRRPLSQTNTSQSAYSSNII LPPSPFSNEQEHRGFAFFRRYTCSRLAPNFRSRFWEQIVLQACHHEPAIRHAVVALGS LHEQTMCRGLSHEVQGTTSSNHATDTFALQQYTKALRILAIAPEKTFGDVTLMACILF VYFESLRGDHAATVSHLNSGIRIIAELHKIFTSIADGRHPLSNTLYISLRELALLFIR LDALDIELRALDTFQQEETPTRGLLHCSTANSGEVPHTFHTLEEARTALEKIRIDSPQ PTKAFTPELYAQSTHSRTITKIRQYTKALEHFIGFNGNRLDKREQRVIHELRLYIIIA SMAVTADLAEALKDECVWYQWEAKCEEIMEHAETILRLPELKKQPLSGLDDGIIWKFG FVAVKCWDTRMGHRAIGLLKKIERKEGVWNSFIAADVVEILLKREEDGGGL LY89DRAFT_674889 MSVFNATVFALQYGLPLLSYARVALQVVNENATNTFLHNTQLST AASTTVVLPNVDTLYSSAAYDLSENNVQITVPSIEPDRYWSVAFYDPFGNNYATPGSV NNNTGGTYLLSYVPNAAWGFHPYTPESGSNPYKGLINSPTIDGTILARILVKNNSSDL AYVQSLTNATTMKPVPRLTGTSSNCTNTNNTLLANTPIITNSTMFTNDTLIANITTCD NNTNAFSTPSLTKANLAPYTSTNNTALATLQLLANFFNSNPPFDISAASVESLLSNLM TAGVYDHAYREPPGLNLTEAYETAISNISASYSDYFEPLNNNWLHNVQAGSFGDQYLD RALTGMTAYLEQTPDQALYPMLQNRALLLNNGEAYTFTFSGKPPVASDGFWSLTLYNS QGYLVENSLNKYSVGDRSNITYPDGTQAYGSSATRKDGKFQVLIQAENMPPPRNWTNN WLPSPANGTFSVALRFFAPKNASTDGSYQYPVISKGRAIVS LY89DRAFT_595918 MYSKIALVAFLARTARAQQIGTLTAETHPSLTWETCTAVGSCTT TTGSVTLDSNWRWTHSTSSSTNCYTGNTWDATLCPDDVTCAENCALDGADYSGTYGVT TSGNSLKIDFVTTSSQKNVGARLYLMADNTHYETFNLLNKEFTFDVDVSHLPCGLNGA LYMSAMPADGGVSTQTNNKAGAQYGTGYCDSQCPRDLKFIGGEGNVEGWVPSTNNANT GVGNHGACCAEMDIWEANSISAAYTPHSCDTATLTVCDGDACGGTYSTNRYAGTCDPD GCDFNSYRMGNTSFYGPGMDVNTNSVFTVITQFLTTSGTSSGTMNEIKRFYVQNGKVI PNSYSTISGITGNSITETYCDATKTVFDNTNSWDTHGGFTSMTSAMNDGMVLVMSLWD DYYANMLWLDSDYPTTDSASTPGVARGTCATSSGVPATVESSDASAYVIYSNIKVGAI NSTFSA LY89DRAFT_596119 MPLSVAQLWSAPEINPLNGKARSIPILNPINKYGRTFFFSWIGF MVAFLSWYAFPPLLTLTIKKDLKMSQNQVANSNIMSLTGTLVVRLIAGPLCDKFGPRY VFVGCLLAGAIPTALAGTVSTPTGLIVLRFFIGVLGASFVPCQVWLTGFFDKNVVGTA NAFGGGWGNAGGGITYFVMPAIFDSLVKTQHMTAHKAWRVAFIVPFIIITAVACGILF TTEDCPSGKWADRHQPLDNIAATSVESTEKEAAGEKSEKDAEAADKGPSAIDRAEGEV IVAPTFQEALGVLFSLQTLALAAPYACSFGSELAINSIIGSYYLKNFPKLGQTGSGRW AAMFGLMNIVFRPLGGIIGDTLYRLTGSVWAKKLNITTVGIIMGCFELAIGLTDPHKE AHMFGLVAGLAIFMDACNGANFSVVPHVHPFANGILSGIVGASGNFGGIIFAIIFRYN GVHYAKTIWIIGTISICVNLAISWIRPIPKKQIGGQ LY89DRAFT_595478 MTLIGSFFVMFCSVGFINAFGIFEEYYGTVYLPSKSASDISWLG SFNLFIMFGLTLPVGFLNDKFGPEVLMKVGSVITLLALFLASISKSYATLFLTQGFLF GLGCAITILPAFATVPRWFVKGRGLAMGIVVSGSSLGGVIWPIVLRRLLYNPSVGFGW AVRISAFIMLPLLLIACVTIKLPKTNKAQGHGKPDLSIVKNPVLIILACSLFFVYLGL FSPFFYITGWTISLGLNVNMGFYMVSIINAASLFGRIIPGVLADKMGPYNVMILSAGL SGIICVTWTSAKSIGTIVVLSLAYGFASGAVIGLQGACAAAIAKPSQYGVAMGAMMAV VSIAGLIGSPINGQILAAHGYLGVALFSGLALILGMVVLIPARLKLNRNPIAKA LY89DRAFT_739766 MKRSSEAFEPESGREKVPRRQEPVSCVFCRKKKLKCDRGGPCSN CRARKLECSSASSGAGESSQGQKSFESPQPAASGQNIDELNNRIKKLESLLIAKTTES PPQTLSVNKRTASAASKDEPRGDLELSKTLSFIETDAYEHAPTTSEEQLSSDAKVAES FGSFIFALTRPRSPPAFTQHLPSMLPTRIQGQILLDYYIDHVNWIYQIIHVPTVQKIF DIVYTHAEQNTVPQYGYVALIATIFALSAYFSSPQSNLYFHHSEAKRFSYRWVSLAQD ALAASNCLSVPTIEALQSLILISQHLMPNIGAIATLRTLAATSMYTARAMGLHKIDSP ANKARRQNTEVDWVDIEVKRRIWWHLASTDWLLSFMSGAQCGTYMIHPKQMNVDHPSN VDDTEINARGDYARPMEFATDMTYFIFRVKFATVFGEMVDAAWEAGSDMDELPFELVL EFDKKLNTLLADFDRKFEVVKHNYPPGTNDSSAGQKLARLSKQRTMARNMAHFGIHTR ISRLHRPYLVRGAQDPRYAYSRMVCLRSARTVIELGKKMTTQVQDLASIKIWSVNHHV FVSTVILVMDYCFNRDEPRAKERKEEILECFRILESSREDSTIATRGLQKLRIMLREK ASGDASSGDESTGSKASFSKQQRDSPTSFAPPNQFQQAPSTMYQSTPVSGSEMNQLPS QWQWDLGSLDFDVDYSQFEALFQNIDNSREMF LY89DRAFT_250584 MSRTQKPQDLKVTLAEQIDSSFAYETIRVLQGPHKVLDISLRRT IRVPDNDQAYLLPPDCGAFPIYSVNRFKHQLPNDMAEKGGLFIPMYEREAMWINFESI QPFAVKISVGGINAVSGDSATDALSASRLRERQQKGTLQDYVVTGRNMQQWLDGIAAE EGKVKQFIATPVGSGYSVEAQLTGADNVAGLQFEVMALKKMDAITIYVKTLTGRTISL TVDLTMTVDDVKCLIQDKEGIPPDQQRLIFEGSQLQDECVLASLGIESDCVLHLVLRL RGGFIYEQLSLVEKAKYDRDQHEKRSAAAEEERRVSEMTIAAGGTIHQAIVKDKISDS HWDKHSTISFNLQILNAANFHSLLGISAPNTPISAATYAEYGYPFFKLYEDKSGIKGN FEGVKSVGQLRKDAGTHNPDEDFYYGFPIVSLNAPTSKKPFVILSDLEARLRDIMNDA VIWFSH LY89DRAFT_689401 MITQLFQNIITFLTSLYNLLFPQTPTFYHETAPCTALLQETTLT KRALPNRRLITAFGIENAFTTISPQIHQNFLNKVSALLEKSNNDISRRFLAADALRIG QEYIERSPSPIVSLSKLVQVVVFRTVLTIFFPHVAKGFTEDGLLKDIDVKIISAQINK LWYDSKDPWKVFAAQYGPRRWSSIMREREILLERLELQFPWYRTYLPQRNPLNILVPA HQGLWGVVLRCLIEVRFRSQGERRREWMELFRWFLGEPTEAWHRGNEKGLEVQMIVAE TLRLYPPTKRMYIQQEDGRLDAVDIEKMHRVGERWGDDPLVFRPERWVEIGLDVVGTD CYMPFGRKVGVEGDGKADTVSQCPSRLRGGPKLIAVIVGALLELLDEEWELEDGWDMK DDIFDGEPLRSGKDAYESLGLWRRHIQPFEILD LY89DRAFT_700683 MAADDPSTLTEADNTSPTSGFPSKSSSTIPETSQQEKVNTDIEG SANVDIDTQVATPEHDYLTGIKLYGVAAALFTGSFLSILEISIVSTALINITDDLKSF DESSWIITAYLLTYTFSDTLGRRTCLGTALLIFIIGSGACGAAQTIDQLQKGNRIIFR ALQGIGASGIVALTFVIIAELVPVDKYALYSGITAIIYGVAYLMGPLRWLFIINVPIG IMPLALLYIFMPANFPNWRSTNTKTSPTIQTILNIDYVGATSLFGFCVLLVAAFQEAN TRYPWDSAVVIVLFIFSGIFLISFIGWQKYLSIITTSIKAIFPWRIMEHRLFMCAPFT VAVIQIPQRDQAVGATSPIRAGVNLLPFTLMLSVGSIFASISTSRSRAPPMFVFLVGA ILQTVGCALMSTLGVHVGSKAYGYEVILALGLEAKINVGSIYLFRSPVTHFNFQAVGM GAVIQARPLGGAIGLAVTTTALNSYVKSKLSSILNPDDLSALLQSVQVIEVLPAELQA MTRPIFSEAYDLQMHIMIGFSAAQVLVAAAMWERKLTRVA LY89DRAFT_739770 MDEALLPALIDERARLEPDRLYCAFLRTVNINDGVVKLSYSDFA NAVNRLAWFIEETFGKSDDFTTLAYVGLTDIRYALITLAAAKTGHKAFLMSMVNPVPA QLRLLEAAKCDHLLVAADFPAFKPAITAMASRRPLKVVEIASVDHWIAKDKAKEYPFR ATLSDNPRQPFVFLTWLPIILLLLQPTGIGTILGIWCQMLNSPEITEWRSKDLFSKHP TKDLWKYQGRADDTFVMATSPTCNPLGMEAVMVTHPRIITALLAGHGCEKTAWLLEVH DPPVHEGEKTKLVDELWPYVEKANEVAQSHMRVEDKQAIVFNQKGKSFPRAGKGSVQR KLALAAYEKELDSVHS LY89DRAFT_542949 FANLKKGSPEYVDLAESGRVWENFFRPGNIEPYLQTQSDLKQTL TSIDELKEWYRKNDKAKTTILRDLVPEYAQQSTSLEQNPLHIGDAAVIFDELEKQLFG NIDSLDVMSTSEVSKLALPTPEKLLPGKNANQVAELRNHIFVSRYTTEAALNNPGTTS ISVADIQQLSMMILRGTDAKTLYASN LY89DRAFT_787162 MLLYMYLKYLLALIPFIVAILAHDDSNLIAKTPPCALTCSINLL SSICDLSQISSCLCVNETLQAELSICVQKSCIWSDQLVAATVENELCAGYPEQDKSAH VRAISIAFFCTTIPFLGLRLFARWKVAGELFTDDWAVMVACFWVVVGAGLEITASEKG FGKHYWNVPVENAELLLKLFYALQIVYIIIQVSAKASLLLLYHRIFPDERFKRIVQAC MAFVGLHGVIFIIVILFQCLPLASIWNHAIEGHCANLQAIAYAGAAFSILEDLVILVL PLPQLNTLSLPLNKKLGLMLMFSVGSFACVTSMVRLKFIAQVSTTYDISWTNVNILIW SVMEVDVTLICACLPALRPLILQYIPGLYKSAISTTKSTWNGSYRMRKWRSMNELRPN PQLPKSSSAIGVQAEYV LY89DRAFT_655607 MSLILLISIVLLICYFISHRLSPEPDPREPPVISPQPPIIGHLL GLLKHQVFYFEKLAARSSTPVFSIWIFKYKIHIIADPNMISAMHRHSSPSFDPIIIAI AESIVGDSPNVLRLMHDPPPGAKETNHYMVDTHASLHESVVPGPGLWEMNRRVLRKVT DIVNVIGSEFEEKTLWYWLWDSFTKATSEALFGFHHPLVKDSSLVQAVWEFDESQTTT MLVSRAVQYFLPSAGRMFVAQSRLRTAFKEFYSDPEKYNHPSVSALAKKRMEANLKHD VPMNETGNAAHSLLFVATTNAVPTIFWFLLLLFSKPAVVTELQINLEESGIVSFIGTK EGKRKMRIDHTKFYEKCPLLISAYNEAMRLTNIQSGTRVILEDFMLGAGAGEDQDQKR QGYLLKKGSLLTTPARITHLSQEVWGDDVAEFKPERWQNLTKMQKRAFIPFGGGKHLC PGRDFAFAEIVGTMAVMLLGFDVVGMDGEAIKLPEGNEMKFGVAGPDEEGKTMKVKIR RRVGWEDVIWEFGAMD LY89DRAFT_739774 MADPNLNPSVLPFQDTAILSMKKREKEGDTPSTLTANGSDVQTT EAMGEIVSAPSGELAVPTTKIDQLDAEVFSNLSIEEKTAIQCSEEWMRKTPKERLSID GSAYFSTLQDMYNHQPSRCSPDCCDFVGMIENMVRMLDTTIKRAVEENCMLRNQLALN DPVAVASLRQMMDAKYNELYAHMVQGCRMNQAYADKIGELEGRLAVVKFQRDDAIQRL PELFQSSKEQDATITRLMGENRDLTGDSLSWKEKFMQKEEAMKNSQRDLKTQNKLLQK ESRMVKEEAAAKLEAKDSVIWDLQDTLNQNEKQNKSKQLIKDLEAKCERLERELDMAQ SQVRKLKIMHTVPPTALPKPNAKKNKNSKVMQPTVAIAPVENTASEESQSSSGEEPVT SPVDDDREDVEPEERASTGIFAQFKKALKSTAILLSGVW LY89DRAFT_739775 MAAVPLSKDLKVTFENRVFRNHIPTSNTGKSIIRVHYGTTDALD ICLRRNLRIKDGDKKPYTPLDCGPFPIYPVDLYKDKLPKDVVAKSGGVVPIYECESLS IDFESQRPFAIKIHTGQVNTVSGGSNTDDITASIRRAARLERDVPIQDYVSSAVQFRL RPTPASEGYSKQFIANANGVDYSVSAQLAGNIKVADLRFETMSTVRKPFQIRIKDVAS RSDYFFEVEACMSVHQVKGIFKRMTSYPIDWQELVYDGRELINNENLGELGIHQGSLL FIVLQTVRPHCCFDNTVVKDPVAASDWDVGNSVSFHLHLMNATLFESLLGIPAPRTPV DAALYAKYKYPFYDEYNEKTIVNAHASSSTADEASYPCPKKVEHIAEYEVTVSLHNQT LNALERLKSIDLDALKAMPRHQQFASVSKSLDGIDPKVFKAIDIHKIEDFKVMAELET PLSELLPPRPKLVLYDLGSASSNDEADDESVSSDLEDEQVDTGEVDDEDSSSALEDED DDSDDDMPELVGRSSYYTF LY89DRAFT_739776 MYNLLLLSLFAPLLLGQTFSPPGWVSSANLNGKLYCARASDRAT SQIVASPVPSNTIWQITSLCETTNGGLVNPDDCHFSILNTAAAFGLSVLYWQQASYWG GVNGANRRSTSTSTDAILSRIPAHIPISNVKLNKSPMPVRRAKRNAEEFNVFYNGSLP LTFIIHHQLNSTRRSSAPKEIPLFAATDGQNMYLTHITPFNSTPAASSLAKRSGFDGF NYVGTGGLKLQTMSDPVSQWTDVWNWMNTPTEAGNQTPYDVVLDQAQTAGWLGHSFLT FVHDSQTGKGDNGQWAMEGEVGSFGTDWDPNWNWCFGVYPQNC LY89DRAFT_723358 MILRNVAIRHGRKALAAPIKKSLLRTITTETLQQETEAIEHSLM NHSKETHSIEANFNQLPHTSSFIPPRTNGPSSQEVKEAFHSKYQIKATTDRLGSQIDP YYQPHQLIIDPPKPEDVTLELLMASQSHLGHSTSLWNPMNQRYIFGVRQGIHIISLET TAAHLRRAAKVVEGVAYHGGLILFVGTRAGQGSCVVKAAELAQGCHLFEKWIPGSITN GDQILAKCGIKAIDMHDKEVEGYEEKIADWSALKPDLVVCLNPLENYILLHECGLNNI PTIGVIDTDADPTWVTYPIPANDDSLRCIQVIAGVLGRAGESGQKKRLKAAETGKVTW LPPPRLGNPKTEEDRKREAELRKNKRNLGLAQDSVDKRTPRGRKKEEDDEDDDL LY89DRAFT_787166 MASSGTMRGTPNRGQSGQGRGTVPAFTNSPASNIPRPAFESHAS SSEAGGSTMSASRQRQSNRDEAIRRKIETDLSKKKHTTSRARQTRKAPPGSVLALRPS QALQIKPNTTVAEAAQLMAAKREDCVLVTDDDDRIAGIFTAKDLAFRVVGAGIKASSV TIAEIMTKNPLCARTDTNATDALNLMVQKGFRHLPVMDENQDISGILDITKCFYDAME KLERAYSSSRKLYDALEGVQSELGSSQPQQIIQYVEALRSKMSGPTLESVLDGRPPTT VSVRTSVKEAAALMKENHTTAVLVQDQGSITGIFTSKDVVLRVIAPGLDPANCSVVRV MTPHPDFAPMDMSIQAALRKMHDGHYLNLPVMNEGGEIVGMVDVLKLTYATLEQINTM STGDSEGPAWNKFWMSLENDTESVMSGEGSHHHTHGTRSLMSPDMTRGNERILDSVAP GDSASHTGADSPAHSVVTGPAETPAEEIPFPFKFKAPSGRVHRLQAIGAHGMTDLIAA VISKLGAEVDDVGGVATFEDGKLGASGFALSYLDDDGDTVSLTTDHDLLEAIVIARQG RRDKVDLFVHDPEKPPISATLDPHPVIPAQQPTPPPSTIRERRKPVSDEEESEEDMPV RRARKAAQPQMQEQVIAGVPNELLLPGAIVTLAVVIVGVFALTRATSR LY89DRAFT_595728 MNQYGDRGGGQSQYYSGPINTIIPVNSNSFDGNDYNLFPSDAAL TPSAFLDFSAGFDDSQNSNSGQHSRRSSSGRRISGGILDRVAQFENLALQSPQRPMTP PNQNASCYFPPTPVDTPHDRMIKHEQMNPRFLDTYDTSMEETIKPKSNQRARGVFDDM RKEAEMTYMPSPPRSGQMPTTSTFDSAPMPTGNYLNLSNANLDFLKNEQQNDGLQYSP ASSNMSSNLSYHSSPDVPQSAMFDPFVNQPKLDTAPMSFPEPSAHGLPMSFSEPSPMP ESPARSLHRRSESICSINLEESITDTGITIDDIATFIQGPDPIDNKWLCLYPECKKRF GRKENIKSHVQTHLGDRQFQCPHCKKCFVRQHDLKRHAKIHSGVKPYPCQCGNSFARH DALTRHRQRGMCIGAFEGVVKKTVKRGRPRKNRPDNEDRLAKSSRTRSKNKTMSSSPS TRSCSESGGQSPRSEADILDDKPFGDYDFTQSSASMDGGSFEYSQPQPQSPGPTQSVS PQAIQAHSPSAFSTHSHVSSHHSQHRGSISESIHLPSHPASPAKSVHSYHSPPGLCES SSSPAASQNYYDIETSSTHGDQMALNLSSLANISEHDDEMFLEAFAAANNGEMTSLER DPDLLMGKFDDAFVNTSGDDLFRNNEDMFFGSP LY89DRAFT_723361 MPHTHKTSYITKLRLQTSLQPHTKPTTTTTNTNPTQISNLTMAP HIKIADKPSAEAYLQEKMPLIMDAIVETIKNGEATWTLDSDHSKNRTLVQNIVIHSRA LDGWRRVTGTIRPLENVTDLPRRALSDGFRRVIGTIRPIQNVTDLPRRGLLEEEHREA ANLKRAAPFLVVLAVILALVGSYILFEKVQIYLAKRKKDRVFAQLESQNQAKGYSTMV RRGSWVQRMMGK LY89DRAFT_739781 MENNLSILLAGRSKPTINAPTTNSWSIPLDHVQIHHILSMICTA IIILILLILIYFKLHSPIHQPLATESEWALLKEPYPTPRDECFVLSKENSFLMTENEI LRRENDRLRNRLNNLEEKYEDNFRKLESAIAILQTVVQGEIYGGGERGRRVQEGSGSV WCVD LY89DRAFT_674909 MDGRCMFTSLGLILFFVVLVLQLDSHTRRHLQSLESRNHALTEA LSAMDKEITELKSERLRREVALMKAIGTSREEVRGLKREFREYVDMLHEEIELERSVD MEEKLCLIRGMKDLAGVRESEVYDGGSDQMLEGLGIDFGGLNGDSDVSETIESEADKE TLRLRINAEDAWERWERSDQSRRRGSGEPEYEQNTDQAVGESDGTSDPERDGDILGDL ENEVAVEDSDAQPTQGDRLFPSKREFPLTKQGQSMKRSFTDPGVEAQLDNIFELE LY89DRAFT_759664 MAEVFGIVTGVLGLLPLCRDGLGMIQDVFDAAGTLGLAVGRLEL QRDRFDEWRDIWRSDDGEYDIKFEAYAKADPAAAKRVQRQLALMTQAMFDVHALEDQY GIKPDRWPDRIESQDLSRFRLREGDNLTLETQGTFLKLCKQNMSFIKRCKFVFRRKEA IWNNLIDLIKEYNENLATYGPRFELEKMFKAEFEVLRNLQLEQLRRRAEAATYEAQHS PLDSEEKAHFLTYSLAAQFSAVVKFERQHTAYKFTMRDFRLDPSYAVSSSAGASTMAL LFDYPVRKENRVVLIEWIEDLDREQERDTRTKVLMLATPKPEQLLLPKCYGMVEDPVG RRFGLVLAPPDHIRSNLPPILPAGAISQKRMPVSLRELIERKHPSCPGVLDLGIRFRL AKKLIQAVYMMHCVGWVHKNIRSNSILFFPAANMPSRGPAGPASGFPQPLGYSEPVFV GLGNARVDDVVNDHKSYYEEEDDLVYVDERGQRIKHLQVTKRQNDINLDYYQHPDKRW NPTIRYSRSHDIYSLGCVLLEIGLWQPLHKLVEVEDEDFERVKRGFQSLTMDLDGTAG SVYGDVVRKCLSISTRDRTEPEMRELSKFCAEIAATLDKCWA LY89DRAFT_595727 MIPAIRPRKGHKKSRAGCFNCKTRKIKCQENRPSCHNCIRRIEK CVYPNAKTSSALAAARTHSPDLVPRMSMQSTPTLFTMTDLRLFQHYLQEAYPHLPVSN DKTWLSQVPLIAHQHEYLMHAILGMAASHLGILTGENLQSAAIHHRLLAIQGFNNAIS KKIQTASAGDAALGCAYLLTFQSTYMNDGVSEFFQFVRGCHLLSDQILAEKLSTAFLV GGRDHFVEMESRLMDLPGINIDILAGAAMSLEEIRQVLDQPCHFAFHGLLVHCIDEAK VSSSDVSGYFAFIAIYQKAPKMDANQFRDLLDTSNHICRVLIAHFFTIQTILLPILTR EWSNRKRSTPAQINLNWIFSISDSLPKDLQKYCVWPRAIAEAVQEELSHTQPPVPKVS ILRKREGHSQDIILGRLDQPHGNSRIVIP LY89DRAFT_596166 MADSIWLYNPSLALSILFTVLYTIPTTIQLYQTTVKYKAHYFLV VSIGCCLELGGYVARCVSIHHPSEIPPYATQSSLIIIAPIFFAAGNYLLITRLCPPSL PRIFRIPIRNLTRIFVSCDVVLFLIQASGSGIAASGNWEGRITMVGKDVLIVGLASQL VTFVFFVGIVVRVHSLAKGKEGEGGMGWKRVLWAVYGSSGLIIIRSTYRLIEFALGIR GYPFTHDWTFCVFESVPMLGAVGVFCVWHPGAYFGPGKKRGFRDGGEIQMDGRTSPVV LY89DRAFT_595817 MPIPVPKADSLKELLSLKGKVVIVTGASGPKGMGIEAARGCAEM GADVAITYSSRPDGGEKNAKELSSAYGIKCKAYKCDVGNYDSVAGLVAEVIKEFGKID AFVANAGRTADSGILDGSVEKWNEVIQTDLNGTFHCAKAVGHHFKERGTGSFVITASM SGHIANFPQEQTSYNVAKAGCIHMARSLANEWRDFARVNSISPGYIDTGLSDFVDKKT QDLWKSMIPMGRDGEAKELKGAYVYLVSDASTYTTGTDIIIDGGYCVR LY89DRAFT_723366 MSFTFPSSTGIAIHHTPYPFISPSKFTNKLTGKVILITGASRGI GRATLAFASTGASLALLSRTASTLSSLVQEITSLYPQTPTLSITADVLSSSPFTIIAE IEAKLGKVDILINNAGTYRMRPFLEEQTASDIDQWWHIFEVNVKAPIALMHACLPSFV EKKHGIVINVGSLVAAETSFPNVASYAASKAALLRVTELLDLELREKGVKSFYIHPGQ VKTDMSLGRGAGEKGVVGSEMREVTKGFEPLLNDEPELAAWSMVALAVLAGKDGDERV GVLSGRYWNVEEDLGELLERTREIEEGGGLYRLGIGRL LY89DRAFT_674914 MKLLILTFLLTWALNAAAHGGIYTYNISSVIYQGNPWHIHDYGP FYGSGNASGIPAGTPSIQRRWYFWPLYNLSSPNMTCNFDGSFSPTTPSLHAPVRAGET ITASYNVANFNLTAVERDQWYHQYGPLLIYMARCPGLSCAGWNGEGEVWFKIAEFGLV PGAKNLRGPWMQGVLLMGTSSPGVEVRVPGALKTGAYLVRHEVVNLQSNRADGAQFYV SCAQVFVEGGEGGKVPGERFSVAFPGAYKSSDPGLRIAGNEISDGELLEEYNTTDYQF PGPLVWDGEG LY89DRAFT_595638 MGDPGPSQLQRFIQQACSPENFEPNLAMNLEISDLINSKKGNAP REAAVAIVNYINHRNPNVSLLALVLLDICVKNCGYPFHLQISTKEFLNELVRRFPERP PIRPTRVQQKILEAIEEWRGTICQTSRYKEDLGFIRDMHRLLSYKGYVFPEVRREDAA VLNPSDNLKSAEEMEEEEKAAQSAKLQELIRRGGPEDLQEANRLMKVMAGYDTRQKTD YRAKAAEEVGKVQQKARLLEERLEEFKPGDVMVEGDVYEELASALQSAHPKIQRMCEE ESDDHEAVAKLLEINDSIHRTVERYKLMKKGDVDAAAKIAKGTLGTSTGVGKNADNEL SLIDFEPETETNTNGSGSAAAPSGSQGGGLEDDLLGLSMGDSYGQGGGISLGFGNNTN LPGPALLSSTSEHSTARGPTPTRSPAPPSTSGQPNYSAFSTYGASPSIPQAAPQPTLF QQQQSRQAAAPPPQPAMDPFAALASPVRAATPQKSIFDFGNHQPPAPAPAATVPAADD DDWAFSSALPEGPPSNNITVSDTSLNISIHVTRDAANTSVITMSLSFSNQTDQPISEL EFKAAVTKGYTLKLQPQTGRRLQPHEQAGVKQVIHLHGAEEGNAGAVKLRWKVSYKVN AQPVNEQGEISSLGIA LY89DRAFT_251058 MVRHKKDGFSSRGGKKYSNAPRPRGPRNGDEDREHPASRPSFKA ACWDLGHCDPKRCSGKKLMKNGLMRELHIGQKHSGVIISPNAKQTISPADRELMEQYG AAVVECSWARVKEVPWPKIGGKCERLLPYLVAANSVNYGKPWRLNCVEALGAAFYICG HPEWAEEVLSHFSYGETFLEINSSILKRYAACKDEAGIKQTEEAWMARLEKEYADSRA EGDNGPEDMWKGGNSNHRMPVSSEDEDEDDEAEEGSGDEVEGIYLGKNPPKPVEEEPE EEKDPFDISEDEDDEEEMAELRRRVLASKPFSNPSDTGQKKQPDKISRPVPLKEDSDV EPDSDNGDDDEFDNIINATPLTDRTGIQAKQREKTGEPLASAVFSRTIVGAPKKW LY89DRAFT_251059 MAFSVFSDEIVLLVLSYPDVLTTEDIYNVMLTCKRLYRVGIPLL YRTTQFNSPNIARPPHLSNLLRMIDLEPRRGSWVQLASVGWPGNHEKMWSKTFELVSI FHSPQSIEFHVMSWREDKDLNFARFLGECTNTSLRTVTVEDHNLRIKDIVAFYALPNL IHFRVINFCADESDSIVYNSQSTFVLTKLQKLEFLNSSFPITPQASLLSQHPVLTEFA WIINPNGWWSNAWDEDILSALTTPFASLYKSAFKHHILGVPKRCHQREP LY89DRAFT_689409 MTTITTTSKPNDLVATSRPTDLHCSFNYYSAPTRPKLDDLTIIA GASADLSSYTLPVTDLRSLSAPISGYNHEANGFQVLHQELPIDSSHESVHDNKVMTTQ YYPAIKSLLKKELGLRSVAVINKTLRDVASIDMSTLDLKNPRQLTSTPPFFISHSDYT AAGARAHFRAITSDWSEENQTEEERAEFLRLRDEIIAAEDVAIAKAGLGAGNGDMVAG KGGHWDWDGTGYDGPRYGIFSVWRPWETVKRDPLALMGAAESDLDYAVLPRSYKNRPG HVKSYYSENPIVRHPGAREEAKHKWCYLSEQKPEEVYAIKLYDSEALRRKDESVRLMC PHSAFRIDGTEDAPPRRSCELRVWCIW LY89DRAFT_723372 MARITRGTAKAMSNRETNFQREQGCKTRFLQPLFSVTGGTVSHT SIPWRVTSELWKSEAQSYQILQSICTRWLATQLEPIPLSSHPNFTPDTDVSIIIPLVG LPSATFLEAIETWITNSPLEIIVVTPESNYHSLQSAVKHIPHQNTHLAITTVPKASKR QQLSRGITKARGSILVLADSDVLWQPTLLVHLLAAFEDPTIGGVGPFTKIVRKHNSIP EFIMHRRFVQRYRHIAATFIVDGHLICLSGRTAAYRAQILKDETFLREFTNDYWKGNL LDSGDDAFITRWIQERGWRAVLQVASQAEVFTWASDGWRIVGQWIRWTRNSKRSFWRY LSCWDVFSRQSYFALNMVESLLRPFLSIWFVMGFCSRTLSEKTRFRICSIWICFGLLQ CLADFEDWPLSVSGIAISLLMDIYIVVFDVWGILSLSNTAR LY89DRAFT_700692 MSLPHKKQLIVLTLARLSEPLVQTSLQSYMFYQLKSFDESLPDS TIATQAGMMASSFTGAQFLTAMMWGRISDSERGGRKMVLLIGLFGTCLSCLGFGFSKT FWQALFFRTLGGALNGNIGVMRTMVSEIVQEKKYQSRAFLLFPMCFNIGVIIGPILGG LLADPAHSYPSLFGNVAFFKRFPYAPPNILSAVFLLSATLGIFFGLSETLESLRHKED FGTKCGRKIAEIFRGGNSQDPTHAYTALSGDESFPEPDVEMTLVTPTTPRKKAVPRWK QKLPFRRMFTYNVVCTLIAHSLMATHLGTFNSLWFVFLSTPVAAKDFHRRLPFHFTGG LGMPPRDVGFAMAVLGFLGISMQLFIYPYINAKLGTVKSWRIFLYFFPIAYILVPFLA IVPSKTPPPAEKDGILVWTALCLVLFLQVTGRTFALPATTILVNNASPHPSILGTMHG VAQSLSSAGRTVGPALGGTMYGLGLRRGVVGGIFWGLSALALINCLASNWVKEGNGHE LILEGDEEAEAEFQAEFRRSEG LY89DRAFT_787180 MSPRNEPIAIVGTGCRFPGKSNTPTKLWELLQKPRDLSTEIPQS RFNSSGFYHPDSAHHGTSNVQKSYFLDEDVRQFDSKFFNISTAEAESMDPQQRMLLEV VYEAIESANLSMDELKGTPTAVYVGLMCDDYSGLIFSDMESVPTYGATGAARSILSNR ISYFFDWTGPSYTIDSACSSSLVAVHQGVQALRNGDCSVALAAGANLILSPRMFIAES KLKMLSPNGKCRMWDADGDGYARGEGLAAVVLKRLSDAIADGDPISCVIRETHVNQGG RSTGLTVPNPVAQVNLIRQTYAKAGLDLSRAEDRPQYFQAHGTGTKVGDLNEATAIHN TFFNHPEESQDQEKLYIGSIKTVIGHSEGAAGIAGLLEASLAVQHSVIPPNLHFEKLN PKLKPFYDGLEVPTVGREWPKTKNGVRRASCNSFGFGGTNAHVILESYTAVNLPILVE GGSDAVHLPFAFSAASERSLASLLSNYSQYLDVNPDTDLHALALILLTRRSAFTHKIA FTAQTASGLRSKLITELERKSAGAFGTVASRPSTKAKRMLLVFTGQGAQWATMGSELI STSSLAREILSQLDRCLAQLPEAYRPNWSLASELAAPAATSRISEAAISQPLCTAIQI ILVDHLRAANINFSAVVGHSSGEIAAAFAAGFISAQSAIKVAYLRGLFAKLAGAGKAG AMMAVGISMCEAQEFCQLEASKGRIVVAASNSSNSVTLSGDSDAIDEAIGYFHAKEVF ARKLKVNTAYHSHHMIPCSEPYSKALKDCNIQLEATREDTCTWYSSVLHGQVVQTCDA LTGQYWVDNMLHPVLFSQALTTAMEAGFYDFVIEVGPHPALKGPASQTLQEVSGSKKS IPYTGLLARGSNAIQTFSAALGLLWMHFGIKSFSATSYSQLFNPATNHKPLTNLPTYP WDHDRPLWYESRISRLTRSRSSSPHPLLGIPSTDQAEGEHKWRNYLRLNELPWLSGHK IQGQIIFPAAGYVVMALEAANIIASEAGESSGLEMVEIKDLTIGKAVAVEDDGSGVEI MFSVKTTIAGNLEFSCHACLSRDTGSLVLAASGRLVLRYGEASATSLPRREERVINDL RSVDIDAFYESLAEMGYGYTGPFRSITSLQQKLEFSTGIISNENLEQQEDQMMMHPGT IDTAIQTLFACMGTPGDGSLWSMHVPVLIRNIRINPPLCESKDLLFDAALADSQNSNL CGDVTLYDRESKNTLMQIEGVEVKPLMAATPADDRHLFHELVWGTAEPDASLVYRKTP FSPAEIRKTEVLEMMCLFYLKQLDEAIGGEERENCSWHAKRILDFASHVLSETKEGRH KSCMVEWLEHTWNDIAAVGDKYAADVDCRLIHVVGTKLIPFVRGETSILDHMMEDGLL NSYYQNSELLEYNEYAGLIAAQIAFRYPLVKVLEIGGGTGGATRAILKHLDNKYSSYT FTDISISFFEQAQETFRDNGRILYESLDIEQDPTTQGFREHSYDLVIASNVLHATKVL DQTMTNVRKLLKLGGKLLVLEAIDNGAIRVGFSFCGVPGWWAGTDDGRSLSPLITPTQ WNELLLRNGFSGLDTITPGTDSQHQPVAVFVSSAVDEHISLLQKPLALSLPIPKPQLE IVFLIGGKTEFTKKLIQALKTILEEWSTDIQIFSCIEDLLALEPTSLVHVLNLSELDN PIFQNLTSPRLEAFKSLLNTSKSFLWITSGARSSSPYSSMALGIGRTLVHEMPHLRLQ VLDASEPEKLSAKLVAEAFLRIVLVDSWENDIYAPKRLWSNEPELWIEGGKTLVTRVV AEKGANRRLMAERRVVEEEVDLDQVAVDVVAGGEGYELVKRVDNDMPELSPDLVEIKV THSTSLAVKVAGAGYLYLIIGEASTFGGRVVAVSKALGSTVVTPISWTIPWNIQLGEE ATLLSAIASDILAQSLMETLPAKGTLLLLEPEDFLATAISKRARFMEVKSCFITAKEQ PCSKNWIYAHPFSTDRELASKLPKDTSAFFDFSMKDHEFAHRIKSMLPNHCVKADSTM LFSKVPYFAQNATSRQVADTLSIALTSSLSELHCSRGQILSKVLSAREISRLSTQDEG IRTIDWTACPMLPVRIQSARSSMTFRSDRTYLLVGLSGNLGRSLCEWMIIHGAKHIVL TSRKPQIEQNWLDEMQELGGNVKIMAMDVSDRDSLLATYKEIGSTLPPIAGVANAAMV LNDTLLTNMTFSDMEYVLKPKVDGSRFLDELFPNNDLDFFILFGSSVDVMGNSGQAAY CAANMFMNSLVASRRKRGLAGSLIGLGEVKGVGYAARLNRKLNDIIGATLPLSEKEVH HIFAEGVLSGRPDSGRNTALYAGMNSKDPKQDPDILWYPHPKFWHYIESGDGAAVLDT DDAVVNLKTRLAEAKKVEDVLSIVTDGFTMKLKHKLQMSSETTVSPDTVLQELGIDSL VAVDLRAWFVTELGIDMPILKLLGGSTIIDLVTNAVGRLPAALLPSVPAEVASDLASK ENVLVPNGLGGLDLIASAPVPAPAPAPATSPAPIVESPPEPIVEEKPFTRSHSRNISD ASSTAESQNPGLHFSSIYSRDSSYASLVDHNDMAEKLKHRLETTNSDFENSSSEGDGS PVSPSGDSSDEMEKVGTPESDEFLDICQDGAEEEIDLKMLNQGCGFL LY89DRAFT_759684 MAAKSTHVFKTIGTLSLHLDIYTRTLPPANDVFTPETPVILFFH GGGVVSHDRRLLLPHIVQSSLLRGWPLVSADYRLLPQTKGIDILEDIKDAYTFVRTKL FSILHGSSDSNENVIKNVIVAGCSAGAYATYQAGHHFQPRPIALLAYYGNATVDDPWF RSNKVLTEKPLLQSQIQHFLDEPENCGYTTPAHQFDRSCLLQDLNPSPDWKKPEEAVE DKERLPRDTLYFWLLQNNLYPELMKGFDKSLSDEEAWKGYTRTVIVHGDEDDMVPYQA SVDVASVIGPVTVKLFTVKGKKHAFDSGLYLGDPDLELVEEAWRALDEIVRELSVYTE G LY89DRAFT_544025 PLHYAVAEGNVELIEALLDAGADIEIQEGKSGKTPLLKAVSENQ PQALATLLVRGASQNVRSGFLNRLPIHEAAEEGYLAICSLLLKHGGEVDSRDSLGQTP LHHATCLGIIPILMEHKASINKADSTGRTALHGALRGKWPKLATLLISYGADEDLADK D LY89DRAFT_251116 MSEPQSILTRVGSLLSTCLRLAQGLNNLHARDEIANRSIIALST ECITTSLALSSLQNILPSRLDLLSSVASSSEELTASFETAILGVASTLSILDGELSAR ESVNGLLNEAKYLWDEALSSQLGQQIRDQCSSIHHLIDILQSENVDNLELQMQERAQD LSELRRHASGARALRGQLQDKSRGDDLESIFSLATPDAPFQIELSSSRPYQKIKASLL IEENVTHVSASIRFDGISEHASTQPDERDPSVRKTPLAIASDGSHIISSSQSIVTVLT DKRANNAGGHESIASSSQLALPSELRGSARRRRISPLAETTQNSNANDEKLREALRVA NREKEDVNRVKEKLQGALSKMLYHAIIKRDVKAVCDALPGFNSFGIDLNCVYNGFWAN SGYLTPLAYAAATGDQDIAAILLKSGANWAASSQDVNHQYNGMTALIHASIAGHDLMA RLLLAHGAMANHADKRGRSPFMYAAANGHIAIMLRLINHGTDIKLTDDEGNSALHWAA RNGDHAAVDEIIKQGAIVNLQNTSNQRTPLHEAIFFRRSSSPNRSPY LY89DRAFT_787183 MPGPRMPKNGYRRGGKQAYHGPRKTKTFTATKGGNEATSADEKR EATRLANSIDEAQGFARYDSGKKKTGWLVNIHSTSIEDEKIPEGRAGLDCYFIEDDGR TFKATLEYDPYFLVAVKRGHEAEAEEWLKRAPGGGVVKSLRKVEKEDLQMPNHLLGYR RTFFELRFNNVFELLAARRDIMPIAEKNKKNMNAMDTYAEVASANPGFDLFDDDRDDD RRLNVSVADASDFIVDIREWDVPYHVRVMIDMDIRTGKWYSVEAKHGVTTMKCLEDRL ERAEPVVMAYDIETTKLPLKFPDAAIDQIMMISYMIDGQGFLITNREIVSEDIEDFEY TPKPEYEGPFMIFNEPDEKAVIERFFLHIKEARPTVIATYNGDFFDWPFVDARASVNG IDMYLEIGWRKGAEDQYCCDYSAHMDCFHWVNRDSYLPQGSRGLKAVTTAKLGYDPDE LDPELMLRYASEKPQVLSEYSVSDAVATYYLYMKYVHPFIFSLCTIIPLGPDAVLRKG TGTLCEMLLMVQAYQKEIVLPNKHVSPKEAFWEGHLLESETYVGGHVESIEAGVFRAD IPVNFAVDTTAIDELLEDLDAALKFSITVEEKKSLDDVENYEEVKQQITDRLNSLKET ANRSERPLIYHLDVASMYPNIMTTNRLQPDSMIQESDCAACDFNRPGKTCDRRLPWAW RGEYLPAKRDEYNMIRNSLENEKFPGKWPNSPARTFHDLTYDEQAALVRKRLQIYSQK IYHKIHESKTIEREAIICQRENPFYIDTVRDFRDRRYDYKGKQKVWKGKTEALKSAGA PASEVDAAKKMIVLFDSLQLAHKVILNSFYGYVMRKGSRWYSMEMAGVTCLTGAHIIQ MARQLVERIGRPLELDTDGIWCMLPATFPENYGFKLKNGKKLAISYPCVMLNHLVHAK FTNDQYQTLKDPKTFRYETHSDNSIFFEVDGPYRAMILPTSKEEDKNLKKRYAVFNDD GSLAELKGFEVKRRGELKLIKIFQQQIFKFFLEGTTLAETYGAVAKVANQWLDVLDSK GETLADEELIDLICENRSMSKTLEEYGAQKSTSITTAKRLADFLGEQMVKDKGLNCKY IICSRPKNAPVTERAIPVAIFSAETSVKRHFLRKWLKEDPTNMDPRALLDWDYYRERL GSVIQKLITIPAALQKVRNPVPRVPHPDWLQRRINVKDDKMKQKKMTDLFKTAPLEEI TNLQDPRSQDMEDFGVKLLKPKAIGTALSQASQKAQKRKSPEPAVAVNTNPFAALPEK MPDPTKDYRAFLEYQKQKWKIQKAARVRRKHLFGETRPGAQSNIGATFRNQVEITYKS TWQVLQLRGTTSPGVVLAFVLIDSKIHQLKVNVPRQVFLNLKGKELPDIEVEGCDAQK VTHTLPNGHPSVHLFKLTMPESVYVNDAQKLSLLFNHPSVEGVYEKQVPLNVRAVLQL GSLCTFDETQPGVLGKGLESGFDLSGLRRATAKNPYLAQSPMAYLYLYHVVAGDRQVF ALFSTAKELAHVVILQKNKDSAQDLPNIGKIYTEAISRREQEANGEEWQNCFQYQEKM QFKVNQVTTRRKALLEIGDLVKKMRNDESKPLMLVIQSPQRRVLVHDVPILSEFPVMP LKFETTDTHLPPLGWQSFIAKRLVNHYLSLGSWILHLTELARYGDVPLCNLERDDPRY LIDIAYARRLQKNNVVLWWSGSPRPDHAGYEQDDVLGPLETVQMPSVNNPGTYPSVCI EIDVRNLAINTILTSSLINELEGSDSIAFNPAAPQDDAPSDGTNVLYSDNAFANAGIT VMREMVKAWWTEACKGSNMADIMVQHLVRWVENPDSFLYDRSLHYYVQMMSRKAFQQL MTDFRRVGSHVVFANANRLLLQTTKSEVGNAFAYSQYILKSIKAKPLFHFLDLEIKEY WDYLVWYDEFNYGGKACSEVVEAENQTLDCIMHWQLSKFLPSPLQPIFHDWVVEFVEI MHKLKRPRTLPDSTPRPTQLPVSALASTQDEKEDKIILGKEFEKPLKRQIAGLIRRQK DEMLHPELASDYSFPILPGSHLKLSNPAMQLVKSLMQVLSLDKNITMEARLLRKELLA LFEVREFSNEARFENPSESLKLQQVICDNCTMARDLDFCRDEDLIPDPTNPSETRPWK CSFCDGEYNRMQIEERMIGMVYGLVAEWTGQDLKCGKCGTLRVNDFMEHCACSGAWVE VVRREEMMRRLRVFDRVARAYGLRMLGVVVEEVLGGV LY89DRAFT_689414 MAGDTRDSTTSTPRGPPPGVTEPPEHVKTPNKVYEVFNGPLPSA NALPEGSGLNTAGAREKQPTLSEGIKTVRLEDFKQVHMYPCVRESLLMGIGGGFGMGG IRALWGAPIPKAANWAVGTFIFAGIANYEFCLYKRRMEKAYMKRAVEIIDRKKVEKEA AAKAKREERRRFKEEEDKKAEEAAKNKGWRLW LY89DRAFT_689415 MDSINASHFTSPKRKRGIPSISTIPPTPPTSSPPPEMRLNTNIP GVVRTEEDSGQGSPRTKVAYNFQGLHLEDGGAVGRFELDGPRKSSLLPRDEYDEEDPH REEEVLRKRVKVLKQRKITTMEIPETPQVNTERENKFSIFIGPERTVDPIIGEKARAV VLSNEVDPMIFRGSPVAKSKTSGLGNAYPSINRLADSKSRAPKKRTGTPPLSGSADAI MEGVEPGRIVDPDRAALTWHDDEITGHDPNDPDDDGEGINGIGFRPTAAEAYARAQKR RQQMAEYKNREAREARKARSERRRGSGMENKATREEAETARRVRFMEAEVKSVRTIST S LY89DRAFT_739801 MFSTRIHTFEVTGDNPITYVIEPHFAGNAVTQCIAAFFTFLYNQ VVIFCHHPLNWISDTLKWLLYQFWNCPIVIQISIALISAYIIYRAVRALNAAIQTHLD AGKGGTPADGWGWWRLCRLCLMGEIPNIREIHVSPFLKPYRGILHAEDIPAREGPSPT IIGIAPQRQENQKTTVNQFETVQERLRQKALAYPRDLVFESSFLERLGDHPAVRGKPA WSTATPYTNRTERSWIKRFGYEIAHAHQADGSLHVILHPYDVRTVIEQGWGQRHPLAS TSAHWMFYWDNIQSLWNPDSRPPIPETLIVDAAIWFFTGEEVDSNMGKGSKLKDRYVD EFNGDGSLKVQGELDAGWPTTHLKPSTAADARADDVQAYDRAVSQAATPRERDAPFFR PGNTDEERIVYAALENVVEKRTAAKVKPPNIVVIADLAKDYDDLTAMIVLKELHRLGL IELKGFVANLMPAEERARYGRGALDLLGLEKIQIAIGTDGSPINHEVHSY LY89DRAFT_759694 MQGGYFTNPLEPDMSAANNRFDPIAAAQFHIWLEEKHIKSTVYT KVAAFATPLTTELFHALSATSHVLGTHLLDTQQAQDIQFYRDAVTGTGGFMTPEFFLR NKTSWFDTHDAGDTYPDAAGNEIVLYLTKVVAYDALAALGAAGQDVLVEWGVKKSSRE LHEVVGTAGPLGSAGIDGKKMARALRALLRVGLLTSLP LY89DRAFT_689418 MAPSNFPGPVTLYTIGYAALKYPSLPPAPVNVPLGDQVGLLQHQ LPHAQNHQIAQLASQYLNALLTYQTSSDPALHDPSTPQYYFSTAICLLNFLNTSPDVC KRIASHPTLLHDVVEKLLANDVVEKMKAVPRPGGANFPAATFDDDFGSLLQFLSTVLL YIDDPSSVHERFQDLIPKLRAWKREFRGSRVRTISNAADRLVGQIQGTDATMLKLIRG MQDSSLVCGVQSCGVRGAAGLTVCGTCKIQRYCGREHQKADWKFHKHICDKGLVEPED LY89DRAFT_251155 MNWFVWLSARYCFSLVVKVWCMPPSFLFRMPRVALRRRLLYSIC GIIQSTMIHGTGPRCSL LY89DRAFT_626405 MFSRWRGSILSAALILTFLLYIFSIRNAYQQEPQAPHLPSDKTK AKFSWKDIPQWFPVDSMKAVPTIITSPIPRIKHEFKRETPEAKRIRMERLQLVKGNFT HAWQGYKEHAWLRDEVKPISGGSGDPFGGWAATMVDLLDTLWIMGMHEDFQKAVAAIN SIDFSTCALEELNVFETTIRYLGGFLAAHDLSKGKYPILLQKATELGDMLYKAFDTPN RLPIVRWNFKNAAAGLPQEANDNVLVSEPGSLTLEFTRLSQITDDPKYFDAVQRIMDT FSQQQDQTKLLGMWPVLIDEKHADFKSYHGFTIGGMADSLYEYLPKQHLLLGGATQQY RRMYEYALIAMKRNIFYRPMTMSGEDVRLAGNVDSDGTMHVSELKTDSQAQHLGCFAG GMVSIGAKIFENDEDLVLARKLVEGCLWGYEFMPNGIMPEIMHTVACDDTENCPWVEE KWHEKVDEMISGAEDVKTKIVNNRLVPGVTKVDDGRYILRLEAIESVFILYRITGDEK LRERAWNIFSSIITHTITDIGHAGIEDVTSSTPRKLDRMESFWMAKTLKYFYLIFAEP DVISLDEYVLNTEAHPLRRAR LY89DRAFT_739805 MFCHNFLNRTTAFLIFLTSTSALTIQNILWNIPNGNLSSLSQTF TSSQTLPLSWNAWDSTQYVDTTKNLVDLWATAFDYNLNPFSQRLTVGINLTSAGNFAW TIAIPTSNLTFDAKYVLRFKVTSPIYDASSGELSSPGFLVLAASSTSTSASTSSFVPS TINTSTLMPTDTTSTTPAALGLSVGAKGGIGAGVVVAVLVVGGVLWFFPLVRRRGKAR VVPTQPLFDERNNDDGPAEMPVKSTHVVELPAG LY89DRAFT_251185 MANPRPSLTLRSAMPHDVPVITAICLTTMPTTDLWSYRYNLASQ YPHDYHFFTSKRFALRTDPATREGFLVLVIETLEDDVPVVIGYVVWQGPDENWAKDLP GLGQRSDYPPASLIQDGLQERYGLKLQSSSLNDTTRRDADPARLQLWREATARVKKEI FDTEYGVRQLDLIQRAVLPKYQKQGVGTFMLYWGMRIATEHSWALTSIVPPKACKWYE ARGFKLLATERLQVEGQEQSVEFLVMGAKPV LY89DRAFT_689420 MEPTVKMIERVMYPISAFFTSMPPQEALHSRPNAEPSGVTSWET SQLNPKNRVDSFTPMTPSPWHIDGAQADGHRLFILPDFAIGNAPMRIDAYLSDQDEYD LPTALRKVLRPELAMCVKSRQVRHLPMSQHILNALQYWAGQMVDFEELYWSMPFGSYL AVGSICADVREMEFHLIPSYKTEEMWLSERDLQAEWAAHGEAVELPEVVDISGLCLWE QPHEAISIVTIPSLTGEKRWVFKSILDEVKYMYHELWMLCKIAPHPNIVQRPAYIVTK QSRFGGKKGVCGFIIEYYKFGTLRDALSSASLGLRPPPSLKEKLRWTRGIVEGIIHIN HDCNNYFSGIKHVNIVMLESNKGSGLHPVIIDFEQRTGRYPWVPPEIYYINYLEYISN SSFTPPEMRTRATALLQSYIPDWKPDKQKQKLYKFCKEGYSTAWKALSREEKESAQVF MLGKLMWYIFETVGAINNGLNLEIFREEDCDIAFPEFRQTPQRIQDYIRRCTAGAAEW SGRYPSVVKARGKLWPRGRSGENGEEEGTEREVLEVAKEWWVRELREAERFIVVRKRM GVMSTRLAGDVGFLAFMKARPSLGELLDMIKLEEIELEMERL LY89DRAFT_723384 MALVIENIRLPGGFRLDIPTESFHQSKLGNESLNIFDGEPEETY GSENLQTFKTTEIPLLPQLEAPLVWTGDDFKSASDYTYTLTNDEVNEIENALAHFKAL GLRGNEVAPTTFPLPTLGSKLKSLCHELFSGRGFFNIRGLEPKKYTPEDNVLIFLAVS SYLANRVGKQDDEGFMLGHIRDSTESSTKPEMRPFRDSTLQLTFHTDSFTDLLAMQTR GLAARGGGQVFTSSWKVYNELLKERPDVLRTLVEPNWYFENRGTLEDGKPRAIIFLQD GKVAINFVRYMLLGRAGLARSLDLPACTPAQVEALDVLEAVAKKHQLLIRTQPGDLTY FNNLALIHSREAFENDETHVRHMVRLWLRNEELAWKTPLALQRGFNKVFYDETVEEQW NIAPQPRLSFKVHQTLGP LY89DRAFT_596060 MANDSSAPYQLVPTNSYNTELLQDTQSSFQPPNDDARLDRIQFG NQQHIPPAAPSQQNHTTVESDPIPSNTEPNPPKTKKIARRTKVTASRAAAPARVEKQI DRGKKPRRSFDLDERAATACTRELGSCARCKMQKSRCVRNPLNPDGPCMRCLSDSAII NIPCLRKKIPDARFTPAKDCPEPYWTTRWATMDVKEIDVWASSEVRTLVLTQDIGSAK DVLTVRMIVPLPGDSLTRTWMSKSTGERFHHQTAPWAIMNMTRTAHEPSVNIDNYIED FINHFVNPNDKLLVDTYLMAFRLSTSSKVEEERVLLRNVLRLWVAARRGTKPQRVIGE EKLGMLPQTLDRNRYDYGEVPVPPVISAQLSLLREALIIRPWTQEVRSQLEALVAKKK PESWLTVYLVMFILLHNCSLLTAYFMKKAKNLRLHDKYHAIAILEELHFSVSILLTYY HYVNKGALCFATGHASSRDIQRLKLDDDQLSFLIFSAKEVKRLEPSMKKAREESLFHH EYYFISQLYDLNWVLPEHTVASVQ LY89DRAFT_710554 MIPELSSGFRSIVAFPLPQKDLQAYIEKVNSYYHFKVASHHSTL GFVLPFVAEVFARYPGWELNSNSTPRTLTLNAGNTELSRSALVASTLADICAQKHFAV ISKWRNELKPAYGPTGEVLFSMERAAVTLLGIVSYGIHMIAYTRCAETDEPKLWISKR SKTVAVYPGLLDNCSLVREAHEEASLPADLVRANAKACGTLSYLHSRNARAGGEIGLL QPDVHFLFECELPADVEPKPFDDEVEWYQLWDIEQIKEGLRMAKFKPSFALVMLDFFV RHGVLNEGNEKDFVEICARLHRTLEFSVGGVTFAQRDSEAKI LY89DRAFT_689423 MSSIKNVIVVGANGNIGSIVTQHLLSANFNVSILTRENSTSTTP PNAQVFQTDYSEASLASAFKGQDAVVSTVGVLGKPTQTKLIDAAIASGVKRFIPSDFA YKSNDMSDIERVIPLVYQRLTPNKTILDYLEAKAAQHPNFTWTAIGGGPLFDWTLKTG FLGTSIPNHTSTILDSGNESYATVTIPQLARAVVSTLSAPEKTANKYLTVTSFSTTQN EILAAAEKVTGQKFAVKRVGAQEWHREGLEQFGKGDFRGLGKLWGYFFWRDGEGLVKD VGDDNGMLGLPREDLESVIREVAGL LY89DRAFT_674938 MSNPTEKEYGGGAPEVGEVEGAFNQVEHTHAYDLDLKADVADYK ADAVEAENAEHKMGVIDAVKAYPMASFWAFIMSFTIIMESYDVFLIGNFVALPAFKQQ FGVWDANGSPPQWVIETKWQSALQVSGQLGALIGVFLAGPLTSRIGYRYATLTGLMLL NAFIFIFYFAKSLPLIFVAQLLEGLPWGIFIANAPAYCSEIVPIKLRAPATQMLQMFW AIGSIIVGAVTYRYNGRLDSSAYKIPIALQWMFPTPLAILIFCAPESPWWLVRKGRLE EAKHSIERLGRRSRLNSSEAVAMMRRVVELETSEKPPSHIELFKGTDLYRTLIVCGVY LAQNLTGNLIANQAVYFFEQAGMGTNTAFALGLTTSALQMVFVMLSWILTTYLGRRTI YLWGSAFNVVLLVALGVAGSVDRTHASNLAQASLGLIISVLFTLGPAPASWVIIGETS AIRLRPLTTGMGRAAYYIIEIPCIFLGSYMLNPTGGNLAGKCGYVWGATGFLCLVTAY FYLPEMKGLSYREIDILFKRRVPARKWKETVIDVQDDE LY89DRAFT_626419 MSFGGQTPTIIVLKEGTDSSQGKGQIISNINACLAVQATIRSTL GPYGGDLLLVDANGRQTITNDGATVMKLLDIVHPAARILTDIARSQDAEVGDGTTSVV VLAGEILKEIKDHVEQGVSSQTIIKGLRRASAMAVNKVREIAVNTSEGNQRETLVKLA GTAMSSKLIKRNTGFFTKMVVDAVLSLDQDDLNEKLIGVKKIPGGSLTDSLFVNGVAF KKTFSYAGFEQQPKSFKNPKIVCLNVELELKSEKDNAEVRVEQVSEYQAIVDAEWQII YNKMEALYKTGAKVVLSKLPIGDLATQYFADRDIFCAGRVASDDLDRVIQATGGSIQS TCSDIHPEHLGTCGRFEEKQIGGERFNFFEDCPQAKTCTLVLRGGAEQFIAEVERSLH DAIMIVKRAIKNNTIVAGGGACEMEVSAYLHRYADKNVPHKQQAIIKSFAKALEVIPR QLCDNAGFDATDILNKLRVEHRKGNTWAGVDFDNEGVRDNLEAFVWEPALVKINAIQA ATEASCLILSVDETIKNEESAAPQAPQRGLPPGAAQRALRGRGRGMPRR LY89DRAFT_710558 MTTQPNPPKRQKTPKDAPYHLIYWPGIPGRGEHIRLLLEEVGAP YTDSAHTKDGMKLVTTAISTANLGDAHNPPPLAPPILKHGDLTINQTSNILFYLGKRH GLMGADDDEDAPYKVNELVLTALDGLSNEPHDVHHPVSTSLYYEDQKVEAKRKANDYI TNRLPKFLGYFERVLTGEASKGGEWLYGGRLTVADLVLWQCIDGVKFAFPNAMRRLEK GGGYRRVFGLYGRVRGRERIGKYLGDVKRQRYSMGVYRHYPELDEDGEGKGEHSIRSQ VILRVIQCVLHTQTNNSFA LY89DRAFT_723391 MDMVPSWASDRIVTLVKDVIDSIEHGKVEYAEFKGGSSVVSMRT NVSFAGEARVRPSIRHILAQAPHNLPFAINATSRESASPDVKPQHSNIIYFKTLDTIS PSTLQNLISKINSLVDVLNNDADQEYCYENTVKTFDDGYWEVGGKGRFGKDMLDGARI PVRLAVGDVGGVRGS LY89DRAFT_674942 MVYSTSRQSTAEDHELQPFYGRDNSSQPDAAQSLSSNEDHHTPM LGHPKQLDDRSPKMESENPEHKPYLKRVYDKLVRGHKYAEIGPLQPDLHQRAWRTGVK SATLGATVIFILNAGITLFIATRCSKISFLDSGLHVGINILSTAFLSAINFVMQILNA PSRSQLDDRHQLGMSLDIGIPSFKNVLRVGWLQGFLWFFIGSFGYHTTSLAAYT LY89DRAFT_251412 MSARHSPIEDAVSSDDVPSLRPSIEGHTLTPTPGAPSRTSQTSP LRQTDFAEIADDDPELLALIQAARQQLIRDRATRASTIADSAPAMGPDLRPAQFASNA APDDPSGDSSSDSNRRTHPHFRGPSARRHRGEIPTQLTPAPQTKSIAPALRTNYRFPD PPLFDNGEDPTFDSWIIDLDSKLEASGYMDPSVSEKQRMNYALSRTTIQDPLSHFVSS SRDITRLALLGFPL LY89DRAFT_674943 MNQSDLPSESSSLPEGQPDEVGVDSVVTNLTAGQFHFFVKLPLE IQLKIWECICFIPRVVDVFSSGTGCEALHNLDDNFHDLFGGDLRVDFNATHCHPPTIL HINMPAHIYINWECDVVCPMGTESRDRKDMEVQLLETKVSSGKVLRYLAIDPLTIEVP IGTNLMDTMDFSDEETELSWAHLLMGCPKLEEIILYPLPDNYRMHGTPLELIGISEVD IERGVGFKVPELKKVRDVILNLNGRIEMSRKATEVRELSKVQEVWVPLVVRIMGVTTG RKTGEVTVTVISPIRPDFFEKLQIAVNIAQLFGRR LY89DRAFT_739817 MLELQATLEDLGLQEYLSRLVEHGFDTWDSLAGITETDMAALGI KLGHRRRLQRENARRLGYPANEPLFDLPAAAPQMMGQYNREDGIRSCGGPPLRDPHVL PRPDTGYAAYARLLRHDPKISSLSLIELARLVRNRWSNLSGELKDMWNEIAAVQKDTH NSPPAQHRQPEECWVCSGCSNSGKLGEDQREAQVKCNNAFPETSRIGLPWSIANTQAA ENSTYSFEFTNQPPELAQGSPTASASTIYTPHETEVLLSRYKGYPTTSVYGSVKADVD MPLIESVWKIILRDQALYSAVLPIQLNQDNLSSCTCRRPAFSQSLHVKTINPFDLQQR SMLQLMIDSFLRNVNSVYYLVNPDELWNHLDSALNMTLGTPNLVTSIVCVCLALGCQS YHADATDMAIMWYENGRRYLDDRDWCLDVAVMQVLALISIFHMAQRPATSSHYLDAAS DYILLLGCGTDGSILRISQTSPQSPATPRHDTRAQSTVATIAFGELSDTLGCVQQQFG QSNTLPLWRGILFIQKLKSWLYNLPDELALPIVHGLERWDLGLIKHVFSKASDTRKVE LLHIYSLYFGVIGLLTGPSLQQIAAADTKQPLSAMLEAESNAYECLNSALRLIDLCTA FSCVSLFPTYAWLPQCVLFTSSLACTLGIIWQRQVLDRDASASQEWLNRSYSSIHDAL VILGPCATRNEQAQRHYRVLQQIVEWLQATHVTYVDPHPYRPATHVIH LY89DRAFT_739818 MSMQSHLQDIGLVQYAQDCGFETWRDLVCITESDLEQIGMLRGH RRKLQRVLNLFSVKENGPLLVTSTSEQQYQKRRYRRHPKRDSNAPARPKTAYQLFARE VRRQMQDDNMTFVELAKLVGARWAILSPDERNTFLSASKAKLRFQDQLRLYTGTEEHL RYQQYLQGFKNQHDSSGQAQQSSEARNSHMNEQPSEPTRDDAILGSQTTPLQRQQGQT TGGATDGHTLVGSGERTLAKVDRYEEMKSSSPVSCLFQANCANPNHAVPIEGHGENQI RDCASTSTTRLDNSQYFRTVLHT LY89DRAFT_626425 MAYFTPADLQLHKHDEKAHKFLQVAPQDGPASPYLRPGASNVLS RSPILVLSTLDSAQNPCITVWEGRAGFIKGIGQSNVVIRAIVNREHDLVAKELLGSRA IGEVMLDGRPTKMVSMLGIDLEAHIRVKLYGRLVSGALAEVGNGTNEVLLVITVERSF ITRPGSFSPKHVLPNFFLPRLISQSLPLIPEIVNVLAKAEVLFISSSNHNLYLDIDQR SGPPGFVHLSANDENGCTLAYPEYSGIRLYQTLDNRGKIPTAALLFPDFSTGNAVFIT GRKEVLAREDAIRVLPRSNIAVRITVQSVRYIEHGLTIYAMRSPRASNAYGTSSVEQV LYDKSQKKQIFARLVDKQLLTPTVARFGFHIVDAKETSQWEPGQYVTLGFERLLGPQL RSDARVSENTYARKFTISSGAGEFLGYCRFEITIRKVGIATEYLFSQDGETGVEIPIR GFGGEFIFQQKALETIGFVAGGVGITPLLAQTGRLHLPRTRVYWTVRAEDLGLVVDSF EKAPELCGCTRLFVTGEIGDEGAQYLTKLGAMEASVEMRRMACDDLQLNFDSPNAAIK MWYVCTGAALRKTLLEWLNDRNVIFETFNF LY89DRAFT_674946 MYDSKSNSALNNAILNSPHPTMQQQDSNSDVNRFFNVVDLVLPT GFSNMSWGTDQIFDFNNGSEYPFPQSQSHASSFDIAPGQAPALFMTGFTLQNMLPFNN SRVLPTGSFAGRTAAPSALLNTTSSGYNTRQVTGPSAQKIPSDMSSENTESNADPAPK KPRKQRKKRSKEMSQAEAEEKRQKFLDRNKVAAHKCRQLLRVILLPMTTIQHTPLL LY89DRAFT_674947 MKFSITLLAVLATFAMASPKVVGEMEVRQFDCSTCGCSSSDACT FDVSLHLVLGVSNCWQDTNMDKCNSAANKRTIRTIRDYRDGLKGRGSFSSLYGVLDQS LSSADEKRVPIVID LY89DRAFT_739822 MQSLFILALLTSAHALPNITSTPLAPGTCQGYPGWVPQPIGTLT QQFFFEARDTSNISLDGLRCSISASSSQLVIYTDPTVAFNIWSCGGNGTVEDIHGGAP LVFEGGEGEGELGYGGGGTGMGQSPEVFTHEVAGVAQDGLFLGGGNSSTWGFELVEVK SGGNDSEYYRMRLLGAKTVLKNGELAGFVRIVAL LY89DRAFT_787201 MSQMDVDRDRSYESNSGEVELSKVVPIAVPYAAIEKSSVTANVR HVGFVSSSARIVKQLEEVVASLKVQQIQKLEEEVATLKAQQLRAESIQSSSPNAERGF PTPQSWHDEPSGPEPTARDLQLLSPSTLSDLCDAWFEKYHSWFPILHKLSVLSAIQSS NPLVDSPISIVLKAVIAVTLPHWCLSNPLSAIQREHISAHFRSQVIMQAISTLSLQSL QALLITTILDYGAGKLSEFWNLVALCKKMGTQLGLRDLVANKCDNFNKLSTIPPRMLA LPTTLIEQEEMIRAYWMTEALDSISSLGAGWNLSISQPENHESSTFPCNETVWTFPEN ASTAFSSFGDTEVSSAFSLYLNLVTEQLYQIHAFMQQSFDVTSAIDRVRRQAQCTFVD DTLTKWRTSVVTTQTLSQRNPASDSTIVMITVTYNTAVVALYQRLALPPEGLGKVHGP WYHAIQRCLSSCDDISMILRAQHDADLENMSPHLIFCIFVAARFYIAHAKVLSVETPR SLDLLVYALKTCSQRWYLARRLEKVLRHAIAEEKVPISMSSLPHQFYDLQYSSLDIDE ALRVWADRELFQVVADNDGHGETPKDLPL LY89DRAFT_723395 MVLSGYPLEVALTVANSAAQAWYGYDQGVISGILISPYFISTFP QTKNSNTQGITASCFSLGNLAGCLFAALFGNRLGRKNTLRTGGTVSAIGAILQFSATS FPQLIVGRVINGMGNGMTSSTCGMYQAESCRGPRRGKLSVIVVLHNVVFYCFASWLTL ACSYINNSAQWRLPLALQLIPCLAMFSLLPLLPESPRWLVMQDRMDDAQDALCRYLGK DLSPDDPEVLRELASIEEAYKLEVQSRISFKEVIMCRDRSRHLHRLLLGMGGQFMQQF GGINALNYYFPIILEDNIGLSTLMARILTGCNATSYMISSALAFWMIERAGRRTLMLS GLGLQCLAYVMVAIAIALQSEAPFQWGAVAITFLFFYYAAFGCTWGMVPWVYQAEINS LAMRTKGSAAATATNWLFGFVCTQFTPTGIKNIGYRFYIIFAAFNLLFVPIVYSLYPE TSNRTLEDIDEYFDVDSGHTTIIPIGDKATKSTARPQEAIDAEVRRVETATTLVGKKT DDPTSVHVERLGAQ LY89DRAFT_787203 MFAERHTIKLPRFPAEPSLQKAKPATPKEVAQQWITKLESVLKN KDAAALSTVMHTDCWLRDMLAFSWDIRTIHGLDNLAVYFGDNWNHSTLSNLRIRETGK FSPSTSSPIEGLEWLESMFDFDTTVGKGSGMLRLVQGPDGVWKGYMIYTALQELKDFQ QQEKYLRPHGGLEKLPGGAAKGNWFERRQRQMEFLDEDPTVLCLGAGQSGLNMAARLQ AMGVSALIVDKNKRVGDNWRSRYRTLVTHDPVQYTHMAFLPFPSNWPLFTPKDKLGDW FESYANLMELNVWTQSTITSSTYDDNTHTWTVEIQRGDGSTRTLHPKHIILCTGHAGE ALIPSFPGQDTFQGKVYHASFHQDAAETGSAAGKKVVVVGTGNSGHDIAQNYYENGAQ VTMLQRRGTYVISAAKGLFMLHEGMYDEGGPPTEDADIAGQSLPIPVQFALNVDLTKR IAEAEKENVEGLTKAGFKIDFGEDGSGIYRKYITRGGGYYIDVGCSKLIIEGKIAVKQ SPGGISHFEPNKLVLATGERLDADVVVLATGYDNMRTSARKIFGDKVADRCKDVWDLD EEGEVNAMWRPSGHPGFWFMGGSLALARQYSRFVALQIKAIEAGLTQR LY89DRAFT_723397 MVYPETFEGFQVDSAETWTEFKKRELIPKPFGPYDVEVKILACG VCGSDVHTITGGWGPKNFPLCVGHEIVGEAIRVGPSVTLIKQGQRVGVGAQIYSCLDC KQCKEDDETYCKHQVDTYGGIWPGTDTVLPGGYSSHIRAHEHWVFPIPEKLETNLVAP MLCAGLTAFSPLVRNGCGPGKKVGIVGLGGIGHFGVLFAKALGAEAWAISRSHSKEKD ALALGADGFIATEDKDWNEPHIMTFDLIVNTANSSDGFDLGKYLSLLGVHKKWVSVGL PEGEGQMVRSHDFMMNGCFIGASHLGSRKEMIRMLELAAEKQIEPMVETIQISEAGCK EAVTRMKKNDVRYRFTLTGFDKAFK LY89DRAFT_759740 MAPSVAHDDVQVQPASPVSNKSRTIPEIKKSVQGLTQSASFPAP LTYSGSLDHYESFDVTSVIGREYPKVQLTEILKDDAKIRDLAITVSQRGVVFFRNQDI GIEDQKILGQKLGELTGKPATSKLHKHALSNSKRGIAVDENGKLDDEVSVISSEQNRK YYQGRFFGAKRVASEGWHADITFERVPSDYAILKIIKTPEDVGGDTLWASGYEAFDRL SPVWQRFAETLTATHHQPNFNKVAAEHDLELIDEERGAPENKGLDFTASHPVVRTNPV TGWKSLFGAGHQVHAGWIDNVTETESEVIKAYFNKLIAENHDLQVRFKWNTNDLAIWD NRSVFHTATNDYDGKRQGNRVVSLGEKPYYNPASKSRREALFGLA LY89DRAFT_787205 MVLPLLTIIGATGNQGSSVLASALESKQYRVRAITRNPTSASAV KLLSQGIEVVSADLDDEASLIKAFEDSTAIFAMTNFFGYWPQTSADEAMSIERQQGIN LANAAMKTPTLQHYIWSTLPNFGKITGGKLKVPHAFAKNQVDDYIKSHGKLLEKTTFL WCAFYAQNFAYPIFSPTFLKTAGKYVLLAPVPANTLVETIGDVSKNLGKFSSAILAQP EKTRSKIVLGSIETTTMGGLLQSWSEATGKESLYVELANIAEYDKLFPLWGDAEGLVF KFWEEQKDKSWIDLDGMEIITKENLKLEKSDFVGVKEAVEGMDWSGL LY89DRAFT_723399 MAATLVQAAPYSQAGGSTMLRFGCSQISIDRVDPLVNPDAIPSP HMHQIIGGNAFNASIASTDVASLATCTSCNFADDFSNYWTANLYFKARNGTYKRVPQI PNRSEFSDKFGPQMNSGFIVYYVSDGKGKVTAFKPGFRMLVGNATQRTKVGSGRKSQS CFRCYSGPNFGGDNDAPCADASLDTETLPANACLGGIRSNILFPTCWDGKNLDSPNHQ DHVALPTTGAQAFTGSSTGGACPSTHPVKIPQIMLEIVWDTTGFNNKAEWPADGSQPF VLSTGDTTGYSQHGDYVFGWKGDALQRAMDGGPCMGASCASLPISAVSAAKSCTVPKL YQENADGWISSLPGLD LY89DRAFT_595666 YVDYNTAYDYGMIESDNTGTELMPDSTTVLNPNGVGRDSVKLVS KQSWTHGLVITDLNNMPGGVCGVEARHWELMYLGEIDIIGGVNNAAYNQMTLFTQSTC SVAGDGQIGTSQSTDCSSSSGCSVLYDSDPTSFGNNFDNNGGGVFATQWESDAIRIWF FDEGTTPSDITAGTPDPTLWGEPVANFQGSCNIDGSFANNNIVFDMDFCTVLAEANWA ADGCNSLAATCREYIASFPEDLEHYNDFQDVYWGINSVKVYQLGAASSSSSSVAAATS TQIAAASSSTVVPAAASSTSIPAAVSSTSSTAASSTALSPLSAYTYYGCVEEGLTTRI LYDDHFVYNPMTLESCAASCAGYEYFGTEYSDECMSSPFPFPSL LY89DRAFT_655702 MGKTFTTQDVAAHNKPNDLYIVVDEDVYDLTQFQDEHPGGKKIL TRVAGKDASKQFWKYHNEGILKKYKSKLQVGSLNTKKAAAEPAPAPVEEKKEIVKPKA ESGAVVPVPAVEEFETLDPFGDLIPFADPSWYQGYHSPYFNETHAALREEVREWVSSE IEPFVGEWDEARKVPDEIYKQMGTRGYLAGLMGMHYPVDYTENRIKSVPAEKWDLFHE MLLTDELSRSASGGFVWNVIGGFGIGCPPLVKFGKKELVKRILPGILNGDKRICLAIT EPDAGSDVANLGCEAVLSEDGKHYIVNGEKKWITNGVWCDYFTTAVRTGTKESGMNGV SVLLIERSMGGVSTRKMDCQGVWSSGTTYITFEDVKVPVENLIGKENQGFKVIMTNFN HERIGIIIQCLRFSRVCYEESIKYAHKRRTFGKKLIEHPVIRLKLAHMARQIEASYSW LESLIHQISHMPEQLAMLRLGGPIAGLKAQATVTFEFCAREASQIFGGLSYSRGGQGG KVERLYRDVRAYAIPGGSEEIMLDLSIRQSLRVGKVMGMKL LY89DRAFT_787209 MDSLEEQTRSAELRCSKPHYSPLHEDQDEIRLISIQQSNSNSSL VRCEVVTVSLAALTPEYQAFLSTSVPNTGSKRDIKSRWARLHVQKPEDTSEDIMDRHI PIKSNYRFTWGDYAALSYTWGDPTPTRQIVVNNQDVSVGQSLEIALRAISTRPDFQDG FMLWVDAICINQDDFEERGCQVGKMREIYGGAWTVIAWLGEEQDDSDEAFKLVQTLSE VDVDHGEQLAAKLLDHPEYLGFGCWVALRDLMSKPYWYRLWIIQELVLGSSSLVLRCG NHFITWTSFCQGIVILYDYLWTVKNNLLSYELCHLDITENKPRQWLTTSLHLVHQDLW ALSHCEEQGRAHLPFDRLLNVANSANSRDARDKVYGLVGMMDPIIAKQIVPNYESPPA VIYASVAKTFIETYNNLEPLREGNPWSWYNTPSWTADWTWDGRLRHRNPQKNDLWGPF WTRKGPPEMIEPATPYRASGNTAPIISFSNNNLYLTCRGFIIDKIAALSARGDGYFDW DSTSISQPTHSTNAYGTPEGVAKALYTALILDRVADGRPAEARHSAILSLPSPFSSAV PQFRRLGWKWLAAQEGYYFRYSKWRRANQPFLLFGQPLDSYFSEEIPEGVEEYDYTEV YSCFDRTCKGRRFMTTEKRHLGWAPDNVFAGGERQCLVGDQVVGLFGCSTPLVVRERG EGLWLVVGEAYVQGMMEGESLEGLRGGAFEMRDFTFC LY89DRAFT_543365 PGATTSISTDLAPATTNTNACMVCTPYAVNEDNCNTIANCVPQY AVATVTVGSAPVHVGALTGTALYTAVGVEYVDVDNSLNSGALIINVPTSSYNITSLRD AMIASISLSIQTSATGSNCFNVSYGVEQFKPRDGMLGWSDQMLKVPRNLLGLESHDAL IPRDHPFQTEQHMIMCNAAYFHTANYYDPWWRTAPQPGPTDFMNAVFTFQASNSDNFL CEFLDMLVDGLTMLAPEF LY89DRAFT_558278 YMLEHGWNPSSYGHNDAHPLTIAAQSFPPSTLKFLLDHGVSPRG TQAMHAAVTWAAIDGEITGGVVTDPTRYEVLDLLLQYGADVNEMEVDPKARRPPRRRD GFTGTPLHRAIGQESLEMVKYLLENGASP LY89DRAFT_674960 MRILQCAHVLLSVLSFLALSDCRIVGRTNNRVGARQEFHNELVR LEELARTRLPWNLNDADHLERLKLRTANGLKVRDDDEPPFELTGDQTSMVLNLWENTR FKVPVNNMFVKYDITTDNSIVMTTYSCYGCTAVVMCSAPGKLCIFAHFRQENGGKKDT WPKGQSQDVAFAIHVLEPINEAIKLYKDPLVLGGPLCIIFSPGISVPPRSFIYPWRIK GEGSINEAITDAFPSASILNIGYNYPTSKGDWGDDWGWGKLVLEWVGGASSCANGGSS LLNVFAEENWWRSLRFDDDGNPVTVDKTPCQSPVGSQDGFDGDVEL LY89DRAFT_595560 LVSALASSDLSISHIEAFVVALKITFNISPLISNLWWGSVQLLL MVCLPTTDLMREVARLLQELDLALPQLNEFFDHLDASHSGAKRFDTLIIKLYTQIVCF CARSIRFFRLIWILGILLRHSRLEFSNQQERSLEILQRLSKEIEQERDAIQLKIGKVD EEVLSMMMTRQLLESPGTVKLPCFHAPFTINERFYKRENIISQIEAYLNPKTSSTQLK SLSLFGLRGVGKTQIALQYTYQCRHLFDAVIWIPADTHLTMSQNFLAVGQHLSLTPKD TRVRDPAGVMTTVKAWLSDTPCRWLLVFDNADDLDIFKYGWPGGVMGSILITPRDFAA GHTFASTGIHINTFNDEDGSKAILSMTRLPKNNANKLMAKEMNAILGGLSLALNQMSG FILSRKLSLQQFLPLYKRNKDDIDGRKTAATGAGDYNHTLGTVWEVSLSKLSGEAAKL QRLLAFLQPDEINEAFLVSGAQVLADTDDSHALAFVAREMSLLDAEQILMQAALVEKS EISLCLSVHRLV LY89DRAFT_759760 MSEETQYATAEPLIRRLEIKKVFEQLTPDEKLYAHYSSRAAWAA TRIILRQVSPEAEQIYKFILELHKACNGKWESLLDRIDLKQLLGFAAIFLANIGNYGY GDQKFVPRISRDFAYSIASAASENATSLLDHCIGYKLSQEPHMIGYTSATSQNQYFTG DTPFTQADANALGHIMDQNRIGKENTRVQRIIATQDGEATLAINILQASVARDDQPRD LDNMIDGQRLEPYKDSQRLWVKDKSPVVETIFGFVEPYRDPAGTRAEFEGIVTIVDKQ NTKALRTLVEKSLDFTSKLPWVEIGGSHGEAITSLGPFENASFESPDFTSVHALTYCS TIIFLGINLPNFEHIRENDGFKNLILANREIPADPDRHDASSETPFIDSAEVRTFISH HHYAWNLQITIHELLGHGTGRMITEDFNGKPNFNITSPPISPLTQKPIKTWYKKGETW TSVFGKLATTIEECRAEALGVIYNQYLHFGVLGVESLKSYDPQSQSWGQPHERGHFAM IRVLMAQEGFMKIDIDESLGRLIVRIDRTKILSHGKKALGELIMRLHVYRCTADINAA RAYYEGLTHVDDYWLTVRDIVKATPAKTLIFLQANTFIKNGKVLIKEYEPTVEGVIQS WADREY LY89DRAFT_710568 MNDAHRETSFDVLIVGAGLSGIIAAQRYLEAHPNCRLTILEKDD CVDGVFSKRRLYDDFWTQWTVGLAEFSDLPMQRPPEEDCKHDCFRAKYTTKYLEEYVD KMCHAGHSLRERVQFGIRVQFVEKTDNEWRISCTDSSQTPVVFSATKLMIANGENSLQ NMPNLPGKENFGGIIIHSEAFGESNIIFDDTVKDVAVIGAGKSSADMVYEAVKAGKNV SWIIRTTGTGAGFFALIDLNTPYKNGVEAAQTRIMSSLQPSLLNEDSWWSWCLHSTRP GVMLITKIFSILDKEVRKRANYKGRKSTKGFERLEYDTDIFCRELYLGDGTHFPCDAV LCGTGWKPGIEIFGPDLRVRLGLPHLKDDEPYEMTAKWEKLALEADEKVLKKYLLLAH PPDHAHRHIDTTPYRLYNNIAPLNDDTILFMNHVTAGNKIFAAEAQAIWAVAYFDKNI NLPSIEEREKEVALWNAWCLRRYLSNGERGNFAAFDSVPYVDKLLIDIGATAHLKGWW RDTFEPFMPSDLGKAWKEYLESSETKTSFNS LY89DRAFT_739839 MSSNASPLAPDLNLIPAVPAPPGVSFNPDDLDNYKHRHIVMHSV GLSIVTIAVLIRLYTRAFVKKFVGLDDYLLILSWMASITFSTILAYSTRYGFGMHTYD IPASKFLITFKWLTISQWCYFPAVLSVKVCILLTYLRIFQINRTAKWMICFGIAAMII FYIVAFFCTLFYCVPVQRAWDRTVPGHCISYSAWPYATGIFNFCSDFFILLIPLRPIF TLTMPFWRKVRILCIFSMGLFTCVASIMRFVVTLQSINNPDQTYVAAKVLYWAIIEIN LGIICTCAIVFPAFFDTSEPNSFGSLFGSLLSSRLSSKSELSQPEIKV LY89DRAFT_759777 MTIEGLDASYRIRRRWDFGLTAKDIAHYTRISTHSKTWKLLLPS FLHTSLSDGRQTLHKKSLDSALQGLRGIAAVIVFHRHLLMSFSEFPDYGYGLDKTAEL HLPNHWIHQLPFLRVLYSGGAMVPMFFIISGYTQSLKPLQQMQREQWDSVHKTLSSAS FRRGFRLFLPTFAAAMLIAFSVWLGLYDWGSSFRNTWFDENPSKLPREQTLLEQVWVV CQSFKGLLDVWNWDEYFPDFNPQMWTIAVEYRCSLAVQFALLVLSMIRPVLRELILTS IIWYCHVHGRWDIVCFLAGLLLANINLTLRSRVKNGNLGSEYPAERERQDESKGKTVL RSLTFLVGLYFMGYPKKDGIFTPGYQRMGSYVPDGWVDHRFWSTWGTFLVVGSVTNSP VLANFFEYSLFQYLGKISYAMYLTHGPVLHITSHVLVPMMWRVTGRENNFCVVLGFEM ATFFVTIPVLLWTADLFWRFVDVPCANFAFWLKTKALVA LY89DRAFT_674966 MQPATSAYQQDPLVEARLNKHQHAAVACLLTHEFHKLDPATGDA SCQVRAIIVLIFHQNLKKWLDDIWDNAVKLSQDYNYTHLGDKPDTKTKTITEIQGADH SKDFDDLVNVVRDFLGEEDFELLGLTYSLCAAGIAGLDEFDIDFRHRSNNLWGSHEKS LKARLAKLSCATFIKFAEEVHSNGKLIDVLQETRSVIKNEGADDVPVLSIQATFLTAL AILYARGIPIVNSIIRIQINGDGQSQHHTYTFGNARSFIYLANHQTGKFELLKNPSPE QKCCPAFYIKSWSTYHANSTSKSLYSPDHKLYYHDFAKISLLWAVIVYSAAHPPFSRR AERVDLDLTSAYEGIDGTIQSDLALQHDRFDLEGLNYENLVSRSSDRPGPNLATKHKF ALEVANQHQLNEECQFVRMGAPSTECTWRITKPIDWQIAHASAATVSWVDNRLEGLAE RHRKASNAIIGRFVFSLGKLGASHVDRSRATELHNTKKETVRRKNYLADYPQNESLLN R LY89DRAFT_535062 IPKADPKANEYPEPNCLDQNGGNNPTWKHKVLCGCVSIDLTIES VFLATSPSQQVWGFSNEACNPGVAKSESLGQSGGGCHNVGTEVTANPMQSALLWVPFI CDVAELMGD LY89DRAFT_723404 MADLVTLISQYDILELMSHHLAALDLLRLASTNSNVYSFIRKSE PIFDRLKRVTLCDGHGLKARQDYKGIYELPIYDGKSADVMGRKMPFDEELEVRVWNRT CDPTNGLPCLKCGINVCEECRFVPRVKFMPNERGRQKQHFAFTPYPITTYVMCFCTAC DEKVERGLPFSLSEYCDCDQYKRWICLPCKVKEEQMNIEYLKTRTKVMPGDGSPEEGT WFKERYGEYLAIWCPCGERAPYGANIRCLCCKRRHNLDTWKSSRASLTPFNSDPCYPG IDYDGWGNQIMYPRMGYKGPIAP LY89DRAFT_689443 MNFLHLFLSLLWILFASLTTAASLPLTSRQTCTYGQYECAPGDV SFWVCAYGGYREVAGSCGEGEHCDDSTGSIVCLP LY89DRAFT_689444 MAEGSEDQGDTKDAKDIEDSRDEATTEDNEEEEEEESEESEAED EADDDEPEDEPKLKYARLTSHLGPLYRNGDATSTFLVGGDKMVIGTHNGNIHVLSLPS FQSLRVYHAHTASVSAISISPFPPPLPNGLPEGVARVAPQAKTPQRTPTVASTSSKQS PRTPQAQVVPNTPSNAIYIATSSIDGNVCVASLVDIKDVQLRNFARPVQAVALSPDYK NDRAYISGGLAGNLVLTVGGRAGTNSTSTTTGSAAATASGWLGTIGLSGNVGKDTVLH SGEGTISTIKWSLSGRYVAWINEHGVKIMRSNLHLDSADADSAWKRIGHIDRPQDNGW EEMATVWKGRVEWIDEKSLETDEDDKLRESGISSPATAKLRQQASKNSMRIEKLLVGW GGTIWIINVHPGGVGVGKNVGERSVGWPEIIKILRVDCIISGLSLYTPTLLLVLAYIT PDEEEEVEKKPSKKHKSKASTASTSSSEPQGGIRRRQNALSPELRLIDLNTSQEVDTD TLTVSRFERLSATDYHLGVLPAPRKVSSRHTSRGTLETLTAMGSGMWNSTINATALLS SAASTRSNGSGNSNPPAGGSATSSALGKRPGQRNPNVHPHLSAQGMKIFIHSPYDCIL ATKRDLADHLTWLLEQEKYKEAWELIDNHPEVISSSPEKLAEIGPATPDRAQASSDDF YDDGTSTVDSASRLINSAVEKEKRRVGELWIQQLIKADDWTTAGKVCGRVLGTPQQWE DYVYTFVGASKFDEITNYIPTTQMRPPLKSEIYEVILGHYIARNRPRVRELLDQWSPD LFDIKSVTTVLENQLKYRDVREDSVEDGVAGRDWRIVMESLGKLHVAGGRPREALKCY IRLQDADTAMSLIKGYHLVDAVADDIPGLIMLRVSNEQKRTAPKEELRELTFEAISLL VNEAQHGLVSPQVVVSQLQEKNMTLYLFFYISSLWRGDGIEEHQGENRDKLISESKAL VDDFADLAVHLFALHDRELLMDFLKSSTFYTFEKATQECEERDYIPELVYLYSKTGQT KRALTLIIDRLADVSQAIAFAKEQDDADLWEDLLDYSMDKPRFIRGLLEEVGTSINPI TLVRRIPEGLEIEGLREGLSRMIKEYEIQHSISDGVARVLRGEVALAQNTLRSGQRKG VKFDVVHKEGDHVDVESSDVPTNTEDTSAPQVAKDFADHAHKRPPPAPGHCVGCHEAF SDHETETLVGFACGHVFHLSHLLSYEHEGEEERPPTPPELDENGEWSAVHSVSAKVTH ARLMRDRIRDGCPVCARKEV LY89DRAFT_251566 MQSFSRQLACPTARRILNNGIPRPLAFRYIHATPAAQAPRKRNF FSSNAALQQEGVSADAVADLPPAQKRKPVRSPAGKTSLRRVAVEAQRSRESTQRRKDV VSGSEGSNKVTAISVADQFDMDAVVRTLRAHGFLIDPDETGFESDQVIHTRGANNGDI FVFPSGSLVAWSLPEDVVADLATKTLLPAAINPHMDQMETENLEYAEDPKRESSNIKG DVILLGTKKPSHAEQQTDSQVDTTLAKIAFSSGLARSTKLAVLETMLSKYSESTRTIP KILARGSKLPFDRRFMLQKTGELLELRAQLNHYSELTDSLPDLFWDSKHELGLEGYYD QVGRALDVGVRIKTLNEKMDYAQEIATILRQTMSEKHSIYLEWIIIVLIAVEVLFGLR HIWIEKKKEREERAAKAQKE LY89DRAFT_251586 MIQHASNISPSWHRYRQLESRAAICSLCARQRDIRSMHRNLLHD IAKQIKSFLGRYPREILENTQLKGCRSGDPGNHCAGNGQPKTSFMPDQIARLNLNFSP RISMGLAIDRINARSAADDCLTVQISRWSKLEARGTVSSRSLFGIRYSGML LY89DRAFT_595687 MSTSDLDKGGVQHIERTVSEDLNDAQIAEFTPQEQKKIIHRIDR RLVTTLGILYACSLMDRTNLGAANIAGMAKGLDLIGARYSLIVLIFFIPYVLFQPPAT VVLRKVGPRRFLSAITLLWGGCMIGFGFVKKWDQMLGLRVILGVLEAGFFPGCAYLLS TWYTRFELQKRNAVFYLIGSMASAISGILAYGIMQMNGLGGLAGWRWIFIMEGLITCV FGVAGYFLIVDFPEYAAKSWHFLNARESAFIVARIEKDRHDAIPEPFHITSYLKNALD SKVWAFASLFGLTTTNTYAIAYFLPVILREGMGFSIAEAQCLVAPPYVAAAFVMYGCA VLGDKYHIRGPIVAFNAILGLIGLPLLGYAHNNGVRYFGVFLATIAGNANVPAVLTYQ ANNIRGQWKRALCSATLVGFGGIGGIVGSTVFREQDAPKYGPGIMTVMIANALILVIT ALLSIKFHFANKRAERGGKVIEGQAGFRYTL LY89DRAFT_739848 MLFNAPKLALLALISSVSAQAGLNCSVKGYDTGKHPAYYVNSTI TTPAACKAYCAASVASKCSSFAVGGGCLLYNVTVNGNVNPMNTSTFTFYDEACTV LY89DRAFT_557517 CWCGTSDAEAIAMGCKYDHLAVDWLPPHCIDEELTAEFDRAGPG PLGAWDYYADRNGTVRLSDTIIDQYAMAATNYYTTVEWHMAHCIYVWRKQFRTKFTGK IVEPWNDKEEHIMHCGGYFTRKSNL LY89DRAFT_251649 MKSIGSPKDNFKSLERDGSRALLFQASLALLTSILLPLLFQLYS AQSYKLYRSLQGEPCSTQKFTFPWLNYRNVWVTSQLLYSFSLVGILSAESREQVIILV GLTGFSFAVNQWIPFLLINLETLSPEESDTRIIDAVGIIQGVNNISIAAPQILAMVFY AGLFWFLGETGDVTPIKGIRWSLCGSVFASFIAAAIASRLGAAPESFLVDDGC LY89DRAFT_251644 MSYQPSVSFPALPTRRTLFPGRPETSLKRGRAPTRSDENQPTLP PHARPKKKCPPLKPSGAFMFKGTNPLYVRTTFEPDHTKMTISCGYPGCHDFPPKLVDR GLQSTNNYRSHYKKYHPRVPVTAVEVLDVTQRTKKSDQLPSEKPVEQQSHDERYRVLL LAFILKNNLSFSLVDQPETRDLISFLSPTTKQVSRKVMMIDMKNLYAKGKDKQQAVFI EQQ LY89DRAFT_759793 MPLPHTEHCSHVLRMGGDPEQLRTTAVIQYPDCGFFTATFPGAT YPNNARKPKVPRDGQSAT LY89DRAFT_759797 MVYCFCPSLTCALVIISMADMRGELESVAMYKLLSTELEDESST QSHQTNYRRRIQTFWIFSKSIVFYLFVLVGMFCLVHGLPAIFHPGHDSGIMRNPCGQT SVEAQARGCDGTSCHLLGCHKNASTRI LY89DRAFT_251678 MHSSRFIAVLLLVAVSSTAAPVPTPGTGVLINAKFPMEIVNRQS TDDHAPPAHIKERQSTDDHAPPAHIKRQSTDDHAPPAHIKERQSTDDHAPPAHIKRQS ICTGHTNLPASTEDHIEERQSACLGLIKE LY89DRAFT_251698 MLSRFLADAVYIFHLLKLANTSLSKGEDGDDQQREFQNAFWVIY IMEKPLAIAQGRASIIDDRFFNLQLPQIFDEDKGASCFIIQCRYARICSIILVQLYSR DGQALPLEALSRKISLLDQLTETWRDDLRSIFWPNSGMIDKRAKKSNYLFDIEARWWL RGLYQYNEVKLCLQARRLMFLQSENDLEARGRVILACIPIAKAIVDCMSDSIGRSLIV SQQFTWLVMLATGVFFVGSMEKTCPDIWPLSKVAGLLVQLATVLSKDGCGSVNLPVAE VWYLFNVAQQTRENMSNTIDN LY89DRAFT_251706 MNHHRSMYHGSTSSATTLSTFPALSSQQAHISGNWLFDEDINNE ISTIPTQLDDLWTRGCSGLDSLVNLDPTSESSTLDRFYDDDDFSVKGFSPANLLLRIS PSSLQTLDEPSRPKITQILDQLTGDANPLFTPLTNKDNPANNPSYFTCSLGSWKEEFD ACYREYLSPKLYFSRARLEAAIAEISRSESREDTASLTALVSAALAVGLALRDDAEQQ SFKPVKTRAFTKAEAFSIALSQSYFIDCRRPSINALYASQPQQSL LY89DRAFT_759801 MIVFSKYHTQASIFRSIPDSSELSSIHHWNWTFWQQFDPTRTLP NGPRWLDLAGFRQKDGFAWERFREWKQRCRGIGERPRQAWHGNFKQFWETEESDWDTV TGSWTGEWVKYTGDLNLTDVRNAKHRARDLLGDGGQVTVQFHDMVAVETEVFITTDME SELGYYGEISPNRGVRLVREVSASIAIRGDNTSSDDDVTVNVYGVHWPRIGALLMTTT SVNFAGIFGLPQLGLDWEYFVTSRKLLNETIGKTPAGINATLSEWADPKKTYPGAKSR TSTCQYVVYIQMHPFEKRNMRINISEIHGDYRECCFYDKVTQLGETESPMSVAVFSPD CGVYYGDEGAEVVLLVD LY89DRAFT_626463 MGLASTFGAVASSTITSTGATVITGDCGTCPGTSITGFGAGAGT CTGSIDSDTTASCNAEAACLTAYNNAEALVPTETLSTDLGGQTLGPGVYGFTTVDAVL STTLTLNGTSNPNGQFVFQVSTTFQTTTATAQVVLIGGAQACNVYFIVGSSATIVGGS QMQGNILASASIAFQGGASSQGTACALNAAVTLIDNALTSQPSCST LY89DRAFT_251745 MRLVDGMNGGAIGRIHTEPGSSKVLFGPDGTLAYVNHIKSRTVS VISVVEPEVIGTIPSFADAFSDMMISADGLRLWVVHKMVGKTSIIGLLTRTVVGVLDT GAESNHPIFAIVNGSIYSFVTVAATNETKVYSQDCPSTMPLFVTSIKVSGIEPHGIWG SLDETRIYWGNEHSDTMDVADTSSMRLFASVPAGQESQVLVYVAGAVPAASNDTENLG TQGQEKGVENHLINVTNSINSTALITIGGLNGLDIFHMIGRDLEINQRHVATAICTGC GGKD LY89DRAFT_739856 MAASSDEQSLPKLERRLGDPNNTPLVIEEPSIYKSLTPRSSTTL EEPCEQKFFRLLTILPGVDPAIMECKLEVAVFPVDMPFEALSYEAEERLVWVDALCIN QDDLAERSQQVMLMRDIYSVAHRVVVWLGEDNGQAAEALAIIKKAANFYLFETNTPLS RLSTELWPESDKIKYDPMFPEREANSIFRGFPTLPEFNDTTTPSPWNSVAWFYDLEWF KRVWIIQEVAFSPTIIAAAILSTRFAENQSISTLISMGLYSRSTDPRDKVFGLLGLTD DKTRSNVWMKSDYTRSTAEVYMNTIRYIILANEPDGRLSDVFANITSYPGDENDKYPS WVPRWDSFTTPDQFSAPIQFAQWKAGGGRDEEVNLPPHSEILNIKGVLLGTVYRVDDA IANEKNSLEPIKQTWDRFHEELEDSTRVETLECAFIRTVTAGHACLSELSGSARLFEA DDLKNCFDFIAGVAEDNGSVTRAQKLLNSTRNLPFFTTTDKHMGLAGNKSILSGDILC VFFGHRMPSVLRPVGEEYRFLGPCYIHGYMYGGAIEKLESGELEERWFLLC LY89DRAFT_787223 MAEGVEPEGAKRRRAFKPKTRTGCITCRIRRIKCDEAKPACLKC TSTGRKCDGYVVSTNDRTSKSPSPPRSSTPVVNNTVSRTSLPRMTFVPFQASKSELRA FRYFQQETIPEICGFYREEFWFKSVLQACHHNPAIRHAAIALGSLHEKSVDPAASNHS EDLIEGGFPLQQYNKSIQALLKPVPGSFLKPQQKVDVALITCILFACFDALRGHLGTV FDHIDGGVKILSELQNKPNSVIHNDEEISATSLFAPMSTLNVLFTRLDIQGSSMMGAR RLRLVPGPAHDPLIETAAIPAEFYSFEEARESQDNIFRCWHLDFRRTLTNGAEFPFGE VPTKEDAKFLKITYSQKLEQWSETYERFLLANPEKRDLPADHMLQLLNTFIWIHADVD HAASAEDETTWDQYTTQFRQIVYHATVIIASTSFITQRKTLFSLDTMIISPLYFVGSR CRHPEIRRDAIACLYTANRQEGLWESRTLARVAQRVLEIEEEGMGILVDGTEIPAWKR ISGVQPELHSDERQATIHYTSKPAEEGGLPVTNMKEKIEW LY89DRAFT_689453 MSATDSTPHTLTSDFLASHLDGMRDLHRLYRDADQQTGLSQYSC DRCKQRKLRCSRALPSCDNCKSWNGECVYSRHGKIRRRPRSNIARNTGAAKSQPQAGS QIPQPISFNPNSSAFQASSRDNPKTTQYGTGSSDDDLESKSRSGRILEDDTGQAFYVG PSPIATFLSQANSNIGKVMNDVRDSGLHQNAEESLADLSSTFAAVSFQDASEVRKNVR KLKRSSEVFFIPEKDDGEYLINLFDETMSKGSPFFRKPPKDLLSKIVFEPSAVKERGW LLLYNVVMSTDLALMTPPNLRLSKCLQWNTWMLIEDSSIFLEPSEINIQALVILAAHG QEITTPSLCWTLMTHACQMAQTLALHLPIPRLPQKHKDNQYRNCLFWGLFIVDKALAL SVGRPPLLPTYLYRNARPPDPATLSLYRPHRHILGAVESPEDSILYDYGGFHIAQSLE LAKFQGQVSDLMYNDRETNAAKTLELKRELDQWMERILTSTYHQIQNCKSPQEEEVKL GINIQKFQYHHLVAYLTRGDKDSGDTCLEAARAAIGLLEYLVSSSRQVFNGIIWQLLY QPFTPYFVLFSNIVSNPTSSTCYKDIQYLRQVVLYFLQMNNNHPSAGRLEKVAETFTR LAETYVRHVRRRNDEIIPPPNLSLFPKAVYENAVSTHTSETSTPESLLRTPEYNNIPS IDFSSLPALPPLDLNSTTNYNFDDMPSDPMALLNFFSPSYEMGMPLSDIADEQQDTTM GDQDILFRELKSFQQNGALDGTFDWFSWDLYDSSVP LY89DRAFT_739859 MGDPTQASALPATILQDVTEEKSPKQIKVERPRQLSMFVNKLNP DVHEEIFKKHFFKPKIIVEFKEWARVVKWCSERYPYRFEGTEAYQAVILQWIKVPRWQ QKKSSIKIVVRRVVEKARFEAIIAADLEGNVAIDPYRSKRRKMILQEPPAADLDMSEF IDEAKREEQNRRFWAESDSD LY89DRAFT_739860 MSTSTSETLPIVATSTHPQLTCSAAMNSENEDIKTLRQRAEQKS ILVNELPLNIHCGIFKLLEPASQRILGATCVSFYETFNEHCDGKVIQAHLSEFATVNQ GFTGTPAYQIFIHKWLFKDRCPVALKSAIIGLGTSSLGSQLD LY89DRAFT_674982 MSSNLDTEIEQCQSPLAHCGSATSTVTSPSLTSSSISSMMLSYD CDADKEQKSESQPIEKQRILQTSTLVNELPLVIHYEIFKYLGTATRRLLGDTCISFYE TYKEHFNNEAIIIRHSELLNLMPGGGMLLGTTEYQTIVANWLSRPESQADHRRTWLAQ IVRRTDDADERRNMANNGKEYKLVAGPGKKLGRKTINYGGKANLEVEQAWDRRELRCG WAGMTQGEALRGHSAVAQSSSGRAAVAQASTEESSSAEEP LY89DRAFT_739862 MAESQSALLALIPGTSSSAVQPSQDKKARVEQMSLRIKHLPIEM LLAIIDYLGPATCRLLAATCTTFRDIYQAHYKTEGGIVVFGSETYPLGATQAYLQIMF NWVDYSGYISHGDRMQKTPWR LY89DRAFT_739864 MGNSTSKTAPRDETRLQLGNVSAGIAGGTGKSGDGHWGSDGKVE RVVQTGQLLDLPTEIHLHIFENASPITRRLLGATCLAFYRIYKQDYYETEIVINVLDI FHDPLSQTWAPLIGTLEYRRILSNWAIAPKIGPLMPGCNQLLIETEVDQYAYKVKQLL AKRHHNYRFADERGVTRVVTPTLDFVSLAGGSAIIEMH LY89DRAFT_759809 MPLRQMGRNGPLVPALGFGLMNLSHLVYGAVPSDEERFKILDYA VEHGATFWDTSDLYGDGEELLGKWFKRTGKRDQIFLANKFGFVKGSKTLAVNTSGAYC KEACAESLKQLGIESIDLYYVHNANPETPIEEAMRALSELKADGKIKYIGLSAITSAT LRRAYKIAPVTAVQPEYSPFTREIEGPAGTNLLDTCRDLGIAVVCAAPLGRGLLTTTF SKGEAVGDSSDARPKRMPRFLESNREHNLNIVTHFKALADKKGCTISQLALAWRLKQG DDIFPIPGTKQLKNLKENLETLDINLTDEEEAEIRAFVESSEMAGGLAPPQYEAYLLR DTKEEAA LY89DRAFT_596132 MQISTLHNKSRQGKAKVKSGCNTCRTRKVKCDETFPVCRRCVTA GRVCGGYGIWGGGGNVSVERHSHSALSSTKATKTNSPVPKCLSILIATIDEKECFDWF KCRTANKLPGSFISDFWGTLLMQASISEPAVLHAVLALGSLHRRGVMLKDQTNSIPDE QMEQFTLQHYVEAISYLRPHFQAKDKATFRVVLIVCIVFISLDFLRGYFSAGQIHLQN GLKLLEETEWLSYGKDEKTIVKPSREAIDDWIVEVFARFCLQVELFKLPYPRPCSLFR PEEIGSASIFKSLKDAWRDMDRIMNRIFYLTEKAQDSNAAGTCPSEVVDLLEYQQRIQ KDLDHWVATYNEWWKSMKTHVSNDLEKARLLQLMYHAMATIMANTCLFPDDESVYDLH TDKFVCLLQHLLDLWIVTMDSPDNVVDPLPINLVNARSIMDIGWMAPLYYIAVKCRVH RIRLHAVRLLEVTYHREGIWDASITARVSRKVIELEEGDFYEKIETDDDFSLSSSPLP ADFEVPTLPMSRRIQDIEMQLSGEPLEKVFLWCKQDKKGMDCRVCVAEYCLSSQCWVD GEIDQHWSN LY89DRAFT_674987 MSTSTSSAECSPVDDFVLNAAICATSEYSDPEEIEEVSHEPERR IQTGSLVITLPVELHRQIIGPLGPAGQPISGTTSLKLYAIFKEYYFANYSPRFVTTSP QLFGTSSASVTIMKLPSSNRNTKRNRAKQLSSLINKLPNELLLQIFKDLKPVSQRMLG LTSITLYKLYKEDFFQEKIYISFTELRGTPQYSNILFGWLFPDTGVTSLEIAWGRIIW DERTRILKCPPSEDSPHKKFPLPLRMQHVVLDDDLEKI LY89DRAFT_739868 MTEEKDTNVFTPRPPIVEQKSLLLKKLPAEMLSQICDHLGPAGQ RLLGATCLTLYQVFKQKYKTDESYIRFEYTNWEGILIVSGRDMLGFTWSAAYEKIMVD WMSPKDSAARSIRDRREFCRTMRRQLEWFDENDIQAHSWRKGKVIIES LY89DRAFT_674989 MAMRTTRIPLDRDHIIEMSDAPNDKEAAEEVEKPDEVEQAIIMR RTEGFHNVWGVGLTMIIAKQTGQLLTKIPTDMLCGVFDQLGPLGRRIFGATCLKLHDI YNIRFSPQERKETLARNGSKWECRGDEIVIGFTRDLDDIPEFSPWYATTNLGWLDWTA DLEDYIMSNCTSLGEYVQLLREFGENVKRVGWRRSERNRWFGTEQECAFYYQQGKFDV QFEIEEIEE LY89DRAFT_739870 MSQQTSPSTSARSASPSTSGASVGITKNQGSKSPQGKAFLKTTA RKLKLIFKVGSTSAKTKKVVEESGNESEDTEDTAVESKAAQHEASINNDDEVVSANVP QAAQESGNWKKATNETISENKATEEAASVNNNNDQAAATHVAEATINQAEADVTIIAH KHTTKATIHQVEVDDIVAATQDTAEAAMNQLKAFRDTIARNKAAEVAENSEVPGSKND IRQAVAAVEVPSQTSGSASPETSASSPALTDVDAEGSVDGNFELELLETADELAAEAE LEAYHEVWRKTPHGTNESAVGTKFAENVLNLIFENLGPASQRLFGATCKGVYDVYKKK FYDKAITVSWREDKFQGRNSGTKAYKEIILDWTLPPYLYTVGNDMKENEAVRAAYFPL ILKKLDEDQKAQEKYAVLAKQRQERELVEAEHAEAKRIAAAAKKERAQAKKDAKAAAS GGVVASKVKKPRGGARGGKKNKKTAKGQVIYNVIKSAKVVEELQAIEASSAIEVPKAV EEPKAVEKSKSIESPKALEAPKVEAPKVEKSVEPASQVVQASPENKRKRADDPDNEVD GSAKKVKVQHIVEPVDDTLNNNEKKSADGSEKKNVKIRKKVTFEEVNSAGNSEDATKS AEPVPSPNSASKRKRVEEDVAEVTESNKEDTTKRKMAKTKGAEFTAEKEVSTTAPTTG TKKGKEIEGVKGAESKKSKIAIANVGKVFQQLEAISTKDARVLETEKEQEVVMSEKPK VKKSAKESARIKSYVAYIKY LY89DRAFT_655723 MAELDHFSNVTNDEQPIAIRRSRRSSVGPPARLGDTSQTTIRHS ILTPPSTPKRVKKRVRFSDPGPEIQLESASSGLTPFIRRASISSIPTTRRHSTPSTLS NRAEYDTTPISGTLQFAPLRQVLDGRVKRRLRRHRLSEEINVIEWEKRHDARERKSEV ARLREELAAKDLEVQSMRDEHDIASQLEGESGGSFATSDTLSTKVQELEQEIQDLKAQ LHRRESEEPDDVDWDMAARDPYDNNFDDDDDDDNMITNYDDDFTMNDEIMTTPARLNT SFPSPPSTLPNTPCRSTSSVSAGIQASLPISDPENQQLKAQLKSLQEEIDKLNSAIAF NTDHQDRLTAKLGDFLSRSVSVSHDASQDPDHTTFDAALDRVLTTLALSQSASLEHQT TFSALSTEISSLGFGNSTPYETIQLISSQFRQARLDLEYLSPGETSFGFENDKLLGML VDRVKVLTQKVKEGDEAIDQYHEQEVLLRQQLGTRVDAMDDLRDQLKLADQVVSSLRE ESKDKDVDNERLREALDGYRTEVKGLEDLIERIERENVLKEEGLHSEISELEQRLQHE VMRSEGLVEDSESKDAITVELQRRLSTALQAAAQVQQQLDDLTSSHTAGEAEKDIRIA ELGISIIEKINSALKNAHATILTLRNENHGLNFELRAEREKGQVAVKAMLDIMGYPMT TAGGPTAESSSPVKGGLITPMSGGVVRRSGGMFDGGLARRGSNKGKKRRRYDSGLGFL SEGEEVDGVGEFSSDL LY89DRAFT_759822 MEQKSEMDEQQYKLQEVSKKDKMAMTLFKRPTPTGPLQTLPVEV QAMLFQHLPPATQRILGATSKTLYELWKKFHFEPLIRVHMDEVYPYTLITTFDYRQIL KGWTDPRLVLKRNNEVVTELQIEEGNYYFECAFKTHGKTTSRFGNLPMGAERPRMYEG EIGYGFVVIEHESLVEEIHEHDEEILATFEDNYEPLF LY89DRAFT_759825 MSSICNDLHKSLESNMERLALCTKDAADMSQDLSTEKESALIEQ KGLSSTASSSSDQEDFTKTEVEAVIPFQRPVQKGHLELLPVELQCEIFKYLGPGAKRI LGSISKRMYDIWKQDFFEKSIRINIAEHMLKADSLEHVSTVYNSILSNWLRPKGVAKD QKTETDTTYGDVNSSIHMNDCIEDAVARHYAAKRMKEHGDECPVFTKEFRYKNVILEY TGFRNDDTEASWLSSAEFGRRHRRWQLDIRGVDLRDLFA LY89DRAFT_655726 MAGDPSTSPNTMRAWLWTSTAGGLEKNLQLSESATRPGHQLGKR EVLVQVISMSLNPADYRVPEIGLLAKLIISTPSSPGIDFSGRVVDVGSSVEGFMPGSL VFGCLGRPTQFGPLAEYLVCSSQAIALLPEGVDLDHAACIGVAGQTALQVIKLPSEAG DRIFINGGSGGCGLFCIQIAKLLGWNVTVTCSTRNISLCKELGADEVIDYTAMDTVEA LKEKGAVFGSLIDNVGTPENLYRECHHFLRQGGSYSQVNIESIVGALYRLLRPSFLGG GKRKIGFPVLASQHSSLVQLGKWMQEDKIKAVIDSMFEFEEAVKAYEKLKTHRARGKI IVHVSKKP LY89DRAFT_739875 MLRMYREVVIAVALLLTGHTSAAALPAPSDPLIDILNGESQGFN GTATFNSTEIQDNAYQYRWYHGGTCNWADTDKGTVTWGGWSHCIDKPDNTQWTSLEFD RPTYVCAWCGKGCNGGGSRYSQPSNPVTCMRTPSYCNGLGSFVAYQP LY89DRAFT_595729 MPRVFGFIKDQRTNLPVPKPPTNIADATYIVTGANSGFGLECTK HLFRMDAKRVIMAARSRCKGEAALTAIRRETSRPNVGEVWELDLTSPDSVEAFAKRVR TLDRLDALIANAGVVMANFQMAEGIEMSLLVNVVSTMLLAFRTLPKLQESARKFGVQT HNTIVTSNSALESEMEHNIDSLQGDVFDGLSKEKSFKTMVQYPNTKLLEILAVRQLAS LLPVSEFGVIVNAVNPGFCYSELDRNVGTMAKVGITVMRVLLARTAEEGSRNLLQAAF AGPESHGAYCSECQVKERDVPAWITNDVGKTTQKRVWLDLLKRLDTIGHSIDIKALTT K LY89DRAFT_595551 MKGKNLGRIILVRGYKRQQCKDGVRPDCLRTELKALTEGEHPEG RPLLPKVVRHSHDIILPVNPFSGAELAYFPISVPLTSSVRYLFYEFHHDVSKELYPRA FCRQPEESRTIWFERVIEDPCVFHCTMAVTSARISHSQGYGGKSVASTQHFVMALHLL RRDLDTNSKLQNSSIVVAISLAMYSNINGSTGESRIHLQGLKRMLDLCPGGLTSVYSG TPEVGNKIRRADIELALLEGTPTLFSSQSISLPDPLHVVPLHDRRPYVTLPYPLDEIS TVVRLAMTDVLALCDYAGRAQLSAFQYLDMVISIIQRLIDYAPLGSARPSRLLDDACQ LGLLAFMSTVLNHTRGRRSACSPLLSDLLRKCLDRFDDEMACGRGHKYSSFYLWLTFI FVLSAPEFEQYYHPDSSFARRIRVLANTLALETLDDIIAHLSVYPWVAAFHDDQSKKL WDVICR LY89DRAFT_787229 MTQVNKDSKIIIVGAGVFGLSTALWLAKSGYKSVTVFDQQPYFT NSYRDGADGASADINKIIRFSYGKEIEYQSLALEASHIWDEWNQELANLKQSGEDAGL PPGLSSGDKLWFNSRMLRMSASESYGEFEKETLKTMEKEARLREKQFECRNEADIQRA QESGWGHKVDPFHRKELGRAHSAVLDSTAGFVAAGRSCLWALHLCRKSGVNRTDGSVY GIETEDSRSHSADLIIVACGGWTPTLVPEISRSLETTAGSIVTVQIPQDNQELWDRYA PENMPVFTWGMREGRGMYGFPRMEDGIVKFGYRATKWTNYDDINGKPLSVPKTAHTSK RETNIPLTALHAVKDFISEYLPELTPLGINSTRLCWYTDSIDNSFMIDHVPSRPGLMV CSGGSGHGFKFLPILGREVVKIVEGGKKNVYGEMWRWRDPKEGGKNNGLEEGVSGPRV LAKQKMASEKDWSFGGSSRL LY89DRAFT_655732 MSSSPTPFQFLPLGAIIQSFLVGKTNIVQSFPKQSLYVSHNAPF FGETIGRVANRISNAKINSLNNKSYSLAQNNGANSLHGGVVGWGKRVWDGPTPVGVRK IPGVDGLEGGESVEFKLRSEDGDEGYPGTVDVSVVYTAGTQTEGVKEVRVLGIEYEVK MVDDASGAQETAVNVTNHSYFNLTGAPTIEGTEVSLCTSDFLPVDDGGIPTSASTASY PGVTANKTFTLGATEPDIDDCFIVDPSKASSIPLDTRSSPLHKLITAYHPETKIHLEV LSTEPAFQFYTGKYIEVPEVEGVPARGARSGFCVEPSRYVNAVNVDEWRGQVVLRRGE EYGSRVVYRGWSDE LY89DRAFT_787231 MEGLYNFYADHGVRYTSHAFNTSYQDFEVTDALIDIKTRRIPVE TIRVLNSNIDAWLRTQEGSSGLHMVWIPLLKDARPWRYEIRESTFDAISKHFHVEKAL RYGSTAAAGFANFRTGNVTGNSAFLPDLLVFAWSFDACTGATRGICLGDPWILSTAQN LLQYQPALIGHPMAVGYIVIIILGTLMDRDLLRENRNIAEVENRTGYHGWKKSFAGVA KGEYATLSARMSGCATSLAGQQRIAKLFNLIAQKDAKLNIAIAKGSRTLASASKRDSS SMKTLAAVTVAFLPATFVASLFAMPLLNWNASQGSQVLNGRFWIYWAVTAPLTITTLV IWLTWTHRQSLIHRAQDRKDRDRLVDEMSASTEPTLDGH LY89DRAFT_626482 MDAFDYIFKANVDRCKTITETSTYLEIFLYSDPDYNTVEEDPLL EAEFDDFLHAKGRFETLQMPTGVTRLAGVRIILQQNAQHPETFSPHFLSLKAEDYIAM TKGFHLSQEAIDGTSAVGPLFFSDVDANEDGTYLQIVYRKSDVRKKGKTRGWELNLSH NLDTGITTGFCKGTPSSDIVASIKHLKAFVSEIGHPLLLPLVIFGHDMSSNTELKQRA ARDWLRRIEFALSMRDEEYLKNGLLDLDALNRDLVECYSQTIWRPPSTYLQIIAHFKE AMETFKTRLPDKRKNSVMDMLHGKILSRLTFHERKLHGLASYTKTTQQRLEIQMAALR MIVAQKDSRLNFEVAGNSRSLAHATKRDTGSMMALALLGTVFLPGTYIASIFSTTFFD FQNATWNSVMSPKFWLYWATAIPITLIILGAWMLWERMRKARYEKEELDLARAAEDME RAILAAMTKRPLPGPW LY89DRAFT_689462 MADIIRDSSLGQLIRLLTRNKYLLYAEERPDFVFPAPIPEHAKE KVEGSDAASTSESVSTSESSSSDADLEKLETTTTRDLRPYFSRTSQAEAVRPEKTVSK AIQPTLTSDGTILVDWYATDDADNPMNWSTGKRTFVAVQILLYTFAVYIGSSIYAPSI GGVMENFGVGETAASLGLALYVFGYGIGPMVFSPLSEMPLVGRNPLYIATFAIFVILT LPGALTPTFGGLITVRFLLGVFGSPSLATGPATLQDMFPLLKVPYLLSLWAAAATLGP ALGPVVGGFSVQAMNWRWAQWEMLWLSAPIFLLMFISLPETSAANILLRRAHRLRKLQ SNTNLKSQSEIDQANMSLSEVTYNALLIPWQINALDPAVAFSTFYTALLYMIYYSFFE SFPLVFVSMYDFNLGESSLPFLSILVALGIFLPLYLLHFYLTVEKPFTTRGFGAPERR LIPALYGTYLIPAGLFIFAFTSRESIHWIVPTIGAGLSVGGTYVVFQSIFLYLPFTYP QYSASLFAANDFARSTLAAAAILFSRPMFVKLGVDGGVGLLAGLTVICIAGIYVLFWY GDKLRARSRFAAK LY89DRAFT_689463 MTSTLLSIPRELREQIFSYLLHPTPTTVVFPNEDSRELKIFDLR FLLTCSQIHAESLSVFRHQNTFVRICTPFAEAEDWVSFRGGVPVVRVQGDVHKFSIHH LECRIDAPSAAELNASLASSFIILLNDLESFTQHWFYTNVTNPGQNGHLRLTLNIKNP YAAAYDNDAISKRLQRLLLEPFGHVKGLMQFSIVGNHLSSIEEKVRAEQAVPHKTARE CLEETTRLKDEGNVAFKEKRWDEALRLYINAFAAMFIVCKGRQRSVWGDAWFQTYISG GQYDGKFALQERIVLRLNLVANVVATYLKMEEYEEARFWGMRTIDLLRGSTGQDDAVL LDFPGSESAGKIFFRTGMACIALGDKTEARRLLRIAAAYRPTDKIVQNALASVALPLG LY89DRAFT_689464 MPFMTQTHASSSLLLITGVTGHIGFRVLVLALTAGHTIRACVRS PEKAKLITSHPLIRSLAPGPRLTFIVVPDLCKKHAYDDACRGVAAVIHIASPLMSNGV PAGVDQEAFFVKPAVRGTLNMLEAARKSGTVRRVVITSSITALIPFEELSGAKRCKRW IGPSDRIPVTKGPWNNEFEAYATSKVAALAEAESWMRRHDEVGFDVVHLHPSFVEGRN ELAASPRETLKGTNAIVLGIALGQKFEYSTMGATVHLEDVARVHLQALDTAVVPGNAS YILSQDTIWDDVTDITARRFPDEVEKRALPNSGSAVTHTVFVDTSLTEEVFGFEHIGF EEQVESVVGHYLELRAKSGKKISLRRA LY89DRAFT_626491 MPQTKFYGSQVGGSPVISHSYTGSPLTLLRHDIASAFSFSLFLP FIVYPMNPLRSGHLCELYPSLANLWSMFLHVLLIVLQLPFLLSIPFWIFLPVWVVVLG LTGFLVVNQGIWWLLNGSRMEYPSNPAYAKKDGHEHEQWIFLNGVAVGKHWLQSNVDR LALTFGRPVLGVHNKTNGILFDVLQCLIQRNFNYATSDIRACYAIVKETLYNPTLTKV VFILHSQGGIEGGMIIDWLLQEVPQDLLAKLEVYTFGNAANHFNNPHLHLLSQHMALK EPSRMSLTRTVTSVHYHDTAPPSTTITDSGIRSPGLNTTPRSSKQESTSGKTIRYIEH YAHTSDFVARWGVLHFTCNFSLSPSAPRFMGRVFERQGEGHQLNMHYLDSMFPLQPCS FPSGGIGDSGFTGAMEEGNEFMESIMSLGIDGDEKRDEREGWEMSYLGTHGEPLSEAE SEVLVRDMSPVSPGTMRKFKKKVEFKVKDLSRLWLYVNGKSPRADETDVGIARMATI LY89DRAFT_252004 MADFEKQPLHLKEQDLTGKVAVVTGASRGIGRAIAVNLACRGCS VLGTCSSDASLHLIDTLSQSITKLYEDNPQTSPPLSKPKIYGLAADITASNHATLIAD CLEATFNSKLNILINNAAITGGTKIGSTSPDQIHRMLNANLQTPILLVDELVKRKLFL PSSRIVNISSDRARSTSNESVIYSATKAGLESLVRTWAKVLGGNEHEFAFMAGTTANS VSVGATESEVAKRLGRERLEERLKEELPKQWVAPGGKGWFAQVEEVADVVGMLCSREG RWITGSVVPANGGATVIL LY89DRAFT_787238 MALPFLQTDKQKFLDSQAKWSKGLGEGWKGTKFLGMGCFGVTGL WEYQGGSLADLCKKKPGITKVVVKMAQVYPSAFDPKSGRTALDEGEIGRIVTGFNSKH LIRQFGGNRVGDQFSEMEDVVRVFLEYCPGGSLHALLKQADSDDPKPIPEIDLWQIFD CLARGVYAMERETEEMNVPGAPAYTGRDEELMHCDLKPDNVFLGYRDNEHSRFPISKI ADFGEALFVDSYDLQDDESGAKYLERGAPAFKPPEEAINKPFGGWQKSGIPLLHARKG TCSNIWQVGAIMNAMILRAHMDFNPDEIKNANPPLVNISKLRSPSAQRQINLGSQLNH SQGLEDKVITDLYTAQLLTLIQECLFREPEYRPKCDELVEYANLGLQKANKELGPSGW ASVTEPEIVDPYDKVPILSSGTPPEPPTSWKVAHVKLPTGEFVDARDLEPVRKGMLSS ALDALPSSFVARISRSTTPGPKTPPPSPLSPGGLAKTVANGLVGMAGVGAKYIFDAAV EQSKKRKRSAANLSSSEKEDEFEII LY89DRAFT_700732 MATPKVLIPMADYGHDPTETAIPYTAFKKAGFEVQFATENGTVP ECDKKMLTGITQKLLGATKDAIDAYKQMTTTPEFLNPISWSSSDFNLDSYNLVFLPGG HEKGVRQLIDSPIIHKHLASYFPDTIKPSMKTVAAVCHGVMVLSETIGADGKSIIHEC DTTALPAKFEQVAFWGTRAFLGDYYKTYGAGSDDVETSVRKRLDDPAKQYKNSLGTSP FVVEDGTYNYVSARFPPDAQLLAEKTIALVQSSMV LY89DRAFT_252084 MSIPPPLPLTEFARKYGQYATYHRSGFTPHDTKFPYFGPDPLSG AWDARALQKEQFYGFTSEELGYLDCLPTRELKLSTLNNGIMSTLRRDNWENTPSPEYR RSYMYALEDGNGLWSAANDFVWNSLQPSLILASRILMSVHLMPWFDALIDGPREPIDP NVRLDRDDLAKPNARFLESFRPKDTFNPAITNTDMRDRMFALLENVFELQIGFMDPNE SPTTGLAPGEKASGMCTVKHKDNLDWKIFIWLDIDMLKPLLRTDINSADRMMIDWQVA NTIAHELMHALNSTKNIYEYDEEESMEPFFREEPISEVGNSFESAINFGFTVEFNLRK QMLPLGFWHRRNWPTVDDIRRTNDPFILTNPGPAMDDEYYPIPVQAWEDMQQEGFWDI LVRKFGHGVLHYRQVREGAKITYTVKDKKRKLYDQNLGGRIQGLFSVQNQQYKSNFQA IQSAASMTPDERAALQFAWSLIASSEAEQEFWDNSTKQQTAVDFLIGSVNALMVNQFQ PAQIQTIASSFLGLVRTAISNHEVMIAAIKSAEAANNTTYPNRRRTLLIWNRGTRTFM NRVLASRLLAAAGLNWTQQFLDLEACRMHLWDPNIPGDGIPEDRFELENLSTASGFCD AGQASACLATCNGIRADASNSIIANITCKVLLFDAYRNVFNLWPSRKTDLHQALEMLG YFAWAAPAPWQAYLGRLKTKGEQLYVLPQPPQPGVPPPPPPPPPPVV LY89DRAFT_252036 MHMSLIAWVSRICRFHPLRYIFIVFLYLSSSVFVVMYSLYGFPL LTMHSSAIFSVGSLMPFHCRRARPLGSLLGML LY89DRAFT_739890 MEIGSFASQSSEERETLRNNHYANWTKYLDSFKQYESDVKDVKQ TVRVSNQTSSHLEGMRTSALAIGSMNAAAYPTDDDDEDDVIVKPDKCPKYSSEVEQID SSSPDDCFFPGFKVVKFGSDFIGKCSICDRTSIIALVLKVKDKANFMNLNRKTFSRFL SSMDIYDLFYKRICCDACASYLIEPNAGLLPVPVSGAVALLSPEDNMDLWVEMLNGIF SGVRVDIPKWYWVEYLRRKIIELPADDSTTIEERLFKDALTWLVRGFNGEIDGDGDDA SSQVTLV LY89DRAFT_759871 MMEQSSPQHGQPQEPFYTCIASDSLFTSDGSIVNAQLSAKLEIG QLHNREGDNCSLFSRRPSLDRDSNGATTKSTWQSQGSPQAALIAIQNCKLWFLFTDGK QEDGEDENFNRRVERLGVEGYPCVFVLFGSSSDGPPPNTQFHVCAKTYSSAPNALFLF HDVGTDQIYIFHAKGCFAKLSDGRPTIQHNWEGCKWFQLQRFRYEKLLAIKLPSPQPG LVADRLTIHNGTVLTNGEYRAMKAAADVGARFDLRRLQEIINKAGEKDTFKPQYWKPS QPSSTVERVDIDGQASHAVRGILMNLDHKSMGIDVPESRIDGYREDLRAANKANRLAF EQALVKKEMEDLEEKQKSRESFLLPVVDPHEIFFPGFVRGPVTIRQHSEFRGACMFCH EEDVMLAFLLHRVELVNVDSLRVTGLPLVFNNMLVCDACAVHCRPDGLMEPKVAAVLP LVSVIDNEAAWTEVFDTVIGSGVGKEDILLSVWNWLSTQLGYEVEELKPVSYKEALEW VLKDLGKWEDITRNRRFDTKIIRY LY89DRAFT_739892 MVEQGEDQIDNFIEGDLGEEVEVKRYTPAEAKALMRAERTRREL WYYNDGRKCRVNVTQEIKEVKEAAILEFFNAPTAKKKFVFMNFPKIRDQILEDLLVLA IGILPGQVYHDVKTGKNKEEVGVYSYQWSTHRQGHDEHNLPRYDGTSRRIRVACT LY89DRAFT_739893 MSTTFQIISEGCVYIFSRRELKFEVTIDKVQKLLRGQSKASTFN ALHKGLAEKWVLRDDVVRPFGDRRQNLRFVCTLDLDRDLLMYSDESGHIQLPLDRIRK PSYDAIPRSDFVPFEISPPPQLDLAEFPPPYKKPTIPVSERRLAFSPRILSDFADQWR HILRTSYTDSTFRRLAKAVVSIAACDFQIDEVSHNNHIFFRSYYVTVLDVPSWEFYER HLFHVGGTTVVLDQDLQRALDIARDDAKQSTKGMKTGGRGDQRTYLLLSVRHMLVCHV DSAGTFSYTAATTLMDGLTPPSPAAINLLLQVFSPCRPLFRTPIHELPLEIQDRILGN VSQGPLEAARLGCVLELGSPFTWMRAVDWPRRSGPIELSVSPCHRYEISPVESKICFG DGFSGVSYR LY89DRAFT_787244 MANNSYDLGLLHGNIWRQWADSSGHIFYQNLVTRVMSYEIPAGW EDAAGDLWAIELTKTWPQWNNQRTGRARLTDPNSPPPATYLDDRHVATRISVLTRTPD SPEPLYRRMMSGILQWIFTSNEGFSVLQEDMAANLRPDFTVFKLLARPGGSAYEYDFL LGETKVPGEPWDSYADHLHTVCANNDNDTKNVSPQWRKLLSGDNYFTPEYLRIYPEER FPNPSRTSEQHDIRPQIQKHRHKLPSRDP LY89DRAFT_723432 MRSTFKEHVGAYAEDLRLDTERRLISKGSVRVRFEYIKWNEYQK ADETKKKPDLKRLEHLKRIFRTEGCRPLPAKHHIPAIVEPDLLDTAIEVSRRKNELKT DTLPSNYAIIHTQDGYPELEFPGGIQCLHGLHRIEAGKEWLSLSQIWWIVDLYLSNIS WELKNFLIEEYANEEKPCQGEIYRKIRDYQDLPKIPGSRLSPTTCISLEMRWWAQLNE SREGKLRSLFKSTLAAGFDALTKFPGLFDAGMMITTLNTIMATKSYKDRFLKGIKDRS RRVDKATVKEMKRRAPGVSTLDADFLRDQVLSGKKIFSDFSPRERKVIWKNILAFKSI IPSLFTFFQDVHLLEACVDSLKWLVTVSCEEVTVSAAFDNRYKSKREIQRVQTTESAF RPVTGSPEDCMRLGYLVLVAFAMRNHQNLPKAPVKKNLKTKPRVKADAEVLQRFASLA ADEGFKSPEIEALKGEVGPLVSPDTQESAPLVITTGPGQDIKQRCGLPHSDTFEQDRN HLFLHHLSKDMVETDEGITSFFVLKSWFAAFFNPPPWTNQATSRDSSSTSLLLAHSTR IGEEDVNMGDAQPGTLNQRDLGQETEQITQELGSQGLDMEEQIQEIIESAQQKMNRTA TVATQILGEEEDTLFGRLLVRDVSILLMGICLVSRLPTPQRAVLEADDTVVIRFVERE GDESDMLSPVEEHVGEVQELKVDHGDPASLVKQIVLEFFLKGKRTCDRYKKYVPADHC YNVATREENKNTLFLIPDVHYTREAGMGIHETPEGAMEDPRDHESTQEIIQEPWGERQ VTECLEGHRTPDSDGESLEALLEQAQENATNARILDKNTIIIRLWTSVQDTLNILGLD DCYKAAVEDEDHALYSMVPSPRTEEERVAERTMLWKSPFLLPPEALHWRKESSRGRRR APPQAQEGLHGLESSSFTPPPGLRPLPPEQKSVKDPPKFHWSIPSPPPQPEQKLVEHT VPPRQELARAPSWFHRNVSSPPHVSEHRLVEHTTEDYRDLPLSEHELFLIESWSRGHV LTFPPPSELELAEHTTEDHSGNPAFPPLTKHQLPLVEATSEVHKDKIVRSERKFGKAF QNESTEIRRRPKKASSHPETADGDMEVDDRVKVWSKAWVRDIKPASGARRTPNNHNNN LY89DRAFT_675014 MANNIEVYGAYARAHHQTCKLHGIDRMTKCSGITRRGGICANKA TTPSTPSVIPTCRIHRDQRKQYNEHALMARQLQNISNGEFVSNVISTPAGSYRPVEPV WDPPINERYFTMIRSFRVELMFHHPINYTRCQPPGSSASDTEKRKALELRLAEYCDKL HKLVGRFKRRPIAHLEIAMKFSNAYVELASFPTPLENHEMEFLFPNRVSSAAIRGFAN YLKCWSKDLSSPQPSSKCNQLLEIYWRLESLLASITEHCNLDQRFFQFGPLLQAARIA REASNLEYFKKSWDRVVAIWLERLDDQKVFRSNVTRSINVISSIIQKGP LY89DRAFT_739897 MACLAAAYHALYRLDEAEKLKLEVLKARKKIQGDKHPATLMAVA NLAATYVRQERLNTAVDLVVPLVAARKEVLGERHRDTLTTLLILTNIYIKKSMWDEAE DACIQVIEGRVSVLPLNHPHTIWSKAHLALIYHHQGRLNEASAVCEDVILAQEEVRGS EHEETLLSIHNLALVRKSQGQAELAFQLMTRARDSRRKTLGQCHYRTLESDRALQRWQ LSATGEATQERNSRYAGDIV LY89DRAFT_739898 MRLLERNNDGEFSLTKDFGDHVFRYAILSHTWGADTEEVTFRDL MDGTGKSKAGYGKIRFCGEQARRDGLYYFWVDTCCIDKSNNTELAEAINSMFRWYRES TKCYVYLSDVSITKPNTSTPLSEFTWESAFRASRWFTRGWTLQELLAPDSVELFSRAG KRLGDKKTLEQQIHEITGIAVLALQGAPLSQFRVDDRLLWAENRQTARKEDKAYSLLG IFNVYMPLIYGEGRDNVLIRLREEIDKSSRKLDRLPSPKQQHELYITEGTSYRPLKYS CPNFTGRADFISRLRDFFGPETTSRLRRRDFVLYGLGGCGKTQICLKFAEESTHMFWK IFWIDATSATTLDLSFREIALDPAATYDGVEDSIDSIVRWLSRQDKEWLVIFDNADEE PETVERFLAPSNRGNTLITSRNPNMRQLVHEGAFAQVEQMEEGEAISLLCMVAHITNP SDETLARLRLIVNELGFIPLAIRHAGVAIAHGFCNYRDYLEMFHQHPLRLLAHPSFKG ASQYDLNLIATWETSLVAIQGNAVTEGGQVLNSALHIFRIFSFFHPNGIMDDIFRRAA SFGDALPPDENFSPALGLPEARDHLPRHILPTHEQGGWDPIIFKEAIRMLLKHSFIQI DVSNGVYSVHPLVQYWARHRMTKEEQADAFRTATALLATAAGSRSTEENYTFRRQLIP HVHALYQFRVETGLPDQYYDDASNYFWTLYEENEYWKDAEKLGQTVMKKRLGVLGETH PSTVESMARLALTLRQLDSGKGLKNCSRRR LY89DRAFT_252186 MSLQVIQQPVSDTAQSRVFRVSITNVPADVHRWIAANPGQSALL IANGILFFTPAALTGPLLATMGFGASGPVAGSVAVWLQSILGNVGARSVFAYLQSAGM GGYGVATINGIVQALGMISGPAVAAYNWPRRPNTRTSESTIPAPSSTVLNTSDPNYQA MLTTEPLDTANIVDAPADLSVFDYLTNSDTDADNADLCSARRWAWYCKDPACPKYWSA WSCKSNFWLHMYETSVHRADVRTHTRAGRRELAREWRVETDREMKEPKEPKPDPLGNE LY89DRAFT_689474 MASSFPSISQALAYFESSDPAKLAAEEKARQDALAERLRISAFS GPPNVSPSPHEAEVSQASRPKIPPRPVRRREARETPSIPDTILPLTPQDSTITVSSRH RDLPTSEAEVTALRNEISGIQVEVEGLKEQLGIRNEEFEVLNKRLRDTERRYEEAIVD MRRSREQKQELEDIVGRLRRELDGTRNARDVAQAQLATRQRELVNAWETLRVSEERRI EEARRVEARAREDEIRADTSRRVGDEAARRITEQRLIEEARRDGRKEGRRERRRSNVT FVVNERPKSTVAWSLFMGRTN LY89DRAFT_595981 MQSLVFLLALSVASASLIPRTSPNLPSSAFPKFHTLSQSDAEAG KDLPEGFNTSAPHTNALNAAATVVTAAEAACSASPNMRFEWRQYSGSDRLAFIAGIKC LMNKPPSGNFPPSTSRYEDFVRLHQLDMPNVHGNPKFLAWHRYYLWTFEQVMRDECGF DRAWPWWDETLDAGKFAQSDMFTNTAYFGHLPPPDANGNGYCIESGAFAGLTCHIGPG SSNTPHCLSRAVDETQTAQCNTGFVNYCNSRTSYSDMESCAEGGPHAYGHDGIGAVMS DVSASPSDPIFWMHHSFVDHGFRIWQNADVADRTTSINGNDHNGNPLTMDTMVSVGGI RPDVRIGDIMNTLGGVSIGGVPFCYRYTY LY89DRAFT_655765 METYRDHLNSTFSDEIWGESPGYVLADLPARQLSLDDTETRSLR KNSISKSSSTTWDPLGETYTQIEQTETDYPREAQAGSPRTKTLSGHVWQAVAASVILL LNFVLLFVVAFGLREKGPDGYPAIETSSCDGASRLSQGIHYVINILATILLAASNYYM QRLSSCTRSEIDRAHQRGSWADVGVSSVRNMRFMSWDRIVLWWILVLSSLPIHLLYNS VAFETSGGNLYTTAVVSSSFIASGNRQFVEPDSGDQVDFTNFKNISNSDCIKQYSAAQ VEFSGASYLTSGGSVVAVSSDENIPSDVIFAFGSSSQNILWASNFTTSTQNFTSVFND TNMIEAYNADTQQDITLGLLWVCRDSLDLDTFGVAEIAGCNLKSLESGILPWTINGFP ISYCLADEQPMRCSVQFSTTILIVVVVSNLLKVTCMLATIFRIQHRPLITIGDAIASF VASKDDTTSGRCLLSKKDVQSGSYIRLEGTNESNRWKRQRNRWFRSVSWKRWSFLTIL VSLTLGVSIYLLALGVYNNTSQSYNTGLNQFGAGNSVSIGQVVSISDEQSPSVIKIVL IANAPQLLVSIAYFQLNAIMTAMLANAEWASFAFKRKPLRLSSPRGQQRSTYWLQLPY RYSIPLLAVMITLHWAISQSIFLVRMALFQDGEQLPDYGFTQLGWTSGALMLSIILGG VLYFTCVSMGVFMRYSPGLPLLGGCSLVISAACHAQPDDKNAELGPVQWGVVGVNEDG TSHCGFGSKDVRLPYEGEICT LY89DRAFT_759898 MLTDAEDVDEVEEAEGVKVKNESLICKLFNLPREIRDKILRHLL YRPIAILPGPVRVKCSIGFRHSPVVAIYSYQWDDRRQRGHDPFGLPTYDGSLQGVQFA WRSKPTKEQLDLINSSAIVTNRLNSDERLDMTTFDEELGADVLHYVLRRGNNPIRIIN RAVIRTCGLQPQILHTCKQLYTEGCQILYSENTFVFDTRGQSPYTHHCGVHGHDAFDK AAWQIPSLPNNGRPQSPYHMNNALDYMFEKEKFHQPFMQRDPMSTFFRAIGRNNASML TSVKLEGYMRTAETNPQYQTERPISFARILPIHATILRNICPNLRKLTIHQGANDELW EDDVDGALGLSNEERVDIAIEGVVNALPHLQELELGSYHFTPSEANVKVQWGKALRWE GLVEERVRNSVRLAREKEERAVLDQYMWKEDKKGGRKNRKATGGGQRAGPSAFASLID DALAAASSSSGDQGGHRPSFRMGTKNRQGGGKSTDAEN LY89DRAFT_655767 MLTVPLQINGKDVFTSKTIDVTNPSTGQVIHKASSAGVTETIQA VEAAEAAFPAWAELPFDQKRNIFLKAADILERRTEEVGKWEEEEAGATTFYASGFDVP TAVSGLREVAGKISLLHGTVPCLSDPTRSAMVLKEPFGAILAIAPWNATFILGFRSVS FAIAAGNTVVLKASEIAPRSLGVVGTVFKEAGLPDGVLNIIQSHPEDAAAVTKTSIEH PAIKKINFTGSTAVGRIIAKTAGENLKPVLMELGGKAPAIVLDDADLSLAAQGCALGA FMHTGQICMSTERILVQESVSEKFAEELVSAIEMMFPSGGDALVNVSSAGVVKNKKLL KDAKEKGANMIFGDINAEEAAPERMRPVVVKGVKKSMDLYYAESFGPTVSFIEVENEQ EAIKIANDTEYGLSSSIYTKDLARGLKIAKKIESGAVHINSMSVHDEPALPHGGVKSS GWGRFGAPGMEEWVKTKTITYKN LY89DRAFT_689476 MPKYTVFLIVADRSANRSEEEKKKQSDDVKKDPSFNKFMKEFLD WIGEETNAKRLKSADVLEESTEQTSIRVNFHSDETPAAEAEKDDDTKFMPPTKSLTTR GHLECVSANILGYYTAEFPTINDAATWAQSCPIAFDGFALEIRQLTDTDKTISEATPE VKEWAGDQIVSARKQLVEEGKLKKEEDETLWVKVEDSEEIKEVVTEAEKRLAEKGDDE KGEAEKVDAVAEKEKEKD LY89DRAFT_252279 MEKTSSPMTEEIGSSESTDALPHPFSVKKAGHQSSEKKGHDVEI EAVDPDFDNLSTDKIFVPDDNDEFIDPRLKDYPIPLVAKTVDLHNDPTEPILTFRFWV LSTFWVIVGCGISSFYYFKPYYMYLTSYAIQLMAWGMGSFMANHLPKRTFSTFGYKWS FNPGPWNAKEHALIIVAYWGSCYTAYGLGPLSAMELYYGKEINAGWGILFLITTQMIG YGFAGLFRDILVRPPKIYYPGILPNVALFNAMHKNPSVTKKSLKFFSYVAVAAFIWEW FPQLIFPMLSSLPLLCYFGHGNWIAYILGSGYSGFGLLDISLDWNYISFWSPLYTPLW ANANQFLGAMALCWFIYPLMYFTNTLGAKTYPPMSSGTFDDTGATYNISRILYADHTL NQAAMDAYSRPQWSISYAMYFFCGFAASTGAMLYACLYYGPQAWQGMKEGWFNKRDTH DDPYLLMMDKFKRVPHWWYGALLAICAALSIGQLYGAGMQLPWWGFIIISVTSCIFTF PNGILWGIANMQVGMAFLSEVLAGAMFPGKPLAVLTCMVYGRQILEQNLNLISDYKFG FYMKIPETEMFIGQVYGTLLGPFINYGMMRWIIDNEGPKLTGEVASSAWNALKTKNFY SQSVLWGVLGPKVFFGPGSQYSWLYYAFLVGPACVLAVWLVHRKKPHWKLEERFNPVL IFAGGVWFPVYQTANLLTSSAFSAFFMGYVYRYHPVWFRKYNYLLGVGLDCGTQLMQT VMVFGINLPNAAFPVWWGNNPNAIDRCFPPATLPSGAQ LY89DRAFT_252365 MDVSDPSSSNYGKHWTPEKVVEAFAPSKETIDEVKAWLVASGIA DTRLSLSNRATWIRVNATIDEAENLLKTNYKEFEHTDSGKTTLACHEYSVPKSLKHHI DIITPTVHFAVMTNEKAKRGSDQGTRPSRQVQAVPMADPPTLASSSSAFNYSICSTIT YPQCIRALYNMPVGTMDK LY89DRAFT_655773 MLDRLNPFSGSSITSEVTELRIYPIKSCRGISIKKSYLTKQGLD MDRRWMFADAETLKFVTIRDISEMTLIDTAFASTSDSSSSAPSPLSPHGGEDAEQLVI SIRHTDKKVMVPARPTDQWLQGNTELRQVDIWENMTDGYVYKDDINDVFTEFFKKGVK LVYKGPTPRILRGNGAPELLGREESTNFPDVMPLQIANEGSIRELNQRLKQKGHSEIT IERFRPNIIVRGADETLTDDKAPGAWTEDSWKTVRILNGEQSTGLTSMIGAGNKGLEI DVQARCARCQVPNVDPDTAEKDQHEPWDTLVSYRRVDEGIKWKPCFGMLSAPRNEGSI SVGLKFEVVETTEDHKYVKGF LY89DRAFT_595545 MFWRTAIVQSSKSASQPEWSGWEDIKYTFIFGDSWTDTAFDPQG TQPNPGNPLGNPDFPGRNAANGTNWVGFLSTKYNESFLQTYNLAVSGATLDNSIVQGY PNPISVQVYQRFMSHYGDGHSPPWSASNSLFIMWAGIVDLVMMNGVHDPEALSHINGN LTIVYSRLLNTLYGAGARNFLLLNEPPLERTWQPAEKEKIKAKFASDVAIYNQRVKNA AFDLKKTFPDSNVFHLNTNDLFNQALDDAKAFPQTSGILNTTDPCPEYQSGTPTSDYY DPKCRIPVNQYFWLNGLHPTYPIHDVLAEHIAKLLKQGPNII LY89DRAFT_700738 MLLTLLTSLTLLLGSALGVVTQVGTACTVTPLSSDSSASGTIDD TSQILAAFKSCGKDGSISFSDGIYHINQVMDITLTNCDVVLRGTWIWSTDIQYWLSHS ISVVYAGRSTAWRIGGDNFSIRGEGVVFDGNGQKWYDQNKNQGNQNGRPISFTLWNAK NALVDGITWRQVQFWHTFIAHSQNITMTNLDMNATSNSQYKTVNTDGTDTWNSKDIVI SNWTVTCGDDCISIKGNSSNVHVSNVVCHESGGMCVGSIGKDAGTPDFVDDIVFDNIT LIHSSNAAWIKTYPSGGGHVRNVLFKNIQFTDVNQPIYISPCIYSYSNCDASRLQISD VRWENISGTARYNVGAGIHCSAAAVCQNLTFENIDIKQKSGAAVEYLCSNIANQKTSG LACTGSCPGNQPQQLNHN LY89DRAFT_252354 MTDIPNSHEARTRRPSNIRTLPKSLPFKFPAMDLGHLQQAIDMS DMLLLAWTLLERRHSSEDDVHFAWGYGKAKEGSLSRRKVAVGRGNTVQDILREIQILR KQALIPEEVSGDPEGNHMVINNSSGAPTSGSSWTFQIEIHESEETIWLNPSWDASVMG QDTAISNVHCFMDILNSVLLDQTQFVTTFF LY89DRAFT_759917 MGSIEGELAVKETPTKILVIGGCYGGLSAALNLHDLCSGKQSRA TLHLPSEETGPRPKLPVDIKVVDERDGYYHLIGSPLALASEDFAEKAWKKYEELPTLK APGISFIQGRASSVDCEKKVAVLTDTLSGKKSEEHYDYLVVSTGLRREWPTVPQALRR KEYLAEVTAHIRAARSARECVAVIGGAGAVGIEMAAELKLVKPTLDVKLIHSRERLLS TEPLPDEFKDRTASVLRESGVDLILGHRVYEINPVNNKDEKPLFRLKLSDGSEMLAGH VIPAISHGVPSTDFLPANSLNQEGYVNIDGTLNFKNSTPNSSSHFAVGDIANWSGIKR CGAAMAMGHVTAVNIHQQLLQARFGTEPMFAEFPEVPPMIALAAGKQAVLYGEKEGTT WGEDKMQMMFGDDLGYSICWNYLGLGNDGSVEQK LY89DRAFT_787259 MADGLAIIGVVLPLAGLATTGIRELCELYAAKKEISETIQNVSK QLPLLIDTLTEIEKRLQVELLKPTAQKIFVAKHQNNIARLVEGCRTDITKLNSLIVKV KPSGSAKRSRAFQVSKKAVAWRLRYGNEFKEIMSRLRNLTSTLTDYLTVRSGIIVDES LSLALKGREHRYTDWLQPCDVEFIGKKLDGTSPPDSDRLLCIYGVHGSGKSVLASSIV SGLKDRQQKTLFFSFSGLESTRKSVEHLLRTILWQLIQIAPPEVGHKTMQDLMAAGAI ATAGLLQSLVKIGKSLTTRVYCIIDGVDESDAEWNDSFDGGLKIVLDLRKALPGFHFL LIGRPAALWQALRHTDLKIEMTPQAVKGDIAKFIQAEIEKLDIVNNDNLRTHVYKTLE EKSDGMFLWVKMMLSELRKASTADEVEKMLSDLPRGLHKAYHFVFASLLGRLGKLELS RAQRLLQIVVTACRPMKIGELCYTYAIMSEHGAKFEKHLMPQPDQGVLNVCGDFITIS GGVVRLGHTSVKEFLTRSEEQFRLDDPELLCFNINITKANHMMALTWIEYLATCEYGF PLRDADAMTTLDTRYPLLHYASRYGVFHLMRSVHDVSNADLVQELDLLQEWYDQAIDK PRHLRRKFHGRMEEELEYRRKLFGDEDERTETCRSAVLWLIDDVEKYLPDNSQSQSLI VSAKASSPADKVSQMMNILSSGSMFSIQKQAGVLLGLGKIWKGPKGLTDPLDILFNML LSTADRLPIYALSGLGYYYERLGKMDQALKIYRAALVKLEDKENVMECVTLGQIGYIL NTMERYDESEEAFRQEVRKRQRIFGETHSTTLAAQVWLAQSLYDQDKLDESKDVVLEV QEKSERALGKNNAVTLDNLKLLGQIQLEDDDLEEAELTLREIAKRKEQKYGKDNTNTL QALYNVADFLYNRTRNNEAEMISRPVLEIQGKKLGLEHSQTLDTLDLLAFSLYYQEQL EEALELFQKQTTVYEKISGRTHSRTLETLQWLFQAHLDMRNYTEAENTIRDLIGRHET EYGRDNFKTMKVVYQLGLVLELQGRDEEAEIQFRDTVNQIDAALQVGYHEALSWFAAL AGVHGTKDGSSVQFEKTRKGLLGLEKSYAGMMRDIFLIATKNVCTCGKDLDPEEIIAQ PVASDDETRKRITSLRSLFCELTFGPDVERNCVKAEELYQAALKDLKDQKEVKLEDLI RITCGT LY89DRAFT_787260 MAASPPPSPPPVTLPSLPTIATLNAKIRLGINTIPRPPPGLGLE TTEKFKALERVHEAYQRLKLHGFTIEQLQAAGCFGNPNDRPMNLPRVVHPFYELHHWD MPKNFLEDIGHGFPGKWDINDNQIVADAMLPVLHLATMIMTRVMPWPWFDSLYLGDYL PVAAADCPDGCPTTSPVYSVHSFHLRKNQRVISAEEAAKILQTQHIPAFVNANIGIRI ISRVAYIANSTSQTLAFGWWQGFELHNPPPENPRKWGSGFLTLSAEACLPLLRQDLTV SERLAQQFNVAKTICHETMHAFGSYIRTTVASMPLTGAEPFFEDEAISELGNSWEANT FGGKLTQIHDKIASQFHELALGSVVGDYPSFASFGNGQYDLSGKRKRNHQNFKLGAGA TAAYWPLSGIYIENLQQESFWQAHVTKYGPDALLTPRVLGKWYEMDYPSPYPRYIQAA SYPTVYRAGMSASQVTEEVARQASYFKAQVDADLKRTQLVFDELFRKARLYTNITALQ AADFKAQIEFYNEACTMAARPARYSVATDAYDLLNALRLSDFLIIRIKSCFTMGEGVP RMGTSISARRAFLNMALADVLLLEGANPGDQAVVKLRQDIQQAIADNITESQQPPPAS LI LY89DRAFT_739914 MTTKYSNPTVESMLGDSDDEEREEREEVRGTEEGSNNESSHRHS KSSHESVMIVNSRKERRRRKHRSNEGSKYSKTKPKSDNRRNGGTSARDNTSEGPRYRH RRSLSHRSTSSTSSSDDDEPIPDHRAVLAAARARLTSPSMMSTFTTQTTATNTSSSSS GSNSTVTQMSVSKRSSLGKRPAGEAPLSPAVPDPPDVFTFMGEGRNESPTDDESHHEQ EKEEEHNQQGVVEERPDSDEDKEEEEESDDEPHPWTQKQIEAPPAEDLSNMPSEHPGS ASSSASSSFHGDDFSDPPADNDTDRSTSPERSVKGHDVNEPRPLETIPEIPEVPGAPA SPPLTPSSADRASARMASQMAAAQQRQSQYGSMNQFGTPNMPRGNAQLPVVPPSALSA RYPQPMAQRPLPRAEKLPVTGYELLASQLSTRADIEDGTRIKPLYRKFEALNHRLLLH LQDELSELEEQLHRLDHADTQSRRTDRHIVPASRRAAAMAGGELQWHKTDVLGRIGFK LAQYNQALTSFNETQSLAPPTIDDIDQYREYLEVEQPIHEAETHFLDPEDDLVSLSSQ PLHHRSPYRRHHSESPSSEVSSSDISSRHLASASAPSAESKLPELQNPTKDTVQSIFP ALAAAIATSILLPVLTFTVIPGFIGRLTVTLLVALFTVAALIQAQILSRKVLLGRESV MCFGIYGGVMTVLAGIMA LY89DRAFT_710591 MARFAPAVLQRYLFGGQLLVRGCLRHMRHAPQTAPSLYSQRYHT TQIENTSSANAPDITIPFRKQLKDEAKQRKADEIGKKPKRDNQRLDNWELTVGIEIHA QLNTERKLFSPATSTINDAPNTHVALFDVAIPGSQPTFQKETLIPAVRAALALNCHIN KTSRFDRKHYFHWDQPTGYQITQYYEPFAKDGYVTLYAQDGLAREDGEKIQIGIKQIQ MEQDTAKTMSQPGDVHLLDFNRVGLPLIEIITLPEIHHPSTAAALVRKVQTILGAVDA CVLGMQSGGLRADVNVSVRRRDAVGGHEYGGVHGLGQRTEIKNLSSFKAVEDAIIAER DRQIDALEAGEVIEGETRGWTIGSTETKRLRGKEGEVDYRYMPDPDLAPVVIGDDLID HLKETMGVLPDQEIETLVEQYGLTAKDAMSLISLNDGGRAEYFYNTVESYLRNNPDED MSKVGRLCGNWVLHEMGGLSHLDASEENPLNFTEAGRCLITTGKLAHLLDLLGKSKIT GRTAKKVLNEVFESALRKVDETPQEIIDRLGLWFQPLSDQEYDELAKSVLDIDIVNQI LAGKIGKINFLVGQMMRKDADGRVDAQQATAVLQRLIEEYRTN LY89DRAFT_595974 MDDYGRTDHSGKVLIPRNVGTESVRLLNPSVVDYDLLKSWLSVC RSMHTQRCCSGIRKTVNYLKFLDCETRKLVPAKSLPYITLSYMWGADERPPLYTEQLG YNLPPTIEDSITVTLKLGYRYLWIDRYCINQQNKEQAMSQIQQMDTIYEDSEMTIVAA AGVGPTYGLPGVSRTRRPQPVANIGQLQLVSPGSVAREVLKESRWSTRGWTFQEAYLS RRRLTFTDEQVYYECRGM LY89DRAFT_723451 MATSDGIQVLDSGVGYGIVVGIGAFFALLMLAITYLQNRYTSYS THQAEEFNTASRSVKPGLIAAGIVSSWTWSATLLTSSTFAYTYGISGPMWYGAMGTWQ ILLFALIAIKIKANCPGAHTFPEIVLSRHGTVAHLTYLFYGFATNMLVGACLVLGGSQ VVAALSGVNVYAACFLIPLVVAAYVIAGGLRSTFIADYTHTAILFVSIFVFGFSIYST NPAVGSPSKFYDLLLEASIKMPIDHNHNGSYLTFKSNGGLVFAVDLFVAGFSTVWLDQ AYWQRAIASRPETSVKAYIFGGMAWYGIPFGFATAMGLGCAALTSSPSFPTYPNPLTA AQNGAGLSSPATAIALLGKGGAGLMLLLLFMAVTSSTSAELIAVSSLLTFDVYKTYIK PRATSTELVKISHYGIILYAVVLAAFCCILNAASINLTWLLTVLGIIVGGASIPVGLI LLWKRMSTVAVLASPWIGFGSGLVAWFVVTHLRSGEIDVANTGDATNAVAGNVTSWGM GFLMAVLLSLLFPKKFQSVDENHIARANKINGISPTKGTSPQTTTPPNENSDPEKIGK EEPASDPAPDTIVRTGNEIVDFLETSHVEPMDPMEVKKATRLAVGFNLLFLAVAILFV PFLLFGGEWIFSRNAFVGWCVVSFIWVWCSMIICVVWPVWESRRTIWRIMRGVMGDAG GRGKGNGSGET LY89DRAFT_626540 MEPTRPIWAEFMNGSSQRDDSFLNEDLILPDDDPEVSWALSVVQ YGAETRASTSVLEPHDGDYEDIIHRLDPGLSEPGSSIEESCEAALSWQTQDYGDEEHG LQQTVDADPSTTRAGNAQTLRQTELFRQVQAQAQTIQYLRQALAEQSKTFEKASDVSR YRQTRDFEDDRRRFQQILPGLGGIAEETNARIQDTEAADEEPREASSQGTSATETEHA ACQSVQCQDCMETIQSLRKMLAEQVSNAEEANHQALSKSVAYWQIQEHERTIQRLKQT LAEQTSAAEKSQENFHSELYARNREHQNELPQLRDMIPEEGPPVSELPETAQRQGDFD PELHVQLHGANTKEDKFLILSSDQEGSQESAIQLLRKQMKAKDAKFSTLAACFNEKDQ ELFASLEKNKVLTNHNKDLQARVTELESALLPSVQHASQDTKILLEDLEKLKAERVEM IETLQEVQEVLEMREFEVEHLGEVLEEQKQKLEKFDVCHPATLRSLLMMRHVEQASVE DVDEEASDYYGSMLTWVPTGLPQDQLDKRCSSNEIQRLAKLLPQGASFNNFYERLKLD ICSVCSKAKFKVRLDTHPRHQSLKWLHEYVVPSRYFTCCEDKVCKKCFEKHILETVEF KWWNRLDTLQWFKCPRAGCEEALGIRCEADMYICLERILGRDADNSVKMYTKALAFRR ALKALEPQPSEEALTKASNLTRALVASGHMYSPFDSRFDSKDPDETGCIPDFNPGTVY LAAVDHVSSPIPLFPKLFRRKSSPQECMTCSKRFFDIDYGSTHSWKSMCSNFPGPWVL GILLFPTSENQKCNHDFEVCRVCTAEYIRNVLVSGGPSACENVTCPQCNRKLMYEEII QLTDSKTAAKYEKFLIQSYLSKEENFRWCLNPICTNGQLYDHSPFDPQITCEECDFEM CYQHSMPWHEGLTCAEYDSQRDHGDPSYAQTREWIRTNTKPCPNGDCRTDIQKGEACF HMTCTQCRHEFCWQCLASWSDINVRGQQGHNEGCFFRTSDIGPMGLRGENLEAALGD LY89DRAFT_759926 MQLKGCLLLTLLPIEIRQKIYRELFLRPVGIVPGPIRYKPKICY RNTTINIYSYQWESHRQSYYDEWGLPHYDGSPESFTKEWITKPTEEEVRLMEDGILES AHETGVWKLPDIKDEEGTTIYREIRNHGGRPEVLNCTRVMSTGFENTFVFNTRGNSPF THHQGVHVHDVFSKNRALVPGLPFPDGRPVSQRQIQTAIEKMFDKDKYQPLFVARDPL AKFFNIIGRENASKIRKIVIEGFFKTAEENERYKFERPNGLGQILPIHTTILRNVCID LQQLTIFLGEDDELWNDDLEKQSGLTDEDRIDKIIAGVVHGLSNLQQLRLENRTRLRG VEGQPHAYNKQKEDVAAIKAKKDERMELDQYIWKEEGFSKRGRGGRGGGRGGRGRERG GYAGIGRPVQNSFAALIDQAVAAAGNGECSSTSRPSFRKG LY89DRAFT_595576 MNPKNQDGTLIVPMWINGKEEITSSTFEVTSPKTDRTCWKAVSA TTEDALRAISSAQAAFPSWSQTKPTTRRDILLKVADLLQERTQCN LY89DRAFT_739920 MRCNICARALTALPIFAFLGSSRAISISSIRANSTTSDVAAAIE HWNHYHIPLPNYFDDKGNNFEESGTLKWYNSWMYNTAKQFPDDYKSLGESRFFAVKIL NTTELFDCAIVHNGCDEKPALEHIVEYFSSNETATLKEIMENSRRKSLELSSEAAVAC KVFKAMINIGFDAVRTEISSLASSISAIPGVSGPIGAIASFIEFKIDVAQRMLDLGID LEKLKGSKVNSTIDFGDSFELRLANGYAHGVCDDKDETPVRKDQMQAHIGRLFPALKL MSEVTLQVTYVQS LY89DRAFT_787266 MPHSIHDQETVVTGVASPQLDQFKSILCEKGLLSSEAHGRSFPH DDESLSRFLSANKFDLKKSVAQFTKTSKWLSDERVQELYSSIDVDYYERARRMYGAWT GRRDRQGNPVYVLQVSKLKAEDIQQCYEEAKTVKQNLESHKDLATLPKMLPIYAVVHS GPAFVLPLCSAVQPASSNKPLTKMTNIIDISGVGLRQWWFLKDHLQIASMITTEYYPD VLDKTIVVGAPSFFPVVWGWFKRWFNAAMLEKTFIVNKSQMRDTLAEHIDPDHFPTTY GGNLEWEYGKINLDDVLLSEVQKDGGKGWIKGPCIWSNGRRVGVGSVNGVKR LY89DRAFT_626545 MFRTPQSHDSSEEILPHAGNSSGNSSGTSSENASEKGIPYPTFP ARALSGREIWKNTSLKIEVTTQNSNEPFYPPSTHHIRGPEELPSQNKWTLAIVTFSLC LGTFLVALDTMIIGTAIPSITSQFHSLEDISWYGSAYLLTNTALQPSFGKLYKLFRIK MIYLLCVVVFEVGSIICAAAPSSAVFIVGRAIAGCGAAGLLQGALAIIANTVPLAKRP IYMGIVISVFGISVCIGPVLGGAFTQHITWRWCFWINVPIGGTALLLIAIFLRLKRSE EQERYRLMRVIDRVKELDPLGASLLISAICCLFLALQWGGQSLPWNSSKVIGLFAGFG VLIALFCFTQVILGEDATIPIRVLKQRSILCGSLFLFFMAVPSYIYGYYLPIYFQTSK GSSATYSGAQFMALAVSQIFFVVISGAVATKWGYYAPYMVVGTAISAVGSGLLTMLKV DTPTWEWALFMVICGIGTGLAINLPYTAVQVVLSKEDAPIGNAISQFAFQLGAAISLS IGQNIFLNKLIEVVPQKTPSIPVSAVVAAGAYDLRSLAPTPALLHLLREAYALAIRDP LIYAVTAACLGLVFACGMEHKNIKAVEQSRMGRCQELNTHGLTDSSSSRDEQV LY89DRAFT_739923 MASAGINPALLAELPALAPPAGVIPNFVDPESRQMITRVVIYVT LPLMLSFVSLRVWTRLRVRQFSADDYLCIVAAISVVCWSALVLTILGDPLGPHQWNVP LIKITDHFLRNSLTGICLYPVAAIFVKVTLLVFYLRLFHPSQRTVVMIWVGIALITLF YLASLIANIVLCSPHKGDGGWLSVKSQTRCGQPSLKLSSTQGVFSAVSDLYVLCIPLQ LVWEMRLPLGRKIGVSAVFMTGLLACACSILSAVYRFREMNNPDFTWSSIPVYSLAAA ELNAGIICSCMPVVFVLFKECSKKLFSMPSMRYLRSRNRRSSEEKDQKMVPGTPSDNQ DAPNVKFSNFPRPIMTGLRTFISGSRNRSQLEPSHVESYSNSELESISDEYHAQFKAP VIRQSTAGSSPVL LY89DRAFT_595829 MSLTHLVSTIRFQKSLESQDPKKVPPKVPYWTPFVCHMISLMRT PAPFADDLMKKYGYNIPLSIQAGLMKLCFVSSPEQIQTIFKDSKSLSSKPSTLYCLKN IIDTPPEVLPYYAADDSGMANKIRKGSVVTKQEERMHFWAAHTAQKYLSGQTLLSVSE RYISTLDRNLEALNIGNDWVEVPDLLKFLQDEITSSTIEALMGSHVLRLNPTLVQDFW EFDYNMPNYVRGLPRWLVPSAFKVRDRMIASMKKWHLFAHEHSDVSKIAPDDPDWDPY FGTKLVKARLFYGMNNKHTNAASRASEDVGLILAGNANVIPSLFWYIYEALKDRTLQD RLMKEVSPCISEQGQLDIVQVTSQPLLQSVFAETLRLRVAITMTRTAEYSDYQLGSYK IKKDIPLVIFSRTAALNAEGWTNAGREPVVPLEKFWAERFLVKPGQKISKTDPELSYA KAQGSAEQTMAGSEKESNFSIEGLAGCWLPFGGGQRMCPGRHLAKHEIIGSFALLFSK YEIEMIDNGLGEPKPDMRWYPMGGLPPMEKFPFRIRKRT LY89DRAFT_689486 MSGTIDHSVSTLIAPLLSTQDLSTSVSLIFCLILLWGVSLRAKA WYGAIKMVCQLLSISIPGQLNLQLQVGLLFSREKADSLYH LY89DRAFT_595595 DRTVFSITVMGAEIYVVTSPDDVLTVYKNTNTTVFDYNAQIKDI LGIFGFTSRTMNLLFDEEKFGGKSWMDLCHEKFKLQMHPGEKLDELQANLLSNLDHSL TFNLLSGDMIQPGSTEKEKVVSLYAWCSEVLVDAATKAFYGKALYEVAPNLLPEFLIF DDEAWKLYSKYPEFAAKKMFRYKAKVQSAFERYVDLPKEQRQDASWLIKNIEESLVEL DVTETSQLAPNLFLLHRLLNTNAYKQCFWCLAYLLHDQQLLQAVKAEIQPAFQADGQL NLDYLLDNGPLINSLYEENLRLCNAPIGARQVMSKFALGGKVLKTGNMLLMPYRQLHF NEQVFGTNATEFDAKRFLKDKNLLRSTSYRPFGGGSTYCPGRFLAKREVLMFVALVLF RFDISLVGKDGEKAKFPRMDVTIPLGGVMGPVVGDDIIMEVRPVKS LY89DRAFT_689488 MQLYQMFSSSLRVEEIIRIFFLIDKGYVERGMMKPSQVEFTNVR PSCNISTQSLDIAKPFATSGVVQELVDIASSKLRLVR LY89DRAFT_759934 RKNGRIYVTRRVDERRYPDCIKSVYKSGRTTVMIWGALSWDYKS PLVFLEKLPERKGICSKAYLQQVLQPIIFPLFDDLGPEYIFMEDGSKVHKGHAKLPRL QHNIRGFNWPPSSPDLNPIEKV LY89DRAFT_252722 MEKRMQVYLTSAAWRGSICYLTCQVGGIRATCNNTNEDMRCCPT NNEVCQVQCWSTLTTGNHEVPLYGYDKLGKWGTSVEAVMNGSRHSYDIFGNFLEKPAP QATVSDWMRDFPDPFTSKVQIPVCRSRNLIVQPLEHPDENIKNFGCTCGGWMSNETSQ FLDVISMGRQSMAYKHGWLKETLTGTCMRVCCRRS LY89DRAFT_252661 MLFSNSRLRLFLGILASYGMTIASVLHLPRNEPFLAAVSSTSLQ SPAPGSAQCMNPPDLSVPDEVHAAADNFFKNWVETLWKDPYRLAKYKASEKPLMSFFG EENWGTEAKSMYCKLNEDCGDLPSCSTIKQFQLGIRGNRTDEEIERHSEMLFLLRLKY QVFHVLLKRNYDAMDSARTHIALVADSLALDFTRQPDMVQDAHCEMSKLETSMFFQAV FAGIDLLNETEKGTATLVMAGAPEAAPIVKGVQAVAEIAMDAVKSRIQMAQYATNLVN AMNEYHEWEF LY89DRAFT_759937 MTEQQPTMRKTRFVCVSDTHNASPNGAFKLPKGDVLIHAGDMTN QGSISELRKTVKWVEEADFEAKIVIAGNHDITLDSGFYAQYGSYFHNQHPQDPAECQQ LLEQSASIRWLRHESATIKLDSPTGPRTTFKIFGSPLSPENGMWAFRYRPEEAVHVWD QIPLDSDIVMTHTPPKYHCDETGQRRSAGCESLRNALWRVRPRLAICGHVHEGRGADR VRWDLRSSNLKYKEESVQRWEDPGRDNKKMSLIDLTSKGSNALDNDGSNGEIVESSPT LSTSQAPIVPVVNLQQRSSNVAISRIATNLLALPAETLPAATRGHGGCPPSLRCDLEA LSGRLGRRETCIINAAIMTSSWPHGSGGGKRYNKPIVVDIDLPVWGE LY89DRAFT_739928 MAAVSAPQAPSALTPPSSSHGGQSSWNYSVPAPTENISQKSNEV NKPPLSHMNGNSLSQSNSRTNLSQRNNTQSSDPVYLAPARVNALTRKDSSISETGSAP DSLLDLYGTNRSGLNIIDYGDRKTINGDGYDDEDDPEHSRWIHRDKLARIESQELQAA GIILPRARAPSKSSRREQSRDQQGNGVRSEQAQKRQRVGSIPTEEEEAPESNAWDLRT PEEAAEDQGDMFRDVSGGVKGVSRIPVCKTSPLPIPLEHLERDTPMKRKLSVGNIGGD DSLTYPKSRGRSQSIKVLEDSNATPSPVKRVGPENSPTKKAGTRKASTPSNRGPSGQR PKTRSGPRDVTGNQRPTTRSGELGKTNSIGKSPEGDPPWLASMYKPDPRLPPDQQLLP TVARRLQQEQWEKEGKFGNVYDTSFRPLNDDEYPRSPEPPLQPADEEEQKPEEERGSE WPLRGPKSPALSTGRPGTAGGQGSYSTMPKIAGTAQGVGPQASPKPPTRMPEPPEDTK QKGGCGCCVIM LY89DRAFT_543806 SSDSSSYSSSSSSSSSYSSSYTEPKRYSMDSQVQPRVEILRCSR CAKCVETIVTSRGGEDASSSGMVKFGHNLYYCDRCARMVGYK LY89DRAFT_759939 MDLSVKTEMGTHKGFTFEKFKLDGSALKEAAIASPYAVCVVCIS TVFDHDILKLQNLPIDDYLRTQSRSKAMFAMGSASKTNSSATINLNKITKTKAQTQVD DQQVEPKVIEYSNAVNATTLITLPTEIHFLIFQHLDRVSSVCLGLTCNTLYPVYRETW KNGVPLDEFFGPDEFGKYTPLPILIQGFFPGLVFSFRRWAFETVELSMGKFAEELEKR YHLPLRRRPVTG LY89DRAFT_723462 MSLFGMAPSSQSDDSGDIIDDITNSEARTAIETEIFTNAAHTAA IISLPPEILLMIFDHLDPVSSTCLGVTCKNFYPIHRAIHGTVGLSAYIIGINKCYPDG QYREGWEFCLGALLRDFLPGHVYLSVWGHRGHKGSRNKTLRFMQMERS LY89DRAFT_626565 MTSTLPPNIQHLINPRNALLTTISLPILYLAYTDYRAWLSVGRG GLPHNPFGYLIATLLRPLKAARFDTSFISNPRILEKSGPAGEKAHLSEDDIPRRKGER PEVCGWILPQRQLNQKATEDFREYFQTLITSLASSQPSQLQVSISVLERTGPALFIHP SIIKHLGAGGTRNEIAHLHESDGSMHVSLAPSDAKLIIERGWGERFGLSGSILPVTYT MVYAPRDEEEMRIAETIVRAGVKFMLGEEV LY89DRAFT_739931 MGVPFSQEVKTAVDLASDLATDLRSHATVVLYAVVIVSVIHTVL LSLFLLAVIGLIVSVNPDLAEERKAFVTPAVRWILFPLRGWKAVFAGTAGRERPRGQE FENESRSSNESARGQRRRKMFSGGGE LY89DRAFT_759951 MRTKQTKTTSKRTEPSKSKKPFKSTVKPTQEVAPSDQKAHLWEI KSPCAEPTGQWPKGVSSCNDGSWRKTITWLLTKVKDFISKRKGGCVLDIPPGNCVKFG AQVHLSEAFALRLVAEKTTIPVPRVLSAFIKDGSTYIVMEKINGITLEDAWGKMPDAE RRLVMAELKDYFEQLRKIPHPRPGTICTAAADDHALYDLRIFHGDRGFGPFRARRTSI YSFGTVIRAGNQLERTTWRL LY89DRAFT_253019 MSRIYIPTRPSGSFSSCSYGKAKYTNVPLDTGPIDVLIDDLCSV NTHVKDRTPACRTSIIVEAAFKRIEHRDRVHRWDHGNISIKDLFTSELSSLLEQLLQV FQADHRLVENLVFLLSIVKVHENVSHPECCIFVPSTRCHLRNLVLKPLVIIEAFK LY89DRAFT_253029 MADGYRFPQQGAGNYYFPQHTQAHHPRHQIPRNGTPPNNIRSAF SVDTPSPSRSPDPNSPAQGLYGMFSHQQGQHGRVNGANGRGQMQMMYNYQQHQNPNQQ HGQHHPSMQQDQSSHTTNGAVLSHHATFSSTVLSNSTPTFTPAALQNGQSAVTRGGQA QAINEHWGLQLELHKESENAHTRMMEGAPNHFARLKAGENKGIAHVPSSTETAENQED GENNLGRMADNNPSKRQDWHNLDVSGQGLRVLTTPVFKYTFLKELYVASNKLTCIPSG IAQLRYLTHFDASHNELTELPTELGMCVYLKQVLVFHNQIQEFPYQMGSLFNLEVLGI EGNPLNHKIKQMVMNEGTKAFITELRETAPIPDPPPPRDIISLLDDEKASPNQDKFKV FSYNILSAPACTTRLYGHSPTAALAWEHRRATVLEDIRLQNADFICLQEVDDNTFKEY FCVELAHNGYKGIFWARTRSRTMGPTERQNVDGCATFYKYRKYICLDKTLVDFANLAI NRPDMSKQADIFNRVMPRDHIGVVTFFENIVTGSRLILANTHFFWDPAYSDVKLIQAA MLLGEVSRLADKWINHPPQKDKDKRRIVLADEDNSEVETEVVPGPSKEYLNKTFLPLV ICADQNSEIDSTVSELFAKGTVKPDIPEFKDRAYGNFTKDGIEHPFSLRSAYTNLDKT PEAVPYTNYTPGFRGVIDHIWYSTNALENVALLGKVDEDYMATVPGFPNYHFPSDHIA LMAEFSMKGTKTKKAITEPDFGPSSRNGDRRRN LY89DRAFT_626569 MSEAAFFYNTTTQRPDRTKPPSAHTNISQVSQAQPVKPIFKKSH PHSAKDTKQSTRQRASPSSTSTDSHSSHSARTTPHHLEYEQVDPDSMLSTPLPDYKHA ANQTNKARAAAQVAQANRKSSSTSGNSAERKHALQVAAAEARAAATARFESGGRSSSL SSTTGSSGLTAVTSSDPPSVDEFLARPFSRRNGRRCLRDMTLPYPLPCDLAEIHRQIL RTMLLCQVFNGPVCSPAFHTKPPKRVLEVGCGTGFWSIMCHKHFSRLGFSSISFTGVD IAPLAPRMESDDDMNWRFVQHDLRRVPFPFRDDEFNLIMIKDMSLTTPTTDMQQTYMD EYLRILKPGGTLEIWDGDHSLRMLLPHAPPSISADDEESEDEEQVHTNAMGTYTLTPQ TPLAAPQSTYLNDYNTWVSKALEARKLNPMPCTTIRPMLLQESDLTEIDSRRLAIPLG EVRWEREGVGGAVTQGTNGHAISSKGKSREGDRKTLTAGQAALRRTALMTVVQMIESL EPLLREASGKGQDEWDRWQGNMMNDLLKQNGTSWGECLEVGAWWARKKKPPG LY89DRAFT_739937 MATTNPALRREVINIYKELLYLGREYPLGYNYFRPRLHKAFISN ASLTDDEEIRQAIKRAEFVKKEIEAL LY89DRAFT_596128 MKVRLPRLGRRPCFSLRAFHHPEVLSECRPFSPRQYSTNKPTPS NNNARWLSEIKARIGKCIMFGMDKEQTGQAAAVCKILGEDWRNLVAGREGFLVDRKRA GLLRQEVVWGEMDSMGHVNNVTYVRYAESARIGWAYNYALHIDPENKDRWTELWTPRG DGLILRSIRTAYKFPMTWPDSISVFHKLAQRPSPSDSHFILDVMIVSELHQRPAARCV EDIVVYDYKAGKKVEIRPFMMKAFEHAWEEQEDTKRKVEQRIRDIEEKVADLEAGTWN KVGAVEDMGSGT LY89DRAFT_253129 MRGHAVRRCFRDLIVKDTRVRAMCKVALTPSGEADGVGIQSYAT RSSQRAQWNPTCPPNGTLPKDFISPSLIVPVSAKLPNVAFGPTNFPIITPNDFCSIFN QIIPPSAVNKTCTLEFLFPSHSQTLAPYAYSGGGHFTFTGYAFGSGATPQTTYNHQPP AGPSPPQPPAVLKPGMRTRLTWVGVRFRRV LY89DRAFT_253214 MLTWTHTRHILLIRCRRYLRLQRCGGSGSQDHHRKQKHTRTDRH RYHVKKRTTTDCDTSGKGKVNMRYDHPLRVLPTPPLPGVERVKAFLKCRRLRKCRVTC AELSNLELTDRGKRRKYCFPVSFAHETGIPGCKSGNNAEPCQQRVQGVTLRRKDATCL SLHIR LY89DRAFT_541181 MPRGPNTSKRQQGAANQRDTRHENGLVGPGKRVQKQKSNGHLNG HAKSPDSNSSTPPLPGTPPPTTNGHARHPILGEHTVDPKVVGEAVRRTSVSGYSDSSA SEVFQHTPGTAAMQENHRQIDVGALKNPSVHRDSINLVLTVLQSCPLRDTLAILIVLL QIPPTFLSIVHFLFATLTFVPPSTATSSGLSFTDILQGTLGTPSIGTIFLIDMVVLLV WLFLASPLQDIALDLAQTVIALTLGGGTSGKEAGTKNVLWCICIIWVSHLFRNGSIKQ GGLRAIIESNLRSPDPDDPLEPSSPNGNKRHGLIRSILAIHILTQGVVRYIRDWYVRR EKRDHSASIGDPEAGKPTADASDSALTTGAETDSSISLGTAATSKKKKKHSAQVRIRQ PLWAALASTKIVMVKEYETSHTAAESAGTNATDMNNLGNAPFNAEADRIWVTYVGSDE VYFSTSFFPIHTPQPPEERSSGGVDRSKPFYVRVNRALWQLTRINVINDPASPSGQET RWNGEIFGLAPTSNYQCDFHSSVDDSIIFSTSVRTLQSLTTDLAISPNPQITGRPGSP ITTLKTSIASAELRLTEERNRQKREKRDQQKKLGGLKKEIDRLTTGISSTGGNDDKLR QKAQQSQLHMKQAEEATAALESQIERLEAVPTDDTSLYMDSKGKIQSARDQHKRLLGE LNAAKQKAERHLHGLKNELTSIQQKCESKQTRVSQLNTKHESLTDANAKGLDEVQRKE SEREAQRKRREQILTFYRERLQAKLTEVQEGSTALSALDSAIEIVQQQVAEKEASYMQ SVSSSYPWNPMPATSGPYYGSPYGPMMSIAATGSPHGSRTRGRSSSMLSNVSGFTQ LY89DRAFT_253232 MIRQDFSRIDPKRRTGLDHRKKQFATPVYQNLEYKHRLNFYVTP PTADITLEQFEQWAIDRLRVLAELEACSFRNKSPAETAEHMKPLLQKYLPLASSSSGS STLQAERQKDHYSHFILRLAFASTEDLRRRFSRVESMLFRLRFQADDLKDRQAFVESL NLDWETVSSEERAMYAPQLQAAGGGYPKNIEEESFFKVDWERVPELVENRRVFLNAGM AYVPGREQLSMVVAEFTSRLDKALELTSRALPRLDEDDRLTPILNHLSQNFTTPDANY SNSTTALPGADISARNVDALSASFPLCMQHLHRSLRRDAHLKHYGRLQYTLFLKGIGL NLEECLVFWRSSFSKITDDVFNKEYRYNVRHAYGDVGGDSNRRGNGYSPFSCQKILTE HPPGPGEAHGCPYRHFSVDNLTALLQQVGVKDHEVLRGVKEDKEKMKYHLACNRVFDY VHKQEIKKVKDDGTWTSAQLETLVHPNEYFKRSYLLKNLGKNPVEVKEEEKPDVAMED LY89DRAFT_759958 MAPTTAEVEKADRLRLEGNELYKENKLLKAIQKYRESAKLVPND AVPLGNLSAAYYEIMDKDMPTQPDFPNGLLEYLTPIFIDTAKAMKKLKGRFQIEAMVG DALDLCESLRFILYHDAADPSATAAIGTRPKEFPVLFDRVHLSNIPDYMCGNLSACLF VPPLPKSISSSHWQQCCLRKGNLFDSYETYLAEYQRITDPTMLKQLTQVKMISEFDDY QPMVEYLTYKVADILPMSHGKLLPKAPFFRWFYALFFRLALPFEYDGSGVNLIIYTPL NLTVLFHLLAHLKTLGYPAHCLSDVLLAIIENKVVTTSRSPRSSPALPEDVEYDNPER KLSTAPFSYEMKTLAVMFQNQHLHSHCHRSPYFLKAFTDTHSPCLTMEHTSSIIPPRP LY89DRAFT_595653 MRTFATSSTRDLLDTSVDEYTRANYEDPAFEGLREQGAILWITF DWDMKTFKATAWMPDAVLHMMKASKWECGLTRMDTWECLYNDSPFVRGVVGKGECWI LY89DRAFT_253247 METRKTKLGVDHPDTLTSMNNLAFTWKGSGKEIEAVRLMEECVQ LRKRILGVNYPDSISFCTALNTWKAEQEDVALLI LY89DRAFT_739942 MATTISFREGNSGLQGGIFNGPVNTQFHHHAPPERPETPPNPSI VIPFSRDKDFVERGIFDQIHQNCAVLGSRTALVGLGGVGKSQLAIEYAYRTRDRSPET WVFWVHASNTARFEQSFRDIANCVKISGRQNPQADIFQLVHDWLRDDRKRKWALILDN VDDARFLVETRRTGQYGQTSGIESGNSRSLVSYLPQCPNGSILITTRSKNAALKLVEQ RDIIAIKAMDKTDALTLFENKLGGHYDGENIAELAKELEFMPLAIVQAAAYISQMAPR YSVHQYLQDFLKSYRKRTSLLEVEGGQLRRDENANNSIIVAWQISFNHIYKIRQSAAD LLSLMSFFDRQGIPEALLRKRSEQDGDEDMDEDDTSQSTVDDGFDDDVWTLRDYSFIS VNANGKTFEMHGLVQLATRKWLEAHEQMERWKHQFIKNLDAQLTTGEYENWERCQALF PHAQSAVLQKPKEQDSLLDWASVLYKAAWYAGEVGKGVEAENMAVNVMKVRKKILGDE HLDTLKGMAMVGSVYRLNGRWDDAEQLDVQVMETSKTKLG LY89DRAFT_739943 MASAINFGNNNAGFQAGIINGPVNTQFHHYIPTAVSPQASTNIL IMSSDPRNTDRLRLGTERRELEDALRDTRYGKSFNLYDIWSCRVRDITRVLDRYEPNI LHFSGHGSNSAIFFERDDGEAIAVDKNALGALLRTQKGLDLAILNACYSRDQAQAIAD AVGYAVGMEGAIDDEEAIEFSREFYRALGDGRSFEDAFERAQLAVGLTSNLRLHLLKR TQN LY89DRAFT_689500 MLYFHTGGDRTRLPSGGLVDGTSMSKAGGENFSVDTCCIDKSSS AELSGADGGLFAQKVLPFQMGPTEYTGKKTVVVPRCCQVKEGSQHCQR LY89DRAFT_759970 MASNPAYIHPQIPDPTVITPPQDFHIFAPKNPHPDFSNDVIQHT LRLKAYDPLDLSQALCFAIESRLSNQQTILDHLDLAERQLLGTVMDRSYSNLRLTDGE RSDSLLEMQAIKNLALEFFPTFNKMLFGGSLTEYLKDVQAYNFDALKASNPTHEAVTE NAIGWYQEEERTIYIRTNPPMYDFAGTAATEVQKYLTIFVHELVHTFFWAWGCKCANR CSQDEVLGNIFGQSGHGPAWMQVMHTISQALEKDLGWKIDTGMAYSCEIEEKNSGFKF PESMLNSWNMRRINFSQEDWKLIPKIWYNVHPCVTENPPCRKIQLVH LY89DRAFT_253306 MSSKPSEKPGFAAPNFSWADYIKHRPIYPSSYYDRIYRYHSEHS NTWDVAHDVGTGPGIIAQELANKFTHVVVSDPNDTYVDIACDRLTNEFGFPKSKFTFL QESAEKSSVEDGTVDLLIIAQAMHWADVEKAMKSFARQVKSGGTLAISFYGRAQLSNN PKAQAALDKVYDVWTDKLIEGGGFAARAMRATDSAFDIVPFSTEDWEPGVKRTLINTG GKKEPMAMSVKNKASYESKVGKDDVFEAEKDDNWTEVRDLKWLKEFFVTLLYNIPEEQ VKRFWDEVEEAIGGLDIPVDIFYPAVQLLATRK LY89DRAFT_759972 MLKFFRSRSSALKGKLRRKLRRPGTQPSQEQSATEDTPPKPLYP REKALSIIFSTELDRASKAGNVHAKPTFLLLPLEIRRQIVEYCVCGIPLDLNVVEELG QLKQNKIGILMRPIDVIRKRFVLSIPLTCRQLIKFGFGMTIIYLPRKLITQRLNMIND MWLVWHVFKVPLENTFEKAEWTKVWEIIASMEGLKKVLVQIFPIFLWEDQWPSEEKRL LEDAKLVTRPERFELELGWPEGPIPLELPCDITRVSNDLFPNRVAHWI LY89DRAFT_723476 METSTPVIQVLSRNNYEEQHLVTLPNALPLPELNPSSIRVQTSI ISLTANNLTYGRIGHLLSIWDIHPLPPSIPTEYSDPTKFGRIAAWGYATVLESNVSEI GTGAQIFGLLPIGTLPLDMQIRMNPEVPTQFFEISKHRENVMSLYNQYTSYPPSKRTE ELKKSQAYDALFQIFFTTSYLINRFSFPWDSEELIPPAANWTLEKGTIDSKTVILIFA DSGKTALALAYLLKSSRPAGTQPRTILGIGSSKSRSFAKETGLYDKVLTYDSDSDNNL DAKLDLKADSKILLVEFGSRGEAGNRWEDKFREEGRNVEQLIVAGEVVAESPEKANER FKKRTERGASVFNASIVRGKAIEVLGEKRFFEELMREWKVFKEGGLVESLRLVWGEGM EGVGKGWERLCEGGVSADQGLVFSLD LY89DRAFT_675068 MARDADASACRLVLVLVLVLVLVLCRYAARYVCAIEKGAIISNT GPRILFDFFLLQWWIDGATGSERKLFVPRPAVCSLSGRPESASPREKQRRTQQTQGQG LVWSGLVWQDLRLKGTSA LY89DRAFT_567271 MSTSVKRACDACHRRKVKCDGINPCRNCSSAQLSCTYHAIPQKK GPKGSRAKVISELRETQRQTTLSSKVANRLNGVNSPPCSPTLAPTPGLLTSDMIKECI EFFFANMYPTLPILHRGRLEQQAMYADQNTDTYCLLTSLCAFMMIQPGMGIPGDPLGL DSLPGANLVSGNLLMEETLRVRKSYDHLESPTLNSMVTSFFLFGCYFGLELHNKAWFH LREATTLAHILGLQKEETYLQFDPIESSRRRRLYWLLFVTERAYALQRHRPLSLQATI SLPTQNDDPSDTQAHTLNGFLHLVNLFRPFDEAFVALWNKTRNDCNPAYLAALQKQLT DALPTYLNSTENQTADLRTSQQWLRTMVWQLSIQNGCLSSNNDDPSMTFQYPVEIARD LVSMTSQFTQSSMEVHGIGLIEKLFDVACSLTDVLSLLPPNPDPFQLGPRDYLHDFMT LLSVLRNGDNRFLPLLLAKINDVLPRLVNPMLQSVPDTPANMCADVDIFDGFGNAGIG VASNFPGYNGNGTSGGEFKMEPDSDFNANNLQGMPAYDKRIEELGSPPNGDNSDNSPF TSPAIMQSPMEFPGLNDYGGFPDLSSPP LY89DRAFT_710605 MTRRIVRTICQLGALTVFSLIVVYFLDNQFRVLPSAIHNALPAH HPGLVITDITVTKCSRVNVFSSCQLDPDLWHRIEKDLYLGSGWVSSAYIHIKRKKEEE LQPDDKVIIDVTIGRLDPSTGVKGEGNEKWESRPAGLWLKRSAKRHASDSSKAITAVD VLFGADAVDPRDGWEVVGTALLFDTAAEGHEARLSVRRGKEAGHAKPVPRVKENGKFK IMQVADLHLSTGTGACRDAMPEGLNGGHCEADPRTLEFVGKLLDQEKPDLVVLSGDQV NGETAPDAQSAIFKYAELFIKRQIPYATIFGNHDDEGSLPRAGQMALIESLPYSLSIP GPEGIDGVGNYFVEVLAQGSSKHSALTIYLLDTHAYSPDEHLYKGYDWLKKSQIDWFK TTAQSLKKEHAGYTHIHMDLAFIHIPLPEYRDEGLPRIGEWRERVTAPGFNSGFRDAL VEEGVVMVSCGHDHANEYCSLSSTESGAPALWMCYAGGSGFGGYGGYGGYHRRVRFFE VDLNEARITTYKRVEYGEVEKRIDEQIIVEGGRPKGL LY89DRAFT_710606 MNFYAQSAQKAGRRFINGPKYSCSSCTRRLYSSGAVKENDIVLL KHRSSSSAPIITNPLKPGGKVNLVLDSINHEDIIGKAKREVVVSRKRVLYRIHEPTLA EYTDNSPRLVTPIYSQDANLIVSLLDINPVPPGSQSAGEDKLEIFEAGTGHGALTLHL ARAIHAANAPLPMLPEAALESESLDMGFKRVKLDTQEDTQSQEQKEVKLAYEEWRSKR RAVIHTLDTSEKHSAHAEMVVRNFRKGLYFPHIDFHVGKIEDYLSPRLIENGQQPFLD HAILDLPGTHDYLDIVGKALKPDGSLLVFCPSITQIIDCIKHVKERRLPFFLEQTVEV GGAVGVGGREWDVRRVKPRALLKAEGEESPESVPESGDDEGWQIVCRPKVGVRISGGG FVGHFRKIVEFPARNVK LY89DRAFT_675072 MPTEIEGTHQIGDISLYTKSWKPDGPAVAKVIFIHGFSDHMNRY YEFFPTLASRGIEVHGFDQRGWGRSVHNPSQKGLTGPTSLVISDIVSFIKTQLPSTIP VFVMGHSMGGGEALILASDPQYADLMKDIRGWILEAPFIAFPKGFEPSSVTVFFGRLA GKLLPHMHRYSPLPPENLTRDPEVVQSLKDDKLCHDTGTLEGLSGMLDRTEHLNKGIA KLNPGVKSIWLGHGTEDKGTSYEESKKWFDRQTQVEDKEFKSYEGWYHQLHADLPQDR PVFAKDVGDWILARVGDSAVKSKL LY89DRAFT_595487 MRCFSTQPSRSEPPKAWTPIPYITETIGGGWRQSDIFSKLLQER IVCLNGEVNETLSAAIVAQLLYLESDAPEKAITLYINSPGGSVTAGLAIYDTMTYIRS PVSTVCVGQAASMGSLLLCGGEKGKRFCLPNSSVMVHQPSGGYFGQASDIAIHAQEIL RVRRKLNEIFKRHLTKEHTLEDIERMMERDRFLSAEEALDLGIVDEILERRTEDKKEE KEVAIHE LY89DRAFT_595519 MDPTFQQAKAKRRIPKQPPSGVLTPFQQDLAKNPYALALSTPMR RCRLTDVNVPKYFLQDYKILSHPITGVPYFLPASLSRKHTKEKTEERPKMIEGITSYT LNYRKALSSMQNPTGGYFTSRSGSNKRAHHRLVPQGLRDVKPAMKMVMASRWRPDMED FVLELMRRRCVEHLVDLASLKRGYLVGCADWEDAASKPSVAAFLWTGGIGDEPINPPT DFATLDLGMEGGLKGGEKKKRRKVPVYNLRNIIGEEKLIELRNVGSGIFGRDVVALKH KKMTVELQMQLWKLQGYMADYEKLLQTWDGGEDLGDEVFEEHLGNDDEKDEHEEDW LY89DRAFT_739952 MDSSTPTPRPSKTRVTIKLNLAPKDPKPDSEPVTEAEAQFESEI DLEDDIEPESPTPSRRQPHREAKLHVLWRDLNAGEVSAVYPWYPGSQLVQHERDSPDA MDESRGSPEMEDNATIAEDPLAGIKLRKLPVGLTSRDIQDPEERYKFETKMQLASSTA TMVMNLDNEQIMRFLVEIKACPFTTYLDANRVLRSITETMVTFGVEDMIREGYIKGAT DYPINQHNPTQAVDAYAVMENIYRSASNTRGEINSYASLDPENENKMRWHRSLYHDVR AKNIIAGIEKVEQKWKSITPRRYRTISTLRLAFDYLSNIYHPTYPWPCSHAYFEATLE MIRINEIYCMLVFEEDKYSFLEGLRKAMVDAETRRMKEWIEARSDEQFFDLMGTGLAP DFEDSLEGALNPYNSAS LY89DRAFT_700758 MHFQLIPSCFAIASLCGAAIASSQLPTVDLGYAIYQATINPPVG ALRFAPPLPPVGRNATINTGQTGVIPAQANPAWEVIAELFLDAYLTGQNISEFTNETS ALALLSNLTAPAPDPSVSEDCLFLDVIVPEKIFNGSSSVGKTVKAGAPVLVWIYGGGY TEGSKLSAGDPASLVARSQLDGSEGVIFVAMNYRLGLFGWLSGNSEVTDNVGLLDQRL ALEWVQSNIHLFGGDPSRVTVMGESAGGGSIMHHSTSDSALVTSYGGKGPAPPFQQAI PQSPAFQIMVPEQSKAIFSQVLGNASIVAGKTISCAEDLRALPFEVLAAVNTLMVGNS MYGTFTFGPVVDPSPNSYVPDLPLRLVAEDKFHNVSLMVGHNSDEGLLFTPPFVQTQA EFVDEFATLFPTANASTISYLTETLYPPVYDGTYGYTDAIGRTSLAISDFLVGCNAHY LASMLSPSYAYLFAVPPALHGEDVPYTFFNGDTSTSDDGLPVSSEVATAFQRYLTTFA MTGTPVSEGFQTFSRYGQNDTVTEINLVDFGSHIKDPAARSVCQFWATAPYYVAGSS LY89DRAFT_595743 MLPTILLAFAATQVLADGAAIVSAIDTISSATTTLNDTVTAFPS NPLLALGDVLPLLTDSTALLNDINSATNTAKSSANLSTAEAFNVAVATLSLQSTVESV LDNIVSAKSRFDKLLIVSPVVLLNLKLEKQATDNFAAAVVSKVPAALQSTAESLITPI DNAFTAAISDYE LY89DRAFT_655864 MSVFSRICLAAAICSQAVSAGPKYPRAENVPRAYGNSSAVSLDI LTKTGVRNATAPDLYGWMFEDINHSGDGGLYGELLTNRAFDGSNIGWGTIPGFTGPSI TYQENTCEATGPVITGYKPLGGTQIRLDAFHPLTYSHRTVLAVDIPTTPGSEPVGFAN LGWWGIPVTPQTYNVSFFVYPDQFKNQANLATAITISLQSNTTGEIFASTVIPAQSWN VVNYTFISTELVSNVSAPDSNNTLAITFDPAAAAGQTYYFDQISLFGETFKGYQNGLR KDLAQNIYDLKPKFLRWPGGNNIEGFSFQTRWKWWETIGPLEDRWPRPGNWEYYNTNG LGLMEFLEWTESMEMENVLAIYSGYSLGDSDEGDANEYPSSDAALYPLLKEALDELEF CMGLTDTYWGGKRAEYGHPEPFNIKYVEIGNEDWFGQNYPFRFKYLYDGLKAAYPDII YISTAYNENADYTIDIPEGAMWDTHHYEPPAFFIDGFDFYDNWQESTNNTNVTVFIGE YSVFQIDTPSEVDNFSDPSDIHIFYPRLLSAIAEGVYLLGAERNPNVVKLSSYAPSLQ NWNWYNWTPNLVAFDANPLHTVLSVSYYLQKLFNEYRGTESVEVTNSEGDFGPLYWAS SVDGGESVFLKVINVNATTVPLNVAIESKWTSVNGSIMTNADPNGFNYKNNATAITPQ PLNLTSTKPASDGSWIWDVPGYSITVLQFNL LY89DRAFT_655867 MAQQYAKDQPAGFVNRIERVAIVGIGGRIGSHFAEALLKTGKHT VTALVRAESKSVLPNGVKAAPINYDDEETLVAALQGQQFLAITLSVSAPPDAHSKLVK AAAKAGVPYVMPNIYGSDVFNERLMKDRIYDVDSRYKEIESLGVSSYVILVCGFWYEW SLACPPPWFGFDVKNKKVTFFDDGKTRINVSTWLRCGSALAGLLSLKELPEDENDKSP TVSKWKNKALYIDSFKVSQRDMLDSIHRITGTTDKDWEIDSEPSITRYENGKAEMKSG VRTGLAKQMYSRIFFQNGDGDYESTRGLDNELLGLPKDDLDEATKRTLDMVESGWSRT G LY89DRAFT_595997 MLHTTYHKGQQQTGKFKDNIRFLPAPVGDLLLDYLVVVIPLRQV FFRQSAPHAIISPYLWWQDGKVWANNRLTRCMEQACSRASIPRLHIANWRQMTVNIVK TKFAANVRCFEVDDGANDEDAEEIKANIRVITK LY89DRAFT_739958 MPRHFHGEGVSRWKRIAWHATAGHKAYSGSTRAVREAYINPLIS PSLPSLFAVQLYSQKLAENFSNTLSDFHALEMATLAFKPYTYKPTAPRSKSTATYRRP AAEQARNSSTVFGGSDKANNNETDDNDRDLPTIEELLFTNLQAQGFTTGDRGLDKTSG VEEVAADENDPIVLLGDSNLSASEAEANDVSLCAESAIVPGARLFDSPETAIDSTTPA PPRSSDGWYDIDDFLEPAPRSQLAEQGASTPDSLPPHTPSLRLSSEPLYDKDRLYTGR GVADEHELVDHTLNTLFIDEGVIKQQEVEQEQKKDEGNTKDEDEGPQQEMNVVAPVVM AERASRSLRLANRRQSLPNLNSSPEPSYNEVGSRSGGNSDDELNSTNSAEDNEKKSRP AKRKQLSSSHDGPIRKKPRRPLQESSSCQRRPLSKPHRQYLKSHSPLDQCSRVATSSS TKGQLPSPAPSILQSIDTKMSLSNSNLSPSSRATPPTLTEITFRPHSAYCYSFTATIR DGCNGRGVSLAQLARLIASTGHIGKINDFTIKPIEQHSYLLSGFSRHTSSSITAEAGR DHVDATRTRPQDSKVVDAGAFISRGSEPSSSDDDESGLSDSDPELGSDCDSDGCSSED ELGRSGSRMNVPWDPIDEQRLLAYKKEGKSWKWIFRQFPGRTQPAVRTRLNIVQARGE LY89DRAFT_723486 MTAITIRDFMGAAEHGRVSIANFLAGFLELMKQLQLFPPNPIPV EGEEEQNTLPRNSQLQTASDCFSRLVLGIITFQIRSDAVLHTKFMCEMKETENDTRND LQSCLLYRGVSALYRALHKPDRGRFKEIQVHSDIWFAVHFSCRSPSRDSELNPVLLES AHTGVSDNDNTVAWDFLEKLPKLSNRESSQVPHNLTDFLSLGTGAHEYVKLASDPGPY NPIFAWNIVLHWILHGFPASSGVHLIMHPANLHIAQILTEWWSGKIDDVSIPMQFFKD LEIAIFHGRIVGLLETTRMI LY89DRAFT_739960 MAKNYLQATRKLDVILIPGSKRALDGSVPSWKPNWLDLGFEFWY AMEAQPEQKFTASGTSVECVIFAEVDGLSSLYGREATGHKREDHFVQPSSTGANPYAP KYSSALSNRPLKAKGKARIRHKTIKALFNCLDLGPELTTESYRYMFVSTGGLYVKRAM MMYLYYGVASAADSKRVSVWRTFFRTNRYKGKRRYDSPELSRWLEDNRDWMIHGATFE SLCKSTCSWTVYPHLWTGPFWFHTIPRTINIPPVAITFRCERLV LY89DRAFT_253623 MRGIERRWSDFSLANEFVPHNTQLPRCTSGKGNRSRSGCSTCKK RHIECDGGKPFCMNCQKRGIGCEGLFTIDSQPRAILPSTSLEGTPTTGPLSYGPDPMT VPRILESTQSELSHAFLTTGSEPRERLPALSKPLSTPNQKIPTSYEGTDSEIHSQARV EEWASHSPAT LY89DRAFT_759987 ETLRANYAYYAFHTFPQISASLITILSEATKLLVAAAFLILWTK TSISARLETLKTSDSSSTMEALLQYAVPAALYLINNLIYFTVLPHATPILLHVCMLMK LPASAILHHYMIKKQQNIHAWISLALLCVGLIIFSVPSKPQNNSESTSVRWYFAPTSG LVIACISALASINTEKLTKIGDFWASQLCLYAFGLLFSIVAYPLVFVLNPATSSTTPN EDFLPALGVLVIVTALTGLIVAAVLRAKDNILKIIGIATSLITIAISQYLFLPELRPS MVTVWKICGGGVVCLTTWTYSYYSKEHWQHPTARYLPIVNERHEEHTTSEESLGRDMS EKSASVGFFVPDATKVFACTLIIIFFTVEIKYRNI LY89DRAFT_596357 MDDGYAAICISIKDQRQDLPEFLIHHYYHLGIRYFYIMDDGSEP PLSELEDLGVPRSALSFTYQDRATRDPRMQMVFYDNCLQERGKLHHWIAFFDADEFLE VTSPNQTFREILEEFEKIDHVGALGVNWRMHTSSGLLTRPDSARKAFTTCIYDDVENG GASSDNRHIKSIVRPSKTQSHFNPHKFRMIPGVLTVGEDGDEIFSIAWRPITRQRLAL HHYAVKSRAEYEEKVHRGNGMTDPKGQQFWDHVETMPHVDCPEMAAYDP LY89DRAFT_710610 MLSTRILLVAAVFTSIVSSQTLSTPFCDSLTAICYTSYTTGLGI SYRIALPDDGTPEDVILQVVAPVKIGWAGFAWGGTMPWNPLSVGWSSGTTGAIISSRR AFGLNLPLAYDKAQYTYLRGTGTNSTHWTVTVRCQGCTSWQGSDGSNMTVPLNGTTTF AYAYAISPPLNPADNSSGFNVHDNIGKWVHDVKGARSSKFSSWIANNIILPVAPISSS STSQPSSTTTVSTTTPSPTSTATVMTSGMPTLCPGVSAPKYPSVVANGWRATKVKGGM AAARGVVFDSAGHLLVVESGLGITAHTLDGSGCVQSSKTVVSQANLNHGISFDANGTT LFASSMTVVWSWTYDPVAVSIVGSAKTVIKGMFNSGHPTRSLLMSPSHPNTLLVSHGA SGNIDYPAGDIKTGRAMIRVFDLSTVPVEGYNYSTDGYLLAYGLRNAVGIVFDRNDKL WVTENGSDELTRTTDGQTVDIHGDNPAEELNYIGDLAPSTNWYGFPTCYSVWKPSDIR DRVFGIGDQFVLVPNATFNDSACGNLSIPPRLTFQAHSAPLDTKFDRSFANMYVAFHG SWDRDPPTGYKVVEVPFFRGRDGRYGPVSLSNSTSGYRDIWSNVNVNQCSATQCFRPA GIVFDHLGRMYVTSDASGEGEMWLLGKI LY89DRAFT_689512 MITLHPLKRKAEILSAPLATGESWFIIGICAAALAIIFNTLRSD GEPLLALLALSTLGFAASFCLIKWLGPTFVAIGLSGEDMSKRRKKRIPEGMGVISGLV FLMVCCAFQAFGPYLLEKNVTRIVGDQIVTTKVEFVPNTNVFYRFPHAELSTYNASIV SILFTLVLGFVDDASDLRWRYKIFIPIFAIMPTQGAYFARGGGTTAVIPIPLQPWLGG TIDLGIFYYVFMVAVAIFMTNSVNILAGVNGIEVSQSLAIAFLLVFNDALYLFWPENN PGIYPNPTIEAHLFSIYILLPFIGVSLALAWHNWFPATVFVGDSYCYFAGMVFAVVGI FGHFSKTLLLLTIPQLANFVYSLPQLAKIVPCPRHRLPHFNARSGLMEPSVTVWQKPP KAFIAIVLKTLGRFHLLRVTKDEKGQIIETSNFTILNLWLVWFGPRREDRLVMDIVGL QIVCGLLGLLVRHKFAGFIFEFDNLMTGRALGVKV LY89DRAFT_759999 MTERPLSITTQIATPNQVAFHPTPSSDAPGIVTESADDEPYTIK CICEYYDDDGNTIYCEQCDTWQHTECYYPGRVEDASRADFDHSCADCQPRELDFRDAI ERQRQNRQNKIINDNGDKKTKRPQSKSHKKKSKPSELQVNGFADHDGHKNASPQDHHP QTKKTKGHRSHQSVGSGIKRSPPFNARPHPHAHPPSPAHTPPDLPNSFQVHGYSERFL SLYDHDPPSSSTNTFASLEVTNSMSLWLHDPEKLEQDAGCRKEDVFQRLKVAFDELRW PELRPVQKDATVNNTSIHWRYLVAPTYFQQAGRITELNGFVGFQRDYCQDVQSHWLDA PHPQPFVFFHPRLPLYIDTRQEGSTCRFVRRSCRANTALETFIAGGGSEYHFWLISER QIAAGEQLTIPWDFRFPSNHSSRYLHLLNLGDEEGIQFDSADATDEEYEQLSQLIQLV LSDHGGCACDLGSDCAFARFHRSYHARSHSQSNGVKSKKGRKARQNHVSPTSTGYATN SRAASEGQGDTYDEDDNRSTSGSSRSKPQSRDLTPSHGTTETNGILTEPTDREKRKLA MLEDSFRKMEQGQPPRKKKRASDGSNVTVPIHVSTIQPSVKPRQKSVARMSISQPSAS AANGQRSRQYADASTSRRESGSPFSAVSPTAGPQSPTNVTSRNSSMQYRSRQASANPK PVYVDDSTQTDEVDKAWWNITPTKSKRAIVPLGKRLLKNRHKIQMLQDMQQAQIQTSP GSVDQSGQPSPALPMDLDSPTHEERHQTESPVESKARNFSISSSTTSVDTSGPADIIM TDPPTAMITTHIKPPPPPWPNQVAVASVHMSTSPTLRSPDLQLQLPPTPNFSNSSLPG TLSAAATPSSASAILAQSPLASTIPGPSINGLAQQASPVKTTKKLSLSDYRARMKKSD TSTPSKPSSGGSPTVTPAVPKPSLSTIEEAKAQGLLEGNAIIDSPVMERVLDPLAAVV EPTSEAVSKE LY89DRAFT_535811 AFHPYYPQDIILSGKSFEPNELTVTTLIIGFATGLTLLLGSILL LVRRVHPRLPTTDVGLVLWFVMSGTIHLFFESFFVLHNSQIAGMQTLFAQLWKEYALS DSRYMFSDPLVLCMETWTVIIWGPLCLLTAVFITKSSPYRHPIQALVSTGHLYGNLLY LSTSLYEDFYISKQYYRPEPYYFWVYFVCFNLPWLVVPAMCLYSSIKKSARAFELS LY89DRAFT_787303 MKFSLSLATLLLSTFVAAEGLSLFGGQKVLDEATKVPGDNPLSY CQAEHADDILVLDHVNLDPNPPAAGQTLTIEAVGTLLEDVEDGAYVILQVKYGLIRLV NTQADLCEQVSNVDLSCPIKKGKTTITKDVELPKEIPPGKYTVFADAYTKDKKKIICL EATVQFGGK LY89DRAFT_710614 MAAPPSAYKDRQFLAVIGDEDSVTGLLLAGIGHVTPPPDSQKNF LVVDTKTSNEAIEEAFERFTTERKDIGILLINQHIADRIRHRVDTYTAAFPSLLEIPS KDHPYDPEKDSVLRRVRRLFGE LY89DRAFT_596194 MPRLIRRRPLLERIKDYLNPGDFLLWLSEEIETRDWDSKRLAAP IAFGLHFVFLIARANTSGSSAKGGDDVFGDDYSGTGWLSYIATVIVHLLTYFSIANAA YTFSRKRHYRLFETPIDAPQSTPSAKRVRVDSSPVSSSPLRLLTSILGDPSAESRAHP DASRDVWELAVWDPLPICLQLFCHFSPGHVLVYWLFLPTLASDSRPSVTVVTTILLEI LLSSQLYILQSNFSQQEKDAAIISKEVMGEYDKKFVHPRLNPLMRDVATQYTTSSTDA GIEEGGDGDVTTYTPTVVLKREFRTNPNPCYAKHFDPDNKGSNQRVTPSPAPTYTPAA YSSREPTPYTGVTPKPPIRQPHFRQSVATATATGASTGDGGSLGVYSHANSPLKKATS MYDMQSGRREAPKNSLDMAGREIQEQRERSLSPAKRQSEAHKSFLSKNRMPASEAEND RRTSAPSGFAWKQRPSGYDSPYKRGPSRF LY89DRAFT_544485 EITKTQQLFEDKKARREQRRSLQESGDFLGVQGANPRTGYWDIS DVTSSSEPSQASEEARQLLAKQAREVAEKKRRYEEAQVMQQTELKRVQALRDAKKRDK LEQKRRELKMRQRGRGKWRLSENGWSSLAEPDLSPIEQSLAGSPVAEIAPADRLYPMP SADHPSPYEGTGSVAQPDYFGKGHTTSPLARDHPSRGSGTISTESRQKLIPRKPVGSL SRRATEGSTSTIVHTTS LY89DRAFT_254050 MIDSHFPAIFVITKTTTNTRIHYHGWSSIAPFKRLHNLSCNLTV PRPSKRNPSAHDTPDRDCPQSNAVQLTSPP LY89DRAFT_689522 MPTAEGRPQTLYDKVFQDHIVDERLDGTILLYIDRHLVHEVTSP QAFEGLKNAGRKVRRPDCTLATTDHNVPTTSRKNLKNIETFVEEEDSRLQCMTLEDNV KDFGITYFGLGDKRQGIVHIIGPEQGFTLPGTTVVCGDSHTSTHGAFGALAFGIGTSE VEHVLATQTLITKRSKNMRIQVDGELAPGVSSKDIILHAIGQIGTAGGTGAVIEYCGS AIEALSMEARMSICNMSIEGGARAGMVAPDEITFEYLRNRPLAPKYGSDEWNKAVSYW KSLKSDPGAKYDIDVFIDAKDITPTVTWGTSPEDVVPITGKVPDPETFATKEKQAAGR RMLEYMGLTAGTPMEDIVLDKVFIGSCTNARIEDLRAAASVVKGRKVAPNIMRAMIVP GSGLVKDRAEAEGLDKIFVDAGFEWREAGCSMCLGMNPDILAPKERCASTSNRNFEGR QGAGGRTHLVSPVTAAACAIVGKLTDVRKLADTNATPRKASPQPMQVQPYVDERVDTD DDEREMIGDQPEDSSPTTNTSASRSSSAGLPKFTVFRGIAAPLERANVDTDAIIPKQF LKTIKRTGLGSALFHALRYNADGSEKPEFVLNQEPYRQSKILVVTGPNFGCGSSREHA PWALLDFGIKCVIAPTYADIFFNNTFKNGMLPIAITNKDDLENIAGEARAGKEVEVDL PNQVINDASGKKICSFDVEEFRKHCLVNGLDDIGLTMQMEDKINTFEKKMTQNTPWLN GSGYLKRDKSGRLAAKAVPVPKTNKGQEVKDPIDW LY89DRAFT_700770 MIPQRIVDAPFYIFSNFLERRACSLKIPVSPRTTLEVNNMLSLV FSSSKTERTPWNLTKFARVHSEETDVAKDLIGIKKARLAPAPLPLSDLDNGIVGWESQ DDPDMPLNFPESRKWFLIALLASITFISPLASSMFAPAVSFMDITFHNSSPLLSSLSV SIFVLGYVVGPLILAPLSEIYGRRYVLTGANIFFCVWQIGAALSPNLSALIVFRFLAG IGGSGCLTIGGGVIADLFHPDRRGLASSVYSLGPLFGPVLGPVCGGFIGQRLGWRWVF WILLIAGSLVTIAIEILNRETNPRVLIQRKVTRLRKELNRTDLRSCYETNGQVHSPTG ILLNGIVRPLKMLFLSPIIFLLSLYMAVAYGLLYLLFTTATQVFTETYHWQPDICGLA YIGLGIGFFAGLGVVARISDATVVRMTKANDGVFEPEMRLPACIFFACWLPVTFFWYG WSADKAVHWIVPVIGLIPFGFGMMGIFIPIQTYTIDSFPQFAASGVAALTVSRSLFGA FLPLAGPSMYSKLGLGWGNSVLGFIAIGLIPAPILIYKFGGRIRKNYPVKL LY89DRAFT_538709 QRSTRDPEKFPTVQLFLLAIVRLAEPIALTSIFPYAWPLVKKFH VGDENDASFYAGLLISAFALAESLTGMFWGGLSDRIGRKPVLLMGCTGTMMSMILVGF ASNIYMALAGRAIGGVLNGNIGVIQTMVAELVTKPEHEPRAYSIMPFIWSIGTIIGPA LGGTFADPATSWPDTFSQDGLFGRFPYLLPNLLCASLLLISILAGYFLLEETHPDMQP RVLLPDDTYVSEETPLVATADAIKTPAVDLRADTYGTFEGSDDSKWRHATEKNRTPKI FSKRVVALIVALGIFTYHSMTYDHLLPIFLEDARGETNISILTHAAIGSPLSSGGLGL SVQKVGVIMSINGVIALFVQAVVFPLAAEMLGIHRLFILVSVLHPIAYIIMPYLVYLP ATWVTAGIYTCLTVRNMLSILAYPVLLILIKEATPSPSVLGKINGLAASAGAACRTVA PPVAGYLYTLGSRMDFSGLAWFGSAFVASIGAIQAFTIKRTKKEQGEE LY89DRAFT_689525 MDEIAPEYDVVVMGTGLTECVLSGVLSVKGKKVLHIDRNDHYGA EAASVNIEALFKKYGNYSKGEEPWKKYGRVNDWNIDLVPKLLMSSGELTNILVSTDVT RYLEFKQVAGSYVQQGNGAKATVAKVPSTAGEALRSSLMGIFEKRRMKSFIEWVGTFN EADTATHYGLNIRTCSMKEVYDKFGLEAGTRDFIGHAMALYQTDSYINEKGGAVEAIQ RIHLYGTSVSRYGKSPYIYPLYGLGELPQGFARLSAIYGGTYMLNTNIDEIIYEGTKA VGIKATMQDRGGEEPMKFTTKCKKILADPSYFPGKVQVTGHVLKAICILNHPLAGTDN SDSAQLIIPQSQVGRKNDIYIAVVSSAHSVCPKGYYIAIVSTIAETTANHHLELAPGF ERLGKIEEKFMGPPIPLYEPLESGVNDNIFISKSYDATSHFETTTDDVKDIYRRAEGH DLVVEGLREGTNLVGDNE LY89DRAFT_689526 MSTSTALRPAVRSILRSSRSNQLPPAFLLPSLFAPKQQCSPFST STLNSYPRDNNRERGVSTQRRTGLRQPVSVSKTPLPQPVLDESKRSKVEVDPDHGLYQ FFHSKEKPMNTPDEDSDHGRPWCVEELRHKSWEDLHALWWVSAKERNRIATETYERKR IEAGYGDYESEKRDVTVRRTQRAIKQVLTERYYSWRDAENVAKSDPEINLSGKGPIYT PTDFIEDEITQHEAEPSAEPAPKSEAKPEQISTPSA LY89DRAFT_689531 MDTSHYNPNALNNNSPYGNLPGISPHQLQQNSNIPQHTLPPLQA PQHAMQSLYGSAPHTPRTPATPNTPGSANQMGFPQMQGQQQQQQRPNQMMYSNNYQQA PQGYRTSGPMMNSAPTAMSHPQPIAPAPAQNRLAQPLRPMPPNGLQHMSGMQSPYQNS MMMPEIDPPTHVVGSQGRRGILPSAPGRPAVSATATGKNAMIPAKDADGKFPCPHCTK TYLHAKHLKRHLLRHTGDRPYMCVLCRDTFSRSDILKRHFQKCSIRRGNPTGASHLSH AQAHLKKSHPGPHKSSNSMSNENDLMGTNGMAGQPSGIPLFGVIPDGSVPDAGSNLTD EQAEQLSRSNSMKQLSMGAGRDGRGMNGPGVGGSNRGSFDQGYAGGIASTMPPGMNTP LSFSIPQGQNTHSYSQGYDYASHGNGSTMQSHSSTGQDWPQMFAHNQQNNFVNPYNPN ISTSHVAIKTEPSLNHHANGLPIIKNEPSLNHITNGLSFPGVYPGLTSGITNPSQFSS WNLQNHPLEEISGRLLYFCFPNNQVIGRSNDIRKYLSADNIKHFLEQFSSFQGHFPII HMPTFRIAEAYDGLLLSMICIGAVYSNRMTSTQVREMMELAKVVIERNSQVFAAVSRE QTGDSGFGGELIGASKSELEQITAVFMMQVLFTWHGTPLQREKARREFPLVAELARRA GLPRPMTTTPFSVLHQANVTVENFNAASFDWNAWVEQEKRSRLMYTIFLIDAAMVIYF NSSPLFERDEIRIPLPADDAAWDAPSGSECASALGLHGAAVARNKNVEGSRRPKQPEM HAALKALMDYNIHPGTTNLYSKFILVHALHVQLWTAQRQASLLESGQPLSFPSSGSST PILQHDWVRGIDPTGSGPHSANTSGRVTPVDSLNHSSQTLKAINGAFDKWKKAWDDDM ATQYPPSSTQYRRFGFCRDAVHFYWLAKYLMKSNRGLDWQMAPDQRFAQVMSILKSVK TWVVSDSAKRGEELGSVADIDKDYGTMDLTLDMAQLFRKMTDADSPVTGVHTNIGGGM A LY89DRAFT_254681 MTQRLSGHMQHARTVIQARLLLLPGSISSSAYRFLFPCTESWPL AAFQQAVPFIKLQPRPESSLEQHTQDLG LY89DRAFT_723501 MKSHKASGRGISEPRIQIHRAPLIRQASSSPNIKRHLHLTASCS PSTTTMSSMLQSFRNLSPRTRIGVGIAFLAWGTIGLYISDNAEKRLGFEATEKDREAL GVVVPRITVVEREGKGDS LY89DRAFT_700775 MENLPVELCRTILSFVDIPSLKNFRLTSRFWGGLGEDYLISPTF FSLSYRNDIHRLTTLAKNPRFRLKIETLSFLHGEVNEYHARHNTYFLNYMQDPDIRMD MQTSVWSTYADLRAQKERYLPTACDKDALMEILSLLPNLKTVEVSLMTCPFQEDEHPE MLKEIWGIPSTRLMPRVATTERFTNLVSALASNITIASIKSLSHDRLPFEFFAQRPAT IHHMSGAFRSLTSLSLAIDYSDMPNNLHQTQAFHNLSLCMRSASQLQTLALQFMGRRK IDIRELLFTFRVSDYEFRHLETIALRGITSTAADLGTFLVKQKSLKSIQLGGLGLKTR HQPPNGGVHLSEGSFKGLFERVRRNLNLESLKVQGDLVGQQSGERWVLENVEDEKDLW EFVMD LY89DRAFT_254763 MTPLLRQLQIWGAQWHRDDYLKVLNDKIAYWARKPYPMRALHQE IDALFVDDPHLLETLDQFLVEKFPKQIAKAEEARIEQEETHRIACEIQSESRLLTLPR EIRDKIWEEAVIGQVIHISHTEAHSPKRRRPGRPKRAKFLYHACKAGLHSSACPPGQG DHINCSTDGPSDFHGISLVCRQVYLELPASDSMLSNNALQFSDLNTADRFLFGLDEDI RASVTHLKLAVPYSLTGRIYPLYGVAMADPWQSICNYYSNPWDRKSLRMYPKPNDQSH LLREPIHFYYSGCYYAHNRDRLYRTWQVGRGNTSWDVTISELKYRGCPRLEVAMACPT AKEIANEASHHSSAGLWRTEFETHPQSWLIPLLQFRNYDQLGFQFYDKDGVKDFQPDF VAALKEHIAGLPIGPQICAFFAGGGYANGQAYQL LY89DRAFT_689534 MQLPPESVLKSFPPSNFVNPVTRGNANIILNIVLYSLLLCFMGI RIFTRTSLKCVFGADDVCILLAIVPTTIFFIISVLADAKYLWIRHSYDIPVDHVPTGL KMVLGAQLAFALACTLTKLSMLMLVRRLLASSTLFWRRVTLLGILVVATQGSVFCITV IFQCRPPQDYWKVTPDPQPNCINQASSLLVAGVINTLTDFLAVVLPIRTVWCLQLPLK QTLLIILLFAFGFISCGAGIARTYFMWVVTQTWDKTWASYPVWITAAMELYIGMICAS IPATKPFFSTYLPQMFGSFSPSQTDHFTRSPKRLQKRPHMDIVVFDAESNEVLPFEKG SRASSKGSAPTITCTNGSDVGSTETFDLERGKYYMQQA LY89DRAFT_626663 MSKVANRPDWADDEDLDDSVVDNALPEPTKVTNKDGTITKTSWK INDEGKKVKLTQRIRITTHKEVVNPRVAERKSWSKFGLSAKDAPGPATDTTSVGENII FRPSTNWRKDAKEEKTEAGSMKDKLKDKKVKCRICNGEHFTARCPFKDTMAPVGEEGS ADVAAGPADVADAAGGLGTGKSSYVPPHLRNGAAGGGDRMGGGKFERSDESTLRVTNV SEMAEEQELRDMFERFGRVTRVFLAKDRETGLAKGFAFISFQERTDAAKACEKMDGYG FKHLILRVEFAKKAN LY89DRAFT_596701 MLASRLSRALPRATSSATRSQTLFRKPLGSSFVRRYADDADKKV RGPVIGIDLGTTNSAVAIMEGQTAKIIENSEGARTTPSVVAFAQDGERLVGVSAKRQA VVNPENTLFATKRLIGRKFTDAEVQRDIKEVPYKIVQHSNGDAWVSARGEKYSPSQIG GFVLQKMKETAESYLTKPIQNAVVTVPAYFNDSQRQATKDAGQIAGLNVLRVVNEPTA AALAYGLEKDEDKIIAVYDLGGGTFDISVLEIQKGVFEVKSTNGDTHLGGEDFDIHLV RHLVQQFKKDSGLDLSSDRMAIQRIREAAEKAKIELSSSMQTDINLPFITADASGPKH INMKLSRSQLEKLVEPLISRTVDPVRKALKDANLKATDIQEVILVGGMTRMPKVAESV KSIFGRDPAKSVNPDEAVAMGAAIQGGVLAGNVTDVLLLDVTPLSLGIETLGGVFTRL INRNTTIPTKKSQVFSTAADFQTAVEIKVYQGERELVRDNKMLGNFQLTGIPPAHRGV PQVEVTFDIDADAIVHVHAKDKSTNKDQSITIASGSGLSDEEIQNMVDDSERYAEQDK ERKASIEAANRSDSVLNDTEKALNEFADRLDKTEVDQIREKITTLREFVSKSQSGEGT ATAAELKEKTDELQMASLNLFDKMHKARAESGETSEPKPAEGEPTEGEKKP LY89DRAFT_723506 MAAMAVKSESLHHATEALILPQPRKFYRAAVETSHWQLRSLISS PESHIVYYPSGSEVFSLNTKTQEREVIAKLPFLPRCLIASKDWLCCGAESGQYVSISL KDRAEDRNLIFSGEADPDERLPLDLDPTRRSTTREANSSIRRSPSRPLQPTPIKIGTE IVNCVTLWSPGEMVSEKAYDIPVAVVANNDCTVSIVNVRDSEVLEKLTLPDFVNRSVI SPDGEILVTICDDPFLYIHQRTEKIGFRKQRFGTKDVQRYEWVLAGKIQLEGQRTTDK SENRGSFTACFSPSGRYLAVATQYGVISIFDAQRLTDHDSLLVIFTTSRPGASNGAVR SMEFSPAPFDLLAWTEHSGRIGVADVRSLFYSRQLIRINSDGEGVETVNVSDRRTESF IDPRLLNVRNESPSSHSSTPDYLGLDLERRQLRHLTREMLDRHHTPVTVEELEVLQAH RIARRQRDAANAAREALAEGSGRWSAWGDGQRSSTASGEGPGSTSRRISTSGLPAALR EFVNPDRTAASFRSFINERNRENERRSQLQQEPRRRASMILAAAENAIERETLGTGAR PSNDTSTTLERLTLTPSRLETFGSDSPNNPWAEIDALYRSRFPGDPPLDRSTRLRVEV AADEEPRDFAHRLRQPWRPLDDLGSGTGIIPGGGGLRAPETMGCAWSSDGRILYVGAQ DGIHEYHVNVPARKKFPSLVMR LY89DRAFT_787317 MLSSALRRQVIVKYGWRGFATSAVREADFTHAVIGGGAVGLAIA RQLAAREGTSTLLIERNGGVGQETSSRNSEVIHAGLYYGADSIKTKLCIQGRQLLYAL CQKYSIPHRNMGKWIVAQTHQQWEELVKVHKFTRSIEGVPTEFVSLERAAREEPFVQA KTGILESPTTGILDSHSYMQFLLGDFEDKGGDVALNSPVTRIDHLGEKGSRGWEVTTK DKSTGEETTFTAEVIINSAGLGACDINNMILPSSRHQTQYYAKGNYFSYSASSPSTTH LIYPAPEPGAGGLGTHLTLDISGRIRFGPDVEWVDCPTDLAVNDARLPEAIAAIKKYL PGIKEESIVPDYAGIRPKLGKNSAVASGKGFADFVIRKEDGYEGVVNLLGIESPGLTS SLAIGEMVERLLYG LY89DRAFT_537403 SSIARNRQSSHPGRRRSTVLMSLQLNDPTMPPPGEMVHEGTPVY RTASPQSMQASPITSSGEPQLPQHFRAPSLGEIHQELEQEQEAQVNRLLQMIRAQQQQ LQQLQAASGQSNATPPVIDEFTPTSERSMSFSTAGVQQQSTVSTPRSPSAALHPRSSF DLARADLQQRRSRTPSRTASPRLRSTSISGEGEPFSLAGRDESAFYQAETQMMIRENQ MLRQRIRELERQVSELHVNSAITHEPATPSQLLRSESISELSAPSISPA LY89DRAFT_255139 MRVECVFLRLVSLIVHSLTYSLPLTICFTTLLLLCHHCFCSRGD PDSGCICSLPFFLLSFRHKKALFLSPYRDGILHISRPRPMLCILPTIHSLHEVLLYDL SFSTRDSIAHHLLRIYY LY89DRAFT_596174 MSVQEFVEKLKQQALQQQMAQQRAQQAAQQGGQPQQGQPQQGQP QPIQPGPPKPEALAVANFLKNQDLKPRTCILNGQRKDMFKVKRALRALQSDAYTKARK KNPLLPEITDRASLENTFKLLPMSLLALRVSKVDPHEGHDHAPGAHKTKRVKGLWTVK IEQQQECREELHFVWLYEGAQLKQKLYAIGALAVVFAIVMFPLWPIKMRLGVWYLSMG MLGLIGLFFVMAIFRLILFCITYFAVPPGLWLYPNLFEDVGFFDSFRPLWGWQEEKKK GKKKSKASGSANAGATMAAMTGQPLPAQATTTSAAPQMQTSAPVNRNLAPRVEEVFDE D LY89DRAFT_626680 MSENYSLGPGVEPQPPYTTVFLSQRDLGLQVPINYVTTPGVSFT AACFPTLRFEDDNSADCFSNLLASGFRRFEIDLYWDQGRKVWSFCPVEIPSSVQDAAP SSTTTLSFSSTSLFSRTSASESTAGFTSPSVASSTSGSESRVTARQVLSSTDPNATAI FAAQSSSTSGSSLGQTLPSIALLPNISNEPLISIGPFVCTTTINLSTLATQLLDYIQK TQTTLSASLLYVTINLHAAASPSSPDSPAPSPSTLPTSSDAISTIFQANLSSFLYTPI NLRDERANLNGSWYQVSEEYRPVSDYYVTETIANDIVTTEDGWPSESYIEFSKSKRLL LGWGSIDPQMSGYNFTLDTGTIFPSGYIQEVQTDLNTTSEGRLTRGCFLRNSTENLAQ INSSWAVATDLAGFAYPTGNSSDLFPLLNLTMNETSCGISPILNVTLLNATAHDNYVP YQNFSYATIWSWAPGEPKTYNGSDNASLYRCATSNVDLFGHWVVADCSQKYYAACRAQ DEPYNWTVTTYPISYGYADQACPDGYSFTAPRTALENTFLSQAMEDNHRDYDGHGAWV DFNSLDVKGCWTTGGPNATCPYSATLAQEDDLKKRIILVPTVAAIIVLIITALTVFVK AAGNRKTNKRRKKRADNGYIYEGVPS LY89DRAFT_710629 MPPNPAAVGVVVAVSIVAAAAIAVYESPQARQFAEDVRRKIAIA LHSLGDEINPQSRQPRFNRPEDAEGFLMSQAGADPGIDADEESKKRQREELMYWNAVH LEKKEKERQMNGTRPENRSRGSSFDDFLHEDPSGEKGTYVYNTGTDTNQTEGLRNRGV QGLNRGSFYANPFGDEHNIEMDEQRAIDASLMDPDMSEREEMMSDIYSANEDPRPSRQ TPATIAEQLIDTSDDPVPDPPVSFPTMEDHFMGETTNFTNMATADRDDSAYASIHAWA DNANHSFYSPLPVTPGAITPQQQEVRPSSPTFSDPDVSVPGSGFATPTDSASLAGSGE EIWAPRSGATSEADVMSVDGEGVSTPGTWTEVGSVVSEDDVGAGVHH LY89DRAFT_689544 MRLASSLERREFFARQVLCIWAVFYYVARPVKTRRPSHHIASAN SHYGAFLNLKIDMPILGETASPERHLSC LY89DRAFT_689546 MTETSPLPPLVFMPAQSSRTEAPTPSATPLPPASQKPPVTRNSP AKETASSKTPQFILPKLRLEIRDLNHEGAEVFLTAVIASKALATSVRSVLSLLYHTPD SPTTTVPSTRSVTLILRPMEGVAYTTGSDLDSDHKEIHFSLDYINGIAASRKKDEIMG VLTHEMVHCYQYNAFGTCPGGLIEGIADWVRLNADLSPPHWKKEATGKWDAGYQHTGY FLEYLEQRFSAGTVRRMNEKLRIVRYEEKRFWTELIGRPVEQLWGDYSEAVEKERKQN KDEEGVLVEKEDASTPKLPKDPSTPTTKEE LY89DRAFT_626690 MAGEKINEDQVANLLAVLRTDAPADVKVGLINGCKSSIKQHFVP ELCINPLFEASRISMTSQHAAIVNAGFSTLNHLLTRLSRQEPKYVVREAARTLPLVIE KLGDQKEKYRQLAAVCLTTFWKQAPMDVERIVKNAGMVGKNARMKEASMNWVVQMHQE HGMQFKPFVMTFMDLLEDADGMVRDTARNSVIALFQNAPNAAKSDLKKQLKNFNVRPA IVAAIMPHLGPGGAPEPVEQEIAEQPSRPTFSNSVSSMSSIRPTTPTIEAKVEQVEPS YVNTARELEDIFRDMHPYFEGKESEQNWLKREQSCTKLRRLNAGNAPSDFHDVFLAGI KALLDGILKAVNSLRTSLSKEGCSVVQEIARTAGPGLDPMVELLLQNLIKLCGGTKKI SSQQGNATVDIIISKVSYTNRIMQHIWMACQDKNVQPRTYATGWLKTLLKKEAHHKSH IEHTGGLELIEKCVKKGLADANPGVRENMRSTYWAFSLMWPAKAEAIMATLDATQQRL LENAPDNPNSPKKAEVIAPRPGMGFSKSTSGPPKPSLRETMLAQKKAAMAATKNLPAR PGSAMSTFSPMRTVSSSSTASAASDAPMRARPESTTVAHGGLSVAPMRPTKFRPAPRP ELTARPATAGPYSVRRPAHGPSNSDTNTSPSTRVPKARTPSASSTSPPKKVPQRPNTS HSSHASQSSHASPVKNTINRVAPSPKASPVRPKPSMRNLPSSSPSKADEDFTLVVPTL TGFKDSQGKNLPQTTEDSDEDDIVTPLKSMKVYEDPFSSTDDQTTPRPAITAPVLEEV AVNEDAATMARNGVNGMNGTVGVDSPKTPAMSPERFKQNSRLLDSGIAKIKAKSLDVH GFRKLQATIRDNKAAFSDDKFDALLLGLFDYLESPLTSLPAEKVQDVKAQILATIKLL YKKDREAFRPHVPKGLGSLLATRSCYDARAHIVSGLELLADELVTLSNPQQTTHTITS RLAKEEMSLEGCRTLSMGLHVLKELLDVRKDFMPSDQEVVGMCKLAARCLESAESGVR MDAVQLCVSVHARVGENRFWEALGGVRDDPKSLITYYIVKRQREVAVAAS LY89DRAFT_596184 MESPADYLDSPMDGDDVAYPCKGCGDILEEGKAFELAGNRWHLN CFRCNTCGTLLDSDANLLLLGDGSLICNNCTYSCSACGNKIEDLAILTGDQAFCATCF RCRNCKRKIENLRYARTSQGIFCMSCHESLMARRRKKSRAAANAKKKDDQSPMLVDKS LPALPPNAILQSAFSPDRETPDSLDTDTPTELSPRPRQGYAQNDSSSRSSSRRPQDRS PERTSSEGPGREREGLTLPTTTYRNNRHSAISQASDINGGDGESFFIPLALDPTPSQN MTPRSTSETWADPSKKSKENKAPEKDYFGISKSNGRSAEPKSRDASSSSTPHIAFQEK GRQPSAEETAQIKDSIRKAQAGGKSSSSTKGSPAIGSDDTRVQHANSPKTSTTNGKAP STSDKFRLGEVPKGKKSTASRSNSQSEISDSSVSRSASGGGLSAPPRKEASVSTPVTD SPKQLLTTEKAGTPRSSQDSRTRDEADSRPSFDAHSPAMPKRMDSGGTARSIPRKEIA PGAVKNTISSMSSSSGAETSPSSSSSSETSGLTPTVNGKSISGPLALQLPGTVGEDLV PPSRAPNRPSAPQQKLSDTYMAPRAPPAPPSATSHGTKDSNASSNGPPSSPKLPRWSA GGDFTMDEDMARILGTDESSQSILRRVSNAVRHGRNNSDISNSPRHPTHGRSVSETTR TTASPRWPKTPIAEDSNAAAREISSPISVTSPVSNDDPALLRRQLRNSEQRVAELERR FDSEKDLKNLNKKLIEKRKTVSVLDSQTEIMIRQLEVLAGYVERAKDSKEPINIAELE DSAIKEFVQKLERLKQTMSGSVESLYEERNDLLEEKNQIIADRDRALVEFEQLSSKNA QLADMNNDLTHQIQERFKAQSGNSMDSPRPPMNGLGIYTHHNKDKSNVSVHLDESSIR PSTGTTTLIGSVNTYPQPMDQDPSMEPATVLSAPHVINIRKGQAKKFNWKKGGKSVAQ GVSKGFKGAFANIQQERQQQWAGQVGDNIGLPYNMTMAPVESPAGLQPPTVPRSVSND PSKQGGGFGLFKSRTMPKSLSNGNVLAPEHASTLFGSDLVERCEYEKQKIPIVVMKCI QEVELRGMDMEGIYRKTGGSGQVKIIQEGFDREGEDWDISDPDIDITAVTSVLKQYFR KLPTPLLTFDIYDRVLESLSIEDDRERCNHLRQTFAMLPQRHRDTLDFLMFHLGRVAK RESENLMTPKNLAVVFAPTIMRDHSLEREMTDMHSKNNAVQFVIEHIEQIFGHPQE LY89DRAFT_723516 MSFNGNLRAFLRKGIKKSWIKAPDPRPANEEPDELPLFVPIDDD DNANSRLTTVFGSQRQTTTLGSNALSHNHSRISFVTRESPHPTNTQQNPEITRLRKEA EALVTQEVAATTKAEKMAIIKEREALILNIRQLERSDQEAKVNKLKDPYYKPLRSLPE PVSPGEKKKLMKQADLIAKARTTPVKPQKRRREDNSDDDLDGEERFHLGIKKPRKVAK ATGHWQSTIHSYMPESLVDTETFNTINNLTPEQRMVDRRDLIGYCKDLAEIDRIDRRM QRRLGWRK LY89DRAFT_710633 MAPKRPIDDDGTSPKPKKQRTGFTVGPANLPDGTYKRKVIKIKK DLIHKAKLKKSYAKLKAREPALESAPAFAAEAAPTPEPASQELHPERQAMLDAPEPTL PPPLRQPLSRPQQSRNRNNKPAYFEKEQAFAEQKKAEAEARRLEFERREREKKEKVEE REKFRKAMAKARTGGKNGQRKLGKESQVLLQKVQRMVSK LY89DRAFT_700787 MPIFQDDLGGGQDTPPTKPPSRRSTSPVDGNLLTRKLSEDSIRT DLCEGPLRESLEEESNMPTIDVESRPRTPGFGTSDRVELIERLKRGESPTWLPNRNLE SLLHDYEQTPRKPSSNGSHSSPLLPPAEISEKPRSAGNQEDRHQAGLQIERPRSALHS GDFTEARETASERDLLQPNSTPYRDEQAAWIATSPPRHFTPYQLDPRFPPADSIERAR SRAPSLSSSYSSSFILKPPTSPLVQSESNEELDLSSQVNPIDITSSFPKNPRRHTLQA PHSIHSTPSGPSSPFNSKPLPHLRRDNTYPYQAHQPRRSLTLNPMTLPTSSPQTPAFL HSRRPSIDSSPLHASMVGSYEESILRGRMSTTPSKPLDFVAQIGVLGHGKCKASLRCP AHVTLPFPAVFYSYESTVHGRSGKSEDGPSPYVGQVDLENGLPNSDEARDKKKRSANL GRIRTDDLDMVDGPNTNQLTEREIRRAEKQKRRSTSPRAPPGGSYRIPEKGQIQIIIK NPNKTAVKLFLVPYNLEGMEPGTKTFIRQRSYSAGPIIDSALSSTQQVPATNPLDRPT LRYLVHLHICSPSRGRFYLYKSIRVVFANRVPDGKEKLRNEISLPEPRFSIYKPGRDS SLGLTLGMGAGASLAAEKAFRRRSSGFGLGPSNRNFDSMDGITQALERGFGGGSSSTH GRDFSTTPVQPIPFSLYRKPVRGEYESDHASVQEPSMPSAENIDIHPSQDSGSSMSWN STSTGIGAYDKLTKGERGYGGNSFANSPDGKQVAEGLLAQRLRDLGVGILNPQDQSDG I LY89DRAFT_255565 MHRLLVLFHLISFVSAGILDDIGSSLGSLLGNNTASVLGTFLGD HGTVIFQNGLLKNTTACPAMSVIFARGTAEPGNVGILTGPPFFAAIAEYMNGTNQLAI QGVDYPADVPGFLAGGSTQGANTMAKLVNKTLASCPNTQLLLSGYSQGAQVVHLATAS LPSNTTSKISSVVLFGDPKNGTAVSGVDASKVMTFCNPGDDICQGGDLITLSHLNYSL DAGSAAMFALGTSGAKLGITSQRLRPAAGGLGG LY89DRAFT_675119 MNLIQIILHTLILAPLTIFALPTDEDRKSVLVNKHRDAYVAARQ AATDPDGRLSCIPTKNANECEIRVTQHPWEKPTIDIYDPVCNEIDGGEKVVSLGSKLL FPYPKLCH LY89DRAFT_255504 MSVVGVDFGTLNTVIAVARNRGVDVIVNEVSNRATPSLVGFGPK SRYLGEPAKTQEISNLKNTVGSLKRLAGRALNDPDVQIEQDFVSAPLVDINGQVGAEV TYMGKKERYTATQLVSMFLSKIKQTASAELKLPVSDLVMSVPAWFTDAQRRALMDAAE ISGLKLLRLMNDTTAAALGYGITKTDLPTAEEKPRRVAFIDIGHSNYTCSIVEFRKGE LTVKSTAYDRHFGGRDFDKALVDHFAAEFKEKYKLDIKSNPKALVRVHAGAEKLKKIL SANQQAPLNIESLMNDVDVATMMTREGLEELVEPLLKRAHIPLEQALLEAKLKVEDID IIELVGGCTRVPALKDRIQKFFGKTLSFTLNQDEAIARGCAFSCAILSPVFRVRDFAI HDIVNYPIEFTWEKSPDIPDEDTSLTVFNKGNVMPSTKILTFYRKEPFNLEAKYAKPE MLPGKTNAWIGRFAVKNVKADSSNDFMICKLKARLNLHGILNVEQGYYVEDMEVEEPI PEEKKEDGEKKDADVSFEPPDPLHVHASCEDERAVKRPRLGQQSLTPPKAMDTDEKPK TRKVKKQVRKGDLPIVSGTSSLEEATKASAAEQEASMIMEDKLVADTEEKKNELETYI YEMRNKIDDQYSDFASEDEKTKLKAKLEASEEWLYDEGEDATKAVYIAKMDEIRAVAG PIVQRYFDKVEAERAAAQAEAEAEAAKKAEMAKAAREAALAQEATQSKDEEMTDAEGT KPDEVEEAK LY89DRAFT_675121 MSQYNTSPWPIQDIIYTAIVGSVMIAAFVEWFMWLAAFMYCLVK VFQKAEHWSVKVLAVVVAIVFTLLRCIFLPIMVVTLPLPSEITQYFPLSMVTFLQWFG FWSFAALLTVPWLFCVYQLVTHSLGRTKRIKQVLDEHTAPKVVIVMPCYKEDPDILVT AVDSVVDCDYPPSCIHVFLSFDGDQEDELYLKTIEKLGVPLSLDSYPKSIDVTYRTAR ITVSRFPHGGKRHCQKNTFKLIDKVYTEYLKRNDNLFILFIDSDCILDRVCLQNFVYD MELSPGNTRDMLAMTGVITATTKKHSLITLLQDMEYIHGQLFERTVESGCGAVTCLPG ALTMLRFSAFRRMAKYYFADKAEQCEDLFDYGKCHLGEDRWLTHLFMIGAKKRYQIQM CTSAFCKTEAVQTYQSLIKQRRRWFLGFITNEVCMLTDIRIWKRYPILAVVRFMQNTI RTTALLFFIMVLSIITTSKKIADLPVGFIAISLGLNWAMMIYFGAKLRRFKIWLYPLM FVVNPFFNWYYMVYGILTAGQRTWGGPRADAGSADVNTTPQQAIEKATADGDDLNVVP ETFKPAAEAQNSQLVRTGLSLQPPDRVEGRFAAAELLPGGWYHQQNDSLVSTTIGGMA GQGRPPVHPRDSFDSNFSAGTISSVYMPRRVESIMGEEDRKKYAMAQASQRAAGGAYF TGPPVGHIYELSESELRKAGWKESVESLGYNARPSHGRNNSYNSVASGDGASPPSSSP ALEAPPTVLALPPAASTGSIQNRRSPLARVSLVRTASNEEDGIELDDHSRQSPAESPI LHPERSHPPSRPGTGHGT LY89DRAFT_689555 MDRKRKRELRELNNRAWDGEKGVYEVSKSLDSSLKKNTAFIKRL RTAVSAATLSTFLQEIRTLSLHKYLSEIISACYEGLCKLKSPGEIEAGVEIVSALHQR FGPAEFTEFLGWLVGKGLATPEKSILKTLATEAREKEEKERITRQRVLLRVVTELWLV GVLRTLDDVSRPDDASRGKESLSTSSGKAPEVKSKPGSSSKGGGAEPFPLEVLKDLLG HDREHANLPLLVIFVKAFGWDILGVKEAGSEGRKTVEEDGATKSSNGTTDGEDDSAEE SGPNGNGTDDPPLASSELQERFRNILKRYFEDLKSHLVRDQKAISKQARKDAEAYVKS GQVFEDRQANYEKAVKAQERLVSNSQVLADAIGAEMPELKDSEDSSATGSGGIGLVKT GEYLRGEGDGAGIWEDEDERRFYENLVDLKGKVPGMLLEDGKKKKADTDDQVGKKVDP ADTAAAENALANKEDDQSTAIANKTIGAQVDALLARLPDLTNKDLIDQAAIDFCFVNS KASRNRLIKAVQEIPKGRSDLLPSYSRLVATLGKYMPDITKGLVDHLDQEFRSLQRRK EKEFLGQARLGNIRYLAELTKFGVVPEHVIFHCLKVSLDDFSRMNIEIICNLLENCGR YLLRNPETSPRMASFLETLKRKKSVQHIGQQERMLIENAVYYVDPPVRAAIQQKERTP IDLFIRKLVYLDMNNRNYTKILKQIRRLHWEETEVVAILEKIFSKPGKVKYGNIHLLA ILACALYRYHQDFVTAVIDNVLEYIVVGLEQNDFKFNQRRLAEVKYLGELYNYRMVDH PVIFETLYRIMTFGHGGPPVPGRLNPFDMPDDFFRIRLVATLLETCGIFFNRGASGQK LDYFLSFFQYYIYTKDPLPMDIEFIVQDVFALTRPQWKLASNIEEAGKAFQLAMAKDA KTSGIDRAAEPEEQDSDGSSDDGMGDNEIDAGLQEADEESEEDEEVDVDLNGDAAVST PVSDSEEEAIVVTREEEEIDPEDEADFEREYAKMMAESLESRKHERKATFDVPLPMLR KNPNTVGESFAEEHASGNATPPGTMAFSLLTKRGNRQQTRTVALPSDSTFAVAMKTQQ AAEREEQQRIKNLVLNYDLRDGEDQDGDSAHDPLFPNPNIHNRNAGLEKAAALTYSRP DKSGNNRSGQRARKLQLSDVDWYASKPTFSHVEQSETSLSPLDGQGRLASSAPNSRSS EENSASQRIIEASNRARGRSRGRLTRKEMLEAHASLGDGSKPPRKK LY89DRAFT_689559 MKRGGKENGGEAKYNKQPAVMFRRAPMEAESFFPFVQTSGSGLL WAVTIICAEVNVGGPIWWAFQNAGC LY89DRAFT_596669 MATQISTESSTFSDTQTKKPRRDASTISFQFPPTPPKSEYGNGE YDEFYPNSRRTSSAASLRYPLPPPSNPPTEILKEDLKTPDNHVPRDPRLIRLTGIHPF NVEAPLSALYDEGFLTSPELFYVRNHGAVPQVDDASIPDWEFTIEGMVKRPIKMTLRE LIAEYEQITVPITLVCAGNRRKEQNQVRKSKGFSWGAAGVSTALWTGVSLMDLIKRAM PMRGAKYVCMEGADKLPNGYYGTSVKLNWAMDPNRGIMVAYKMNGEMLRPDHGKPLRV VVPGQIGGRSVKWLKRLIVTAAPSDNWYHIYDNRVLPTMISPEQSANEPKWWMDERYA IYDLSTNSATAYPAHEEQVCLVNGPETYRARGYAYGGGGRRITRVEVTLDKGKSWALA DIRYHEDDYRDQVDENEMLFGGKLDMGWRETCFAWCFWEIDLKVEDMKLSGDIMVRAM DESMNVQPRDMYWSVLGMMNNPWYRVTISKEGDYLRFEHPTQPALMPGGWMERVKAKG GNLSNGFWGEKMGGEGEEEVIVEAKTEVKMTKDGLDKEITIDELRKHDTDENPWFVVN GEVYDGTAFLEGHPGGATSIIGAAGQDATEEFMAIHSETAKGMMPQYHVGSLDEASRR VLQDPVETIPSESSTPRPTFLQSKQWTKAILSSKKSISADTRIFSFSLEHEEQIIGLP IGQHLMMRLRDPVTREAIIRSYTPISEGTDKGVLDILIKIYLDTDDTPGGKMTKALDA IPVGHFVDFKGPTGHFEYLSKGRCTISGKERKVKKFIMICAGSGITPIFQVLRAVLSD KEDETECLVLDGNRLEEDILCKEDMDRLVKGNEHRCRLLYSLTKPGEGWTGLKGRLSK ELLEKEVGTCKVQNGEELVLICGPGALEKSVHSLLNGMGWKDEHLLFF LY89DRAFT_626709 MEVTKFVVSGRDKAKLYGDYSSYRTQLSNRIHNLRRKLGVATKK APAKYTSKTPVTAEDIGRNHEFVNLVLLTSERAWAHAMSMREVHSADKQGITGSTRSH IISRLHKATVYARNLFELLTDLSQTGANSEDVLEARAYAASLAGAKEFEKQNWEECVK SYSEARIIYSALATSTKSDMFKDLLSDPVDPSIRYGAYQMRLPRTVAIPTIARKYFPR SDSKLVSDVEKLDPDVLNEHPTRARAEAAESGDVPKTITWRSRTVDIEDAAIASTLAS VNAAAKKLSETLASVTAVQAKERASAYDDILIASQDAVDATKHAIDELVGESVGQGDK RMQSLQITRTAVSYEMVSWRIGRNRVLVGERDGTALDTPTVHQSKKKNQKPVKEEGTG RKLAHFREKAVLYDAILQSLDSIKELPGVAADSEFLEELESKHHYFSALKCLTIARSH ALLSNPKNALALLARASEKSTLAHQHLSSSMDTSDSSSPPNITISPSEATFLKSLLDA ELQRHRALVELSNFNNSPQQNNSSLKNGQPLIEKLNEYPSQEVDLENLVTYPPKLEPI PVKPLFFDAAWNYIEYPGREVQEKAGNGVKGVSGGGEKAAEQQTAQQQKKGWFGFGRS LY89DRAFT_626713 MLERTAGCLESGSLRRLLPASRKSLKSCRTLHSGFWAHGGGDLE LSPFWAALVQATTPAPAEQEEVPSTSRSLTGHTGILLDFLYPAGTLNFLRQYSNWSLD RQDGRWARYGGARISQRQYTSSAKDNMPDTTVVFTPMQKEAKTSTKDSNTSTDDDNID MLVRKMSLNRTTDFEEAWRQYLLLPSDEQYIFRPQLMLYLSPSDRPLDAERVIDIFEN LGEEQKTKSMYRLVVRSFLRMRNLADAMRLHHMAIEKLAIPAGSEELLAYLFENGSWT RAMSLWEDVTKVEAQRSNQAYNIYGVLDEHPNFATQVFELVEDVNRRQGIESSDPEMT SRLLEFAAELVQRALLSTTAFKPYKFGSLLQILQKWKLDKPVVYVQAMHMLLDLNQSK LAVQCYRHARRENASFSRQALTQLLKIFCDHHNVLGMKQILDDFFRIYGRPSWYAYKL CLQEFAAKGDARTVHALWEQYITRFTSDSPYGVMSGADFAPILHVHATRGELHEVIKW FGKMQTVYRVRPVILCWNILLNAYGKVQDYEGAYACFEELLNDSTCRPTHWTIGTMMG ICTQQGDIDRTIELYMLAENLGIDKSLAMLDNLVLCHIQDDDIEQAEKICVQGLSMQL QGTRTRMWNNLLVAYAQRRDLNNVNRVLQRMSEAGIDYDLYTYSALMQALCMVKQPDR AYQILREVMPEAGIRATNFHYAVVMGGFVANGEPKKAFYVQNRMLKGGLRDSGSTKLI ALKAGLAKDEKMFIDGTPQEVMQRAMQMFQDAMATMDTEDIVAVPKKGAARYSMDVAY PSMFYSYVMHILASSSDTASVDQLYAEFMSILPESRQEHTPLQVMSALMFAKLRDEDH NSVEECWRLSLAQAKERGQPLPPISTGSPEHHSNEPPLSPHKIVPAHRYDISKHVYLY LDSLAMQNKITTMIETVDGVIEDGFFLDNRTWNHYIQLLSQKYSYKLAFQHCEQKLMD NWTGWQMIRWQAPVRNRLPFDLRNLRKQSKHLRPIHITLLYLARSLLDIQSMAAESRS TEVLLDDIRHSCPKTVKAIETMPRTDTEIERRILREW LY89DRAFT_256040 MATHAQQPPSSMASPSEPIRNKKYDPKKPHITEEPITKYNWYKH VNWLNVTLIVGLPIYGLVASYWTPLQWKTAVWAIAYYFMTGLGITAGYHRLWAHTSYS ATTPLKIFLAAVGGGAVEGSIRWWSRDHRAHHRYTDTDKDPYSVRKGLLYSHIGWMIM KQNPKRIGRTDISDLNDDPVVVWQHRNYIKTVIFMGMVFPCLVTGLGWGDWMGGFIYA GILRIFFVQQATFCVNSLAHWLGDQPFDDRNSPRDHVITALVTLGEGYHNFHHEFPSD YRNAIEWHQYDPTKWSIWIWKQLGLAYDLKQFKQNEIEKGRLQQLQKKLDQKRQTLDW GIPLEQLPIIDWDEYQTRAKNGDALVAVAGVVHDVGAFIKEHPGGKALITSGIGKDAT AIFNGGVYNHSNAAHNLLSTMRVGVIRGGCEVEIWKRAQRENKDISFVSDSAGKKIIR AGSQVTRIAEPVASADAA LY89DRAFT_710643 MTPKKTKVSMPGVAEGKELIGISIDDDVSSEDRVKGLFFDSLSE DIYQGWAASMFRSDLKLLSASKNPGKTVFRYVVKPEHCNRLGNLHGGCTSTIFDAATT TALAPIAEPGFWVYAGVSRTLNVTYIRPIPAGEAVLVESEVVHAGKRLCSLKGVMRRE RDGAIMATCEHGKVSIDPEIPKI LY89DRAFT_787339 MKIVEVIIDGFKSYAVRTVISGWDDSFNSITGLNGSGKSNILDS LCFCLGITQLTTVRAQNLQDLIYKRGQAGVTKASVTIVFDNRDKKKSPIGFEEYAQIS VTRQIVLGGTSKYLINGHRAQQQTVQNLFQSVGLNINNPNFLIMQGRITKVLNMKAVE ILAMIEEAAGTRMFEDRRDKAFKTMAKKEMKVQEITELLREEIEPKLEKLRTEKRAFL DFQQTQSDLERLTRVVVAHDYVKNQEKLKQSAGDLELKKQRQKDLEDNAVRLKNEIEN LAEDVRRVKSQRDKELKKGGKAQAMEEEVKKHSNELVRLSTVMDLKKSSMAEEKERKS TIQKTVSEMETLLKQKTKVYEKIQAQYDKAKDELEKQSQEAETKEELLQTLQTGVASK EGQESGYQGQLQDARNRASAASTEQEQAKLKIAHLEKRIKEEEPRAKKAKEQNAGLLS ELEGLRSQAQKLEKELGKLGFEAGKEDDMYKQESTLQQTIRKLREQSDGMKRKVANID FNYADPTPNFDRSKVKGLVAQLFTLDKDKTEAGTALEICAGGRLYNVVVDTEVTGTQL LQNGKLRKRVTIIPLNKIAAFRASAEKIGAAQKIAPGMVHLALSLIGYDDEVSTAMDY VFGNTLICADAATAKRVTFDPAVRMKSITLEGDSYDPSGTLSGGSAPNSSGVLVTLQK LNELTKELKSQEATLAELQTTMAREKKKLDQAKRIKQDLDLKSHEIKLTEEQIGGNSS SSIIQEVENMKTSIVQLETDLTEAKKRQDEANKDVKRIEKDMKDFDNNKDGKLVELQK SLDALRKALTKDSASVKALQKELQGARLDSEQVGGDLAAAQEQLQEVELTLKAQAEEI AALVSEQAQMKDTLDVAQAHLDDERAKLTGFDDELRSLEEASRSKASRITEESLEMQK LGHQVEKFHKEQQNAVQTVAHMEKEHEWIADEKDNFGRTGTPYDFKGQNIAECKSSLR NLTERFQGMKKKINPKVMNMIDSVEKKEVSLKNMMKTVIRDKKKIEETIVTLDEYKKK ALHETWTKVNKDFGQIFGELLPGSFAKLDPPEGKTINEGLEFKVSLGKVWKQSLTELS GGQRSLIALSLIMALLQFKPAPMYILDEVDAALDESHTQNIGRLIKTRFKGSQFIVVS LKDGMFTNANRIFKTRFTEGTSVVQALTGADFK LY89DRAFT_256350 MDALIEQARDVFEDYYDLYYDQLLDLIPVISTRTYVLTILAICI PPIIALIFYEREQARLLAEQPKGCRKLGMKIESNLTNEFDKKFSEGRPPSTEETSAEW WRLKSLWIYPVKSCRGVELNRGTIISTGMEYDRQFTFAQLKSPFPVAANTPDKEKAAH KWEFITQRQFPLLAKVRTQMWIPDQSVDTYTPHCEDVESGGVIILSFPYQEAGWKGIV AKCGAAVKGTYPEKQFRIPFDPTPVQIEKAGYSYEKMTIWKETVTALNMEVDIPDELR YYLGISNKLGLFRIDNSKLREVHGNAPTKENIGYQPVAGFQDAYPLHMINLASVRAVE REMPKVKGTPRLSAGRFRANLIITGPDAFHEDSWRKIKIGYYEYDVSCRTTRCKLPNV DQVTGERHPTEPDRTLRSFRNVDEGAGQHIGCLGMQMVPLAKESAVRVGDEITVLEVG EHCLVK LY89DRAFT_256229 MSGINDLEAEAEDTESSKDNKEGKHISWKGSPQSSKEELPVAAG SSSGAEEEEEEKEKHVSWKGSPSASASSSKEELAASGSSTEEGDGKHVSWRGSPPPIP IPSKAENGYPKEGESLTIEEHGYR LY89DRAFT_787342 MQQSPLNICPATGAGKNKRQCQPASSSPSQSELVSTSPSSSPPS SSPPSSSPPSSSPPSSTPPSSTTTSLPPLTTTSTSCTQMTTTVVCNRSGGNSACNTTS VCGNSFPPSSTQTYSPSSTTTSNEVVSTSLTLRYLPIGTPSCLPEADLGFDDVSANAA INDFCAKDVTVYARYPNMDPFMLYHDTGNGPNYAINITLS LY89DRAFT_740009 MLLKILEILQLKNERFGGKAKDSIAYNFMDDKESGFNDAKQVYE TQQDKESTHWDHMNEYARSILRLKALLDSEEQQPVPINTRDVDLYSLSNYNQAERIAS QFNLVGNAGRWVEPTPPASPLSEGQAEHTQYVADISSSAVDKAGMTCGEHTNPHASTT VWSGY LY89DRAFT_675133 MTSYNDLDSTGRSQADSGQSLGTLSRWDPSQSQVPSSASSYADL NYLFGVQDPTMIAPKANRNRRKSNQGSENTKHRRTRSGCYTCRSRRVKCDEAHPICER CRKGGRECVYPETSTSKASGSASSKTNNPTRESPGSSSDEHDDDVSQERLEVIPDEDE DVDDNRDLRGDSKRGAQRSTTSQSSSGQKGAPRQDSETPSLVQDKGASPTPSTEGSIG YSSYQSITKASLAKLPFSGHGDLRSDWSHLPPDLQFYLVYFYENVTHLHYSLKFDSEN FLQTRFLDAALRNEPLLYAVVGFAAFHRTLHNPAGKIQDFLEYYNKAVSLLLHALKKG ERHTNGMMLAILQLATIEEFLGDWINLLGHQKAAYEMLTELYTPESIMQDDMTRLILG WYMRFDVFAGLMAGFETVLSREWFSYAREFFQQKVAKEPECLDWKIELALAEHRLVAT DMSLLFAKMGKGEIPFDKFILENETIGRRIREWKSSMDPALQDSRHLVTDFTGARPVD PDDIVDPYIPGVIYKGPLWVMNVAIIDWHSIDLMHQYQTALTLKSQPSAELEKKAYAT CQLFEALEYSPHSPPGTVLASQASLGIACLFLPRDERHSMWARRKLATIESNGYIYPY TFRTKMADLFRDRSCMHWWLPNDEAYPPIIRSIRKFVEERTSPAKNLPAEDLRDMKAI FASLKLDDGKSSVPPAHKTTQPNVAVAPNQESFISNDSMQDMGGISMGEGDAYGLGFD DGHGFWGDGQGGGAYGIPKPEDYP LY89DRAFT_689567 MNRPAVGPQSLRGLPNGFPGQQQIPQNRSVSSRLPPTGKMASNG STWAFGGGIPMGSAGLGNPRVNSGPLASFAQTIGGSSQPATPLDLSEFPSLSNNQPQP SQSTWAASGTRNMGQPGNMRLQQPSLASQQQQLSAQQQDDLFGSSQQLPSNQGAFRFG TQNAVGQSTQPSSVDDFPPLNRNINGEIGQDRTSTLMQNTGFGGQSNGLGFGSGNPSQ PARSNGLLNALSGSNRATAGNRVASPTNLSGLSNARSPIEGSRVASNSENDPIGFPHT QFGSAMAQPQPEGNPAQPSMSRMDSQPSESAGSRAQPAEPSNTSSQANVDESGQEIED PLAHMSEIDKWGLKGFSYLMRNYPDYAALVNGTDLSTLGFDLNNPEPISDQIYSLFDN EPPRPAVPRFTLPECYTVGNVAPLETKMSNFNDEALIFMFYSNPGDVQQVMAATELNN RNWRYHKKLRMWLTKDELMVPQPLGNNTERGYYIFFDIKQWQRERRELTLVYDDLETI PNSVRPL LY89DRAFT_596484 MEEQTINLPQLLILLLLGGLAIRYFFFASSPTTSQTRSATSANN IRAREADVERIQQVFPQVSRRNIMWDLQRNGGNVVATTERILSGRGLEPSQPDLITRY NLKAKLAEEASRRESSDSAVEEEQAKQKQGQAWSQNKGERQALLQRRREEMILAARRK MEAKVAAESGQTNQSP LY89DRAFT_675136 MIRGWVSLFYLSQLTPSWIGPRCCVTGIALLSVVTSDKLEVSRD RLRSMESVDVWSGGCLVQRKKIIGVDVNHWMGLDQSTRELSEYCMRGDDYLQRMYHQS NQENRAAETPKHQAQRHWTGNFAADPGHISGSVQYERMPTKYRLHIAMSEEGFEKIEE N LY89DRAFT_256690 MANTTFREHLDVYRRPGVDRSFTTSPHVSFASIPDYAHTSQDDS EPWVHAQQYAEGYGNVENCGSCGEFGNLCLCEDNSTTPIGDVAMDGSWNHGYPPTTDR MEWSFVPGLRSLRGRSDRVMNGMGEQEEEEVGGIEGSDDQRSKRPPEPDLETGPYSST NAGASSICSDSQEQSEGNVSKQAYSPNQDGHDHASEGELLQHGEDLDVEFHPSPCLLG GCRGTYVFKTLSSYRTHLKNVHDKIIYCRVSECSRSHTKPFTSETDRRRHHQAKHNSN PDKPFKCVRSVCPARVRAFKRKDKLSEHNKKYHAQSLCHICSCYFDSFEEMLAHTNFG HGSFGSERQDV LY89DRAFT_256627 MTIHTEMTDFHWPTCEPDFGFQNALEGLQDTICPSLYSDTWAPP FMLEADALVRSPSSLSEDYLFLKHTLLELQAESPPGSRAQHDDDDTSTQRSRSDTSIS LNDWASPAHPAVQPLDHKLQCTYHDCTAKPFKTLCDFKSHMRGHAADVLEQWEEEKPC RCPWSGNCKSKAVFKSRRILQTHLENVHITPLTCTASGCSYRKPFRSNYDLKRHMLTA HSGDSNCQFLRCPYPKCDRGPRTFVRKDKWLIHIRTSHDGTECPINHCKAGKRDGLLT HDDLVKHIRHEHGKFECAIGACDSQLPSRFIESELLKHLEVSKSVLDFCPLVMSHFGP RQCNILTTCFSKLHHGLPYGAINTARSAVKISSDKTVKPTDVSSCWQECEQCKEGNFE EKSEIETKPGTPDEFRNHTLSFRSS LY89DRAFT_689573 MSQAPVYFAISSVSKCLRTLTLILPLSTAYHQVRSRSSQPLELE MLAFFLPTALLLNLFWNNYQSHVTFHRPFHVLQFLYTISCQWYRSLRHYATFGRLVSV GSLMEAISGVLRLVSLRKGCYHARQCLVGNNQRTQSTS LY89DRAFT_689575 MKKPTQSAPFFSLQQKMPVVLGMLLGFQHALAMLAGVVTPPIII SSSANFDTLTTQYLVSTSLIVCGILSAVQITRFHIYKTPYYIGTGLISVVGTSFAIIP VATKGIAQMYANGMCKTAADGTQLPCPDAYGAIVGTAAMCALLEIGLSFMTPKTLKRL FPPIVTGPTVMLIGVSLLQPGLEGWAGGSGPCVSRPETGIFTLCPTIFAPHALPWGSA QYIGLGFSVFITIILCERFGSPIMKSMSVVVGLLTGCIIAAATGYFDKSTITDAPAVS FIWVHTFKLSVYGPLVLPLMAIYIICMMEAIGDITATCDVSRLQVEGELFDSRIQGGI LADGINGMLAGLCTITPMSTFAQNNGVIALTRCANRTAGYWAVFFLIVMGIFSKFAAA LVAIPSSVLGGMTTFLFASVAVSGLRIIATVPFTRRTRFILTAALSVGFGAILVPNWF SFVFTYSGNNKAKAGFFDAIVLVMETGFAVTAFIAVVLNLVLPMETVEEMAESLAGDI AQDREEEAQMEGEVEEKKTVGSSAAPMV LY89DRAFT_626738 MTPTDPKRAWWKESSVYQIYPASFKDSNGDGLGDIPGITSKLDY IKALGVDIVWTCPIYKSPQVDMGYDISDYRDIHAPYGTLKDVDKLIEGCHSRGMKFLM DLVVNHTSDQHKWFMEAKKGKDNPYRDFYIWKKPKINDDGSKSPPNNWGSVWGGSAWE YDEASDEYYLHIFAPEQPDLNWENPKVRDEVHDIVRFWLDKGVDGFRMDVINFISKVP GLPDAPITRPDVEFQPGDKYFACGPRLHEYLQAIGKILKEYNAFSVGEMPCVDDPKEI LKAVGFGRGELNMIFHFEIVDMDIGDRGKFSPREWQMSTLKSIVNEWQTFMIDNDGWN ALYLENHDQSRTVSRWGSDLPEFRVVSAKMFATFMALQSGTVFVYQGQELGMVNVPLS RDISEYRDLETLQAWDDMMKRYPDDKELHRITKQEIQKKSRDNARTPMQWSKEKYAGF SAAKPWQKENESYVDINAESQVGIHGSVFEYWASILRLRKSHKDIFIYGAFEMVDMQN DNVLAYTRTFGEEKVLVVANFRKESLTWTLPKEISLQKEKVLTSSYGGINIMDGELSL KPFEAFACFVD LY89DRAFT_626740 MRSQTRLITITVVFVLIVLSFLHLKGTDIPDYLPQAAHDYFEPV RAGEKPDLSNESPGGEGKTNLEAILEDFVGRPLLSYDEAVELNSKTCPTAGVNFDANA VNGNVEKWRDIPSSQISDWRHSIASYLRHKQEDEKLKLESDPLESQKGKEVKSGRGIV MAAGDREAVVRARTNIRFLKSYNCTLPVEIFHFSTELSAPDKSLLEDLSQLELSPESQ AEQSGMKVTIRLVEGVEKGNGWKQFQIKGAAIQQSSFDEILYLDTDSYLLRNPDYIFQ SKQWKETGLLLWPDYTKSHPTNPLWRLLGQKCRDEYEGESGQIFISRTLHQDLLWLVE YFAVHHEEFYGFMGGDRDSFRAAALLLGKKWAGPGRLNAAAGIVMKDNPLSGGHTMLQ ADHEGKWTFVHANLIKHAKFPRPLWARIHRVAQDTFQAGTTYGDIASPNNKLGEGVKL HVSSTPRMISTMSAFEGYDEGVVAVEDWDLYEELRGFEEKWFGFGGVH LY89DRAFT_675142 MVPYLIVLSFYVTSFLLATVLSTPMCSELVVPVQITANNAVQSL RNSRASKILDPDLDTTGLLALLSSGINLPFTSLIEGSYDIAGRYCEPEVDVPSRRNTL QLLAHPATYDRNYWSGGGSPGFGFDGDMYSWVSYASLQGYPTFAFDRLGNGNSSHPNG ITVVQCPAQAATVHEMILLARNGALPFPRNFDKIIFVGESLGSLVGNYLNVNYPQDAD ATILAGFAKDWVTVIPGFTFTAGLLPALGVQPSRYGNLDPSYLEASIQSGVEFLLFYG PNTYYDRTFILQDYANRGIITLGEAASGALVPTALSYKGPVLVIDGEQDTVFCGFLAL EFLGPGNCGSGPTSKPALTNIIYPSASNYTSYIVPNSGHCWAHQTNAQMGFKYSHDWL SGLGF LY89DRAFT_787351 MSSTTEPSPSGTPSNCPYGFCGDYCLETSSSVCCTGSSSGNSSG TVQCGNNWFCSETPGQCCPSASQVYCSGADACIDASAGQTCCGPTWCPQNQTCASSGD RCCPNTQTVCATDWWLVPVSTSVTVISMTTTPTAHPTTTTSSKTGTSSTNTPTSTPSS SSGLGTGAKAGIAVGAIIAAVALVGLLLFFLRKRPNSRSRTGPMYAATGISEYEPATT YNNGGQEQTAYHSGYEPERRETIPLVTTTPPVHDEAYNPPEYPLQDEHPGQEIFSQHA PSYPTIPRE LY89DRAFT_723540 MDISPQSLSLIAALTITAYLTSLCAKTPNPNPPTPTVKDRIALL TSTSKSSRYALILLWFSHCTLILFPSSRSRSNICLNPDLLNESLFAWSPTSITFVLVI FIAAPIRLLAYKQLGTNFTFRLQRPGRLVRDGLYKYVQHPSYTTLFAVQVASAFFWLR VDGVAACFLPGVLVRIRGVSEVVAMGFTVVMMLGMGVRVRDEEEMLRREFGREWVEWH ERTKRFVPGII LY89DRAFT_787353 MQPIEQNPDEEEMLSSTLNHDEEFLIGGAEKQWPPTSGLDEPSR RQQKKRNSFLSAIERYRWPIDALLLFIIVGLSLLLRGRSTETSRQVGGDFTGEGPQFT MKNMKFESDTSFAPRNMSHFFTDETLTTWNGMMPVGTGRPLNTEDFSATSMTHQLHCL YMMARIYSGIKSSMPSTMMPDDPDTHFLHCVDYLRQGIMCSADLALEVHDSMDPDDLG PLDGGWSGVHVCKDYSQVKSYLEGQIAENVRVVLPIDD LY89DRAFT_740021 MTSVLAAQPLTFPPNSIMTQMDASGRPQMLDPSLQRRPKTESDD KIRGRGRSRPQKENNDGSGEGSPDGGADGHSSGTDGPSRKRRRSRKGLDKKFECPQEG CGKSYSRAEHLYRHQLNHTPKQIYRCDFPDCARTFVRQDLCNRHRDRHTAKGSQLHRK DSMLGHAHASPVTDSGKPLSAHGSSSPEVMRPNLAGLKSRPNQLQQYQSPTEMTPNSY SPATNPSSGTYSGTASSNGTEGYTQSNSFKRSNSDSIPQRQGSASTTPISRPQRHASF GISDGKPAEFSRPPLQTNVGPYGLLSGAPGNQSYHSSPHTFVAQQNFTPFSLPPPGFA TAVTSAAATREPEPTYPTSIPEYQGDSMPQNSGPDMMLLDQMTAPNTMPVFGGEGYSR SPFAIPEDFVAYLFSEQQLNNNSSPMGQMGQQGYANYPDNNNNNNSNNNQYYAPFYAG DMGLGGFFPANQQPHHPMAVTSLLDTSQPEMVLSEEKAQAIVDLIKDRFNEGDHAPVA RQKEALMEGDRTVDSHLLSRKMMQTYIGSYWYNFSEQVPILHKPTFSPDKTPNLLLIS MMAIGAACLDKIHGYDTTQNCAELSNFLAWHLRWEIFSDYHFRPPAKLWVFQALLLLE IYEKMYSTRALHERAHIHHATTITLMRRGSSLIGRSALDSPPSVREDKQGLNGSRQSS TSGANTPDEWWNHWITNEATRRAAFAAFVMDSTHATMFGHSTVMVAHEMRLPLPCDEA LWSANNSAEVGRIEASLHANGVKPISFLEGLKRTLNAQEVRTNSFGRTILMAGLLSVS WHMNQRDLQVNSLGVSQALGGRDKWRGSLTRAFDLWKQDFDRSLSRNSEAVPGPYVYS GKEEGNIVFQGRTVLHHLAHMAMHVDIVDCQIFARAKRLLGRTIGTQDLNSAQRRMKD NWAPTAKARDATWYALRFLCDVLLPKESAAPGMRHGYDDPPFEYSARDDSLLNRPWVL YFAALIVWCYGFALEGPTTEPTPSPYDFDDQVRHMRAYLRRLGGVRSPEELKSMRGFN GCTGMLMVLRTTFEKTRWELLHEAALLLNNCIQLIGNGQGQQS LY89DRAFT_675147 MDRASVLWCGAGLDRQTDSLSLASPVPYWTRAAGWLLVAGCWRP EFAVGHSRTSTGARGGQDSRLHLALKASPRLHLTLGLIFPALWHRQRKAKRLIICGSE LVYCTAWKASEHSTSPHFSSAPSLTGLLTTPPRLVDGTVKGARTRQLVEGQDHRVQ LY89DRAFT_689579 MGQIPCTTQQQATCGDPYIVESRYGTVRHISNMVAAQAPKTLPG EHSHLPQTIPPFWSHSRCNLGAAVVPLDGFVRRHSARVRAVACRPRLDFRAGRSLAWP SIARSSLLLSQWVYIIVSIVHQSPC LY89DRAFT_256913 MAPPRSRPPPDDSRSEASSTKEKAGTTTTTALNGKGRRVAGSAN GGSSLRDVVTAGPSGTNGGSSTAVAESTPGLQWSSFDASILHGYRYDYRLNTPAAFNE PYNQLVLSRSPIGRLSPTMARKKQEQQHRRQSKEQLANAVRKHFNSMGIEENKVVVDF LYKVRWQGLSFVLEILSS LY89DRAFT_689581 MKAGTIGLFSKAATATRVLCPTQASRPICIRDGGPSSQPKRELC SSSSSRPQRPRAIRSTSMRPDLSSKRNFHASSNLQATKDPYSVLGVNKGASAGDIKKA YYGLAKKYHPDTNKDPTAKDRFAEAQSAYELLTDPQKKAAWDQFGAAAFDQGGPTPGG GDPFGGAGFGSGAAGAGGFSGGFGGGYAADFNFEDLFKGFGGFPGVRRGRSGGRNPFQ QEEILVGDTIEVQTNISFKEAATGTTKTINISPLTTCKTCSGGGLKAGAKRSECKACG GTGTRVHFMSGGFQMASTCGVCGGQGITIPKGSECRTCSGNGVVRERKAVTVDIPGGI DDGMAILVREEGDAPPTGQAANPNSKTMRGDLRVLIRVATDPKFSRSGSDILYTATIP LTTALLGGQVKIPTLDGEVEVKVATGTGTGDKITLGGMGMKSLERGKWSGKGDLKVEF KVSMPKYLSANQRTILEMLADEMGDKTAKRIMNVGRPNNASESGSPSADDHKNEGFLK SVWHNITGNAAHKDAADEHPSSSSPSKDEPATKDSATKDKDKKASGSGA LY89DRAFT_596598 MQAPMISAPLKATNEIDWIQPLKQYIRQTYGDDPERYAEECATL NRLRQDMRGAGKDSAAGRDLLYRYYGQLELLDLRFPVDENHIKISFTWFDAFTHKPTS QYSLAYEKASIIFNISAVLSCHAAHQTRSEDSGLKTAYHSFQASAGMFTYINENFLHA PSTDLSRDTVKTLIQIMLAQGQEVFLEKQIADGKKVGLLAKLASQAGYLYAQAVEGTQ ENVNKAVFEKVWLLVVQIKSSYMSSLAQYYQALADDDANSHGIAVSRLQVAETAAKEA NKVASSFPGTVPANSNFNSETGASLVEMTKRHLAIVQEKLSELIKDNDYIYHQTVPAE AALSVIPKLPAAKAIPVSELYAGQDIQRITGPDIFQKIVPMSVTESASLYDEEKAKLV RAETERVETANSEMAASLDYLRLPGALQVLKGGFDQELTADDEFRGWCDEVANHDRPN LTFESLRNSKEAIIGVLDKSTRQLDMEESICEKMRSKYEADWTQQPSSRLTTTLRSDV RNYREALEEAARSDNTLNSKMRQYENDFDEMRSAGETGEADVLFQRALIQVGGKSRNN VGSPAGGNLIDDDFGDGGLSVMDQVAKVEEILKKLNLIKRDRSQVLKDLKEKVHNDDI SQVLILNKKSISNFENQLFQAELEKFRPHQQRLLQANHKQSSLMKELTSTFNVLLQDK RVRSEQSKYESITRQRTSVMSKYKRVYQEFLDLEAGLQAAKDWYREMKDTVDSLDKNV ETFVNNRRSEGASLLNQIEQDRANNATGQADRERERLRGLMERMSMNPSTSPSSDRPP RAQSTSYTSPSPTARYPSTNFTGQYQVPTPPPAQPQSQFSHPAFSSQNGPFATPQQSR TDQYVLSQSGPQRSNPQGQEAYNPSMYPPVSPPPTQTSFSQNIQNYGRPTPQPPVQQS YVPPGYVPPPPPRGPPPLGPQQTFQQPGAPPVGGQGEYNTYGNQQQRGAPQQGGTSND PWAGINSWR LY89DRAFT_596713 MLYGQNLPWRVALRSSTRASAHGTSRFTRPRAGIRPSFVPRQLS LTGTSNFSTSPARRKDGSGAKGTKDPVEEVLEEDKEKNEGKEESVAEVKSKNPESASQ GGNAAAASPPADGKGNSASGESGDGAKKGRKTSNEKALSKPSVPDVYPQVMAIPITKR PLFPGFYKAVTVRNPQVVAAIQDMMKRGQPYIGAFLFKDENADGDIIDSVDDVHDVGT FAQITSAFPVGGDDGALTAVLYPHRRIKMSSMVTRDKVGSEAKKVDSPSAESPVPEVI PTKPKEEGTQDKKGDVVASFEEGVVQQKTAASPAPYDPTSFLHKYAVSLVKVENLTEE PHDPKSPVIRAVTNEIVNVFKEVAGLNQLFRDQISTFSMSQSAGNVMQEPAKLADFAA AVSAGEVDELQDVLETLNVEERLQKALVVLKKELMNAQLQAKISKDVEKSIQKRQREY WLLEQMKGIRKELGIESDGKDKLVEKFREKGLKLAMPEAVKKVFDEELNKLAHLEPAA SEFNVTRNYLDWLTQIPWGQRSAENFGIKNAMTVLDEDHHGLKDVKDRILEFIAVGKL RGTVEGKILCFVGPPGVGKTSIGKSIARALNRQYYRFSVGGLADVAEIKGHRRTYVGA LPGRIIQALKKCQTENPLILIDEIDKLGRGHQGDPASALLELLDPEQNNSFLDHYLDV PVDLSKVLFVCTANMTDTIPRPLLDRMEMIELSGYVADEKMAIAERYLGPAAKELAGL KDVDVNLSKEAIEELIKSYCRESGVRNLKKQIEKVYRKSALKIVQDLGESVLPEADAL TAEGKAAMEESKKDETDVKETSENIEKETTEIPRVSLNVPDTVHVTIDRENLKDYVGP PVFTSDRLYDIPPPGVAMGLAWTQMGGAALYVESILESALSSDSRPGMATTGNLKNVM KESTVIAYSFAKSVMANKFPDNHFFDKAKVHLHCPEGAVQKDGPSAGITMATSLLSLA LNQPLDPTIAMTGELTVTGKVLRIGGLREKTVAARRAGSKMIIFPADNMSDWLELPDN IKEGIEGHPATWYSDVFDLVFPNVNAEEANNLWKSQLTKQKDKSDSDDD LY89DRAFT_257013 MAQTEKAHARAASTDQRTSWSWRKLFGKDDSSHTTTTPPTPPQE ETPRPGLAHRLSRKVVPGLPRSGTFKRQQSELRDRLEPAKQAPEERRTLSVDRRRNVS ARNSSTVVAPPRLSAPEFLDTCAASNEAVDRSNLSDVTTQDEDVKEEYVEVSKEPSNV DTQSISTQAFDEMILEELERRWILNLSMHFRDKSKREKFFVTFAETPTHWRRVTISLD YRNAPEGSLEEELQRTRFQRDKSARIYEAIRESLPDIQFYDTVTNLKLQTENERLHVH VVEDLSEIISYPPIKAIAHLNCRKIREDELVFDSHLSGFVYKVRNEGQVFIKKEIPGP DTVDEFLYEINALHQLSGSASVIQFGGVVLDNQGEVVKGLLISFAEQGALIDVIYDGE GRIPWKRRERWAKQIVQGLSEIHEAGFVQGDFTLSNIVIDADDNAKIIDINRRGCPVG WEPPEVAALIDSNQRISMYIGVKSDLYQLGMVLYALATQQDEPENHRPLNLSCFTTEI PDYYLDLCHRCLNDDPRCRSQASALLTLFPAAQDGYKNGYGIPIVVDAYHTGEIAFSN GAKYDTYNPLAPQYPQSPVSEAPNVYDYAVTDTSTEPDYPSRGRSPPRVEKKVKPHKV PLPNSPSEYLDAHTGQTPFPTWSYRDSTARRNFTRFPSS LY89DRAFT_723549 MSPMMEDDPIDADQDGFMLLQHGHRDMVEAVAFNSYGDRFASGS VDGKIKVYNRHRDMSWNLCDTWGAHTAEILQIQWLPTAIHPSLLASIGTDGKFKLWVE DPTLSPQKGRRFNSHSSKPVFELRSPSRSPFLSFSISHNPETHHTYLALINRSGVLVV WENEFAENLESWTEVDSVNVCEKPGRGEETSFRVQFDPSLEPCYTALRHGVPRDALGI VVASMDRASIWRTKEVSHNVSLGHSSSKELYLAAELKGHRGLVRDVAWASGSMRGFDV VATACKDGFVRVFQVAATEGKGRRSRSRVAERDVSPRRREENGGQRNVPSGIGAGLAG ARQRPEEGVREGEVMHVAKEVSKLDNNKMPVWRLHFDADGQMLASVGDDGKLLMWRRE PNDLNKRISSQESPPGSMDNLPHYPAR LY89DRAFT_675154 MMALSGLLKPSSHSHSTKDHNTPRRQSRSPSKSTSASSSNSGSS PEAGTNSATETHIESTHIREEGNLQPLDAEDPDLRELNNSLVALAAIFPDVQVEVFRE MLQSFDEESRLAVVTETLLKHKMKWVRGRYRVTVKEKEKTPAKEEKAEATTSGSQGVV APEDRFRSAGYKKAVKVVTYQEFKGLSKSTINAVLAEFNYSYTLARPTLVGLSSKSWR FSISSLFLRRKPISSLEAEHHPLVIWQSTGQGSIVPTFKATGSAELDKELYDSLIVPI KQRLLAEREQKDHILALEVNNAEAEENEALHDCECCFTSTSFEELTACDNGGHFICFN CVRHAINEAVFGQGWQRNIDLQVGTLRCVAAMSDECQGCIPQALVRRAFTGDKAGKEV VRKLDERLAEDSLLKTQLPLIRCPFCSYAEVDELYLPESQRSWRLKRMGPASLYTFVI LILGVGMIPFLTPIFVVFSFIFLLLSSQQSFADYVSAQLNDSVTRLRRKRHGLKFVCQ NSECARASCISCSKAWTDIHICHESSLLALRTQVELAMSLAIKRTCPRCQMSFVKSSG CNKLTCVCGYQMCYVCRKDIGSGEGYRHFCEHFRPNGGRGCTECSKCDLYRCEDDESV VKKAKEEAEKQWMEKEGTTLGGDEKVRKVLENEWKKDGSWFASSLWELKSPNWEQMLD ALVETVIE LY89DRAFT_700811 MDSEEEEPPTLVEVENNEETSVSGSKPVKVPITIVTGYLGAGKT TLMNYILNEQHGKKIAVILNAIDIEKSLTVNKGGEKVEEWLEVGNGCICCSVKDSGVN AIESLMEKKGAFDYILLETTGLANPGNLAPLFWVDEGLGSTIYLDGIVTLIDAKNILK SLDEPVKETIEEEEHHDHGGPVLTTAHLQISYADVLVINKSDLVSDAELLAVQERVQA INGLAKLHVTTQGQVPTLEGFLLDLHAYDDVGALDVASKGHSHLDPSIATITMKVPVL HSEQLPQLDAWLRSVLWDSELPGSTSGSTSEDKKIEIHRLKARIPLSNGEVKLVQGVR EIFEILDAPNSTTDSNASDNLQGKMVLIGRHILHVSFEESLRNMIE LY89DRAFT_626771 MSLPPSSPRLPSPPPPTEIQIGPKSPSLSGSNSEPTIEQSIIDA NAKRRIHPGTKSADMAAGPPLMPLNELDSAFQLQEHLKALHYHYSKPPTSTPNAVSTS TIPITRETAYLIATQPEGVDRALWLYELCRFLINKCNDLIIGFLFDDPPCSATTCPEM RASEWQFLCAVHESPKSCCAIDYCCHTLDWATNIVTSQKIFPSRLSLGAGDAVDERGA GVKHLTNIFRRLHRIFAHAWFQHRGVFWQVEGQTGLYVLFKTVCDTYDLLPAENYKLP PEAEGLEPVEEPRLSVPSILKSAPAQVSDRGAAEEDFVSVGRTNTRRHIRQSPSVGSA VTTVLESDEEEVDVAQKLEGMHIAEEEGETDIPVIVETYEVDEHQESEPAKHEPVQPI HTEQAAEPQEAEPIVTPTDAKSDLTEEKVEAEPETPKEPTTEPASEEKEKETDEEPFD PETKAPFPDPEESAKEEDLKLEVIETEKIEEES LY89DRAFT_596768 MSKRSVFTTLTPLPAGISRETVMETLRSHTEMIDLNPLVEERHP IKPPPNATAEEYHCIWYQLTDKVAYLPGGLASGKVSYTCCFHDLENGLQTHCYAPMGL NIKGKWTLGGSLPGEPVAPVELGLGAPLSGLWLREDVDMKCNFMMTSFVKKTLTKAHS KLVDRLLVKAQILDASLKNQEI LY89DRAFT_257178 MHPPAANNENSVNGRLPSVHRHSKGHIQMSPVSNIDSRPPDKGT AEISAQLPAVLGDTGSEIDTNQAKDKDLPFPVANHNMMNFVLGGNTFNVDVAAAIGSE VLRNYNTISRDNQKVIEERDTLEEENQTLWEKNKDFTKKNKVLEEENMSLKLLLAQHG ISLEEVVDFELPVPNPRPEARLPGNVSETGVTSSSTSNHSFQHPQGVPGLNVQDDTPP SMATRHTEQQAVNIPKDINQAKHSPAIINPMLTHKRESSSRSLPSQTTPNIEKSLKVE SSTDNDMLDGHSSTLQSVVKREQEVRTAKRRANDKNQSPSGIADKRRRTGKDTKLPAD SKMDERCPLGERPAIKDKHSSSRTRIKTEAEGQPDWFVTDEQSDKSLPSSNIRLKLDG TKIDHDSKPVDDSKSTNEAVEKGLIKFMKRKMDDNKIFCDIPVPKCGKGYMDDRSWRK HVDKHHPAWLKALIEETSSSFKPVDEHSYSHQQELLGRETERDKKREIEVKIMELRTR LEDEG LY89DRAFT_689588 MAMDPYEVHNAEPTESEVQRIARLRSAFGVRKTTDEGDKYSRHS GNSGEHSGFGNRDYDEDDRTYMDGEYGEGGNVDYHRLRRTDANQWALLHPPLSRSSMW SIIPDPDEPKEDIPTQLDYDEDVPIKKEEEEVEEEIIAPAPRAKISFQLPKKLSK LY89DRAFT_257183 MRPFLQRTESANPSQTLKSLDPDISSSYFLVRRATNDSPAASPG LPIFITPSSKSPSAKSHKAPKSTTSNRSKATKTRGNSVSSALSVSSKYRHIAEWNEKS SSGISPGAVSPVSFETQRHPADPPRPPKPGLEWVWFPDGYWAEREVRDGSRVRQRQAS LPRQKWWNRSPDKSKKGSIGTDDLNVRKVEVPKIKIGSLTSGKTRSASSDGKTLSRHS SRVETLGGKLGGFRFLKTETVATTLDQPNEQLGLYCRTKKEIKKRLLAPRTPKSVSPQ M LY89DRAFT_257184 MSPGTPAVLARGFFFDLNAAKDDPDSRTVSPATSAGSGGTGKAK SPREWWEADSKHTAVRNKSSHPIEKKNATNMRQLSPSAFELSLPPEHLPSSPLCPKNP KHPSGGDGICPFHGRRKSVGLKAIRRVNTGNTSTSGTTNETGTS LY89DRAFT_656025 MATSESSQTDPLLLLRQSIAAGSACIPTTTTDATSASSVDLSLA KATYLQFSSPSQISVPLSTPTRFISSDKPVDLRSIYFAWLNRNTAIPDYNGAAQSLNS ELASAGGAGGEVQNLAFVERLDLITWLEGASEESEHIRPLKEDTSAAAASAQVAAGLK GGIALVSSGKPGTGKTIDPRLQEIYNGERKMGDRNSVLRGIKPTDFSHVRKLAAPFNA RKAAQAAAAAAATATTLPHNPKAPSGRRPDPIIMLSPSASSLLRMSNVKSFLETGIYV PPDSGSMPSASNALLNTSRLIPSVDPVRPVKFVVVDTPERFKPEYWNRVVAVFTTGQA WQFKSYKWQHPQDLFKNTLGIYVGWRGDQLPETVKGWGRGVMPVQVDKWNPGQGNAGR WRDREVVEGIWKAIEENMRSKGWRKESGPVGS LY89DRAFT_257229 MKLLNLFLLVPAATVAAISSSWIAPANASIAYETITTTAFTTYC PEATVFAHGNVVYTVTEATTLVITDCPCTITRPIQTLTPTTLLYTSCPPSPTAAPPNT WFPWQQGPNSAATTAGVVYTQTVTNANGGQVTVTQTGTPTPRVNGGAAAGTGSGVGSA TGAQFTGAAAGRKGAEVWLGGLAVLGALAL LY89DRAFT_596386 MALSQPTSTSTSTSTSQSQLSHISVANHLTSSARPVSVSVSPTA ALSSKSKDSSTSTIRSVPSLAEPSPPASPSQNNDRQANIYKHRQSTLSTASSKQNRSG IFNFAALARDKTTSAIASFSEPALRSRPSSGSLYRTAQSSPVQEAPNNTKGARSVESI GSGRNNTNSPKTSTTPPQTTTSHARTDTLSSTTSLLETDPPSQAYANTSSDTPPPIAF VPPTNYNKMHQTSSRLLRMTSDERPFTRDFKDLFSTLVVSLPLQAHRVRFIRIEHSFL SEEAINNLGSLKFSQSNRMPDPKDPSRIVTTTTTTTFSMAREMARSVCQRFLDARFIE SADGKQAKEFTMKSSVWQLTPKGIHILERFCSKNGIQQKHVGELISSPRNTMQLVILE RDTATDKLSSDRSTIEVIFRRFVGTNGPNVKTSTSSADSDSLSEYKDGLAGVRMASER KIGTPPRTMYQTFTGKAATDWLMDCCTTVDRRETSEIAGLFLDQELIWCVQSDRAFLA GIPAQEKERPIFQPTKHAIYQLTQKGKDVVSMTLRERTSESGEPSATSARAGVSRDSN TQKLDKILNDPALRLLFRENLRDTHCEENLSFYLDVDEFLKSCKNAIKANSPSRSGKG SPSGNLDAVKETMASAYGIYNAFLAPGSPCELNIDHLLRNQLATRMTKAVGQDAAMVE SLKEVTKLFEEAQLSVFKLMASDSVPKFMKSSKYEQTLKNYDFDSIAPRYNNVTLPER SMSRSNR LY89DRAFT_257322 MLPADDWTLRGAVAVAVAVASRIDVSFSFTSRTLLSCCPPVLPL STFRSHSLTCQVPSHVVVVHSLPPAPWPCK LY89DRAFT_740039 MQMISCIPYLPLPLTIFTFVSIILINVGGVNPNYTSSSSNYFVL EKNSAFNLVNWNYTITPLQSFGSYEYHLYLNRLCSFAKSQPPSYSLSPSIVSGNCIND RNTGEFEDGRHLYAPNALVGSLSPFRFSTVYLVVPFAFYILTAICAFFLMVCVIFGGK RLGRGGAIACTVISGLAMIFVIIASAAITGQMYHLKDQIENDTRRITALSSQTIGSQI GDTTDWTTTINHVSVGSVVLGLTWASAVAMMIEFFMWVWAAIAVRKESPNIAMKDEHR VQG LY89DRAFT_257390 MIDGVGRGSLYCIAFGRQIIFLRAFLVLDCLALHGIAKVVDRTE YKNYNILKPPRPRSILLKGAVAENALSRGLLPCISLQCFLNPAPHFRTAKDRERGGYQ NKILCRLWKMASWQAMKVPELRAELKHRDLPHKGVKATLTARLKHTDGLPLNYEDRKT HYELKLKEFQAEPIANVAPFPYFNKFPLEIQRLIVRTHPG LY89DRAFT_257363 MPPKKKNNKKANDDWEADLGETPAAAAVPTQESNDTPAEADAEE EFPAGGLMAMMRKRDKKKKKGKQDEDFVEGEDPTPAEETPDLSEKAPVEASIDDEFAL PDKKGKGKGATKPAPKAEEEDAEGGDEERGADGKVFTKAQKEKLKKEREKQRKKENAA KKKTTGPAAKATPEPVKAAEVKAEEPTAVKPVAGGKGKKLPPALAKLQAQQEELKRRE EEKARLIAEEKARLEEIERQEAEEEKRKEEAKALKKQKEKEKVEQQKKDGTYLTKAQR EEKLRNEMKLKQMLASGVKVGGLDGEPKKKPTYDNKKKKGGRKNPDEIKADEEKALAE AAERAKQEAERKLAEAAVKAEKEAAEAAAAKAAEESEPEDDWEAAAAESEDDVKESWD ADSEEEREKAAAKKAAAPANGQAIPVRSKQEEESEEESEEEESSDDEETTARQAAEAQ RKKEAADRREKAHQAAMAARSKDNLRSPICCILGHVDTGKTKLLDKIRQTNVQEGEAG GITQQIGATYFPVEAIKQKTQVVNRDGSFDYKVPGLLVIDTPGHESFSNLRSRGSSLC NIAILVVDIMHGLEPQTLESMKLLRDRKTPFIVALNKIDRLYGWKKVDNNGFQESLAL QNKGVQNEFAKRLEETKVAFAEQGFNSELYYENKSMAKFVSLVPTSAHTGEGIPDMLK LILQLTQERMVGSLMYLSEVQATVLEVKAIEGFGMTIDVILSNGILREGDRIVLCGVE GAITTNIRALLTPAPLKELRLKSAYVHNKEVKAALGVKISAPNLEGAIAGSRLMVVGP DDDESDIEEEVLSDLGALFKRVEKSGRGVTVQASTLGSLEALLDFLKVSKIPVANVGI GPVYKRDVMNCGTMLEKNKEYAVMLCFDVKIDKEAQLYADEQGIKIFTADIIYHLFDA FTKHMALIAEKKKEESKLDAVFPCVLNTVAVFNKTGPIVIGVDVVEGNLRMHTPIAAV KQNAVTGVKEIISLGRVTSIERDHKQVQICKKGQPSVAVKIEMGGHQPTYGRHLEDKD SLYSLISRKSIDTLKEFYRSDVSNDEWLLIKKLKPLFDIP LY89DRAFT_740042 MAFESSTLVNGAWTTRTFDVNTALRHFDQQDKDNAANQMAIETT PKLGLLTQTVVRSPLVHWILPVRLRDNRIHDVAFIGDDFVQIKELRSDGLLWDVIRKE DFGARIRNAAVIGSVTAYEQDPDAMVDTTQVKSEGDASDTGQPASSYKSESSATSSRL PPQFLVLQLETGDTIFLMLQTNGSGQPRFVASTYRVSKAMLRCQPGVHIAVDPSSRYM AVGCSEGTFAIYKLQTRATLKQQFSEGKKLQHISQEMHMPAEDSILQLQFLYPPPGND CQDCIILVALVIRKHKTRILVYEWDAGDAITRIKPKSIKGHLLDDQRQMPLLLIPLVI RSSFVLVYEDFMAVCEGMVSNTPKFTINSFHPDYNESTPFHNGVGSPLWTSWARPFRH KIFLEHSDNIFVAREDGLIKLLHFNNGLNIEHNNIGQFFANCGTSFASLEFEASDHKS GDLLVMGGDGCSGGTYLLQARKLPILTEPIQNWTPAQDIVTTYVSKTTKAYTNGNKFR AKEVIPNPDQIFVCAGKGIKATITEIRQGLEARIGLETEYDTPVLKVWALPSDFEEVE DYDASLFLLSLGDASAVLTLSGDASDIGELDESCTKFNLRSRTIVVTALKNLIVQVTE KAIRVIGGDFLRDYKVCDLPGIVGTNIDNAALNEKVVIFTTYVGNSVHLQVLEHGLFA ADMDLDESTTVRTLCTTSTNITSLLVGEISQTQFAIAAEWSESSLRLTFQSIQGEKNH NIDLLDTFADFRTRLDAVASLAMISRHEDTILLLCGTRNGFLITLAISTRTFEIAEKR IDRIGATSVILTADEHPNRENSFFISCDGKLHYLQPVLTGHTGSSTRTWCKQAHSIHQ IWLSDAADPSLRQPSITTFAQLRQSTSPTSRLNESLLLVSGSTLFLADLSIQPKVVPR HILIGGTPTRLLYSHSLNALVVGMTIDGKSTLKFMDPETGEDLSQAVARDTHLPVEFI AGLGHLNERIFRLFEWAYVKDHKTWNYIIVATNQGRVLIITAQVSDDDTNGNTTGKSR PRIKYYARHRFRSTDPVYSVAGFPEGLLWCAGTKIYCEVLDQTEKRFVRTTEYDLPSP AINMEYSDGTIYALTESHSLEMLTLDLNEDEGSMIVRTHGDQLTRDGLHHTELQTYQQ TPLHLVSDKSCSLVGLWPITNTKADTLDTVFEAELAHSIVRFRSAKCRPIWDDSWVVS AENETVNDSRSNGYPSSQMNQPETLGLSIMGSLSHFTVLQLQTWNYLRFLTDLAIRSP VVCEFTHKDTPLPLGSVFQPKLRMHIDGDILKRCLVGRHLEELLLIGQDTPEATMLFD RFCNVLRGHHGVNFVENAHPEEYLEQAYADLNYFLRPVM LY89DRAFT_710663 MEPDGRHSDEKNEKEKLEASSRTSSPSLEHESRNAKAAKILEAC KERDIETLRRLAISEGGLVSDEVRRQAWPLLLGYASHDADVKEEIEDADSWKKLPQHR DEDQVRLDVDRSFIYYPSDQSPKDLDRRKAELSDLITEVLRRQPYLSYFQGYHDICQV FLLVLEPRSRAPAVARLSALRIRDFMLPTFAQALAQLHLIPSIIHAVHPKLWAHLSAT QPFFALSGTLTMYAHDIQEYGDIARLFDVLLAREAVFSAYMFAQIVLQRSDELFETPA DEPEMLHSILSKLPKPLDIETLITNTMKLFEKQPPESLRTWRSISRSSVLKTARWHDQ TMKQSLNDGETFFKKQVKEIEWADRRERGMKLAWKYRKPARAIGIAVFVGVLSFWLRK SGPSGVLLGAFWRQWVGYQGH LY89DRAFT_656041 MWLDRLSGHSTPAATPSGSPPPANRAYSPAPRRPSHLAPSSAAQ RPGFTPRSSSLSINSNDSTTSLLSSSRKPNGSGLKQSVTAPEVPDPLEVLEKLLGPEG NTAPGLTNPKSTVNGTGTAEEYDEEELDFEGLSLREVASKTFVTEEETVYTPQTTEEF EKDIAQFEELHRSIRACDDGLNSVESNLTSFQNDLAAVSAEIETLQARSTALSIRLEN RKIVENGLGPIVEEISISPAVVRKIVEGTIDEVWVRALAEVEKRSKAMDAKSREQRNI KGVNDLRPLLTNLITKALERIRDFMVAQIKALRSPNINAQIIQQQHFVRYKDLYGFLH KHHPQLAEQIAQAYMNTMRWYFLAQFTRYEKALEKIKIHVIDKHDVLGQDDGSRKGTI MSGAKTTGPPHDAVNLGRRIDLLKTSNQTALASFLAEEEKATHYLEFPFRNFNLALVD NASAEYSFLTTFFSPSLSYSAISRHFNYIFEPTFSLGQNLTKSLIQETYDCLGVLLCV RLNQHFAFELQRRKIPAVDGYINGTGMLLWPRFQVVMDQHCDSVRAVTNGVSIRKQSA SEQAKQSAAPHFMTQRFGQFMQGILALSTEAGDDEPVSSSLTRLRSEIEAFLTKMGKS IGDARKRERFLYNNYSLILTIIGDLEGKLAIEQQEHFEVLKKAFGDSA LY89DRAFT_689597 MADDSQHDVQFDSVDAGASATFPMQCSALRKNGFVVIKNRPCKI VDMSTSKTGKHGHAKVHLVAIDIFTGKKLEELCPSTHNMDVPNVTRKEYQLLDVSDDG FLSLMADDGETKDDVKVPDGEVGEKIDKLFTTEEKDTNVIVLTAMGEQAAIEAKEAPR G LY89DRAFT_596707 MSHNIEYQRFRRGESCTEEGCRARKFYIEDGKKFCQRGHEQAGF TQTQQDEDDWNAQGKKSRKKREEKERVETVLSGSEARKLYLQSYQLILWKQCHWLVNV KGFPKELETVVRDLWGLRVSLVHKNDDRSGYGSGTGTTPMFSSMSEGESTDTDVTRVK SFASSKSRRSGVNEERLPRLIETLGLCYVAMLLMRLPTSLGEVYKWVTHEEIIYSRAI AEIPKDMRVKLPGHFHAALEMKSVLRVSTLYGIVLDLIRFYAVQFEMVCPPLNAPVLL FKHIRDLGLPVEIYPAVNRLAKILDVDYSWPITHQKSYGVSAYPEIQMMSLIVIATKL SHPFDDIDRTPESDTDPTMVRIDWPTWVRTMREPPLRGLKRGEEVKATDADVWNMNAK KMDDYLEWYQRTWIDDRDAKGILLLFSVWPS LY89DRAFT_257459 MSGAGYDVVVDVDEEGDLGHTDLQEDLEFHSSNFNTDAPSNARK LPGSSPGLPPPATASSGSSKRFLWTLSFYAQFFDVDTSSVLSRCWAALYPRANFLDVL EGNPDLYGPIWIATTVVFILFLGGTISKYLAETGAEHFVYDFRLLSGAAGLIYGYTLV IPIALFLALKYFGSESANLLECWALYGYSNLIWIPVALISWSPVTLLNWIFVGVGFGV SVAFLLRNLYPVLSATDKQTSKALLILVVCLHAGLAIAIKILFFAHGSPVAKEPKSST PAETPAESMVKFLLF LY89DRAFT_596249 MPRSTRQFRNLPRAAIRAQPAITKTNQQLRFYSQPERPSKIYTF EEIRALTKSPSADKILIDTREPGELQATGTIPGSVNIPVTSKPDSFFITPEEFEDRFG FERPGKETEVVFYCKSGVRSRAAAGLARQAGWENVSEYSGSWLDWEKNGGESEKVR LY89DRAFT_257563 MAQNVSFASPLADAKYAQIVGNTQIDGLLGRLGDLSWWSIAITL TLVAVAYDQFSYVWQKGSIAGPAWKIPFIGPFLESVNPKFSEYKAKWASGDLSCVSVF HKFVVIASTRDMARKVFNSPGFVKPCVVDSAHKLLGADNWVFLDGKAHVDFRKGLNGL FTRQALESYLPGQDDVYDKYMERFLKVTKDAGGKPVPFMAEFRELMCAVSCRTFVGYY ISDEAIKKIADDYYKITAALELVNFPIMIPFTKTWYGKKAADMVLEEFTKCAAKSRVR MAAGGDVTCIMDGWVKSQLESAAYRDREQKGLLMEGIEKPSPLLREFTDYEISQTVFT FLFASQDATSSAATWLFQIMAQRPDVLDKIREENLRLRGGDRSARATMDLMDQCVYTK GAVRELLRYRPPVLMVPYLAKKAFPITDTYTVPKGAMVVPTVYPALRDPEVYENPDHY DPDRYVTGDAEVKGAKNFLVFGTGPHYCLGQIYAQMNLALMIAKASMYLDWVHHPTPL SEEIKVFATIFPMDDCPLTFSRRA LY89DRAFT_689603 MPHGFPAFPQGQFPNDLPPTWFHPASPKIRPAKPAKEVDTASIA STSTFSSTVSLLKDKAKRTLPISYKDYRARKDSQEAASGTTVYSEKQSSKSTKKSLPK DTKTPRQRTAEAYMIWAATK LY89DRAFT_257850 MNRSSSSAARPLSLTEELEKLEQSITLTLQEIDHNFSRAHRIVT TSILPIVEQYAEHSNAVWEGSKFWKQFFEASANVSLSGVEEREEDEEDVSYTQSHENT TYATSQAGDSTVHGEQQYNQTDDSLLDDPDISGSTPRARPTTASSDKPKFADYGSSYE ALKQELKGGAKEEEEGDDTQIQPTTPGAQSRIPDMSMTPMSSPFDPTSYLQTTAQRKP GQDPLLHRVLDKNYRIQATPHTTRKENKTPANKPSWRDTDSPMSSPPVAAPKLHSDIF SSPIRQEYSRPTAPRTPGVSVQNTDKGETKESFAKSVKKDKDEITWESDSDEDAEGVY RELGMSPPKTIQFNLPQSRLLQTPAREASKRIVEDLLATAGAGFDDDDLDSPSVVKMN EDLDDSF LY89DRAFT_787383 MTLQPRHDSVFRHYNQELVQVFCYFIAALLGTVLLFRKLTQHLL AQKLRHCEYLNESPSRQQLRIVRYWSILTSIIRGISYSQIHFQGVFSWLSPPSAGKDF LLIIYWSLLTFMLTYKAIIHDSEYYERIGFRAAWISVTQVPLIYLLSAKASVLGYFVG SSYERLNWLHRWVSRTLLVTVTIHGGFFMREWIKVDFFWSELRIMPMVTYGIIAWFIL VWTFLSSLSPFRSMAYELFVLQHIVSAVVFLWLLWRHVPSHASYFIWISVGAFSGDWV ISTIVSIYCNVHSGGIGYRLQLTADDDDITTISLENVLLSWKPGQHIRLWIPRLGPLQ SHPYTIATPCLSANRSSKNNLTFIVRTYSGISKTMNKYAKRPQPYLRAFISSPYGSSP AWNAYETLVLIAASTGGSFTLPILESIVNDPMQTCVSRIRFLLLARRRGHIEFYLQRL PTSIARAAASGIYLAVEITITSRREDEGPLSEEDEQKLLYNSGEEDVEKADWSNSEGD SYPLEPGFQHISKAEDEEENENAALNPAQEDQASSRGIFYTRGRPLIAEYLKSPLEYT KDEAMVVVCGGKPLISEVRNCVAGLLRTGAPVGGTAGIHLYTEEYGL LY89DRAFT_689606 MDGPPIAKRRRIVTTCSECHRRKQKCDRRKPCNICLARNLPDRC SYSDPTVGPRLNKSSNASLDENFSALTHVEDQENVSPPASRGFNIAEQIGYSPMKGSN VFMDLQHQLLKDDTTGNLSLPNVAQERVEPEQWEDQFWTLVAKLPSKSVSEDLIGIFM SEMNWHTGFFERYYIQQLYKTWQEIQTRTDAPKQPSLKDVSRDVLYFPALLFQICAVA LHFMPPDSAMIKVLNVEEMIHRNRLSERYSDHGMKIMDILDRHRPTITSVQHDLMRAL WLKDCGRGTESWHALSTSTRQAQELNMHRQARVDQSGTVAETLTRLWKDEYRRRLWVI LFIWDSHMSMMLGRPRLINLDDCDIQVPIDCKFPDEPSTVVPTAIRNARDNEMPVPIS GHLFRYSIAQLVHEMKTNGANRRHPKDYTSIQALHEKAVALMDGLPAYLRTQNPDKSW DLRLPWIPRQREQILDNATSFLLALHRPHIHSSPKSRKAAIQAAIVILESQERVFEIT RQYQYRLFGLAFFTIDAGFFLCSTTIMYPSTDRDSSRRIDVCLQEGIKRLEILEHNNA IAGSGLRLLRHCYQLLQNASTTRDTYTEPASHVPETVQQPEGNDQGNEIPNAFDTFHS DGLHTYDVPDQIHFPSTSDLTGFANINEFDSSYWIEQMNQIPFVSMDDATVANPWDFP FI LY89DRAFT_626819 MLFSEDDAPLLKKWIVKRLENTSDADADVLADYVLALLRHDGDV ETVRALCEREMPDFLKEDSTIFVRDVFDAINYKSYMPGAAPPPRRPSLPFAPPSGPSA PSYGNLGNGAPMGPQNGSRKRSFHERGDTEMQDRGFHQGGDSNGRMFKQPRRGGPGMG RGGYEPRGGRGGYAGNRPPPMNFQNMPTPPGFPGMPPMPSPPPGMPPGMPFFDPREGF AGLEAMLSMGLPMPVLRGMPQAASSPPRQGSPTKPKCRDYEHKGFCARGNTCPYEHGS HSIWIPPVNKVEEYDPTMSLMSTLEANTNRGGFTQFRGGDRGRGRRGQRGTLNPRRGG GRSEFSSNQPNFDKNNTTIVVENIPEDKFSEDEVRKFFSEFGNIVEVTMRPYKRLAIV KYDDWNNAKNAYNSPKVIFDNRFVKVYWYVNQDSLPKPPAVTGANGEAKRERQGSEST APTPKQEPEIDLEEFARKQAEAQKAHEDKMKKMAEMEAAKKDLEKRQEELLKSQAEEK RKLMEKIAAKSGKSASPAVQPANGSPAPAESKTTAQIQTEALKAQLAALEAEAKSLGI DPNVAEEPFYGGYRGRGRGRGVYRGRGTFQPRGFRGGYRGRGTGVPFSASGRVFNLDN RTKKVALTGADFTDAGKEESLREYLLGIGEYTDLEITPTRTSILFKDRFTAEKFMRTP DNEIPSVGKVEMSWIQTPLPPVNLTPKPSSTAIKVDDDTAMDEGDAMASSPVQAGGEV VEEQHSSYDYDVAGEDEWN LY89DRAFT_626823 MSSPPRRYLLLTALLWATQVSSTDLTPYVLPSTGENSGNTFPGV TTPFGMVKLGPDVYNGADAYSGYAGSGVVTGFSMMHETGTGGAPKYGVVSQMPISGDI INPLNGLNDTRASPDVDSVGYYKSSLGSNITVELTATPRAGLYQYTFPAGTVSNIVVD VSHVLPSYRKMGLSQNYLGGSISTSQDHYEGYGDYNNGWNRAPDWRIYFCGYFNDTAT VKTFVGQNSTATALAGYNSSQTVTSSARLGAVYTFNATAITSRVGISFISTTQACSNV NAQIPAGILLPSVVADTKQVWNDQVLSKVTTTETNLKSLQLLYSSMYHMHLLPSNRTG ENPLWNSTEPYYDDTFTTWDLFRCTFSLFQIFQPSMHEEYIRSLIDIFRFEGYMPDAR SSNFNGATQGGSNSDNVLADAYVKGVRGAVNWTAGYEAMVKDAEVVPPNNNDPRDLSS STKEGRGALPDWLQLGYITPKFGRSVTRAVEYSVNDFSLYQVATGIGQKSDAKKYLAR SRNWRNHWNPNVTSLGFTGFLVPRSQAGFLKQDPLSCGGCYWGDAFYEALPWEYSFNT HHDINTLIALSGGPETFINKLEMVFTPGINTSGRSAGFNNTIFNPGNEPSFTTPYLYN YVGRQDLSVKQSRNIAKSYYAPTPGGLPGDSDAGAMESWLLWNMLGLYPMVGQTTFLI GSPWFANTTISLGGGHNLVVTTTGGSDTSYYVQSLLVNGKQWTQSWLTWNDVFASGGT MDFTLGPTPANWTTGNPPPSPASEFQQDVNPTTIVQPGKITMAPTAAELTKEEDKRRK LRDIGLGIMALAIFGFGSALLLVWWFWFRKTGLVKHQRGEEAGMAVQSGDDDASLAEK KGEQKGVGGVVIDALVQPRAEEHGGRNS LY89DRAFT_740056 MKLLDDAYLALAETIQCCAPLLREQQAPTRGIEKPMRIEHHQPR LVPPPIPEPQEDGVRGREWVERTKSFASRASSRGSFSVRRTFNAYNGPRRPRIGAPTE FRHIENAMPRRTDRFRPLELSIYMPENQLSPILSHFGPVEDVPNEMPFPPPAFTHSRS DSAMSFRIPRKPVRSSSGTSGTSEWTAHYKPRLESLSTQQLLAALESELPKPPPPARL RSMTEPPAYQRVKSALHEKFELEQRLKDIEEIIEERKSIYLNSRPTSRALSRADSIYS ESQEPMPPPPDVRSFTPVPTLPTAPSFAARVALPHADQRPRTAPTKTVHIPTRLKSFT EASAAFTTPSPSSTSSRTQSRPERSLPPPPPLPLVLQSPPPLRKKKSFSRVSTWLFPS SNEHARNGSLDSVTNTPKALTSREGFYQCVDLQQSSRRVSTSTVSTVSTLESELDEPT IPTTWTPDSSPGIGHKRDVQIRQFSIESERNEKSLELTKVRTFGEKEMGPNGRWRVEP IPTHVPGRNSVGVAF LY89DRAFT_689610 MPRDRPPTTGYARIAQAEDSDSENDEALGNSYASLQPASAPRFA SITQPRPHGGMYTDGTTSPITNRRPRNRRRVRSNSSGVDIKAINARLERWADEIASKF KINKVKGKTTEEEKLEIHHSVFQAPDGVRPATAETLALDVDPGRMSKSEFEDVVESVR VAIEQGMHPKMITQGSSGSYFARNSEGKVVGVFKPKDEEPYAAGNPKWNKWIHRNLFP CCFGRACLIPNLSYVSEAAAYTLDCRLRTNLVPYTDIVYLSSKSFHYDFWARRNFYRK KKPFPSKPGSFQVFLKGFKDANVFLREHPWPDHGGGYRPSDAPKKKKAWKDTCRPNGA QSDDEDETANSTPPIGGPERFAWTEQLQQSFREQLEKLVILDYIMRNTDRGLDNWMIK VDYENQDVTIVADPPQMDGGRDQEDEELAPRLVNTSDSSIPLKSHPYRVQQPMEARSR SVTPSRMGGPKMVVGAIDNSLSWPWKHPDAWRSFPFGWLFLPVSLIGQPFSQKTRDHF LPLLTSKQWWSETQLELRKVFSQDADFQERMFARQIAVMKGQAWNVVETLKLADHGPL ELTRRARVCVWDDLVDIPIAVPMRVPSAEMRRRNDPLFNKNLHEAEEMDISAANSSAP QPDLLGLASPSSELPNPNRFDLTSPRHSEDGGSPSPLDIMNSGSRRKFSKDGQVKFSE RPTFNHVRSRMSYDGPSRTPPYPPRTENRRFSFSNRKGSKVPMLYDGDDIEGDLGYAA AEGMEGNQRKVIVERLETVKSKNPVFTWC LY89DRAFT_258216 MASWNHSNGTSPYYSPSNSASSQFLVGPGSSEPRRDSHIYSPSP TSLPSHQHGAHAHLLATGRLPNNVPTTANGTPAFPQQQQWNPAALLNPRGFHSTPSSN ATNGFSSTSNGTNNGNLTFQFDSPGGSHYNGPTPSPSNENMRPNGTNGNGFAAYANGN GQMRGMAHMLERVHNVADRDMIPQKRRKIVDEREEQGKGTFNGGGKGGVLGQYMREQK EEGRKQVAARGTAPVDISIEDDDDVQVVGSSDDKEVCYGRIEGSEINVFKVPTPKPGS VAVSNGFWPQVKILLRRRHGDKTNVVHAVDSTRGTIGCLDVNTAIGLVPLLDSKFGIR TAARILTRARRPGDLPPGSDVSCRFPLDLNVYGPKKHAIQVGRFLSQKQLWLRTPLFV EAGVLLHNPHTIEKPPQPPARNVSSGPVGYSRTQAPVRTTEEIRNDIIGMFDNLERSE NLQEMEADPRITTELLKHQKQGLYFMTNKEKERVFGKDEKCNNSLWRLNISPSGEKTY FNVITGQEERRSPPQVLGGILADMMGLGKTLAILALVVQTLDVEAQEWAKQTPCASQD DRDLCPSRKGKVALPKLEQTPLVLNAKTTLLVSPVSTIANWEQQMRQHIKPGTLRYHI YHGPNRIKDVKKLAEFDLVITTYGSIGHEFKHRAQKKHGVYPLEEINWFRIVLDEAHI IREQSTQQSKSICRLAANRRWAVTGTPVQNRLEDLGALMAFLRIKPFDESRGFAQWIM APFKMCDPEIIPKLRLLVDSITLRRLKDRIDLPARHDHLVKLDFSAEERVIYDIFAKN ANDRVKVIVGQREKNVSGGKTYVHILQSILRLRLICAHGRDLLSEEDLKVMNGLSKDS AIDLDSDDEEDRPAMSAQQAYDMYNLMRETNADVCLTCLRKIGPDEAEADGEAKDEII GHMTPCYHIICNTCIKRYKADMEEAAQGQSTMNCPICQQYIKLFYFPLRQGGVEEQEE SRLKSKLNAKHGKELNGYSGPHTKTKALIQDLLASRRESELAPNEPPIKSVVLSGWTA HLDLIQLALEDNSIPYCRLDGKMSRIARGQAMDVFRDDPSILVILVSITAGGLGLNLT SANKVYVMEPQYNPAAEAQAVDRVHRLGQKREVQTVRYIMNDSFEEKMMILQDKKRKL AHLSMDSESRGRFDKAELARSRLDELRSLFK LY89DRAFT_596255 MVSRTSIPDGFPSVEVEVGNSSKRSTTSIPSGNNTFLPPPPFTF PPQPFSQIKIVPSNSMRQSGLKATEIALLRKKQERLTSTETTTLDALSTLPNQANLQN ALSATLIFAQYEAGTAVCIDRAGWLLTCAHCFGDDGQEYKKLNKKKWLLFHNGLAVQA ECKVWDPKRDLALLQIIAIESDKAESDTLTTFPFVNLSTSVPRPRMPIFCIGQPGSDD LESASARKTNYNLMEVSEGKFLGMVPNADPQDNSEIGTLKHDAWTYWGHSGAPLLSAK DGTLIGLHSSWDDQSATRHGVPQVAIQEFLQKEVPAAMRSHMSIDLGGD LY89DRAFT_723577 MLSPHVSGLGPAVLATTWSEFAAGTVLMSMRIYVNAFIIRRWSA DFWWALITYICSVLATIFLTISVEFGLGSHLTDLLALDSELIVRNQFHQWIFTTFAIV SIALGKLAIIALILQIEGTSTTAHKRKWVLWAFVASNVIVNIIIIPIVWTQCSPTAKM WDNSLEGDCNGRERNKLYGFFQGSFGAFLDVALAIYPVIIFWNLKLRLHIKIGLMVLF GFGIMASVCAVVKTVELSTLTATSDLTFELANLNIWASTEMWVVFIVGCIPTTRPLFV KVLDVVVSSGNRTFRTGRGYTEQDNSNTNTSRSRAYSESRKNPSKITTIVSKNESEEN ILPGQEGIMMTRDIRVQYQRNDPEAGSFDKASKDPDNWKTRFDDQV LY89DRAFT_675184 MANILDLAREVQAAAEDFSSGKTRDNLKLLRAIRNLNRIAESPV DRLRRVSYQPVQCAVVRLAVEMGLPHALVEGKTMTAAELAQKSGADHLLTVRVMRVLV AMDICDEIGEEHYESNPTTEALATPTWSGGMRYLFDTIVPTFDKLVAYYNEAGFESDK LLFEYALGQDLWSFLRTHPSLHKDFLDYMKGRKDGQPRWLDYFPVSTQVGDLDVSKHS VTLVDIGGNLGHDLKLFQERCPEIPGRLILMDLPETIAGNSDPLEGIEKIEYDFFTPQ PIHDAKFYMFRAICHDWSDENCQKFLGNTVKAMKKGYSRLLINDQVLPNTGAELHPTM LDFTMMAYFNAMERTERQWRTLLDSVGLEIVKIWSFDGGGSEAVIEAMLKD LY89DRAFT_596607 MADLKMHHDGSTVLVPQPSSDPNDPLNWSVLKKHAFLFVIAVTA FLPDYGSSTGAITNLVQPATFGVPENIVNHALVGNLFMLGAGGIFVVALSAYFGRLPV LFWFSIVALLTAAWSAAATTLESFEAARILHGFFSTVAQAGGLMFIKDIFFAHEHPRK INIWSCFIVVSPYLGPFVASFITWKSTWPWAYWVLTILWAVALILIVGIMDETYYDRK IPQDQQPKRKSRLLRLIGVEQWHSRHQRNTFLQAMSRPAIAITKVPVILVTLYYVFTF GWVIGLNATTGVFLHELYHFNSEASALYFFAPIVATVLGEITGHFVFDFSARIYRKRN NGNLEPEARLLPLWFATPLMVLGIVLLGFTLENHWHYMVMAVTWGLFVYGIIICTTGI NSYLLDAYPEASGEVAAWINFGRTVGGFIITYYEIPWITAMGTRNALGIQASIVAAAF GFIVVLQFWGKILREFSGSVKFATD LY89DRAFT_675186 MLVDNEFGDVLKSDTVAEPRMGAGELIDESRFEELVDETRIELE MLVDTELADMLWLGVWLVVESRMDDDRTTGAEDEVRVKEMLDREEIKLTELVDESRSR ELLDEMETEELSEDERDKRVIVVVIWLVNRGTQAGLEEELDELKAEGVIDKTEAELEG RRDVDEGVFGAGIGVLFGPPAAAV LY89DRAFT_675187 MHKRQSNTNTNTNTNTYLTPTSPISNTTCSYSTASFTITNGQLS TSDGRFLSTTDGVTWMAFQASSPAEAISTQFTIDGNGYLVWSNSAFVGDTALFCEDEE TEQINVLFRGETDASDESYPDYGMGCAPVDLMIVDEAAASTGTCPVYPESWRTYSIAG LSCVPRTVIWTQKGCVPSPVPYLSDPRY LY89DRAFT_689616 MNHVSFQGHPPPLAPTNHQPQAVPPPRKLRRISRACDFCHKRSI RCKPSDEDPTRCQNCDDFDVPCTYLRPAKKRGIKSGSTRAASENGNSSRDGESDARML LELTHGIQGNGFFNVVDRFSIPERWKTLVIENETKIQNLVDVYFEVVYPIFPLFHSPN MKRKVASRDYLTDHSFFADTMAICALASARARDGALFPGQWEPGHFHHPPSEVFFAAV KEAMPQDLSAMKGLDWMRTCALMALYGIQVGKIDIMHQYLGMYHSLVSMDSLHDEKNW PQDIGLIESELRRRLFWSMYTLEVYSSIVWGNIIRCREAQSHVQYPSEIDDEFFTDAG YHPPNSLVPSPQVAGNTICWLHGLNFCTELYRILEHAMDDFHRRRPVRNQFSPPALFN RETPQQSDVLNKVMSMYEELPPRFKETRLNLSHGQGGLEDKFSFQAANITATLQLVRM VLFTSKDATVDEKCAIARDLVESFNKIPIEFLRAISSPLLHHVAGIGAILGSAIEGPI SEPSYHQIRVVLLQMVDLLSNLETGISRTVGAASRLRAQLANIDNYMNTQRRNDHTQS QLLLNNNFHPAGMVNVEPNPNIDPSLQDAFQTPPNPYDFSNVSTAAMTASMNVMAGHN LQLPPEILEGWPWPLDISQGFASSGLGQF LY89DRAFT_740063 MTDYERTVLEHYQLTTAYPVEWPAEKDNSDASDEEEETKPSRNG MIRRSKSRYSALERVASDRKSFLPGSQRGENGVDTMVQRDEPDPLGSTDSVVRILRQM GLPVQEDPRIRNRFLLSSTTFSPALFLSQVHSTASTQQLLDGLDILSRSIDQKSASLK VLVESNFERFVRAKATIDNVYKEMKYRGAEPPPPSPRRPHSRHASRNSFRTSSGNQAS MAVPIPDAKKKNALTKESEYGVLGIKTPLLDVSAKAEEVWGPALGGREKEDSLKTMTG TVERYKELYEVGQAITDSIKRKDYESIVEEYAKAKRYADEAKKLAENLGTTPPTDAQI VQMLMAGRVWHDVEEQLEEFKRDVWKRLTSMQNVSKNDAVTGSAQDQHMELIGVLLEL GVTDNPIWVWLLSRYDHLKKKIQETSNRTMVEIEIMRRRLANGERPTPQVIASHLRSL GRQTAEDKPTSLDSSEVVELWEKMHTFLSDMLSSQGILGEVVEFWQTVQSFIDGKAQR NLPVGINGDSRQHHRLSDQGTLDLQKGTVELIDMLRESIFSFFVDPPIDDISALFSPV PPTPKTPASSTNGYGNGNGQLTPSALRDPRFNYDANNLPPPSPKRGEAWEKHAFWPPW SNSLSGVYYLGKLLVLVGTGASEMASVSPVGNGDGAALDRLKAFVGEARERCVIAICA AWNQDAANIKVLEDWRRSPDKRDLTRMPSYFGSFESAILTGMQKILYISEAMAKSDST DVVFPPPAKLLQMVRSQFVTTLYKSLSGMVENAERPVKAADDDWTTDNDGLASPVAMV IATSIGAGTVNASDRNVRMLLTLSNLSALRAEIVPNLTMQFENAFAVKLTEETKTIKD VLGQIDARLFQSYTRPSVETLKTTIRNGIASPEWSPSSNEKPQEVRPYVYSSLLSLVL VHTQVSTTASSLTSQVLSYLLEQASRELLEAFKIRSKYTLSELMQATLDVEFVAQTLS QYTTDRASDMQSKIYQELDAKTDNEARTRLQSELPEMRAVLKRLREGSRSEFACFKKV RVRERNPAAGQGQGTRESSASGGQAPNGGQMI LY89DRAFT_689618 MLAVRTFAPARRQCLRTAARAWAPTSVQSRRQYATDFSEKDKVA KFHGQKGSDGLYTVSLIEGDGIGPEISQSVKDIFAAAQAPIKWEPVDVTPTLKDGKTT IPSETIESIQKNKVALKGPLATPIGKGHVSLNLTLRRTFNLFANVRPCRSIAGYKTPY DNVDTVLIRENTEGEYSGIEHVVVDGVVQSIKLITREASERVLRFAFQYAEDVGRLKV RAVHKATIMKMSDGLFLGTAERVSKDFPSVEFDAELLDNTCLKIVTDPTPYNDKVLVM PNLYGDILSDMCAGLIGGLGLTPSGNIGDECSIFEAVHGSAPDIAGKALANPTALLLS SIMMLRHMGLTDHAQRIETAIFDTLAEGKSLTGDLGGKAKTHEYAGAIIKRL LY89DRAFT_596334 MVKNPARKNVNTGSFSSHKYEAIPSSDDQDLGRDFRYSVDLPDN HHVLLGEHLKQLQKRNKKQLIMTTLIALSCFAFFYLAILYVGPTNGSCDTVEEGYVCD PKITHTWGQYSPYYEVPSQIATTLPKQCQVTFVNMLSRHGARNPTSSKTAKYEALVNR IHSDATSYGDDYAFIEEYNYTLGADDLTFFGQMQMVNSGIKFYKRYETLAKSITPFVR SSGSDRVVESAMNWTQGFHATRLQDSKANSDDGYPYTIVTMSEADGTNNTLSHGLCTD FEDGYDSTISDSAQATWAAIFTPDISARLNKNLPGVNFTTTDTIYFMDLCPFNTVAND LGTISPFCSLITEDEWKAYDYYQSLGKYYGYGWGNPLGATQGVGFTNELIARMTDTAV HDHTSTNSTLDDNPSTFPVGGKTVLYADFSHDSDMTAIFAALGLYNSTAPLLNTTYET VQETKGYSAAWTVAFGARAYFEKMNCVGYNEELVRVVVNDRVLPLETCGGDEFGRCRL SSFVNSLSFAREGGDWDQCFL LY89DRAFT_689620 MASFSLQSLLIAGACLASSATAINTQLVGTWSTKSAKVLTGPGF YNPVNDSFIEPSHTGISYSFTSDGYYEEAYYRAISNPTNPSCPQAIMQFQHGTFVENS DGSLSMSPFSVDGRQLQSNPCASSTSTYTRYNQSETFEKYQVYTDPYTKMTRLDLYQF DGSPMNPMFLAYSPPQMLPTVTMNPTATATASGKKAKRTAGLGDELEEPLNKNAMHIK REAFERPLLHRIDLNMLWWAGVGMTIFGGAAYLL LY89DRAFT_596183 MASAAVLKAAKKQLRTLMKQKLSSIPAESIDAQSAAIFKNIIRL EPYQEAKRICVYLSMPMGEVQTDAIVRNALSSGKQVFVPHLHKIRNPLPDTPRSVMDM VDLRSLLDYESLERDSWGIPTINAETVGQREHILKDSEKTKEGLDLILMPGVAFQKDE SSGFVKRLGHGKGFYDYFLHKYRESRGSLLEEPSRDPGTDVLLYGLALKEQFLENTTE EVPVGEHDSLLHGLVIGDGSFLECASK LY89DRAFT_539226 GGGGGRKVLLPPINFIFKLLQQHSTVQIWLYEQLAIRIEGRIRG FDEFMNLVIDDAVEVKQATKDKEESRRQLGQILLKGDNVSLIQSL LY89DRAFT_258493 MVLMATNGSGPMGAFAPVLNAMSTMRDGQREQKKVAHQYLESFQ KSAEAWQITIGILGSEADAEAKLFAATTLRGKITYDVGQIPADSLPVLRDQLLQNLKS FATGPKPIRIQLCVCLAILAIQMTEWKDVVPMIVSTMGNNAESHACILDFLRVLPEEV TEGRKINLTEDELQQRTQELLGDNAALVVQLLISYAQSSASASTNPQLLEVVTSWLRE VPVADIVSSPLLNVVFNALDTETSFDAATDCLCAIFKETREVDEYLPTIQVLLPRVIA LRPRIAQAQQSEDTDVFKGLTRIFAEAGEAWVVLIAREPAGFRPLVETVLECAARDID KEAIALTFIFWYELKLYLILEKYIQARVEYVDIYSKLVDVMLKQLEYPTPEGNETDLF DGDREAEEKFREFRHHMGDVLKDCCEIMGVTECLTKVLEAIKAWMGKYAGQATAASVP YWQQLEAPLFSMRAMGRMVDKDEDIILPQIMPLLVQIPPHEKLRFATIMVLGRYTEWT SNHPEMLGPQFTYIASSFKTDSKEIVRAAAMSMKFFCTDCKHLLGDQVVQLQQFYNST LDELPGISQEELTEGVASVVAVQPPDQIFDLLKLYCDPLMARLMALANQATDNDSKLA VADHLQLITFFVQIVTPYVEPGHQNPAVRYCQEIFPILATILENFIDFTPICERICRN WRYMIISYRVAMAPLLPQMANKLASGFATSKQGCFLWVTSAILREFSEDREHVDDQTT EAIYAFFESQATNMLRMMSDLPPQDLPDVIEDFYRLLVDALLYYPHKMIRSDLFTPIF QAAVSALALEQRDPLNATLHYIRDVIGYGGDNPPSSSNSPNPPEIKHAVQQLLLANGE MLVKQILAGMMITFPDDCFTDGSGALLGLFEIMPQQTGEWVDRTVRMLPAGTVNETEI NRLMTGIRERLALGTDGMRKVRSLLQDFTNNYRRRYVAPRDGLGRLEAERFHFNA LY89DRAFT_710683 MGRKPNFVILQYFDRGQKLNDSSNRYEHTCKSCGEHFPKGRSDR MTTHLGKCTALSPAERQKAVQACNNQQQLPDGVELRNGQLHLRGPTVDLPIAPRNWTP LETLAEASRQIGLSEKHDGPAGSGGRSSEPPRADRLELQEQYTLDNPPVSYEQRVQGR KFNEKLNRRESNHSLPPMSFHPQMVDSRSSSPNPNLAMAASSMAAAARFVNAMVDPQL LNEGTSAPEGLPGNPVEQTMTEATGAYSENPFQDSPVNQHNLWSILDMGNPYHEAETV DKGVENNELPRTTYTQIAMNPAAVAQHEFSAEYTNGERPQKHKVRGRFTAARRKEVQE VRKKGACIRCRMLKKPCSGESPCVTCASVESARLWKAPCVRTKLSEELEMYSSNLHVS LAYHETNRVKNLVSFRQSANSIEASQYPAEGIFATFNALYGQQMQTERNVDPDLGAAF NPSIIRILDENNDDLPSKLEAYMKRISPVFYANEQSHFMRVTLATAHALAMQKEDALL SRALELWSIVHILVDHEMSWTISERTDEEAEAGRGPLIDQVANGITFNVICLQLNAAA EKKAATICKSVLNDLERRLLQRTSDNAFETFLTAIVMLNCVEKSTWLYKSWEQESFQP RWPLDKTPEFYASQGDKLTNMLHMLLKMRSVPPKTFTQKDGLLASEAAPAQEYFEQLQ LKFVDVLNAQANHSFDPSNPGCYELRFCSQLLLPSQ LY89DRAFT_787400 MRSESSPPARRQIEGLSAPSTCASSAQSDTALPVSDAPESQTGA QGTRQRKKKSEGLNATPLSLEAISTPGQARQRAQPRALDPNIQASPKHWTRPPKPGNG PPPRRADNHGSVAVRRGADSRRVNNAQRAVVAVAETLQQVTLENSYPGPTAFSKFLDL PAELRTQIWGWVCSMPRLLEVEFVDGDKRHFHHRLAPRSCSPPAVMQVNHEAREEAKK YYQSTVFTTKRIKDEALGCYPTRKTFETWYNPLADVLVFNSHSCMNTLIRFFQTMRLR SVSISRVAILASGKVINCHDPNTIHADDPYEDDLGYDIRGGCTVMQALHGIHRSVART DRVSGVKGLKEVFFIIPTYLMVNEPGSLDASIWFRPACSDGMAPGQIVCMKDLERDIK FVRHGMPLRLCGPLDNWTRAKRSVRKDPKDEKESDGSHTAHEQAGIKPAEGEESTVGN HLVQNPEVQYHNADPNQNQPPTFHFVSLSPAAKPGLAFGAISVRNADMPKLNCYDWAF MRKIEELAKVHVKVPEEDYKTQVMREIGFYGKPEGVAKAQQMVVDKLAYMGEKTFTQR YSQRVKGYKTEGKVAYFGAGGSLATAAEKY LY89DRAFT_787401 MSAERVRGETPIQQLEQEVLQIRKFRETMGKPGDHNLEASERAA LECLRILKQVQKDLHGCTCGECLDGLISPRMKLALKVRSSMINDTLVMENHGKRWMEW QSHNFSPVDPDIQKLFRRDADLREAYANVFMAISSCLEDGSVPYTSNILWKGKYSNYP IAHFKGLGDEVGSALGHCFRAVQSQDEDGSHLEAFKENIENLLKCENDSDFERVPELC GLDDGTTWG LY89DRAFT_258589 MEAFMKKGQNWKEDVQFMFAKPGQLNPRAAEEEQDGMSPKQVIA SIAGDRKKAIDFWRALGFRRIANSEWFATAFDALHVVHQLAAEDDFDPREGVQLDVDD DQKALGALPSIEETPVTPPTSLREINLTLKDLGDHEPLSALSDEESDDDGDDDDFDED DPFPWDPNRVTSEAKAYFQSRGIFDH LY89DRAFT_740074 MSKRKLDKFEMVESSAEKRTRIAHAGNPPHGSDDDTSSNDEGIT SAAASIDIDPADENEDEGPEDRGELEVTEEEYIIPYTMRTSITEEECSEWTQLIHVQA MHKGKEIGRADGRYIDRDQIREYFYDAMDEPSQDTLDLATELFDTRGRLKSELRAGEG SGIWGNEMNTGGLLLLQTIHVEKAW LY89DRAFT_787404 MLALFNGAQVFLSSSALLTLSSFVSAAQTSFQINGHKYSVDNII TRDISIIGGGSSGTYSAIQLRDAGKSVAVIEQTGRLGGHTQTYIDPTTGTPIDYGVDF FHHLEVVTNYFARLNVSLTTSSTGASGIIQDYADFATSTLVAAPTGNLTAALGIWAEQ IAKYPYLDYGFDLPDPVPEDFLLTFVEFAQKYNLSALLPFAWTFTQGVGDFRKTPMLY FLKGIGPEDLSDLQNGFLTTALHDNSLLYLSAQNVLGSDVFYNSTILDVERSNRNGVS IIVKQGSKLTLIKSTQLVVAIQPTLSNLAPFDLADSESDIFGKFKYTQYVTSILNNTG IPSNVSINGIDPSLEFSIPAPPALYGTVTTGLPGLQHAYYIATDPSTTDSEIEAAILS QVKNIQFPGKRSSNPDIVVSSNHQPFTLQVGADDIKDGFYKKLYALQGKRRTWWVGAT WHTHDSSLIWRFVEGLLPNVTAAL LY89DRAFT_656116 MALRDLTNSLSSLAIICVLTITLVLYYIILAVLSPLKSLPGPFF ARFTRLWYLKNVWDGEFDQINIALHKKHGPIVRIAPNEYSIGDAEAIKIIYGHGAGFI KAPWYFASGNADPYTKDLFTDRNPKTHAQNRKKVAALYSMTNLTSMEPQVHECVGVLR EKFSELATSKSPQSFDLQHWFQCFAFDTIGLITLNKRFGFLDNGKDKWGLLAALHAYL EYVSHVGVFSELHPILYRIVQKFSTSSGSSRLREFTNEQIMQRLAQLDVEKQDSGDFL TKLLSMHKQNPVEFSMSAVFGTCLTNIGAGSDTTSVSLAGIFYHLIKVPQAMSKLTEE IDQAYLTGKFSSPPTFAETQELPYLQACIKEGLRMHPATGLPLARVVPEQGATIAGQF FPGGTIVGVNSWVAHSNTTVFGNDAGIFRPERWLESPGRSSYMGRYFMSFGSGSRTCI GKNISLMEISLAIPDLIKNFTFAMANPDQPLKTENRWFVKQKNLHCRIWLREDKKEH LY89DRAFT_656117 MISLFQALPSLSASTVSTVVILLLVSSYTIWASRGFRFSSKSPK RLREGYPIFGALRFFTARWDFFQQARAQTPTGNFTFFVGKHPVIGLTGEKPRQAFFQS RDLDFTEGYAVLFGQSPADAKKADDVHVPDEDSNFTGPGGFFNKRMTHMISSASFGRN LPKLLKDVRGRLDDLKNDPTGLTDPFKSIYMTIYQLTMRMVGCDDIADDPELLMKTLQ YYEKIDGSATPIAVMYPWFPSPAVVKRTYAAGQLYMIVNKIVEDRAKTGKKRDDPLQY LIEQGDSMYRIIEFIIGALFAGLLNSGINTAWVLTYLANDAHWRSEVRKEVESVAAKY STNRDAPLIDQLAEVPFPAWESEFPLIDFCLKDSIRIQALGTAFRKNVTNKSIPIGTG DEVIDPGAIVTYHLGDVHLDPEIYPNPKQWDPSRYLPEKAEDKKKPFGYLGWGVGRHP CLGMRFAKLENNVIIAMFTAMFDYELADKAGKPVQGTPEVDFNGKSAMKPRVRPYVKY TSRD LY89DRAFT_700842 MRFLHSKTLEFHEFPNHKEIEYAILSHTWGDEEILFQDISGFTI PTTPPTIKQKSGYKKIEACCAQADSDGFEYVWIDTCCIDKRSSAELSEAINSMYQWYR DCIVCYAYLTDVTNDLEAEAQRDKFKASRWFTRGWTLQELIAPHVLEFYGNQWYTQGQ KASLGTKLSLRNEISDITDIPLPVLKNAQDLNRRSIAQKMSWAASRITTRIEDRAYCL MGLFDVHMPLLYGEGDRSFVRLQEEIMNISDDETIFAWMPNEEDGYIQGLIANSPAYF KNSGNMLTDRKEGSQRTTPITVTSFGLGLELFLPETLRILDAAQLDKHPRKSAWISAD LY89DRAFT_626874 MSELRYDGQVVVVTGAGGGLGRAYALAYGSRGASVVVNDLGGSF KGEGNSTKAADVVVDEIKAAGGKAVANYDSVTDGDKIIETAIKNFGRIDVLINNAGIL RDISFKNMKDQDWDLIIAVHVKGSYKCARAAWPYFRKQKYGRVINTASAAGLFGSFGQ CNYSAAKLAMVGFTETLAKEGAKYNIHANVIAPIAASRMTQTVMPPDVLENLKPDWVV PLVAVLTHKDCQETGSIYEVGGGHVAKLRWERSSGLLLKADDTYTPGAILKKWDKVSD YSNPEYPTGVADFMGLLEKSMKMGPNDKGETLNFKGKVALITGGGAGIGRAYCLAFAK YGASVVVNDLMNPDDVVQEIQKNGGKAVGVKASAEDGDAVVKAAIDAYGRIDIIINNA GILRDKAFTNMEDKQWDQVIAVHLRGTYKISKAAWPYMLKQKYGRIVNTTSTSGIYGN FGQANYAAAKCGILGFSRALAREGAKYNIYVNTIAPNAGTAMTRTILPEEMVQAFKPD YIAPLVVALCSDKVPEPATGMLFEVGSGWVGRTRWQRSGGHGFPIDEKLTPEAVLKQW EKINNFNDGRADHPENGNDGLKSIMANFENRKGKGASKKSEPSGENAEILANIEKAKK AESNGTEFKYEEKDAILYNLGVGAKKTELPLVFEGDENFQVLPTYGVIPFFSAETPYQ LNDIVPNFSPMMLLHGEQYLEILSYPIPTSGTLVSHAKLLEVVDKGNAAIVKSGITTI NKETGKPLFYNESTVFIRGSGGFGGVSKPLDRGASTAANKPPSRPADWVSEDKTTEEQ AVLYRLSGDYNPLHVDPAFAKMGGFKVPILHGLCFFGVAGKAIYGKFGKFNNIKVRFA GTVLPGQTLVTECWKEGNKVIFQTKVKETGKLAIASAAVELLGEEKGKL LY89DRAFT_689629 MTTTAAINPSKPPIVDDKSPHCIPFILTLLSQHLINNPSTPFTI GLNGIQGAGKTTLVSTLSSLLSSPPHNLPTLVLSIDDLYLPHAAQTHLAASHPSNALI QHRGEPGTHDMDLAASVFSALKAGAETRIPCYDKSLHNGAGDRIPESEWRTVNKPSEP KIAVIIIEGWCVGFRSLPPSSIQHLQAQPSKTLAKHKLENLLFVNEKLEEYEVINGAL DAFIHIDAAETGWVYEWRQEQEDALRKERGMGMTREQVERFVDGYYPAYELYTEPLRE GIFKGKEGGEGRQLRLVVRRDRRVKEVIKV LY89DRAFT_689630 MASRFTQLQEQARNGTNSPVPRSGVIPGREDAHQLKFKHGSVSS TSKKLPGITPVPVYTSNPPAYRTTYPPAQYVPEQVSSFGGGLDDSTVASDFDETITSD LAVPHHFAEDDETQPFEDEEPYASQQAEYQSYSQSQHPRLSVQHRLQHKQSQSPMIEQ NAEQELLRISGQFGGQKHGNLVVRSLEDPTPSRAKRQSRKRSRSGDHNAQPSIIVEER SSQMDDVEEIMPQLPESEVLSENDESEEEDAPTPNPSPSKNRRKKEPLISQQTPRPVK PTEAPKKDPIVPDYTDAQLRKMTYAELENESWEKIDDKKTFQLAKPLRDTSALEEKME HYAFKEGQDAQVAFYGQLSHSDWENGGDWFVERFTDLMNELKKKRIEKKAITQKYEAE LSAREKSVRGKSDNLDREFKDMRVGGEGILKGKRV LY89DRAFT_723598 MAESLLKALGSEIDDPEEEAFLLFSQSIPSQDLGFVDPKATSLE LTINGRDLTIHQSPTLLSSNRGGGTTGAVVWKITPLFASWITQPANLLFKHGILQSTS TVLELGCGISGIIGLSLGPTVGCYTLTDQDYVSKLLSQNLEENCQTSMSGSRGRKSSV KPKKRAVKAESISDPGNIMYKPLDWETDEVTTSLIGVEKKSFDVVIACDCIYNDALID PLVQTSIDACKLRLEDTDNSCPTICLVAQQLRSSEVFEGWLKAFHERFRVWRIPDEEL IDGLKTDSGFVVHIGVLRA LY89DRAFT_740083 MSLKNATVQPKIYHKPPFSVDAPGYKQVDGETIPRRHPLTVNKL AERPSEDIVTIFDIIKRSSEKYGNAKALGSRKLIKTHHETKKVKKMVDGKEQEVDKKW TYFELSGYEYLSFHDYEKLILQVGAGYRKLGMNKEDRVHIFAATSAHWLATAHAAVSQ SMPIVTAYDTLGEEGLKHSLVATKAKAIFLDPHLLPTLINPLKEATEIKYVIWNSQNE VKQENIDKLKKAHDRLTIMSFEELRKLGEDNPVDAVEPGPDDLCCIMYTSGSTGPPKG VPLKHKAVVGAIAGVSVIVEPYLGPGDGLLTYLPLAHILEFVFENACLYWGGTMGYGN PKTLSDTSVKNCKGDIREFRPTVLVGVPAVWESVKKGIVAKVNSGSAIVKNMFWGAMW AKENMLHWGLPGVGILDAVVFKKVKEATGGRLRICMNGGGPIARDTQKFISMAITPMI NGYGLTETTAMGALMDPMEWTDNALGNIPGSIEVKLVDFPDAGYFATNKPNPQGEIWI RGCTVMDGYYDNPEETKAAIAPEGWFMTGDIGEFDQNGHLKVIDRKKNLVKTLNGEYI ALEKLESVYRAATVVANICVYADQQRTKPIAIIVPAEPALKKLAEKIGVEGNGVEDLV HNSKIQDAVLKELQQAGRAGGLSGIEIIEGAVLADEEWTPQNGYVTSAQKLQRKKILD RYKKEVDAAYARNN LY89DRAFT_258787 MLYSWKALVLLIAAHTIAAINLNVSDPGSIRDAASTIAYGMMKY YTGNITNTPSTVAVLPAPYYWWESGAMWGALLDYYHYTGDSSYNNVTTQALLSQVGPD WDYMVPLHQKDEGNDDQAFWGFATMSAAEKDYPQPTIGNYSWVQLTENLWNTQVRRWD NTSCAGGLKWQIFTFNNGYDYKNSVSNGGFFQLSARLARFTGNQTYLDWANRSLKWSM DIGLITPDYHVFDGTDDTKNCTTQDEVIWTYNAGIYLYGAAVMYNYTNGSAIWQQHTE GFLNATANFFSPYDNSTNIMYEPPCEPVNTCDTDQFSFKGYLSRFMWGTTQLAAFTTD AVTTLLSTTSQAAAQSCSGGTDGVTCGEKWYVGDWDGSFGVGQQMSALETIHGLLVGQ SVPPLHSNQVHLKAPETTTTAPVPSATTTSAAKPSKNAASPQATGVMIDWASIIAVLG IAAGSEFAS LY89DRAFT_258814 MESPPSKKGSPTLHDEAPPVQQEVPPLDQEAISIHAASPVHAAS PTHEEVPPIHEEKPPIHDTNPRPVSEAISGTISIDDAETDLNLDDDATTTNRNSVIDP TLDTKERLPTPAPPAYETLAQKNPKATDHLSITIGPPIIILFDIVVPCIIYYVWFDIH RSRWADQCKAFTDTNPNTPCNIPKPEYDSEILGYAIISFGFGELYILVARVWRLLKYR EQCAPLLSRSKWELDATSWVYGVAMICALIPFVVGSSLEIPELYLYSPGFLMAFLAVL MLVTLIPVKTPYGINSHARGTTIRPFIYYAAEDFIAVDGLQDREFRVRYNARYESNKS FRRMFLWLTLWWITGVLIYLGCLSAIIWNLEFHYAFGLSLGVLFGYIAIWAIVSYMWV QIEMEREKKQYEENAGGAIP LY89DRAFT_740087 MSSNHTNNGTMELFSPRAMAENRELAFNVIKLPVELQHRIFDQL IVSQSQNNRIIDLAGPKDTDPQQWDTFGALASAHPQLQASIEYWMEIQYHLERIGPRR EICDPTTIKFSLDMRHWNDLPWDFSKWPQHLKLRAQVKAEGDQLHLVWQAPDVLDNCR HVQIRFRGTQNGKAGRGFGAVQRVLRILPKLPNLGTLEFLHDGSDPDSGPLLSQRPEE DTGSPSSNYTIQELWEDIWESQEYCHRKRPCVCCPVAPQVIWGTIGAGRVEIDFLGDS PRVWIPGFFSHRESPVFTRKQRQAWWDRMEEVEMRWMRIEKEEEFRQRIVKGARAQYE KDRRLIEAKGIQTDDRSRFIWPRMN LY89DRAFT_760173 MGFTLRPPRRHSLSTATGSFQKQFRPLTQKRVDQIKAAIGSVVD RYEDVVPILPKGVNGVNAYELQDLLTNVPEPDIANIEKTIRVSCNYANDDDSSRKDSG LCVVVNYNFWASLRIGRDDELVEALKAEMVEPDNFFDFKYILILVPIIGGLENHLSLV AISPKNKTIDYLDSSPTPFRNSGDEAEIFPRVFNFLARYLGRRFVWPTWKIRRYASAT QPTKNFECTAYVLANATCLAFGYSLIYDLYCNMLNRRQRAASELLNGGLGPYTGDSSD ALEFANEFTYEFGNEDEKPTSQIGFHELEEDIVKTLPLAVQNNRGTYRDVTDIQGLLS VAALVHDDTHMKILRRWCEVPRRNLIDCVGMVELFDRRLLQGGQRDTEEDVLDVDLRG TPRALKSMFNIHRLKNVADLTLLSGTLSGPKGREPINKYSKTHLQLNYYHIKLLTLVS RKTRDFETCEGREEWNSKIDSEKEQLLRMKGSVVRIKSDWQAHV LY89DRAFT_710694 MSPWGKMLLNARKQPASFKISIPEQQLSEFYALLKLSHIPPLTY ESAHEDQKFGVNHRWMTNAKEHWLSKFDWRECEKHMNRSSHFKVPVEDRTSNTTFDIH FVALFSANADATPILLLHGWPGSFLEFLPIISLVKSHYTPETLPYHLIVPSLPGYAFS SPPPLDRDFRVEDIARVMNQLMLDLGFGSGYVVQGGDIGSKVARVIAVEHEACKAVHL NFGIMPEPQTADPSKYTALEKTGLQRGREFHRIGSAYALEHATCPSTIAFTKFLTWSD PTSTSSLDTILEAVTLYYLTDTFPKAIYPYRQLFTPGNIGAHENPIWRINKPFGFSWF PNEIAPVPRNWVESTTDEKLIYWREHEKGGHFAALERPETLWGDLKEFVDQVFA LY89DRAFT_596455 MPGAESVQKRGRPRKKVDETLEAPNQVLKSILTPSKGRTPRPKK SVVFDKTDEVDLGFKDIDAASTKKSMGKAVDEPEAASDAEESPGVEDASPEQAEKGDS DESDDEFCKICMGLKSTKKNQIIFCDGCDFMVHQKCYDVKEVPEGSWFCKDCHPDGDE ELSISQLAPEAAIYLPDIEGFAEHLEHMQRVLLDRLTGQKRIKLCGHDEEMQKVHQIV EQTVLAGEGNSILVIGARGCGKTTLVESVISEISKDQRENFHVVRLNGFIHTDDKLAL KEIWRQLGREMEVEEDPSNRTTNYADTLASLLALLSHPSEISESSADQTAKSVIFVLD EFDLFTTHPRQTLLYNLFDIAQSKKAPIAVLGLTTRIDVMDSLEKRVKSRFSHRYVHL SLPRSIPAYWEICKQGLTIDADDAVAEGFDGDSGQDEFLSFWNTMIELYSKDVNFKTL IESQFYRTKSVPGFFTSCILPISSLSTKKFKLDSLAFTSNTLAVSPPDSKLHTLQGLS ELELALLIAAARLDIILDTDTCNFAMAYDEYSSLTSRHKIQTSSSGVNALGSSAKVWG RDVALGALERLAEYDLLVPAGMGAGVKDSGIAGRMWRVDVGLEEITGSVDSISTVMAK WCREI LY89DRAFT_787417 MSNIKADPALAAATARPEQFEVTNAPRIDEPEIVEAAPEEHPTD SHELANADHEEKGYAQIDHGQTEVKDLGWNESPAEVPNPLVGGLQNEELWTLIRRFNK QMYHVKKLPEPPLGGLDLNIADEEEFSPDKLRANIERLYMTVVVGLVGFWKHIARLRS WRERNRTIAFAAVYFIAWAVDFLVPVIISFLMVLIVYPPARTYCFPPAPIALINSKTG GIKKPTAGVLGSDNSLTGAPEKHQGEAVEQEARNFVNSFTSIAISSAAGKHPQGDPHG EEEGASSLEDTAPDPTNMALGAAEAKGKSEGGQPNSVHDKTKEPMSAAMWSKTRPVMH AIADISDGWERFGNALSPTAPFPKEKPRLKLAACLVPVLLISLFTSSYMFMKMNGLFV GFGFFGDPLIWRGLSYLNREFPHWQKLLEIRNTLLKGVPTNAQLTITLLRIGEANKAP IPPPPYSGPPPPDAAHETAGQNLEHLEGVPDDEMAQAIHPDTAVTTGADTAPKKKQHG RRILAAIKGVTKGGVETIMGTDRLKAAAGAEHAKNRLGVLRSGGPRPAGPVQFPCRYK GKKGHAYITITATTPALSWTTEKEDIDPVFSIAIEDVAEIKKVGGLGWKTKLVVGWAT DREIADGILIIDKAGDKKQLTAIALREELFNRLVAMGAQMWEAW LY89DRAFT_258873 MRQDGRRLAPTSTKCRLTRLDSAAFYSSKTLKIHEPAHFKNFFP AKSETCQDGTSTARAILTNLALYLPAALIESISIVCISHLTDAWSSSEVRDPGSYFLL ILLQPRVLPYQDTDRQDLTVFTYNFPSLLKPRSHFCTLRYSSLFLALPFRTATVEVSK IHDTFQCLRCKSILRLSEQL LY89DRAFT_258857 MDGESGARGGEEEERSGSSTLCRGGSGCCLSRATARHGRVRERG ERRINCTEGRGGERCFVWRAEATALLVGVADAIYFPYCYAGSGTLHLVCNSFRTAVFL FWACKYAAAALRARKISQLSHMMDGDCGELEEGQSTCIPDVILERKEGGTTAVPFGCF AERESDTKDYQSSWLCCEGVEHSLVIDDAHMLCDGIPYLCGRVRKGFAIEAQFWHVRV STLEIDFIR LY89DRAFT_258849 MLAYGPLSLSLSLSLSFFRPCSICTALHQAFIRQRLILPSSPTP ERGDQKVASQPCSAAAKLPRQQGYCFPAVRPSVCPSVCPYFFLSVSTVPWNEGFWRRR RSTYLPTYQVKGEGRGEGGCKAGRQGRSGSSLPRAQHACVRKRVREKREKRVAAGTCP VEGGTAAGASTGEILFWYLKRRRETLICALHGVYLEGG LY89DRAFT_596352 MAAVSMMPQMNGGMHGGDDRQDLPRPYKCPLCDKAFHRLEHQTR HIRTHTGEKPHACQFPGCTKRFSRSDELTRHSRIHNNPNSRRSNKAQQAVAQAVENGM QHGASMAQMMPPPNKSMSRSAPASAIGSPNVSPPHSFAQYNSPMSSGLNPYVRSLGGS PNNGQNLDINLLATAATQVERESTLATHHPHHGRHHPYYSHSSHSSRNHLPSLSAYAM SRSHSHDEDDHYAHRHAKRSRPNSPNSTAPSSPTFSHDSLSPTPDHTPLATPAHSPRL RPYGGGYDLPGIRNLSLQHTPALAPMEPQHLDGQYHANSTATSAPRTGLTISDIMSRT DGTQRKLPVPQVPKVAVQDLLTPGDTGFNSSGQSSTTGSVAGDM LY89DRAFT_258962 MRAGGSLVGDVFLVLYGFGRIRAKEGLPRGGTVTYTGGGCVSEG AGVGSGSGSGSGSVQGCQGLRLPRAAGTRSLSSQARTDGVYVAIASVGECGALGGRWE RARERLGVAWGGCGLGMWMVDDGMGRLGVLSGLPCLGCVSTRKIWPSWAAKNNNIYSV ED LY89DRAFT_259503 MAGRHFGERPDMLARSTRCMEFSVLIRGAGGKFPAPQSRYRRSR ICLWWEPRFSTKKYSVLRTCAETLRICGYLIHERGNKELEEMRKGVRGNSEKWREARD KLLRHVGYSIYHVIGNSPLSAESTCLLPLNFQGCPELRWLFFSDTPVSVLRFKQASEN QQRKKRKYPHASIRYITSLKLLGKWDHESAAHLPSLATESPLRTAKS LY89DRAFT_740094 MVLLAIATLALSVSALPVNPHVENLTARKNAYVDNVTSRSAKNA YVDNVTTRSAKNGYVDNVTARSNVDADTVTARSNAYVDDVYFLRRFT LY89DRAFT_689647 MSLGDVFGVLLEEYMPSIILAAVAVLLIIRQRLAIQLDPREPPI LKPRIPYIGHIIGLSRYHGAYFDKLYARKPMPIATLPMLNGKLYVITDPVMAQNAFRH KNLSFDPFTLEFAQRMLGVSDETMVPVSFAGDEKNPSFLSEFVKEIHNAMSGQYLHKM NADALNGVAVSINGLGKTFETESLFYWLRKTMTVATADALFGSHNPVKSDSDLVQALW DFEGSMLGLLLNIYPSIIFPKAYEGRAKLQTALIKYYTAKHDLEPDVSQMAKNRAALY RRKGISDTDIGKFELALLNVSTANAIPTLFWQLCFISADPNTTATIRKELESMISITT LDNGKREATIDITKFDVHCPVLVSSYRETIRLANAQIGARRAMEDTILTDGKTEYLLR AGCDIQLPAGIPHMSKAAWGPDASSFNAKRFLSPEDKGETSAKARSEDREQKKNYIPF GGGISLLRRSWVPWQLCQWVSMLEVVMED LY89DRAFT_675218 MFPKWRWEAVSGMTASGQELIRVERAVYYNSRGTESCQTSSTLS LLRGLMDLPFELRSPVYDHIASMPRTIDIFESDKISGGISTTDTLRQLSNAHPRFEED IKVWLKTRPALRKLRSGEIFDATSVSFLLDFDEYFLKAICTSDTEMLKNINWTKFRNT LSHPTFNENAQRLVIDISKFHKSIDNSILGAVFPILSRYLGKLRNLQNIQGFGKAR LY89DRAFT_596647 MEAIGVASSIAGLVSLADLIVVKISRFYSLAKGAQAEIKELLLE VQSLYGVLNSLWLLARCLEQNHDSSEDDSASAPRMEHFQTCRKNLDFLTKSLSKFDLD QSKTLAATRISLKWPFKVHEIRDLVEKIVRNKSDLSDALTADGL LY89DRAFT_656146 MKALSTQQTLSETVQDVQIKLQRMAEEQAENEQDEEKKEIFDWC SRVNPYEKHRNSKALRHPNTVLWFFDTPEFKKWSQNPGSAIWLYGIPGAGKTILTSAA IEEVKTMATKSSRHAVAYFYCEYRLPETQVLSNILGSLVRQVCACSSDAYLELEAFYS KCNEQGKQPTLPTCSEMEDLFKRLSQYFECLTVVIDGIDECSEHGDRLDILRTLSTLT SHEDGNIKVIYTSRNEIDIRRHFESFDSISIAARGNDLELYVAATIETRMQNKTLRMR DPALKEIIISTIVSKANGMFQWAKCQLDHICMLSTDKERRRALDTLPKDLFETYRRIL LRVANSTESNKRLVKRTLSWVLDAEQPLHVDAIAKAITIEVDTDYLDPDDIPDEDSIL KWCSSLIRKDEKSGIIMFSHFTVEEFLIDQKLQDDPELSEFHVNNSDDWQQTIAKTCL TYLNFSEFSRWDLTDWAKTEEDIDKDPFLEYAAVYWPVHARGEIQDTCFDLLCQLFAP TKSNNFVLWLQIYWPDRARGKAVPKAASTLHVAASLGLVEVCAWLLDQKVDINAQDPV MGTPLLSAILGLSNNLERMWMKRSPLVSMLLDRGARGDLYILESWTSPLKQNKKRRVT AMSSVLNIALWNRELCAAIFKDLLHHKAFSPFSESPFWLNSTQAWTVIPRPPATPRSL PMRSRDDLVEKALQIVVNMFRDILTDPLSESIDQPSVNKMISYVNLHGKSPSDDKLFT EISKREAIATSQHMTAEFAITATESGQTQVLETFISNGADPKLLSECLPAACRLGNTH LVRLLLGYCPSNDTIVRRYTRLSWIEATLAGQTGCLRSFLDNGFDVNTSVTHEMTGIS PRSGSALAFAVCNGILESVVFLSGVPDIDFEITIEGCNLLHSAVEAPIHRKDILEILL QKNVDLSQCTKDGKTVLHSLLRNGHAIEDEDVALIRSLILRGCNPQHVDEQGHTLLHA LLNRPHIFFALNLGNVIDLIDVERHMKNCTDKKGVLPLQMAVRGRAQDMVIRKLIPDD ILLWNPPDYHGSSVLHALVMPGLTENPPPPPTQPSVLPRKRVPGPPPPPPFISNGSLA DDTHILRILTILLDFDRVNVNIKNERGDTLLIALAIHCVESSSHKRALCLKLLLEHHA DINACNAKNWTATHYLATLGYQAGMREVFGYNPNLLMLNDNGYSPLHQAICDGRLGSV QIWIERAKTQHQHCDEFRRSFEQPCSRGFLPIHIAARHRRTDIIVLLHDMHMVEDVNI RVESDGLTALHLAATTDDVASTKVLLKMGANIDALDKKSQTPLHIAASCGSATVAKLL VENGARTDIKNETGMLPWMITTPANQSLKTALEMAARGASAEQKEESSAIAGCSEAES QLAQDVDPVAELFKESIASRFLHVGPRGDETSMLEAVLKSRHYACLNLLKAGSNPDQV LNDKGETALHIACLKGSPGSWQILNHLLDFHATTAVKDETGDTALHNAAIKGRFSIAE RLLKSGADIFARNDKMRTPLHLAAEAGSILTLKCLVDHVRNGSVPQQKEVAKPVDKES LESNHEPSGQVPSQHIRPIIPICSSALSRLLEAKDDERRTPLLTALCHGETEAAKYLI EQGANVDVITIWRRDALWLAADCGDKELVDILLSRGLDPNTSSYCFNALHQAADTEGH KEETVTEIALTLIKAGADMDSNNLMKLSALHCACNAGNVTLIKELLTRLPADEINVES KVCGTPLYCAAFRGYVEAVKILLDAGADINIGWKEESPLQAAIAQEHKEVIELLEDKD DGSTVTPTSSLHGSETSVEQELGDEISIISCDTAGS LY89DRAFT_259608 MALTSILVLGPTGGFGQFLVPELIRRKAEFQRIGAFTDTTRPQS AEKTKILQDYTTQGVEIVEGSYGDPAAFRGFQVVIACLGNHALKTQPAIIDTALAAGV THFYPSEFGADLTVGDNWNERYYRDKVLTRNHLKTVAAETPRFGYTYFINGRFTEWAP IPHFGINLKTHTAHIVGRPEMEQSLLATNDAAKYLVCTLLDPPTEQERTYRFLGGNYS WTTIFSTLEKIQGVKWNVTYKSVEEARENQRKAIESGDVDAELAASHQVIQGTGRTLL PGPYDNVKFPEVKPVTLEYVWTEMLKDEARYFSLMGL LY89DRAFT_760184 MAVEHVVFRALIRRGRSSVQLNFILITILRYATRARRAFTSIRD VTARYYNPAKSADMGTTGLGTRRNTKKFRQKYLDKKAEAAASAQATSSPKGSSSKITG LPDRTGSSKIPSGEASPVKLIEEVNSLAARRRKWQNSELSFAAKPVTKSTELNREVYV DSNFFKLQIDSKIQLFKYSIIVEDHVTPINVEGVRRVKKETKSFLTREYLTNNRFVNV PAHKKWALDYDLVIISVGPLYTRSGRDLARAMMLSSNLGGQPPRNGRVAPPPLSVRVE DLGPLDMGHLVKHVTKKEFAADLNDELKALDIICWKRSTRKATQ LY89DRAFT_740099 MMLSTQCFKGMGTVYLSPLILDVYRGSSTAFSINAGGSQNKVWY PADQLTIIPWQIVKDTMEGEDSKAMIDDSERLPQVNKNYIMNDGLRILGLNPLNPFYK DFGLSFKRSAPTMIRLNALLLLPPKIIVLKDSKDINDRPELTDGGWNLAKQGVQYRNV FMTFSISVFGPEQLLLYPDLWVSKHKPRASIPEHYLSTA LY89DRAFT_760189 MSATNSRNLVSLPTEILFMIYDNADKLSRRILRKISTAFMAIRN SVFARPRRHHPLNQRERSAESTANNEYACFSCNEMLPNWYFADQAISGKKGRNKQNIE SRFCIECGVSGSPGYAKGKWFYVRQKRFVLCYQCGNLGKFGRNLKEARAKAICESCNQ GTESKSTVEMLEEVFAEAQNAGDGRNFGRNARQNQERSRHAPIYDEYGRPLDL LY89DRAFT_596444 MTYDSFLPGNQSWKEIASEKRCRNMVKTPKDWILPESVIKKSQA TRSIAGQFIEDLLDEETLSITKLDVPEIVERTSNGSLTAVKVVTAYSKRAAYAHQLSN ILLEIAFDIALERAKEIDEYFEKNQKPIGPLHGVPLTLKDQFHIKGLETSMAFVGWIG TFEGKKGTGKEKNTESELIRELYSLGAIPIGKISAPETNNNILGYAWNPHNQLLSTGG SSGGEGAMQALRGSAFGIGTDLGGSVSMPASFNGVFSLKPSFRRISFKDVANTGTGQM IMPTVAGIMGHSVLTLRLVFKALLSTEPWLYDPYVLQVPFRDYFVEETSNLSFGFVKD DAVVTPHPPISRALDIVEKAMLAAGHETIPWEPPSVNESIAIRGPIARGDGCPDVYEA IKLSGEPIVPEIRHLFPDGNLQPPMNLVDYEKVVVHMKSYRQRYHDYWSSTASKTSSG RPVEAIILPVSPYAAVLPGKFFHSPYTSFINVLDYTTIVIPITFADKKIDVVPADFMP LTDTDRLNMEAYDSEIYDGAPASIQIVARKMEEEKLLAMAQVVVDALEKYWQSEEGKR HPG LY89DRAFT_710700 MFVGADCTHPRQSAKSCPSIAAIVATTDDTSSQYLGSARLQPSR QEYISDLRSMMTEKIRAWYLKTADEEKPGTKTERRLPSTIFFYRDGVSESQYGMVLHE ELQQIRLGCKDWYDKVKDHKANNFSSNFKWKYIKIVFFVVIKRHHARFSPQITTSRDI VTPNHVNFYLQSHASPKSMAKSSHYVVLQNNSPKDYTLKQLEDISHHLCCVGPHLLCG RLRCYMKPALDNKYPLDENARSKHDYENDEKISHNDPEKIRKSPWKASLDNVMFYL LY89DRAFT_259698 MILTQSSLFSSLVRQRFLSVSKRTYYSVELIVRLPGTDVDTFGC FQNFIYTGHVYDKAGGKAIPEYPLLMNIWKLATHLRMAALRVAVLDAMAERRQLTSYI PGPPLLIQAWKETEEGSGLRIMLIRWTAEHMRTSPEARTSFAKSLPQEILYELVLTLS DLSAGPIPATQHHHHPQHPQLLLPAAQPVHDLEPPRPSTKRSRKTDVGPHPGPDDAFD IKPIKKQARRSEPARRNTNNSRSAAVEPAPLTPEKELAFCRDLITRMVSGPGFWTRLV AHFKHPVDPVASNMPNYFAVVKRPMSLMVIKGKMDRNEYATSAEFLADIHQIFQNCYE YWTNEDQVFKDCERLQKYFNEQWDDRHKWVSKIKAEVID LY89DRAFT_259688 MLQFLTNLTATTSSCLIFRALCSGRPANFIELAFSKVPHLHLEE HTWQDIEDFLHTNIRTVTDHLPPDERRDRIIAEIVPEVVGKSEGVFMWASVVVEDLLT LIAAGREEELYEKIKELPPELESLYASIIAKIPPRSRHHTYNYLQLQVSAGHGENAPH NLLGIMLASFPPEQVRTAPSNIDRWSDDAKIVACHRTRRMLRDNCSGFVKLPHFNPSW SKEEQVNRFCCGEVYVHKSVKDYLFNKESFKKVWSGIDQKLLIHSHLQRVSFCFHLLK VDFVTRYQAVPRIWRNEDSVLVAVPKLFLKAVSVGEVDEKLDLSVTWLLALENLVRTK ASSLTEIVDFYDATFVLEYRNFERCTNDPPFEAWNTNMLCLAVSYGLIPYIKAYVHRN LHLRKGRPLLHYLFGAYVELSYDTFEPVAKILHRHGSRFDQVFNGRTTWEYILIHMQF GVYINSWERDGYDKILILCLEQGANPNQKINLPT LY89DRAFT_559850 EYIFMEDGSKVHKGYARLPRLQHNIRGFNWPPSSPDLNPIEKVW RWMKEELKNLDYVPKNKVDLKRELQKLWDRVDPRDFRHYTEQLTCKIEDVIKYKGMAT LY89DRAFT_259734 MIKSLHIYGDNPRYAMIEQRHDDTFHWIWNNREDGGPGFVEWLE GEDGLYLISGKPGAGKSTLCKYIESCESTMNLLQSNTSSRTFLMSFFFWDLGQESEKT FSGLLHNLLSQLLVQIPELVPAVLGRFQRLNKHVSVSANRSSIWNDSELQSAFKDILQ LRVSSKS LY89DRAFT_689653 MPLKLLYDGKGADQSLEPEIDIIAVHGLNPRDKSDDALQSWIRN DHLWLRDSLPKAIPASRIMLYKYDSSQVFNADRSPFIAEATAFLGSLWVKRRECPSRP LLLIGHSLGGILIKQAIVNAHMDTKYEDLRNSIFGLVFMGTPHYGSTSQSKTMFSEAC AKIIRTLNTSVADGVLRAIEENSTFSDILQDHWRSKLESYRFISCYGTNDNVVPYEYA RQSLSDSRETVIKRTSDHVGICRFDPSDDTDEEEYEELVVNMKSLCEDVLRAGASHLD PFKPMIRST LY89DRAFT_596755 MSTLCRPPQSLFKFPATLRSIAGLRLYATQTGLGTSSATHRPRR KAVTPFNDDGRVAWGDLTAGEKAARTTQQTFNFGFIFLGAILTGGVAFVLYTEVFSAD SKTRVFNRAVDEVKKDSRCTALLGDGKKIIAYGEPTWNKWARARPLASSLRKDQYGVD HLILHFNVEGPSNKGVVNLHMIKKPSDDEFVYKYLALDVKGHQRVYLENTDASPNSPA KSKTKFFGVSWR LY89DRAFT_710703 MAEGMASKAQSKDLFDKLKREPANKICFDCQVQNPTWTSVPFGI YLCLDCSAHHRNLGVHISFVRSTNLDQWTWDQLRMMRVGGNELASNSIPKTKDIKTKY TSKQATDYKTKLKELAEEYMAKQGTNIVTTDGLKKDAPTQGTSTPKGDPSKAFFDSWA EPTIKRPTPPISRTATPPVVGRTPSPFLNAGASNGNGNGIARTASPLANAAPVASRTT SSAALRKTTTGAPRKANVLGAKKTKLGAKKVTGDVIDFDAAEKKAKEEAERIAKLGYD PDAEVDSTPKAAATKTADLPKIASPSPVSPGRSGYGSKTHERTNSELERLGMGMGRLG FGQVGGSKPAAAAPKKMGGFGSVGPIKATQEDDDEKYARQKFGAQKGISSDEFFGKGA FDPNAQSEAKSRLQGFEGATSISSNAYFGRAEDDAPAEDYGDLESAAKDFVRKFGVTA GDDLENLTQVLGEGASKLQGAIRSYLNS LY89DRAFT_787429 MRLSALFPMACAIVAFVLSMLCLFAGHKPGFMEEYHIVTLNTST LGHNLIPTTTTSSGSTPTATSIGSFFSSIAHNISSTIEGDLDGIIGDVADKLAKELGI KQWYSLHLMDMCEGTYAPNATEKGASLNVSTCSNQTAMYHFDISKQLNQELEVGPLKI NLSDIDWPSDIQSGLNDLNVALDATFVLYAIGIAAAGCAILASLVAVFLHGSRLISFG NWGLASLSFFALLIASIIVTVVQSKATHIINKYGNEIGVYAYKGGKYLTLTWVAVALM FLATMAWVVEFCVGRRNKTREYTEKPQRTRWGKPRASDEAAFRRAGV LY89DRAFT_626921 MAYNQPQHGGAADAYYAEGQQQQQPPYLPPQQQQYAPPQGPPQQ YTQAPPQYGPKPTQGDGNEKMAFEQTFKLERPKYNDIWAAILFLLTLGGFVAVSGLAI HGYAAKGSGGIYDGNSSVSLNSNTLILVAFVLVVAFVVSLAYFWIIRAFTKQIIWITG ILQIVFGIGTAIVYFVRGYYVPAIVFLVFSVFYIICFISWIPRIPFSVLMLQTVIDVS KNYGHVFVVSILGGLVATAFGAYFSVTFVAIYFKYYPGSPGCDASGGGCSKGRVIGLL AFITFAGYWITEVIKNVIHVSISGVYGSWYFCANKPGGFPKGATRGAFKRSITYSFGS ISFGSLIVALIQCLRQACSIAQKNEAAQGNALGAIFFCILQCFIGLLDWAIQFINEYA FSYIALYGKAYIPAAKTTWTMMKDRGIDALVNECLINPVLTMGAVFVAYLCSFLAYLY LTFTNPAYNDGNAFTAVIMAFAFLIGLQIANIFLVPIKSGVATFFVAMAFDPQVLINE FPDLWIRLVNVYPHVQQAVHV LY89DRAFT_689659 MTNSRGRRESDSSSEGFRDVLGAEKWYIGGRTATGEERYFKLGV VRRQTSRDRLSLDRLSL LY89DRAFT_740109 MSSDFHGSTGITPPKGPASYSTYKSPYGPKYTVNANVAGWTFKS ASRLGMTLGAFGGVAGFFALYFFSDVPKVRKDIWQKVPLIGQHFVKEVPPSDNPF LY89DRAFT_740111 MNPLNFLACLAAFLTFTVLSTANPLNVRTGGVLTVAKDGPPAGY TFGKLTYHTHCHAQVKAQYPEFQLPVHNATKFNELKAAATKAHTTLATASGVDRRSPV FGGDGWHAYCNPVPGQPWLLANEMNLDYTLYFWQGVISEYYTINGMTCALWDCNLGAG WTVCNGGGDTQTLTEDSMTYYPFILINSCGSFFKDFKPANGGQIFWESANLNYIAAGN WNGCWGETFM LY89DRAFT_675235 MDPDILVAWAAAQAVRGPSTASSEGTFTLFTKLPTEMQEMIWVY AVPFPEVLEVRFKKMSCGQEGEHESNSMGIQACKHSRAVAKTMLPKTLPSATTGVEIR FDPEKTAIRITNIVGITAMFWDKFCESGQNENFGLTWLCPNSYLGQNIQRLVVHENRM WRGAPKDDCWLDSQYAWVHTFRHMFKDVEDIICLIEKDDPEYTFDKESYDGEFDTDPE SCDEDEDDEEEDCDENDDNVKERGVAQVSGKETMIAETTGRHQPIQSTSNEEGGNLTQ AHSNEEVEISEETASPRRWLHVESWHSDDDRVVDLDRIEKLINDFWANVIDDLRDKHN AFKEMRGIGQSLAKQSNPPAPGSAKLGLNATSEVIGAVSTLDGLVGSGVTIEVDAQES GDAEDAAEEPSYPRVVPVIFFHEGSYDDGLSLLSLPFPPVRSRCNFADLFGGHDC LY89DRAFT_260260 MVLSRKLLAVVGLVGLSHGQYVNTTSSAVEVQQNNYKSTSSSTP WKSSTSSTPWKSSTSSSTPWKSSTSSSISSSSTPWTSSTTSPGTCAASTITVTSVQTG ECSPVTETETCTETITSTETCTETVTASFTVTQEFYSTITSDIYYTQTQTDIETSDIY YTQTEIETSDIYYTSTVESDVYVSVTYTATDVITSDVYYTVTTASENDKYISVTETDV VTSDITDTAVVTSISVSDYTTTDEETTTTTAWSITTQTDIVTTSFPYNVTITDSYGYT VTSTAWSTTTTTCVEVYSTTIPTTTTAIETDYITSYITTTTTCIEPTTLRSEDLDQDS SESAATHANWMI LY89DRAFT_689663 MFRSLLAAGLFARLCAAQQSGWQTGQVNATMCYWDSPRAAVVRD TLYIDGGALWWTPGMSDGTYGPPTSDDNPLGIVYQLNFSTPFNTTAQTNISNVFTTQS KAAAGGAANNVAPNYYDGVMMANDYEWFIYGGLITQTAEYTLPDSQSAAAYEVYASGP PKQFKSGYILETLTNGLTRYVTNGAGVSVPSENLGFYFGGLRSASFGPIYYQTGNETY NADVLSDTMIELDMTVQTQETWKNISLPTTVPGRINAELVWVPVSEQGVLVAIGGVVD AEYAELDTVLDANQTADTKLKSPGLMNTVAVYDIATGSWYEQPTSEQNPGMLTQGCTV MASAQDGSSHNIYWYGGWQGIDSTQPFNDDVWVLSIPTFMWMKVSSGTTGHGRAGHRC VKPYPDQMFVVGGYAPLTGTIPQCVSGNIIQVFNLSTATWITNYDPAVWSNYTVPSMI SSMIGGTGTGSATHTQPSPSGFANASMTGLFGAAYNTSKITTWYPYHAAASTSPPGNI TTITPTPKSGSGTPSYLGPVLGVVLGLFFLTLAIVAFLIWRRRHLFRSSAAGGQSENG TMDNRRWVTNWLRATPADAKAPTVTTDETPVTPYPYEEDRQEMAEVQGQQVFEMMDTS RLVELGANPDTGTGLHPIPMAQVPPHSPSPSSPRSQNLAPSNSITSTTTTSTLSRPPV SPVLQNSPRLDGPGQGREKFISGMSQLSDSDRGHLRGISETSVSTEGGGGVGFVTPLE TPGALRGLGAAGAGGGEGRGEGSEGMVPTKVPTPPLRGTAVSPLTPPQGTGREGGDYL NASGGGSASPGAVTRRRSNFSEELGEDGR LY89DRAFT_723625 MSRSIVVSVDWLVVLDYETKERNVIRFVLFPDIGLGYNHMVPLT LSTIPPLMDAPWNYDESGSPKDTELMQKSVDQKDYIAAVRQEEDRSDGNSRCLSEALE HSRKR LY89DRAFT_760222 MQVKMAYDFTPEQLAGMLEFIEESLEAELWEIPKMNLLLLTLMR AFDPSPTYRPMSVHLQARSRLQIRTNDLNCPDPLLQMAKAAELIHPGRSDTIVTLCMP TNIQQFFDASYDTRNLEEIWKKAIRRNAKVTGTKMLGRAINVAMFYLRKELASPTFVE NLKTMTDHELIEHFADMLDKEDIGYIYSEAWKAMSMIYVWHDGERPDAADLTAVPPRA THGPEESIRRDLRLYTKLGAGILIRHVLVDVVMKSVNDEDITPSSSTAG LY89DRAFT_760226 MTDKNSSSCYRYMLWETDNPKDCEGQYIWLGPSPVPAWTPESFT GFGDWVLMTNCPSGRFLDCPENWKCDSDTELGQPSDTIPASTDFEIQEPVTITSLLAA SEIHVVDIYTGVDGHLTTSSETRFVSGGISTMTTVVPLQLTAFGTPGGLICIVQNTSP ISTGSLAPDQWSNQYLITTTISSTNFLGSPPTPTQTIADALSNPTRLFTTVTPETAPG SLSGVQSETIITLFNLAGILTGTSIIELDILTDSSGRPTATVTELSETPRKSNSLPIQ TPTTALNISLVLPKTSPITSLETVINSNGMPIETLTVIFETPSNSSGAPAKTLEEFTA TGAITAPSFPKETGGTMGNLSHISSPLLSIPIEPANYFVAMFVPVLMAAILSIFIQMV DKNLKLMLPFYALTRSGGAAVTDSLCLVPGGFAGSLHSIRLLFRNNEPISFLCDVLVL LTAVLISISSEAIGINLTGVCDLNSFKGCSMFFDVFDGPSRAVEYLMVIMVAVIICIC ILLYSMRSGVAFSPWSIASIASFLSEEMSELFQSLHLESRKGIEMKEMTSRLEERNYA FGFYFGSRGIIEYGIVLRPGKQHPPLPSSTSGPEGKIPKESSHCFPKRLIVEYGFRVL FLTTLCGLLTLIVYYDTVRLNAKTNSFERFIDSQKFGVRSFFVACGVIISFFWDDMFS RVGRMQPYKDLSHSPRRSNKAISASLRPTVFPGFWNAVRNRQVFTSIVAFASILSKIT PIFLSNIPFRITQTWTTHKLCAWTTITILGFMILVLIWSFFVKDPQMPVDANTIAGHM YYLYDSSILKDFQGTWRLSEKEWKEHLKGIKKNYKHKNKVGSSGQPKIGIYRDGTLDG LY89DRAFT_656175 MDDLGGYGPIIIVTLWTEAAIALVFVSMRLYTRCQINRVVGWDD FLISFSCLMLIPYATAVTVACLKGLGRHSDELTLDHIIYGVRAEVIGQTFGIVGIATS KASVAAFLLRITIITWHKWVLYITLLSVSVICFLCALFDYIRCDPVDSIWNPTIVARC WMSADGFTTLSLVAGVISAAADFVLAILPWFILWNLQMKRREKNLIASSMSLGLFAGA CGVIRTLNVKRVSEGTDYSYETVGLILWNSTELTVTILCATIPTLRPLIAQLLRLCSR SSTRRQSYRLSSTPPKSEAFQSTTKSSVVAEQRDVERQREPDVRSDKSILGHRGENSE SSIVCTDVVYVEFEERAEPYTPSSSNWSKRGCQNWEMI LY89DRAFT_787439 MTEFVAWAKMAFQSKHEYSPVEVDETFKATQGTEKRSSQRNFMF ILVVAATAILSSMVTSLVTMHYYGPTISQFSSSPEIQTSTQSPMAVTPKYSCGNSSTE ALALGCVFDPLSVNWIHTECSHYGIEEFKEITDPRPNMKFPFWRDKAGTTRIPDEHAL SLSDEFHTTQAWHLSHCAYIILRLHDGYLRGARIDSNTNEFIHTQHCLEMFVELILKD EEKDVINTTGNVGFMTC LY89DRAFT_260461 MRFTQILTTVTLVFGVATFATPIANRREVLAERLYRDPSADDAT VEALAKRLYRDPEAGDATAETLAKRLYRDPEAEDATAETLAKRLYRDPEAEEATAETL AKRLYRDPKTDDATAAE LY89DRAFT_596526 RSLHGCWTCRLRKKKCDEKHPRCIACSSLGLLCDGYGPKPTWMD GGKSEAEMTGRIKQIVKQTTQRKKIHRMSKRSARSQPTCFESSSNSQYLLSPLEISAS SCQQLAVEQTNLFNCMDIKETTLFMHYFDQVFSNQLRFFGLSSVNTDRGWLLSLMTQT RSLYSGMLGLSACHKQSLLLQQTQTYSDNFTYSLLEKYVVDAYKELQMSVEQSGKCFP KDLKATIEAVACIIQLIFLDRLKACSDSQIHMKTASSLIQSLPRTFFSRPGTSPSLID TPTTELDLQSFLPDNSIQYTDSGISFQDVSAIKFCVASFVWFDVLSYASINLDITRTN HRQLLEYHDIQLEQFMGCENWVMMVIMEISILNKWKLSRERAGLLSTIDLARRAIKIE TLLKSGLERMVKSEKPTSSFEEHWDRNWVSRRTATVTKAFAYASRTYLHVVVSGAIPE LPEIRESVSQTIEEFKRMLHPLEVESLTWPLCVTGCMATEGQRDFFADLMSSAKITES SSGQTWKAFQIVKECWRLRNTDSGNVDWKSAMDSLGYRVLLI LY89DRAFT_787441 MSKPKSTEPFPYTYSSLDEEQQIREPDLPKTRLKWILTCLLSTI LGALVAYGVTHYRFRFDVRLDIHSKGVFHEISLIEVPWYSDKRYTTSDPSDPNGSVWD GLDPMGQGWIEVPNWEELGLHPSEKISHYQVSMYHQLHCVAILKSKFLYVDSVLEGDG QSEKTDYISHVHITHCLEYLRQAIMCAGDTTLEPFTPDLGLDISHKCRDWDAIFDFAT IHRSTNNTGILG LY89DRAFT_596531 MHSTKLTNLLFVAFSTLATSQTAKLTSVPNFGTNPSSLTMNIYV PNTLATKPPIIIVPHPCGGSAQDTFSRVATNLPTYADKLGLILIYPATPTTSACWDVR SNASMTHDGGGDTLGLISMVNYILTKYAGDPTKVFVTGSSSGGMMTNLLLAAYPDVFA AGAVSSGAPVGCWDGTTPNSIGGPYCQAGKTYSATQWGEFAVESDRGFNGTRPRFMTW HGTADRVVAYVNLGDQLKQWSSVLGVQWTGNVTDSPEKGYTKMVYGDGTRMVGYSALG VGHMVPFHAEEMLEFFGLL LY89DRAFT_596308 MKKGGLLEIDTTREITGAEHDLGFWAAVKQYPTAVFWAMFFCIA VIMAGFDAQLVTSFYALPAFQQRFGNLYEGNWEISAPWQTGLGMGNPIGQVLGALACG WPLEKLGRKLTLAICCVWSICFVFVQFFSTSIGMLCAGEILGGLAYGFYVVIAPTYAS EICPLALRGVLTASVNLAFVIGQFIAQGCAAGLESRLDEWAYKAPFAIQWVWPVILLA GLPFAPESPYWLVRKGRKEDAKKALEKLSSSKHRPDLDSVLVMIEQTDLLEQELEATT TYFDCFKGANLARTEISIMVYLIQVIGGNPLIGYANFFFEQAGLSSSEAFNMGVGNTA LGFVGTCLSWPLMSYFGRRTIYNSGMVLMTVLLFIIGFLDFGRSHSGAIWAQATLMDI WTFTYQMTVGPICFVIISEISSTRLRARTIAITTAVQAGASIIFTVAMPYMLNSDQAN WRGKAGFLFGAISLVCLVWCWFRIPESRGRTFEELDILFERKVPARKFKDYNLLAEHD ETAHLSA LY89DRAFT_656182 MATPKGLFSPNVISADIAASFPESYIIRPLEREDYHKGFFECIQ VLTETGDVSEERFCERYDWMKNQGQGIHYFLVIEHQNQIVGTGTVVVERKFIRNLGNV AHIEEIAIRKEHQGKKLGLKMIQALVSVAKNVGCYKSILGCSEENEPFYIKCGFEKRG RNMGQFYDDVKPS LY89DRAFT_689666 MAPHANTDDVDHDIVRLPGPERPPFSTSPPRLLIIGAGNRGNAY ANAIQESTNGILVSVVEPIEVKRRLLGRKYIWGKGTPSEGQEFRDWKEFVAWELERRK RAENGEEVPEGVDGVFVCVQDQMHREVVVGLAPLELHVMCEKPLATSLDDCVAMYRSL VSGPEAKQKKIVSIGHVLRYSPHNMLLRKLLVEEKVIGDVMSVNHTEPVGWWHFTHSY VRGNWRKESTSAPSLLAKSCHDMDILLWLLCSPPPNSSKPAHQPSTISSAGSLQYFHQ GRKPTEAGNATNCLSCAYEPSCQFSAKRIYVGSQMGSRQEHFVSIVLPEIEDCVAAGG EEAGEKALLERLSEDYNASTPASEVSSKNWYGRCVYEADNDVCDNQTVTLTWDNDPIT SGGETPVQALTGRGAKIATLHMVAFSQKICQRFTNIYGVHGEIYADSDSITVQDFRTG QKKVHYPSVPKDGGHGDGDHGLSRQFVLAIDRVKNHGEELEEAQRMYIGCGVEEIIRS HAAVFAAEEARKGRVVVDFKSWWEREVEGRLKSR LY89DRAFT_723631 MLNCRRSPSNSLITLDSKKMFTKYILLLALLNHVSISLGQLAMT SEGCADPSGFDSCWTAATNEATSLFAKYCTTGECTDENNCYTPDAVCAETTTCIAYTQ WINCALSTCWNRVYGCEYQNLAINAVENCPIATELAPYVPAPHDGPGYCSCNMGYVYL AHLNENRAGAGETYRCNNNIAALQAENKCEPNCIQESQTCNCCGASSAVSTFFNSCPT TDPSQVPIFPLLGYSSLLSSTCSSALSGTNCTALPYNFTISPGPTYDPSIQNTFFDAA TLPKNGSDPLSDNAGGLSTPVSGVVLTWSLYKGTQVVASASVTTWDGAAAASSGASGS ASGGASGSSVVSSKAGSSTTSAGSGGVSSGATAASTGATATATAKSGSMRVMASFEGN SVKLLVSLVVGLVFL LY89DRAFT_760243 MDFIIVGAGCAGLVMAIQLRRRLPNSKFMVLERASSLGGTWYHN SYPRCAVDMPCVTYSMSFDPSQTYRQWFPSQGEILQYIHRLASKDNINQHLQFNVEVV DAKWSDKTKIRTVIYRDTRTLELCEQQTKLLISAIGQLVEPSYGDIQGLGHFEGDVLH CNRWDDHVKLKGKNVVVVGNGASAAQVVPSIVQRVRSLTQLIRTPQGYSERKNPTLSR FTVWMLKNMPFFLRAWRHWNFLKLESRFTQFRNTPQGEDIRRKNMQRCQEHTKATAPK QYWDLLIPDYQASCKRVIGDFGYMASLNAPNLTLMRDPVVQCVQAGVVTQSGKHYAAD VIRWKDDITYHYQTTAISGFPNFFMLYGPNSAPPNMSAIYCFENYVDLILKLVAPVLD GRVASVEADSQAEADFVERLRTTLDEGVWSSCKQRSSDPKRNVYMYPCSNTTMFRNTH SQEEKAWIYHK LY89DRAFT_675250 MCCTSRWCPKLLTRRTFNSGNEVDPNYDVTSTQHPTRTGYAKHI VVGTTVRSLCPKKTGCISLIFSLVTVCYSDKIDGRGNCTGGLVVACLSLEIRFLMDYV ESMNGHIGGGGQEEFVSEREVYDGSSFPQLGQKLFELQDYITSQKPRTLKDVWNDHRD PHQAFTSWAVVIVGHCTRTTTSGFVYVAISIHDPACNISWQPSLAALSQMTHQQTTSL NFKQLKVFRNNTLNES LY89DRAFT_596176 EEVLDGQTRFYRWHMDIPCYETLPGKVTLIHGVVIPKVPDQKLV FEEQSVLPIATGAIVSGALAFSLLTSEEQVFALITTVQYAPRPYEWIRDCKAASDGIT VAQVGD LY89DRAFT_700872 MQLLTLTAATWIASTLVQCHPGHDIREEAEQRGKLLENSIRDIS HCSAELQAYGIERRTIERRVEALRAEREKRGLPTHPSIIKVRENDHFMVTRDVPKPLN TSHLSNVTHTSHDPFSTVFAGNKTCVLVPETIEGPYYVSGELIRSDVRETQKGVDLVL DIQVIDVSTCKPASNVMVDFWHANSTGVYSGVVSPGNGDISVGSNINTTFHRGLQATN SEGVVQFTSLYPGHYIGRSQHIHVATHVNGTILPNNTFQGSTISHIGQLYFDQSLSTL VQATNPYNTNTQPVTTNAEDFLLLSDAAVSDPIVEYSLLGKDVSDGIFAWIAFGINTA KNGTIQAASTYGEDGGKANPNPMMCGPPGGFPSGFPSGFPSGFPSGMPTGFPSGFPIG GGPGCPSASSTTAPASSSSGIKN LY89DRAFT_656190 MHTSEIIAGEPHLGQKPPSPAPSIDKSILQSKSVPLTVDALTFG ASAVGSFNTAPRPDDPYRYQTGFGNRFASEAIPGALPPGGQSVPQRCPFDLYSEQLNG TSFISSRQTLQNVWLYRIKPSVAHYPLQPMSQNPDIEACFSPHNSNIQYTPLSYCWGP LPLPTDSEKVTFVEGLKTMGGHGDPTHKEGLALHMYTANTSMSKTAFINNDGDFLIIP QVGRLGIQTELGRMIVRVGEVCVIQAGIRFKVSLPDGPARGYIQEIFGTHYELPELGP VGSNGLAHPRDFETPSASFDIDSSEWKIILKLTGKLFSYTQNHTPFDVVAWHGNYAPY KYDLSKFNAIACGMKEQLDPTVYTVLMAKSKIPGVYLSEFAVFTPKWLNTQDTFRPPY YHRNMATEIGGLIYGKYRGSVKDMVPGGLSCENSYMPHGESYEAFKRASTAELGPELS GEGALGFMLHLSSHFSITKFAMERHPDLKPQKPKFWEDVQGHFLDHLDKVNDILVAVG RPKLGANAPIV LY89DRAFT_787448 MSGLSPSEYPEYAQFIANKKGSTVGYGTKPALLLIDVCKAYFTP GPLDISSYSNAASAPDSMKRLMAAAHAGGCPVIWAKTNYIHPKIRDAGLLAIKNPSLD VFRDGDSRGLNEFLEGFEPDDNEIIIHKKCPSAFFGTNLLTQLHVLWVDTLIIGGFCT SGSVRATALDSMQSGLRTMVVASACGDKSRETHFSNLFDINAKYGDVVSEQESIENLK AGR LY89DRAFT_760255 MGKRLALLIINVCRAYWSEGSPLDLLSNPEAAASPDSMRRLLSA ARKSKIPVIWAQVRYNKGLMIDGGIQYKKSQGCSIWQDGDKRGMDEWLPGLTSEPEET IIFKKNPSAFFATTLTTELQLLQIDTLVLCGVSTSGCVRATALDAICHGFRPMVVGSA CGDRSTAIQNATLRDLDTSYADVVSEEEAIDKMLAGWS LY89DRAFT_260629 MATNLKHPSDGISTSMKSDTEKGIPEPASQELSAIHEESTEIFG QLSIWRKMANYGVELRGVQPVPVEERTDTRYLNVGTWLGASMMCLLPLKSGILGTLSY GMNLRDTFLVCFFFSFLTAIPPCYMITMSPRTGMRQMIQARYSFGYYPNIVPVILNMC SIMGFTMISAVVGGQCLVAMSNNSISTSSGIVIISLISMVITMTGYKIMHLILRYAWM PSLVAIIICVGVGGKHLKDQAPTEPPKASTIISFASLIAGYMLPFGSTLGDYAVYMPP SAPRLRLFLYAWLGICIPSVVLMTLGAAIGATVLVVPEWSDAYDLNSIGGVMDAMLRP AGGFGRFVTLILALSVIANTAPSVYSFSLNFQILIPGFHRIPRIVHVIVSTAILIGVG IGAAEKFTESLEGFLGVISYWAAGFVGIQLTEWIVFRHKDPETYDASIWNNSKLLPSG IAALVALIVPFVIVIPSMDQIWYVGPIAKTTGDLGFEFALVITPFIYYPLRKLEIGKF RGGRL LY89DRAFT_787451 MEFPLSSSYAALFSIAGLALVLLFSKIRGRKSLPYPPGPPGEFL IGHLRVVPFEDSHTAYLNWGKEYNSDVLYFNTLRQPIVVLNSQKAAKDLLDNRGSIYS DRPKFELLKLLGWGMTLTWLRWGPKMQQHRKILQTPFTKSKISQYQNLQLEQTHHSLR GILENPLHWELELKRLAIAITAEIGYGVKVDSVYHPWVKLSDDAGYATSHAGAPGGSL VDRFPPGWLPGLHSVKYAHDNKFAITNIHDIPYNGAVKEMEKGLSGDSFIHNLLYKYR MNEKAGLRNEFTLADIKGSAGAIIIAGSKTTMTTLVVFVLMMMCNPEIQRKAQAEIDA AIGSERLPGFDDLERLTYLNYIKQEVFRIAPLSPLGIPHVNLTDDTYNGMFIPKGSII YQNVYAMHRDESIYSNPYEFDPDRYIPKDQGRRGEPFPNGNFGWGRRVCPGQFLANNT LSLVMATFVSTLNIDWPMGPSGKPTPFTPEWSKIGLYHPKKFQCSIEPRRDNVRELAL KTL LY89DRAFT_562387 ILPPFNEVLKGCRIFFTSCFQLGFLPKAIFFESLATNRDSINVF LILGILSVSARFTKCLVNRYGDATKATDYFINRASELLPNEIFKPSVERIQALFLLGV AEWVQGNRTRSAILMGIAVRMASIQHLHREESYMLPENPTTEDVINSEVARRTFWVLE SQDHLYSEHNAAVAFPLSDITALLPSDEIDFAYGIIPAKRAALGGTKAAIKCPGLVSL PCRSPFATLIQAHNHWGEIARRAGRASRSKREKADQDIKPWEENSEYSQLTRSLKEWE EKVTPRHRWSLINLRGHKAEGLDLAYLSQVMVVRINNIVIRRIYLEDILQSKLGTSSS EYSMPDFWTQMSHELFTNVYSLHESFDTWFSLRSPDEGIPSIVVFCVYICGSLASYLW KWPQLCPELAEGAEAILNRSLEVLAALVDRLPRVSKWLNALQSLAVPIQNQSA LY89DRAFT_596394 MARIFITGSSDGIGLVAAQTLIKKGHTVTLHARNAQRAQDAERA CPGSAGVLIADLSSIAQTKSLAAEANKIGKFDTVIHNAGIGFSQGYKKTEDGLSDVFA VNALAPYILTCLMEKPGNLVYVSSQLHSGGDAQLRDVEWKTKRWSGMQAYSDSKLMNV LLAFAVARHWPDVASNALSPGWVKTKMGGSGAPGSLSKGAELPVRLAESDSKKIGSGG YYSGSGRTEPNSAVKNEETQEEFLRVCERISGVKFPK LY89DRAFT_260638 MSNLANLALDGGDPRMGEKNNPNQYALDHGHETGLRDGFTIEAA QVHNDSSILFEEYLHYAEITRAEERAYEGANVKRKEPFSLGGVIKNRFSKGHQHEINA AVDGNQIVTTHNDWSTVTDLEWRQASRATRTAGWGSIFFLITTDILGPSGAPWAFSNT GYGPGVALYTAFGLMASVSGWYVWGVYMHTDSDRHPLRDFGQAFFRVFGPAMRHLINV LQSLQMIMLVAVLILSEGGKVSQVSIGKNGEHGNGLCFVICLLIIALLGMVLGQIRTL QRFGWLANFSVLTTVLVSFIAIGVAANSEPNYAAMFSSFGATVDVPFGEVNFPNGTVE SHIPIRKFAGTPPYGYASGGVGFLGSFQGINSIIYAYGGAMLFFNLLAEMRNPWDFWK GMLVADIFIYLVYMFFGIFQYSYQGQYTFSSAIQSTTPFNYRTAGNILAIIGGLIAAA LYGNIGIKVIYNNVLIELLHFPPLTSKRGKIMWVFVVPVYWAIAYVIATAVPNIGDIS SLVGAFCIGNFTYTFPALLKLGFDIKKNAMLPEEHFDPVTKKYTRLDNGMKRWWRGYM KTFWISSFNIFYLLGSLVVCGLGCYAAIEALISAFGSGGTTATHFSCTSPFA LY89DRAFT_689670 MDLTSGFSLLRTVFLMAHAVEDIRQELFGISQFGSDFWETCKNI EQFNCQISRTISNQPAPESSIILALA LY89DRAFT_656206 MMYSLIRIISTFSILSIAIAYPSNVFPLRRRHEVTRRGFSQGLV SLLSTSLGEIVDAEVKLGGQSFFVEIDLGSSDLWVVANGYQCIDRSTNAVLPQSSCSY GNSTYTPSSTFSLIANETFGVFYGAGIAQGMVGNETVTFGNITVPQQTVGIVASTTNQ GDGVNSGILGLAYPDLTSAHPGTDIDNTTFLYDRLPYNPLVFNMAAQGLIEPFFSLAI ERTAFDQETGPGGYLSLGEIAPVPHSSKWVSTPVVILDQIPINVTANKRQLSYWALEV QSVYYGSQTSPSKRNSAPTDASHLSGNKTSFNAVLDNGNFNTFLPSAVAEAINADFSP PATSPGPTGSGDYQWPVACNATPPAFGVKIGGEIFWHDARDMIWNNGDGTCSSAIARA EDVALEGVVASFLGIQFFKNVVSIFDFGQNEIRLAARM LY89DRAFT_596356 MAEEIITWIETVLLWSLAGLAVWDSPFSPNSLFRSVAVTTAVAY LSLYLLASLWQNQVTEIVPEPYLDEIFHIPQAQAYCVGAYDIWDPKLTTPPGLYVFAT LFSKLFRNFWCSPYTLRLFNVFALVMTMSYASDCRSLIALIWKPNSTLHISKWIGAGK PASANVLHTSLNIALFPLLFFFSGLFYTDVLSTCIVLRMYRIYLERKGAYTNSHEGLI WMYLTGMVALTMRQTNIFWVSVFMGGLELVRTIKTTQTASIEVVPSPWNWKELVMAKL KKYSNGEIHDIPLGDAGVHDFALCAISIGVAALFRPVILATRLWPYIALLISFAAFVF WNGGVVLGDKENHVATIHLAQMLYIWPLMAFFSVPLIIPVAFSFLRSLAKLLALPFFP KLFWKYFRVTTYIGLAFSATLVIIRFNTIIHPFTLADNRHYMFYVFRYTILRHALVRY FLAPVYQGCAYLVYLTLCIPDAPESSVSLMSKSTMQPKSVALMPKPQEQEGPRTSFVL ILLVTTALSLITAPLVEPRYFIIPWVIWRLNVPSFPTIQAPKKQRQQKQTMGQKITAG IRFWGWEGHDYRLWLETAWFLLINVITGYMFLYGGFEWEQEPGNVQRFMW LY89DRAFT_689675 MSSNNPSAPPSPPPPTQTQPQTPKRTAAPSSPVSLPAGVNLNLL AEYRAQQYRDSLASVQANTTTYTIDFLRIVTTTGATTTTLEIVNIVRRDN LY89DRAFT_700880 MASLPLLLETAFTAHYVLILVVFLPTAWHTWASYSKLADFKGPL LARFSSLWLTSAMSSKRANLQFYEVSQKYGDLARIGPNTLLTSDPEMIIRMSSARSRY TRSRWYSGQKLEVDHDNLFSTIDEATHSKKRAQLATGYSGKDIDGLEAMIDSHVIELM NLIRRKYLSDGSTFRPMDFARKSAFFTMDVITDIAFGRSWGCLIADDDVFKWFESLEI IMPNAIKASTIPWLSSLFDIPFLKKLVAPSEKDKIGPGKLIAVAKEIAQKRFAEENPG RHRDMMGSFIRHGINPTNAASEAILQIIAGGDTTATTIRATTLFVITNPQVYSTLQSE IDSSVTSGAIISDVEARQLPYLQAVIREGARMWVPATGLASKVVPPEGDTINGRFLPG GTLIGKSDWAIQRSKAIYGEDSTIFKPERWLEARGEPLEKMERTLGLIWGHGKNSCLG KNIAWIELNKVFFELFKNFDITIADPSNPWKSLNYGLWVQKDMFVKITQRKMSY LY89DRAFT_740142 MPKKRFTTQYSKPQSTVHPSLSSATSSSSSAYHNDAQPTVNDLI SSLRKSTVSSTSSASPTTITTPTLPPQIRHLLAQPETPAPRPRARDRRRFDASGRRLP AGPAPPRSWLEGSRNALLGMRYRGQQRVYPTDVEHLPGLSAGEGKGRRLGDMCLRNMA RDWEFVKEYEKNNLVDLPSGLRMALLSAIAVYGPDEGVGFEGLKNLLILPQQEDGQIL EFDPEEHNESFFRLDVSGAMGHSLTFKQLTALVQKPTSTSEIDPDLSWEESISRFLSP PIPTLTHLSLSHPSPTISWTRVIAFAKHIPRLTHLSLAFWPVPSLTPISNTTVMASRY AKDVQYGGTNYYSHSLDGDCREAAEVLRRLAGKLHSLEYLDLTGCADWVRALRWVGDG GEGDRGIDWGTQWVKLRTLKVHSGLTLTENSPYSDIVHLIQSNKEAVVTQEMLSWWMH PPESSHRSKRKTWIDVQKDDWRVYSHLWNGGDEEEMRKRSALDSLERIEKRGVSGLVN GTEMGVGTGLGASRWAGPVVWDGEEARVRDAERASVWDQ LY89DRAFT_261200 MSTASERASVEILYGTVQFVVRSLSLDQSVNSYKCTLEPFPSRS AAPPYHFGVRCTLRGFWHLFLFCFVFFCFLFVYSNHLGPGIEGNQDSRTATRKSRNSF FFLYNHPSIARHVSGRKQKPISNLMAGRGAQR LY89DRAFT_700881 MCSQSGNIQDLAAYSGHSPSCIVGSDSEPDSSSRQDYNQPPLPS DMGLRYMNIPATDDVR LY89DRAFT_261238 MPDIKHRLGSYNTSSGLTSLSRSPALPHPTSPITARDIYTSATP HLRRTDHFTRSPSLSGTVRGVPLSPTSSGFTSPISNMDASMGGTPCPPLFPTEVLYQL QTSDGQIIKPEIFGRIDKGFFMADNDWTCYRRNYFSLNCSYTLSPTIPTGSMYVVQHG GAGPQVHNFAMSIAAVVDGKDGKAIELVQHTPKRDKGPQEKPARINLAPRPAAPHGGL YGENSRAGLYGEGFNQNPNQPAVEATFERIQFKNATANNGKRRAAQQYYHLLVELFAD VGGSHASDRWIKIASRMSAPMVVRGRSPGHYQSERRGSNTSSGGPGGSGGGGAGSYTP SGGASRAPGDMSMSGSSSMLPGSGYSNSYDTRSHHYRSNIAPLQIPMEPTLSAEDARN IVESPGYLYCPGAIYEGHEDRFLHLPSMSGYTTSKVKSEYGGSSSYVLPSLTSSQDAF GRHCGRWEGTLESKGFFPTALMQQELNIS LY89DRAFT_261223 MKSDQVASHITSQDQRKPRSGGNLACTMPPEFDLNLLPSPLSPV PTLQHHLPPSPLPHLLYLASNDNLTTTATSQISTTTHSKAQQKSLSPSFNFYLHPSTS TKENPIELLKQISSLHTLQPSMPSMPSIHSLAQFSSNRYLPAQSISVSQSLSIRLFPV IDCPIPESQSQSQAQVPKQYG LY89DRAFT_261483 MSCTCINERERDNTKCRSIYAFSARTDTRPGLFAMVWRALPGLC LSICSKMSRCFFYLVLDTLHLIHISPLLPLPPSLSQPRSLRFHDFVFLLAYLFPLCVC LSAFAFLPTTTTTTYIRSYKPCTACPSQWARLEKMMVVVVSCWRGNQGEGLCGCLEKE LY89DRAFT_723642 MNPFIAPTAGEDIPVDKPYLIRWSPTTPGPVFIQLSYDNNIEAT NITVSTPNTGNYSWTPVDVFGGRNNYFLVICDLNSSQGPCSYTFDGRFAIGSISSNTL QSTTITETSVSTTNIPLTTSSTSATMTQQPLSTSTTSPLTQTSAPNPNPDLSTGAKAA IGVVVLLFVLAVVGLTVLFIWKRRKGASQTQPASSDAKAISEYHKAELPTEGNSKEPV RVELSGSGVGTGYASANVEELATD LY89DRAFT_675266 MSQQHDIETANYSKVLRYLEIIDFGLTGNTSAPHPSTMDGVMEA FSLMAPSMTTPMVFHVMADTKLLYPELEYSEEENEKLMELSVCDCGRNMDEKSRCFSC EKRTCKSCCYSLLDACTRIDEPENNDESHMHARHRSLAAKRVRFPCEECSTDIQLDDG YCECDDVLFGQHHGWDFGAYCKECMPGVMDDMARPNTTEDGFQIVQERGARLYDEYTC DCGEPRREGVQLGPYCKLCWKLCQPYNLAVDTDSEDEEEPDKEYCPYFIEYEEFDHDT PKTVAEFEQEADEKWAQEEARLEREAAAARIRKPDCRCAFCRWSVGNECRGNFPY LY89DRAFT_700883 MPSYLESCAVLLAITPIAASLPAPELMASCNQTSLMNAADAYVA AQTAGQLDPLQSSLAGNWTYQENNNVMNVHNGVLSKALKIDHRRTNLDTTSCASYTEL VITDSANPYVIGTQIHHGTDMQVTMIDSIASTTNSWLFNASKTLQHVLEEKWDPIPLS ARDSRTVIQAAGDAYLDMWSNATASDAVPWGTPCTRLEGSAYTGSGKPTDSCKPGIPS NHTQAPNTHRRYVVDESMGSVSIFCVWEHMMMAADSHEFRLEGGKLRYVHTMTVCGGK PCKL LY89DRAFT_656218 MLTSMKTLRIRGSAVNASHSRSSGFSIRIFGASKLFSPFPGQRH RSTSKEGVSPSQWTQHTLRPNSVSDRHPLVTSKSNEDGAKKRTAYIALGSNLGDRVDW IEKACNEMTRRGIRVKRTSSLWETEPMYVTEQGSFINGACEVETTLEPLALLDALQGI ENDLGRHKIIDKGPRNIDLDILLYEDQVISHERLTVPHAAMLEREFVLRPLAELIPAQ TLNSASPWKGTVDYLNALPPSNTPLSTLTPLAQHIAPIRALQSNRKTHVMAIFNITPD SFSDGGLHFKNSAVVRMQSSEYDLARRLAECVEAGATIIDIGGQSTAPGAPEVTEQEE ISRVVPTIELLKTVFKGPKCPLISVDTYRARVAEAAINAGAEVINDVAAGTLDPEMLP TVGRLGKTICLMHMRGTPATMNTLTDYSPHGLIPTIAQELLERVAAAEEAGIRRWRII LDPGIGFAKTIDQNLEILRRMDELRDWPGLRGLPWLLGSSRKRFIGHITAVKKAENRT WGTAATVAAAIQGGADIVRVHDVGPMAQLAKMSDAIWRV LY89DRAFT_626976 MASPQQLRTTVTDLLKINHPVLLAGMNVAAGPKLAAAVTNAGGL GVIGGVGYTPDMLREQIAELKSFLKDKNAPFGVDLLLPQVGGSARKTNYDYTKGKLNE LVDIIIDSGAKLFVSAVGVPPQHVVDRLHKAGILYMNMIGHPKHVKKCLELGVDIICA QGGEGGGHTGDVPTTVLIPAVVDLVKGKTSPMTGKPVQVIAAGGIYNGQTVAAALMLG ASAVWVGTRFILTDEAGAPKAHQEAVRTAGHDDNVRTIIFTGRPLRVRNNAYISNWEE NRAAEIKELTSKGVIPVEHDFETLGDDIDDDTMDNARPFLMGKAAAVVNEKKSAKAVV DELVSDAVAWIHKGEKMTAKL LY89DRAFT_723646 MNPVNTKPYNLPSDATWLITGCSSGIGRELATLIANKPTQRLIA TARKPCDLSYLPDGNPNILKLALDVTSVGSVNEAFTAASKHFGEDFHLDVVVNNAGYS LSGDTEGATEEEMHQEMETLFFGTVRVTMPAVEVMRQHKEHRGGVIFNISSLAGLAGF PGHAFYHAGKFAVEGWSESVAREMHPNWNINFCIIEPSGVKTNFDGHSKANIKPHPAY AEPDMPSRILEKYVNMGLKSGVGMIEPSAIAEAIFTIASRGEKVPLRLPLGATAWKMA KAKFEGFLSELDAVKEISVIGKDV LY89DRAFT_626981 MLFVFSLLVLPALAQRPSDASLCDYYAIQQFGVNTTTTQFNLVQ SILALAFAGPSGLSKVSSDITGIFNPGTYQSTNVDLGPWFNGSIDSTNVNGQASSVNW IDGGWKAPLTAFLAGKTSSISLIHSSEFFTHQFSAFNNLIGCSEPAPVPPQITRNLAY VHKFMDLNHTEIGHFINQITLSAAYFGFAEEDSDELNTQMNAVFNVRCSPAVGTQLYS LCQDETCPLAPNPNCAVYADLGPSGIISAAPTATAAQFTPTIVVTTVTPSSTSKPTVS LY89DRAFT_723648 MSSSNETIYRGFWTNYSKGEVGGLTLTLTTRNGGILIAVLAIFI QLIGVQSWGIVRFITHQLRATTQARSGLYHQQQAILRNDNSDTSTVWELTRTAYAWRS QSPKSFQNSIALVSIGILHLLAFGATSILASHITTTDSQVLVAQSPHCGPWEAEVNNP LSPVSMIADSYGFLTMQSSGKYVENCLAESASLPECDMFKRLELNWTSTTNQPCPFGD MCLGPANGSLYMDTGYVDSRDDLGINGKDEDRIQWRRNATCVPIKTDGFSTNGTSVVN YKDPHYYGRNNFNYTALFYGPMYGSPSEWGMDDPALQNATYIYTNFYDIKIHSFSSSV APYQVETQIVDETQSSFIPMPALSVPNATLDLAFATYFSCYTEPSDDLWLGAHLNTTV SRLGSNETMEVYVQDKVVSVLACLEQQQICNPTPSPDNESNCTRFRPISHIFEDEELM AVLSNGRQFTMATAILDQVTGSNLDYAMNFVPLLAQSLVQSGISLPLAPNQWILEAEN WFRVGLSNLQRLMADYITGPPPQYWQYIPLDQAKNDTDLNWMCGNQIMRRSDYINFRT LSIFLIFAFGIIIFVVNQTLETAVGSFRVRWKGGRLWRQRAWWADGTLQLQRRAFEGM GIGKWELDEWNRVPVTTEKKTFSALRNWDEMLSPVVGQKSTSSMEKQHSSTTKKINEV VQVVTNDSTTRTEETQMGRTNSGRPFSI LY89DRAFT_760282 MKATQHFQHLIQMYAWSLKEGELNHLIRQGHEFSQSSEFPASLK STKETIELAVMVLLDLYPEGEFLLPHLIFGQAVVSQTQNRQMAILDANFAAVNTDIDE GQTTIRGGFKKSFNEYFDDDTAWEEPELEFTSPSENEEDEDELGESVHSQSEAEDWSR ASRIDTSKVYDNTYASTISSQGLTMKLIADAIFSLPVEELMTILDLMWRRLCSEETPN EFFPHREAMFFLLQNANIILKQGITDEEARQSNAET LY89DRAFT_760284 MYLNTLHLGLAASASFFPGAGNSFGRPNQNATYDYVIVGGGTAG LAVAMRLAEDSSYTVAVVEAGGFYQIENDNQSVVPAYNPEFASLYDPTANPTVDWGFV TTPQPGANNRSLHYARGKTLGGSSALNANIYNRGTRGSYHQWAEIVGDDSYLLENWLP YYAKGTNYTPPNTDLRAANASVPTPVNVSSLYSGGPVHISYSNYALPFTSWVKLAFES FGFQNVSSFSDGWLLGAQYAPSVLRPDSNQRETSETSYLEAAFASGRSNLQVYTHTLG LQILFSENKTAAGVKVRTGTTDYVLSARKEVISSAGAFQSPQLLMVSGIGPADILAQH NITVLADRPGVGQNMWDHIDIEVTYKVGVDGFNTLANLTYAAQQADLFHSEPASSIYG NYGADFIGWEKLPGPYRSNLSSSAVNELAEFPADWPEIEYEIASVVMSGIEGDYNGYG TFVVVPVTPISRGNITLQTSSMLDPPIINPNWLTSETDQELALQAVKRGREIISSSTM QPVLIGAEYAPGQTVQTDDEINEYIRNNFFMNWHAACTCRMGQENDTMAVVDSKGRVI GVNSLRVIDASAFALLPPGHPVSTTYGLAEKLSADIIADRRSEI LY89DRAFT_723649 MAAQVLNLEKLKFSGKSDLQRLLGAHALQASLSSFQNKLQVIKS DQDQLCSVSLNGALEHFLGLVNSISESDTNLLNLVHDEAFDPRQLIDDSTEELIRQTD RLAERMKVTANSFSQQLDKVKTLDADITRFSVSEVARCKTAIEELIQSIKTEINKKSN EIHLANIGYEQTQGKISDLNVSISNATRQESHHETARNAGIATSVVGGVAALGAIGLT IVFPPAGIAAAFAAGASATTAIGVSTVAGVVASKHDDDVDTQRARKNQFLQKAGTQQT KLKRLEAEKRDALGALDNGNSLMNSALEANNRVVDLGFSFRTVRTELFAVRGVYSRVQ NRLKDLSRELEMCGFAETRKELAECLDELLGSLVDEFGVDRSDMTVLQLQNGIAGLVS RTNIIQEALSAHV LY89DRAFT_723650 MTQGQLVSASHSAEELVPWLLKSPSIVQQLGICVTIACTRDVAQ IRLNTDGLPSLRDDLASNLIRIADAGGEAFEAAYSSLSDISSVTKQMSKENGLLDKLE DRVLNRRSQRDATACQNIINRIDTYIQRSTDHGLTTQEDFDKFAKFVKQVKEALLNAQ SRENTKLVNTQRHIENMDMEKTQQEKVFRQSEWEAKEAAVQYNTILERYNGRASWLGN SMAWTVGASTAGAAALGVAATVATAGVAGVAILGGLIAGAISAGKLEEASRGLNVVED RLSEKRRARDALELQIASFEGELKLLESSKDKLERATTVIAKALSLLTDLAKDISQLM IRFRDLNQAIRELVDQYSEGKELAGYIQESIEEGEHPDLRDMSDFREKISDMRKVSVI IRCLSDLYATVIRDVVIPGFGQAIEMSNGNILDQSIEQVIRDKELLMRSYVEDSDLKC RAMAQKSSAMLSEELSRARRLESRSNGGLPVAQ LY89DRAFT_740158 MPQKSQNWDLHRDEIEKLYMQRGFTLQKLQEAMSMKHDFTASTR AWKTKLEEWKLRKNKSRSILRKGYPRQRREQLKGSPASIPPSQEKSPSKDLSIPQLPT DVLYNWTADDPAAIPETSFQTSPSNPVYNTLWRNSIGDTVHQCSHYTSISTLGNSYLE TPPLINSSLMLFSTRPPSVSLRLLANCPEQAPQALEILLRSWKPGGEHMWFAKRFLAD SAYCRTIVGVNWTRWDHTDETLFDLVDQFVPEDEQDLLRKALLRADVKFEHPLGRLNA QWAPTWRLAIRETTWDRAKHQILKMEVRDRLLRCALSVVAGALVRSQETRLELLAMTG ESELFEESRMDKAWILADCHEQGINIDI LY89DRAFT_261997 MHIPYLQGFLETGIALKPWGLAYSIPSTYSGTIPLLDLLKNTNR VGQRLPALHPLEERFRLAHDVATAIEYVHSLGWVHKSIRSDNVLVSWLENHQKFPEGL GSAFLVGFEYARHKTAISTGERYDDWTNELYRHPERQSIPGELLEDRYYQRYHDIYSF GVVLLELGRWKRLEASPDHFQDKTPTEIKQVLLNLSANISPFVGSRLKSWATSKISRY RVVFFFVNIVIV LY89DRAFT_740160 MRQRPERIEQPRWVSASYVDLMLEVGGSLVPKIRFDDIHMQGIL GRGYTWTVHRGIWCDNGKKRIVALKFLNVDIDTDDTRHSTWARRTKDAFAAAESEVRI LSHKTIRANQNIVTLLGISFQVHSIGSVGELLALPVLVEEIAFLTTEGNPATLNEDLT TNPIGANPPLKVLFLLDIARGLLDLHQLNIVHADLKPSNILLFPHTDGRAVAKISDFG FCQSVDEEDPAQGSTPYWAAPECLPEAGEDMKQWRSSTYRDLFSFGLLIWFVLFEEGP FGDEERFDYATMVQEKFKDSMKDKIDRQLPLSYRFFSQDPDLPEGSWLPKRNFKIMTE GSQQEVELELKSLNDHILNGSIEEQFRSSRKNWNGVVSFADGLASFQLMYFFLSFFLV KDPPLRVGLNFFVEMAYSKLFHKIAMANFIGLPTYDHLWQTLFNPTKRRLVIDINDSV FLEGNYLHLITKLCVVRLNRDHNINKLSQEHKLSMSTHFPRPVLFSVENFQNVPPCVP SKIFESLIAKAYSSPEKELGNVFLQVGACYWFGFGTAKSKSDALHWLPKSANAGKIHA QRMILTFFAPNQLPQDVQYVKLVEWIWRNVSPAEIVSEESFEDQTIDLKKGLEDVRTY ILEVADLSVFNALHVEYKSLIEQDQHFAWREPEYEATLKSSLALVAISSGGASLWEQV DAAIRSEDLSSLRDLISRFPQLLTQESKRGSILHYAVLLSRQTVARMLVLEHHMDPSH CDALGLSAVALAICCQQDSTSNLGDFVI LY89DRAFT_740161 MSWIGMMASILPVREAIQRGSIGEPQEADAQFLPIKEAIGSRFL DAAMVWAIWANNQHALYWLVGNGANINKRFKDIHFLAPLHCAAERMKPVLVSILLAGG AFPNITASIARTPLHLACSSYQAPRAEYGICSADTVGGLCTLHDPPHFDSTWGLQVLG DDNTPQERTSCQSLVVQLLLHYGATIDARDSNGRTRLAYSIGTRAYHISEALLTRKPP ADINSRNDLGLTPLGEACELWECPERAQFCLKWGADVHLKDKLGRTPLFIAAESGNIE LCRILIAAGADIGAVNVQNDHCLQASLLSQKWDCASHLLSVVEHYLPDGVADLLSSCS YEGLNCLGCCIFLRSVIPRDLSVRFLEFYAAVNLLDAANASGYTPLHLAVSFQSAEWV RVLLELGADPRISDTIYGWTSTFLATFQPLRSSLKTLKLIMESGKYTEDPEDLMGWSA GSMTFWLQSTLYLEGMDPIYYETADRMRSHTIPRAVAAWRRESERRKVHYRKESFPDL VERLLEQKGFLRWKIGTPAIQHPPFDIFTDPDNNYLRDSVSVDDENISDRSRHDARVV LWGWKA LY89DRAFT_740162 MSPNGSDGSAGTDDSRDPDETFSQSSMDTNDEDVITYDIVYSAS VAHARRQYEGDYVKFKWISGPHPAEESASVPDGGSETEYKVYFSYGDWRGKYSCNVGH DQKTLSSFEYHWMKDEMYKESSLDNDAVSLKLVHTLDENEYAFLKIDVDCGYSGCAPE YLMCWAKRRHHEAERPGELTDEQWDQLQARSSSDEDKDKDKDKDKDKDEEEEDDSDQG WSACC LY89DRAFT_596547 MTLVERNTETRPEIARRSVIDSDAVVGFAETVPDDTLGTAYEAY QPYLYIVNGCVPFPAVNAEGNTSGGLKPTGASDGDCSSSTGQIYSRGEAYGDYFAILY SWYMPKDEPSDGIGHRHDWEGVIVWLTSESSTTADNIVAVCPSAHGGWDCTTDEFSLS GTGPLIKYQSYWPLDHSCGLTTTVGGQQPLIAWESLPTVAQTALADTDFGSAIVPFID STFESNLAKCSF LY89DRAFT_656229 MVDLSAEEFSPEYLTEYRGTAPMVIAVTFITLEILFTALRYWTR YQGHIKWGADDYLMIPACILCLSICAVVIVSLKHTGVGHHMAALDPQQILLLTKFQLI LPPVYFAAVLFPKLAIIALYLRIFLDDLQRMATWTIAGILTDVAMLLLPLPVVWSLHG SRTLQVGIAITFATASVGLIGAILRFVGFFNQNEANDFSWDAAPLMVWAVVETGAYLI AACLPSLRPLIVSVRKRTPFSQSISRMEEVATTGGGEGGILSHERHRSDFEYSVNKLD EQIGQIGAQCTSKAETGVRLDSNEITVSVEHQSQVASG LY89DRAFT_689692 MERLLASIKSRPIWRIGTLTLAFCIATWFLISLNPRNVLAEEKT NILPDTTSSANTYGLIRNKVAVISDTKYTTNLIPLILHYHSILGPSWPIIFYTTPTTL PSSLSAPFALAIAEKRIQIRYIPDEFNLTSRNGVNLYLSRSWLWEQLAPATHVLIFQA DAVLCGNAHKTVDQYVDEGWDWIAAPLHGSEHLYNGGLSLRNRSMILDILSNPENNWE EETRSGKWTMGGEDVWFSRKMDLRGAKLPSFREAVAFACQHDWHTSVEKEPLGYHKVH KNIGKEGLREIERWCPEIGLARPGMLEPST LY89DRAFT_689694 MSTEPRLYSFHNHINSHTTATPQILPLCQPHLYDSQRDLNIPLS RLSSNAPRRNLPSAKPQPPGPPPPTYPELQTLPPTSTPVAIRISHMPIIRPLQLRKTE F LY89DRAFT_740166 MDSCLDHFALFPLFPVEIRLNIWNRSFPEPRIIDLKLINSKGES LNQKNRTIDGPWHWASTSSFSLPLLQVNHEARRLMLQSYKPTNICQQNGAILYIDFER DTVYRACYQCDPLFQFDLTHDTVVSNASSWTSNVTNLALHLTVFKGRDASGQTIVCPS LDTFSLPSARQGPLRNLHTVCMHFPALRKLWIVIDGRDDQFVGKDEIVDPSPQHGDWF LHIKMVDALGAIELVVEDSRNQQPDSSLPQINLGLLTNGQDNELATRRWYYDFAGRKF EQPTANSGRFFRAPGDSDSESSGLSEDDGDFIATVLDSDGRAPGTFHCIPGHASDSDE LY89DRAFT_740167 MVGVPGRSKACKTCKQRKVACSLERPICKVCTKSNRECGGYQRE RIFILDARTQKDAQATPPKVCAPTPPQKHVPIPNKQAERKPPDLQGTISLKNAYISLW TLQSPTARALYREQIISEFLYSYLPNGSTANWLYMLPSHPHFTAALEASSLAVCTAHL GHINSSPTLTQESLKFYVQALWELQKALWSDDLMYRDETLAACMLLIMYEVTECPDKT IGAWQGHMAGCSKLFETRGPDSFNEEFGHKLFMTFRQLEIQQAIVMKRDTFLASAEWR EKPWKSRPKPITQQLLDVESEVAAGIANVYANPPSESPEKFVMVLLETLEKSWVLDAR LSAFHARFEAQADGPLYWSTLSKGFGNNSPKEQTQISIFPVAFQFPNLTTAHTCMLYW ALTSILWSGMAKIYELLTAMQTEAQLQGSTTISSFMPIASPDSDHSGHASPDPASPAL SAIDLDLSLFARLPPLEHRADLTQPAKNICQSLEYCMSSKQGTVAAAAAVFPLKVAIE ALCESGCMKEMEWAKDVFEMISGRGVELLKHLEHKLEKRAYLPG LY89DRAFT_740168 MGMFNAASQLSKINVRTQLPREKTSNLENSSNRSRIQSSKLVCD QFQTAALLAAYDGDEERDVTETTTEIDKNIEATSESAHARENTSYISKARIENDQNAN ATTEAADVGENTRNTRGVIEDSQDIDVTTKSANDGEDTADASNATNEADSIIRGQENT IYVPGGPPLRSPPELPFDISEEEHPLKPSATHKHITMSHLFGSLLVMQPGYSLEPIAL VTTVLALLKIEAYLRTGRRMNRPIFATIERGTLFLRLTRNASDFTAKEPSKTSHNLLR SFASNTTKSRLPLQDAGSYRRISEYELGGMKCLISDPGAICRPNTSRQSDNTPEMMTS VDAAQDILGADGCKVIRGGFVVPRESIINVALTSGKFYSSIRSWKGQFFFAQSGPIIV GRHQNTTILNLREFNSTDKRTNMDQHWLRQMMTLLDEIREAAKSSGNKKCKIFFGAKG DRDHICIAHDTKEDVFLTEEIKARFWPVDTTAESISGSGGEQIVNLTETS LY89DRAFT_710730 MSSKMVNNREERPGGGPVVNKMHSASSSVTSFKSLLKKEKHKST SSRQDIESQPKLKLFESPLAHERRVSPSPSPKRPVFIDISGPTDLAVRSATKERSESM PNIYDERFISEKGLQTLRGSYQHRTLLDLFFVANLTTFTDVHDINEVAALKAYAGFFC ILWFLWAQVALFDVRFVQDSILERVGKACQFGVMVGLAIVGPDFNPEKQEQDVFRSLA IILMLSRVVLRFQYSVVLHQVWYYKDTKTPLFLLVAANFVAAFVYLGTFFGFKPDTPH SNVFVVWYVTAVLETIVNIAVSSRWEVLTFAGTHLIKRMSLLTLIILGEGIIALSKSI ATITEQEDGLSSTLLLTIISAVTIIYFIYMIYFDWLNSSHFGSFREDLWAFLHFPFHL ALVLLMEGAAQFILWRKVVEVVAQVNNLFLASESTFPSTSTSSPLLSSLLSNTTTQVF TTFAPSSPSTFTQAQSALSLIANSTFNSSAQLDAIGTLFAVISDSLFDNFSIDPPESG MMSGTEDPNEVWSKNMGAFRLVFIYFFLSSGLTLLLLNALQTISRPQPAEPAVKPTGM QTIRRFATPTTILTFLIAIGQLAVASIVNTANGIEFAQSVWILPSVGIAFVGLGALGY VGF LY89DRAFT_700892 MANINLKDIEASKETVAASSEGSVGPAVSHYGQEATHRLLRKLD WRLLPFLCLIYMLCFLDRTNIGNARLDNMEVDLHMGGLDYNVALSIFFPFYVMSEIPS NLMLKRASPRYWFLLIMVTWGIIMTCQGLVKNYAGLLACRVFLGLSEGGLFPGVTFYI TMWYPRHETGLRMAIFFSAATVAGAFGGFLARAIALLDGKGGLGAWSWIFIIEGLLTF LVALFCPLAISDYPDKAKFLTEEERVEVKRRLTADTSFSDDFDWRYVKQALTDWKIYA NMVLTIGLFTAVYSISLFLPTILKNLGYSSNKSQLMTVPVYMVAFVCTIIGSYVADKS RQRGVFLLGFEVCAIVGFIMLAASSKPNVQYAGTFFAAAGIYPNVPLIGAWNSNNLAG STKRAVGIGMQVAFGNLGGAISGFIYLSKDEPRFIRGHSILIALISTSLVMTLFMTWW FRRENCRRSRLMMLGNFTPEMLKAQEEELGDKSVTFLYTV LY89DRAFT_689698 MALPLQPGLTPMEVAFLCEMEMVTVIPRQRLESLNLLGGPTPAL RPPHRAPIPLWLALLLKRQRRANILPPPWLLPSSLDSILKYETDISPQTFSPPPPHPY PISAQPAATDYTSPPFLPSSTADSPPDYLPYHWLELGEILLEACPDDIPDPDRVRTLL RDLREVRMAKMRASIKDLEGGGVSSLRGVGAMEVAEGRQFIVGVMDELRKLGLSREIA RREREEDEGGGAGGEDEDEDMGFE LY89DRAFT_787482 MFAPPPPTTPESVDMQLEAQLAQTMAEAAEREEKEKKKERRAAP GRERKLVNLTVTTNSSDAVGRSDRIRRKRPSIETLRRGHQQPSAFCVNAESRAVAIEN HVKIPDGANAPNSKGATYVHKELDRV LY89DRAFT_760311 SSPVPVVHPLPLPSPESPLPDPPGSPQTLSPPLLLHLLLLLVLL QLVSECWWNGQPFPGGFAEVSNQTSWCCGGKLLWLAAAAARRNGQRADNEVVGDLGLE ASVDMSVDDVCSPAASVGRSWRHCSVGIEPSCD LY89DRAFT_656240 MATDYVTQFRRLRDEFRNAQEGGCANVDKALNLASFDSSWPTPG SEQHPSPDAFASGLTGNSSDEVPTSSPGKDLPSFTGAGSGIAANAFASGPSVREEEAA SHLANPVASEIYPEHRPRKGHKKSRGGCFNCKKRKIKCQENQPACRNCTRKKLKCEYP APKTLSALRSSFLYSPNPVTSVNLQSTPTVFTLTDMRLFHHYLLDAYPHLPVGNETAW LSQVPLIAHHNQYLMHAILGVAASHLELITGDDLSSVALHHRVRAIQGSGEALTQKNR AGSDGDALLASCYLLTFQSSYMKDGIPEFFQFVRGCTLVSNQLREEKLPMAFFLTAQD HFEFMEGRLMNLPVINPGILDGANISLDVLPPILELPVHFKFYDLLVECIAALRISSL QGYFKFITIYQAINLFDNQEFTDFSDPTNTISRILVGHFFAIQLMMLPILDREWGGRT KTTPCRMNLDWVARINDSIPSHMSYYLDWPTAVADAVLEELSGIHVTIPKLSILRKKI APGKDVVLGWNLKRASSQRPAI LY89DRAFT_689700 MDAVLSSTTALVSFSILTTLTAITVSRLIFRGDEVKVIKSPAAT LLPKLSLTEIAALPYPPDALPGGRDVESPYGTVRVFEWGPEHGRKVLLVHGITTPGLA LGAVAHGLVERGCRVMIFDAWGRGYSDAPADLVYDERLYATQILLAVTSSPLSWTGGL NGGFSVIGYSLGGGISICFTSYFQNMVKSLVLLTPTSLIRDSRLGVQKYFPILFSIIP EPILEVLLKKRLQRPMFPKENRESVATAEVTPNEAILKEPPPSLKYPDVTVFAATQWN IQTHPGFIRSFTSVARFGPAAGQHAAWRRLLQKKRKILIIVASHDPIIVTEELKPDVE EVVRDSGTDIVWREIDGAHDIPTTDPDKIVRDICAFWEM LY89DRAFT_740177 MSYNDPVPLFDTTASEPSAFSRRPTPKYKLKSAKQLDAADERRE AAAELRAQKREEEKNGYVGPKHTKKQRKQGKKAEKSLAKKAAKKEKKSAEKNKEESEA DDEE LY89DRAFT_740178 MSIRRSSAQTPPPSARPFFPKYEESQTEIEVDEEEVGTIVVHPD EAGRRVLRPQPSWDPNDPLNWNKWQKYKAYFTICLFAFLATANAIKFTIASPQLSHEF GISVLEIKFLTAFNLLALGAGALFWVPLSRVIGKRPVFLLALPILVAANIWSSQIHRY DQLLASSILSAFGSAAATSVVPAVVADLFFVHERATAMMWFQVSLSSGLFLGTLINGL VVQYFSWRINSYWIAIAAAFVWLLAIYTVEETTYYDRDILKPIHGYGPKKNHWQRMEL TRGWVEGQNLWRALGNLVAIAAYPGVFWAALTLGVFAGWNMMLRLSLIQNFSTPPESH STQFLGLTSLAPLTGSLIAILLFGKGLDALSARITRKHEGGREPEYRLYLIPILIFLG GASIVITSFTLHGTSSSEWIAPIIGWGIHGFALTGISNMVLVYAVDSYLAFAGEIGAL ASFISEVVGFVIVLWGEEWVEVMGGKTVFGTLVGVQCFFALLAVVFLVCGKKIRVWTG RWGVLRWERRRG LY89DRAFT_740179 MDATGPATPIAAVACMHCRRLKMKCIGSDKPPCARCAKAGRECI VQKPDRTQSLGNISNGFHQEVPRPARGDFMDRRPSRGEGGTIPENSDTNNPIVWRKDG FGAAIARNFFPINELTRANKEPALPSIYNTTPYATVFSASENIQDDDSGQPNSKRRRI EATLQTPRHQNTQDLHMSPYDTSVPERDMMQLIHIFRNRMLVFIPLLQESDLEDISHV ISQKRTLALCICYVTTRYVPGGERTRTQLIPAISDILQDRNVRPQTDGEKWTMLQALS VLYAYRPTIQENTTRHDQADISHRSIKSFTESYALHLSVHRSIIGLKASIRSNEPNIN STQNFKYYIYWLWLFNMSNHFSVVTGTPPSIRIDATIRAAPQILDNIDVGHGPRQILS EAELCIHWDKISAHSPALAEWWCPPDTADEASAIHPEILTNVAKASDEALTSWSRKWG PAIPTEPLGQAMDFHFRFTKFCLSTYMIRNLSIGRNLTTDQQVRIKNSVQAAHYFCEH LLDLGPVYRDAARYMGDFGFVMVSFSCLFIIEACKNYRSLLQDEHRMLGTVEEVALLM KQLAISPSSTHGPGLQASIVLYRLHELDKHDETEAALEEAPGAQYSFTSADAEGFMMD PIWDLLNFFPEIKGT LY89DRAFT_262358 MGSKGVHERSDITSPLKAAVPKLNTLLQKDAQFQAFADTPEISS PITFGIKAAGSDNAILVTVNNKSGAATTGNHQKALFTLSALPEQWEQFFKLVPAMPYQ SYWGMFGMNIKQEGIEILGDQLAFNRYTHFWRRALEVLHDAHCGPTPADEQPEVDVDH IVGRYVYITAPIWGRCKVFYEQSGEGKQDIVFCHTAGSDSRQYHGVMNHPEMRKRCNM IAFDLPGHGRSFPSTEYFPGAHTNTEDAYVGAIAAMVKVLKLNKPIVCGASMAGQVCL AVAIRADEVGAGGTIPLQGSDHITMERQFHDRSPHVNQALYNPEWVYGMMCPTAPLVN RQLIWHLYSAQAYGIFHGDLDFYFGGWDGRSRVGKIDTKKCPVFMLTGEYDWSNTPEM AQKTCDKIPGAKHKGMPELGHFPATENPAKFVPHLLEAIEHIQKTRERNPADIL LY89DRAFT_710737 MFYRPTSVWSWILVAATVIETGLLCSKGFKMGCRIFTRYRVSLN LVPDRTITAEVKAVPTFLSLLIFGFIYQALLLYDTLSQRNMIQLGGLCAYSASLCVYT ILQIQQVEKVVIVLETAAGPATLINMKHLTYANASLTGLYTAIIICVSFKLYGEFQWT IYRQLNADLAMQKRYFTFKIFTSILKFDLFYFLGFVIQMLVVVTGLANFEFVLTVASI PFIILTLMVSTWFMRRENITGMLVVLILEVLHTALLAFYVFKLVRIYQPSHAESYLPV RKNVSSFGFLTIMLSVVTIGVAIKCMANFNKGLKGHITNQRLAWKLLEDHEIEMMEFD DRR LY89DRAFT_675297 MTDKQGWTGGPSTRGTFDILWTCVSTLALCVWTAVHPNIPLLPS TKSSLLTRIGMMIVAIVFPEVIISSAWRQLRSSQWLRKEINRIAQNRQDNYAQYKALR GHYSPHTPRPTDDQSSQKALLPTFEFETVSGDTAFSPSTSYPIIDLKTGGTKRALRDS EVESKSLVWNSEQAFFAVMGGFAIENEYLDEKNIKVTLRRILTVNGVLQLAKLGLMPV IDPEDISERSKADNIAKIFVLSQIIWFALQVIGRLASKIPVTPLEIHTAVHVACTIIM YLLWMKKPYDVRGSVPLNNPDAKDIAALCNFYTIAAKLHAEAYIKYENARVAYWKDRV VRAANNLLDHDPPPNPPIKEVLTSSVHRYLSYATELHTSSKNAEEHVLVALAPSAQRG IEILRKHGHSSDHSINDLKWNLL LY89DRAFT_262378 MSASESQPYLPLEIILNVIDFVVPTSSPSPIALAPGHPAAKTIL SLLTTSRAIYPVACRLLYTHCMYIHTSQRLSHLLRTLKSANPDLLSSITSLYLRPFPL REDINCLNPPTARDTKDLLKLIGPYLRRLLIDIPLRSLYPEDDLFSVRPILRSAFIDL PVLEEFCSVRDELYLALERDYPSREPPVWSLWPNLKKLALYNQDLSRDDFWTGLRQLQ HIETLVMTRSDGTDEVDLQQMWRSQFDSKEETKRLTIVLANVELDQPELRARESWKED DKLAVEIMNVPISYYGDEDYIVLCQQWIKRGIMSGTLFDEWSRTDEKKKCQTRSVEVA NH LY89DRAFT_710738 MKTSSTTSVALLALLSLTIASPLQRRASDTANDLTNGSGCKAMT VVFARGTTETGNVGTLAGPPFFSALQQQVGASNVAVQGVDYPADIPGFLAGGDKAGSA LMAKMVGMAMTTCPDTKVVMAGYSQGGQLVHNAAKMLSASQSAAVSSAVIFGDPDNGA AVGSVPAAKTKVICHTGDNICQNGDAILAPHLTYSTNAGEAASFVAGAAGM LY89DRAFT_787492 MTGLLFIMVLCLAMRSINASCNGNNCLNALHRNKDSNSFCLNYL SLAGQPIPNYLANCHDSPSRVSSACFCYSPTPTAICSTALSSTVSISSASASITSTTL FACPASCSVSTASASTVTVTITDTLPPTCPSLSPSSIVIYTCSTTDYSSASPTLPSSN LSAAPSSAALSSTSSIASASASPTSSSSPTSTPVSIPPNFSLLGANSNTSADGQVVDG ELADDYFLGFDLPYGETNLTFGIDPISQYLYINGSNNVIYVIWAINFPGGDPPMLVSL LPGDYSGYTQWPVVCTVAPTLQLSCVAQGMDTYNIFYLVDENQDGHFELAIGDYAATV NVKGPVDLFVQP LY89DRAFT_723670 MENAWSLSLALLTVISVAHGIPWAGPTHTVRSGDADIGWTLRPT DGPQVPQKIGELKRDLQVAPNTCGWVNGDLGSAWTVAGPTCFWYSDIQIVNGWTSCVD YGQTGASCGSDCSLVHTCNAASPYCGSVYFPSGYRGYGNCNAVAGMTYNVELYYTGLN QNIDLPIFTGANGISTGTQNSLGEAIATTSATEVAKSSPPGQQPISSTSISTASSATS SAPAKSFVLSTSAIGSAESSIQAQSTRSPTTTNGSSNLGSLPTSTSPGSSSLSSSSTP IGAIVGGVVGGLAVIGMVLVAILWILRSKRKAPVQESSSGATGPIELDLNEQKVSVQP GIHEMKQPEQHSYLAELGTTYHQPELPGSQAAVYYNDTRELP LY89DRAFT_689707 MKSQPGCITLEEEDPATGDPKPDTRTSASIFSPPEQSYRRRRER RKSDVKPIDGKSELLGSIGHAIKDKLNNLDHELLSLLVGEHEDNPEFRKTLEKHSMKH KIYYIPDKIINMEDHTFIEREMPVSKNDISHERPNGLIAQRTYSNGRTNYKAHKLFLS ERIVLDQPYVFAKLGDEIGGVGCPDLHCIFRLVNDWDSMERRADLSTFDQDEKITDVS SKRQLVYDIMESKIVAQNGLKPICGISISCSELFSEAPDIQASKTEPEDAYLTPPSKK RRFGRRRDSGYEHNSTERNFCIKLIGMGPKIENGDLRADLNLKFVLKD LY89DRAFT_262461 MPIAPHEANSLPGKIRRQRLTTACGPCRDRRVKCNRLKPACSQC TKASRPCKYVSGNNKKLEFRHVTSPDSEAPSDGSWTSLLDQQTSLSRTPSCTGIPSWV LAEEKELSFPRPKRCMPPAHGVPISSLDEEFLHFMTSRMPSSILCQRYFENFLRTIYP LVPICHVPTLEQTYSSFYSTLCSDISAELLLLVLAILSAGSANMPSPDSSSPLLLDLY NEIASHLDLASYHIRDEDAALQLLQAHLIMNTFRANQLAPFAAYGFLPQAIRFGQLMR LHLEQSEARNCKSSRSPECVEQRRRVWFHLVFLDVESVVANGLPPIIRSDGYITKLPS LTYDCEPLSEPKQQVFENMSSTMIATQGHYLWAKHMQKWFEVLPTQAEVADFRAVINH LLEVVPDNGCPEEQWTRTYLKMQIDRAYCMLGLRFWQLDRFSGTGCQSEVVRTARSFL HHYLTLSASSNSHFSWFLPGLVQPLHAMIILLMHLSSCTHIYSEESLSRSLLDDVFEL RISRIRAGSIISEKAKIKGEMLPQRSNKRYSMLVDLRMRVWKRLGWDRNGRGKDPFCG RLDDEDGQRAETSGEEGINDVAKGGQEDSTDSMNSYMVGIEDLMAEDPMDMFHWDKWE GLTEGLFVS LY89DRAFT_689709 MPPKKVAAATSTSVYLVVSGSTISSVHASQASAEAAKKDDAKIE VQTLIGGTISVDPVAEEKKPAKAKAAKTEDAPKANTAAKKTKTPAEQRAANVDKPKKG QPADKDLPENVRSLLNGKGNQLKGMAIIVTGVPPVMGRANTEKLVVLYGGKVSKSLSK NTSLVVIGNEAGPTKLEKIEDLGLKTVDEDGLVALLEGGAGSKHALDDEDDEDEDEEE EEEEEEKPKKGKKQKK LY89DRAFT_675304 MTLGDILKADPGRRIFASLYLGLQQQSAIPFARCRDKYNLEQYK EKMPCTVPLYDRLVGEQANFDEIARIAKGIAETHSEFELRFGTPFIYPNLAAELRAGR GDRRVIYSLLSSGYDAVRKDLNRELGDVLVYRNSSFRQNKDYRQLPQIHRIRDDNRTK NVSARMNVARRLDKYKANICFGGSQKKQCEQGPWCSGCG LY89DRAFT_760339 MVRCGAGELFMRQYLRRLSESSGYTYRIHTLACQCSNGYDGRTD PDLGDDPDVGQNFDDALSDAYDASQTGWGFAGAQIILFAITLGSIIYLQRKHREGGDA HVVGQSVVSGAEMGMAVLPSETAN LY89DRAFT_710740 MYEDPTWDATPCLWLEEWCFSTTSARLALKIDLHVLLPLLFLNF FSLMGRTNIGTALIQKLPTDLKLKATDIFVAIVLAFVPLIVLEIPSNVLMRTLEKRIG LGYIRYLAIMTAFLGIVTIGQAFDQTKSDLFATRLVLGIFDAGLLPGSVFVCSLYYPG IHLQWRMAMIMIANISSNATANILAYAIAHISTTSSNHWHGWRWIFLVEGCLTFGISV IACYSSTARPETANFLTQEEKTIVARDVQCTETTIGVAAELKIFFSNILNYIWAATLV FTASTTYSVALFAPSFVEAFHPKYTVPQVQGQVVPIFVVSAACCAITAFLADRYQHRI SFALGGYLFTTIGFAILRQSKLPAPSISMLGLYFVSMGTYVSMPMVWSLALANLPSPF QKAIGVGFVIGIGNVGAFTSAWFFRSAQAPRYHSGMTNSLIFSVLAFALVSCAAGYIV GANKRSDKSASRRRCKETEFIGF LY89DRAFT_787498 MANTSKSHYVGPNPRGAADRNTASAVMALVGMFVFLRLWGRFKY NSRRPGNGFWPQFGESRFWILMSDLTILLSFLSGLTLTIVIDNAVTWGFGIHIKELSI TQIHNVMKMFFLFQIFYKWTAGISKIASCFLFLAIATPQMTRFRTACYVLIVYQGLLS LGASVATVFQCGLDIESNYISTNDQSHCFPKPPFWYAHGALTIVSSLCMVTLPIWLFS HITYKRKWSIAAVMTALAVTELILSCVRLKGLVETAHNLTDIPFSATTGLLISQLEVD FGIVAACIPTISKLLDEYMSRLFYCVTGRERPVKSDGYSKSTSRTPKSVHLDNLPNSI QGSKYTQFGVEIDTVSMGSEEDKFAAKRHEANTLAV LY89DRAFT_627020 MVTSIDPSVTVDTPKIEIGSRDKSVGWYMPTLDSITDTQRDLLE NYSKIAPERVISHLFEIRDKGWEIWPHPCIGQFRFIDLSIMRTPSYQNILSRLKSGSS ILDLGCCVAQDLRKLVHDGAPSTNMYGADLHGDFLSLGYELFLDKETLGAKFIQADVF DLDGPLKYLEGKMDIIQIGLFLHIFDLEGQIRACERIVRLGRPVKGTLIVGQQTGKQV AGNQPTRRGKAFKHNVETFENMWKVVGEKTGTHWEVRVKMDEGLGFADKKRTWDDPSI RRLAFEVERMD LY89DRAFT_656267 MMFSNIISLASCLALIHAAPVSPRTTSGVIVFNGAAGASYSLTV PLDGSDQPTNNALSISSVSSDTIDIGTQCTLYTVDYPPALVEGPTDTWVVGPPQTVTS ISCTGGSPPPPPPTSITIAFNGADPTLGATYSLTVPLDGSVVATNNVLSISTLVSTYA ALASNCNFVSVDGSAALAPIAANTWAVGPPQTIESVSCHA LY89DRAFT_596762 LPPNSGSPECLSHAKQWLSSCQAGHSDCHRANENHAQLPTRVLR VGSDGEDASLYESRGETGRWATLSYCWGNGPKLILTKETHSDMMRGYPSEDFPATIRD AIITTSALGIPYLWVDALCIMQDSKSDWEQEAPKMSQIYTNAVLTIINANATAATSGI FRDRDRFGNGEYRGPTCSLPWRRTASKEYIASQNESSLALESIVIRGGRSSKTDWQGP GPDNLTSPWATRGWTLQEELLSWRTLTFMEKELLWGCPSTQRVKPNLGHVRLLPNKNG LSLSTSLPGLRTLSTPEIYTAWYTMAENYSKRKLTKDTDKLMAIVGLAKDAQNYITDT YCAGLWLNDLIAGLVWKFDAESWSDTEEYEVPPGSKLPTWGYGRLKANSDSRLPSWSW ASINNEVYWAFKEQSAEKGTARYTEVAHVEERKIVYASDSPYGPVETCNLVLRGPTYS WSAAETDFNQTRPKLYHDVIRHLKNDGEYQARHKEHVNQTILVLQLAWIEELVRTYKK GEDDTTRLTCALLVLETVEEQEPEALINGAEIYRRVSQLYSGDVTNEKAVREAEWGMK SVRII LY89DRAFT_561121 EATAEAFFKSPYFAVVGASGNEAKFGHIVFTWYIDENLPVTPIN PSTRLITVHDIKYPTTKDVLSLPHPSETALSFLTQTDQTRKALEEAKEVGVQAVWFQH GSVTPEDFEYAQREFKIVVGGHVGTDAHDGWCVLVHGKKAIR LY89DRAFT_627027 MSTNQARTNLGPLTTTATLPSSCQVPVEQCSTCSEAWGAQTCFA STIDSTVDYGVQDNTDCWPSTTSFVATPPIPLQGWGFYSPGLVCPSGMYSACSATASR SSGWPVQFGLLSGETAVGCCPSGYTCANGYAQTCLTTASQTSFQVVSCQSGSTGLFTY LTLPQTLTSTVGSSASVFLQDQYVLSAPLIQINWQSSDRISSTTSGFGSSSPTSTSSS PASQTSSSISTQTSTPSSGLSTGAKAGLGVGIAAVVLAFVALAFFIYRKRKNAYAQTG QDSPPTTQPLYEMNGGKKDYYSGQAELPSERSVWELPPKDNKPQPQQVHEMYA LY89DRAFT_689716 MTTFAKTGFNALSYAAFRPTYPPQVFKTVLTYHRGPSSLLLDLG CGHGLISRELSPSFTRVLATDPSSSMITQATSSTPPSKYPNIECRQASAEDLSFIPDG TLDMVVAGQAAHWFDYSKVWPELGRKMRKGGTLAFWGYKDNTFVENPKATKILDHYCY GEETMGPYWEQPGRNILRDKYRDISPPEKEWEDVVRVEYEPGTEGKGSGEGEVLMSRR MKLGEVERYLRTFSAYHDWKAENGAERDILDRMFEEMVEGEPEWKAAGEKWRDVEVEN EWGSVILMARKK LY89DRAFT_689717 MASNTAPYDAQASTNYKEAFALFDKRGTQRVQVDSLGDLLRACG QNPTLAEIRDLEKGVGGEFDFETFSKILNRPGGFRDPGEPEEYCRGFQVFDKDMTGFI GVGQLRYILTNLGEKMSDEEVDELLKAVDTSSGEINYTDLVRTILAN LY89DRAFT_787505 MPPPKIQTYHCHCTTLLLASTHTLTTLPRRTTSSSPDIILPLPS SPPAPSEDPLPPSGYTLLLALTKDNKAVIIRREDGFEKRVLYRCERCRVVIGYEIVGL GQGQGEKMDVDGEGEEGEYRGQVMYLLPGGILGTSVMGGNWKGKRIGEEDVDIRSGGV AVFE LY89DRAFT_656280 MAPSQYKTPPQAPPLFTATKDSLIDDARKICGSTRNLLDKLAKD IKPAHATFENVLLPMAQDEDAAALENRVIGFYQAVSTDSELRDASSKAEEIMDEFNIE ASMREDIFALVEGAWEKREQSTLDGESLRLLEKERKSYIRNGLGIEKGPKRDRFKEIK KRLSQIQIEFQKNLNEENGGIWFTKKELEGVPKDVLTGFEEGTGDNEGKLRFTFKYPD LFPTLKFALDSETRQKVFIENENKCNQNVPLFKEAIVLRDEAARLLGYPDHASFIIED KMAKTPKTVLDFLGDLKEQLAPGGAKEVAHLMEIKNEDLKARNMAHENDGNYYLWDTR FYDRMMVEKEYSIDENKIAEYFPLQSTVEGMLSIFEELMGFVFIEISPEDRAKLSPTG KAEDISWHEDVKIFSVWDDEGEGGSFVGYLYLDLHPRPGKYGHAANFSLQPGFIYPNG TRRYPATALVCNFSKPTKDKPSLLKHDEVVTLFHELGHGIHDLAGRTKYSRFHGTSVV RDFVEAPSQMLENWCWTPSQLKSLSKHYETGEKIPDDLIEKQISVKHVNDALFNLRQL HFGLFDMKVHTPKTHEEVESFKVSEIYNTLRTEICGLKGPESFGLKPDWGNGQATFGH LIGGYDAGYYGYLSSQVYSTDMFYSVFKKNPMDPKEGRRYRHTVLEKGGSQDEMKSLE DFLGRKPSTEAFYAELGLKAK LY89DRAFT_760361 MDTRPKLPQKAFRKEGEDFDALEDRKIGNGSTLKFGSQNQMEMM RADTGDVFPRPSSSHADPLNWVRWRKELAFASLMLATATIGSLKTMFVTVNAVIATEL NSSYTGAAALTGVPLIIGAMTGVKSQILSQTIGKRGIYLVSSIVMLLAAVWNMHVTSS YAEFMISRIFQGMGWGAFEALVGGSINDLFFVHERSARMNAYNVVNMLFLWGSPILGG FLSQSTQGFRNQIMVVNIIQAFSIVFLILGAPETTFDRTTGATPPAENAPSGTPFKLY LNTLKLKTQHSTRPFSITRAMQPLKALYAPSTLLTMLLSAPLFATSFGIAESLSLIFT SMPTFLFPSRLGFLFILPLIFSLLTYTISSYIIYIRSKPPHHLSPSASNITLGVSIPG LVLAVAGLLSLGLYTEQALMPTIVSDGSGSVFALLTGADISLKTVSALFGILVAGSVV LTYASTTHLSCSQSSTAKASLLTEGTRFWEQMLAGIWTIGMPMWVQGATQEGMTMVMG FKETAIALAVLSVVLSSSVGALLWSKGREIRGVDARVLGRVEENEGEGQGQLKRWNTG DSFMDV LY89DRAFT_262641 MATEYNQQARANGDPLEKDFSNGHGYTNGNGGGAYHLNDSNMDH GEALKRIRTAGSISISPELFEKIYLSPQNAVKGDLRKTFGNPTPVALLGFLLSLSPLS CDLMGWRGAGGNGAAGIGSYFFFGGLLMLLGGFLEFILGNTFPFVVFTSFGAFWLTFA ATLQPFYYAYGLYAPTGQPEAAGQATVGFTASFGFFLVFMGVLCFVYLICSLRTNIVF VVIFFTLVIAFGLLSGAYWQNANSIANNDPSAAALAGRLQIAAGAFTFVTSMAGWWIF FAIMLAALDFPFQIPVGDLSTMIKGASDRAKDKEHQV LY89DRAFT_262640 MSTRTFSSASPQLDTSPLLPFLTPIQRFDSAAWKSRHGEKERNY GASVNQLALHPCMLLHLGSSAPWLSSHSRCTTRALKIILYDSVPRPAVHRDGVDNRNA GPVEEAWMVSVSVDAIQFGVGRRSRTEMRGSVGGLGAWRRELQNSSSVSRVRGDAGLH MAGPLRYSVHYAERYRSS LY89DRAFT_558780 CRKKGERFCTTCNLVKYCSKECQDAHWDSHQKDCKSEITLVTWL PECMKDDEVEKRQQKAEAQANSKRKITGAIEYHLGSTGLPKRGDKPCYHKYLIGNTPA YDIVNLSEVEGLDWKHDLDILFAASGDLRNVMKTVVSLPDSYRNRCNVHVNDRDEYVV FRAIMILLLVLLYPDGNASELVLHLWYSGRLPQSMYKRGITDWLIPKLSEAFAKSLNE KAPPDKVFAHSFTNGTSTVTVRLTSRMWLNMLVVLERPKETELGEEVRLECMLTAEPE KPWVQYNMHMKDPAYRLGELRYRTTGLLLPFGARDEHFDTMNPTFFDLHWNYLVAIEP LPGASLNDLKRCRTTLGLPECDVYGAFFYHQRDLIEKFDNSLSDRPVNIVLYNQDAIE LAGQTKTQMSDRGSEGQFDRIDLSNALDSAYIGTKQALKTFIPLLKAKKVNPSATLL LY89DRAFT_675319 MAVLGFARKRDLAYFIHFCMSIPIMFLMDLQALYPPSMVPAFMA DLKAYYINTYNDQFFIDTPLFFKVFMWSEILFQAPVILWAIPALRRNDPKIPVILLPF ATVIFLTTLTCVVEMWNWDISVEQKIELSKLYGPYLLLPTYMGIDMCLRLFDTINRAS ATSNVVKAKKAQ LY89DRAFT_262682 MSSSPSKPLPQKTRRTHTKSRGGCMECKRRKLKCNEAKPVCGGC TKREITCVYPKVGSRTPLSKDAGQVLRPSTSLSVANALNGPATTSSPNVAVQEESSDA AAPARGIGQFDMKDMGLWHQFIYSTAATLSNPWGHELPGLALNCDYLLHGMLALGALH LAYLNPAKRESYSILASHHYDLAIGPFHRATKSVTPDNANQIFAFSTLLVVINYASDR SPELIFPFADGEAHDGLSNWMVDLRGCGIIFETASAHVIEQGPLGFLITQGKRLQEVL STGAQPTPEDDRSLARIKDEVLNLTSVKTSTTVEEMEAYHDAIERLRALLAASTQPLD SVIKRAVCSIWPSRVSDTFVRLLSEKRPPAMIILAHYCLLLRGLEECWYMERRGVNLF QIVVGALDNEWAIYVDHPRREFT LY89DRAFT_675321 MGLIKAGMYIGAATYIVKKITEEKDKSKNKNNAPQNNNQYPPPG SWPQQQQDTRGYPPQYQQYPPPPQQQQPKFGYYDTHGPYEAQYQGAPPAQFGREERGT MEGRPYQYQEDSKRESFAKIEDSPSPRQWPEAQPAKSSKW LY89DRAFT_723688 MFLSPYEGHNNTLTTIATNKKTTRMNFLVGAVLCFAAIFWNVRF QKQYHTNPYDHLLPLKATTSNPLTVDLGYEIYKGYHNQTSKLNIWKGIRFAAPPVGDL RWQKPQTPAVNRSHILPAKGPTPQCPEFDATLSFKFPDILTGDEAALRNEDCLFLELY APEGAKNLPVMVWIHGGGYGEGLGNSSDPSDFMNANDNGFVSVMINYRLGPFGFLASD EVHRFGTVNAGLYDQTLVLEWVQQYIEDFGGDKSKVTIGGLSAGGGSVMLQSLAFGGT RGTRLFENILAASPYLPEQWHYSDYIPSQSYYRFAAEAGCINHINTTTFDCLLSKNTS TLIQAGEFVSTNGKYGTWGFLPVTDGEFLLERPSEQLRKKKVSGKRMLATHSADEGVQ YSPQNILTEEEFLNHVHVMFPLLKNHEFEELISIYPSTTAPVDPSIPQFATTGTHPPS ALNESVFGTGQQQRINNLYGESTFVCPSYWLAEAFSGHGRSAWKTQFSVVDAEHGTDE FTIFPPDFPSHGPDLELAMRKIWGNFITKNNPSIPLSIAIGAAGNASDASPGLATIEH WPSYNPSRPILLNINQTGGVLEDHLSFENKVVQWYVEPGLKNNFEFADAYAWEAGRGA RCDFWKRIGGIVPE LY89DRAFT_689724 MASQHVSKIFVIGGTGAQGIPVIQALVADKKYSCKVLTRDTSSK RAKELHDLGNVELMEGTFGDEDILRAGFTGCDGAFVNIDGFNTGEKTEIYWAIRSYEI AIETGIKFFVYGNLDYTLKKSGYDPKFRTGHYDGKGRVGEWIRFQNQKNKDRMGAAIF TTGPYIEMSISSQTIMTPTVEDGVVTWRVPLGEGHVVHVALEDCGFYVRWLFDHPERA SGMDLEVAIAHIGYAELAQAFSTVTGHPAQYIDTSLDEYWAKWGKVAAGAAGYNADIN DKSTMSFQDNFTGFWNMWKYDVVERDYGLLDEIHPNRIKSAEEWFRRENKRGKEDGRG SLWERVQRENLRPILKLGEDGRKGKL LY89DRAFT_262735 MSQLTNTALWMDENCECSVRRNHELPIPGDGEVLVQVLYSGINP ADIKHATHLGIRPVVMGYDFSGRVIKASSGSKLEAGDIIAGYTPTGLGRASRYGTHQT YLACPEDMVFKVPDHVPHADAACLTTVVKTAADALFNILEFNLPPKSSTGSVGPVLIW GASSSVGICCVQFARAVGARHVMVTASTSRHEELKALGATQCFDYKSPEVTRDIKETI DSLGSDPVLHAIDAVGTESEPSSADLLSQCVAAEANLLSVIVRRDPKFGMPLACKSRE VKFRLPIGHEILLAPNPSEEQRMDLALQWVLENYGRENGFVLPHVRIIEHSAEETLKE LQHVANQGAGFEKIVIKHPLQ LY89DRAFT_723692 MSHFKVGSVEVHQRPTQRLSDPPKEPETLELYQGHKRGPQYRSL PCDIILDRNFVLTLRDGTKIRTDIFRAKTEEKSPAIVMWGPYGKSGDGVLNLKVFSHR AGVPQECLSGYDSFEGVRLDPAEWVPKGFTIVNVNARGAGDSEGDLRWWGTAEGRDGY DAIEEVAKLPWCNGKVAMAGNSWLAMVQWFVAAEQPPHLVCIAPLEGSGDPYREDCFR GGVPRFAFSTIVGGMLQGRGQQEDITAMNKLNPNSSEYWEDKRADMSKINVPAYILGS FSTSIHTLGSIRGFEEIPHLEKWLTIHATQEWYDLYSEERTTDLHKFFDYYLKGAQNE WPTTPKVRYALLNYTEPAYVNLPFPDLPWNLPLAHSQRLYLSANHTLSETPMQESAVL KYSADSAKNDGLAFEHTFNSKTILVGPSSLVISIASPSHNDMDIYTRIRKASPGGDFL YHQNIPLPQGAEVPETSVFRYLGPSGMLRASRWHISSELSTKNWKVLSNNNPEPVAPG QTIRLEIMLWPTGIVFEPGEKMVLEITGQDSGLTDFPIPPEMIVNGNVGEHHVSVGSG EESYLEFSTIALGYES LY89DRAFT_562850 APKYEALSYVWGPTNDLQAIVLDCFEYLVTPSLFEVLLRLRKLD EDRLLWVDALVINQSDLAERSREVTKMLDRYSRAAKTIIWLGKPLENASYGRLDIMSA MKFLSQPELVTPKDHDSGEWVPIKQAVEAIFCSKYWTRSWTVQEIMYSD LY89DRAFT_675329 MAPADTLPSWSKLQDHHTRVGKSFVLKDEFKKDPTRFEKFSFSF PNTADGSEILFDFSKNFLTEETLKLLVQVAKEAKLEELRDAMFKGEKINKTEGRAVLH AALRNVSNEEIMVDGVNVTPGVNKELKHMEEFSEAVRSGEWKGYTGKPLTTIINIGIG GSDLGPVMVTEALKYYGDRKMTLHFVSNIDGTHMAEALRDSDPETTLFLVASKTFTTA ETTTNANSAKEWFLEKTEGKGDIAKHFVALSTNESEVTKFGIDSKNMFGFESWVGGRY SVWSAIGLSVAIYIGFKNFHEFLSGAHAMDKHFRETPLEKNIPVIAGLLSVWYSDFYG AQTHLVSPFDQYLHRFPAYLQQLSMESNGKAVSRSTNEFIKYTTGPILFGEPATNAQH SFYQLLHQGTKLIPTDFILAAESHNPIDNNKHQKMLASNFFAQAEALMVGKNEAQLKE ENTPTELLKHKTFLGNRPTTSILAQKITPGTLGALIVYYEHLTFTEGAIWDINSFDQW GVELGKVLAKKIQAELDTEGVPESHDSSTGGLIKAFKQKSGLLGKM LY89DRAFT_262780 MSRFLTKKLKETHLAPLANTFSRSTSTSTITPDPKEEKASTNGS STTLNDNGIAASELIATQPPAPPRPGILIVTLHEGVGFSLPEQYKQIFNNPNHQNSLS QGNGFGVAGSVRPGSSQQQGSVAGSYVSSSRPQTSGGGFAGVPTNHGRISSKYLPYAL LDFDKLQVFVNAVSGTPENPLWAGDNTQYKFDVSRVTELALHLYLRNPNAPQGAGRTQ DIFLGVARINPRFEEKHEYVEDPKLGKKDKEKAQAEWQKQEQALGHSGAQWVDIQFGT GKIRIGVDYIENRTRSLKIEDFDLLKVVGKGSFGKVMQVKKKDTQRIYALKTIRKAHI ISRSEVAHTLAERSVLSQINNPFIVPLKFTFQSPEKLYFVLAFVNGGELFHHLQKEQR FDINRSRFYTAELLCALECLHGFNVIYRDLKPENILLDYSGHIALCDFGLCKLDMKDE DRTNTFCGTPEYLAPELLLGQGYTKTVDWWTLGVLLYEMLTGLPPFYDENTNEMYRKI LSEPLHFPSQDIVPPSAKDLLTKLLNRKPDQRLGANGASEIKAHPFFHSIDWRKLLQR KYEPTFKPHVTDATDTQHFDIEFTGETPADSYVDGPVLSETMQNQFTGWSYNRPVAGL GDAGGSIRDPNAFGSVQDAR LY89DRAFT_656307 MGVIRKKTATRGGEGGVKYVCDVCSADITSTVRIRCAHSTCNEY DLCVDCFSKGESSHNHQPATHPFRVIEQNSVPIYDKNWGADEELLLLEGAEIYGLGSW ADIADHIGGYRNKDEVRAHYQKIYLDSPNFPLPIRASPKDTALLDEIPREEFQARKKR RIEDRKEAAKNAPPATPKKKPTASVPACHEVQGYMPGRLEFETEHANEAEEAVQHMQF DPGDGLNPRTGELEPEMELKMTVMDIYNARLTQRAERKKVIFEHNLLDYRKNAAADKK RTKEERDLLNKAKPFARMMNHDDYQEFCNGLIEEHNLRQAVAQLQDWRHMKIGDLESG QKYEQDRLARQQKAQPMGSLDRERFASSQRTKAPPVIETPSGAAAFVAPDFETRTSTN TVGGSPPRDKNVPEKVLTNGHTNGTATPAKQKYQIPALSGVSPISLAQENVADLHLLT LEEIELCEKTRLHPKPYLVIKEAVMKEALKVNGQMKKKQVKELTKLENQKGGRIFDFF VAHGWLGKA LY89DRAFT_596299 MDDEKSFNLLSQEEIYSFTPILPHRHRRSWRKTPLTTRGLFTLL LKPLLPSFIFTSPPVPLHSTSYLDGLRGIAALIVYMDHFVLNWFYPLRNGYLSSPTDT SILQLPIIRLLFAGRASVGVFFVISGFVLSHKPLSQLRSGNRSAVLQTLSSSVFRRSM RLFLPILFGTFISMNLAYNGYFTPVPSRGELIPPILPSYKAQVKHWWFYFLEIAFPFW DRGVDPNRAYGSPYNGHLWTIPIEFYGSMVVFLTVVAGSGARGEKTRMAGTMGLAGWS LRRGRWDVFSFLGGMVLAEWSLIRAGEGERERLLSHHEDADMNLQPRVKVLLKVLNGL LLLLALFLLSYAGESPSPGFYHAFLIPCTPALWEPIFLGREHYWLTLGSLLLVFTLTN SPTLQRPFTTSFAQYLGRISYSLYIIHGMVLFTIGTYMQERYTGQVGEDKWVDNGFGE LVEAVVRVEKVGFEPGSWGFVRAWAAAGIVCTVVVFWATDLFWRGVDRGCVAGARWVE GVFVGRGRS LY89DRAFT_262960 METSKNHQSTFTYPRSRRITSSFFDSLTCLRGRLDGTYASLLCT QRPQNQDEGVDERHVYPVFWVSSLRQITLYLALSTCGGRKCQYNDDKPSMEPLGSPMA GIAENIALWTFLTLCIVRGALYACASLISRPATSISTAQLKARVSNHMLRSPQCNQHW DGLGYVRREIAVTIKPHLWSSQLLISVSLLRCGCCTGKKRPLRNIRHCIERTKRLSCP STERKVTYSY LY89DRAFT_262961 MVATILRGSHCFVQAKGRHRKVEMQCAPGHKAGKEAKKGASRRG VEHGEEDRDGTRQLRSLPQGACMASGKRCAITDTTGGSSVNSRINATGRSRSPTTSPI RLRKSHEDTTSAYVMRFRIDSTGIMDICGRRNNVKLSLVEVHAFARGGIKRGRLAYHW NTRCRRVKQLTGV LY89DRAFT_596406 MSFRKIRPSFGESSASTSSPSQATPESSSTGSDPRRARGEKEIA ENSNSASKRRRVPESVTRNACLNCKKARAKCDGKKPCKRCATRLETSDCIYEIHIKHA KEELVKQIKELKAKDHLSEQILQALSTDEKVSDILERLKKGETYESIVEWLGRSPVDD LETLSPRESQHSTFDASDHEMGGVPGTTTWTTVTANQAVMDHLLQLYFAWVHPVHTLF DEGHFVDCYKRQLDDYCSSVLVNSICAMACHLHPMVEGEEIDFEQLGMEFIDAVRIEI DPIGKRITMIQAFAVMFLVDCARANGLRASSYLKVATTNLRGVIHQDTDGFADVWKNT VRGVRNLNIEWSQITFQPPSVLSSAIHDAFEESDDVLDDASWYFYRSIHDEVPSWPGL LATTNREKAKLTAIIQDVITIMYTPAGPLISARDILHQYRRLVAWREDLSGDISNLES HAGHALPHVLSLLILYNSSVVQLFRPLLVLESFHSPMVEETIWNHAQQGLFLLDEQYR TKFSCRYQPVMQMFAVLHLSDTIARFFPGGVEGRSKDGPEAIQFGLEVLMQSAAGFPV ANPLQEMLRREAKKCSIRLPRTLDLIAPKTAPQQVYRIDDFIDACTRPTFTQPFDELI PRYMASFSADWAIQGASGGFLEASSGRSLRVQSAEERGAQHLMQIRNLLNTS LY89DRAFT_656317 MEFRRAADVLLRSQTSPLATALNPRTAVRWTNKAQLDYSSCPNR PASRCLATSSRRYALKNVPTTTSAPLPQTTTSTTNSSSTNAPAPKSPFGGLNDSLGWI SGNKSRSSFPIPRAGGSFEKTLNGGSSASDLLSGIINRKQQRAGGVQVDRMLDPGSML DPDNLAKQMTFDTAEETMVHRSKRVPIKLGPSTGRSVTMGAGLDVGRGFRLLEQSCAR NKVRADANKQRFHERGGLKRKRLRRERWRRKFMEGFKATVVRVKQLKNQGW LY89DRAFT_263178 MAYNPYGASPYGRPPGFGGFPGQTGTPPGMGPPPGMGPPPGMSA PGTAPPPGIQQANNAQASRPSGLPASFQGPANMPNINFSAPVIRLGTGPSKPSTPLTA GPGRRESQDPMSAGGRAGLGSLSVMDAQRQALRESMMSLVPPTKEEIVRTIFVGGITE GAGGDTGIERILSCAGRLRRWDRAIDAEGKACSFGFAQFEDPESLSTAVEVLKDIEIP TKKQKPSDGVKKEETEDGEIEKSKLLVFVDDNSLNYLENWQSNRADDSAGEQARLDAA KMGLKAAIYELFHPPMFVQVEQGSEDITMQDAGQGDNVEVVNIPLAVDDELADIPAEM RETVAQEIAAFRDRSTRRDMERLKREEEMEAAERQRNGAPRPSRLDAPATSIPNGPAA GTNNIPLGPRGVPNAPSGPKMQGSQMPRDYQKNVAFVNGSGINGNANGDVWIDRDEEN DSASDEELERRRTANKEAEQEKQYLDQERRWLNRERSRTAAVEREKEREDEDDSKAGA AREKMAQRYRDWDDDLEASKKVEEYYADRSQWIRNRALFRARESAADERDRLAEQKEK QRDMADKESARGMADSFLDRQAEELEARNAPGRAQQPFKLSLGAAAQKIQKEKAAAPR RTIADVEGLLDDEEEADKSTKRTLIPIKFDPSTAGSASLTDEEKDQAVKQLASAIPND KEGLWEWDVKWEFMDEAIIVDRLRPFIEKKIVEYLGVQEQMLVEVVEEHIRTRGKPQE LIEQLEGALEEEAEVLVRKLWRMVIFFSESAKRDLL LY89DRAFT_596622 PSTTLTFTVTSSPTTTLTLSTLAVTTPSASTTTVISTSTSTVQY CPTPTSCDNQGLQYAAFYNPEGNNADDSYSTFDPTYLKSTSVGGQGTANLYYSAVTTT AGGIDNHCTSADITVYGDSTEFGCQFFTLDHRGYLFAAETGTYTFSVSGVDDIFFLWT GTTAQSGWTRDNTALTVTETASDGSYSVDLTQGEYLPFRMIFGQAQGYAVFQVSVTDP NNDVILDSDSAGSPYLVQYSCDGTTAPQFAGAFGEEE LY89DRAFT_263232 MQHSSSFQLPVRASPYTMLCKSLLSSIALLAATHVVNASPVAPV NSAIANAVLSAECLLVNVAVNSLHAYSSATPFCSSFIGIKTSTISTTITTTLPVVTAT LITTTSTSSDILPCSDSHNLYSGSRDNQEGKEEGSNSHHHILISCNRPPILRRSICER RDLNRL LY89DRAFT_689732 MVSTGDDSQLSAPITFESIRERILQPRRNDCPLKGIEAVRVSLA TAVEFITNLQRREDAASPSIEPRILDTSLNPDDHDAPSAELWADHVLKHADDVKNRYT VEAALDRVLRARVGDHSRKLAAHPFNRTWK LY89DRAFT_787527 MSARGVQRGRIERKPVNTTDDATSPTDQTFMLERSNEWPEHLMS SARRLSREFLSPIVHEEQYPRVMSVVSGVDGRRPGLHRIISFAPTATSPTASATSTSS GLNKRQTLPTATVTTLITATLPSEIRGRDFNPLDPLGLGSEFSSLVASRVSVASSVIS SGGSGLTSEFPLPSISLPGLPSPSGLLSGNGTSSSSGLLGALGSLLSGGLGSLLSGLA AGLGSSGLTQAIDDDLNRLAFELINGFISDAGIDDFYTLRVLTTCKGESPSNISSCSP FSSAANKISKISSKIPSSLAVVGTNVSSPALNELTGAVSTLGPTADSLEKFLLALMIL SLINSAAAIILSFLGIFLAQNRIVITGNLSLATIGIFTRSLDAVLATLISVILSWLTN KFGNSVGLYATSGATFIALIWLGFVFQSLATSYWVCVWFVEFRQSSFRIRKREATEIG DYRGIFREIKIDVRSPKENKEQETVV LY89DRAFT_263277 MQLRNRLVGAGQAFHQFKRLPTEIRCMIWKFSLQPRVVEIATDI QVVEDTPYPGDVYEGTYYYSKARLPAILDVCHESRSATLPLYPLCFRYSGPGIRFNVS FDILYINHDVEDIRNLLDFLKNTSKRKSD LY89DRAFT_564592 ASSMFTKRDSWGPAFSLGPAKQDIISTTTTIYPGEMPSDQAGYL FVWLGISNGTGDLIQSIIGSYPSGQSECSGTDADTTWCISSEVYGLASNGLPNQWVGS LTTADVNYANGIKLNYTLIDKESYLWLQTMEDAVTGQLLSTFNKTSGPMLGWGTAIEC DDYNGVSCTGTISEQYYVNSTIILESADDTFIDTLGVSSGATYTEMVTADDGKTWTIS NITIPAMQNS LY89DRAFT_689734 MSTTASSPSWESRVRAKQESILSQLPKEYLHSHLSHSTTDTTPV LDIPISLLSASELEITSLDVLPLIESIASGKYTSIQVLKAFTHRAAIAHQLLNCCLEF PYASALARAAKLDEYFKSSGGKTIGPLHGLPISVKDQCRVVGTETTCGFVANLGKKDT RDSLLVEILQDAGAVVFVKTSLSMGCMWGETINNIIGTTSNPFNRSFSCGGSSGGEGA LIGFHGSPLGIGSDLGGSIRSPSAYQGLWGLRPSSGRIPYHNILNSMEGQETIPSVVG PMSHSPSALTLFTKIVVISQPWLTDPKCQPIPWRDEIFQSVTSSRKLKIGIMEWDGNI LPQPPIRWAMKKLETKLRAAGHEIISWRIDQKAALSILLRVFSSDASGDIDRSRALSG EPPQQVITNSTPSVSPLTILESWDLACEKLAFQSAVLEQWRETSKTGSLADTMDVYIT PVNPAVAPKHGHYARGRYLGYTGTVNVLDFSACTIPIGFVDPELHPADSGDTEDAEGK KIPGITGELDGYIRSIYDPEVYKGLPITVQIVGRRMEEEKVLGIATVLEGLLKR LY89DRAFT_596325 MPEAILNDISHRRYNPLRGSWLLVSPHRTKRPWQGQQESPSKIT LPEYDPACYLCPGNKRAQGDTNPKYVEPFVFVNDYSAVKESQAEYKPEASKDLSSLLL RADPVTGRCYVITFSPSHNLTLADLTPAQILPVVQMWTQIYAAHLSPSNPLSKIEVKE TQKLPLSPPSSQLRWMQIFENKGAAMGCSNPHPHGQIWTTTGLPEEPAEELIQLQKYR KENGRSLLADYVKVEMEKEERIVFQNKGFLVVVPWWATWPFEVMIISKEHRRALVDFS EEEKLGFAEAVAEVTRRYDNLFETSFPYSSGIHQAPLEGTEEEIESSHFHMHFYPPLL RSATVRKFLVGYELMAEPQRDITPEQAAKRLRDDCGGELYRKHLQ LY89DRAFT_627081 MASFLTQFTSYLPQHPGLLPQWLLLVSIISVGNSIQAYSTLEFT SQVYAGWSSHPGQTPSSTTSPATPLSSRTFGTWTLIQSIVRMYAAYNIDNPQIYQMAV LTYVVAWLHFMSEWWVFGTARWGRGLAGPVVVATGSLAWMWMQWGYYVPA LY89DRAFT_627084 MDVLDKIPKPLLGASAGIGAVFLGIKIFNYIRLLLSLFVLSGKN LRTYGNKGTWAVITGASDGLGKEYAIQLAQKGFNLVLISRTESKLQTLSTEITQKYAG SNIQVKILAMDFSKNDEGDYAKLKALVDGLDVGILVNNVGQSHSIPVPFIITPKDEMR DIIAINCIATLRVTQIVAPGMVQRKRGLILTMGSFGGLLPTPLLATYSGSKAFLQHWS TALAGELKGSGVDVELVLSYLVTTAMSKIRKTSAFIPNPRNFVKSVLSKVGRSGGAQQ MAFTSTPFWGHALMQWWIENTVGLGSEFAVIQNRKMHEDIRKRALRKAERDAKKA LY89DRAFT_627088 MSFAATPQRPLPGAYFATPAPSRFAQGAPIRQPSFTGQPRPPPG PDPNDGQPNPQSRQPPAPQALQPVQRAARTINEVLQREASFPDLDSYVRQGISSDYDL PNPTTEAAWSPFHRTKMYDIPDRIFEQYNQAEVSTMMGLFAELNHAWVTIDNALYLWD YTNPNPELIGFEEQSNSITAVKMVKPRAGVFVKDITHLLVVATTAEMILLGVAAGTTA AGVKTVALYQTKMSLSIRGINVQVIEGSAVTGRIFFAGKADNEVYELTYQQEEKWFAS RCSRINHTSPGYTSLVPAIWAGNSQEHVVMMVVDDSRRLLYTLSSESSIRTFHMDSPT TLTQAIEKKRQEFLRDISHMISQSTLLTNTMKICSISPISAKEGSKLHLMATTSTGCR LFLSATRGYAYGTSQGAPQSMQVQHIKFPPRIDSKPAGQSQSVSSYGASEPATDTSSL ALSFTQTGLRFPPGLFFAFVRKSENQGRDSLFLSGPDTGRIAFQSRDISVQASKYFEQ ACWLNLNSHAEDVGLVTKPFAAASQPIGFGNELAVQYDEAPQEVAILTNTGIHIIRRR RLVDIFASAIRNQGGEEALENEIKKFIRNYGRAETTATALAVACGQGSDITPGDPRLA RVNNPETLELARKCFVEFGGRPSVDQNMVSEPSQAIDNVRPSSRHEGLALYMGRLVRS VWKSSVITQIVPKTPSAPTQINTTVPLAKLIAIQEDLTKLATFLDKNKSFIEGLAGPE SLQRTASQQEAIALQGEHQALYSLQKLNSSIIEGISFVQMLFDERVDQIWSTLDDGVK QRLRDLTYEILFSSDQGKELAKVLVKAIVNRNIANGSNVDTVAEALRRRCGSFCSADD VIIFKAQEQLKKASEVGANSDMGRKLLNESLKLFQQVAGALSFDNLETAADQFTQLQF YAGAISLALLVAHESDRGNRALSWVNENKPVDDARAPLYAFRSRCYDLIHRILLVVDA STSAEPEMLDGRLTLTATKRNEAHAVVNESDDELFQYNLYEWYLSQGWVDMLLSVESA FIVQFLTKSASQRFDRADLLWKFHVHRNQFYEAAAVQLELAKSTFIIPLAQRIEYLSR AKANALAQSLGIGRQARHALQYEVSELLDVAMIQDELLQRLRHDQRIQVQRRDEIVAE LNGPIIPLSDLFNAYADQASYHDICLSIYEAADHRNEADINATWQALVDQTHNKVVEA GDNANQQPYEAIITMVRAMHQRLGSSEICFSPQFLISLLERYAIENQNGVGPEFWLPD LFMEVEFAFETIVQILQSMWYSNITPFTGRRKQILASHLLYVLEQWFLDCERNNNRLF GSEENAQDISQLLEVLGAGGDALRQQDVEKCAELRRKILRSFR LY89DRAFT_656337 MRLNNFLPLVLYPIFITAKRCIMPKNSTLNGDDTPAILSASSSC LTNSTIVFSAGQTYNLLTPLSFTNLNNVDLLFEGNVSLPSDVSVVEAVVGNPKIYSGR WITVKGKDVRFAGSGKEDGGWFEGHGEQWWSMAGNDNNTYRPHFFSFSVTNLKIENIK VLKPVAWVFSIGGSNVEMRNTFIDARSSDGFPFNTDGIDLSASNVLIDTFEIHNGDDM INVSPSASNVTVRNIIASGTHGVSASCSSGSGGNYLFENALIYDSLMGARFKGVLGTT CNMTNVTWRNFEMRNVSYPIHFTETYQDQEKPVTGAATRIAAFTKGFTWENITGTTAD VIGDGSCVTDPCWYASLDQNPDKGLYLLCQDHAHCQDFHFSGIDLRTSSGKPASEECT GLNGITGMGITCTNSTITRD LY89DRAFT_710763 MLCCDSTEVYDYIVVGSGPGGGPLASRLAIAGFKVLLIDAGNDQ GTSYQEEVPTLWPLSTQYAPMQWNYFINHFPTIDRQEQDSKFTWQTPSGDYFSGPDPP VGSKPLGVLYPRAGTLGGCATHNAMVSLYAHDSDWDYIANLTGDSSWAPDNMRIYFEK LERNEYLPHHASGHGRNGWLGTSVLDESILVKDSKIMCHAAAAARVINNHTVGATPST VKELQKIMLPDSNKPGQTDKQGFYELPLAVSDGKRSSPRDFVLSTANAVNADGSRKYH LDVRLNTFVTKINFDQGISPPRATGVEYLDGVGLYSTTPKSVAGTSTGSGCFEASREV IISAGSFNTPQLLKLSGVGSRAELESFGIPVVADLPGVGSNLQDRYETTVVTKTDSTF EILDGCSLLTEDPDLCLEKWENGTTPAQKGFYAGNAFPLAVIKKSSVAVLDPDVFLFG GPVFFRGFYPGWANVTELLPSTAKGWWTWFILKAHGRNHAGTVTLRSTNPLDTPIINF NSFDTGTTTDGADEKDLQAFYEGVQFARQVNNELNCDGCAFEEVFPGPNFTTEADAKN FIKHEAFGHHASCTAAIGSDDDPNAVLDSSFRVRNVTGLRVVDASSFPLTPGMFIAVP IYMISEKAADVIIKDASQ LY89DRAFT_740233 MANQAAWIKTPGTSLEVDSAPEAKLGPGQVVIKNAYVAINPVDW KIQSYSHASQPYPNILGRDIAGEIVEVGADVTRLRVGQRVIAHALGRITNEPKYGGFQ LYTVAYEITVSPIPDSIPYEQAVVLPLAISTAAAGLYQKGYLEVPYPTLNPKPSGQTI LVWGGSSSVGSTTIQFAVASGLEVVSTASKKNLDFLKSLGAKHVFDHSSPTVVEDIIA VLKGSQFVGAYDAISLPETMKAAAEIVHQLGGGKVVTVLGAPSEGLPSNVQAIGASSI DIATKQPEVGDAVWRKYVPEALKEGKLLPKPDPIVIKGGLDHIQEGLDTLKKGVSAAK VVVEL LY89DRAFT_627101 MQAIGVSQYGPASNFETRQIPLPSPPKGRDLLIQVKALSVNPID TKIRAGKYDDAPDYYNHVPHPFHVIAPEAAGIVASVGPDVEYFQPGDEVVYVSAPTRQ GAASEYHLVDERTVGHKPKSLDFVEAAVMPLTYGTAFEMAERLNIHEGEQAGILIING AGGVGSVATQLARYVLRLPVVVATASRPDTKEWVKKNGATHVIDHRGDLKAQIDALGL EVPIKYIFILASTEQYLSVASDICAPLGKICSIVQSSVNFYGTQFLSKSLTFAWCWLG TRGYHDYDREAQHVMMENLAKYIDEGKIHSTLTKKMRLTAEGLKTAHELIESKRTIGK IALGVDEEGEGEAFA LY89DRAFT_689739 MAKTFSPSVSTMKAWQYTTTKGGLEKNLKLNPSTPLPTPTPNQH LIQIIGVALNPVDYKLCELPLVTRFLVSKPATPCVDFAGCIVTPASGSDFKPGQLVFG VSGSSPLSGGALREFNVAGLGNVVAVPEGVDPLHACGVGVAGLTAYQSIVPRVKKGGR VFINGGSGGVGAFGIQIAKVVGCHVTTTCSTRNVDLCKSLGADEVVDYTKGSIVQFFR EKGWKFDHVVDNVGSNEELVWKCGDFLNPGVVFIKVSGDLSLHGMIDGFKRKVVPGAL GGVKGKVEGFWPKPKAEDLDQIAEWMKEGKVKAVIDHTFSFEEAPKAFEKLKTGRARG KIVVDVASKTYTKAWETAS LY89DRAFT_787539 MSFGFSVGDILGGANLAYNLCKSLSAAKGSAREYSKLIKELDTV HKVLLQVEQLRESNQLRKETLNALLFTVNTTNEAMEDFMIRCKAYKDSLRPGGSGNIW KDGWMKGKWSVQMPNQTQKYPYDNACFSQLPGYADLPMHSHLHRASVEEVFVPESMGE SLPPLPDYPGIRLKATLFYDRKRDNSSSRTDIASQQLYSDFQLSRDVGKFFRCGQVFS IQITSDISFEKGSQTLVDVAQSPEHVTALQEKAKKLRLAQILSLPLVDMVQYSEESQF DQTMVWCRLCTRVAPATDSEHWMSKDYWASEHFRWQHWKYFYPMIMDRERLPRKIPGS DSKDSHASPLSISRKSSRDTFSPESWLKLEGITSWYAIGSDADIEDRVAGGSQNSWWH CDGPTMIRRFVVIKEGPESCLCLGIHTYAGQGCKDQPDQELFSVVHSSKVPPKLGGNE ANVKLLPIRMSAHHPSTFLPLSARMHFGRVYEISHKIPARPLGLIDTDYMELLISQFE KVTRQKNDSEDLTFHHESNAVIDTTLVEEIRQNILKVLGPDVHLTAPEEETVAPEKKK RRL LY89DRAFT_787540 MAILDQSSLELAGSTFAFVDGLNNTYSTRATRSHVMTNAYREKK KLLANNKGKRNKTLVPKTWTFEVHSNGPPTVPLNPMAVSDASTPELTFSASGSPLSET FSDFTCSDEELLAGISSHSSFQPRTIIPAGLVDHFATLPVARTPIIDEFVAAYLGPPY DYGLGKRPSMAFNYRRARFRASLNDECSFYAMLSWLGRRAQLTGDWTTMLHSMRFKGK CMNIIAGRLRDHQLEGKDVDEGMMYGALDLSNGELRNGNVAAAKVHWQGLRDMVIAKG GLGNLIHHVPLIYSIVWNYMALGFDQDITWDYMPPICAADRIDTHDTQICAEELIAFI SRLQEPSTHQYLTQPTIVSALSSKTLLHAALCSTNGRLCLAMRNDDAKYCDNLRGSEF DLALCAGRRRNAQEIPPHTYVLRNMQSPRPAILVFILFILLSIKDKAAGPNEHLLVPT LSHLFLAMEKALHEIDFDWYPYDLETVLTYLIRGIDLFRVSCPCLTGPCLLCGGGVYK GQPKKGSLFEGERFPDWPIVFAVARVMGGMRKLQRGTRRKLEAVMRELFFAGDPGSGA RSCVLGCDESGVPNELGNGDGDTVYPTALGSLKETICLELGVRKD LY89DRAFT_689742 MASNDGQRLLVLGLSYRQHHTLHMQQVLRAYDRLPANPMDRVVL YTALFDLAKDLDEDETRNIENWLKNGGAFPAPTPKVPEVGPGGAGTTGATDEVDHDDW PEYNPVDFETAQEVSNDAEMTEDEDEDEGEQEEVAEELYDIDDPTRQIDDPGEEFSDP SEDDIDDLDANFGPRLRRHAISGLPKKLSADAIECHICAESYELADFPPSTQITSSCD HKYNERTCVYCLQQTIAGAVSEGQLNRIVCPFCPAPLSREEVKRYATREIFSRYDYLV MRANPDLVMCLGLDCGSGQVHTGEDPMMICQACSFKTCAVHKLPWHEGQTCEEFDMDD SQIERLEEAEATAKLLATEQAQICPNCHQGVSRIDGCDHMTCRCGMEWCYQCGVTFEN IKRLGESAHGASCMYNPRRVQMRSGQKQAVQGSLTALVHGGPVSDTLEKARGARNERI RTEMRPKVAEAAERRQREMEQQKKEERGGAPEKKRKLNLQPAWEEK LY89DRAFT_596637 MLEDTCPLDNGRHEDPPNTLFSIGDLNRLPLEILQGILVDGIDF ASLTSLRRVSRGMRSTIDSLPKYKAIVTHAPASIRAALSLETGIYWSCSHLYHELCSN ACVFCGHFGANLNVLICKRVCIDCFTTDVQCLPVGREYAKATWSLKESDLKNSDTRIP TARTLPWYYVTRLFSKGHASRKRIELLEHTAVGAIAINKYGSLDVILQQVN LY89DRAFT_627110 MRLKSDDLGIWESVGRHKYITFVGMAAAFSASLDGYQISLNGGI VSNKGFIRQFASPGTKIILGKYVSAWGGIQSAGQTIGQIFLQYATNSLGRKPALLILW CFLAASIFAESFATKWDHWLVAKLFSGAGVGMLQATMPVYLSEMAPTQLRGYLINAYS FWFVIGQLLGSVALNRLNASDPYDFRAAIYTQWGMVGVMLVIFLLLPESPWWLAGKGK LDKAKKILLRFNGHIEGYNVQENIDVMTATIDMERYTAELNKEEGQWAIFEGRNLIRF IIASWPKVTQQFVGLSVFNTYATYFFQAAGNKNPFLVTVILCCVQILSMIVTSTLTDR FGRRPLTVYPYGVTVLSLLALGIVGCFDYTKRDTSSLLVFFACLATFSTTGASAIGYA YAAEIPTQRLRAQTAGWALAFSNLIAIMFSFCTPLMINGTAKWGVKTGFFFAGTGAVS VVIAWFLLPEVARRTPAEIDEMFEKRVNLRKFKSYITDVQ LY89DRAFT_656357 MNSSTMVSAEEQTTINQLNANWIWIPDWIDSSINNTAGRIVTFT RNFSLNSSPTEVLLHFSADTRYKLHVNGTRVAVGPSRSSPLIWYYDTLDIAPYLSEGD NEVKFTVIRYFASSRTAMPFERSSFPGLTVVGDIVSGATTVDLCSSKDWIAQVDGSIR FPIGLVDDGFLHIVERVAPTPPSSLLVPKQYKMRTANGELLPWRLRPRPTPMPEESRA TVNVVRKCQSTVSMEDWTTYLSQASSLHLPSNSSHILELQADNHSTAFVKWTFKAAKQ SQIHLKVTYSEGYELEPRSYPFFRRKADRLDAENGHLLGPFDEVTLDIPENQLVSYEP FWFRTFRILRLEITIGSAPVELLSFEAKQINYPLAIKGSWKEPGDEHSEKIWDVSIRT MRNCMFDGYSDCPFYEQLQYSGDTRSVALFHYLLSGDDRLMRQAISNFAASLTFEGLT QSRFPSHVPQVIAGFSLYWILQVCDHHLFFGDKVFSKSFLPRIDGVLDFFDSHVDELG LISGLPKDVWQYVDWVTTWGATDDHPDKGVPTSGRKSNRHTYFSMLYAYVLQQASILL RQVGRPAHSTEYESRADALLAAIRKHCYDGHFFTDSTANIADNLAYSQHCQVFGILSG AAHPQDRARLMTESFADPRFSKCSYMMKFYAFRAFALAGDDVYESLWQKMWEPYRRML ANNLTTWEEDDVRQRSDCHAWGSVPIYEFCTELAGLRPLEPGAEKVLFKPRLGLSGEV EAKVALGGDNVAVVKWGSVDSGRKRVEVRFEKKVEVVSRLPGGEEVEHGLVDQVSLVY DP LY89DRAFT_710769 MMDEKRGYFELREERVDLRKRKWRGVGITILLLLLLGVSFWGSG AWTWRDGWVYGFGDSRDEPEREHTSKTVFSWSQITPSKELEYTPCFDDFLCARLDVPL NWNASDKEGELRAAVAIVKVPARVPVADPRYGGSVLMNPGGPGESGVNQVLSSGKQMQ TILDSPLPLSEPSNGKYFDIIGFDPRGVNNTTPRLRCFPDAFNQQYWLLKFPDYSFLW DYRSVLGMEWARAEAMGASCQREGDESDMARYANTAQTVNDMLEFVERHGEWREKMAE SIVTSEGISAAEKKDIVERTAWKKGNEKIQYWGISYGTLLGQTFAAMHPDRVHRLVID GVVDPDDHYSGTWLTQLQDSDQIVTKFCEYCYEAGPEKCPLWTGTSGKDVEIRLTRIM DDLKTNPISVAAVGHYGPEVVTWGDMLLHLLSGMFFPYSSAELFFDMLAELEVRNGSR VGKMKQSGVRAAEIPAECIRDGPFSDACVTSHYISGMGSFQSISCMDIAGRNNITKQD FREYVVELRNQSKWLYTSWARNKLACLGYTVPPVWFFEGPIAGNTSHPLLIIGNTHDT VTPLRNARRVSTLFPGSAVLHQNSQGHCSYSSPSLCTGKTVREYFQTGKTPPPDTVCE PEWKPFIGCLKKDTNGDCEKLNADDQILWDAMKGVAE LY89DRAFT_656364 MPRGRPVKHHFVAALGEFVGTFLFLFFAFAGTQTANESTSTSTA DTGPNLLQLLYISLVFGFSLAINVWIFFRVSGGLFNPAVTLALCITGVVPVLRSVFTF IAQILGAIAAAGVVKGLIPGSGVLFNVELQSGMSIPQGLFLEMFLTAELVFTILMLAA EKTKATFVAPVGIGLALFVAELVGVYWTGGALNPARAFGPDVVTGKFNGYHWIYWLGP VLGALLASSFYALIKYLNYEEVNGDQDKSQDEEHILDIVRKNTDPGPKPSSRQGPRGS HHSHRSQRSNRPGSGHQQGQGEPTRHYQEYERNRDGPHQV LY89DRAFT_596363 MPSYHYGAKIPVTCLNRSIDTGEHISNEKNELEYIPFPICEETG KPLELQYGIEDELNCTIPMITDPFFHLLEFYVHNDAPLSCRIPSRPPAHILPGGETHI PPEFQQEFVPLVFALAGTLQLSHLHISTHLNILLHSEPKHHLHHHDSGVIDSGAAYST SPLSHITGPAALTKKLVIGDPLPLQFSIRWFPTPHLPRENGKIEWAGIGGHFYASTFF YCVISAMAGAVGSGMWFFGVVLPRRLKGRPLGGATPLGYGVGNGWGVGAGVGKRD LY89DRAFT_675356 MEPQMRPRKGDRFTVVLRKCGISRHRTEPQSAQAPSQRRQDAAL SRLHGSQTSAIPESGTEESASPCPPKSTILASDIWKSAVQALSPKQRDALGFDGEIYN LQDEVLDLVVTKQRLCIEKQWTIEVRGKTIVLQDVSGRLLRWVDRFKVVGDLASQFDP VHAALPWAGFRFLLQVVVDDAETMGAILASLESITHLLAQCSIYERLYFAVDARIYAL DAATILEDSMKTLYTKILIFLAEACLLFDKHKAIRMATSIFNSPTFTTLTKEIELQAS VVWQDSNTAESTYTRRRQDISVSQSTSERAELLSMLANLQDPIRHIDEKTKTIATYVD RKIGEIEDLTMAVDTGIQTPPRVGRKSAAESELDRQNPAIILSAIVKQLATKNSTTAI QPVIMTEYKQRENEGFAKGRIEVQDSLDLILELPKVLPRTIIVLDALDECDRTTRESM IKGLHHIIQSATSQVKVFLSSRDDDDIAFYLERTANLRIDARDNRADINRFVEIEIER CIQDGKLLRGRLEASLVERVIKTLQCRADGKFLWVDFQIRHLCMMSHKSDIEANLGKL PKGLKDMYLVIWSDILGEAGTGPEIAKVALMWIFCARRHLSSDELIRLVSRTVFKASS ELLDIETLLRLTHNLLVFDERSNHVRFAHLSVLEFLEDIGFEWTTAHAMTSETCLFSL LDRELPSSMIHVPNHKNPRQHSYECRYWPEHLEGCILYSPALTILLNKFLTLLSKSPA IRKLQRWTDLEFEDIVLSHLMLALAWFDPIGLAEQNTQFAISSQALEQIQELFVFASR RGRLTAVKLLSELPGVDINAKDKWGRTAMVYAIDNGHLDTLEYLIEVMEKDSTSASWD SSSYLMAAARQGYSKIVSRLIDSGADITAETTSESTALAYAASNGHLETVEILLQAYE KCEKDDNSNQERRSSILLPEEVSRSGWVAFQAAAKRGHEEVVRRLADRRDELISADYD WLPFLQAASAGHAAIVDLLLENATLAAEESFRDLQQDAQHAANQDGCFHPVLALQENE IILTIARAAAYGSPTVIELLLEYDPDLDIQNLFLQYGRSSLYAAIEDQRLETIKLLFA QGVIRILLSHLSDVNITNDRGSTALHLAVECGRNDIVDLLLREAVDITIKDMNGRTAL DAASHYGYKQILETLLAYKTRLTESSSSDEIVLSTE LY89DRAFT_689748 MRTVNAHTNPSTDEHDPGIQYDVPWRYRLTSTRSENSFKIAKSW LDECHAGKNEHNTKKRWRSRSILQTISRKARLGPKKLKPENPTRLIDIRPDGGKPGEV RLVQAQNRRRKYIALSYCWGKISNPTWTTTKSNMRERMRGLSLSELPPTLFDAISIAN GLGVRYIWIDSLCIVQDDPDEWAQEGGRMAGIYRGSVVTVAISSSESALEGAFNKTST SHLEEFSSLIRIDSKLSDGRCGQLYFYTSCDKGPRERYIQTGPLMQRGWVMQERLLSS RILHYTASQIVWQCDHCFKTEDGLDREGPASLGPGRDFPRDLDYRLSVGELTYLWYMS VVSTYSHKALTYGSDKLVAISAVAKALYSNHKNDYLAGLWRASLISGLLWYRAGPGSK SKEYRSPSWSWASQDSAIYYSIPEAEYVTHYVNECFGDVESVEFECEIIDAEVNIGGP NAFGTVTGGHIRLRAPVISARVLKTPFPQEGLYPRDSYEHELIFWDGFRPIVVPAHMD DDDELKTREVLCAYVGHRRALILAQSTPGVRSYTRIGFGETSEGTELYGTDVFAGLMA TAPLGIVTII LY89DRAFT_263659 MSSHSLERGEEEQEEEVTRDYRDLNYEEAWAEYERPNRRRGSIR SRSVTFLGGRARSVSPDTLWMAEDFWSRKPEASYDSPSSSKNSELFTRYGPRGVSGLR WREYGVRKRSSFPRSTRGESGESRPSSPESRISNNEEEDVSKLNVDEAKISINDVDPE KKLCEACQGINAERLAVGYKHLRLADLDASVRSCKFCEFLRHHFGTPRFPWSNRFQVV LSKLWADIIDVQSGESVKGR LY89DRAFT_723718 MADISKTSESKNPAKVPTDGSFHSGVWDYEAKRPVVDGKFEDPL TGELRDAKSEQYSGPPSVDIVIMNLYASEASGPDVYRSARPFPVERILHHAMTVVKEE GLEIHCLNASLYSVTLVLACEIEERKDGRSGFSYVADRISNGLWAEE LY89DRAFT_787550 MAARSLLHRHRQLAPTAAVYVSPLCLGGMGFGEAWASRMGACPK PQVFAILDHFYEKGGNFIDTANAYQNEESEQWIGEWMSLRGNRDEMVIATKYTGAYQN YKGANILKTNYGGNGSKSLKLSLEASLKKLQTSYIDLFYVHWFDFSTSIPELMLALND LITSGKVLYLGVSDSPAWVAAKANQYARDHGLRQFSVYQGLWNAATRDFEREIVPMCR DEGMGILAYGTLGKGMFQSEEAFKEREKENPGRKMLPPSEVEKKASKVLEVVAERKQS TIQAVAMQYIMHKAPYVFPLIGGRKVEHLQGNIEALGLALDDKDMEEIDVAYGFEHGF PHEFLSGDHFSHGAPSKMAAGPQDVWLTKMLGAIDFVEPLKAITPSAEKVETDKKAQE AVQEGLKFTKEKK LY89DRAFT_656375 MDSGTRCRAAGDKQQSPAKLELSRMVQQVEVRTSEDDWTGIKDA AERRKLQNRLNQRLYRRRRGAKPKVAPQQGKTKIDAAILAITQPEPNLRGDEKESATS GSSNSKSTTLSSGDSDSRNSQVTHPNRYRLRELNRSQIYDLMLQFEASTRQDYLVGSP RTDQLLTLIQFNVFRALLSNTATLGISYEWLGSDEAISPLSSPVPGINPFTPVSLLPT ELQRTITHHPWIDVFPFPAMRNNMLLAEDQYDEMALCNDLVEFCTLPNEKTGLIVWKD PWDPSGWEVSETFARRWTWVIKGCRELLESTNYWRHSRGEGPLVWEV LY89DRAFT_700937 MQLLATVATFVAFAAFGHCQSITKVNAFKDGPTNLGMAIHHCQG SATAYSTESHYMPLADSHGFILIYPNSRSSALTHNGRGDSQTIVNMVKYAVTNYGGDA NRTFVTGTSSGAMMTNVMVGAYPDVFKAGSAYSGVPDGCFYVSGATATQDPPGWSNSC ANGQLTKTAQEWGDLVRSYYPSYTGPRPRMQIWHGTADNTLHYPNYAEAMKEWSNVLA LTTSKTASNTPQSGYTQTIYGDGTSATAQLVGYSAAGVGHTVPVHESMDLAFLGITSI FLTFSAISAFSAFSASSSSSATSAVGAGCPT LY89DRAFT_710774 MKLSVSVVCLFLSSLGHGQSPKQEQLAEYLVNTQGQTETTDTGV IDNTTTSLRTGPRGYVLLEDTINRKKLLHFDRQRAPERVVHALGHAAYGNFASYGDWS NITSACWLKANATSDVFTRFSVVVAGTGGADTGRDTHGFATKIYSGCGNQDLVGNHVP SFFITDGALFPDLINAVKAEPDKGFPTGGSAHTTAYDFFTQNPEGAEQLMAVLSDMGI PRDTRHIAGNGVHTYRFINAAGKSTLFKWYWKPMLGYRAMVYDEATKIAGKNNNFQRI DLWNSIEAGMYPEWEFMVQMFPDDGQYRYKGIDLLDATQIVPFEMNTPIALGKLTLNK NPTNYFAEAESVSFAPSNVVDGVSFVPDPLLQWRLMSYDDTATHRHNSPNGYLLPVNR PIVPINNNYRDGYMQPQLFEGPSDSSPDGIGGVQPSSSEDNLEFYPESVSGMIGRYKI MNDPFTQARALWFTMDQYAQQHTVDAYRFELGHVSNPNVTSRYIAQILNPINNCLARR VAYGVGSPMPALGSGSSMVPNTTYPSLFPLGSNASQPVTGLMVGILTTTDSISTSAYN DLTSVLNSNQVLFEVVASHLGPLASGVKANQSYVTTSSIFYDAIIIVSGTQNSSAVPM VQQGFVEEAFGHGKPIGEIGSGFLTSLSLGGIGTFVDGNTETLTKAVVTALQSPGRYP QRQPLDDVTSICG LY89DRAFT_700939 MAQYQVYEMPSSLDALEGHELPSSRTSSETYLDSPPAYEAVAGQ LAQEAAEVRDDGRITVDLNSRLARTLSKFMPKCPIYSAGEDRRGEKPAQEPKPISWNV KLNIVVQVVGSRGDVQPFIALGNELQKHGHRVRLATHNTFRNFVESHGLEFYPIGGDP EELMAYMVKNPGLIPSMKSLRQGDIQQKRAMVAQMLTGCWESCVEPDPISGIPFVADA IIANPPSFGHVHCAQALGIPVHLMFTMPWTNTRAFPHPLANLKNGGKDSKLGNYTSYS VVEWLTWQGLGDVINNWRETMDLEPVSSMDGPNLAAQLKVPFTYCWSPALVPKPIDWA PHVDVCGFFFREAPNYTPPPELDAFLRAGERPVYIGFGSIVIDDPIKMSNVIIEAVHK SGVRAIISRGWSKLDGPAMDNIYYLGDCPHEWLFQHVAAVVHHGGAGTTACGLLNGRP TTIVPFFGDQPFWGNMVAARRAGPKPIPHKTLNSQNLSEAISLCLTPEANAAAKDIAS SMQQESGVRTAVQSFHDNLPFEKLSCDILVDQPASWAYKKKGKSLKLSKLAVEILRTN HKFDTKKLELYEPRPIHITTKYYDPVSGSTAAFIGWNIDVVEAAAGMVTKPYKEYKVG RTTTSTKSVTSETSSLRTRSSSSSTEVESLTTVSGITSPTSSRFSSSRKSVDVSSPPG FGCTAARMAGASAKSFGDLNVKGFKGLLVDIPLATAEGLRNVPALYGDKIRDHGTVTD WKSGAMAGGKAFMYGMGEGLTDIFVEPYKGGKKEGVVGVAKGVGKGTVTLLTKTGYGM LGLVAYPGQGIAKSIRTAVKSRTRKVIANVRHEEGQYLLKGPIGREINHAQVTAVFDH FMAAEGAAPRT LY89DRAFT_596778 MTASEVDGHHGSIGPKVSRKSHRKSRNGCQNCKRRRIKCNEEKP QCNNCLKHSDKCSFLITSQVSATGPRIQYHGLDSQSASPNISNSSPSLNEGSVFGQSH MLNLMDLELLHNYNTSTAYTLSNIAALQSFFRVNIPKFAFAHPFVLHAILAMSALHLS RFKRGESQLRYQREAEHHYEIALRTATSLLPNINEDTTPALYLFGTFCSLITLASGPK PGDFLLFGDQGIAEWLIIFRGMKSILESNFDLLRHGELAPMFHISIHQIFLQPVNDEH LQSLRELITSTATDDPDLPLYLRTVDDLGRSFPASTSPGTRVTQPSPQVVFVWLYRLP DEFVELLYQRRPIPLVILSHFCVLLNDLASSWWAKGWAEHLISEIYSSLGEEYRMWMR WPMEEIGWLPS LY89DRAFT_740254 MEIDTATVGDPLRLLSLDGGGIHGLSALFILQDLMRSVNPDNPP KPCDYFDPIGWTSTGGLIAIMLGRLGMDIQQCIDAYQQLSKGAFHPRRQLRIPFRRAQ GFDQVSRRFDGDKITQIVKEIVRGAGEHADARLKLSEDPQCKVFVCAAVQDVIEPILL RNYDSPGVSQDNCMIWEACRATSAATPFFEPAVPPSNGQLSEGVTSWNNSYWDWSPTM DPPQSNVIQTLKAIVAEAGRTAEDFESEHKELGLFGSYFRFNVEEGLENVGLDEYTAV PTIVSAKTAYLRNRGMSELQHCVSRLRQQSARQDVTSRLCALDTNEQLWLLTLFNPNL YLDLSTQKVQTVDGCTPFKSSVDFRRFKLRKNVYIIDPHS LY89DRAFT_263763 MAVLESHNGYYLWKYIPSSAAAGIFLTLFLFATAAHAYKVWTTK AKFCWAFTIGCFFEFVGYCARASAHNKTGKLMPYIIQNFFILVAPALFAASIYMTLGR IIRSIGAEKHSLVKVTWLTKTFVCGDIMSFLVQGGSAGLMFSASTVNIGQGMVVGGLF IQIVMFGIFALTAVVFQSRLRSNPTPQSYSSDIPWKQSLNMLYAVSALIMIRSIFRVI EYLMGQNGYLLGHEWTLYIFDSCMMFAVTVVFYFRYPSELERGAGRDSANVQMVPQEF YAKV LY89DRAFT_710778 MSQLLFGWISTTFQPLTLALGAGPLYPVNTFQAFALNAQTPFAT LDYGHEVAGYPIFDVLSLTGKVEIEVKYAEQFSDLSAPFSDGPYPFAVGLSNTYRVET FNITEPGLISAFLLQGGLRWQSIRLLTSGSIAISAVGLNASISTTDVDALPGDFSCDN TLLNEIWELGARTVGVACVDRGSQKAIWEVSGNGVFVRGMRPGVSANVSAAELQNYTL QFDVNIQRAGMGWAVATPLQSPNTGIYLNIVGDLPSATTFVNTNTSLTPPNSIVLAYG YSLVNQTTLPSYYLDTFKLPLPLQENTWHTIETVLLGGQYLAVLVDKTEIFNNVFVYD TASGSLLYNNSMTNATIVLPEYGVHENFASVCLDGGKRDRLVWVGDFYHTSQIIGAST SRDDLIQGTLQYFLDWQTSVGLVPIDSPMGYDHSIAESAFTLGGGGASPFQILGLIAF TNYVIQSNDLAWTVKTWPQWQLQAEWILSQINSTNGLLSFEDAFLGGANGGSAINCAL LKALNRVAFVANAIGDTASAAKYQTAATSLLAAINKYLWNAKLGFYSLDLDSLNDYSV VGLSFCITSGAANASQAAQSLAAVFALKLGPGFKDSSQVNSSDPTVNLSPFTNGFLLE ALLSQNNSGSAASCSTALQLITTLWGAMLANNQTSTGTTWEYVDQTGQPGLSLFTSSA AAWAGAPTYLITRYVAGLQQAAGVAGFGYRNWVFNPSAGLAMGLKTSNATVITAFGGP LSVQWQVVAIASGNQLKVTISAPAATSGVFELNGTRKVLNGSAAYSFVMSM LY89DRAFT_656387 MSFTTPPPPILDSQISFPTPSILLITLNRPKDLNCINTLGHHQL DALLRWYDREPALLCAILTGTGRAFCAGADLKEWNRANAESKSRPQPSSGFGGLSRRN GKKPVICAVNGICFGGGCEMIINADMVIADQGAQFALPEVKIGVVALAGALTRLTRTV GRQRAMEMALTGRTVSAEEAREWGIVNKVVEKGRCVEEAIRMAGLVAANSPDSVIVSR EGVKMGWEGVGAEEGTRLVIENWYSRMDGGENMKEGVRSFVEKRKPRWVPSKL LY89DRAFT_656392 MSSSTTNSSLARSRSLRVAPSNTLKPVTASAPQLQIGPSNIALF LTNLRLLDLDLRDDWPNVTTVTFSTKDSQQNQKKRIQCVEWALYQLFALWDPEQARDK LQPFFPPLEPLQSLNLRAALFRCLDQAKENGFLGRDTVLRKTMLDECKGERLEEVLAV FSNVVLKKVLQQGTSFEHQALAQQLALENFSYTGERSVLSALIMAHKSSLSNLLRDKK DANAKYHDFGDLLDLNERRIARRHEQLKQAIEENGSADNITDSEVRSLQEQVEQNWSG NQEWLETLLYGDNRANADGVLATRFEKIWSHVEAGSIGEIEGIHKVGLLEQLDARVKD QENRLARWQDFGKTLCRPDGSSPSKKPVLSAQQQKIELGFTRHQALQIGRAASENRIR PATASLDEYSRLIENMKSELAGVGKSQPPSKRPVRHSLVPDKGSSPSPSTVSMQQESA TAHDEEWSSASDNDDVVSPSTTSYATKSTSRTPPSQGPVNNSRGRPAQRPPSPVQEIS TNQSSPIHAKEVSKNTLEPIVIPEDRSPSPLPLSHTPPPLEPPKPNVGQESESDLADH ILNSISATSPSPKKTRHTLSLAERTRLSMSRASHSRLSDLHDEFEVAELPRLTLKSRP SMAVRTVGAESDSDKHADLIERTRKSMAGFEAAQKKAQLERRKSVKDAKKKQRESSYF PKVEEEVVTPDISTIEMLEGDPDYESVFKSRPKIKTSPAVSPTRIWEESED LY89DRAFT_263835 MKQSLFLTGLVAAALSTGVAASGSPVVKPRATTTVTPITVTGNA FYQGSDRFYIRGVDYQPGGGTGVESDPIANATACARDIPYFTKLGINTIRVYAVDNSK NHDTCMNALAEAGIYLVLDTDTSKYSLNRDDPKESYNSVYLQSVFATVDAFANYTNTL AFLSGNEVINDVNNTNCAPYVKAVVRDMKQYIGDRGYRSIPVGYSAADVSQNQEILAD YLNCGTDDQRSDFYAINDYSWCDPSSFTTSGWDTLVENYTGYGIPLFMSEYGCITNNR TFEEVSALYNTEMTGVFSGGLVYEYSEEGNGYGLVTIDGDTVTPNADFTYLSSAYAAQ TNPSGDGGASSTSAATTCPTESSEWDVANDDLPAIPSAAEKYMSTGAGTGPGLDGAGS QDAGTADNESAGTASAGSGTVTETSSASSGTSTKKSAGAAIAPVDMKALGAFMVVVVS MLGGMALL LY89DRAFT_263864 MEYVNPRAQACQNCVKAKAKCYGHCAGKCERCNRLNRDCTMQAP VVRKRKTGKTTHHVQAERIAELEARLNNVVSVLSASQPSPVAGDGSRLGSSPLDPSNL MTPSTQAQDTTTPYLGPGRASLLQASPIEKGPDELLDLFRQNLARQVPFILIPELTTA EILAREKPFLYEAIIAAASYHDSVHQLALGKKFLARISENVVLLGNRNLDMLQGLMVY ITWYNSLFHKTSQVVVLLGIALSMVIDLYLYVPSTSFEGHEKFLNEMKGIISCHQTTL ARGSEPSREEKRVILGCFYLFSCVSTNFCRANPLHWTPYIQHCYDEIFNAPQNDNDVY LAHLAALQRISEDIKHSGIRGFPAQQREWNPAIGVQLKLLMSELQRFKVSLPASLQDD VLMLMHYHSVELYLFDIGFSMPPTNIAHGPSIQRADALLLCLKTSQLIIDLYFSVGSK PHTNFGPASTTQMYYVMMTLSKLSLFDAEDWDSSNLQTSMDLCALVERVAALMEEKSA LYDVREDDKPWLQISKRMRLVKVRFERLLASENRTHDMRPSSEFPEGGVMMPYFMNQF DLLDDGFWQSLPGADGFLE LY89DRAFT_263870 MDDSTTSDANSLNRNSLGEKEKREGEKDGIPVPSNDSSSEQPTE LQEIPEVLTGYPLVMLIAAISLAGFLYSLDVTIIVTAVPVITTHFHRIQDIGWYGSAY LITLCAIAPLTGKLYQFYNSKITFFTFVVIFEIGSLICGVAPTSTALIVGRAVAGIGG AGIFSGGFTIVATSAPKEKRTQLVAFIYAFAMLGSIISPIIGGVLTEKASWRWCFYIN LPAGAVTLVLIAFIRIPEAKAKRENRPTLYESVHRLDPIGFALFAPFCIMVLLPLQWG GSTYSWNSSIIIGLFVGAAACLAVFIFWESRRGDTAMVPLSILCRRVIYSSCLVVAAQ YGSLSIFAYYLPVWFQTILGVSPIKSGVYFMATAATVITVTFMTGIIAGKLGTPTIFV LVGNLITTVGGGLMSTFNTSTRTGAWVGYQILTGFGRGMTLQQPINAAQHALDPSTMA VGTSLVIFCQYFGGAVFLALAETDFSSSLRSALREYAAGVDSALIFEVGASGVRAAVT SEQLPGVLKAYNEAITNTFYLACAASAAAFLAAWGMGLKSIKKKKEPTADTTEEA LY89DRAFT_740264 MAFNRTSHDWNEKEDTPLVPSRHFQHVERDAALNHPPSTETTRT TSASAEENSGGLSLRFIDEFERQTSSQVKREIRAHVRRGTHLKRKRLNDASKPRVSSV QRPLLQRKVENSSTAKAEPTLQLPATYDHEAIVPRVAEQTPMEDQDAVSLSLHFPLSR WQFNNTTPYALLTNDNAPMLQLDARRWPFPQLVRGNGPAVSVWMPRASIPVPNARSQR PCLLRSAQVVPWIAQSLDYLMFKNEAIQWVNQRLLVPEHATSDVTIGVIIFLMSWEIA RANIPELKLHINGLFRIISLRGGSPNITSVDHFCWKIALIDLLVAVLTGNEPKLSFQT QEEVLGAPAINPSIGGLQVADSPLYGTEPLALVLEGSKFCKNAVLLLQHMQDLTKGSG REEPSPLIPRNEANSQASTGTLCKIVHATSSIYCRTFNNPPTPFSSPSNADALAIIST CLEDTTNDETWTRYPGILSWIVLTALAASIHQPQCSFFAMFVFRVGTSAAWWGPREAR KSIMTFLDVKRRSDGLSI LY89DRAFT_787566 MAVPYTEDWTSLSKPNSELEAILSSLGDCKLVKPDTTLDSLRAA AECRPSSELWPEVVEHDIEIPVREGTFNRARVYSPVNSSPEGKSLLVYAFGGGFVMGK LEMEEMNCRTWAKKFGGVAVSITYRVCPENAWPTPIEDVYDVVNWIGTNSKDLGADPA KGFVLGGTSAGAVAAITVSHLRRDERLTPPLTGLHLGVPAPCIVSSLPAKYREKEKSW EQNMNAPIFNVEVSGFLSRHVDYKPFDPLRSPLLFPTGHKDLPPTYFIIAGADPWRDS GLIYEQVLREECGVKTKVDVYPGLPHGFWQIFSAAGFSKECRDKSDDGLEWLLRQCE LY89DRAFT_760488 MIHGGFCLGRAEQEDSNCRRWVWNHDEVAISIEDRLAPEVQFPV SVEDYWDALKWIATNSEMLNAHASKGFVLGSTSSNSTCALVLSHLARDEALSPPLTGL FLFLPTTCHPSTLPEPHALDIRIVSWEQCRDPPSSIE LY89DRAFT_740267 MGPLTRGVQTGIGLVAELKAARQARKEGETGVIDVTTEVEEMLI EEKDDDDHDTEDDENFGGGISNGASDQSLYPPPYGDNDLSATSDIEYPQPSNSSHEPH KLSCPVIIPQRRPGTKTRGFLEAYAPVLADCNIGEGTFLTFLRSFHKSSQASPVFNVI TMGAGAISRIHEPHMKALSMAISVLSGTAADIQSRYRTNKFLDQANDLLFKPRGLYCL VMSLRPDDVPKDNVSVQSVDTSSIVLKWLDPDSRHFAATLRTSSGTTHGGEEMPETAP LIYPNTGYAELVKTNSNSSSNSQKIIGEVTSYQKVVENYFDKRAQAKYAYEYPDSSLA MQEKPQFASKYGDPTDPSVTTNYYGRIACKIEKESLADRQARRRAKALEVKRRGGGIK RFLHSVIAFFFSVLYLMIVSLPSEEELNDAKKALTEDPGK LY89DRAFT_740268 MVGVPGKSKGCHTCRRRRVKCDLGQPNCARCTKIGKVCEGYERG LVFLNRTATGLEKRKGLEEALPRKSSESTILGNNIEGIADGSCLADMNVEAQRVPPQI DGKILIVKRYESLFLEDFLPSDPRRGGNILGLWLLESVCAVKPNRALDCANEALFLTR VGRMNGDSSTATQGRLRYGQALREVQRALLDKIEALRDETLAACQALSLYETLESSGS AVRGQKNHLQGIVKLLKHRQSIKSQSALSVALTDYFYYTTMVLCIQQRSLAAFGNLGG KASIWPYGNTIEQKLYDKGFLLAATFEAIDRTLDTETISERGPRITALVDRCQKLDQE LDIWYAELLEAAKSKHTWQAFLPQLQNQTQEPIAFPDLRLAHILLCFWALRMVLTLTL VSLHKMRFEAQDKTQDHNIDTGSQQTTSDSMERESRGGYQTQQGPPVKEMFGKATYYA DLIVRGLPYVTREEMGIASWLRSIFGLRGALGVFRFTRNLEKVRYCEEVVAMLTTERG ISFAADIARQSARWGDTKERYP LY89DRAFT_740269 MRFSIPLLTLTTLSTLIFAHNLPFTFQHVFSVQITIAPALPSIN VPGGTLVIEPITGGTVNGTINGTILSGFAYPTSLTNTTIDNPSITLYGLTDDNQTFYV SEMGTGSRACQVTRIEMKINGTRYQEFNNGFLVASVVPRNETFVDVEVYLVGNVASDC GA LY89DRAFT_627155 MLDPLVDSLDMASTPSVPTHSKNKSLDLRETVLVGKMTPNSTNS VYQIQRQLFARSERVKGIDFHPVEPWILTTLYSGHVYIWSYETQAIVKTFELTDVPVR AGRFVARKNWIVCGSDDFQLRVYNYNTSEKITSFEAHPDYIRAIVVHPTQPFVLTASD DMTIKLWDWDKGWKCVQVFEGHSHYVMGLAINPKDTNTFASACLDRTVKIWSLGSSTA NFTLEAHETKGVNHVDYYPQSDKPYLLTTSDDRTVKVWDYTTKSLIAILEGHTSNVSF ACYHPELPVIISGSEDGTVKIWHANTYRLEQSLNYGLERAWCVSYQRGKQGVAVGFDE GAVVVKMGREEPAVSMDGSGKLIWARHSEVVSSIIKGGDASLKDNDPISLPTKDLGTC EVYPQTLLHSPNGRFVSVCGDGEFIIYTALAWRNKAFGSALDFVWGSKENSNDYAIRE STTSVKIFKNFVEKAGGLDVGFQAEGLTGGVLLGVKGQGGVGFFDWQTGGLVRRIEVD PKEVYWSENGELVSIACEDTFYVLRFSRENYVAAVQAGEVEDDGVEAAFEVVTDINES VRTGEWVGDCFIYTNSTNRLNYLVGDQTYTISHFDQPMYLLGYIQRDSRIYLADKDVN VTSFALSLSVVEYQTLVLRDDMESAAELLPSIPSDQLNKIARFLEGQGHKDLALEVAT DPEHKFELALALGHLPIALELAREADVEHKWKTVGDAALAGWDIALAAKCFKSAKDLG SLLLLHSSTGDRDGLKALSEQAQEAGAHNVAFSCLWQLADVDGCIDLLTKTGRTAEAV LFAQTYKPSVAVKTVGLWKQSLEKEKKGRVAKTIGVPGEDDELFPEWEDYLRLAGEGV KLIDVNGESEEPVNGDAAEAEEEEEEVEVEE LY89DRAFT_689759 MKAVHFGAGNIGRGFVAEFLHNSGYEVVFCDVMDSVIDQLNASK SYKVIQVGAEGTSETTITNYRAINSKHNEAQVIDEITTADIVTCSVGPNILKFIAPVI AKGVDARPNDATPIAVIACENAIGATDTLAEHIKHPKHTPEHRLDDHHERARYANSAI DRIVPAQDPNAGLDVKLEKFYEWVVDRSPFHDHEPPAIKGVKWVDDLIPYIERKLFTV NTGHAAAAYHGYYHKKTTVYDALQEPMIVDEVRKALAETSTLIVGKHGIETEEQKAYV EKIIKRIGNPHLEDAVERVGRAPLRKLSRKERFIGPAAELAEEGKPFDALLDAAEMAF RFQNVEGDEESAELAKIMAGTSPEQVVEKVCGLTSSDKLFPHVVEVVKRVQADA LY89DRAFT_263953 MMMMEGHNAWRGNDRIISTKSRLRFTTIWASSTVSSMRTRARKD AVRMPHLLGRFRDHASFLSPSNKVTLGLAMLLLLTRRSHRIIDSLSQQRRLLDVRSLK KSMAILIYPPRSYLFLLIHPVPRLLASP LY89DRAFT_740272 MPGVHLPSRLGSLRRSEKSKGKQPATGLPSNDLDADCDFDPRVF NPFDQPDMSLTADGRLTKPSRLDPYSIVDSPQQTLTQYLDADLQRRKTLRAAASRSQR NGGEGSSSGPSHRRIRALRTDDGVPGSLNDIQQPPQRGMRPGPVSHRASRSVREGTKD IMSSPQTIRRVSVSRTLGKNEAHIVSPSFHRPMPALLETSGEQLWATAEQILEPRLIL PRPMSLNECLESHLQRRTSNRSPDSGVSGVSTTSKEDSKGKSRVGDHRLCKSGQEIDP ANISQTDQHLRGRPRTRQRRSKSYSCPTRSSESWLDSTEARRGRPQRRSDDRPRKRDR ASSTERYQQWYLKEIQEELHARGRFAVILQRQENLKEELLEGHLQRILDSARRGPDPI YTSELTADLTGAGYDKRRWIRNKIPIRIHDVRQLKAVSSIRWTPKTEATMTSARIADV EETGEVHVKLSDRIKIGWAKFRAKSNQAEIEDDKVHECLVGKHHPKLCQENRLRRQVG AIPGKIC LY89DRAFT_740273 MYIFSRALLIASLLGFVLSIVLHPIHDSPRKSIHHKDFPSITNI DPPAAGSCLANGLLCVKDSGCCSKNCSGRVCKPKLEGRLGLKKKQAPYKIRPKATKQE KSAGVRTAQMEQWVTKQKPLGKDGLQSHQPKEDV LY89DRAFT_627160 MRKMSMHTVANLPRLSSTTLSEILLSNSSSNLDASTPPSKIAII DVRDDDHIGGHIKHSIHAPSHTLDHKIPELVRKLKDKETVVFHCALSQQRGPSAALRY IRERERSMGLGAHIESGSGVGQVLKKNREDEAQEGEDRDAEWEDVEEEKKEQKVYVLD RGFVGWQERFGEDPRLTEGYRKEIWKDGY LY89DRAFT_723737 MMFDVRYIPGTSAAKPYCLSTLSRNINIMYFPRDVQPAASPEWC SNWDKIFHPHKNCPKPTSTTAYSSAPSASTTPAYDPRPDHGPDPITMVIIFVGAFIGL AAIIAVISSIAKASIQKRRLREQARNSHRVRSQPQPTLKSKLSSWWNRQKSGRRSRSR DVEMGRFKRGLSRVRSIAKSNPELSNVPPVGVQPPASDPNTPQRLRRSGEAVVRSQGV EVEPRRNPPQYENTPISDQFMSAAERGVEETVATPPPTYNQRAGDRYFWEDDGTPAPG YTPFLRREARPRD LY89DRAFT_596536 MLIVGLVCGLVFGLRARAHAHSSNISLDVDLGYSTYQGANGASG VSQWLGIRYAAAPIGNLRFRAPQDPVTDNKTYVANTRGPICHSSPSTSLSATNSEDCL FLNVFAPTTSRGSHPVFIYFQGGGFNTLSAPDLDGTSLISAGDHDIVVVTFNYRVGPY GFLASKEVQSDGDLNAGLLDQRKALEWIQKYIHLFGGDPTRVTIGGASAGGASVDLHM SAYGGRNDGLFHQAAAESQSFGAQLTVEESQYQYDALAKRVGCNTTDSLNCLRNVNIE TIADNNPNIPTPGGSGGDPVFMYSPVIDGNFTQDYTYNAFAQGKFVKIPSIFGDDTNE GTIFTPTGINTSAQFHAFLKDNFVNLTAANLAQIDNFYPQAGQFPGKGVFWQTAANAY GDMRYICPGINISSMLSSHGVDKSWNYHWDVLEAGNAASGLGVTHTAESGSIWGSSTA PENALIPTIQGYWTSFIRTGDPNTHKISTAPTWGTFSASSMQRIHFTNDPANVAMEVV PASQQARCQYLSSIGPSLQQ LY89DRAFT_264012 MFDFFDCCRRDRAASGTNRLLGTVYFQTVAACALTSSGAASMTY PMDPRTWAQYHGDIRWTPESYEGRTREKESFTKSSNVTVYCPASMLASLPIDGPKSLH ETLPFALHPQAQDICVGESMSTPKIPSLSQYIPVC LY89DRAFT_689765 MVVQPTGIGALPNEILISILSTFSTISLLPLTLTSHRFHSLIAG ILYRRLIDIAQLKDRNLLLECYHPSTKLSTPSLSCEYLGTSELDGSGESSEADLYAGL KECKIGQLGKLAGLYSHFRPLKPEAERRTVRRHPAGGSFIMAANDLVDDHEEYVCQNV HLEAHERFSQLCTVTNVVKPGPRGLLLGCVNISDGVTRVQRHWLAAQARSNPETMSGE KRERLLWADNSENVGLKMRVKERDDGATPILVRDDEDEAVSYTLQYEELVIRTKELLL MVEKSIDQEVSHSGKAIVIGSWDQ LY89DRAFT_656415 MSLAAHPSPNAPLLSHFSLAGKTALVTGGTRGIGLEVARGLAEA GSKVAIKASGQTVKAYKCNVTHQPEISSTIDTVRTELGSGVLDIVVANAGIATHHAGL DYTPEQFQEIMNVNLNGAFYTAQAAGRIFEKQGFGNVIFTASVSAILVNIPQKQAAYN ASKAAVVQLARCLSVEWVKFARVNCVSPGYIATDMLDIHPKEWREKWFGMIPAARLCE PAELKGAYVFLASDASSYMTGANLVIDGGYTLP LY89DRAFT_627171 MSPSVTNTEVNPAFVLHSIKNVSIENREIPKLRNEHDVRVHIEQ TGICGSDVHYWQRGRIGDFILTSPIVLGHESSGTVVEIGKAVKNLKVGDRVAIEPGVP CRYCNFCREGAYNLCADTIFAATPPWDGTLQKYYTVASDYCYPIPAHMSAEDGAMVEP TAVAVQICKVADLRANQTVIVFGCGPIGVLCQAMAKAYGAKKVIGVDISKARTEFAKK YAADEVFVPGRAPAGVDPVDASRAIGEKIIAEFGLGEGADVILECTGAEPCIQAGVFA AKKGGTYVQAGMGKENVVFPITTACIRALNIKGSIRYTAGCYETAVDMVASGKIDVKA LITHRFKFEDAEQAFELVKKGQEDVLKVVIEGVL LY89DRAFT_656420 MATNGTRKSIRAVNPPVADSVFQSFRLDGKTVIITGGSGGIGYE VARGLAEAGANIAIWYSSSKTAQKQAATIAKDFNVKTATYKVQVENYAEVEEAVASVV KDFGRLDVMIANAGIPSNAGGLDGTVSDWDHVRAVDFDGAYYCARAAGLVFKAQGYGK CIFTASMSGYAANVPQEQSCLQGWCCKFTAQWEKIHLSKSLAVEWAKWGGRVNSVSPG YIDTEISGDCPFEMKEAWYDLTPLRRDADPRELKGVYLYLASDASSYTTGADFAIDGG YTAR LY89DRAFT_596193 MKDQDIVGSALAEVLPRNSKYWFQTRHILHLNLLLLVPLLSSSV AGYDGSLMNGLQSLQQWRDYFGNPAGSLLGLVNGAQSIGSVLSLPLVGWLSDKFGRKP ILLAGIVMIILATIIQAASVNLAMFIVSRLIVGFGGMFVVQPSPMLIAELSYPTHRGK YTSAFWTMYYLGAILASWTTFGTQDYTSDWSWRIPSILQAGFPLVQLCFWFWIPESPR WLIDQDRISDARAILTKYHAGPEYQSTSSTSPLVSFEISEIIQTINMEKAAKNTGWSA LVATPGNRKRTLIAVCLGAFAQWNGIGVVSYYLTLVLDTVGITDSFDQTLINGLLQIF NFGAAFSAAILVDRLGRRTLFIWSGIGMLISYIIWTACSAVNSNTGSKPAGIVVVVCL FTFFFHYDIAYTPLLMSYPTEIFPYYLRSKGIAVELFAIYGSLVIAAFVNPIGLENIG WKYYIVFCCFLVVFLVVTYFLFPETKGHSLEEIAVIFDGEEATVDHDAKDAIEDVTGH VERRSSENERV LY89DRAFT_700957 MNADQACKECRRRKAKCNRGLPTCSLCIRYRRHCLYEKHSRTPL TRKHLTEVEERLERAEALLARFRLSQTRQSPSLSDSVHQHDIPAAFSFSSPETPFPGS SDLFIPPLTNFQQADASNFLDSSESHVLMDQLPQHDSSSAQAANRPSNCEAYEEPSAP TVEDPTSSSMLECPPVNDFEWDERPAPFSQLLDQESPSWASKSSEDGEIEENIIDGMA SLTVDDREAGYLGVASGAALLRIIDPLPESRKKLTNLRNRLDRFSSGSRTMTTPLLEQ PNPNRQILDSMIDSYFRVYHLNYPIVHEPMFRAQYSEVIPRPNGDCWLILAYVVAAIG VFTTATSSSDNTDLDIFAQAKLLFSINLLEVGNLTLVQALTLISNYQQKRNMPNSGYN YLGLAQRMAMGLGLHKEFQGRRVWWLLCVFDVGATITFSRPMTWPWKGSEVALPMNIS DKELTASSRSYPAEVAEITPYTAVRTQAVFHRNTNQIYTRVISKPLSTAKELLDLDDT LIGDWLSKLPSHFKEQSSVSPKYAFAHAVMSWRYRNLRIIMYRPFVIRKALYRNGQKD DSAESNKAYERCLDEAKSSIHAISQFWKVNEHTRVAACALIPCICLRNSPLSQHAPDW RSQVRITLDTIYSLSSINSSSPRCYQVILKLCRPFLQHNDSEEDMGGTIRGPGLEDHD GHGLGMSPINESPQTQMSSVYPMMWPNINEYEADVIMQDDAWMEFLRGENPDLGNLGS LY89DRAFT_740284 MSSVDTQTAELFDIVDNNEASSPRVRTSTSTQRASPRKLPRIIY YPPPARMSVRRSPIEDVVSSDDVPSLRPSIEGHTLTPTPGAPSRTSQTSPLRQTDFAE IADNDPKLLTVL LY89DRAFT_760559 MSLNNCKQNGPTKMPLDNPMFTLFNRLPTELRLKIWEAARPGPR VVNIKERLVERNGQPTWALWSPSKAPSPLFACRESHHVALKFFVPCFAFASSIPETYF DFRTDTCYLRFDAFADDCADVFEFFIQRLERIYDTDHVRQVQNLAVLLNPEDVSARVY QLAQILSFFGSIQKLTVVVGHFDREEDDQGDILFIEAIDVTKTIQNYEALSQEPPQFH ETMETPLAMDLISPAELESSLENRRHFNRILTQERIEEGREVEDLGDIPMPHIEYKSV ITGSLKSRLDSLREEYRQKIKEHDDQSGE LY89DRAFT_656426 MTIPTTSKFDFIVVGGGTAGNVVAGRLAENPKVTVLVIEAGPGN PREIPEITTPARAFELRDSNYDWAYKTTMIDRPDYTRVEKPNTRGRVLGGSSCLNYYT WVPGSAATFDDWEAFGGSDWNWAKCEPYLKKPAKYFDDENLYPAELKKVGSEQGILPV SHAELVPEMAPFRDALTKAWVSKGEKLTDDIYSGKMAGLVKCISSIHKGFRSSSYVFV EGKPNITILSSTHSKKLVLDGTTVTGVEVIGPDGKELTFYADKEVIVSSGVFESPKLL LLSGIGPEEVLSEHGITPVLKSSHVGKNLLDHPIVPHVFMLKNDLGLDNHLLRSGPAK AGAISVYQKDRTGPLSSGLLELVGFPRIDERLMKSKEYVAAKAKNGGLDPFGPGGQPH FEIDFVPMFCDAFQWHFPTPPTGSWFTIIVDLLRPVSPPGQVKLNSANCLDQPNINLN FLADDLDVMALREGVRFVDDILMNGEGMKDIIGSDYPWPMPRNSDAAMDKLVLERSQT GFHPCGTARLSKNIEQGVVDSQLKVHGIKNLRVIDASVFPIIPDCRIQNAVYMVAEKG ADIIKAEYSSLY LY89DRAFT_740287 MFNKLSFNSDILFQMASQLHNSATPAYTLAEGCPQKDPASSFSI NKPGGGSLLLLQDTQLIEKLAHFARERIPERVVHASAAGAFGEFEVTHDITDLTSAAF LSEVGKKTPFLVRISTVGPERGSADTVRDVRGWAMKLKTDEGNQDWVFNDIPVFFVRD PIKFPSVNRSHKRHPRTHLPDSTMFWDFHNNNQESIHALMCLFSDRGTPASLRNIDAF GGHTYKFTKTDGTFHYVKIHFKTNHGIKNFTDAEATRIAGENPNHNVQDLFENIEKGD FPSWTAYLQDMTPEQAESYKWNIFDMTKVWPHKDFPLRPFGKIVFNKNPQNYFADIEQ ASFSPSTMVPGIEPSADPMLQARMFSYPDAARYRLGVNYQQLPTNCPVSPVYSPMQRD GAANFRGNYGPDPNYVRSSLRSMTYAAGNVSHDEWAGKAASYSSEVTDDDFVQATALW AVLGTQPGQQENFVSNVAGHLKSAIPQVQEKTIEMFSRVDEGLGKLIAKAL LY89DRAFT_689771 MGSRSSSDCSDEESSDSSLQSFLAELSSADALPSSRTSPSSLKA IEADIMSVEESLQSDELEVHSLQSLGRAENILGLPGHQPSLTVTTQRFESRAGHDIFS IDLPSRNNSDSPQTDPDFEESPVSSSPCTSTSWIAGTGMISSDMANSMISSDGNGERS IGDIRRDIFYDAEDRSDRARELDVGGDAALVDMFHAGDLQESEESETSESGEESECSG RDLGDDMDDISTNKISSEQAQIALAVTLVVMATLWAWECSCEVH LY89DRAFT_740289 MDPSATASGNQPANPTATQRPAASSNNDPLRAEPTQFGQAYSER NPAPNIQNFREQEQKRQEASRRYFEETENQPKPDDKKEKEEADAEDAPKAGETDDVPI GAEKSNVLFHPTPEVNFDRVFDTVHKDLRRVSYIIFGSIMILDWLFIGGGFKGLLKSL FPAVGVSGTVFLILAAVSKQAVDRKLDQNEPETEKLKYVPESVEWMNSLVETLWATLQ QEFFDNIASQINDMIKPYIPEGVPATVKITGLSHGCHSVRVLSMRSLPDSEFGDMVPT HGIDKSGSIDQAKEREKAVEREEGGVFYNLEIAVAYHEAPFRPRKDHMHVDILAMLGP VPLPIFVQVKEFVAIIRIRLQMHPDLPFLKNVTFALTENPKINACVSLGAPWALDLLN LPIIDSVLVSQIDAAAADFVQPKSMSLDMTVYVGGSDQKEDTEAIGVLLVKIHRARSL ARQDTRGPGADPYLTIAFSKYEKPMYATRIIKGDRNPVWEESAIIMIRSEHVKRQENV LLRLWDSDTTGSDDVSGVCEFPLQELILKANQMQKREDKLQGDVSGTDAEGVLEWEIG YFPRAEYEKALRTDAMDPRDHHGEKQEVAEEEKTQNAQNTVPDPNLPSGILGLTIHQC INVQVDNPNKKSMGHIKVRGQDSDGEDNDEDETINVDYIPSLYVTADINDKLAYRTRV KNIHAAPTFNSSTETYVRDWRLATLTLSVWDTRKKTRDCLVGVVGLKMSDIFHESSSV VKFYDLKGGAGTGRIRVSMIFRSMRMKLEESLLGYDIGSFAVSSPITATGEMHTSKLK VRTSAVQRSLKNGSKSDDMVSYTLEKDQELLPVHYRYMSPLVFEFSGAAAQVPGPLGS KKHFAVLWLYKLIDNKEETFTLPIYKTNNPDRFTQNVVNESDDTMKLEKVGEVTFSGK FKAGLDESHEEALRDHNHWSTFQSWAAARKSGQRDIQANRTTSEQVDKLAQGIKKSQS IHV LY89DRAFT_656434 MPPYSARNKVPTIQKLGKELAERLNLQQADASKAASGKDSEKIA KAKAASRRKVIDPTTKNEVIIQDVDGDFEASIRKPTITVPNPTNLPKGFGEEFRQTLD ELAPPEADPGRTKDFLHRSTNREVIYHPVPIADLQRSFYALEGAVRATEIGVVIGTVV LNGLFLGWGFKSFFSSFFAGIIVACGIHLWLRNIQEDANAINWDAERKRAVAATESLV PESVEWMNSLVGIVWNLINPEMFAAMADTLEDVMQASVPPALIQNVRVSSIGLGDQAF KVLSLRALPSAEGDSPSKHKSAGNEKSQEEKDLMKEQRELEGEDDEDSKYYNLEMSFA YHAIPAKGVIGKAKNLHLEVIFYLGVQGLVGVPLPIFVELNGIIGTVRLRFQLTPNPP FLKNVTFTFMGLPKIDASAVPLTSKGINVLDLPLISGFVNSSIAAALDVYVAPKSLIM DMSKMIQGDAVKKETDAMGLIYIKIKRAEGIAAQDRSGKSDPFITLAFSEFGKPMYCT RIIEQDLNPVWNEQTCLLIYQDQLKAGEKLSVELWDSDMITADDVVGKVDFDLRDLIK NHGNKITERADELCDEKGEKLPGKLYWDIGYFPRSEFKASMKTDGKNVAVPEEIRHRP EFDDDKGVVTTQQEIDVTTTPPDPSLPTGICSILIHQVENLEVERQSGSFGSYKPWTP AQVTGENTDEEGDDHPSSYCTILQNDELVFKTRTKVKSSAPIFNAQTERFVRDWRNAV FTVTCRDSVHREHDPILGAVTLKLSEILQTSSQSTAVYALDGGMGYGRITISVLFRSV GLQLPRELLGWDLGSLEVLGQKVLVEDGNQLAGTRIAIHTDVGKGSISRSWIDKDNPN GVAWDLQQVTEGDKALQKHRILMPVRRRYQSPVKFEFYSRGNRKPIGYAISWLCDLED NKETVLGLPVYKSDEGMQNYHSDAKGEKIGTLNLIVRFKMGMDGSHMQWIKTNDEHET FESWRCSVAEGYRTRIVKRETPETVKELLQDSKVDGPNTKMDESEDESEQVARVPRGD NVEKDIDEPGGDVNFGDELTDFMSPVSDDDDDLVVQEDFTDSELDDEELKKRRQKQDK DAQKAELHRKHRGSMNIKALRHLKFTKDEAKVLGHKIKGRFSMKGREPGVETEL LY89DRAFT_596389 FVYLLEHKVVVCIKCKHAMLPSNVDTHLRDENTHNMPKESRGLV VQEIQKIQGLITSKADLNRLVFPPVGNPPIPVLQEPRTNGKKCQLPSKAGKPC LY89DRAFT_596276 LPAKSISFGTTVVISPLVSLQDHIVERCQQAGISCVKWDPRQCH SPSQIVIITLESAVSKTFGTFLDRLQGLHLLERFVFNEYYTPLDSTAEFRPKMRQLGE LMEREVQIVYLTATLPPYAELEFMNIMRIKADDVYIFRSPTSRPNIAYSIVEYEEDEF GRRDIIAACRLVEQKLEEYAALAKIIIYSSSIITTQEVSSALGYHAYYRDVGDTAVKD EIRKAWESADRRVVVTTNAFRLGIDRPDVRVVVYIGPIY LY89DRAFT_689775 MSDGFLYKPEWQVLLCTQCGFCLRPGRSVWLRHLRQKPHCLRGA PLKALVELFATYGLLVPEQVAVPTQVVAGLRLQDGF LY89DRAFT_689776 MHASFAVPKTAESEPELFLMLEIMDEIFTEAHSWCFDGPDCMLT WPRQLALSRFHTAAASGQKLRAFDPKKEPNTLKTNFGY LY89DRAFT_689778 IVLLLGHNPNVSLNLFGILVVSSIVNLKAPNVSYKLSFNDINCY LPLVGYV LY89DRAFT_740294 MATLAFKLYTYKPTAPRSKSTATHRRPTAEQVSILSKLQHITSS SASLSTPRPAANDEGYRPGIQGTSTVFGGSDNANNNETDDDDRDLPTIEELLFTNLQA QGFTTGDRGPDKMSGVEEVATNKRDDPIILLCDSNSSASEAEANDVSLRAKSAIAPGA GLFDSLELAIDSTTPAPPRSSDRWHDIKDFLEPAPRLRLAKQGASTPDSLPPHTPSSR LSSEPLNDSISLEGLRRARSETTTSSSSPPPHYARASPYTQFS LY89DRAFT_787587 MSPDRMAYYIAFREENADEIRALCIKKNCQIFKDACQQIERMLL GAADTSAVALEILSGLTRTQIVLVSCATVVEVQCFHSVTRSADLRDWTEEEREAFVRK FTSFVDGYWDQERILNDWEELVKVLFDKVWERLSGLPLASSGYPSVWAGVEIWSKLDR LPRETEILRLELVID LY89DRAFT_689780 MASPPPAYDTSTSLGADSVDPDQTTHPWLTPTAILPSYSTSSPL CWMEEEALGILRTSHDFDAIRLICRFVPSLDPSVAAALLSARRNGWLNAF LY89DRAFT_675400 MSATPDNTSTLPPTREEFPTATAKTLEGEAALYKPVLAAARDLS QRFPPEHISKAFLLIEIEDLAEKELNNIRDDIDKKVKPWDLDRYHRNVLLTPSWTAVP DTPDAFFSRHAGTKARLILRLAGKAIIPKLNDVALHEQIQAYIDRWNTRDIEPEVAER AQKRAQKLENSQKKRQRESTTAQTTASKRQVATTYSGQNSLRQPQAPSAQPSYTRDIP QQGIMTQVQALPTIASLHAELPNFQPHQSLPSLPSTRQATTQTDNVTNYHAFHASPGP YYLNQQNLQTLDEVHQGLRLQLRQQEKEQQQEQQQELFEEIIEPQWDLDGFDYY LY89DRAFT_689786 MSGSGGYYKYRYKFWLTYNCPKWVWVNNAPCAHCLADGRDDELP PKEDVRSIESSVRVSGRASRLIEGASSQAGFLEEDLARYCIEKVSLQNPNDNSSVALM LY89DRAFT_597335 SIPIIPISALHGDNIVEKSPKCPWYDGWKTLDRSGMSLLEALDA SLERA LY89DRAFT_597275 MTNLQVIRRAAADTESTVLDIYTSTEAVIFLGTPHRGSSKAGIA EVVRKIVSVSGFDTTDKNIRALQVNSTELELIHELFMKLYEQKERQFKVLTFQEAKGV SGISYLKLNERVVEPFSSSITGTEPTQTINANHMSMCRFPSRDDEGYKQILGEILILV SDKERKLNPSSALTDVERKCVALLMQNTSSAAEYKSSLPHRVEGTCQWILSNSQYRDW NSQKETCLLWISGYPGTGKTILSTYILEYLTAGDLSPSLHTTICYFFCDEKISTQRDG MAILRSLIHQLLLYRRLLIKYVKSAYDFHGPQLEQNFNELWRIFVAIASDKRTGPVSV IIDAIDECEEATRERFLQHVSQLMSKSWPTGSSTPCIKFLVTSRPLLGRRCPGNLLQI DPSQNHVEQDLRLVIRMKVERIVQRTRCHPDVRTYLENALFSRADRTFLWVTLVLHLL ERSFLASQKDFKRIIDEMPKSLSTTYQRFLQGISMEYQPLANRLLHFLVGSSRPLTLK EMRILIAIQDHHHTLAAVEEDAQPNIQDTIEGVLGPLVRIWDSRIYLVHQSLKEFLQI LSTQSENPLSAIYGVDGHEANLLLAERCISYLLLKDFRCDLFSPDSGNSPTSLVEGSL EAAPIGQLWDPFDLGDDTFFKDPAVSEAETCLSIGSRYAFFDYSSRHWAQHFSFACLI SPPKIQESAIMLADVSTHRGSNWFRFYWHHAEMTLPYPRNFVPITTASYFGHSTSVEL LLRHESTMEPSIGAYGLCWAARMGHTGAVDLLLGAGVDPDTSTVDGQNALTAAVQFNR IDIVKRLLEDDGFIPERNEYRVNYAPLGGRTPLSIAAGNGHVEIVSQLLLHARIKPDM ADFNQWTPLFWTVGGRHLDVLQLLVSDPCVSINHVDRSGRNVLSWAAAEGELELVRYL FSMKHLHVDEGDRAGRTPFSWAAGNGHLETTVYLRRSERINLSRKDKHGRNAISWACS GGHHRVVEYLIKHDRRAVDEEDVDGWTPLAWALFSQNPRTVKVLLESGSVNVNKKDHS GRSALSFAAGYGYLDIVQMLLNTEGIEVESRDNDGLTPLSHAARCPAIVKVLQTFSK LY89DRAFT_265419 MVLNAAISFVSSAGSAPSFRLCFFSYQAVKERKLVGSNPSCAPT FAGCISILTAGCASALIDRETDAPTNGKVLSSVTVELAFLP LY89DRAFT_723753 MAPIKVGINGFGRIGRLAFRHSIVDNAVDVVAINDPFIEPHNAL KLIPNQTNPSHTSTLPGGAQYEKAMSLEEIEQIIGIKNIPGVDQLEEIKQIYVTKAPQ ISRDKEAWQNEKADEDMEDEEATAFIQEVYTRVTPTMEKILSEDMVDLIDIKRIEDIV VKAHFQAAKFEKDPTNARAKEQFLSRVQELAELVEASSYPSTWILKPLPEAPKPPPSG GNGTGPTGTTGTAPTGTAPAGVIASVITDNGTGRTDIGTDPYELNKLNAGNPGFTEDG EMIMGHKFKYFRKGDKKGQVYSGQLFVAIGPQACPHVKVLPRKDFTKNTVDAYRNCPH AMEVGGRTKKDEFVKILCTAAESCGPEPLKEPAIYALCERKEGNPIWTWRTDL LY89DRAFT_760602 MTSMNGTMETPVGHTGKPLLTKESKPVKIAIVGIGNVGATTAYA LLLSGLAADIVLIDVNEKKAQGEAMDLNHAVPFSHPTKIWAGHYSDCEGAAIVIIAAG INQKPGQSRMDLLKLNFGIFKSIIPEIVRYASDSILLIATNPVDVLTYASWKLSGFPT NRVIGSGTALDTARFRYLLGQYYEVDPQSVHADIIGEHGETELPVWSLASIAGMRLED YCREAGKDFDSKGMLQCFHTTKNAARDIIQLKGMTDYGVAAALVRIVETILRDENTLL TVSTVGPHAGVDDVCLSIPTKVNRGGANHVLKVMLNSEEQKALLKSAESVKAAMDTLN FG LY89DRAFT_700964 MPLRGKKENSATLADPVMLEKIDKLFACNVGNYIDLPQIVVVGD QSSGKSSGLEGLTDLPFPRDSTLCTRFATQIIFRRSSTSKISAWIIPAKSATTEHIEQ VRTWSKEIETLDQKTFTEIMHEVHKIMEISNSDDPGRSNTFSEDVLCLEVSGPEQQHF SVIDVPGIFKRTTQGVTNKADMQMVKSMVQGYMENPRSVILAIIPANVDIATQEILEM AEEVDPEGQRTLGVLTKPDLVDKGTESGVGDLIEGRKHQLNLGWHLVRNPGQLELSDP TSDRHALEKGFFETVTPFNRLAKDRVGIPALKLRLQEVLATHTRREFPKVKAELNKKL KDCRASLLSLGASRDTTSDQREYLMDIVTRFQKVTSLALGARYWADQIFNGHPKLCLA TRIVGCNETFSKAVEMLGHTYEFEVSSTIGGLDVSESEIEGRDTFRTRAIEPHNDIED ILCEEDELSVPLKHGIHDWLTSVYNESRGFELGTFDPSLLAITLTKQSMKWDSIALGY VSDAATIVHNFITDLLQLVCPDDRVRNGLMCLLIDELLERYKRAVDQVKFVLEVEKSK PATQNHYFNDTLEKCRQKRMQEAMSKKSFDDCTHGSVVRLDDIMVHHPMSNVEHTVQD LHDILRSYYQVAWKRVVDVICMQATEYHLMSGPATPLRLLSPSFLGKMTSEQMEEIAG EDARQKRQRKQLQKEIEELEKGKKILM LY89DRAFT_597262 ENDGNGNARIITVLTERMSGFTRRLRAIPTTVRTFVEGPYGHSP LSGNDGNFIFFSSGIGITAHLGSIKQLLDARDQGPTNTG LY89DRAFT_675407 MSPPCTELCVFPLKPGYDIGNSNHNAADVLRDCLLTVLQQPGAQ EIKFGTWVENARNLELFVDWDEKKNHDDFRATDAYGPFCQQFLSILEGNLLVIHIDFQ PASKLSTVLAAPVTEVLTFYFDGAPPASYLDAVVTFAQHCLGAKGGTSTAIPGFMDLA AGITYQDLMHKGVKGKAAVVLIGWTSVDAHMKFRETQYFKDHFHLLLRDAKQFEMHHV ACLDFRGHVIGQNARP LY89DRAFT_689792 MDTKRGIRGDVEQNGKEQQHQVLLQQQQQQQQQQLFSLPKDPIA IDDSDDSDDDGAGRIDGGFDAWLV LY89DRAFT_689793 MRTAAFLILGVQIFAVFTVRPRTKPVARKISFSHYLTPFTEYPF ALLLLGLFFLTFGIYLPVVYLASSGYQVAHMSQEMAQYLVPIFNAVSLFGRLVPGYIA DRIGRWNTFIIACALCGVSELAVWLVAKTSAKMIGFAVFFGFMSGAFTSLSGALPISV SPAGEIGYRLGLTYLAFSIPGITMGVIGGPIIESSSFGWSGVELFAALTCFVGSAITL YSRWLYTNKKFFERF LY89DRAFT_675409 MIGPDLDMTFQTQLQNFDGFSNNQNTLPTELWEQFGQSGRTQTL PTIGCFDNNFLDKLFQTPTIPSSADESSRPTSNAQSPTRTTKGVRTDNEILGHTAMLS SMPQHQRAIEASPVHSGQMSSELASPHTKSVSSEDIKLYTTQILDLHRRSTRDADEYM ATRHSSPDI LY89DRAFT_689796 MGDVALGNLPATLPLYIPEIEIGGIRLMDQPGLQLESLLKASSV ILESIDDSLGLGATASSNGSSSGAQPQGLLLDALLGQSDLVYQKADGTREARVKQTIS QIHQFLDSARK LY89DRAFT_597045 MPERARPTRTGRPRESLNEQEVRDIIEYICTDHATRVLNWIQIH DELQLSCSVKTLKRRCKEAGYYSCICCQKPYLTKTQANAGWLWGIAHMFWTIWEWSQI LYSDEVTFQVGGKKCKQRCIRNKKERCHPDCIQFQMHRGGTIPVHFFGAVGYGYKSLL INIHGTGKSGAFTQTDYLAQVLKPYIQDFLAAFAAVLGPGKTPQFMEDGNSAHGHKTT SNICATWRTSMGITLFPHPAVSPDMNPIEKCWRRIKQALHRRLRQPTTEVQMVVAVLE EWDKIPQEWINGLIEQQDFWVHDLIKRCGWSTAN LY89DRAFT_740308 MTLIHIVMITFKPEVTDEQKEQFKRDLREAAKTFPSVIDGRLIA GGPSVAQPYAMSSTSFDIASVSYHTGKEALNAYYASDEYEQLTSKYIYPLASDVIRFD FEVDSQDEHLCVIPR LY89DRAFT_710799 MSSFQLSTVTLRGCVLPASKRGPAIIMSAGFNMPKDAILPDIGK WFQEHGISCLLFDSQGIGSSDGEPRNDVDARQQAEHLHDAVTWFLEQPYVDDTKIALW GLCFGGNVSLAAAAFERRNFSKRVSAVIAVAPLIDSTGNPERRQPILELAMHDRVSRL AGEEPMYLPYVNEDGSVPNGLQMPADMMPALDRLGIPVENRISFDMSCPTKEQLQCFE LMDEPKELDILKGKGHLDWVFGDVESILERQLDFLKRRLVF LY89DRAFT_710801 MKAIISAGPVGYRFVEDFDIPTPRPRSILVRVHAVALNPRDGKM VDIGTATGSLAGCDSSGTVVTVGEGVTRFKEGDRILAVTFGSNTSDKTKGAFSEFAFA DEDFSCHVPERLTFVQACSIGLSVATAGLGLFQAPGLMLSMEHGSGETVLISGGATAT GTMATQLLKVAGYHVIVTCSPVNNDLCKSYGASACFDYHSPTCGADIRVHTNNSLRYV LDCVTDTPTMKMCYESIGSSGGSYIALEPIAVDVKYTRRDVRAGWFLTDAIAGDGIQM SGTYGRPPTPEYRQYGKELFALVERWLQEGSIVLHPIEVLDGGLRNLPGAIADMKLGK VHAKKLVMSVLATGDI LY89DRAFT_723760 MGSQGTQAVHCFPREPIAIVGSSFRFPGGATSPSKLWDLLERPR DVLQEIPESRFSTKTFYHQDGQHHGSMNVKHAYLLDEDPRAFDRDFFSINPKEAEAMD PQQRVLLETVYEGVESAGYSMQQLRGSRTAVLVGCMSYDYHFMAIRGIDSLPRYHATG TAASILANRISYFFDWRGPSATIDTACSSSLVALHQAVSALWNGEVDMAVAAGSNLIL GPEPFVSESKLNMLSPNGRSFMWDASADGYTRGEGFCAVFLKTLSRALADGDHIESIV RETGVNSDGKTPGITMPSSESQAQLILDTYARCGLDPTLESDRPQYFEAHGTGTPAGD PIEARAIQTVFFPDGETGRLMVGSIKTVIGHTEGTAGVAGVLKVSLAVQHGVIPANLH FKNLNPKIRPYYTNLQIPSSTTPWPPVSQGSPRRVSVNSFGFGGTNAHAIIESWDSHG VLSNGHTAGNQALTSVPANGPFPTYNAGPFLLSASSGQALASSAEAMISYLRANPDVN LDRLGYSLMKRTAFPFRVAFSATSTEKLADKIEASKDSLQNASRAMAIPETLPLRILG VFTGQGAQWATMGAELYGASDVFRRAIDKMQQSLDSLPAEDRPNWSLVDQLQAAPASS RVGEAAISQPLCTALQVALVDTLHAASIHFDAVIGHSSGEIAAAYAAGYLNAFDAIRV AHYRGVHSVLAQGEFGEALAVAASNSQTSCTIAGDAEAIEEAFARLQERGIFARMLQS CANPYLDSMRRCRVKLQKGYKQCRWYSSVWGSDGRSRSFDQADGRLLEGPYWLDNMTQ TVLFSQALTRALSEDQCFDAILEVEPHPALKGPCSETIKMLTGLSIPYSGLLKRGESA VESLADAMGFLWKSFASQREILLAAAGYLSMAYEAVIRLVDDQQQVRLVELHDLDIVR AMRVQEDSSGLEVVFTLRVTTQTNDCISAELSCYTGAVDAVQPLGVPQTDMTVHFTGR ARLWLGQPMEDVLPFRKQPVLTMETLDMGHLYSNLSKEGFNYTDLFQAKAMRRRLNSA VVTLSSPPEHTQIRNSMHPAPLDTAFHGLFAGFSYPGDGRIGSIYLPTRVDYVRISMM QSESQDPVLKADATVTSTDKNIVVGDLDLFDAATLRTEVQLRGVHLTPVGNHRDPWLY ASPTWIRDAEYGIPPSKAVKISDAELVLYEQLTRTAYFYLRRLRKMILKQELLIMSKY RKHMMTWILDHLLPQIEAGEHPEIRPEWKDDTLEMVQEWRASQPADNNDMNILHAMGK NLVSVVRGITQPLKVLMQDSLLDRLYVEGIGFKHGNADLGTLVKQLTHRQPRMRMVEV GAGTGGTTRTVLDAIGNHYLSYTYTDISTGFFENARTVFSQHSGKLNFKTLNIENSPE DQGFTTGSYDMVISSNCLHATRRLEETLRNCRQLLRPGGKLVLIEITRDFLPLQLIMS TLSGWFFGIKDGRVWAPTVNLQRWDELLRATGFSGVDVSSTPSFASVIMAEEVDQTFQ LIRDPFSISLEAIPAMGDIIIVGGGASTKLPSHVQAVLLAAVPSKTVTLHPGLEGIHV PKGAVVLSLCDLDCPVFRDMDEKRFKGLQNVMEIADAVLWVSSSARRGENPDKTYLLC GMTGDLGISVCLWMIENGARNMVLTSRNPNVSPDVLDYMSSKGALVRPMAVDITNMDS LRAAYADIKSNMPRIGGVMNAAMVLRDRFFHHMSWDDFAAVFAPKVAGSKNLDDMFGT EPLDFFICFSSTTSIVGSFGQSAYAAANHYMARLIKSRLRRGLAGSVIHISILTGFGY IFRRDAEHADTIHKAILPRMERQSETDLHEMLAEAIVCGRPGSGQPSELITGIRTVFQ EDWREDPRLSCYMGQQKLEDDSDRGQAGNTISVKEQLTGAENPAECLAVLVKCFLLAL GNMLEIDPAHVNSNASVAILGIDSLIAIRIREWFLREIGVDVPVLKVMSDTYSIFRMC DDALVDWRRASKTSK LY89DRAFT_740313 MRFDSKKDITFSPPPPPPPPPPLTPQTSGTLADMVAITPFTQPS TFAPNLSPLQIRKRRSTVGQRGQSIPRKNIKMNNDGQNSIVVKDFRLTSGTGFLAQFS ETLRLSLDSNMKLARDMIGLDALSCNNRRTAVVARYNYAFD LY89DRAFT_265766 MARFNSALVLGTIVSSVLRISASILEANHILLSREADYTYLGCY NDGDGLIGTNNVDGIRMFLVFYDNNYSQTTDSCAAMCVGYQYFGTQYGYQCGCGDAIP SAVAANDDCTVPCAGDSTETCGGGWQYSIYEFSIASLPSSSELISVSSTMQAILPISS PFLLVTKSTRAAILILSSSSNPTSGTTSSPVFATPSAVVASNTTTPQSSSKAILSILS PPPINNTNSPPLISTFGPGFGASSPSFPNMPTSTCTVLGASMPPAHSPGVTLSNFTMS GNGFRNSDARVLALVAAGIAGFVL LY89DRAFT_596886 QACDTCRKKKIRCEPFSDVCAQCIKSKTPCHFTPMSVVRSRRRL TRDRRVEELERRLAWMEGQLRLPLEGRQLPEDLASGTNPKAQDITGLHEYQPTYRTSH AFSKSLGGFEPPEIEESAPVSQTSNITTPSQQKLPSKEKALHLITIYFQGVNAVHPIF DQNTFMSKFDSAYGLDCSNGDPGWWAALNVVLALAYQYWDVPVPDSKEDLEAWGYFQN ALAATNQLMTKHYTLASVQALLGMAMMMMAIPHQRPSTLLTSSAIKIAHNLGLHRQYQ SPVLSAIESAERIRVFWVAYSLDKDISLQTRQPPTQGDEDMDIELPSENDYGPVQPGG IHHSLFYFRTRLAIIQGQIYRRLLSVKAGKQSASERAMAAEELETTLQMWRTSVPVEL FRDYTGLALDGSPSEASRHPLYLQLLYFKTLAVIHESLPFIPWYHEIQSSEVRIHIMS ARVTYPVEARSALKLFSVTPRRKLACVWTALHIFITAATTLLVHTISNPSDPWVQADV ELLEPFLNLLGIIARSGVNDKVQEMYQSSMVLFQQARIAVEHSGPGANTHRHLGRGDP GARESVEDFLERMEHIKSGDSTGIS LY89DRAFT_700971 MSGTSPVILILGAGPNIGQAVARTFASRGYKVALASRSLKEADS TDNQLNIKSDFANSDDVVNAFKKVNKVFGIPSVVVYNVSASTLTPPQDPFALPLAAFN EDVAINVTSAFVAAQQATLGFAQLPASASRTFIYTGNILNITILPSFLDQGMGKSAGA HMVWAASAAYKDRGFKFYYGDERKADGTAIYRVNGDAHAKLYWELAEEKTHGPWLQTF VKGVGYTKFDSQYASSS LY89DRAFT_740317 MKLSSVLVGASALLISPTASSPITTSIENLLQLRTKLTTFKISA SSPVLPAHPHFLISDDSSTSPNQYGILVHDYYPQNVPSSGLWTLTSSHHPTDYKTRYA QQDPGQTSEWIWVDTAANIEKNDWVYVDFFVDDDTLEMKAKKEDNGNHVLQICEIALD VYYLDLSKVKGTTETTSDAKCFPVVLTAVPV LY89DRAFT_723764 MGSLDKEPTVGQFMIRLVEADTKDDDPWQRNYFAPPQNMKLVPR SYPLIDVRPLISESRYDPVDHLRTHGFGVVRSPSSFLAQHKKEELTDDNLRTGYHPEV TELIKKTLGAKHVYIGNSVLRQGKEVQEEFKPPVGPLPTPNQRKDPAVGELKGAADFR KGAAFAAAKPIRAPHMDHTTCPFTPESTKEPLGARQYIRWDKELVKHIAVDSGIIAAE DNIVEGQHFPADSEEANALLEERYNLNGLGPRYAAFSIWRPLAKVGRDPIALCQRGDE GVFAEEYVHRPYLNKIPGAEELGGDYLREYAMLGVQSEKPKSEKHTAGLKWYYVSQQQ PDEVLFIKLFDSAALRGGHAGAPYHASPEIGDLEGANARESLELRVFVFW LY89DRAFT_689803 MPLASVDATRGAKLYVEQCEHCHTIEKGGKHVFGPNLYSIFGQV SGQIPGSKASQAYKDKAIKWTLGSMFAYLEDTKTPFPGSKKPYKGFRVS LY89DRAFT_700973 MVKSAEKLVNKKIVVVGGTSGIGFGAAQAFLDAGAIVTVISSNE DRVNNAVKRLESPNVAGVVGDVRKEDEFVEVLKGLAPIDHLVFSGVDLIIRGKLEELD LDQAKHLFGVKFWGAVVIGKTAKKFDLIKPGGSLTLTSGAAAYRPGKGAATGGALNAG VIVLTKGLAADLAEKKIRVNVVVPGLVKSELWSKLGKSEQEQKEQFENTHLPVGFVAE PHHIAEAYLYLAKADFATGTSVQIDGGAQI LY89DRAFT_760652 MSKSITNTNDGGEHRKVSQRAQYFAPRSGPPQVLLDNNKEKASY RVCEDEPIHIPGAIQNFGALLGMKYNNEGKLEVRIASENTRKIIGYGPEQLFALGSFL DVLKDDVRDEMVARIEQALTYADANKKETRLDVFQISLTFPYEPETRLWCALHVAPQP EKMVVCEFEEYVDAFYLKDLRAAKNLPDLPVQSLGATSAEDLKTSTTSASKPLPVLQI ARQRKHKEFSSLDLFNALAQAQKQITDCNTVLAVEEVVVGVISELTGFHRVMLYRFDS QKNGCVDAELLNPQASTDIFRGKSKKLYDMDRTNFAKGYTIQLQIFPSRHENYTRSIV CRHESDFERPLDLTHAYLRAMSPIHNKYLANMEESISIVIGGDLWGLIACHGYGEDGI RVSLPIRELCRNIGDCAAVNIQQLSMQQRIEARRPPPSAIPTAKNPAGLLATSSADLL KLVDADFALLSIDDEARAIGRLDPYPEAIAIMSYLQSQQFTEIRASQNINADFPGVGL SAGISTIAGFLLIPLNSGGANDFLVFFRKSQLKHVKWAGNPHANDKKAVGEYLEPRTS FKRWVETIEGSSKEWTDDQLDIGWVLSLLYSRLIEIWRQKGVSNQGEKISSIRNSSRE LRTPINAVNNYIELAIENTTDNRTRQILGRAQEASNSLVHVMEDLLRLTKVDDTSAQK VGDKTFNLNLTVSRVMKALQKEAQRKSLELGIAIQDQLPTMVKGDGDRFKQVLAYLTS NAFRQSTSAVVEINTIRTKDTISIVSITVQDAGPGMSETELDDTFQEFEQAQDGDDWL FATDDATLTSNGTKKGKDSGLAIIARYVRNIDGQIRVTSEPGKGTIFTLELPFENAPS PEAIVPRKLRNLFSQSSSNSTSKFPLPPSHSPPSLRSPKSNRNMKQLEAMVPTPNGDS LSSRGGTFRLRENGHVVEAEMRDQAPPSLYRPKSEPSSMPDLDQNSRVSFASMHVLVA EDNFVSQRMLEKKLSQLGYTVVTASDGQEAHDRFVTSSNSATKIDVILMDMKMPLVDG ALSARMIRFWEKESQLRPPSDGREVQSPKQRVPIIAVASEIDNDSRFEYIQSGFDGWA LKPLDFRRLDLMLQGVKDAGMRRDSLYVPGQENGGWFFP LY89DRAFT_627230 MDEKKSTGDDSPTTPPVAAGPVSTYIKPQARSPHDAAVTFEEYH WYALRTREEEKTLESPRLNWREFLVKKKAGHDEKAQGETHLTAENFANAENRLEISDE EWTNASRAFRTASWGACFYLITTDILGPYGVGFSLGTLGWGPGIALYTVFGFMAGYSG YLIWHVFLGIDSYEFPARNYGDLGFRTWGTAARYVTNIIQALGLLLLLGQVTIQYGEN ISQVSKFKLCYIVCPVLFVCVGFFLTQIRTLKAYGWVANLAVWLNLLVIFITMGVMAH SPPNYLISTLGSAGSAVDPTTITPDDAGNYPPIVHYGGLPTNNLVGSINGLLSGVLAY AGAQLFVEFLAEMKRPRDFLKAMWGAQFFIYTVYLIYGSYVYHFQGQYSFQPSYQGVS IYGWQTAGNMISLIAALIAAGLYGNIGIKVMYNNILMDIFNAPPLVTRRGKIFYASIV PIWWSIAFVIAAAIPDYFGFVSIISASCLLNLTYTLPPFFALGYDCQRNAIRLENGEG FDPVTGQTFRNETTVQRYMRGFMSGGPKQVAINVWHVIYFLASLSMCGLGMYAAVEGM IIAFRNPQLNSFSCRSPLNLNA LY89DRAFT_689806 MARVTEAVKASVTMAASYMVEICFFSDLWACYACLVPVSHVALS FTLSSYQSYSDAQVSGWAQ LY89DRAFT_596884 MKSGFPRKRAVQACRMCRHRRTKCDNARPSCSMCLTLEVECVYG DKNDSLDPGSLAILKRLDGLEQLIRASKTEDLSITPGGGGPSSRESSSKFGNSPSNLN YPAPRHLADTAEYRYHVNVESMLQWPVFENQNIDQRANLKCLFHPMGEINRELQWSPS DPDLQTEEVDNALHSFFDHVHIFNPIFEEMKLTEQLQNVRLNGLGWNPESCLILLTCA VGLVSCSQEMDPADSSMMFRRSETFQLAESFFFSAQKRMGPLLTERTAIGAQCFYMAG YYLATTMRPLEAWKLLNQSLSCCQTHRRWDSTATEDEQRRSRLQQRTYWATFKSELEI CLELDLAQDSINNLAYPTFFPSPPECLETELRVGWYFYLSDIAIRRLKNRCLNYIYQC ERSQTSTADMVEEIINLEKEAEELSTMLPAELRGGTQDIEDQFHYPRSTIAKMRVELQ MHLLDCYEIMYWPFAVNIISRHVKDDSTCLSFASKALAICTDRITKSQTRYRQRQYGS WLILRRSTRSALLLLAANLTPGLRQILPPQWKGSVFIVIEMLRYWAEELNDASHRLTI LETLLRNIETSRTTSAMEI LY89DRAFT_265976 MKTPGPFQEYKMDAEQIESIQSHLLVGMFCSAVLSTLQPDMKFS SVQFYDDLYKLLAIWNCFGTAYLVIRLVAYFRGRREAWWYVPKVIVETILWILPVFFV GFEGGLLAKKHILGTAGVLSVGGIGGGK LY89DRAFT_597187 MPSAMKRSCDPCIIRKTRCEGDGSVPCQKCSARPGLSCTYLTPV RKRGPKFSKRRCRVGAELRQRPLVQRDEKLEQTNLEICQDVNSVTEIPPTSSLEPSEE NSLIPLAVLETIIEAYEARMFPTWPVIGSQSLLRNLHANDTDTYIVATALCAATMAQL HISPLQYEARTFLSEDMERECRRVRVASQFREQPDLKDVLTSFFLHVYHAKMDNQNSA LLYIQEAISLARLLHLDGNEGTCEESPSEDVANGRIIYLLLWVTERGYAIQYGLPISL HETVSLSSIQKSAGDVCVQGLTNLASLFVAFDSSSVPQFSRYKEFPVSKYRLIELEGI FANTSPPPKHFSISQQADILATTNWMKILLWQQAMSRGFLSSSSSCGFMTFVYPAQVC QEVLRRIRLFSTQDLVPLGRDQLVKLFEVANTLADTLLCNPQIAKRSSGQLGPPDFLH GLYDFISPMLELDGVFDSMLRQKTAEVLVRAPTKFWMLNGSMPIEDAVASGVADSTDE SCVEG LY89DRAFT_266039 MPHHPENDADAAQLAALGHKSELNRNFSPLAMLGLAFAILNSWT ALAASLSLALPSGGPTSVLWGLITAGVCNLSLAVSLAEFLSAYPTAGGQYHWVAVISW KRWVPLLSWITGWINTSGWIALVASGGLLGSQLIVGIISLMSPTYSPQRWHQFLIYIG YNLAAFLINAFMTGVLPLVTRGAFIWSILGFVVISITVLSCSSPNYSSAEFVFTEFLN ETGWPDGIAWLLGLLQAGLGLTGYDAVAHMIEEIPNPAVEGPKIMIACVGIGIFTGFI FLMVLLFVSGPLDGPDGVISSAAGPLLQIFYNATGSKAGSICLLIFPLVCLLFATISI MTTSSRMTYAFARDGGLPFSRVFAKVHPKLELPLNALYLTVVLVIIFGCIFLGSSSAF NAIISASVVALGVSYGIPIAINCIRGRKMLPASRAFKLGGLLGWTANLIGLSYVIVTT VLFLFPPDLPVTGSNMNYCVVVFFIILVISIVQWFVDGKKNFSGPRVNIEQLANGEVM GMEPTISEEESGSSKSKEVK LY89DRAFT_266061 MRFSAIAAAVTGLLSIASAAPTKRQSASTDIDAIILQYALTLEH LENAFYKKALSMWDQASFVEAGFNASIYNDLKYIAHDEEGHVVYLEAGLTAAGAMPVA ACTYDFPMTTPKEFIALASVIEGVGVSAYLGAAPLVTSKAYLSAAGAILVTEALHQST TRGAIGEIPMANVFGTPMGINATYSIASAFITSCPSTNMALPVAAYPALTLVSGSPTA PSALIDLEPKTMPSGTYYATFVSGLDIVAVAPQSSSNGMIMVPVPAMVSGQGYVFLTK DNSGNLTDSNILAGPAILEVTPSSPTFNLTIT LY89DRAFT_266074 MKLWVLASRLRMARLQDQAIDMLEMRIRLDDMQIETKYFGFVYN NTVPGDALRRYIIDFCVSSSFESGVQDVFPWELRHDIFEEELMKKEVDSTGIHGYHVV EESGEVSRKERARNQSGRRKEGDYHYHSMVLANY LY89DRAFT_740327 MDPSSPQQPSPIFNFLKSSLPQSIMQGSTWGSPPTVLSPLPEER DVSPSRHSTIEEKRDMNSPRLLLKLRTPELEKAGTQKLESMAMDAEKHNPIPETVPTS SVTCSQISKPLHSNSPQKVPFNKSRTREPAKMSDSKIPTQVQPSTSSLRPQHSISPTK PKRASSAPPPLKRKRSQTPIPYWKQTVSALKEPGGRENEKRMQTVEIIDLVSDSDDEV VQNPMKKVCLERTESVASPKKVGGGSKSSERLRRDEEVKRREASGRVGSRVGDAENES RGALQKSKPAVEIPRLGVGSRTPKGGDIARTLISPTPDEELDLGESLRGKEKSNLVQT LLSPTPQQNPDASTQANSTLQSTALARPSETSSTTPEPTVTENPIIDNALSRASASLS ICIKYPKNRQDETTTPQTPDTPKGRRTQEVPSSAERSRIQTPKLQLRAPDASDSQLAT TIGALFGPKISSGLQSLNPVDQSLQETLMEQPVTLERSRKLKMPDPQVMKDFKARLES PVRNYAVRSEKVSPKPALKRERKAKTPLEEPRTLTGRARSQSVYDEFEHKNMTSGAQL GSADIGNDGNQQTSQNSAWASFSRPLVSFQESFMPSAMTSTSFSSSPSVRSNQDQEPP TFLKPLPDIVQISLGHHVFSIHQEILSSHCPVLAGICREDGERLKRVLEDMDVDVVGL LVQWSTVKYIVCLALPCL LY89DRAFT_266121 MQILNNQYDADRDTDYLYSLSHPSSPAHINFNHHSHLTTTFIFF SSIFNLSTTSFILSYFLHMHTHIHKYKKNPTTISHIDMCNHDCVPARTRARKRAASTK QTKSRSKLYIEQGI LY89DRAFT_596986 MKCRSTFVRRDLLLRHDRTVHAKDGGIPLHSDVKRRAGPKPSPM AGPSKTAISIDTSALEQIEASSDGLVDLETAAMLMTDLHHKATAAMRTAGVGPYENRP GMAFPHHGAALMEPLTGAISLPQVQWDSFMPQSVTEPKSHSITSTASGYQDSQTSFTS GSTMQPHPNQLPPINKAAASGYNGLVPALQSMIDSLPPSGTATPSQQSPSQPRSGEQS PKAPQVLSDDERNIILDNIRNNDGERAIPESFRLPGLASLNRYLATYFGLFHHHLPFL HPASFKPTEVSPPLLLAVLSIGALYAFDQDQAYVLHIGSKVLVNQFLQNKENFSSRKC PLWTMQSSLLNMIFASWSGDPKGLEWACSIKSLLANMVAGNRYELKLRTEAREGAQPT RAEWVEDEGCRRTYYAVYIFFGLLTLTYNHTPAISFNEFEDLQLPSTEAMWNLNVVDE STWPEHLKSSEAPSFMEAHDNLFQGESLRYSAFATRVMINALFLEVWYHKRSPEALQD VVTEYKLRLALETWEKSLSLCEPEQVAVPLSAPHKGHPLIFNAMAMFRNAWARLEVDL KSIQEALRYHDPYEVAAAMSNARDKVKRSSEMIKVIQECFNCIETAALQGIRWVARTS ATNWSIEHPLCGLDLMVILSLWLYRLEHDEEPATEEEMTMYNKIRNLFDDDSVEIYGN KLSSTVARLWGGMLDEVVVWGITKLMGESFRLHAQALVGYEDDIEVSDVSTPSMISQG ADDNEDSVY LY89DRAFT_266089 MIWDGMGWDLGRSSDRGQYWSAAFTSGKKKQQQQMMISQPPPSP FPEIHTTSKHPPSTIHHPHLGQLVSLVAHPSATPHVDGTNPFSAHPTKSKATHSAATL EAPVSPVQSSRSLSATLPFCSFSRPSSISLPRSPLHSFVPLSHKLLHPSLSSTTRSLS VHRRRRRRRYLILINTINNIICWRRTFRHPNPTPHPSSVERDCAVLAHPRRDLPVAHT GRCHHYTHHHTIDDNRTKTNEHYIVKHSSHTLNPTPTRARVGRFQPSLEGRKRRRRGR RITRQASTATTTFTQNACRKHDRPAHGCSIVLHEEIVAGPGEEVQMSVL LY89DRAFT_689813 MHTRDIHRTRVLELHTGHFYAPKPTTSTGTFTFPPIPPSSTPNY SPQTQQPVLSSPSKVDDSQKGHPTSPSQSGNFQSPRSPASSHVPNYRDTSQSLNFLFE RTTLLASLIAAAVLSWRFCQTRGAICLSCRNGYRGRMRKVALPSTTHTGRLDFKTRGA RRNVCARGRDMRNITLHESIPISICISYFSCLVLSSYRAAAFLRPVEKEEGGGETLLY LPVPYLGIFALPLFYFSRCGGSNS LY89DRAFT_675428 MLMTLRSNGIFPDQQNTSSFNNYSTNSSQIAETVVQYTEEPPLH NASPGRTRVQYSPSSTGTGTFAASQRSKQAARRRSPPQMNGLLGNAPQPLIYVRALYD YEADDRTSLSFHEGDVIQVITQLESGWWDGVINGVRGWFPSNYCQIISADEVENKQNG MNGDQSEEDAEDEDEYTEEEEDQYDEDEADSERDDMTQLPMEGTDNSERTRADFWIPQ ATPDGRLFYFNTDTGESRSEMPLESPSSATENGPRDRMNVNIPEKTRPPPEMMARGYM QDEEDDESEGNSASELEGESLMLASRNSYPRKRRSQVSDGVSPATSMDSMNGMSPITR SRADQFTNPTLSMVPAMTMMGPSTTSFTNPSLGPPHAATLPRSFFDDGTSAPLTWNRL VANMKKAVDRYREAINNRDRSEYVRRAEDISDHLRLLLAAGSGTTDNHSGQPSIISSN KALYPHFRDMMSKFSKLVISSHIAAADWPNAESFQKCLQEADGVLQGVFSYVEVARQQ RGEDIPRLLPGFVIGSTSGGSWQNNGLGSRDPLTSNFLDEDEALVEPSAILDANLMER LDDLKRMLISSIRKLEEHLVVTEKIITPYRHEIIGNNVCTAAKKVLEMFKPWVSTVES INLSSLGNSFQNPQLVDFAAYKQSLYDNISDLILGCQTVAGPLGDEWAEVRGESLDGR LNYVRQCAKQLETNASHIGFSLQLLGEQVQMATQQETQSPPPRDDARPRRADSTPYER SHARTDSRLNTVLRPGLAGIAQSQSYSEGDPAANYRKGENSKVRKFFGEEPTRRDQVR DAQPQDETPDCLKLDHEDDISYDFKVQPPQLKGGTLVALVEQLTRHDKLESSFNNTFL LTYRSFTTARELFELLVVRFQIQPPEGMAQSDYEIWRDRKQKPIRFRVVNILKSWFDN FWMEDQSDETKALIRDVYTFARDTVKTTETPGSGPLMTVLEQRLRGQDTTAKRLVLTL NQSTPQPIMPKNMKKLKFLDIDVTEFARQLTIVESKLYGKIKPTECLNKTWQKKVGEG DPEPAPNVKALILHSNQLTNWVAEMILTQLDVKKRVVVIKHFVLVADKCRQLNNYSTL TSIISALGTAPIHRLKRTWDQVPAKTTAVLESMRRLMGSTKNFGEYRESLHLANPPCI PFFGVYLTDLTFIEDGIPSIIKKSALINFAKRAKTAEVIRDIQQYQNVPYPLQPVPEL QEYILSNMQAAGDVHEMYEKSLAVEPREREDEKIVRYVRLLDLPTTGAYSVV LY89DRAFT_266104 MVSSPVLQRLLPVSLSIYYNYHIGRANCLLASKHITQHKSENSS PKRIPSNPCSLHPTRSKGSSHLILNQETPRSVPFQSPVLHPRTEPCTPPPMHRTHKNP QPTNQENPSILHTHPFSTPTHPTNPFVHSEPKRKAEGWMDIFVMQ LY89DRAFT_266297 MFFRETASIVAAKPVAGLMLFALLPPLSCSIYRMSLAFVLRYTS SLLSLKIFLLSLIDFVCICVCIIVVALALSCFLFRPSNSFVEVIQGFGSGHRGKDVCL AEVVSILSLFERLDRTSDDWLETCIPVLEYGQNFGRSVPMELNSKSRS LY89DRAFT_675429 MAPKNPRIARTKFRPSRSRPNTKVFSRLTSPATQLNHADQRGGQ TGEVASEGSTTPKSMTYPTKCSNRSVLLKPGSKLVVLDREAADLSQTLVGGQLASSMS CYRSTMGESNAALAPGAEMRSQLRVSHEVAEEQEVPVVRRQSSMMSITSMQGSTDDRT NFNALCKGVLTALKNDVVGTPLQSAMWSKSPCGLQVK LY89DRAFT_266284 MSVTNMLLFTIACLAVRSALAFCECGYATSISVNGTLTSYVFTD LIESDFVHIQDVASDTDWSRQNYSVTALAARGPYGMQFSLDNIVSNPLPNASTWSGPG TKGADPGVQLQVSGGVPKDGYIVGAQMNSNRQDLRYGTFRALMKLPSVNGTCSSFFWY FNNSQEIDMELLSSEFQPNPNNTYLINLVDQSLASVQRGYAIPGTEYMVADLPFDPAD GYHEYRIDFIPGLIIYYADEQVIGTLNGSIPSMPGHMILTHWSNGDPTWSGGPPATEA VLAIAGVRAYFNSSDPERQAQATKRCTDPTASDSICYVPDYYPNNSLFTEYFSNEPNK TNNQTIYGKKSAADHNDTPPILQIVIFIVLTMILFAMIL LY89DRAFT_740332 MEHRKATLLDVDLLRLHEDDFDEALQRQGSWESLSGRARTVYPP DHMDGTLWVTEVAVQFAVGHQGIQLGVKVCLVATWIGLYTGRDNGEHLVTGKATCYGA FGNTEQSHAASGHCGQAKDGCLCHKFKGSFFDNGVVDRVEERSNQKKYHHSPSMGIFE D LY89DRAFT_597120 MSRTQSLSSRPKVIDLTTSIQPTTGAKKLVIKNLRTKSRQDVGE YYQRTWNELDDTLTSIFRRQTPKAPLEVLCRGVEATCRRGEADKLAVHLRDRCKAYLE KELLPKIEREPGSANVEALSTVHKYWTEWNKQATLIRSIFSYLDRSYLLNQKNLLQLE DMGIQQFRHAIFSKSKERDGRKLGGKVIAGMCDLVEFDRARQDDIFDAALLKDSVVML HIFGIYTKSFEGGFLRRSQLFFEKFSEDRSSSSMKDYVSACDNLLYREALRCDTYNFD SRTKRGLLESAHHILIEQRTNILLDAAGLAGLLDASLMSSLRALYKLLCLSNLQQDLK QPFETYIKETGAMIVRNPDKVDEMVVRLLELKRSLDLIIRDAFEKDETFSYSLREAFG NFINDSKNTTAWGTGNSKVGEMIAKYMDTLLRGGLKAVPPSLASDAKDRDAAEKQGQA STGDEDAELDRQLEQALELFRFIEGKDVFEAFYKKDLARRLLMSRSASQDAERTMLAK LKTECGFTFTHNLEQMFKDQELAREEMASYKETLEGKPNIDLSVNVLSAAAWPTYPDV EVNLPRDVADIIARYDRHYKHKHTGRRLTWKHALAHSVVKATFKKGPRELLVSAFQAI VLVLFNDADPQTGLSYKTIQSSTGLVDNELQRTLQSLACGKFRILTKSPKGRDVNPDD NFTFNQSFWEQKYRIKINQIQLKETKEENKETHERVQQDRQYETQAAIVRIMKSRKTI THANLVSEVIEQTKKRGAVELSEIKKNIEKLIEKEYIDREEGGLYTYVA LY89DRAFT_689819 MSDPTNLESLQALYADLLALSEARLTSLERLETQLDAHVKDFQN LLDKKARNEQSRQTLATGKLDFEGDQYSVNEEFKQGALKVADELNIDELDAARICLEV QEETDSSGRSLLTNSIVRFHQRRKHLLDCLRLLVQLSADVNINEGHRAGFQDVVQRIV QPQNGAYAFAQKCLGAMKDIKTWLQTLAEKLSGASVLGQGHQEEFLEAIEYQRVSLVK QHESLGVTIHYLVKENRAHSSEFEAVLDVLKKADKYDNLLLHYFPALGSFISRFGGPE GGATITEARTLHEQVFRPYEENPWTLPYAHAAFRAWWLAEYGGWYGENYDGTIPENQL EDEARQRSQQYSEALKDQAFDFLLAISADVRAVDWLDPARQGLRQWLQRNPVPAIIPD PIPFSDFFQNLLMEQLETFIEAFITNLPDVLRRLRVDEDEQRQVSKDHEHDLDLERFL VIIAYVFEGRPKAALEGFWDVPDGALLGFVHWASKRASTPLVTTFCEMLQAISGDDEC ATAAHVFLLDEGPQSSGKMRRTHSLTWNQIFKELTFFSSKIRDRPALPQTHGYRPGNP NADLAEAEPESAMMLECYLRLITRLCSESEAARTFIAQHPTFNLSELLFQLASSSIQP RLRACAFTALRSLLSHKTKEAGEYIWAALDVWIMGGYTPGSAMPKSSTSVSTTTPGTA IAAILQGLATGFEEPNAFVQLLHALVAPYTDDSGLHDGLPFPETLGMSSRQPGIDPYI DFALGQIFAGQSTELPEMQTRLLQLSCLELIATCLDTFNEDLVIFADRSKVAVDLAIK VSSLKNYVLLHPFSRVMEWMFNEKVMAALFTAVHQDPGEVARAPPDSPQILCLLRGLH VITKILEVQPTYLDIIRQVIRDQPNYRRVPVSNAAFGSFEDGILNHLVLIPDLGRYCG TGHPDLVVASLTLLEKLSASPRLSSAATVRGRRSDRNKVLAALDDDAETISKILLREM ESDIDANQGPESPAFIIKIHILDFLIACLQSNPGQPTIAHLLLGFQCGTRGLSIDGAG AFSRGVSLFHTILDLALNSPVADDAGVSKWSVALSSKALQALRQLWQAPISSVITMTE MQTHDSFFMFFVKELVIGPDMLWDGLTFNDPAFLFSPASSSLSTFLSRRASMLHYLST ELHQVSLSHSPSLKQRMFETLLGSTTVDGGQKLDHATIFDWFDFMEPDYPDMFNEPEV TCFRNIDFSVCTDDQDGVSTYNLSKVEEVIILRREELTQTKRVESLQDLTIVNAQAQE LLEFFAQDNQLVILKSARLKVLKAWVQLMLVIIKCGHFDSSDKASFVLKALQTILPRL ENNLEAIAEAVELAQLAQALVFTLDFGSDAFKKDNLGDLVGDKLFHLFQVSLKAITTL GAHASLKEMFYNINYRYLSSMSDVASLSGLHRRHSIQTIKSAGERFIDLVCTDVQGGE PTCRIAALLVLGALVKLGHSENSKYIIESLVRLNIVSLLTESIQEMSSDLRVTRAEDV DLQLSYCHARLGLLLGLSQTRPGAAAVLNAGLFHTTKLSGLFATDPDLGVDIEGPNAM IKHYNLLTAIMRIICAAVMSRGAQNQQTLEQGRRFLSENRLSILAVLKKSAGLGGVHH QPDESIQELADVYMLLMSVTGFVEFEDQSNQQKQASKGFTVFT LY89DRAFT_266352 MGESHEERKLDQIIESIQSGNVRQRDEAVTDLKAAFNRFPRSNV DVLTDKSYHKIYEVLFKTALNEKKAVLTAKKATKTAATKRLTDCGDAIRVVVKAGASK LKSKTVDAVADHILQVLPTGDGGYDELLADSYFKALNALFESPVNAERLKSEVWLEIV DFCLRGINDFLGDPEDEPSGLPHTFSGLGTGQSSGSIARTSSRGQSRPNARINQNLSD LFQTILQLVSAPNAPLFERYEMIADSTMLLLTSHGSSVGQVHQTAFSILNTILRFTRM DRTLFSRAVAYKTIPVVSRIWQGKSLAKDEMLNNVRDEMFIFMFSIFLHLEREVRDGK NEEILSNLEELLDALRTDYSARSERDQLQLDDLELLGQDKTLYPSPFHLDFFQLRPYN SKAERNWALLLIIGILERLVSLGQDLKRTAMNDDLNTDDVHPIKRQRTTQVLDRLLSP LRAINEKSRTAGLQIIPFVLQECQLSASELAGLIGQLHQCAGDKRGNVGSWALIAMSS CNYQNAAKELDPCEWMQLWHIGVRSLTFSTTCRAASLQLHTLLAKERVKYHDIGEDVN SIITAADTNGPAVLCESSILFVIHLLHAKVTETPGSSLAISQHVIRWLFARWDPVDRA FAMRFAMHAQPIHIANLVRTCLGLPLLALDKISTMPSGPVAQAWQQHLHTQEVISYLL LLDDPPPTTVPLCSSCPPESKGDHVAFTSNTTHFISMRKLLLELLQPKCNEILQSWSE YHGSTPVMADIIRSVIYGSIGMLMLIGNLANTPLPQIQSLESDILALTEDVVKCFREA QNRDRRAAVSLTETLLQCVWPYLPTCRLGNFSKLSESNPLLLQFFVTIADEIESTSNQ NEQPSSGTMDDLMDIDDHFTSTPTKSGSDSQHTLVPRDQLSFNMWPGSFYRTIIGHLK LISARTAAPDLQGHVPSVFVDYLVALEPDEFLAGTQMLKDVLSSELVLDLEDASKIIA ALGDVVSLDLYARAEVSWVMCLDALVSIGPLWSSPDGSLVADLADQMYLHIITKVLDK GIASPAVQKSIAELLFFLMRVNEEYGCKHNIPSPRSILFTILQNSNVSVKFYIGKRLP EIFKLFLLSNHESVFLDILNHLPKDSERIEEIYFRQYVFATLASSWPTLLRRCIYNIF EGAGKIPECIKHSTKCLEDISSALGLGSPQELFTLFAPQILYTWLVEENIKDIPFVIF GFPTLRDLVREAQDEATAIMAMRGQEDSIKELASLLDVNESSLLQDGFGRIIAYNTAY TISTPPPIGEKHMPVESGLKRQLGSERFFECLSVCFTDIIAILFITLDPEKNIEKYFS KHESQRYAAQIIIDMKEFGSPRTPLPQSQQPAFKARFLCKEIEHICTRTRYEQANLFT PTLVTYIARLLFNTIHPALGSLHACSVLQKIRVLISLAGPSGVNGYPLEMLIQSVAPF LADPECTDDAIGILQYLIQAGSRHLLEAPSFVAGFALAISGSLASLLDPAKASSQYVA VRTKARDFHSWLGQYLTDYTSPALKTYLKSGFRKLVLAAFSASSSGSSESNTSESVLL VQLLKDERVGGELLSRPSRELALGRLSSTFRSPQTFRSDALGTDELSIEYATLVWKSC RSGQASRQYLSWAARVTGRAFAASGLIHEGVLQESTLAEIKTIGPSKDGNIDSESAIL CLLRDFTLGLDSRTAGLAEDALRLVLSMADEELLRSCGDHIPNFLFNASIWDPFQMPP SASGHLTQDAVPLKNALAYDAIYQQDWTRSILLSLAQSMPNEVLLNAIVPILQQVAGF PDRVFPFIIHLVLSAESNEAVIRRKDLSAAFGRWFSDCVAINHNNLKMLLNSILYLRT QVRPNERSSADRAHWLDIDYLKAGTAAAHCGMFKTALLFIEESCSQPIKSSRRSSTLK DSPPTPDLPTETLLTIFENIDDPDLYYGVQQTSNLKTILARFEYEKDGPKALAFRGAQ YDSHIRRHDAESNQDAQSLVKALDVLNLSGLSHSLLQSQHTLGMTETSAASMFQTARK LERWDIPVPSTYNNSAVTMYRAFQGIHTAVDEASVLHALNEGLEHSMAKLATENLSAR SLHETMQTMAALVEMDEVFTSRGSEQFEHVFKRFQNREQWMRTGRFDDISHILSCRGT TLSTLSQDPRLQTIVGVAAIDTRLVEVNGALMASKIYRSHDGLQEALSLATSMTDLIH PCNQVAIKAEVAIHMEAANALWDQGEMGSSIRMLQALDDVKLLKSQTISVGRSHLLST LGHRVSIARLEKADQIIEKYLAPALAELKGTSHGSEAGQVFHQFAVFCDEQLQDPDGL EDLARLEKLSKNKEEEVQYYDRLINEARSSQDKAKYKQNQDKSKKWLKMDLEELQRHI KNREQFLQRCLENYLLALAASDDHNSNALRFSSLWFEHSGESLANEAVSKHMRQVPSR KFAPLMNQLSSRLQKSSDKFQQLLFDLVLRICTEHPYHSMYHVYAGVHTKANLSDQSA VSRKEAANKIVRSLEHVTGTKDIWQAILVSNKYYCQLAAEKKEEYRASKKFPIDKSQA ARNLVAVFAKYRVPPPTMSIDLSPEADYSAVPIMIRLEPQLSIASGVSAPKIITAVGS NGTRYKQLVKGGNDDLRQDAIMEQVFEQVSELLKANKSTRQRNLHIRTYRVLPLTPTA GVIEFVANTIPLHDYLLPAHEKYYPKDWKGSQCRKEISEVQTKSTDTRMKVFRNVTEH FHPVMRYFFTEKFQSPDEWFAKRLAYTRSTAAISILGHVVGLGDRHGHNILLDAQNGE VVHIDLGIAFEMGRVLPIPENVPFRLTRDIVDGMGITKTEGVFRRCCEFTLEALRKEV YSIMTILDVLRYDPLYSWSISPVRIAKLQEGVGVAATADGDNTPKERVNEPGEAERAL AVVSKKLSKTLSVTATVNDLINQAGDEKNLATLFSGWAAYV LY89DRAFT_656505 MKLLLLLLAVLPVALSAPAAQPVRRATAPTVAIPSPSATIIGSV GTTVESFMGIPFAQPPVSSLRLKPPQSLTTALGTVYATGVPAACPQMFFDDASTSVPT ALLGTILDLPLFQTITDASEDCLTVNVQRPIETTAESNLPVLFWIFGGGFELGSTLMY DGANFVQDSITDGQPIVFVAVNYRVGGFGFMPGAEILADGSSNLGLLDQRLGLQWVQD NIASFGGDPTKVTIWGESAGAISVLDQMAMYDGDITYNGAPLFRGAIMDSGSIVPADP VDCPKGQVVFDQVVATAGCTSATDKLECLRALDYTDFLDATNSVPGLLSYNSIALSYL PRPDGTVLTESPDILISSGKYAAVPFIIGDQEDEGTIFALFQENITDTAELVTYLQTY FFNDATTAEIEALVATYPDDASDGSPFNTSILYELYPEFKRLAAILGDLTFTLTRRIF LSVHTSLNPSVPAWSYLSSYDYGTPYLGTFHGSDLLQVFYGILPNYASAAVHRYYFNF VYNLNPNDDTGATESVSVMSWPQWSVGEQVMQIWASYADLLDDDFRATSYDWLVGNAG SLRI LY89DRAFT_597106 MYHCQPCDRWFNNEHAYDQHIDNSPAHNFRGSRYECSVCDRGFS TEYSLHQHSSTASGHPYCIPCKRMFMNQNNLNQHMHSRTHVGSQIQCPFCRTGYATAS GVTIQLESGNCPQANIDRHGINRMIRRLDTNHVITRRLLTYGDTDPVEYIATERSWNG HAFQCVLCTRQFGALHSLNTHMKSPVHEQNLYHCPGRNCAREYKLLSGLVQHVESESC GVMRFAAVQQQARNGIENMVGRMIQGA LY89DRAFT_656509 MNKRHIVVFSGGSAANNLVDVFGKVAEDKGCSLSYVIPISDNGG SSSELIRVFGGPGIGDVRSRLVRLIPEEPSIPETTAIKTLFNHRLSSSPSLARIEWLD IVEGRHLLWTSISSEKRELIRSFLNTINLEIVKRLRPSSSFNFQSASVGNLFLTGARL FTGSFESAIYLLGAITGVPNNVNVIPAINSNFSHHISASLKDGTIITGQNSISHPSAP SAPAPIPTPTPQPSTFGLTFSAEPESTSQLGEETDLSPTTSHLRTLSKELSEHEAIED ANLPGSLPTLRKQYITFHKSHTEDLPSRIERIWYINPYGQEIRPAANPKVLASIGDAS AVVYSIGSLYTSIVPCLILRDVGKVIAGGVKYKILILNGSLDRETRAVGDGGFTARDF IAAVADACVSSRSSSTGRTRAREEEYREFVSHVIHLQGEGTPRVEKGELGRLGIECVR IYGRKREGGEEGDGDGGMIYDGVALGQALEAIIGGPKRFSDRSRRNTLGISGVGG LY89DRAFT_266410 MSNSPGTWNWDSPGRQPADPSTFDSPRNNNNHLPQSPYPGVPWF EDDNDLDWAVNAADFEDFDDGNLTLAPINPENTFGELPPLAPGLLDAPSRSRLSTSSS DPFISETSLDARNLRPPPSNREARARREPPRASSVFEHFLVDDSPDPFGEFSNLTPSP PTPNMAPSNHTRSSSFVDLTASSPPQPRPAPTPSRKRKAETPGEGRPGKSGRTTVATD DVECVDLIDVEGQKAYEELKAREQAEAIKAQYQAEATKPMKLAEFKCIICMDDPTDLT VTHCGHLFCSECLHQALHAGERKCCPVCRTAIVIPRTGQKPSAKGYFPLSMKLTTAKK QGKRPIRA LY89DRAFT_710818 MLLSTRAGRPSRPWASFVKLAKHRAHYRHEIRRTGLQWRYYATE AARDGEPTAADSGTTSRPSQARYNEIGVQQLSSHVYSQIFPDNVPPPPQELIELSKDH LRRHDLLGKNTDNSAPISFDLPELQGSTLDEHFYKLGVDAAEPYLSKAKQFTRSNAPP KPRKWVRRCGWTKYYPDGRTEAVDAPQEDMLCFDTEVMWKESSFAVMACAVSPTNWYA WLSPWLLGESENDRHLIPLGDPIQERIIVGHNIGYDRARIAEEYDIKQSKNSFLDTMS LHVAVNGMCSRQRPTWMKHRKNRELRDKIAGETDNVELATLLGNKALTEEEEELWVGR SSVNSLRDVAKFHLNVSLDKAQRDQFGELDRSGVVAKLDELLDYCAADVDVTHRVYKI VFNNFVETCPHPVSFAALRHLSSVILPVDKSWESYIANAEATYHKLSDAVQERLISLT ERALEIKGDEERWCNDPWLRQLDWSGQEIKMVKGKKKNDPRRPAARQKMPGMPQWYKD LFIKKDGPIGLSVRTRIAPLLLRLSWDGYPLVWSDKYGWTFRVPVGDAHKYTQKSMQE CSAFEEKDITLRDDRSGVYFKIPHKDGPTARCANPMAKSYMPYFEKGILSSEFAYAKE ALEMNASCSYWISARDRIMSQMVVYESDGAKAPGLVPSPSETGYILPQVIPMGTVTRR AVENTWLTASNAKKNRVGSELKSMVRAPPGYCFVGADVDSEELWIASLIGDAQFKLHG GNAVGFMTLEGTKAAGTDLHSRTASILGITRNDAKVFNYGRIYGAGLKFASSLLRQFN PSLSEAETTKVASNLYKATKGSKTTRKTLHKKAFWRGGTESFVFNKLEEFAEQERPRT PVLGAGITEALMSRFVNQSGFMTSRINWAIQSSGVDYLHLIIIAMDYLIRRFNIDARL AITVHDEIRYLVREEDKYRAAMALQISNVWTRAMFAQQVGINDLPQSCAYFSAVDIDH VLRKEVDMDCITPSHPEKIPHGESLDITTLLAKPSSHLDPAIIPTHPIDFSSIPYTPR TPVMETLSSNINVNFLRAQITADDKELRDILKDQRKLTEGDAPPKKRSASTKNRTIQP YEAHAHLMEEPVLVSSDFLTRDSKYKNGFQNGGTKNWGWERHAATSRARPTTRW LY89DRAFT_740342 MSSLSYHHDSDFSHYHEDACALFKDGREDAPMAYMDCKSNEQFQ DNIEDPYDLDDQSEVPSMTRGSSSRSASSSIIICPTCENSSERCQCQQQPSRPYSKME FASIISRANSPTVFAQSGQHERIKSLLQHGTSSSATPTVKSSSRALFLTPPPTIGWKS DVSPQSNYQADRVPNQRPDVFYNDQQTVSHNQNGDGLEESEDDEEISDSEEEVLSDQL TDFNDDLEALVLKTVGGDLELAAYLIPIFHKMQYTDQLANITQKVDSWHNALAINKCS PGTGAGQTPSSNARSPDGNGRAQKRRRGLGSDRPIKESQDEDPDDDEDDDDNQDSKGA GEDGEGGVNTELPRLACPFNKVDPIKYGIHGVTDNSGKSQYRICAGPGFANIQRLKEH LKRKHYPVQCDRCYMIFPGADRAVCVTNLEAHRQQINMCERREATLKEGISDAQWAQL DKKRSVKQVKSLSRVEKYWEIWEIIFPNTPKPKTPWYDDKAVSKTFAYSPESQRFGDI FSHMLDRQVLDQRIRFPDGLEQDMKARVSALAREAFSIFSRVHVQPLPSSSNSSSSRS HLQTNSYLSGSYTHISTPQSGGQRRASAARSAAPSQTFSLDNMQISTSPTESQGQSLR SQRAIMGPPPNSGSNMRLQQSYGTMQSQPSSVAGYQMGGLPMESFQYPNYGNNQPGPG SNSFYQGLFNPNFSSEATSYAGGAVATAFSDVPPPGMINAPDAGLQGTDHWDPLNFDN NLSG LY89DRAFT_689828 MDPFIRLRHSLVERCCWLWQIRDCCSRGNVGVQGESTCFEILLS TNHIDKQNA LY89DRAFT_558631 WGIAHMFWTIWEWSQILYSDEVTFQVGGKKCKQRCIRNKKERCH PDCIQFQMHRGGTILVHFFGAVGYGYKSPLINIHGTGKSGAFTQTDYLAQVLKPYIQD FLAAFAAVLGPGKTPQFMEDGNSAHGHKTTSNICATWRTSMGITLFPHPAVSPDMNPI EKCWRRIKQALHRRLRQPTTEVQMVVAVLEEWDKIPQEWINGLIEQQDFWVHDLIKRC G LY89DRAFT_266653 MRPFVSYLALVAGAYAQSSGYTDANTGITFQGYEDTTGFKYGMA VPVTPATDFIGQMVVPSNGSGYGGISLTGEMVGSLLIVAWPNSGSVVASLRETSGYTS PEAYTNASISLNPIANGTFVNSTHMSYTFVCAGCITGDSLSFSASATDLVVGWAMSAK SVTNPSSSSGATFVYHEAGYGDFGLTLTDAESSSYDTWASMATLSNTTSSNTTTCSST STNTTATVSNSTYDYIIAGAGPAGIIVAERLAETGKSVLLLERGAASTYATGGRSVVD WNSTVTQYDVPAMDYYLTTASDTSEYCTDVANMAGCILGGGTMVNAMMFVRPQERDFD DKWPTGWKWSDVSSSADRLYERNPGTTLSSLDGKRYDQQAFTTLQTFFSKAMGFSQVD AIQSPNAKTAVYSYPPWDAQDGLRAGPVKTYLPLANAVSNFKLKLNTKVFRAIRSNST VTGVEVESSTGARQIINLNTGGSVILAGGSMSTPRILYNSGIGPTDQITTVQNGCVSV TLPAEDEWINLPVGQNLRDHPIFTLTFNATSINDTLVSTDFTSPSQTNIDLFAQGSGP LAQSGQRFNFWTSLNSTNGTRFFQGTCSSTADGSVRIKLYLTHGLTSTGVLGIDSNGA TEFTTDPWMNTDADKAAVISMIDTIFAAARNSSIIIPSDASQTGASIVNANSYTQGDH FVGTTIIGETNDGTSVVDTNTKVWGMDNLFVVDASIHPDLPTGNTQAIVMVVAEHAAA KIVALASGGLNSTGSVTSGVAPFANNTTPAVGGDDDDDDACE LY89DRAFT_266617 MEYNQELPSSHRSDHAGSKDNLASRVAPTSHFSPTDAISTKGNL AFELDEDGAFAVCDSISSLNNVETMSESSSLEGNVNTIPDIDSVDDDDFSIPSKSSNF NDFPRPGNYELIAYCGTHWDEQALLPWRLEPVKFEDLVDLTFEPKSYDTSNTNFTNRS DVMKDKVHPQFPQWSSRFLPRSIAGSSDSIPPLTEDCSRDSDSVAVSEPILNRVDVSR FSQVFVQDKPENSSPISPHLPGIDERFGGKFEYDTATVLAGVGGVRENSPPGPWPELD CFLPRHSNVDEGEDCLENISSSSPMEESTDSDSVWMSDYSESAPVLEDNHPFWMIQPI ILREALLSFQAWQQRPQEGSTPSTSSASAPSSGGKTDKSSQNKRQYQPGGRGKGDEEN EDDQGGSQKRPRMSKKVSGHQVSFACPFAKKDPLRYRSCHAYVINRIQDVKTHLSRYH QLPIYCPRCMSTFVTESERDEHNRASMPCPVEEVIPYEGVTRAQKDQLSQRVSSKMAL SEQWFAIFDILFPGHSPRPRSAYMNVDLTEDLEAFQNLMHAEGPRIIATAITSSGMQI SSLANEERDLSALLESVIGEGLQTIAQLWSARLFPDPGVAQPGLSEGSTSYLAPQSVV HSQTSSDTLVNSTHQRQTLQTHQPTFATSENMVFNNVQPSRSEDHQSLAIGSTSQEPV SRPGLSEDDAELFKRLTEDGDFFPIHGLYSSYPLPDAS LY89DRAFT_700990 MADLAFAHNAGMQDGTRQNDYMGFIHKYMATVVMLGALRPLHAL LPYLPKTADIEAHRQRGLALLSSRLKLGKTRKDLFTHLLDADATFTSEQLYSNSSMII IAGTDTTSSTMTQLFRALALNPQIQKKMQCEIDEFCAEGKHISVESTKGFGYINAVIS ESLRLFNPVPGGIYAATPPSGLTIPAFGEKGNETFVPGNVQVMIPHLALMTDPRYFPK GDEFIPERWTGEWNAGVLDRRAYIPFGYGVHSCVGKQLALNEMRLAVASIVKEWDIVL GEQYDEKVWKYGIKDYHTVKVGELWAKFVPRKV LY89DRAFT_700991 MDTSTLTAVALGIAFQIYVSPIPEVSSIKVLVTYSCCNLLLAVY LLTSGLVSDTIVRVTTLNITFLTTACLLTLVRRAFFSPLANFPGPPHYALTNLFKANL YRMGKNAYTILDHHIKYDSDVIRIGPNELSIRNVEAIERLYKGKYPRGTFYGAARVDG ATNLNTEGDYELQTPWRRIW LY89DRAFT_723790 MTSTDASNRFVTAIEDLRATLNSHDATAFATTKSEDVWKAAEDI QEAQRQRKSLRNMRRIEPFLKALGGYSKVIEVACNGTPYLPWVWAPIKLVLQLAAEHT NAFDTLIDAYKQIAQAMPQFVDLHKAFGNDENFQVVLSLVYKDILEFHRAAYRFFRRR AWHIFFGSLWKGFQFRFNGILKNLAQHQKLLRQQVQVIDLVEAKEWRTRNEEDIARQE KLTRDYWYHDSISWLKVAGIREDDLTALGEKRHEGTCEWVFRNPLFQTWKDDAHGEPV LWVKGIPGAGKTILSTYVIQQMQEQGGFTTAYHICNSYTTGKDLRGEILRSFAAQLLQ SNRDLATYVFESYANKCLEPGIPKLKKLLPELLKTISSVRFIIDGLDEYPESEQTKIL TELIQLTKETSSQCRVLFSNREGKQIDRALSSRPTISLRDQHDEVKRDIDIYVHSSLE DLRCTFGKSLIDQVQRRIVEQAKGMFLWTRLVLKSLEDCGSQDELRYAMSTLPEGLDQ AYGRILQRILKDLKEEDSRKAFRILEWITCSFRLMKVHEIQDGIVMYRKDTELNEGSK LVDASFLNLCKPLVELNPKNNTVDFVHFSAKEYILRGESGPNGPFLNYTQAQYDLAFA SMSYMRSNLRFIDTDVTEKERLVRVLKGFHGLHNYSNEFWFQHFLQYAKSKDYPIQDD ELDEFIEDFSVFWKHDPGVGIKRLKLDDTTSADSIANQLQALANMPQAHKMGLDILTF RKFLSQEKYSHLSPENLKKEELHHDPTDFSKISLKYQEIVRSLIDCTTDNLPEGIQPH ELDKFKQIYTDSAFICRYRECERYSDGFRTSADRDEHEQIHTKPLRCADPTCDFWRRG FTSKTGLMKHNRKYHPSPDELPLPDFEPRKEPELVVLPPPPVVPQAVAPQQRAAASSP VESSESEEVQPEKTAPKKGRVSRAKKNLPVHNCDRCSKVFSRNEGLERHKLSHQPPRF HCQYEGCGRPFFRKDLLDRHEKRHETITDTSQYSNGHNSALNNSGTSTPSGGSFYHAT PASISVLSLLNGTQRQVLHEF LY89DRAFT_266682 MDRIYGLASLVLVAAAGADALTGLVGYEASPRPTIDQISGTVQG LQLVISSPPLQYILENSKWNTRGWTFQEWHLARRALIFTDDQVYYICSSASYCEDIAL ENFQPHLLMSLILENCNASTMRHYLPSRYTQCTGNGGWVEYIQLVEHYSKRELTYESD TLLAISGLLNDLHRASRNRFLCRVSIGLFHDALFWVPENRAELRWGTGDTPTLLFPTW SWASAKSAVKYRVFPASGRSLIEDWAVWTDSQLGILGTPLTEPQNDRFIVGMTFFSDR PTIKDAEAEARRRGTGLLGANHLHQYILKFKAEVATLQLVGGVINDFSSRGEALLDQR GAYMGVAILDKPRSQIEKVTGDFVNVQCMAISVSKSKMPYMDEPAFTTLPEDEELVNL MLVKEMETLFCISIGLGQVSKDTWDDWANAKSREIHLA LY89DRAFT_689834 MPPELDWDFWEAAYPGSIIHSGDFRDAQDFEDKKVVIIGGGPSY FDIAKRISPYVKGDILISTKKRLPMLSSPNQRNVSSPMQLLLEERGVAFVNDEREHNI DIILLCTGYEYEYPFIPKLKVSKDGKSLLDVWKQMFWIQDPTLAFVGLPKMSAIFTVV EAQSAYVARALSGRITIPSKPGMQNELKQERKASQPAEGVVVNGFHDFNYPKDKKYIN QLFKASSKADKEGRVGKQPPRFDAGW LY89DRAFT_740352 MERNAKKVAVIGSGVAGLAAAKYLLAERDPTSDASIFDVTVFER HSEIAGIWNFTSPTEDDFQTPMYPGLETNVPRTMMTYKYCPYLEDVSLFPTHDKIKQY LEDHSTDIGDYINLNSETT LY89DRAFT_689835 MQSTESFPLFPNLPTELRDKIWLHTIDLRSHVLPRPPITELAVC HEARNVLLKVYRPCFRPVPQYREDDTGFGVMSKPGERWLEIQGMGKRSPRSPYANYET DVLYLAWAILYPIHQEEASLQKYFFQEAIDHVQHVFIRLNAWTPRGPRRRMVGPPVTK PDPRQPLLSFGSLKTLSLAEEPKLRVSIPPLNDDQLQKQRESRFHRMPDDEVLEREKL VLRARFAEGKILTPILDPTSFTNPYLKFDQARQWINRKAEELPNWNAPEVQYATIIAD PEG LY89DRAFT_723794 MATYENKAFDSDEKNGSPSPAASAYEPVVADGHVGKLQRRLPFS LAVRQEESSFAATKRASNADFDPIPPSKRTWNWGAYVAYWMADAWAVSNWEVASSMIK VGLSWKMAIGACVLGNFVMGLVITINGRMGATLHTPFPVLARMPFGYYFSYFVVLSRC VLAIVWLGVQTTTGGQCMVVLLTAIWPSFKNIPNHIPLSEGISTGGMIGFLLYFLLQL PFLCIPYTKVQHFFAFKSVIAPIIFLAVFGDTLRRAGGTISNSTVITQGTTVHGSTLA WSFFANLNGVLGNYATLGLNIADFARYADKPSAQNVQAVVIPVIFTIVGLLGIFTAAA AETAYGQILWNPIDIITLWMHNGSHGGRAAAAFGAIGLIIVTLGINISANSISAANDL MSFCPKYINIRRGQILAAIIGSWAFVPWKILASAAKFLAFLGGYTIFLGPMTSILMTD YYIVRRGNVSVPDMYNFHGIYRYSPKYASNWRAVAAFFIGCIPPLPGFVDNIVQAGGS HTTVSLGGQHLFNIGYIYSFIAAGVFYWGFNKISPHTDSIMDHPETGEDIIAAQDAKN VEARRASLAGRRPSIAARVFEV LY89DRAFT_597069 MSTTKPLLVVLGATGNQGGSILTHFLSLPSSPYALRAITRTPTS AAALSLASRGIEIVPGDINDPASLTAAFAGASIIFSVTDFLHPMTSPLLRSQALASGY DSSTLGFYMRDHETTQNKNIIDAAANVPSLQRFIFSSLANSERLSGGKYRHVYYSDSK AAAEEYGRETYPELWGRTSVFYAGFFLENFLGGTGRGFCPVLDKERNVLIASNAEPVT SILFPWYSVIDDTGPLIAALILAEPGKKLLGFNEWLSLQDVYRLMAKSLGTGIEFVDT MPSFEMSVPEMKRAREEMMGFAIEFGYCGVKVDASIVMPADLGVEVKLKSVEEWVEAQ DWEAVLPVE LY89DRAFT_760673 MSTLRKACTNCTTSKRKCLVQKPKCGRCSQKNLTCTYDLEPLRA PPTESEKILAFGFNPSTRNTLGVCILKRTTKLQALGVDPAIRVGERNENALEITRLGF GRVAEVVGRGKPGTFVHPRLLIPRECNHFMELVEREEKGVRCEGFERLVRVDIKKVSA KEVLTALQALLVHLAASVFSSVLEERDEADRCLSIMSEWTQTLLECVDAGMPKSQSPW QDWLLGESVRRTVFMAYVLNLSVASYKYSYCSNWLFIESLPFDARPGLWMAESPQAWI AAAHARCGEEVGERLNSYHEFAESWRGRTLDFCGDVFLGLVTFVHNGLGGN LY89DRAFT_787638 MRVSILSSILLSALHITATPVRRDVDSEAATTTIVTVTATLYAT LVPPTLPVVYTSATYTQAVYTSTSTLSSILNCTQTLYSPIIAPVNGTTMVAPASAATI APAGLDIPEGLQGRQVPTSPSLTPTSTTSASVTRATSTTEAAITASVFTTVTATYSLT QRPPYTYTIYSVQTATQTVPLIRTAYACSATLDVFYSIVTDVVTSTIIRTHWPSTTTT TSYTACAALPTVATTPSASVSKPVTVLERQVGGTTAVFSTTATQTRYAYSVTTITEAG PSTRVVTACSPTATPSAASTATV LY89DRAFT_710825 MTYIAESRATDENVNKIEDYEIPEPEPFISFNALKDRIRHHYEL ASDYYYSLWGEHIHHGYFLTPADTKEKAQVQLIDLLLEKSGLPKGSSVLDVGCGIGGT SRHLAKHHGCTVTGVTISGRQVEIARKLTAEAGGVKPDSPDAAVKLGDGSVKFIELDA EKMGEFFTTDKFDCVWISEAMSHLPNKELFFRNAAFLLKDGGKLVVADWFKDEGLTEK QFKDDISPIEDGMLLPPMCTQKGYVEMAEKAGLKVFAESFDISKQVSKTWDISWTLIQ NPALWAFAVAQGRDGLAFMQAFRAMRRGFANGTFRYAVMAFQK LY89DRAFT_627298 MVLLLNTSATGVDDDNEFLLERMIQMLSKLPPHSKEGKQMTDGL INQLWGGLEHPPKSSLGKDYRYREPDGSCNNIRDPQIGAANTPYARSMPPMVFQSPDL PDPEQLFDMLMARGDDFKPHPNGISSMQFYLAAIITHDIFQTDSKDFNINTTSSYLDL SPLYGRNLEEQRIMRTFKDGLLEPDCFSSKRLLGLPSGCGVFLIMFNRFHNYVVSQLA SINERSRFTKPRSYATGIQVESAWQKYDNDLFQTGRLITCGLYINIIMKDYVRTMLSL NRTDSVWGLDPRTKEGQNMLSNPAPEATGNQVSVEFNLIYRWHSALSKKDEAWTEKEF TNLLGGKDPATAPLHEVLAALGRWESMLPEWPEARPFAALSRKSDGTYDDDSLVKILQ ASIEDVAGTFGANKVPNCLRTVEILGIIQARYWNVATLNEFRSHFGLKKHETFEDINP DPVVANKLMQLYDNPDSVELYPGLLAEKPKPPMSPGSGLCVNFTTSRAILSDAVALVR GDRFYTVDYTLKNLTNWGYNEVDFDLSINQGQLMHKLIFRAFPNHFRQNSIYAHFPFV TPSENFVILDKLGTSSKYSWAPPSKRPDVVVIKSYKAITRILENKKDFKVPWGEAIEF ETAQPDASYAKNFAQAGDEAANAASRIHIQKALYVSGWFDQITTYYSDVTRHLLSTNS LAMTAGTKEVDIIRDVIGLANTRFVSALYNLPLKNEVHPQGKYTEQEMYTILLSAYSA IFFDSDIAQSFKLRNVARELAQQLGQVVLMNADMVAATSYLPDFFSLFSRQVKPVTEP SLPSYGNQMIQRLLEKGKSVDESVWGNIIPMTSTVVAIQTQAISQCLDYYLGDGKEHL PELHRLSHLNTKESDENLMRYMLEGCRLNSTMTICRDLASDQIITHSMPPFTSVSSFA TVTPFRTITHKLTTGTRVLLNLCTAGRDPAIFPDPGRVRLDRPLNSYIHYGWGPHQCL GIEASRAGLTAMFKTIVGLKGLRRAPGQRGMIKSMPAKNWNGQIGNTSGWNEEEEELA GLKVYMTADQSSISPLPSTMRVRWDA LY89DRAFT_675455 MIHTSVEIAAPPDQVKNVKDDVISGTMGGVNFTAKIIECNANTL SWTGPPVYGLFRGIHVFRFEKSTVTGGGTTFVQEESFVGIMAWAFSPVLPLGWVTKSY FNKFNAELKGEAEARYTHS LY89DRAFT_656545 MVSLQIVKQSNAGIASLPHGLVVLFIGATSGIGQSALQSFARHA PSPRIYTVARPSTFASHESLLASLRQSNPTATYKLVEADVSLVSEVDKAVNRIIEEET KIDILFMSAGFMALEGRKDTSEGLDPSMSTRYYSRLRAVQLLLPLLNKAPSPRIVSVL AGGLEGPLNEQDLDLRDPNNWSSWNSSVQATTMATLMLEKIARENPRLSIVHWYPGPV DTPGLAKIQKFGMSFPNPMSQEEAGERAVFFTTSDRYAVQEGLLPVPAGLEVAKKSGG GIFLLNPQGESTDNEAVLDEMRRRGVDEVVWNFSQKVFTACNVQARESKDEL LY89DRAFT_723801 MLSLNLRMIFSRQFLGAAVLVVSLCQTALAEALPQTPACLLNCE VEVLEPMGCPVTNLTTIGNCLCTSSTLQYELAICMFTSCNETDLITATEVLQSEICIT FPKESRKAEILRVEVIIAAFAFPMIALRLISRTWVARRLWWDDWMIVICALFMVPNTV IPVYSSYLGFGQHIWDIPVLNFTRLNLLYWVASIFYALIQNFAKLSILFLYLRIFPTP NFRWLVQFALVWQCCHMVAFTFTVIFQCIPVESFWNLTIPGTCMNVNAAMRAGAFASI FEDIAIMVLPISELIGLNLDLRKRLSLCFMFALGSFACVTSMIRLRYVWNYKLTLDGT WNDIEIVLWSDIETYVAVMCSCLMCIRPLLLKIFPALFSTSRAHSAGTESTTSNRRRR TWSMMIGSAFRIPKITNTGSESNIMTRLPDQSGNKSAKTIIIQGTNESERDLQGIEME ERGVSPSTFGRSENEAGVSVESINLHSGDK LY89DRAFT_675458 MITEMCQNVVPPDSKGGLLADQMGLGKSLTMISLIALNSCKSTE KGIFTTQGYLRRLKSTLIVVPYSLLETWNNQLKRHLKPDTLTWMRFHGTQKRKIWTLG NFDIVITTFETLVRQQKRHEDTEIREETLFSFSWHRIVLDEAHTIRNRATAMAKAACA VNATNRWAITGTPIQNRVTDFSSLLEYLKIYPFSSPKVFDTEIAKPWLKSGDKDITRM KKLVNCISLCRTKAIIDLPKREDLIHNLKFSAEEQEYYDRAKEGTIRKLDAALSSNPL QPGQYLNALQWLNELRLLCNHGLVHSNREVNKTVSITPQDTQVWNKSTGNKAFETIVC ANEAVCSVCGNVLSDGAGQGSNSENPKPYLAKCLTLTCGSCIKDSPSGQPVPTCSHVP LCKSVEVSWTPDIAISSGKGKMLPDIREEHVSTKLKTLMADLQTCPKGEKSVVFSYWT FTLDLIESLLIKAGISYTRIDGQHSGERREEAIQKFQTDASIQVILVSITCGGAGLDL TAASVAYLLEPQWNPMMEEQALCRIHRLGQAKEVKTVRYRIRGSFEERVVQAQDLKKE IAAEAFNMQSRRNPLDNRKRLEIFLRMRYESIDEGPSSMSRSNQSNALRG LY89DRAFT_675459 MTESLAHCEGAMDFMEPGSKRQRLDYGDFELPSLPGFACPGEPQ YSETHDITTDPDQIELESVWAQKFLQTHSNDFASSAFETSEPNLTVGYDDQYRDSDHL FLNNSSFGEQVSDLCLGGSFHQDVLPDANNLTSGATIEIQEPTTPILDQVCYGMIADI PITASQSSLMNFDTCQISVAFRKPNHLYQTERDTKFGELDERTAKILSNLTTEEGICF QIYCRTINRRAAAGKKGSRNQVQMSCNML LY89DRAFT_266857 MLIGTWTEHSQVMAIPDRGLDLPWYSWGDVPFNGPLTDFDLDPP FQPHSFTHVTPDTSFRGGLSTSQGIDGSLVNAQSSIVEPTYHHVEGSALAVVAPEDGN TNAGGDPYNPGGLFIQSSSYNETQKVPYIHPAHTSLPVPPLFNSGLSIAEVNLQQKGV TAVYDKPYLTQSWMEQSPEYHFSSQQSARLSSPPMNAQAFGVSRLPAERFASPQYPVH QLLQSQHHGYTTVDDFDPNLLAAPIVSYPLSPYALTGERYQSYAPPHSTGPLPSRNQT MREIYSSVGEQREEVRSITALPWSSGPHSIDNELLPPNDWVNDNVAPSGDFGMHILRT SSPEHFHTEAHVQPGPSSSFPIRALHSRRAQILHRDSMVGLETSESARSTMVARGSSG SESFGTISSRPSASGSSGSSASWRPSPQHSIGESPNRTRTRIKPSDKAKEKTRELRNS KTVCEHCIQAHKKIHIRRTLPTMSRVDREPIVLGASYVLSKRGRSRRCTQG LY89DRAFT_266856 MIFDPTLQTISCSFSLRSFLPGWPCAYEPSLLGLSLVFFFATQS TIYLRRCRFSCGFDDISVSYQSSSISLTSDELSLIQAHAELHASTRFNDQDHGRGDPI RPVLNPRFTLLPRGMHSLLLSFYQLDHDGNT LY89DRAFT_710828 MLSTTRYPLRNSAPAKVFGKGPAFTSLRLDSVETLSHDTKRFRF KLPSETTVSGLNLTSAVLTFSRPAGQFFPVVRPYTPISDLSVRGFIDLAVKRYPKGKA SNHIHSLSPGDTLFFLGSIRAYSWKPNEFKNITLIAGGAGITPMYQLIRGIFRNPEEN TNVTLVFGINNDRDALFKDEFAEMEKKFPGRFKVIYTVSNPDEGSPFPKGYVTKELLS KVMAGSKERSEKVFVCGPPAMEASLTGSRRGPGGILSELGFRKDQVFKF LY89DRAFT_656555 MAPKFTVIVGITGNQGGSVANTFLSDPAYRIRGISRNPSSAAAK KLAEQGVEIVKADLDDPESLEKAFEGANLIFSVTNYWEPFFRPDCRALAKEKGMSCRE FAYEVEYRQGKNIADAAAKVVSSLDNNGFIASTLSHAGKCSKGKYKDLYHFDAKADIF PDYVNKTHPALAKKSSYIQTGYFTSSYKLAPNSYFKKLPSGTYETSFPTPPHTPVPHL SVRTSTGPFVSAVSRMPPGHAYMCEGTTCSWSEYMAHWSEVTGKEGRYRQCSLREFVE ANHEDEMFGVEAGVMFEYSGEVGYDGGDEGLVRKEDLWGRGLRFEMVGLREWMEGEDW GSVLGR LY89DRAFT_267150 MFSKLFILALAASPLVAAHGKIAVMTGDAGGNTTGLGIQGGVIP GAGTNKQTEVDTTVFQSKNAMTDGLGKTKAGPNTLAGMSAVMAQSGTTLAQVSNGGSI SGTMHIVTTDGAGPYTAVLDTTGTGAFSTGTKLAVTQQVPGKNGNIAAPKQRRFIPRM LVSMGIMKRASNVNEDYPFAATVPAGTSCTGTVGGQSGVCLVKIVNPSGAGPFGGVMA LQMAGGASTSAANTTAEKRELVGAKFRA LY89DRAFT_267133 MTSPFPLSSSAPGEGRLVVQLLPHSVSALSTITFQYPLKLISPS PSAAQKSVLVFLLTYGGGLVGGDQVNLTIDVKQKARLSIVTQGHTKIFKSPTRQVITR QSLHVTIQDGAAVCLLPDPVQPFEGSVYEQSQKFYVTEGGSLCLLDWVSAGRTARGED WDLRAWSGRNEVWSAPQSKCKARLLLRDNVILERESLDSSIQDLRKKMNGMGIFGTLV LRGPLVETLAAFFMSEFAALPRIGARDFRSQDRKQKDDQIGISREEAWRYSRLNQEKE DGVLWSAAKVRGCIVVKFGARTVEGGRNWIGSMLKEDGSILSNFGEDAAMCVR LY89DRAFT_627320 MTTPRTPLMDISNNDVASTPGATASRRKSGRAVKVPEKFVPDVP SSQPGPASAKRKRGEDDAENDASDIEDDEDEEIEDEEEESAAEEEIKETKKKSKKPAR KPAAKKPRVNGTTSHEVAPPVRLPARPKKTKQVAIADKDTGGLYADVYTSGLSSDDVA GQWHSRLAEDSPTAMVELVNFLLLSAGCTLEVTRDDIDDVDNVDAKVGDLQEEYQAQN ITDYPLIKARSSHHFRECLINFFDSLLDAIHESKIMYTETALMENIHSWLIVMSSSPS RPFRHTATIAALAMTSAMCRVANEQIEVAAKVQRQLESEKKNKRPNKARVSEFQSKVN ENEHQKAVLEHRIMDFFEGVYVHRYRDVDSKIRLECCESLGDWILTLESVFFEGQYLR YMGWMLSDTHGPMRQEVIKQLQAIMKDLNAGGMRHFIERFRPRLVEIATRDSESTVRA SAVELMDSIRKAGMLEPDDIDVIGKLIFDSDPRVRKAVVPFFAESINDLYDSKVEDLG GNEFLEEHLAVEDEEDYDTPRREWIQLKCLSENLLSYDIQDQDEMPSQIDSADFLNVS GSESRFTLAAQALYEKVPVLKNWELLAGYLLFDHTSEAASTDAEAELRASFKPDEKEE LILLEALNAVVKLGLSDAEEADKEKARKKHARRDNHDAREAAARRLAGLIPPLLKKYG ADPKTATVVLRLEHVLNLNVFQELRQDSTVYAKLLDEISAQFNSHADKGVLNEAGAAL LHARGYEELEEVADSKVQSLWEDTTSVLRKINKAGEISARGTLSIKILTELSHNLARL EQLASISSCVEALEAEGAKESTLPIVILLDIIARGVFEDSTDEARENLEDEIVLSAIR SGMFYFMWKVRSITEAVESGEEITDLDIDHLKEWQDLFTTNLIASFSSRSTLDTVRLV GAGTFLDLHVLFATLRPTKQPKGKKSQSEQASEENPYLQSLVKEVGPEVQTELASIFD GLEKQFAKKSKKKLADPSDDEAPEDLESESEEEEDDEVTDSERQAETLRAEQQLCELS GKLVLAILAQVIDASGDLKGKLRSRLQRNRQRLGPNFKEVVAYLDEPKSKAKKGSRSK SQSADPKKKAVPAKSAERVEEEEEEDDPFADVEPEEGTVEDLRRREILDEELEGGGEE NGEEEGGGADDDDDDVMGD LY89DRAFT_675467 MSRCPPDSSWPSYNASKDWDDEFFNDGKFKDEGKISCSEKNVAI GSIIKLNQHSLKRHKLYHDRVVCVHKDCTTRPELEKMFQHPAAVLDIWRENGDDWALV SIISSKKLASEGQGANPLTLDRPYDRKYHQDMYNGVDPKDVMHLEYFGGTFERACWFQ TNHAWKVMLSMTIPFKKLIWANRLTKASYETLMDRLHLSPAFWVTTKALWVRSLRDPA FSKSCLSSDIQIQSPTSPTPSKASSSPSSGSSPLQDSPPRKRGREDSFDKESPPPASK KARSDSQEKDKHDERPRSYARRRTTSPVPQNRGRELMYDSYRPSDNSYRARSPSRYRR EQTTRDDRDGSSRRQTFYEDREPERLHRRPVIQTVEPP LY89DRAFT_689853 MDRFFDKAKKALDSTTHLIAENQNFRTMDIARFRGTGHSSPHHS SPPATAPHESTNSHNHVSQALTPYPTTTPLPYPPSPSSTAHHGSNMNYPIQSLPPATS NGSSPYPHSNQPSPLSTPHASTVDNPYQFSPTPTTASYGTAMHLPQDHSPIPTPYGYS PSHPALPVTTPYGSVTEQSNHSAPSATPYNSNMYPPPIYSSPIPAPETPYSTGPSPST QPLAYSQANYSETHSTVPFPNAVPGPNANPPTNNTQSLPDQPVLTFQQKLQLKKNQGN LDSQLMQAVIRDNAEQVESLLKQGADVNALVPAESLGHRYPVTPLYLAAYKKHNDVLR VLLLYHPNVDAYSSYNHGTALSAAITQCNLEACEELLSAEANPNLECAGGLPLLCCLN RDDTDIMELLVMAGADVNAKPKDKSSMPGDSILGTACVYIHTKVVKYLISEGARVEDL KDERQYNFAKRCMET LY89DRAFT_656568 MPVFHPVFGHFIALKKCMQALPPNITMHVIVRQMAKQFPRGIFY LNLWPFNKTLMIVANPLMAVQVEKAFLDKPPAITETMEIINGGHSLMTMHGDAWKRWR GVFNPGFASGYMTGLAPAIADEVVVFCRLLQEQARKNEMFQLEEYTLRLTFDVISRVT FGARLHYQTQKNVLADGLRRQVYWTPYGTTFNPFRRWLSPRPLVQKYNSYSINRYLQR EVDERFDELALSRQDSPAKSQSRSIISLVMDQYLLDLGKTGELSKKAFKKLVIPQLRM FLYAGHDTTSSTLLYCYYLLSKHPDVLTKVRDEHNDIFGTDFSTDHCYQIINSNPALL NQLPYTLAVIKEVLRIFPPAASLRDGRPGLILTDKDGQQYPTENCHIWTLNLVMHHNP DIFVRASEFIPERWLVEQSDPLHPKKGSWRAFEWGPRACIGQPLAQMELKVGLVMTVR MFDIAPVYDEWDINHPKKGITTVEGDRVYQAEMGGGGAHPVDGFPVRVTLREQPTRT LY89DRAFT_596963 MKASIWRALMAIGMKFHHLADPRPPRPNFKIVIPSRLSPRGGTF KLVFYVPQSYFEAPDEYRYPVVVNFHGGGFTLGTGTDDARWASTVIEQVDAVFVSVEY RLAPEYPFSVGVEDGTDALIYLASHAEELRLDPNRMALSGFSAGGNFAFTVPLMLYDL QNDAGKRTLLDSSKKPKKFKNGHHQQLNHNYLHPQSADPTPSSSQLSLPRPTQTRTHS STTSIVKLSDLEPTALEIAHQLPALTLKCIISFYPPTDFRSSRDEKRLTNPAPEKNLP PMLTNLFDASYIHPSDAIDLTDPYLSPAAASDSLLRSAYPEDIILYTCEYDMLNAEGV AFGERLRSKEVGKVVHGGLVKEVPHAFDKKPNPVSFPKSAERCYSEACAELRRVFGGR SSVEERRQLELEEEVERFDGVGSLPGMKGEVKVDRTGERERDGSREGRKGRSPSNPGK AFKLENMI LY89DRAFT_656573 MVRSVEHYPSETIVVVHAKLRKAPKRVKNATIHDYELEVYEVHK VGNLTENVPFTVYDAENINRDREDVEDDEEDEGSLFPGSSDNNTPAEGTPRASQELLR PNRVASPVPGNRKSQDVSRKSQDVARTSAMDVYKQTRSLPQRVRLNSRIVDLRTGPAQ AIFRIQSGICNLFRTYLDDKGFIEIHTPKLQGGASESGATVFELNYFGRPAFLAQSPQ LPKQMAIASDFERVYEIGPVFRAEDSNTPRHLTEYTGLDLEMALEEHYHEALTIIDGM FKNLWKGIYDRYSKEIDLISQFYPQEKVVWLEETPRIPFTEGVQMLIDDGWVDEEGNP PDPYEDLATRAEIRLGAIVKEKYKTDYYILDKFPSSVRPFYAMPDPTDDRITNSFDIF MRGQEILTGGQRIHDAKFLEKRMKQKGIRPETMTEYLEGFRWGAPPHAGCGIGLERLT YLFLNLGNIRLASMFPRDPKSLPARPAVLRLRHEEASTTNPPWERTDPEHDSPNTNGA LSLESRLQPLEKLIANYGDAANTSWLDKRYHVWRHPSTGAAQGYVIHNNYAIAVGEPL CAKSQYPQVVSAYLDYMKEEHKNLKPLWMIIGAEIEEYLGEKFQWRTLSCAAEERANP RDNPAAKDKDLARKVRHAEAEGIKEVDLPPNEPVPEDIVQKIDARIADWHKGRKGTQV HLTQIRPWIDQEHRRYYYSYHPGTGTIHALVVLHQLSPANGYQVKFSLEFPNAPSGTI ESLILHALRSIAAADTECKRITFGTGAASEMRGGRNLGKMKVKALKKAYETINRQFHL TNKSEFREKMGCWDESVYVAYPRGGLGAGGIRAIMGFLEEEG LY89DRAFT_760733 MRSNREDNHFIETGYRSASASLRKSLESWTYLHNESVNIYSHLI GSLLFVVLPIYIFRTEIPPRYKVATSADLGICSIYFIGVALCFLLSATFHTIMNHSPR IDKLGMQLDFQGVILLMWGATVPLIYYGFYCDRKLQWVYWALSSTFALVCSVFTFQPR FSDPFLRPLRAATFGSFALSSIIPIIHGIIKYGWTLQSQRMGLKWVLATLGLNTLGAV AYAVKFPERWFKRTFDIFGASHQLLHIMVILAGLAHTMGVLRAFDFLHAHPNECVGQ LY89DRAFT_760740 MAPTYDPNGSSNLDTVGWVSDPNGRGTSSLIISCLLTLGLCVWS ALHLNIPAKDASRREYWLRRAKWSLCGVLIPELVILLAWRQRTSAGRLTNEINKVFSD LEQQYTSSKDALNSRIRGAVQRKHPWTNVHSFYAGAGGFVIELEQSQGGFGFEPFLSG PHRLTLTAHGVLLLAECGLLPDFNESEIKDKSKQDSLAKALALLQASWMLLQTLGRVA THIPTSLLEVNTIGHIFCAFIVYLLWWNKPREIHEPTVLKGSWVDSITAYMYMSSRMS GTKIKSHLKPRSWVSPEISKCVYLDFGQALLSSRSSDSAQVIDTVESPTILDLQREPS VVVDGVDSQLSGAFEFRPFRRLDSQKTIRRKMKLGWTPEPNFDNATLRKARHRLAAAA VQSYPAVRARFNKMEFHPSNDASGTEIPTYEAIVEQMVDHSARNWPSDFLLPGLGGEL MGMILWFGSMAYGGVHMAAWHQYFPTRIEQYMWRFSSLYIACSGLVWLLMNVLGATSP WAGAYWDRFIALQAVWVEYVIFGATATVCGIIYIFARAFLVVDAFVSLRQLPIQAYST PQWSEVIPHL LY89DRAFT_675474 MAIIKQLHLRGSGLRAIRSLKTVGSALKWVVLKIWAICFRIKVK LLTKWRGTKKESPKVITFEPSIWRASKKCAIHLLPITAIAILAALNIATYFIGAEYQG STTTIEQGFDNLALQVTAKLYELLIIASLSTVLMDVLRYELLYSSTGLPFGILTANTQ FVDFLIPLLVVCTILALLCSMSWSEPPSSVANKWFETEGNTINTTSFPEQNSSSAYLS IQVPLHNGKLGLSCTIDARWAYGQIIAQGAAQVNLPETYTPVFVPNWGPDFDGWRLVR FARDWLNSLTPQLGNVTTWNSLSSTLTELGVDNSTGSVGDTWDTVAFISQLLVSATIV DVMSRAGYDLMNSLQAPTLNDSVLDAAAGGPDTLNDSVMNAILEGSYILYTDDSNGAN ASDQLQMHWSITATGLGYRANSLSYYLALALLFTTLSLLCRILSGFSTTGRLQEPGAH LY89DRAFT_689855 MLGDFPQLFEAVQANSYEHVSEKNESSRCLYMFGDKSKGSDVIS GKSLAYVMPDLRW LY89DRAFT_740379 MRHSLALCLCSCAITHGYYLDDSCTPYADLLAAGMKGAFDFANA ASGLLSTPSTSTNVLQAQRDLTTFLFPTTMKNPTDRMTVSERFSSVLAFGATTGTLEG TLDPILSVNLYLLLPPDKIIFFCDEGERFEFDVRCNGVADKGWNCDRLMETTFQDADV PGFLNCFDNDSEEEMDDPNLPNAFTLQIEQQDRPTPIQICPWYLQKLQSARFKTLEDL GTSGAMALSENDFNRLLNSLSQSSTRTAMDSLELMDMVLLHELTHAISKPTGDFDDAG WQSAVAKNPKCIDNAENYAFFGLAARMISPIVPGAKPMRPMIGGNIQIIPADSTSKRA AVVYDNSLALKLAGTASISGESAISKSSSSLYYNTLSTFSTLPSSRSQIYSSNLLSST SSLSSSMSNGGPALSSSSSSVVGTSYMSSQSLSLSAIATYTTNSGNATFTITSSPFIS SHASSIVSVNATNTNASLTGTFSARSSIFGLSQTTSILSVATSSHGNTSLMTLSSGPS TSIQSASATNATTPETHTSQTGWNTFTSSKSDRMSYTSVETSTSTSSVQALSSLSDAS NTTSSMSVSLPGIGNLPFPTSTPSSLTDTTTGAFTFSGSWTDVESTLTPQSFVFFRVP TGTITSAPAETSEVTLLGDLFLALQANRKWLTDSTLKSKFLDSVQKTKDETTALLNDL SVKPADIPDCHDTKRKRHLRLSERQLRAMLRQRSIIGSIGNIVKGAVHDVGTLISCAG DVVQSLHDAVNIDTPDLGEIEDLTDTLAEIGQDLQYEGDDDENTSTSKEEQSSATTSS SASLSTSSFSSSSRSCSTQFSSACSLSYSAFVPAGATTITTATYTLSCSTVTGCSASA IGSATTVTASSVFPTASLIGDSFGNMSWFDQQQAILAAVIADTTDVRVTWSPSETTTS SILISNSTSSGYNTVSILPIISTKSGNQTYSGSFSSSTPGQSSVFVISTQSVVPANES TSIIVSSSQVPTTLPVTSVTPTSSSTATFSSQASVTSPITSITPTSQDSNPFPFTTTL GNGQVEACRTSTLEVYAGYSAMYCAGSVTTLSPATTSSIPSATPTCDVHASEQVIFTT YELSEVDVDVSIIDGGGNKLFENSYSPLWGVITTVPSSATKLPYNITFSFLIPTGSNW ENSTVSLTAGSEVWNSESALDIGGETPRLPYCHVEAWKTSPKGNRTFDCYWAC LY89DRAFT_760744 MEDQTRKVRIAISGGGLAGLTLLNGLLKYPHLSVEIFESATNCS ERGAAVSLGINAQNALHELGPVLEEAVSLAGAIPYNSSRAMIGLRPNAGSIVIELKGD GSEKGVHRAALLSELLKPIPQIMTHTNKKLIRVQECNDGVRLFFEDGTKEVFDGLIGA DGIHGYCREYILGAEHAALKPHFAGFWDCRSLVPIQKAKEVLGEKYFNDGLQHAWVGH GSYLMHDVLDNGETIQCVSSVETDENWSLGEWKRDFDAEGLKEAFSKWTDSPILEGMI EVFTGSNSDLRAYPQWEHSVHAPTYTKRWVCVIGDAAHAMTPWQGSGAGVALEDAMIL DTHLQLIKDPHQIKSAFQAYDQVRRPRTQKPVDSSKTTGRIFCGRGPGVGLEPEELRK SLCSRWDFIYALDMKQHKRDALDAFADLNTQSVVD LY89DRAFT_760748 MILSIVALSSLGRMVTADCTRNVLVAAADLYVAAQTAGQLGDLQ KLLTTDYKYQENNKASDVKSSVLGTALKIDHRKTTADTIACASYTELVATTSKPYVIG TQLRHTADGANVTLIDTIAATTGSLSFNAAKTLGYIQKEDWSVIDASKRDSRTVLQNA ADAYLDMWTNASAYNAVPWGTPCERVEGSSLNSPYTVGAPKGGSTQRNSMRRYVIDDT LGSCDFRLENGKLRFVHTITL LY89DRAFT_787655 MALLNDIKLLLSLPVYLGKQLEASSIEAIQKTASTFESQCASQT TGCALQARFLSNIALQFEKVKKQTPKSTSSQSATTSNIELTDVERRSRSKVPSGVQSV IAMDQSSNNSPVENCAEVPWNEITDRSQYSFLTSTNADLRPK LY89DRAFT_596933 MRSASTLRGILSNCFTTVRDTGGATVAHAKATEEFLIPGPRVFQ GGRMLSHTGGHGDNADIWSEGSGLAPHTSCCGGIDPSALGRVVDGVDECIRATREDMR KGANHIKICTSGGIASETDPIDSIQFSIEELKAITSTCANMKGTLVTAHCYTVPGILH VIEGGVRRIEHGNMLDAPTAAIMAAKGVFLTPTLALHTFVTMPPYDNFETPEGLRKNA IVGNVEVKAIRTTEDAGVVVCYGTDTTGPTLVMQTYEFVVRSKILPSPIVLNEHGDKS PCATINGAKQVGMEGKLSELIPGAFADLIFLREDPLEDVASLDRIDENLALIMKDGRI VKSQLNGIRIERACAWD LY89DRAFT_267533 MYTFAQRLGTDRSIIRCKRCQTFGVHCDGYDIVVSSKKPRPKDL RPLVPMARTETKASTRAKIRNPVNVYRQPGSPRFENENEARYFNCFHVQIAHSLSRCF DPEIWPRSFLMASEAVWPIRKGLIALGALDLTSKEALSQIREGPTKEGITDNYLFALQ EYTRFIRGMKQVMAPEDLRTQLLASIIIICFESYLGDPKAVEFQVRTCIKLLDQWKKK HQKPWHHPLKSPAPNMVEDELLHLFERLDLEVISQNAARDFSREEHLRLKDEGIEAIA NMPEAFSGIDEARMYGNLLHRRTVHFANAYDDKTAPHISVWERVSASATPAVLDDFET QRKAWYRWGQAFDPLFKHSLTPEGASTFFIMSVLRAHYLVLQLLFESVFQKDEVFYDY FHNDFVEMLALCKGLLANESSKFVLSAHTIVVLDLVAKKCRDPEVRREAIKLLGEKPR REAFWDSVMAAKICAWIMEVEEEGMVNGFIPEESRARKIGAEFNLPKKEAKIWCYQLK EQGSSMELRKRETTITWGTPLGSMPFYSGGNFGEKWEDCAADKSPSLFQLSPTGRSLV RPF LY89DRAFT_760760 MRSSILSAVIALAAQQVAGHAIFQQLWVNGSTCARVPTSNSPIT DVSGSAIRCNSRPGVAGKCAVVAGQTVTVEMHQQPGDRSCANEAIGGAHYGPVMVYLS KVADATKADGSAGWFKIFQDSWSATTTAKVGDDDNWGTKDLNKCCGRMNVVIPSDIPA GDYLLRAEVIALHTASSTGGAQFYVTCYQISVTGGGSASPALVQLPGAYKAGDSGIKI NIHAAVGTYVVPGPTVYAGGTTKSAGAACTGVETLKALPSATSHARRRS LY89DRAFT_787659 MDCQLDQVIIHQILLSLRSTVLRRLTALFKKNVISNWFTIHLCT FILLNNYELATSHDRSFAIRHNLSAYYSNYPLLEGFHAGAKTLLAYFHFICKGSQPFA LNWSLEEDVGFARFDQEQVEFMQFISDEVRKSGETFKQLKNSKQYEKNLYLVSQMYEP EWTTSNTL LY89DRAFT_787660 MKELHWKAEVKIGSERFVVSPSFQVISPCSNQLFGGPATVIRLH EGRLTSGFLSQTVARFKETDDVFHEAFLRTVIVVGAQTQKVDDSVASLLSEWGAKLSF ETTTGEQGEGPCYIISGQCYAVWKLFPDLQGAFFVATLPAGHNKYQPLHGGAGPSLEV AVPSRLYYPKSASKPLNGLRFSIKDNMNLRGIKTSLSSRAWNTLYPEVADTAPAVQYL IDLGAVIVGKTMLSQFADVENPTGDWVDYHCPFNPRGDGYLIPEGSSSGAGASLAAYD WLDVSIGTDTGGSIRDPASMHGLFGIRPTFGRFMTRDAVIFETVCDVLYPKTTAPTKP TRLIRLPEFDYQNEKAQEVIEGFVSHVEAHLKIKSVSIRLDDIWKGKNPSDTGESMAD YVGKNGTIMKTVKSFDLWNNTEKFRRDYHSQFGRRPYSNPAIEAKWAGASQVTKEEQD AALVRKEVFRSWFLSEIISDSGTVIVLPISESEPVYRDEYKDGPWVGGSGWHRNFISI LSGCPELILPIGQIAYNSRVTKRSESLPAVGSFVGYPGSDNALAKMIHELLVEQELPT KVLTGSTCL LY89DRAFT_689858 MASRLIKSLLPRRDGQDVRTTALDQDNIDFITQSEDAIEKNDLK NSTVEVGEEKVVPGASSASSESAFADDDPAVRDIPASVRRIVSLEDDPTLPTLTFRYF VLTLLFVIPGAFMSQLSHYRTTYIPFSVFFTQIASNYIGMWMAKVLPAWNVRVPFTKW GFNLNPAPWGVKEHVLVTISAASGATYNLAFAPISIAELFFGQRINAGVAIVFMWSVV VTGYSFAAISRQFLLYDPQYPWFQALCQTALFETQKKQREHPDATSRKQVIVFWSVLG FVTLWQFLPEYVFPMLGSMAFLCWVAPRNATANFIGSGFGGMGFLNLSFDWSNLSNLS NAGNMFLTPFWTQVLIFAAFVLNCWILIPAAKWGNLGSYKHGLMSNKVLTANGSTYPL TSLITPQATLNETAYEENGPLHLGTQMLWGMFFDYASYTSALAWIATFGYPQIRDAII KIRARNRDKNHDTINFQYTDQLNILQRAYKEVPLWWYIALFMCSFVSIIAMLGAGQLY IPIWTYFVAIGTGAIVVTPLGWLYAISNFQLPIGTTNELLYGVMIQAVSGHKNPTGAS VYSSIAGDAWYRAQYMLQDQKIGHYMHIPPRATFFSQVFGATIGIPINYAVIRWVLNT RFDYLTGAIKDPSHQWTGQSVQSTLTTSVQYVLVGPVKLFQEPTFRALPYGFLAGLFC PIILYGLHRLFPTSPLRFRLWNTTIFFSALSTFYGNLSTGYFSGFIGSFVVMHWAFRY RYELWAKYNYILAAAFDTGFNFNMLLLFLCFGAGKIVVMPNWWGNDADNSERCFALDS LY89DRAFT_689860 MAEAFAALNIAANIAQFVDYAKQLISSSKEIYSSLDGARDEYKA LKVIIEDIKTANDELHPRSSPSPSTDEVRFRKLAAECEPLADKLLAILKDLEVASDAR FRRLQTMRQTIRGAAKKKDVQDLQRRLVAIDVKLHEAATILLQKKHYNGITSAINTLT QTNERLKMNTNLALEEMRLDLVRTLERQEHRVKKEQQTRIENLFEELRKLANAGQEMT QQQAILRTLLFEEMEQRQETIQDAHKATLDWMFKPDKEKFVEWLEAERGIYWVRGKAG SGKSTLMKYICNHETTLKTLRRWAGTKQLFTASFFFWNSGYPMQKSQIGLLRSLLYQV LRACPALIMEVCPSKLLMEPWKRTELFEVLEKVSKQATLPAKFCFFVDGLDEYEGDDE DIIALLQDLASSPSVKICVSSRPWNAFLDAFDDSGWKLVLEDLTRNDMCEYVQTMLAQ NEIYRKMSMEDPRCKTLIPQIAQKAQGVWLWVYLVVRDLLRDLKGGEEFPLLQRRLDS FPNELEKYFENIISRIDKIHREETARIFLVAVTVIQPLPIFYLHCLAAEIIDKDYAIH MDIEQTSAGDVIRTKKKWKKLLNSRCRDLLEADGLCDRDDLPCLDGKVNFLHRTVSDF LRSNYLEELRSRAGEEFDARSSLCKIIIALSKVSADMIGQRNSGNYSENIPAFDLVDE MLLYAKDYERTEALSMTVLLDELDRVNTIRAGGAGNHGHWTNNRKAGIPEYDRCTFLA LTVQMGLRRYVREKLESNKMLIAHKRGRPLLDYACRPVMDSRLLSQLGKDNVLDPRMV CLLLEYGSDPNQRVEIYSEGTIWRLFIIGCDAQKRGPVRSSTQIDMWYEIIELMIDHG ANPDANVQTVKDHDGYDDQKRVVMMTIQPMLESIFGTDRAARLASRMKEVAQRNRPPP SLLRRLLGWT LY89DRAFT_656588 MLALTSTTGKLGHATLSALLTHSLLPPSQLIILTSTPLTSPKLT PYRDLGIQTRPFNFSSPSPSSFSGITKLFLVSTPEISLDFSDAAKGGRESVHIATIRT AISAGVKEIVYTSLAFGDESEAGVMRAHLRTEEFLKSLKEKGEVEYTIIREGLYNESW PLYLGYFDVEGRDERSEIVVAGDGKVSWTGIADLGVGNAVILADGRGRWKGKTVVLCS GEKRSLREIAGVVGKCRGREVEVKVVGREEYVEEYVGRERERDAVEWWSSTYGALEKG ECDISDPTLEEILAEKGIGPKSVEETVKEMLS LY89DRAFT_787664 MTSTPDSSALPLPPDFKSIEEINNMPSETIKAGAMVNVIGFIKD FQPPVQTRGSDYKCTLEILDQSTQFEMHGLKIIIFWPLKSMPAVLAARDIVLLRKAKL QMWSGSVSLMANKASEFHFLSCKNPILPGGAMGPPWTSYRYDSGKGKIPNDIETRYVI EANHHSNEMDLPSDHEFERKTKEAMRSRDKFSLLKDVEPERFYNILGQVIKVYGSGDR VTLYLSDYTANSKFYNYAWGEDANAAVREGDEYGYTKTKSKESKAWPGPFGKMTIQLT LYDAHAAFVQDEIEVNQWVLLSNVQIKFGNIGGLLEGFLRGDTHRFEGKIQVKVMEQA EDPDQNDTRWKEAVRRRVEWWKKFEKQKQNILDEASGAGLKRKNEEELSKGNSKKRRK EMRELAKKKAAEADAKSATRLDLNDNIRSTFPDQPILPLKQILEPLPLLKEEKSDGVL SPFTVCKYKANVRVVDYFPNRLEDFAVGRRPSDMDILSDYSGGEDTDLEEDMRTFRSG KGFAEKKWEWRFSLQVEDVDSKDSQGRLWLMVSNHDAQCLLNLDDNAANLRRNPELLA SLKEQMFKLWGDLEERKSSTLPNLSAKGKEDPPASSFDSSVSSPAHKAGGQPDLDSDD EMGNTGRLKSKKSASGVLQERDPNVPMTVNGIGAGSKADANLTPKNKAFTCCIKQYGV KVDEEDPAKANAGPGERWQRVFGMFGTQIM LY89DRAFT_267685 MVQGVFSEQIDLQIQATTKFRKLLSKERNPPIEEVIKTGVVSRF VTFLRSPHTLVQFEAAWALTNIASGSAQQTQVVIELLASHEPDVREQAVWALGNIAGD SPSCRDYVLSCGALKPLLNLLGDSRKLSMLRNATWTLSNFCRGKTPQPDWNTQILPAL PVLAKLVYSLDDEVLIDACWAISYLSDGANDKIQAVIEAGIPRRLVELLMHASTSVQT PALRSVGNIVTGDDVQTQVIINCGALPALLSLLSSGKDGIRKEACWTISNITAGNSTQ IQAVIDANIIPPLIHLLSNGDLKTRKEACWAISNATSGGLQKPEQIRYLVNQGCIKPL CDLLACPDNKIIQVALDGLENILKVGEMDKDAAGEGQENINRYALFIEECHGMEKIHD CQTNANEEIYMKAYNMIEKYFSDEEDIDEQGTSTLENPTGNFGFQAPQSGFNFGGTTN NDSMDM LY89DRAFT_675489 MTVTNGTSGLIPVIDISGSLPQKEVAKQLVDAAATYGFVYIKNL GKDIPIEAIDSIFDLSKEFFTSPLEVKQPCKIQENNRGWVGMHAETLDTKNQKRGDFK EAMNLGEFVDGKAQQPLPPSFAAREAEIDQFQKYCHGLMIKILVLFAIGLEVDPSSGG PDWFSSRHIGAGPSPCTLRLLHYPPLPPDSDFHPSVDIRAGAHTDYGSITLLFQRPGQ PGLEIIPPSSMDSHNYTNTASWTPVPVSPPTTTTDPSPPILVNIGDLLSYWTNNLFKS TVHRVIFPSTSSTYSSPATDRYSIAYFGHPLGSTVLEPVPSERVQELAGKGEQKTVEG MTAEEHLMSRLRATYLGMYRDGEGKEEVREVVASA LY89DRAFT_689867 MPLQESDFSVGSNIYSTPFSDLENAVLGVEATSYLQHMLDDPPT HEPLLAALGGDPIALKSHIEKDLDQWKENRIRPLFVFDGQSVVGKEEMALRHARAALN KTQKAWDMYSKNQPEDAVRAFGASGSVRAQDLYRLLQEVLSERNMEFIIAPFSACAQL AYLDKLETQYIDGIMGSKELLLYDIHDSMFNPPTSADWEKKMFTGIIRSDLAAKLNTT PEMLADALLMVGTSFLSPFPPLQVESIISSQPYTLTDAINLLRTSDKSVQNTCVSFHD ILEKRDPDWLDKFRKAKMAVKHCITVDEKGNISIREYDNLTNDNHLYLGLQLPAELYH YLSKALIGPRIMNCFVHRELIVLPTLDGVISDEYKKLVSRDLVPLKETTAALISSRIH RAIQHYEIVMKFWFDDGLKQTLVHRNLQPQTNQRVDTWGMKSADLNSLSATLNVTPGT FSFAVFSLQDPENRAKTFRKPDMILDGKSEISASVLWRLLHLRGYINDQHELTPFGKG LAAILKSIGPTIKAHKDIHHIEEAAFLAVELIRFEVLNARNRHNDLIGGALRGSDEDK ANCILIGRTACLLKLRHASIGYTGPLSKNFLSFHSIIKAVRETDRDLLEAALASMLLN AQAVRPVHDCDRLGRSLPLCTDVDTSLGIAVKTYLDDFYKVDWTPEERESNKSQYVER YLPHSVNFPEDLDVAFNFFDAVCAGVEQLGDEMNPTDKSAWVSAKAYLDLRR LY89DRAFT_267716 MPWLRTGTLYARVRRQGVIRYGLCVLFALTVKRLSSRKTEKGKA KKGRRKEVRLVRERTTTGFKQNVRLLVVVKTRRHRQILKKFHSLSH LY89DRAFT_740397 MSTRAASKRKLGEITSKKSNKSTTSSNMTLVIDRTAAAEASEMG DCPDIDFTYLCNHTWNEHVYACRTKAIARCPTVIPRPLFACMFCSPPGASEVTQETML KAKTLGPEFEAFVQGLIKQRNDALVKVTNENEASKVNEEIEELKAKLKETQKESEMKG TALDDLKKQVEAFYARNGVPAIQTIANAAQSTSNGTQGSPTTSMSISSVLNNIVVVEL YEKCGHKIVIFGSAQGATLEGDSAVGSEEAVVLKKNHCAKCLKFMTSSEREKIRECAK RVQENFDHMFDTFDTKFRVETEDVAESSGKSKAIVGKFFASAIQNTVEELDDGFTELV DWFYGVQVSDNITAMELALRQGDEAEFLRKFNEIEENKKELRRELKKTSVTLGEKVVK ACEGSVEKWLVENQAAAGQGGEDTEML LY89DRAFT_675493 MAYCLGEEFRTTLSRVKTQYNDLPFVGAIPYAVRMLINKFRAGI SPHLEKLRRDLAHDLRVVFKSSVDKVFLLHALSESDIKKDIKKGVDDCADSLALCVRE QLDKLEKAIINGVFETYMERLEDPFIEWESMFGEEACEVLDKYTNSTSSS LY89DRAFT_675494 MCVGSIVRHSCGHVMIHWLSQCDESDCQSRSHVERQSREVYHNS NVAKSGDRCWPCQNPWEKAKMAAMEAKDKIPEDIKNLDSKLEKLIQACELNTSEVKSG SDTVVKALQTHKPDIHAKGLTSSKQGLQKAAAIGITAPITFGLKLLEDDIAELHKVFS NQIAALNISGSENAIVKKVLTEGCQSMVDSVAHKLREAQANIAKSTDGAVVQVLDSFV LY89DRAFT_267739 MNAESLLQSLINDASRNSPPQGAQDNQDNVQDHMKRARDEEVRQ QEQEKREQQRRAKEKERQEEVRREQQRKAKEERERKAAAARAEEARAKAQEAHEAKLR QGWTRMSQRFDKDASNLWAKIDALDEILNDLDKADKVNEHRRGICHDSSGIFGMSKPI PGCPGISIEQEYSERTLLRKAVIKQSDTYWTELAALEARRESAWHRMDLLQTGWDPLS ATRASYYRDKAERDRRMRDRKAAMREKEEARELERTANIATVNNRNNTGHTCSIPSVF GSTPVSAFSTFGTASQNSASTSSPGSGLFGTAPAPAFSTFGAATQANTSGSGTGFGLF GGTPAPERAPGSAIFGTPLKSSNFASKPRSHTFSSTPASAFSFNAAKSSTSTSNPAHG LFGSATAPSQGSNASGTTSTSRTSTTTSKPAPGIFGSTSASSSFGTPSQRSTSTSNPA SIFSGRGFSFSDPQAASTHSPTPSIINRPSPGNLFDSNSSAPRCAPRSSGSSSTHKPT FGSEASTSNKSPQPTIFGSIPGSFAYRERANTFDQTTHSNDFSSSSRPFRSGSETIFR QARQTNNFWPDSDSD LY89DRAFT_596855 MPAPPTEDYYELLGLHQGCTEKQIKREYRRLSLKVHPDRWSPDS TKMASAHIAFSKVCPELSLWIMWVKAYHGSSTTHTRRW LY89DRAFT_597226 MDTLDEISYLDPASPEVISRLRTIASRALCVRYHQNQADEIVMQ WKSSIQQLPGKCEREPTKPMRSSKKQDSVKDESVEDMKSQIREMRELLAKLQDKQQEN AEDRRKFDQGQHRQEEQARRADEKERRRRKKEQEEREAKRQEEERLEKERKDRERKEQ REREQAAYSERLRQRAQKRREERERERKENEQKEKEQWSQLWTKYEARWVQFKSSASS EAALRDSIPWPVKSGSYRDVKASAVEEFFEKAVPKDSGMAKRLRKECMKWHPDSISRS PHADRFTTTDRMMADMICRVVTSLIDSSAGRSAEFL LY89DRAFT_740401 MDPSSFNGKTVWSWPRTQPVSFTEETQKGKSQSRFNTFEYWNYD LGSGIDPVLNAEIVEEDDFSTWLKRQPVIKSPSSSLRLVFFVQPIRSAIPFKKSNFET MCEIFGLPPVELHWASDQTGACGMFVTEGDEYTVICSQDINSSALACTIRYQSASNIT SGYIRTSFPTEFQDLDLTSQYHACPHPILIPVLLIEYAVRNISVNMYNISSEVWKIKE QTMREDLPTVEYKKLSCRASDIAGKLIMKASALELNLLRLNTLEKKLAYMDTHLPSSS MQKLSTHSVALHSRIAFISNSVEHALLLREAWVERCRFSQSLLSDLIGLQNMSPVGQV VPVCPQCHPSAGSDGQPPRYEI LY89DRAFT_596982 MTTKGSCMCGAVEYEFTGEPAVTALCHCTDCQKWSGGAYTSNVV VPRTNMKVTKGNTPVVGALKTYDAVGNSGKINKHFFCGTCGSSLYTELEVMPDVACIK AGGLDGGKADLGQVGVEFYTKDRVSFAKAIDGAKQEKVFG LY89DRAFT_689873 MRQFPITFVNGYCTSCMGSDHSSHSGSINTIETVDTDVSSDVKA IGDKLDTLIESCGTIAGVVNSEARRRSSISSPVKVVQFAREVGLRPSDIKIPISKPIT TSKESKDGNPLTRDITAPMAVLQEELNKYFQFWRTCLNETIERQLAGNPDMKAVKECL HASYQWLDDNTSKAFEDAERLVTSKCRERVYLVCLFVITAFFFGFLFGVWFNNAWMKV LY89DRAFT_689875 MTKGKIMEGVFAINKPTGLSSAQVVRDLQTYFNPSELFAPWLES ETKYRQQESHNQRKRRREKKIQVKIGHGGTLDPLATGVLIAGIGKGTKSLQDFLMCTK VYETVVLFGTSTDSYDRVGKVLKRAPYDHITKEMVEDTLGQFRGKFMQLPPLFSALKM NGKPLYEYAREGKEIPREIERRPVDVLELELIEWMEGGTHEHEAPTDEAGHAEVNVAN KLWKQENALPAKPKSTDGAVKNLEESQVNDGQHGQASADALPSKAADDTDGLTVTGGK KNHTANETNSHDVTASSGDDDSHLTHGTKQEAVANSTIKVEEKDISLPQSSVQESSEG ATEGFGETAKVDEGRVEFEQEKRKLVENQDDLVHERPPSKQRKKVTSSEDAVMSGGLA APEVAADATPNTSTTAVNTHSATTKSAKGPPAARLRMTVTSGFYVRSLCHDLGAAVGS VALMAELERTRQGDFELGKNVLEYDDLALGEDVWGPKVEAMLEEWYQNHKPPA LY89DRAFT_787675 MENWRSIDVQDAKVLIGGQHVNTGLLTIIAEGHLGKVTITGLSD TKMNKQIHGSTGLAAISVTSPDLYSIQDNPTALWVGSKSTWFSVNMAREYSKVFSSEG HSISMFFSVVDYYLEDSSRLDLPLSSQLLEIFALDIASFGTGSTLRENIRLCHKHAAF LEHHMAKEPAQIVKDGKLIEWSKTPFYEWITQDIESKTNALSNGMINLNELKDMMEFA SAGNSHRNSPAEGMDGQGDVKMSDPVDEEEEYQEEEVTLPAPKVQTGGRGRVSGTHKG PYISPQLPSSSKIPDTFVEIAVAMSYHYVATNRDGKTIETLSVATVQNAIYRDYSISR PEIATRLMRDHARTIYNALLPEFKKAKIGQDLIALEPATGQIKCAKEVHEKKANEQYL RLKAGDEVLKQRGGNAVRQVREVLEVQEVREVRGGQGSVMSVASDRGSGDGFVVRRDK PGYINGKPIPQRIKRSGMYD LY89DRAFT_267848 MQWTSVAAVALLAHSAAAQNMLRFACSQLVVDRVDPLVDPGVVY TPHLHQIVGGNSFNITMDPATHDLPGLSTCTSCTFKEDTSNYWTAVMFFKAKNGTYIR VPQTGNGGPQGQLVNKGGLDVYYIPSGKVTAFKPGFRMLAGSAANEDPKKVQSGSICH RCWTSTNDNNFVGGAPCTGSDTVEIPSDPKCKMIRQTIIFPTCWDGKNLDSPDHKSHV SYSGQGANGGGTCPSAFPVKIPQIMYEVMWDVSNFADKSLWPTDGTSPYIYSMDVGGA AAHGDYIFGWKGDSLQQAMDKNCNLNKDCPAAGLHAQDPSVYGACNVKQQAPEKVDGW LAALPLGTPAMKA LY89DRAFT_760799 MCWIFVALWAAALVGLASGDPVSGASVYHDPETGFTFSQYNVMY SLSETITFRIAVPSPVTANQPYDAVLQVVAPIDVGWAGLAWGGQMTYNPLAVSWSNGN NVVVSSRFATQHAAPPTYSGATYSVFKTGTHVNRTHFQYTAKCTGCTTFTNSAGTPTT INPTGTNRLAFGYSSSRPNNPSSNTSSFPVHDVYNYWSQDFSSGANTAFASLAAKNLG LY89DRAFT_656612 MSNQLANMDPFDIDPEMATIIHEMSDEELLDFIKVQQDHDEDGI ELYIYVLLLNFTRTGSIEHLEAAILQAEGWIAETASDDPKKSHRSGIHNLLVANKIQA DERNEDVTINDDWFESHGIGVVNNENLYYLINKTKAWLIIASSDHPQRLAAMEILSFC YKQLFQASGDLTHLSTSIGITEEMIAITFDDNVQQARHFAKLAELQQTRYMQTRDPED LNNVVEAIDMAVSLTTYDDYDNRANRLDFLGASLGNRFSVAREKEDLDRGIEAVEKAV ALRTSEGHDKTQQLFTLDNLMLKRWAFTKAREDLDHVLENRDMILAVETRIDDRVEWL YQFRDLAELKFDENGAIEDLDRAVGVANLAVKYTTVDDVPSQTLHQDKLARLLKTRFD ATSTTEDLNQIIEIRETALITAPDHARRLHWLVELATLLDKRFKRFEDVPDLDRSITL ARLAVTEVDHDDHKNYSGLLSNLATTLRVRFAQTGQREDLNRAVEVGERVLDALAPEH PERSSFLTNFAYILNVRYDQTGNQDDLKRIIETFEEVVTLLTPDSPIYASSLSSFATA LSKRFEVTESMDDLARALKYGKMATAAILPHSPFRSLCFANLGKLLDLQFVRTQNPED KDASIEATETALATAADNDSGRIITMSNLASALVGRLFKETGKAYHLNQTVEIIKTAV EVLPPSNADRARIFYKAGFHHLYRNSELGDDQDLEEACVLFKAGLGCENSIPTHRILC ADSAARVRADQQNWEDSTFFYEAGLNLLPVVSPRSLKHSDKQYTLGHFAGLAARGAGA ALNAKKQASDALTLLELGRGVISGLILEMRTDITELRENHPKLAEQFTSLRDELDAPN EGPISLADIDNLPLAKLEAKRSQETDQKFKEVLAKIRQLPNFEGFLLPPTVDQIKAAA SKGPIAVINVSRYRCDAFLITSSEIKVLELPNLHEREVNEHAMVLNAVGVTSSLLEWL WDVVARPVLEALGFKQTPSDDDWPHLWWIPTGALTQLPIHASGYHFRGSTETVIDRVI SSYSSSVKALIYGRRNDVRQQPVTDVPDDRKKSPDISLLVGMSKTPGLHVNSDLPYAA EEVEMLDDLCASMGLETVQPARSRKEVLRYMSTCCIFHFAGHGQTDPSDPSRSCLLLD DWKTNPLTVGDLRDSNMANNPPFLGYLSACSTGANKAKGLLDEGIHLISAFQLAGFRH VIGTLWEVSDRHCVDVARVLYETIQDEGMTDKAVHRGLHRAVRALRDGYVEERRAMGA ASQSPCNENLSQENPQYWAPYVHFGV LY89DRAFT_267866 MMDDSPAHWAILIGNDFYEDAPLRGCVNDINLVKKYLEGEKSII HIDVLVASIPDATTSATHRPVEEPESWPTLQNVILSLRRVTEKASSRDFVYIHYSGHG VTNKGEPEMFNSNKDTGDVALVLFDDTGCSYLEGQELASLLKDMADKGLFVTLVLDCC FSGGFVRKTLRKEPGIRSLPYKSALGTSSQTAGTNNAIDQSKQLLRDAQVRPQWLINP KNYTVFSACGPHETAEELTFSNGQKYGALTIFLVFALNQLRRAGTEVTHDSLYRQLCI NFHISWPRQTPMRYGNSNLSFFGKLKSGRSMKFFPVFMVPNDNTLQIGAGEVHGVCEG DEYAVYPFNSSEDGLDFEDLRVFTAKVETTHTLTSDLVSIEPISTIGSVKTPWKARLI KSLSSWKVPICINVGPVDAESWLEAAQHRRYLSIAMDGIEGQEALFNVVPNDENEYQI LNQSKGAIASLPKVNAKSDDALTRVMDILEHVATFKYFANIDNRLPSIPFERSFKVRL HDKAGKELGLQGLIEVGEDDELCLTIENLSDDTIYLSVFDLGPSWQIDNLLSQLGGGG FKVLPPKGEVCTGREEVKWGMCVPDSFKSRNMFQCDDILKVFVTRRPSSFAPLLLPSI PGATRSHQQSSRGTRSPLNRFLSGLSAGNRGEGDISDEAWSTQSFIIQTTSKSN LY89DRAFT_689876 MDFLDCDEIPSFTILGDDGRGKIKQKPKPLQCKFRVGDLVQLRV EGAEKEGPYKIETVDTANKKYTLCDSAGYTAKEGRKWGETDLVAA LY89DRAFT_689877 MTVRSESDIELGGSTEQKSPRTFRLNILFACKSWVSSLFAKTER YEVRQTQIEDYREGYPRYSALIGAEKSFHICRRFSNLRARLLLLQQDKICLLEKHLEQ IDRDEKAPLFLGSSRSDVNVERGAVIEGIQVALERYDNMVESNNKMLSYEIAKPRDVL SLQNWVSSTACLARDETAYLTQCEDLISVASPRDGMAEQLESWIEDIIVRFGRISCKL LSRDVSRDSYVYIFSRSKLARISRGIIACLVIAFLLAPVVVCNALSGLNSRLIVIAIA TFLFVVLLSAMIKAKAVEMFVAGTTYATVLVVFVSGSSVITT LY89DRAFT_267882 MIRRFSASRGFKAPCKLLLQSSASSSSKWSGLFKNYMRQKITSN RGINIAKNLWIVLFCSSFIVLPILHVIHTRPSEQEKELAAAQLSAVQSTRKDTLRRRF DEANLSPYERERLESELWAPLCKFLDMEVSRMVREQITTRSNIELARFP LY89DRAFT_689878 MVSIDTAIAISFGLISAIISLISMLIAYLTLRAMPSEKNKDHIA PPKNAMTFHHKHKYFLAQGDDKWE LY89DRAFT_267924 MREVNFSIPNVNKASVGITTALYDRRALDCTSTLPLINSLNHLA YLTTSSARIRDILTVDGGVERLVCLLKAGRSKDMMDMWKWNLAFQCVVNIGVRGSENV RTRVVEADMVPVIATILDNYIKVVDRCREKAEEAKKLQEQRLNGSRTGEHSRSHKGSF GNRTSRFESEFRASRRQPPPPSIEIPTTFTNAPLTAGSDAMDTTPTGPQFALTSPPER TTFSVHRHHHHHHHHPRNSDARQHFLPPSRHNIQPLATAVPSMDAADGFNIRPVRDVD RLPSMVPGFHGGLTSQPESPTTPLPPNQIRSPTVRPTSMSAPSARSRRRPSIRHQASL VGESEDPNADSMASDESGDPEISGVSNADIQSPVNIQDITMDEGDSMLTAVETPLGLT TPTVSEAQDAGTFNITHRSAIDGSMINDATTPTGPAMGLSPAQTTAANTPPTMPNATI PRYLLDRPTAASGQVLAAMPRDEDVLMSLQLLAYVSKYCNLRSYFQKSHLVPKLKIGS ELHLLDADETNPFSPSSSSSPTSSTSACDSEEEEYLLPDDFNIFPLVEKFTVRHHSQD MQYWAGVVMRNLCRKDDSRGGIRQCAYYQCGKWEEFTRQFAKCRRCRRTKYCSKECQK SAWVFHRHWCVAATQ LY89DRAFT_710853 MVQLLSQADGYGIIIGLSILFCLLIIAAVRIQKRYLSEDSDQSE MFMVANRSVGTGLTASAVFSTYTYKWWIALPIWWASGLSFQIALMAVLGIVAKLRVPY AHTSLEIIKMRYGKYAHWVFILLNLVNNIFGCGSMILAGAQLVTGMTGMHVIAACILI PAGVVTYTAVGGLKATFLTDFLHTTIALILLIYFSLAVLTNEHIGGLSGLYDKVKAAD DYIPGNYQGSLLTMKSKSSVMFGLVLKFGNLALVLMDTAFWQKSFASKVHSTVPAYDL VARAIEKTPVWFDHPNTLTTTQVNSGLVMPYVLRSLLGTGATTGLLVLIFMAVTSTVS SSMIAVSSIISLDFFRTYINPRASDRKTLQVSHWGVVFHGCFMAGFAIMLEYAGATNN WSTYFRPIIACPGILPLILTLLWSRQTKLAAILSPILGILSGLSAWLALSWYWSGALN IQTTQVQVPGLYEAIISFFSPALYSVIISLVWPSQFDWRDSLQSGLPSSEAVNEKIRT EGNAEKGTYFTTGEDSSPLPHPSQIQQNSQPPLDEVVHPFDEQTLMHIRKWFKIASSY FVLNVLVTIVLWPLPLYRDWIFTKSFFGGWVTMAIIWHFGAIAAVIVYPIYDSRHEIA RAVRGMVKEARGGR LY89DRAFT_689884 MNTSSLSSSTKSLQMLPIIFANILMFAGGSGLARCFAVNFSTSL VDMFNARKKSMV LY89DRAFT_675508 MDTISICDPATADKLSLSPEQFRQAYRSSDGTPYDNIVIGVFII RDTRALLLLSPNDIHFPNAFELPRVTVDGTVHNTSLDDFLVGEIMRKTGLKIEKVVGE LVPSLIGAGKVILNPAEHSEFDWAVEANLHAFEVHSRGFLGLDIMLHQKAR LY89DRAFT_656626 MSSSDSDEFEVIDQLEASLSPKELAKVQAWLEPTDYNAQSSEFH RHLSSQAPGTGLWICETSKYQQWEKSTEYGSLWIKGVPGSGKSVTAASIVEHLQEKSN TPILYFFFRYIISANKRPRSLVKDYLAQLLPFSIRLQATLESLTTTDLDDFSDERLWE YLLIGLTSVENAYCVVDALDEMELLPNDSFLSRLNNLATFRPNNVKLIVTSRPEQYLQ KSLNDTSIVHISLEEDLVGKDIILFLSHRLQSLISQSDQQSLRDSLVSAISERSNGLF LYARLLLDQIAPHLGSTQVDVNELVKNIPISLEDMYNSMLFHNATSLKIDIEIQMFIL ELATHASRALRLNELASALASAFPTSMIPMAPKLLTRSACAPLLEILEDETVQVIHHS FTEFLLNEERSLDEENSGPQFPVLNTSHVHRKLTIVCLDHLQSGGLRLEKQTLETGKG TATKQRRGRRFPEENTVDRENYQEAKLFNPFLEYAVENWAFHASKYDFEDDGFFQSIE KFLNHDSIDFKKWLKLEWIAGTEDCAAPAPLHVAAFSGLTAYAKKLLGGTAVDIRDGE DRTPLHWACARGHVSMVSLLLGNGATPDPEDCRGVKPIHEAARKNYASIVKALLDAGV DPLSPKEKENVSRRLVCGDVSTKGETAVEYVCLQGHTDTAKIMLPFLSSAATEEMFCQ CCRHGKYEVARAILNATKVSPNSKSSGATALYLACQSHSVPLVRMLLENGADVHQTSR WKYKTRQSCGRRVVEEPLRTPIHAVLYKWDTANNTACQNILRLLLDAGADIEARDGNG DTPLLSIFGHRRRTSFEIAALTSLLESGSDVLAIDSKGDSVLHRFLDSSHDIQSLKML FQHGARGDVIGKDGDTILHTALHPTSRDGSSRYTLDLVKILLDMKARCDVKNEHGSTA LEVAAGTRGCGLEMVKTLLQACSDKDIIQRCLWHVSTRKTKEETVELIRTLQKFGVSL EDRNDKGETVLLAQVAWEELFDAFVECGADWKAIDSRGRGVLHHYTLNCHNNSTPQRL QRLEGMVDMGLDPLQVDHDGNTLLHVRAAIYKGEELDRLLVQKLLDFEVSGNAKNNKG MTPLHVYFENWDFHRPTTTVRKALNERFHEHFKISLLEIFKRNPEVVDINAQDAEGVT LLHLAAMRSEARLFYLLEEGADPSILTKDGRNALHLACRAREGNVVEYLSHNFMTMIN QRDIHGRTPLHDACASGLLESVRSLLKAGADITAVDNNKRTPLHACAEYADEEKLWSL LARHNKESSYCLRDRFRPARSTPPSYQQWHEIPRRGPTKTLCSSTTTSTEQYSFNIGH IVKALLSAGSATEATRQNIHNQTPLDMAIEYDCKEMVQALESSIVQVQDYRKLEVGDR RLETLAALRRPMSVRELDESACQEILQNPSFYLPYLTPSNVEWTLQQCREPTGAGEPT SALSTLLPFLCVAVASGFAESVESCGSLARINDDPKAVLARIQDEAKVPEEYSPIYST PMLNIACSRKLPNMDTIKVLIEKCGVDVNARALVKSREWANPVDSIEGGTSLHVLAKA HCWWQLEALNYLVQNGANIEAVNEKGETPLHVACTGPTYVDMNCTNAIYGYWRIEFVN ALLELGADVNALDNSGLSCLHKASSSPTIMKILLKQGADPTAGSTSPIFSAIQIQCLE TLSTLLDAGISPNAIDTSAESEGFKLHYLVKNQSRSALFCTAFASLHNQRPKHSSPVA KLLIERGADIYHRLDDTDTLIHYFFENAEYELVCAFLDHASKIDFDTRDSQGRTVFLA ACDWKLCLPFEHHDWEFKAVTPFLTILEFGADLFAVDNDGRNALHHLLDNPDIEEPAI KQFLAHDAAKTMLHQKDNKGFTPLHCALRTLRPAIVEVLLYMGANLQSPDPNGATALH HIAAQCLQVKRPSKKTWSGAHEPEYYSGLLALWKKYLAMGGSINVRDNAGSPPLFWYM SSSQRDDYNAAKDGCCHMEHFDTYFFEDVNLNARNNNGENVLHIIAKREKNSWTKPEH DKQLYAFPVRKGISPLDEDGKGRSSLDIAAACEQKGILELFQHKKESVRNSGHTHSH LY89DRAFT_740416 MSFYPLLYFGVELELNVAYIYPNEVIEDITELKPSSFRPTESMI DQASAYLFRNKISYAKLFNEKNFSDEILRIEVMKRTIYQSIRDDLRAAGLPINQTPAQ VSPVLVDVSKWDIEGDTSISPPNNKYHWLGVELKSPAYDFTPENLAAVQKVCKILTTK YLTNTNESTGLHVHVSFGTDIRWRLRSVKQAMMFFWAFHHQFDTLHPANRQGDSQYTQ SMRGYSSMSANHLTQTGHRITAYEGCKLLEKHEDMEALVRAVCEEGTVPNRYMAYNVK PIFNLLRPDLSSETPKTTIEFRQHEGTLDGERVTQWVKLLCGVIRWIEIVEPSSLMNL MRLTKHETWSKTGDKAQDDLNESKFGPVLAEGPFMITDLLEHMSLQESADFYRRRLYE VSGGVSEDQEFVQV LY89DRAFT_760816 MTVLSAVPFKEAHELNKDLNPPNNVKFLPGYRQISLRNTQDLYP FLSKDPRTPDLEKIGQRLWWMTKQSSAHVAPLHHQAVKLRRIVVTENPELHLVWYYDL IYIKQLPKYLLSYKFWSTYLTTTSPMSISAAERELLERSALGFLRTYWHLIKYESDFN IAQEMRLIPPTAAWESFSAFIAPFQLIRDDDVTERCRYGQIRLSRLNLYGKLFLRRRY FHNIYGQYDTYFSRLYGPLLFIFGLVSLFLNSLQVELAVEPLVTTQWPRFWTFSRRLA VLFVGFVFAVLLVLVCLFIAKFATEWFFAIRDRRRRLRKDLIRKSDRTAGV LY89DRAFT_787683 MVLNTLLLLLLVALQHVANAQYDPLVNFCSRLDHQSIVVNDILY IDGGREVFATFDPNGTQISNSTIGNNQYLISINMSTAWDSKGDFPQKEISKLRDSAGT LAVPDMSRGALYPDPTNPNKFWLFGGSTAIDNMTFFRLAIASARHRIALVYGINKPAS GPTTSIPEKGLAFWFNGMQDNGSSTETTVLQSTTRFLNGMVVLDLNDQSARNLSTADV SSEARVRGQMVHVPLPGSDGILVLIGGGQKSTSDLTHDWKGTLLSLQTVNIFDVGSFK NASTPDGVWYSQGTSGSTPSPRLDLCLVLVSAPDNSSHNIYMYGGRDGVNAYYDEVWV LTLPSFQWIQLYQGSSPRYSHTCHVVGNRQMITVGGSDTSKIANDCDWETQGVGILDM SDLTWGNTYDANASAYTVPDDVVRAVGGTLNGGATVVAPATGFANTNLEVLFNPTANS TSNNTALGSTPSSARPTTTSSPSKKNPSTASIAGGVIGGLLAIAAILGLILFFLRRQR QKRRDPYFQNTEPDVPQLHGSHVEPALLGSNAVFEKYGSSPAMSELPQEEVDVKSHFV RQEPIEMPAAEVLRIS LY89DRAFT_656629 MVNKSKLKMALAAEKGTDFKKLKLQKKERLANKKKAAKAPVEKP NGKNEDEWEDVEEVEDEVADVDEEEGDSEEEVEGPMKINFDEIDESDSDSSAGENDQE PSDDEDDEDIPMSDLEDLNDEEKEDMIPHQRLTINNTVALTAALKRISLPISKLPFSE HQSVTTSEPVQIADVSDDLNRELAFYAQSLSAVQEARKKLKAEGVPFTRPTDYFAEMV KADEHMAKIKAKMIDEAASKKASAEARKQRDLKKFGKQVQVAKLQERDKERKQTMDKI KTLKRKRQGADNETTNEADMFDVAVEDEIGTKGSRKDRQGPNKRAKKDEKFGFGGKKR FKKSGDAMSSGDLKGFSSKKMKGVAKQRPGKARRAGKR LY89DRAFT_268174 MMLRAPSRRASTRVSSLPTTRTMQTRSMRAPQTPSVDLQQAASV DLQTSPSVDLQQATSVDLQDTAPVTQEVASLEDPSQDSDDEEISEEDPDEEEDSDDEE EEEVPCCNCGQIIPTGQWGECKECGHIQCDRCQHYNFWDCCFCRCLTKNDIETNKCPQ CKHTKCTARCRDWGDARDPEEGDDSFRIELMATDRLGLNVKMAKIALSRIISSRPLSP LDPDYLVQSFGAQAVKNVPPEIILYILELAHDISRPRTLYALWNPSEQAWSYRDPRNK VPRTVIKFDSPLLTARASELRSAPRLGLGDQPYAWFNPTIDTLAFVYNRCGNEPEDVQ QYEKAMAIAGQHITRHANKHPSRAVRKLEIEWCQFVQHPKDTVSQFDNNALEQLTLVD HDHFNPAYPSRIYEQHEDYAQRLEWASKTLAGFNFKVIWEGSGCYFNKDYEDETGESA YDYDDVTDS LY89DRAFT_268178 MDIRADNMENEPTRASGGASQGSHMNEEDAPAGHRRTLSGSILS KLSFLRPNPDEYSDKAPTSPEFLPGDDRISPKKTSRAMAVVVQQQKTRRRKGSLRKAA LLGRGAQREKKETKTHAIETSHQMAFGTDGALSPTSPEEMPQAVAFGLGISDTTPRPS MEGYASRANNTLLSPIKTLPMGTDDHLVTSPTSATSPTLTYTSTTDEDEILSIRTHNP PVPRVGPSTSSGSDSYFPAGAGSLTRRRSGQKAKSPLSLGGLAASPLPPPDAEWDYSE TEWWGWVVLIVTWIVFVTGMGSCLGVWSWAWDVGETPYAPPELEDDMTLPIVGYYPAL IILTAVMAWVWVVVAWVGMKYFRHAKISGD LY89DRAFT_689887 MASSLPIPAPPRTPTPPTPIPEEPEERFGGNGGSQTMRSTVTFD PNSLSPMRDTFPNRFGTIPSPTSSSSTLPTPTLSSNGRMGPPPPPTGPRAPFTYQTQA IKDNPVVANSNIGKRRGHRYKHSSVSAQHQIFLEPPPRAPLALPASLPIPTKSEAWAS RSKEQTTRIAWCACHVLVAVFLFFTCGSLALTALSHLVFFDALSAIICVVVDILSNFE VWKRSSIRHPFGLERAEVLAGFAMSIFLVFGGFDLLSHNLKHFLEGLGSHTPHHPHSH QRVSPGSVDFAALLTIIATLISGIGLKNHARIGRTMRFAMISNLPSILSNPSHLLTLS CSTVMLLLPLLTTTVYLWADRILCTLIAISMFVLGVKLGTTQSLMLLASYSGKGVKDV MREIHTDPCVTHVEEARFWQVHYGLCMANLKLRVRGDDGQLQRLRERINYLVKNRLGG GYGKGSAGVKWETTVQMTVDKS LY89DRAFT_787688 MSGRLLSARLFPLAQRANSQAARHIHHRVTSSAGGLLRTEPASR AGRGRWPAGYLPFSNAVVARNASFARVLPKLLLKFARIPALFGGATIAGLAYVQYQAT QAGNYAMDVFNRTSDTVKGVAGGIFGSAKDIADQTKRGWNNTTEQVEMPEWLQKILRI HEEIGTGTGGNGKGGPEGEEPPKQSRMGAAAVGGTAAAYGYDQSTEDDPRSGEEVARD DQMMVLTKKMIEIRSILQTVGQSNALTLPSIVVIGSQSSGKSSVLEAIVGHEFLPKGS NMVTRRPIELTLVNTPDAQAEYGEFPALSLGKITDFSHIQRTLTELNLAVPDSECVSD DPIKLTISSPHVPDLSLIDLPGYIQVVGHDQPIELKQKISDLCDKYIQPPNVILAISA ADVDLANSTALRASRRVDPRGERTIGVVTKMDLVDATRGASILNDRKYPLRLGYVGVV SRVPPQTSSLFKKGNEGIASAISKNEHAFFSSHPLEFGPEAGVAVGTTTLRKKLMQVL EQTMAASLQTTGDAIRQELEEATYEFKVQYNDRPLSAESYLAESLDAFKHSFKEFTES FGRPQVREMLKEELDQRVLDLLASRYWNKPVTSLTPTLPEPDALADLPTADPESLYWH RKLDASSSALTKLGIGRLATTVVANAIQAHVDRLISQSTFASHPFARQAITEAASGIL NERFYSTSDQVENCIKPYKFEIDVEDGEWTRGRENVGEVLKNELRACEEAVKTVEKIA GGRRKLKDVIGFVDKARKGEVEVVGEGASGAGGFSSDLLQRGKEAIFLRDRATLLRMR LQALKSKQCAKKENRYYCPEIFLDVVATKLTSTAVLFLNVELLSEFYYNFPRELDVRL GRGLSKEEVERFAKEDPRVKGHLEVIRRKELLELVLEKMEGLKALEVEERGKRARGKG EKKAKGGWSLF LY89DRAFT_701038 MRRPHNGDGFGIGYYTDAKLGPEPCVFTSTIPAWNCVNLQRIAS KTASHLIFAHVRATTEGSLSDDNCHPFYHRSLMWMHNGGLGGWKQIKRSLGQRLADKW YLGVKGGTDSEWAFALYLDTLERMGHNPSFPPATGFGPTILRQAMLKTIEQINEFIAD IPEDVVRDEKVDTRSLLNFAITDGHSVVCTRYVSSCTDEAASLYYSSGTTWEDKSSKG EYQMDRRDKGADIVLVASEPLTFERESWVTVPTNSTLTIHKQTVMVHPIIDEYYNHNP YHSRSSQYVQDKGLVTSEKSVTRTGTPSLPNGKKSALSASLHENIAGTLLPSMHNRSA SPALSPANENTPRYVSALSDLRYLTSVPNLHPQEPRVMEQGNTKKKRTSISAEDQLNA QHQPVVPQQPEVPDSPPARTFGDPSKIAQYFPEVS LY89DRAFT_760834 MCTGPNHECRRCGNFEGPDSVEFESRCDNPWAYGHTITVVSAVI VTSACGACKRLARDEADELDEMLRQQNSPTRQLHEQNPQAPQNQEFPLFFILWCELNS NGELAENELSAEEALNADIASFLGYLRDAHFDPAHVHLAHLYGGHIEWLRLDKRTNFD FEPLMQPALPRFQIWARSQATRDHVQQDNHTIAASDAHRRFQRMVVTYAEMLDPRDRA EFQASRERQAVNGRREQNYEERQDHEYNVLPWRAWIPILTPDVPAPAEQEDEPIESFS HWVSRRDYLPPHVQRYPTHPGAVAWHESIILMHGAADRHFNFVPNFYVARPEILSFMQ FRARDAPAGSVYALPFDEALREYERYLSHELPGPRMNQRWLDAVDEWMFQARAQL LY89DRAFT_567810 VPPTTGSYDVGVRSYAIPFIDQHNPSWPGNISTSYLATIYYPTI QTSNKTVTLYVDPEAAAPLDALYNQTSGTVGNLTTNIKPNAAIAEPPQGSLFPSLIFQ PGFEGLSAMYSITMTELASRGYAVAALDFPYETTFVRYPNGTGVSGIYGGIFSFDIIP PIYETRIRAGIHFVEYWSTLVEQLHAPFQVAPLGVFGQSLGGAAALGVADAIPDRKVV ASALNLDGGLYGNPASNSSLADLKRPVLQMGTVNHTGSYDPTWDTFPVAQTGWWRTLW VARADHLDFSDVTFWREFLVNRQTSNTAIQGRRMVNVTREFVTAFFNYTLLNQEEKVL SKPGADWPEV LY89DRAFT_760840 MFGPGRIIRGYPLCRAKRATTCTLPTAYHWTPTPNAPLVTPKNG WVSLKDFTHAPYNGSHLVYASNHDSSKYGSMNFGLFKSWSDMATAPQNGMTSATVAPT LFYFAPKNIWILSYQWGPTAFSYRTSSDPTNANGWSSAQPLFSGTISGSSTGVIDQTV IGDDTNMYLFFAGDNGKIYRASMPISNFPGSFGTSSTIVLSDTTNALFEAVQVYTVEG QNQYLMIVEAIGANGRYFRSFTATSLGGAWTKQTSGESAPFAGKANSGATWTNDISHG DLIRSNPDQTMTVDPCNLQLLFQGQTPGNGASYDQQPYRPGLLTLQH LY89DRAFT_701040 MAAAVFQIMSDLHLETHPSYDHFQLEQTAPYLALLGDIGHIGDD RLFAFLEKQLERYWVVYFLLGNHEPHHMSWTLAKAKVKTFAEKMKRLNAKSIVGKFVF LDQTRHDPTKKLTILGCMLFSKVTDEQEYAAENRFVDFKDILRWTVEDHNLAHESDLR WLNEQVEKIEREEPERKIVIFTHHSPCGFVTNLRDEKCWKSSTVTMWAFGHTHYKCDF VEEGTGKRVLANQKGPTFNSNIIFCL LY89DRAFT_701041 MPFEYPEWARWYKKPSYVDVSQFATAIRERAGSASNPNTPIATP RASLDLKRRPSTDIPRKLRLERILKNQTCSPMSLYDFYMYLKYIEHSSENLEFYIWFK NYQAGRLTAFPELPRALTPVDSNSFSNSESSLAKPDNDDKFQPEPTTTDAENQDSLNF EDSEIYARIAQFVSPLNSCGAQGCGSNSPLYKRPFSLVEKIRTEEEAKEAGKTSTEIR DLRRTELETIKALFLVPGAPKELNIPAPMRKKVLDAIETSTEAKHLEPIAEHCYLLLK SCSHRNFVRLGVSNGTFETVCMATGLGIVLTVTGFLCMLLLAFESPGFRQSSRWRGIG VWPMWATGGGLILSGIRGSCFFLLLFSRRQPLPWERFEDEGGATQKRSRFIRLVSKLM IFDRKLKVKDDNLRRLQRKVVIQSLAGGMGLATILVIVFLCLPIWAKI LY89DRAFT_268294 MSTIIHPNLLADFCSGALMFWTLFAPSPTTHSYGSVLSFDVPAP FGQPTRKSEIITEAVSVVSSPVRLSSPILSRSQTSHEPSQAHAVASSAANHCS LY89DRAFT_268278 MPPQSTTWNFKCGHSFLEATIPEGDHENTVTSYKVCPRCEETIS AEQHAKEVAMSEDEYALHIHEKHHDFLQKAGEKVDDGSDLDYYFTLTSQANDVEWAKQ IIKIGYQFAFSHQPRIGTVAEDLEVKAKKLRVLVNAEDVNFEKLPTGNGKKAKQVKNE WRIRYVKVTEAKKLFDGLLMGYSEEDINMSLSLESEVRSVWAGVLGVYDPEEDELNKW LGQTGVEEEPARVSYLDEEWLSGVEEELGQISDEDEITDNRDSIKFSE LY89DRAFT_760847 MAIWEKQSGEIKAHVKVAEEQSDDATADELQKMLKNCNKLWMNK AVKVLHDTMKDETPIPRVADIFRNDLDKLAERVDSLIDTLNSVGEEGLEAELLSGLQK LHNLSDGLSALERRFEEPTQRDLRIFDDEMEMYGGELVYILKQLLSTTKSKAEK LY89DRAFT_760850 MCPVIWTFACGHVLQGTGPERFPPRTTSLTSPHACPACQLSAIP ATDQLKEELAREFDNHKQTLSFLEKELDLHRDQVKNVNVDNKEMNAYLDRIGPFLMWS WAKMVADFHVKMWTHPRVEVFRDCLRSTMMQDIQSVIMAVDAMAKANILEHKHGSTKL DDEDIIILGRKLPNILAQHESNPEVGLDEREKELIEAWKTVLALIKKADVGV LY89DRAFT_740433 MKLFHFLSIVPAIFHSTLATSLNDTVEIRATCPSTTRVFDFYPR IFTIKVYTNKFIGDVALVNSGHSKNGYIFHYTPDLATGKAPRFSLTFYNSLRTYENPA GKLPCYLLQSGSGKSAVQFPTCSKPENLGGGTARILSHEWEPRPICLNGQTRYVLVPY FRPNNNAKNVYFDDFTAGEFYPIVYHTASKTPTTMLIIENAPSN LY89DRAFT_701042 MAFSFLEVVSELCGWVYTICWSLSFYPQPLLNFRRKSTTGTTID FPAINVLGFVGYFISNAAFLYSPLIRKEYASRNHGLTPTVQFNDFAFAAHAIVLSAIT LSQFLPSLWGFEERGGPGARPSRFIMSIFVGSILGVLIVILIVIVRNDPDPATGWAWI DVIYAFSYVKLFITLVKYMPQVLTNYRNRSTQGWSIGQILLDVVGGILSIIQLGIDSY LQGDRSGITGNPVKLMLGNISILFDIIFMTQHYCLYPGYKSKELLDGEDDPLLGDRER RID LY89DRAFT_268400 MVDIVFLDLPGEIRNQIYNHLLIVPAISKPRLLGDPPMYPQILS TCHKIHEEGKQILYGGNTFLAHPNLLSDRPRLRLYYDAISSSSLISLICKYHIRVRLD CDPNFSVHKAIAAFTGVEELTLEVFQAQFGSSDNKVLRLFEGIRGVKKARIYGSVTDF PEYVRWLQNSMMLPVGVEVEPFDKEKIGLNQVSGYDIWTHGGR LY89DRAFT_268410 MDDFCRAISSSSPASIQVCVIPKGIEAAVDKEHDVIFNVLEPLK ILLLKAEVLKIRDAKVSELPNVFDFPASSQTWVSCLDEVSEMEQRQLLQIVESNAPVE HGFEMHAALLKYCQSFERCPPFNNDMALWEGEGHGDRLGRKYNNPYKGTEYHSVERGL QRAKNAAEADNDVLAFKVHRENVLMYLERQYGKINNCSNALLDFIKEKKRLGCLFHPY TRPVEDSIDLEKQLYHAKLLLDDYAMSFEREAPTPIKIQILREQRFVHLPKLYDETNR GVDVAIRRFNTAIDKKNNKKTVLLFQKAVDTLDNQLIRIRQAGRDLFEHDLDISSRGV DIHPCDPSSIDHVVWDVEEPEIGPNLAII LY89DRAFT_760853 MNGIASDPALEAVESGDAQLAPHSQQPSNTCSSINMISNADQAR LEILRLSNFFGLGIQSNQIIVPQPVPTLTKPRTLLTLPGEIRNDILRILLHNQDLGKA ASVDQEENDGVTRQYGLSPAVLRQKFFIACFPRRNNHLSPLTRFIRDDIWETPLRSLP AVKKVKHWTVILSTYGFEYPAEIRPFEDCCRAMCDSSIASINVCVVLKGMETTTADDT DYETPKAALSPLMMLRGLGPKILTIRHAELSEVHNVVDLFQTVLDFESVLIDLPSKDM KEIMETVQGQTHVELPFKMYEALLEYCQTFEQHPLFKKGMELDYHETRHQFKRQYRRI CEASTNVVEFVNATKESTLFFDANEMTGDQVYPRSGENGARFQALLLAQDYLEAFERE CPLDTRVQKFVQKRKLDQLYSELHRGDIIRRLQAAMDFCDHSKLSFILRDLIDSLDDQ LIEIRQARKTLFLWDGEVENRGVDIHPRDPVCGEHINWSVTEPVLGPEQGFNPDPEEV DASNGSDPDDGDDGDNDDQSDDEDENENDEVESRSDGREEHEGNSEAESGVSDGGNPE AEQNQQDVLPNLAADNSSSSATSGL LY89DRAFT_675531 MHSALLLLQEYAKSFNRHMPRDIQALVLKNQDHFDYLFFVLDRA SILDKVTAAVKFNNLHNFKSLFRTAVDHLNDQLLEIRYARKALFTCEVDNDSAVDMHP ADPASVDHIDWNTREPEMGPFCGQNIDDTNSSDENSDGGNQGTNGDSEGGEQDKDVTE EGNITALSQSEEELTDEQDDLESTLGELGDGFGLADESTGGRLVDLV LY89DRAFT_760855 MDRNIYDMDEWEDHSGRSQPHPNTAHSNTHLGEDNLVIREPAAS SPQEQITPISLMRNEEDQAPDTIPEILEEAGGNSGSDLGSLIPEHLMDNRTSSSLQKV SLQVGIDGFSQPDDGCLEVLNDHENAALETLMAQFRSNDPSNPDACLIKLPGEILDTI LGFLFVNKDLGRSTSIAANVDFGKNAAYELHPAVLRVSRHLYERSVGVLYGLNVFYVA CMPDRWNMSTGQPNPSSPITRWWSPDIKHPTAAESSALQKVRQWLVVVHPANMGNPPH IPQSITTFCQAICQNPPVNMDIALVPKGVQVGLNDHDYTPIEEVLTPLRLISGVKTFK LRDTTPHEIPDTIDQDDDAPFYPSHLEDYPVLEVELTLSCISTDLVEFAFDMHCRLLN YAKAFEAHEPFKLEMALAKGERIVDELIGSNAWFHHNNALRNPYRDLSDIHPVEDHLQ KAKPASDHQDVDLFKLHRAGVINYLEPQYQRLSASATALTDFIRGQQCSGGVFDVDCM GHDFLSGNEEKCADGLALLQQFKAAFLRHAPDEIKQHIADHQAIFDSFYDSKVTWYIE RLGTFLQVGNYVGFVQLFCDSFDELYRMFCSIREARKELFLADTLDQTGCTLDLELDR DDSSIDWFDEGAPGCTGYGHRNNPSDGGSNNGSDVDDNERSLDEEEEVEEGEDQESEY QEGEDQGSDDQEAIDKEVEDQFSEEEDEDAGDNELDEEATDGASNSGQLHDPSTISET DSELYLGVKPATDAASSTLEGDTDDVVEQG LY89DRAFT_740439 MSSLTECYKNTEPHCDMWSQLLKYAQSFERYAPFKQDMAKVNSN STSNFKKFSHKKTSHLTNHQLRSANPFIDGQNSHSVENGLLEASQAAAAQDFVNFKLH RATVLHYLEPQYLDMMMQRSVFNRYLGDLSDSGAFFCIGHNDPCGSDDLPLAMVYLEN YSRAFQRHLDIYTRTRMIRQRRTFESLYSSMPREEAMNIVYDMVEHGSLVDFGVFWSL FRSVCDDMDTQFFEIVSAREGLFLGDVGKYKACSLDAELGSSQKPMRWLDEMLTSDSD ADSD LY89DRAFT_710862 MSFGFSVGDFLAVGTLVADITKSLREAGGSKSEYQELLRELESL NHALKHLDRLPKNAASANLESIKKTADKVLWAFGEKDDIRKLQSYLSIHIGTINMLLA EYGLEKMELVYEKGELERLHIRERLENTKSLMQWIKDSVVAQTAAVHNANSMLTKLFA MVSGEIGSSMKSLGEMVAKVCVSTQQIYGVVLEIRESLATPDAKWTFFQAPLIVEDAL GLKFPVPSEYDFGLLNAIIKHRFLDGPGSVEVQTDNYELFSAKNSQVVISENVRLLPG ASIIMAILLYKPASKVYTDETCPMPRCGSTLTTAAPGGGRICKRCNVWFDQSSKKRKL DLFLYDIDIRDGATSKDLSKSNNPGGRTKSASDSEESLDFFRNVKLAEEILQELNPVI IPADDKPIRDGRGPAEFNDAISFVNKIKSRYQDKPEIYREFLDILQTYQRESLPIQEV YALLTDLFAAAPDLLEDFKMFLPESAEQARLPAQQNPPNLERYEVDEMVEQLSQVGDR ARKRLRRERDYDNVENDPDYENDGDGEKEYDSEDDDDEEVLKGRTGKVVKWIPTVVPC DAGDSSFGM LY89DRAFT_689896 MTPAPENMLASALHLASSSIGRLHSVTYFPLPSSTSHPNTHTRS LNALLTNLICRPGAIRYLPTSSSFTQQTTDELKGAHTFNTDTQTLLKSKKYIPPISTS RPLSMETQANDEEGSSISKSLGLENVYPLGLIGKLPLELRLQLYELLLVNPMLAKPES ISRDKDFGALVRYDLHPAILRTCRRIHEEATPTLYSNNTFFISFAPCSSWIYDLKNMS LCPLTRYMACAYDPPRKCYPTNGTISCFGQVKKWRVLLSRAVGRLFDNEYQAAFTFSR FCSLISVTTQKSIEIILIPASQRLGEVHMDEKWYQSTNIVLRPIGLLKGVEILTFRHS KREDFDGNSPQGIITRLDRDLPSTNTLISLRSLAIGESPVESPSRLWESLIRYARVFE PNPMYAQEMDRNVLDIYLAIYRSTPNASLEKIHTPQSSFILGEHPVEIALWQARVAAE SLDGIRFKQERDVVVTYLEQQFRTILAAHIRVRNYIERRQFAGMLFDAGALVPRNETE SRIMSQKLYIPTALLEEYARSLVRDMAPDVYENYAVYHLDMQQCPEDFDNSERQISTK KLHEALALGDWEKSKLEFRSACDAMDKRFETVIEARHNLFSADVTGDHQCEVVVDKEV YAGSIDWEKNKVGESEVQDDEDIDF LY89DRAFT_740442 MTPVPRSMQNESGDLSTQSALLDISQEEPEVHLKQSNNGAGSPL IDKLPREIRYEIYSYLLVNPVLGTSWSVIENEAHTLGKREHYDLHPAILQTCRMIYME ASEVLYGTNTFFITCFSVQELYRIEYLCPITRHLEDEKPTTTERLTIYAPSIKTVRRW RVLICEVDIQDDLYGTLPPDVLDHPDLYKQSQWQLLHFCQSICRSPPRSLEVIFGPIS AKRWAARTTQIFHKVTDPLHLLLKIPTCCVRLASSEELRNSMAGMYFLYWNEDEWADT ENSDNNIDEFRVESFDEKCRDLRALITGQSPVELVFAMFSNLLSYAQAFERDPTFKDQ MGLDPEKDEEIKDIFGMEYENRLPRPLLDKNPYRLRHSMVPLEEALSRAKWASNSSDW PEFKLQRAKVLEILEQHCVKIAGARHDLALFLRQEKMENGIFGVNHEERASEFHHTRI EDCHEVALTYLEDYASAFDRDINRAGRAMLKSCQDLFDTEYNRMPRETLLRELNQWIK LRKFENFRRRFKRAVDDVDKQFTEILLARSKIFEWDISEDRGFLLGLEIGQCDKISES DFKILPTRQSITLRVGPRRR LY89DRAFT_760869 MEESLLEESALLAQADEVIDPALQVETLKQEIANLKAELARASQ KNRPESAQAPEQSSLKHAPTTQEASKISSCDFLRKLLVEIRVELYRNLLISPIFGEPE SVHSHRELGLTPGILGTCRQFYHEGMPILYGENTFYISCSWDTSDIEQRCCCRPHYWT PKDNPWDIHRQGCLFVRDNFNRSPITRSLNAAKYRNNHVQMGNISAVKYIKHWKVIIN TLLESENEDTDRWCAIDFCQAVCKATPKSLEIVIVHEDSDSATRWNGVYQTLEATLEP FKLLRNLKKLNFRTADLSDIVVGRGIYRMLEAPNVDLSQLLSTEQQDALRQLMTSNAE IERPQDMLTPLLAYAQAFERYQMFKLQMGLSLDEESRVAQKLGPDFDDIIASEFAITN PFCGRNPHTVEAELLSAMNAVSRNSMDDFKRYRSVILAYLEDQYQAVCSARIKLSGYI QGEMTQRGLFSTTDDIGEQNPYIRSLETDFRAKWLLMLEDYADSFRRHMPFQTRVNWN RNKRRLENLYNAMPRELAINQMHQMFEVDKFQGFLSVFKAAFEDVEKQFFDICNARER LFICDITEDYGLDWEMSMPTENDKERVIWNVNVKRSGPTLEAYRTHHAIR LY89DRAFT_675538 MSTKRKPLMQLKPELAFVIRTKILQTDFENATLTTKRSASNTAK CTFLDKLPSEIRLHIYELLLVNPIVGTRNSVGYYSSLEPSPNILGACRQIYNEAAPVL YGKNTFFIQCSRETLEFDKERCGCEVKQCQSCNESRPCQYYADFWNSYNHVPFEPSLT RYWDNTEDRNWFMTLDKVPSFNLVQHWKVVISPLDVFPHGIRCKWSLSPLCCAIAQAS PKSIEIAIVSLRRRLSWGLQNRIFEECLEPLRALRKVGSISFTVAQEKDLIFVSQGEI RDNDPNYTPKASLLEELRGLVTSDCEREFLRPMYERLLRYAQSYERFQPFRLMMELSS RHTRIADEFGSEFDKYHLIAEQTPYPYKEHPVELGLFDAKATILKNDGAHFRFFRNTV LEYLEHQHEKIMLTRENIIHFIKKGKVASGIFDLALAQRDSKLATSDIPEYETNQGLL LLEDYAEAFIRELPFDNRAIFNRHKAKLKKVYHSREREELISQLYGAADDGNLPDFMI LFKSAFDDLEKQYMEIQTARQLLFTADIFRDRGLEEGLDIEEFTCEDGIDWAIDTSVL PFYATIPNSSGSFECGGL LY89DRAFT_787699 MDESSVKKKRPVPEQPAGFDPTAIRQATNIDRSNCTRTVPMKVL VLGLSRSGTSSLRQAFFDLGIFDVYHFTSIINENPADCKLWVRALEWKLEGKGTWGKK DWDALLGHCMAVSDHPCLTFTDELLEAYPDAKVILTVRDNVDVWHESVMQTIWPFVEL LIKKDVSIWRKLWRKFLDPDPFGRMTEMFHLNPNGMYHEFPTRGKRFYEEHNAKIRRM VPKERLLEYNVKQGWGPLCEFLGYEVPEWDFPRVNERNVFVAHREKYGERMNWIVVKN VLKYVGSPAIVGLVAWLALRRRK LY89DRAFT_268472 MEQICLVMNAFKVILERLHIEFPDQTKMSLHRMRHPRKAIISFP SENNFRSIFLYSLFWMIKTNTRVGLFVLFITTRYIYCP LY89DRAFT_268509 MNVYSRPQTHLTRTPFHSFPTKGTSRPSPLPLLPSRTTIYFHTS PKHLSKPPSPKSPLLLLRNRRRSRLPATRLLVRQLTKSSNMRTMMILRSLSMLHANGA LQALGMDLRLVRLDGLDRLDRGVNVLGDVASVLGFDGVFVDLGCVVTVRLGRVGVHFG LLGEGPEGGHRDRV LY89DRAFT_597333 MTYGITAQPHDAPLHFPPKDDLAHTKSMNEVIHNAKNATDKEHK MTLLQGIKLYPKAVAWSLLISTCICMEGYDVCLLSNFYAFPQFNKKYGEMLPDGTYQV PARWQAGLSNGANVGEIIGLFINGWVSERFGYRYTVMTCLTLIIGFTAIFFTAQSVID LQVAEILCGIPWGVFQTLTITYASEVCPVALRGYLTTYVNFCWGLGQVIGIGVIKSQL GRTDEWAYRIPYALQWMWPVPLLIGVLLAPESPWWLVRKGRTEDAKKSLLRLTSLNRE TDFDADETISMMVHTTALEEKITKGATYLDCFRGTDRRRTEIVCMVWAIQNLSGNSFS GYSTYFLEQAGLPTSTSYDFALGQYGINMVGVFGAWFLMSMGIGRRSLYLYGLCGLCT MLFILGFLGLVPHAHKKEASLATGSIMLIWAMFYQLSVGTVCYSLVAELSTRRLQIKT VVLGRNLYNIVGIICSVLTPYMLNPGSWNWGNYTGFFWAAICFCCIIYTYFRVPEPQG RSFAELDLLFERGVSARKFASTDVDVFGEEVSVDDGVVGRYEEKLEVSHQEVVGGGEK R LY89DRAFT_268543 MARKGTAFIPSHLIPSVLCAELCPALIYSPLHSILTPFIPLLNR KPEKLEMTPENARRKTFPSTYPSSYLRSGPSIR LY89DRAFT_268542 MGGGVVIIFSSAHLVCRGWFGILWMGWPKEKAPEHTHTPLRLMD EHIIRFSLCGHLCCCCCCCVLLAVSLSWDGWRWRIYHSADGWMDGWMLCWERYNKYTK QLID LY89DRAFT_701046 MDARSDHRMATPPATYGNGHSNSTTPHNPSMSPFGSPTPKSVAF ELLFPESPQYRARLPMRVQIFPHDTTDSIITTVKNFYGLYAGPGGAKGVSFEDEQGNT LIARYENLRNNMVVYVRVIEEAAPPPGAYGPSSYHSGSPILPAAANYYPGDGHHMPPP QPAQALSYGQPPSRPTSRTSRLRSASPGQGRGRRSASASTNPPPMKKSRSRSGFKSRG SSAHGSFTDNHSDGMNGYSSGDGAPGSVSSRTKSEHLGNTEISLDNIVEGGRRKRAKF ESSELPLFAPPQMPAATSNSSVSPARRNEHHRSAFPFTNPSQHPFSNPQPLHSPQSYS NGFGQPGMYTTPAPQGRRTRGGAVYPTNRQSIGSTPNGNSSGILPTPDPTVGSCMSEE DKDVALQLMRLGEMSNISHGRTSASTLDDTFSGRADAASSTGATSEGESESEDELPPP RRQKREASPILPPGHVKKFRQHLDDILPSQDSTEPSGDEADGEDGRDETFKPAFKDDA MELDQNKPKMLKSKSLPTVMGKPRTSASEAKVRSSKLKTQRPTQIRSKKSISAPSSAK PISPTSLPPQSRKTSNASALAFQHQLGEDEEDLSSKPRCQRCRKSKKGCDRQRPCQRC KDAGLSADQCVSEDEGNGRKGRYGRHMGVPVKKDELSVDTSILPPMVAAGGQSSPDKS KKRKR LY89DRAFT_723858 MDARPQRPLRAARAQHDENSATDTSQAVYNQHKSIGGLASMLPN AMKAPAKRTAFGDVSNTSRMLHSTSHDDSAIASKKNLDLPKPVLNNKPSALLRPAQRP LTASSAHFPAPFSAVDTIASDPISASIAAKISLVESKPLAPPPKRAMSKRNTAIYKDT EVESVPVAPVHQSLGPRHHKSQPELKQEKHIIRRTQSKIVETNYANSLEATYPSDPIY EDAQEQQFTNINESSDSSTEEEKKQPQETIAESAFDLQQRALPPTPRAEPEEYWEDEE EEVYDEQGYTTAHSYRSRGDNTTGGATTVLFPKVTNKAKKELAAAKEMVESARTIEDI EDEAWDTSMVAEYGDEIFAYMRELEIKMLPNAHYMDNQTEIQWSMRSVLMDWLIQVHC RFSLLPETLFLCVNYIDRFLSCKIVSLGKLQLVGATAIFVAAKYEEINCPSVSEIVYM VDGGYTVDEILKAERFMLSMLSFELGWPGPMSFLRRISKADDYDLESRTLAKYFLEVT IMDERFVGSPPSYVAAGAHCFARLMLKKGDWSPAHVYYSGYTWTQLRPLVAMMLECCE SPQKHHQAVFDKYSDRRYKRASLFVEVEMSKGFTLPAPVCNMRPSLPSLEDVAANMPF ETRDSFQDMESLVDIDTVFPTRPYLDPPKYLLVRPCCSFAAILGAVFLIVLAYFPNTT PN LY89DRAFT_268598 MTDVTKVKEAVKESLLGSEHTAEGEQLSAQSKATFDKNARKDED TGELYMGEEEFVNAVAPEGEDYHKIKREQYAILFKVADRRNVGKINLFDWGTFENLLA KPDAEYEIAFRLFDVDNTGFVKYDDLRKLYEMNKGPESIPFDWNCEWATLYSGGKKRR HDLTYPQFSQMLRGLQGERIRQAFHYFDKDGDGYIDPEDFQRIILQTASHKLSDHLLE NLHTLCNISTGSKISYANVRAFQNIIREMDLVELIIRQATAHSTDGKITRTEFLNEAS RITRFSLFTPMEADILFHFASLDEPSGRLGLSDFAKVLDASWRNPLYNAFSDVAGSTI KKAKTTGQTFLHNALESVHHFGLGALAGAFGAFMVYPIDLVKTRMQNQRSSRVGEMLY KNSLDCAKKVIRNEGFKGLYSGILPQLVGVAPEKAIKLTVNDMARGFFSEPDGKIWLP YEFLSGGSAGACQVIFTNPLEIVKIRLQVQGEVAKNVEGAPRRSAMWIIRNLGLVGLY KGASACLLRDVPFSAIYFTSYNHLKRDYFQESPTKKLGVLQLLTAGAIAGMPAAYLTT PCDVIKTRLQVEARKGESSYTSLRHCAQTIFKEEGFKAFFKGGPARILRSSPQFGFTL AAYEVLQNLLPMPGSATHQKEAPHMGVSATSSGGLPGQEGPLSYLRSRNALKIILDLD EEFGRAKVPGREGWRSLPRLMGGGGGK LY89DRAFT_787705 MDSKASANMLKSGSSLGNEQNFALVRHKDQRNRNLFLELLPREI RDEVYTCVLTNPILSHPDVFDRISDVYAPGFNDKSFGLSVQILWTCRQIYREAVDILY GSNEFIFTFTDSTFDLNPLLRNHRGSIHTIFVNPNFKKVKSWKLVLSTQEFVCCTRTE QFAKFCRLISDGGNAKKLTCVLRDDRILKETNHLDTKVALDFLKHLRLLRNLTSVEIE IAPHRWYDHDGESTKLLNSSLMLLDLHKLKPLLLSNDPVLRLYRMYSKLLTYAQAFER DEELKSHMESTWEEARYHCSWGYLSYNSAYPWKSELVLMVEDGLRACNFACQETNLES FLSERSAVLQILERQYQQIVEASQSLNCLIQDQLAKDFNACRKERSKTTSATKSYHST VIYLAQQYARAFIRDTLIESEPHICHSPKRILGDQSSIKAEDLLCLLPYKGDGSLVIE YFKSALNTMDNQYLEIRKARKALFDCDDQEYPKHIDVEQWRSDQMIDWDVAAKRWKEM FKCPQTKPKRPFLSALWKRIWCVLH LY89DRAFT_760882 MTDIMGTQVASRTRPVYQDGQLLRYFLGLPDIRPLVLSKECREF PNILVKDVVFLSLDTENITAGQIPLVDQFQVGISVLDSRDLQSLIHSRPLVSMREDNL LRTQNFCVGPTGYCSKAARRFLFGQSESIHAHQIKEKIESLVADRDVVLVVYDGYNDL WLLDELKVKLEPVAVLDPQKAAHDVLQSQYQRSLNDLLIELDCHFNFLHVAGNDANFT LRALLMIAVKGSHGISLNDSQKDHVAEERRKYIAEREEINRRKKEAKKTKKAARKAKL AAEGHWSSTKTGRREFWAKPENARKIPTVARDRTKTG LY89DRAFT_597168 MTCNGRIVIQKGVPLPEAALANLKASVKGEVVIKGEADEVIYRA AIDRWNKAGIQEAGIVVFVDSEQDVVACLKFVQEYDMDVTIASGRHSYYKASSTKGGL VIDLGRMRKVSIDKKSMKITAQGGCKVVDLETPLQKQGLSAVFGTINDTGGSGYLTGQ HGLIIDNLLAAKVVTADGSVLEASHEQNPDLFWGIRGGGSNFGVVTEFTYRTHNQGKV FFGPLVFTPDKNHRFLQLVEPMRQATEASNGKLAVFVSFTKVLGMPSVHPVCIIFYDG TEEEARQITAPLFELGPAKAMAVMRDYAECTAPTPMSEGPPTHQHYSASNSPLNLPVD TALLEEMIAALDRMFEKYGDVVTASRLFFELRSYAKSANIDPSATALRARAPAVLLAM EGRHDGSIPSKIRTEVQAIIEIARSKQKGRFINANIGDGSEKVADMFGGNYESLRQLK KKYDPGFVFNKWYPIPPAGDCSVQSIESE LY89DRAFT_760883 MESHRKIEFPIIVDERVIVVRLYNIRNIDKIIRVLNSLQAYTRN PRIVTPVDWQLGDGVYFRPEDPTIFKEQRGTVEEKFGLPYMKFTSLPEDQFQSLGKNP TQPHPIARFDIELAGRKLGEGARLGE LY89DRAFT_675549 MPPKTPSKGSSTKPSCSFLMKLPREIRDKIYRFLFIDERLESHV EAARFVATFRDRSLDEVKLFPNILRVNRQINTEATAVLYGENTFLASFVSWNTGWCAL TRNVDIDILDPDCGSISLIGSIKRWKVVLSAEFRHSQGLDPHTPDPNFSRFCRVLSEN QPTSLEVLVIPKREEPVPARSCYLSGVIEVEAEAYPYINTNCFPFKVYFKQAVQDMDV QYLEIRSARKKLFDHDIVQRLKNVDLELWRIDESIDFSVNEPVIGPDEEETELGMDPG TGW LY89DRAFT_540864 RNGILLYLEPQYSCIVRANRAITDYIKDHKCDNGHFDTTGGFYD FNLHSASEAMILLEEYAASFVRDMPHSTRVEIRKMRESYEVSYATMQRELYLDILARG LKAEEQSHFRGPNIELLNFATYFKLAVDEMDRQYLLIRRARRALYEKD LY89DRAFT_675551 MPGLTWTRGNVYSVNSTTPSRLTGSMISTTRPQTLVNSTGFYET VTPPTYAEYDVSQVIDVKDVAAHPVAGDGVTDDTASLQAILNSAAGKQLLYFPHGIYL LTDTLLIPVGSRLVGESFTEFSASGSKFKNAKQPTPMLKIGNAGDVGVAQLTDFIFTV ADILPGAVLVEVNMAGGKPGNQSRDLHCCTNLCPLDIYFLVILGNSWAWVADHDLDGS STQTPSPGGGFLVEAQRGTWLLGLGIEHHTLYQMNIVGAKNVFLGLQQGEAAYWQGAG ATVLAPAPWTDSLLPSEPPDWSWCAATDAVCRMGLYQRVSNSSIINISSGGFWNFVSG PSRTFCATDCQDNAALYESSSKVFTYGISTINSKTLILESGVGGDKDVAEVVRTANSG AAHDGFPTGIMAAYLRMSG LY89DRAFT_740458 MVSSKQRKNMNVAPLPDATEAATPRVNQHLPSQAGLEASIAYNI ETANGMELQVALSAALRKQEQLGLNTVTIDSPQFEVAILRSALAESQQREQALAARLR DLEPFRKKCHLLKTIPIEVRREIYKYLLINPILGSIKSLHNREGSAPGLFGEGAAKSS YRKRYGLHPALLRTCKAVYGEAATILYESNTFFFQSLSNENVLCPLFRNSPEMPLQYS FSRLRLPFPGQRLTAGHFEGVFKKIKHWKVTLSSHKGWEASCPSHGLVYLCRMICDSS PKSLHVEFVPCGSLTIPTFPDIPRQYKASRMLQPLLWLRNLSEFTVTHLPDNETSSYE WWYSPLLVRFRTFHKVDLPKDLVAKLKFIVQSNTPVVRVFKMYQRLLDYTRAFERIEE ARRKMDPEYGLLSSPPSYLGSKVAPSVLFTHIDQPGFSLRSVKSQLEYAATASEENNV EVFNRVRHIILEVLEPQYQRIAAAAESMSSFVKSEKRCSGLFGPVSRFAFFFDLSDKC LIPDGLVEIYRYAKTFERDLSLEEQKHKLSDMKRWMAGFAKLPREILLHRLELEMYQR SHELIITSLMKEIIDDMDSQYLQIRKFRMAVFDEDPPGLQYIFDKELWFERHRCDDAI NWTVEEPCLTPIEGPKIVQFEGSQGALPNRDDPDDVEGVGYHIDMDNHDEQATGQGDN EM LY89DRAFT_787708 MPPKGARGGAARGRGRGRGKATAPAAPSAMEGLIGESANEPSSQ STLEDSSLPSAKITTPQHLLDDNSVPSPNSSPVSIPTETPAPTPARAPIPRSEPTVSS SSHVGEPSTRGGRGGARGAKPAAASRFKPKNVRSDASKLKEIAEREEAKLHQLAVEKA REQARLLRGRGRLARGRGDVMGRRVATASGIFSIAPEALKSNPEFASKFKSGGGGGGS SSGVKKEVGASSRAGGPGGSRGGESRSQDYEPRYPDQDEDTPRIDIETINISSDDEEP VFAGFRPALNGKAKAGSSKGGLKPVRLHREEHKERVTLVNTEPTTKPPPEDDDEELPT IDELRSAKATRINEPSSPKATFIKYEVDDGDMQMSFRSGKPPPSASPDAKRKLQESNA FIPPIELDTIPEGSAEANAKAKKEQKQRTATKKADKKPVLQTAQDKAEYERHLQDVAI LADELGGLQGNLRDIGKGKDVEGDVEMGGTLAEDDKKSGRLYLFQFPPVLPELYNPIH GKPKSPAEVKAEELAKAEEEKKAAEAAAKAEAKGKSKSKAADTNTDAVEIKVETTPAL SPEDRKKKEEEEKRKKRQGFVKEQGYIGKLIVRESGRVELSWGGTSLLVGRGVDAGFL TTGVVVDSQERGPPGGGVPEGQAMSMGQIMGKFVVTPDWQKMA LY89DRAFT_787709 MGSISTDDKMSIHLIIIGAGLAGLSAAISTKIASPAHQVTILES VKELAEIGAGLQLTPNATRLFKPWGIYDQLVPKATFPQSLTVRRFDGTKILAHEPAFQ DQISSRYPAPFWGMHRVDLQRAMAAKCAELGVVLRLNSKVVHVDFVDAEVEIEGGEWV RGDVVLCTDGLWSATRSQFLGMPSPAILTGDLAYRIVINTEDLTGPDAEELKEFILSR QVNFWVGPGTHVVAYTMRAGTVYNIVLLCPDNLPPNVSKTEGDLMEMKDLFVGWDPIL RKFLDQVKGVAKWKLMWLDGLDEWCNKDGTFWMAGDCCHPMLPYLAQGANSSLEDGAV LGYLLGKVSKEKKAEQLPKAAKLYQDLRKERGERIQKETFKQRDDFHMPDGERQIKRD ELMTGMLGGELRDDVDFPSRWTCPRAQRWLYGYNAYKEAEEGFKAHPF LY89DRAFT_268719 MLFTSLDVASLIFSRAYCFASSFLPGLSTRQTCAAILLNQSRKP IAGDLRYTMEVFSSFLGEIGQGTPCFDQSQIIPTITTSTKTVLTLEASVHNTS LY89DRAFT_723865 MDIKESQSLLAHKIHQYVASSTTPEAHTLETWTSTINTGDDVEQ RYWIIYVHGGAWWRPMITASSFALTVKHLMASPRSSHIAGFASLNYRLSAPPPLPQFA QFAEPENPARNVKHPAHLNDVIAGLRYLQQEHSFKENYILVGHSCGATLAFQIPNGVD VEPPSAVIGVEGIYDLGKLRDDHVNVPMYQYFIESAFGKDEQEWKDASPVSQRNEKGF SWQRSKVVALAQSDEDELVNNAQRDAMWEVMKMNESADRKDILIWLTGKHDEIWREKN PEPQLKIAIEEVLSRL LY89DRAFT_627433 MVREGYRVQYEASNFTWTMKFAGRDKNMLYCFLRDMSETKIATQ KIKYNARLQKGASRELKNNIWEDVSVKIGKVNGIGDKIEKVNFLKWIDVSLDLNRPLK MIDTPHGQLILDDAFKGRIYLKGLLLENSSTTKPFQFGYNFFNGTVDRDRKGLTHSSE EVSVLAQIWAAAIWSNEQDTLEKYVLMLRRQEAADVDQTEAYMSDATAKKVWEHLVMI DSEKKSFYHDRRNGDKDIEIIQSSLKKEPEQLPGSLWDALRKF LY89DRAFT_656679 MNDKWLDFQRSHGRNKCPLASEHKPGQSWDDYFECDHIVTDLYR EVLEEIKRHPELVEDEVAAVDDSLHLKVCGSLRHMPIMITTEQMSDPGAIEVKWFDLD HDVFKVNGVDPLCRVVLHRESTCSARRDELLYKATLTEHLWTDEPLSTLPTEDTCGCH IERVPRLKSKVVFS LY89DRAFT_675557 MTNTRELLTIKVSVALTPFVNKSEGVIEALVVILRFLPEFVARL FIKFSVNIIQISMQVKEPCWSVATSQRVVDGVVDPFESLTNEALDEYHARNMAGNPYA GPESPSIIRSRTSTIWNHLPDKKPKTIYINSCGKEYGNATTVDASASNLAALAHQPGI LY89DRAFT_740463 MDSFEKKLSKATSIDSREILGAISMVVDRQGTPLYHYASGYQSL APDDPSILHNSAFVMASAGKFITHIAALQCVEHGLISLDEPVHPYLPELEHIHVLSKN QELNAVARSFDYRPASRKITLRHLLSHTSGVGHKSNPMIQEWRAAKGEAPKADYHPKA KAGIMERVSNGLVSLS LY89DRAFT_268856 MKMQPPTIPPRVSSLLGPSMKEEVSAIKQRKVSAQSARSSASSV PKFIEAQIEVLESDIELADVTIKHLGNRLKRKEMTKAEFDEHKATVEDDIELEAKRIE LFQVKNQYKFFQDDLEEMRPSRETIETAYVGLMSSACKKAVVKQKSEYEGNMRQRKEK KGFDQHKFKKDVLAFYAAERPNEDTNSNDHYCVLTGWYSTLTTPNSLKTVVKAAHIVP KSIDGKALSYLFGVTGSAMLGDANNGLPLHNFIEAALDAGRVIIVAKPGTTERNVVFQ LVLLDKSLEKMPIRDDIKFKDIDGQELRFLNDNRPAKRYLYFKFVMTYIRCLEEDDSL KLAIMDKLEGKGNMWATAGKYLRKSTLLILAKSAHDNFLPEIFFENTFDTARYSSTLP KEEDELVGEDLKQQLAGLNTGYNQDSDEDESSNED LY89DRAFT_710869 MTTVLDSSFVLRPRVASACSCLVTPTSTPSGGPYLNQLMKSQGK LWFGTAADIPGTDETTDPAYLTILTDPKNFGEMTPANTMKFMFTEPEQGVFNYTGSDY FLDLAEKSGDLVRCHNLIWLSQLSPWVTEGNWTAETLTAVMENHIKTLITHWSDRCYS WDVINEALAGNGSFSSSIWYDTIGPDYFHLAYQFATEAVEATGKDIKLYYNDYGIESP GEKTTATYALIKDLQSRNIRIDGVGLESHFEVGGTPSTADQLEAMQGYIALGVDVVQT ELDIRFPTAPYYNETGQAEQAQDYYQSVAACMLVGPHCIGTTVWDFDDYYSWVPSSFP GQGGADIYNDTLQRKPAYYAIAEAIQGLPCTVC LY89DRAFT_740466 MPPQPPSSTSSSSHHHHHTSTLPPSSPPTPVPSTTTKIHTTYTY THGPHEIVAVGYPLCGIPGYTNVMGDYRESTHVQKGGNLKDCMSDCRNSGGACQSIAF HKRYTMCLWFDKEVQGTWLTIDKTSEFVHWDLTCDI LY89DRAFT_562549 THFTLFLALAVMIVEIAVIFLPTLSYAMQMCDQPSGTLYTGTYT DGSGTHITSDRVTHPYKFPKIRKCWYDYYVVNASLVNAPWRKSTGDIYCTGTYTCTVS ALNGTEFYQIRTSGISINVGLKSPDVTVSAGDGREAEVGLFYTVQLSTTGCYSATYEN QCQWNDGQCHNVWTSQQILKQVGYERQVCNWSNGGVTECMADIEIDTPTTYVNYECGA EC LY89DRAFT_723871 MQGEYHEEQFPELNQSSYSLGFEGEVGVGGYDAPADLLSGENNH YHESIGLLTRGSIHGAMRNLPAINAFNSIDNMTMDSGYEASFWEPDWLNTPLNPAVEA STMVPDQTASLMDFNSGPQVAQSRLTASPAGIVMSKPMPTLSSSTFVPLPDAPLDTRD LTSSLSICGKSMPIWGMPRLGDRVASSAISGEGGVLGYEILTAASFLETFLALYGE LY89DRAFT_268878 MTTSPGFPTVVHTGPTALPPTTIAASGPAPTIHLHRCSFGTCTK RFKRPTDLARHQYTVHLNMTGRLCPIAGCPKSQGRGYSRADKVTEHLWKKHGDLGYRK A LY89DRAFT_597293 MVKKGQAKGGKPGGGGGAKKPSPATTGGDDSFIVFSNSDKDPKP KKPAANNAGTSATGAGAQDGPALLGEAPKRPDVKKIIGGASWTGKLPVNMLSEHCQKQ RWEKPEYTMSKTPEGFSSMVILKSKNPKTQELVQLQPFKLPPTHKHLAAKPTALEARH FAATYALFRVCSMRNIHMMLPPDYRDLWKGEFEVLKKEDVKDGRSWMYEGDPFAALRE REEAKATMEKKRVERDKAREKALNTPGGPGGANLALHGGPSSSNTGSHNLNRGWTRVP KIEMGKRTRTSVEGLIRRHAIWNPHDVKLSQFQKHSIVNEFKALEFRQSHVEEAVEEC KDREETLEWLLIHVPEDDLPRWALPEGYVAGISMASSDLKKEGAIKRLAEAGYSLDLC KQIYETHGEEGKSAEALQAILLSSGQDQDMPQAEESWDTEDSKEQSMGIWEEELTSLQ SVFGDKYSRPSKDFCRIAIKPSNRGRNPEVDPVIQIRRTPEYPQKLPVISVHAALPAY IRLSMMKQALNHAIDNLLGEQMVFFLIDWIEQNFYSIFERPGKLRDVSAAASTVSELR PVHRKKQKMSRHPRPIAWTTNQKSKEDWTRRQTDPKLQARIQQRKTLPAWEMREIIID TVESHQVTIISGETGSGKSTQSAQFILDDLYQRALGDSTKIICTQPRRISALGLADRV SDERCSTVGQEVGYIIRGESKATQSTKITFVTTGVLLRRLQTSGGSSDDVVASLADVS HVIIDEVHERSLDTDFLLVLLRDVLKKRKDLKLILMSATLDAGVFEDYFKSMGKVGRV EISGRTYPVEDYYLDDVIQMTGFNAGRTSRRDEDAADTAGMDSEIAAAIQSIGMRINY DLITQTVKEIDAELSHLKQDGGILIFMPGVVEINRTLDFLRSIPNLHALPLHASLQSV EQRRVFPHAPAGKRKVVVATNVAETSITIDDIVAVIDTGRVKETSYDPQNNMRKLEEV WASRAACKQRRGRAGRVQAGKCYKLYTRNAEMTKMAERPEPEIRRVPLEQLCLSVRAM GIKAVGAFLASALTPPESMAIDGAMELLGRMGALDGDDLTALGRHLSMIPADLRCGKL MVYGAMFGCLEPCVTIAAILTVKSPFVSPQDKREEAKAARARFAKNQGDLIGDLKAYE QWDEMMSNRSIRQGEIRNWCSENFLNFQTLSDISSNRTQYLTSLRELSFIPSSSAAIS SLNKNNSNTSLLRSLIAGAFNPQLARIDFPDKKFAPSVSGAVELDPEAKTIKYFNQEN GRVFVHPSSTVFDAQGFPGNSVYMSYFNKMATSKVFIRDLTPFNAYTALLFSGPITLD TLGRGLVVDGWLRLRGWARIGVLVSRLRGMLDDVLARKIDEPELDLAGNEVVEAVLHL VELDGLDQ LY89DRAFT_723874 MTSLLSLPRELRDQIFDIFVAARNERPKDIESIQTRQYTYTEHI LNQVKVDCIHHNRRNIGLVSLLLANKQLNAETKDAFERFEGRGKYELDIFVTGEREFW PTWIYLPKRTNIMEELHITFRVFEGEDFWLRASGSKPINYIGGWAFFHLLERLLKEGP RAVCAHGSSKHSSTITERTLSWAEALRGKAPALDQSLRIRKLYLHFETGFEGDFKEYI GMDCLRLDEMQRLGSRSKGTDCKTPGGRLAAAFSTEITDIMQNRWADLETWLDRIGSI WSHFDDRPLFSYDFAKRLSELAVLNGRGHPEIDPLYRSRVKFGLGVIKLGQFWK LY89DRAFT_740472 MSADTIISITVGLGGLAATVLGTWFGYLALKTMRSKDYQPRFST PSPPNAEIDLESWIQMPTRPPQAHLTWSTLQRGKWVHQLDGRSNTWS LY89DRAFT_740473 MDSSQKVKAPRYSATQWEAQKTNVERLYIEEDRPLKEVIQILAR EFGFQASEKQLKSRLPKWGFDTKNLKGDIMVQLARAKAKRKLENKESSFRVSKKTVDD QKIERYLRRHEITDEDLLAMASPVDAPSPAYSVFTPQPFRSPTPDAPLSIDAALQRSP SSGLNFQALSIKESRPMSPIVEGMTDEDFAKLLSGDAQLDNEDIAMAGIQHSAPTLTP TPSSIIHFNLPSIDTVMKVELKLQDPLQMIHDEARNALDTLEEQINPPSTPAEVPGHD IVATARRIASFHGSVVERYLAKIMHRSRLRDRFADQFQDPGLRQVWYCHVCHEYYASD FRSCPTFERRKEEHAREELKRKGLVCINCNMEIAPNSSSGWRSHCFMCSVLDIWMQGK GAESNSSDDYY LY89DRAFT_740474 MLINPIIRAAILQRLAARQALMAQLQQDRQPLLWNVGPLGGGIG GGPGIERFPGGLGGMPPGGMPPGGMPGGIGGFQGGMPGPGFRPGMGGFPGAGGFGGFG LKDDKTGKAKDGKTGKASEGASRPSSASSAMLARLHCFVKLKVAKGEKSVSREKPKSK KSSKDKSGSSKSGK LY89DRAFT_689916 MEHLTDAEFQQEIRKHQERIRQRDAAGLRPPGTSAWTGLSPADR ETAFQIVREMARRRRLRGEPKPPRPTRICTEDDMNIGHDQIHAIAATRQQAYARLQAA QNGGVGVGYGSERPGSGIQGSGGGYGRRLSGSQAELLRKQLDVMNRRGSGSGGSQSGK SKKRSEYPPGSGRG LY89DRAFT_740476 MSTPVTAETSEALESLQSPLCKDCRTALDRWGSEKDIAKAGDGR PSRGPPETILLQANSRLKERVATGCRLCAMVYDSFEGETGSFESTTFRRKATDDPNGG PDPEVWGYVRSWRSGGPPNDKYSETDLSIRRWRPNVEMKWSARLHRVKDRTCTDCSDG TPRDIQTRNPPPWDLMRTWLKDCIDNHQGACKSAGLNFLPTRLLNVGTLNEPQLRIQL RDKIPPGSNYLTLSHCWGPIVPKRLLLENLEQFRQEIPTEGLSRTFQDAIYASRKLGE QHIWIDSLCIIQNSSEDWQKEAAMMGQVYASGLCNLAATAATEGSQGLFLDKLPVPQV QIDIDGTNDLWHLNENPGYHWGSILNFAPLNWRGWVFQERFLSTRVIHFGRSRVYWEC GQKKCCEWPDKGTERDLSATSWTDQSKSFWQEILKGSGKVEKDEAVKIWNAIIDYYTS HSTFTYITDKLVAIGGLASRVQKSTQCRYLAGLWEHDLPSQLMWDVGKGHSDDNDSSH YVAPSWSWASVHVPIGRYFGGRVKYVPSTVIEVRDIDIQLATGNELGQVKGGSLTLVG RLGLMKDMDVLKVGEDGEWRFDGVEVRLDRRNRIVDASSQQLYLLLVEDQRKDESYEG AGLVLQHLETNENLGEENVFKRAGFFRFWFNKTMKNTIAELQENFDGTALAKQVLTES EKDEKSRHLIKII LY89DRAFT_723880 MVDRSAAEPVAIIGNSCRFPGGSTSPSKLWTLLRDPVDVLTDIP SSRFEPAGFYHQNAEHPGTTNVTKAYLLEEDPWAFDNEFFAISAIEAVSMDPQQRVIL ETIYEAVEAAGCSISALRGSSTGVFVGQMSDDYQQLVLRDLDSHPQYSGTGISRCILA NRVSYAFDWRGPSINVDTACSSSLVALHLAVQSVRSGECDMAVVAGVNLVFSPEVFTF LSSLRMLSSDGRSRMWDADANGYARGEGFAAVVIKSLDKALADGDDVESVIRNTGVNQ DGYSGGLTVPSTTAQAELIRSTYTKCGLDYRKEEDRCQYFEAHGTGTPVGDPKEAEAI SSTFFPTHDRQGKLHVGSIKTVVGHMEGTAGLGSLLKASLAVQHGFIPPNLHFNRLNP AIEPFYDHLEVPTRLEPWPDLPAGAPRRASINSFGFGGANAHAIIESWDEPTPPSSSS SPCWGPFVLSAHSETALEATISSLSTHLKDHPDTNLSSLAWTLQTRRTHFTHRASFTA TSTESLISTLDSALQDKDLIPLSTKATKTPHPRILGVFTGQGAQWPTMGASLFHHSTT FRTTIQTLESVLSSLPKQDAPSWSLSEELLLPNNPARTSSATISQPLCTALQIALVDL LRESGITFTSVVGHSSGEIAAAYSAGILSPRDAILIAYYRGYHSPSNSSKGKMMAVGM SPSDGDDFCAQPRFRGRIVVAAKNSRSSITLSGDADAIAEAKTMLDEAAVFARELKVD NAYHSHHMERVREPYLASLKAANVQPRRNCFGGKCNWYSSVHDNKSLSEHIPFEDMYW AENITNPVLFSHAVNSALENETFDIVLEIGPHPALRGPATESIQEVLGHSLPYHGVLE RNKDAVEIFSSALGFLWKTLDFPLPSFSGFQKACQGPSFTPPRVQKNLPTYPWDHSKP MLKESKVSKAWRTRTTPHDELLGYPNLNSSPANREVRWRNILRLNEVEWLQGHKFQSQ VLLPAAGYLAMAVNAALHLVGYEQAVQLVELQDVVIHNGVTLEEDSPGVDINFCIRVI SETSDSKTAEFSCHCSNVDAASADFDKEVFTGRVLVKLGGPAEDTLPARNERKLPLTD VTTERFYTWMQKLGLSYAEPFVLESIKRRLNLATVTAVRTVTDRYTIHPGTLDSILHS LYNAFAYPGDGRLWTTYLPKSFQSVRFNMNSKNRSSSRLVADCYLTEASARIICGDID VFNSEDGHAEIQAQGVVLSSLEVPTAANDQSMFWETIWKKDIVSSLESTGEENLRTGD RKLHEICERTAYFYLNQLCREVKPAEIESMETHFQCLMRWGLDYVLPANKKRNWGTDT LESILQLKEQQYDSQIDLELIHHLGSRLPSLVRNSDTTFEVLEEDGRLQDLYTSGLGV PETNGHLGTLLDHLSHQHPRLRVLEIGAGTGGSTAVALESLDSKLEDYTFTDLSPSFF PAAQARFAEHKKVMNFQVLDIEKSPVDQEFQEHSYDVIIAAHVLHATTTIAQTVQHCR QLLRPGGYLIMLELINPSTLRIPFLFSGLPGWWLGHEDGRLQGPTLTEAQWDVVLRDN DFSGVDCALRDFQDDTMHTFSVMVSQAVDDRISILRDPLTLADGVARIEHLLIIGGRT LTVSKMAKQVGYLLCPFVDHTTIINDLEEVADLKYGSAVICLSGLEEATFARMDAQRL AAMQALFGQAKCILWTTRGCRDDDPYANIVTGIGRTVAREMEHLRLKLVDVDHVRLQK HQPEATMFSEMLLQMMCLDLPSYDGILWGNELEVAVEYGAVLIPRVVPNKDINDRFNS ARRRITKSVSPGSTAIEISAGDDGVFFGEKKSDSRGQAKAGSSQFRVRSSSLFSFTCS DDGNPFYICLGRDASANQDLLAISKANSSIIAVSPDCTFSCNNDVGADDVLSTILTAL MCESLLSNSTGTVWIHDADDGLAEIIHKMASEKEVSVFFTTSNAASDAKATYLHPRAS ERERRSLIPRNVKRFVNMGVDVDGPVGLPASFGGHGVDVRQGIHQVSVKEVVLLSYSR SSLVKLLEKYCSQPNLLQDLGHPTPKSVIKADLIQEHAETATSTSIISWTDIQSLQVQ VMPATSDRLFDDQKTYLLVGLTGNVGLSLCEWMIDHGARYLAVSSRNPVVSPEVCKHF EKKGATVRIFALDVANMENLKSVHQEIISTMPPIGGVANAALVVRDHPFDGTSFEDLE AVFKPKVIGTQNLDNLFYSAPLEFFIVFSSVSCITGNPAQSAYSAANMFMSTVAMQRR KRGLAASVMHFGMLLGFGFIHEQTGGESVEARFRHDDSIPIPEPDFHAIFAQTILSGR PESGLNHELISGLGTELDTAWRAIPRLSHCRVKDEERLTEGKHNQEQSSQSTQDQLKA ASDSKEALSILKVAIASRVSLALGSPGEGVDTDVGLINLGIDSLVAVGIRSWLLKILE VDIPVLKFLSGSSLDDICHDALSKLPDSSKPWAEEKSDDQKLLNGEVNGTSSKSSAQV LQNEHMTNGAGNHAVPRSGVANRSSHESNGNGHHAEKIEYERAGDMSHAQAQLYFLHE YLQNNAYNIAYSGLFHGRLDMKTLQNALFAVGKRHEALRSAYFIDMSTSQPLQAVLPG PRIILEQRQIFDDSEVNAEIDAVKDFRFDIEKGVVMKMTVLSRSPSLHSIIFNHHHIA LDGIGWSVFIADLAKAYSGRLSSMPATPHVQQSIELAKKQLKAFTPQNLQGDLAFWKN VYKTIPEPLPLFAFSKVKTHPTVQNYNINTAGVKLSSDMTKLIDMAAAKIGVTSFHFF LASFATFLARCLSIDDIAIGVVDANRTEDEDMGTIGYFLNMLPVRIQMEHSEPFQAVA KRTRDAASKALAHSQAPLDMVLGSDGLDILRSTSRHPLFQAAINYRKAPLNETSFGRD GRIEWDGAVPGGHPYDMLLNVASTSEWTFVSLITQQSLYEESDGALLLKWYTRALEGL ARDVCTEVGRCPISNVTDIQEALELGRGTDMKIPWAQNGTLTGRVDEIAAQLPKGIAI RDEQSRTLTYTQMRARMMQIAQQLQTIEPALPPGSYVAMLLDPVADAVCCILAILRLG FVWIPLDTRNHPQRLRAVVEESQPRVLVCHDATKELAQQISAEADFTSILSIDDADED TSKIFMPQDIIKDISHRSRQPAMILYTSGTSGVPKGVMLTHGALVNQIYGTIATLRLG RETTLQQSPLGFDLMLDQIFLALCNGGTIVMVGKGARGDPTQMANLMVKYCVTLTHFV PSEYSLLLNYGHHILTGTDSWRFAMSGGEKLGWKLRRAFRKLDCDSLKLVNVYGPAEI TLACARGVVPYRELSEEHDSSTDYLRPSPNYGLEIVDDDMSILPIGFPGEICISGQGV GLGYLNRPEDSGRKFTQRKRLGSCVAPRRIYRSADKGRILSDGTLQVLGRLDGDSQVK IHGVRVELDEIANAIVDMSNGVIVNAVASLRSDQPSDVLVAFVVFDVEFTEDKSDFLE WLRSDLPLPPFMKPTFIVPIDRIPATANGKIDRDAVDGLPISESVTATDTVTQKLSPL EEQMRDVWEEVLSTRTLHISGKATVQSSTDFFQVGGSSILVIKLKSLIEVQFGVTLYL PQFFQASTLSEMAALVGDAMDTTQKNPLMTSLSGSRGTQLTMNWDLEIASMLDGLPQP KTMSSSSAQLLTNGHTRLEVVLTGATGFIGRHLLSQLIQDPRVAYVHCIAIRPDACGK PRHLSMKSDKIIEYTGDLWSLNLGLSDPQFTSLAEHADVIIHNGADVSLLKTYQSLRR ANVVSTRTLCEMAIPRCVPLHFVSTASVAKALHHGEEKPLLEVPASPAVPELLNSIDG YASSKWASETLLEKAAADNGLSAYVHRLAHVVGDDASELDAVGMLIKYSLLLGGYPRF DEENVVGKWDFVRVEDVAKDLVGSAIESTSIGRKRTQQNPSATFVHHCVDAKVSHEDF GKYLEDMAGAPLREIGMEEWLTTAREKDIHPLVLEFFGAFHVGERKMVLPIIAKGV LY89DRAFT_689921 MAAEAPKQQSPPLKYTVTHNRKPTHTHEEFIAWLVNTHLPLALP VLKKHGVLGYELFVTPPFLSTALAAEMAVFQPTWDVSTTDCFIEYTIPDMQSMKDVVA DPDWGVATRDQDEWVEMSKSLVSLGFSTSYLSGGEIVKK LY89DRAFT_710873 MASAEKATPEPASPNRGWRFWAIMTSMAVSSVLAGLDATAVSTA MPSIIHDLGTSQGYTWIANAYLLTTTAFTPIFGQTANIFGRRALTILSIVLFAIGSAI AGPAPSLGVLVLGRAIQGIGCAGLNTMVEMVVCDLVPLRERGKFMGFIFGIYAISTTI GPLIGGAFATYATWRWIFYLNLPLSGITLAMVLLSLGALPKKSSFSLKSLARVDFLGN ALLIASITSILIALTWGGGQYTWSSYHTVLPLVLGLAGLLLFLAFETRVPEPTTPFRL FANRTSLIGFLCAFIHNMLVFWILFILPIYFQAVLNKSAFRSGVDVLPTAAVCMPFTI LSGGLMTKLGRYKPLLIAGFAFFPLSLGLFSLLDQNSSTGTWVGFQILAAVAIGVITP VTLPTVLTPLEERDTAVGTATWAFMRGFGTIWGAAIPLAVFNSKANSLVTSRLSSNPS AQQLLQNGGAYTLAAGGSVYSALGLEANPALEDTVRGIYTDALKLCWQVGLAFALAGF LLSWGTRELRMREELETEFGLGKCVWLELAL LY89DRAFT_269034 MSPRSKLTISIFVPISICAPISGWITICSTFLSTTSLHRVDAPV VQQMHGRYSTTRAISSYIICLCFLVLPCARGSTRFGGCERVSTSTSLASLLAAGITRL PCKTSKTITFQRTPRKSIREHAAAVPLRHRFVPSFVYRNTYRFLRQLWVS LY89DRAFT_689922 MAMNMLFPRQEAIDFNGPTIPAPAGQVSNVINPPNGNKIALAII SICVIVSAVLYMMRLYAKILTKQINVGDYLTLITFPLYWVYIYYSYRLSWSPGYLIHE WNVAIKDIPEFSYVCYVATCLYLWLIALIKIAILCDWTCIFASKGQRGFFAWTCFLTC GAVASLAIILFVMDLVNCTPFDRNYNPLLPGSCRWSVPEESIVSAAANFALDALPLIL AQRMIWGLHTTWGKKLGVSLIFLVGVIGMISSIVRLYYAVSFYRDSADTTYFFSIVAL CTLCEITCANLVLCLPFAPKAIKGFKQTKTFLEIKSYMTSSKGSSVSESREGSGVPAQ VAESPRQGSAEKKWFGLGLRESGEGTLYEDSVDEESSLGRGSERAIHLSA LY89DRAFT_787729 MPSSPPPSESTSTPLPPDFTSPRVAFASTPIPECPDSNHESLSR GEHSLSAQSSWEDRSMEDERWSENGRSSASFESGMDCSFFSVGAKHAIWNNCGGEDKC WICDDSENPRLLKYHEIIHRDHSAFEDYMKNKHLIDFNDLRDARNGILLCAVCQPLYS NPSYTTILSFPTDLLYFYNHEILDRARRRQLSIETGIVPPRCCVTAKMYQKHQLSPGV ITKGSWGSYTRLNVYPQNQPITRLASLPVEIQKAWGGSPMAMIHHSNWGMNGWLTFGA IPRDVRIMFRKLQDIYSKSLTANDGEEKFLVRNGDDFVEDVSPNDLTDFDSNSGMGEE RKFKDKHSDSSEDDEERPNRDHLLQDWLARIEYPPADLNNNGLRGRLPPNLLTTLPSL APPSLSPSPHDRFVDPSLPLSPVLKTPMPISAGTKRKRRASA LY89DRAFT_597110 MDVQELDFATREQPRKVVVGIDYGTTFTSVSYLVCPDEASTTRV FPHEIGTVSDWPSDNMCGASMQVPTELWYSSIPKMRDPRTGRLELSDSETEEDSDELD EEDDDIPRFSTKKPALDPFRIIRGSAPPPASCQNSEASSEDLWGYECPYQKYCAHSTR SKDRYVERPKLMLLTSEHTRADRERLGPILQHLIDKRNIRKYGKAGSRPGARDIQDVV LDFFVPVLLHTRRELIKRDRYTDDCHVLFALTVPINWQPRSSRILQLALEEAIRLTGF GTLKDRSVTNLFVVSEPKAAATYLLGLGHARDLQSGDTFVVLDCGGGTVDGSSYSIAK SASLRLGEEICDPSGHNCGASYLNENFRKLVQYRLKEETYLEGNGNTLDSFVDDVMVR FETYEKRNKDIMGRPSTQIKIPGLKARRPRTLPGNTPEKYQDNYLLLFVDDFKQIFLP VLKDVAKILDAQLEAETSSLGIQKVFLIGGFAGAVSMRSFLKDHLAKFISDRNLPYSI DLILPSAQECIKAVSAGAVMQALHPEEGTVRDFVSSYGFFVKEFYTPEAPGHMNAKPI YDEYDGHRYVGIIDYFVMKGDVISPKHRFKRFKYTHVFDVECRRLRCEEVLYVSYKTV ANGYPLDHRHNRDAQEEDKITTDFTFLRDEHKIEPVPACVSWDGTVREEHYSITYELV PYLDGRDLKYEAWYPSVKYGQRLKQKQICIASALHPGTV LY89DRAFT_689926 MEHTNSKIEDKSHRLHQEQRQKSQSLASQATRQSRSGDVDERTL GPRPTRATTSQCTDGLQQQLRQNRATTSDIRQQSDSAAPDRVNRTLEEGKYRTALQQK QVEIAEIREQWREEVASLRKREEQLTQDKKDLSIKISHLEMARDMRSTASAGDPMELE LYFMNKQVYELEQKIQMMQGKMRFISGDGDTLADSASNKAPKLSTVQWISCKASSTQS CMVMTEQTCSKHRQK LY89DRAFT_787732 MFEAALKLKAATVPTEHNYEFIVYPPGTSRVLPAVGLASGSWIH ASMHAYTRGLTGPRGRMADALIQSMNFVDRDIEDRERVGVSLHSRYISTPIDQDTNPS DARKARNASEIEQDDETDVEAARQVLDSEYEDDDLRPKKARKRGLKAPPTTSSTAKIR PAKEQPAKRIGSDEDIYTSRKRQRKQGISCEICGATFSASEALTRHKETRVCSVCALC NKICKSKKEQVNHHATEHNKKLGTELQGQQQSTDVADDRKPSQGRLTDPNVQPDAQPS HQSHPGPKTQSESQTQTKENKQSVSKLIATGFICDICHRVDFQDMKGLKSHRLRVHKE PTRTEVSETPPTEDEATPQARTTRRRSSVREMVNESPPNSSDKRPAPQKPASVCPDCG KDCLQPKLLKIHIKNQDCLRCVDCGIWFEDDCKFDQHWQTTHRQAKELLSPSPPSDEP SGSHVSQRTHVASSSPPSKIRSNTSESDSGDRRDEISASQDLRSPRSLEPSEEASEVS SEETSEDNETQHDPASRENTSTPLKLTSNESFSSAIVPLSRRSTRGQHSSSRMSERSD SRHSTPMRSDGNQLSGMNHVCQGDNCVVRASDIRADNGPCSKREKETTVHLRQKGGQG GGSHITDVRFTPELRSSNCPDVLDIEIEEDWCSGTSQVTETSAYETQSGIAGSQPRRM LAGPQGLVDYMSGFWRPPPL LY89DRAFT_596909 METAALPEPPHSLREPGASNHLTRSETRRTLTDLGLTEVVPPVD INYPDIGRETDPVFPEEYTLETATGFVPEAALEQIRSRASVVASQHEGSSPESSRHDL DLEKASQPYEFVTFTFADVENPQNWSTPYRWYVTIIASMLIFCVAFGSSIVTGGLGLI EEKYNVSLEVAILTCSIMVCGFAVGPLLWSPLSEIWGRRPIYIISLSLYVIFNIPCAL SPNIGGLLVCRFLCGVFASSVLSLAGGTIADIWNIKERGMAIAYFAAAPYCGPVLGPI VCGWISVATHRLDLFFWVNMAFAGVILIVVAMIPETYAPVILKRRAAKLRVEMDNPNI ITEQEKVKLTLGEIIRTSLLRPVIMMLTEPVLDLMCLYIVLIYSMLYAFFFAYPIIFG ELYDYNDGQIGLMFIPILIGAGFALIATPFIEKQFRKTCEVRSPTPEDRLIGALIGCI FIPISFFILGATSYKSIIWVGPASSGIAFGFGMVLCYCSVNNYIIDSYQKFAASALAA KVFLRSGGGTAFPLFITQMYGRLGLQWASWLLAFIGLGMVLIPYVFYIFGARIRSKLN RK LY89DRAFT_597057 MTALVYNKESQRYTKELPTDRTNYLQAWKLLESYSKIPADKIDA HVTEIVTFAIFHYPCIGRWRFLDLYITQAPEYPEIITRLKSGDKLLDVGCCFGHIVRQ LVFDGAPAQNLAGTDLRPEFVELGYELFRDKETLTSQFVTGDALDSENKSLEVFDGKF DFVHAASFFHLFGWDDQVTAGVRVVKFLKPGSKAIILGRQVGTRKPVSLEAYRASGER RYHHNVETFQNMWDEIGEKTGTKWKATGELFERKVGEEDDDEVRTILRFAVFKLD LY89DRAFT_269550 MPLYMRSTPYGARGRRSTSPSSISQRRASQASTNAATISRIRAK VNSIRSDPEGVRYYESALRYMETQQGHIGAQLRYLEEEVDEWIAVLSRIEAGARRDER ARDGEGVEEERLPDYEVDESSPAYERGGPPAYESLMAVGNERVEGQGGSWIAKLFKKG RDLFRRKQEVRNGGLDQQGWENWYWADWSREEMLLSALEEDFLL LY89DRAFT_596913 GFTIVFNALDNLDAWRHVNKMCITANIPLIESGTTGFMYEVMWD TRQFNTKDLWPEDTTKQPLVYSAGDPRSRGWRMVVSPRVKTVPRKR LY89DRAFT_723890 MPLCEVCEALELDPPLRLSRDDPRLGEYTEIVSRADAGCDACRF FCDVLQNSSNWSHRLDKLPGNIVFIHSRRLDVRTPERVTGSSCSCDDLMLDYVVSEDY TGPKDDDTDIRRIIPSNPEDEACFDQIRSWLGKCSEHKNCPKSAEVDLPTRIIEISQD PDITPKLISSEGERGEYIVLSHPSADINSSAFKIPNAGENLDISSLPKTFTDAITITR RLGYRYLWISDLCLSQENLTDLSSETPKLATIYGLSTLMISTTSTSDILSPRQVFYSP ALGINKDRFMRQKRLRWDTDLKSKSSNGRTASERILAPRIVHYTKRQMIWECGDELKF EAAGIPDKVTGRGQIRMRYKKGYVQPFITSYLGQKQNLEKTEEVWNVANRLEAWHQTI DELANHTFPESLNKALAISTLARIFSPNKELGSYLAGIWTSDIASGLAWGRVYPVLTA APTYRAPTWSPLSVDGEISSMYLSWPPAMFTEQASGPGKEFISKYGVELLSHNLNLDD PLGPVKEGSNITISGSVTGLMKLLEAIQDDDDDTFHPTLVLDQSWALDCSECGHHEER FSAEERAEQGEKVKEELEHHIVLFLQGSFWGKEMDKRNIDAVVLTRIDGEEGEAYERV GFLRVGLDFHYKPVYEREDGSFDREVAEAKFAELGWERRVLRIF LY89DRAFT_656717 MAHETSKDAITEDEIAGTAPTASSSASPARNAFSALMAPKRKAA PPSPKPAKKKYNKDMRDGLDDYILHPETYSSSRVIYYGSAFVAINDLYPKSSVHTLLL PRTEHKDMHPFDAFDDPAFLAAVREQVKKLRHIVGKELQSRYGPYSKQDIPREKVLNG EVEPAEDGVLPVGRDWDKEVMAGIHAHPSMNHLHVHVLSVDRYSECLKHRKHYNSFAT EFFVPIEDFPLAKDDERRHGHDLKQDMKCWRCGMNFGNKFAKLKEHLAEEFKEWRKE LY89DRAFT_567304 LPRDTIFPGPWEQNIRAPLNKSHITPVKIYNFEGATVGAEAVLQ DANVQSGLSWVISPGGLITFEFGENIAGKVCFEIDDVKNHPYLVLAYSESPFFAGRQC DATGDQLAQDIPLRYDITHKGMNCVGGGYNRGAFKYLTVFMPENDLPTEGGYWHDETS IHKRSADIQKLLQNKDDQEIIGGPKNETGESAVVISALWVNCTAFPSMRNGRAYTGYF DSSSSMLNRVWYAGAWTLQLSTLDPREGGSIIDFNRFFDHNNAPRGAWYSNYTIANGT TVTTDGAKRDRMVWPGDMTIAVPGIAVTTYDMLAVRNALNTIYDHQYGDGSMPYAGPP MGAFGEFSDTYHLHTLVGTYYYVLYSGDLDWLKSRWNAYLVALAISVNKVDETGLLHV SSVNDWIRPGMTGHNVEASSILYEVLGNSIKLAKWMGKNETKINGEEWRATQSRLRKG IATLYCDQDGLYSDNMGRRGCNGPEKVLPQDGNSWALMSEAFTDKDQAYNVSENLRKR WIKYGAPAVEFPNVISPFASSFELLGHAAAGNHDAAVELIELMWGYMLDGPGMTNSTL IEGYRTDGYVQYPAYWSAARNSHAHGWAAGPTTVLTQGILGITLLTPMGKTWEIEPHL TKWLSYAQGGFATKLGKFEVSVTTMRSLSTGRKVEALNVTYPSGTMGLVKWGGKAQFR TEGMGTSFSYYRYIDATTLAEQEWQTWSVDGVKDFVKDDTWRKPEVEERLDGVVDWEA LEKNY LY89DRAFT_596928 MLLRHLISTPSSRFIMATSKDKTVIVVGSGLAGLTAASTLVSHG ICVHLLERAAKPGGNSMKASSGINGAPTKYQPGPPFSDTFFHADTIRSAGSVFQTMKK ERESLISTLTNQSAEAIEWLVEENGIDLSVVAQLGGHSFPRTHRGAGKTPPGASMVST LLTSLKSSPLFHLDTSCTVTKVLKPSSVVSGVEYICTGEKTPKKALGPVISATGGFGG DANGMLAQYRPDLAGFPSTNDPRPGSQPLLTVVGAQLLDMEQIQIHPTSFIDPANPLN PVKFLAAELLRGEGGVLLFQGKRFVDEMQTRKVVTDAITRLPAQTAEGGPRQWDVQLV LDEGVYENASSHIDFYLWKGLMRKSTIADLGTAAFSTLRDYAAAAAGHASDSFNRESF GHWKLHAVKEDSVVYVSTVTPAVHFTMGGVLISEKGEVVDESGKPIRGLWAAGEVTGG VHGENRLGGSSLLECVVFGRVVGEQAAKFVKGEEE LY89DRAFT_269255 MERDARDTTKQNQGHQSSSTSLNKFSVTPKLWRRDNRAQDSVED GSRTKKNLDRSQQQEFGDTELPEDSKSISNMLENASRASEETSQSALPSVKPNAEGRY ECPLCSKDYAESRGMQKHWRTHPVCMREGHRVDRAEFEVERGKIACKECGALVSTKGM KSHDSQHPKTRTSGDVVYGRTASSPGSGNFRPSPKEISSSAKRSKSPMIETRVDGTDS VKKMIGKKRRRAEDDSDDDWRPPPRDF LY89DRAFT_269718 MTKDQFELTVYHHTLSICIQQAGVVWVEVWEVRVEHPVTNSCNT QSLYEDLKSILQNASFQLNHLFPSIATALKHGPDQQAQLTIFGNLRQDQCRPRVDTSG QSIQFRCNVFQPGAKLASAFIFPSLLDSFQISPFLWKSSCSREPFPAHSRS LY89DRAFT_675587 MTSTNINTPPSQAETIARLLQLADPAVVQELLARSATAPKYGEE GSVPPQTPKTSRNTSQSFTHDSSSPEDDGHLSSSSQSWDESPRPKKKVSSKKHSPSQA KTRKEEARKSFVTPLTPSSTRTSRTASLSTPSRPKKTTSLPTSKRASQALNHSLQGHA NDTDDTTLPDVDEETSLKRFVVGLDYGTTFTSVSYLSHPIDDDHPQAFPSDIKVIMNW PEDGMGGLRRQVPTEAWYSSIPKQRPEIVDQFELLDDEEQTGPPSNSDHASASHSHSH NTSDMSMDDNLDDEDSSRYLWGYDVPYQRFTAGTTRDELRLIARPKLMLVRSEHTRED QARLRLRLSSLIAGGLIRKFTHKDTADPRDVQDVITDFLVEVFNHTKQQLIELENYSQ ECPISFVLTVPVIWNAKASRVLQYAVEAAIRGSGFGTLHHGSVDNLFIVTEPEAAATY LLGNSHEMLAGETFIVLDCGGGTVDCVTYTVTSSYPLRLKREVGKPSGDNCGASYLND YYEKHLLKRLANEDYLDTNGETRESIVRHLVPNFENHDKRSKDISRRPCSRIKIPGLR GDRERGLSGPAAKGFDNNFLILNPDDYNQIFMPLLRRVGHVLWSQIETAVTRGKDIKK VFLIGGFGACPSLRSYLKDFLRDSASEFMLKHEISLITTNDQESLTAVSSGAVLRALN KEGGPERRAICSYGFLRIQYFEPKKFKGHQGAKPFRDPFDQDLYVESERLLCEELLYV SDLPLVIDDETEQPLKSNYPLDHSHNRDAQVAGRIITDFTFLREEGKILPIYPESDDE GELIGKAHYEVTYDLIVIVDGRNLKYEARYPSGQSGKAQKIAQISLAAGFVPGTG LY89DRAFT_269873 MANPKTVHLECDPSDQQKKSLRAKQRSAEILNRPRTNTSSQNRE VASGNSKPREIGNQSKPLHDEQTLSDIVSDLTNENKNLRAQVQILRNHWQAEVEDLAK REEKRKSNELILRAEIQHLKDARRERERAGLGDMEEELYLKTHDTYRWQARVAEMQDM KLFVDGNSPRVRPIEEKIIQQALDSVAVELQILRAHDTSNSPPTSVALGQNSDLDLLL RSMIPEARDMAHRRTLAVSNMFKWGLSIFARGLAVAAIKDWVMDTDFPSFIGSGDPRI LEEYRKILSNFDGWQRLHNLEMAAYRSLTETIYFKESFLPRAANDLADRLSMALVPLF VHTSDASLHEGFASWGEDEVTSKNRQLSLKRMFEAALRLKVATVLTDERYQFQLHPLG TVSKASISDGEYRNNPVARNDDMTKNWLHASLMVYRGEQSNPRDRMEDALVHPKNFFS TGEKRSRPPLATQRLTVRDGTCSQTSEGASSPAQRAQKDTLNEQQRKEEAHMPVTNSR PPKRSHNNTEILDNITSEPASKRQMLKGLEPSKSLEMSPQLQTSIVRNGVHDMDCEHE EVESSDGIPIRNSFPYTSCHAESGTERNLLKHQQEEHPELSHDRHTLNSLQSQSYSTP TITKLDNTAGEGHVDEKVTSRKSRGPNSPSTHCGGCDKSFASSYSLKQHQKNKICKGR KCKECDDVFWKLADLETHMHHEHAQSMLATPLLPSSEFQSRRSRPYNQTSIPLASPFS TAATETNAACPEQETFIAPTTNEMMNFPRTRFKSSYSFPVSEQDNMWGSNTMSGTVLG SQSSPEVMEPKPDRGDSHHGRDYNNKSASTDNGLSSAKRQGLSCSMFFLILGNELISV RRRNK LY89DRAFT_269874 MRSELNLHEKHDTARSYALLPTTERHDSIDQAAELTSERPMHFS SQLFSSHEAKDTILNTLDLDYPFASSSKIPLYNLSQENDAPPTFQLNQEQPHLVNEKL QTESRRFVSPSLDTNSFYPSQESVLDLLAEASTVEILEMQGSHNNKGLEDKSSIHVKR TPSAMAPRPGQSKSSRNLRIRNSQLSQWPICVESESEFEDDTEIGIPHEKSDNFQGFD RPPNSTSASQPETQTSFSVAGEKYAETNAVRSTSANSPALHNTAEHTPASQSEKRRDF SAIPGSQTLLRFLPGGGGWVTEER LY89DRAFT_269934 MFCEMEPPARESVKRMNPSLWTLGTTVICVKISTGDAQPLNSLA SWVDGDSTFHLQPRDETYLTNSTEGDAAIDRLQECGTGGSVWKLGSEAICKVKSWYEG RQLEATTIDFVRKTCPEVPMAEVIYSWIDRPINRTFLIMKRVQARTLNTAWPHLSAAQ HMNIAKEVAHHCSSLARITSSRYESISGCGVYEYWLMGKLPASNPSWFYMTVGPFSSI DMKTYMTKISCEILPERPISRVSGLPPNPR LY89DRAFT_740498 MSARPVWSTDDLVSLLAWLDFSIHQGINFADTIEKHLAISKNIS QSDDYPFTKLQIQNKLLGCIRGQSVKLATLLKVGSSCILRLDEATRHEINTTVQRYTD NPSFTQQSAFAISSARGSAVAHFREACQTGSSPKSAIGAAELSSSAVSRRHDAPLCSN EDHNQLREQHCREIDLIRKEWQRESSDYLRREKQLRQRETELSAKIIHLEMARDSRMA LNKDPLEYQLYLKDKQIWDSDQRIRKMQEQMRFTSKNASPTIFTDLSMLVDRSMKMFE AELGSILHGHHIANGLQLPTQQSRELYNLVQSVHGCTDERRSEGQRLRTWILKFEPEA VIKTLTLAALREWVFHSGLLAYVDKDSQLLHAYRDAIMVQDGWRSLRNLEITAYSSLI ESHEFQQVFIPKRSAEFASRLTATLAILFPSSHYEAPNRSFESWGDTQEVCDHRHSRL IDIFQTALKLKAATVTVDRDYEFIVYPPRTSLPLNHISQKELTWLQASMRTYELRASG PSGQLMDALVRPENFVVETGTAPTRPVIHESIISSSEADVAGISPGRANHRATASRNT AVHSQEAQRKHPGANVRSATSPSVPPGGMVMDSIDHEISSSSTASDRELEATSFRELT TWKIPTCDICKATFSKLSNLQSHQENRLAICALKFVKIYLSFAAIIMPSILQLIFPAA AVSDPDPHNKCYLLDKYFNEPFAAKYTSTKSLSRHMSTTNLAFGASIVKSGSKIVSFM INIAQKTI LY89DRAFT_596948 MSEENESEREDDTPHLGSRIRYILIGIDYGTTFTSISYFTYFDG EAVTTIYPSDIATISNWPEDPMRGLNLHVPTEQWYSSIPINRSPTTGRIEPDPEVDGF SSSSEEENENEPSRLRRSPAPRPDQRLSQILDSSSADDDSSPDLLWGFQCPYQRYIAH TTRDYRRHVDRVKLMLLNTEHTKKGREELQPVFEDLIENQLVRNNGIQRPDLDCELVQ DMITDYLAKIFQHTRQELIARGEYDNKSCVKFALTVPVMFSKISSLVLQWALGEAIRV TGFGTLTNRNVDNLFLVTEPKAAATFLLGSSKDLTAGATFVVCDCGGGTVDASTFSVA RSQPLRLGEEVCPPAGDNCGASYLNENFRRLMLEKLRDEAEHFERNGNTIESLVDAQM PNFEDHLKRNRDIYKNPSARIYIPGLRGDKRQGREGQDAKGFDDNYVWLYQNDFHQIF FPLLDRVARVIQGQLEAAIALGKEVKHVFLTGGFGASLSLQSHLQKFLAKFAEEVGLS YEIDLVLPRHDQDYITAVSSGAVLLTRNPEDGIPRLAETTYGFLTKQLYEPDWVGHTN GKPVLCPLEACLMIEVIDNFLEQGDILDSKHKWKPLTRTHHFPVDDKTLLCEEVLYVS DTTSSSEYHLNHEQNKNAQPAGNFFVDFTKLRNQGKIRPKGAGRGQKGKGKARYEITY DLILLVDGRNLKIEVRYPSGQYGKIVKVAQICIAASFKPGTS LY89DRAFT_760913 MSKHDEAHPPNQPGPAVWAHLEGDNLISFAKMTLNDRPDQIADT DTNSEKSKSLVALGGPSLQPKSEAINLHSIEDQLERFLLPLTGAKEIATKIIKESQNA LFIHRQQFEKVWRRSAACDCKAFEGFAPDDQDEDDWALFEGDVLLHVNELNSILNGLA VTIKRDVGDILVKKAKAYLEARDDFEAEMKKM LY89DRAFT_740501 MASQSPELPSPTVSTSKQESNHQHPSVVHQTAHSTGEAMAEENV EGEEPTLTDQPLNDGNEKPDDGACSDYTTADQQRTEDITSDEIETTSDIVRQARRTAD SLDRDWETIIRVCIDLAEALAGTIDVDQMRKNKDVLINEMRGFTRRIEFLLQCVEILD ASREELLENVERGSKFLQSLSETSETSQAARFSMVRELIEKRKS LY89DRAFT_627501 MADADLALHDDVEKIAYKSEKRSDETSPPGYDKEAQIRRGSVTT HHDGFFSAFTLESFKRNPNARVVTEATDIEGRPLADQPPAEPALAMKLKPRHLQMIAI GGSIGTGLFVGSGSALATGGPASLIIAYGLIGVMLYCTVHALGELAVAFPIAGAFSVY SSRFIDPAWGFAMGWNYALNWLVTLPLELTAASITVSFWPGAKDVSPAAFVVIFWVVI VAINFFGVRGYGEAEFVFSIIKVIAVIGFILLGIIIAAGGVPGSPQGYLGAHYWYDPG AFNHGFKGLCSVFVTAAFSFGGTELVGLTAAETENPRKSLPKAVKQVFWRITLFYMVS LTIVGCLVPYNDADLLNGTGSQDANASPFVIAVKNAGISVVPSIMNVVILIAVLSVGN SSVYGSSRTMAALADRGQAPKILGYIDNTGRPLVSIIVASTIGLLCFIVAAGTATRTQ AFNWMLAISGLSSIFTWASICACHIRFRHAWKYNGHTLSELAFTSQPGYYGSWIGLIM NILILIAQFWTAVWPVGYASDTPSEIAQGFFEAYLAMPIVILFYVVFKVVKKTKFKKL RDIDVTSGRREMDLPAILAEERAIQATWPWWKKWWNIAF LY89DRAFT_269974 MKRFLFGCLPIIHILQQLVHFQLSRSRSYPLEAYLSFAKFIRYF TSSCILFPITAITLRSLGVYNPLLFPIILLLIHLLQPPIVPSRITQSISPPLLTRHKH LDCLNYTICPRLVLLHLTSEVLVLVQEHFEDIRLVGFDGFEEGVDPHSGGAVVDMVAM EI LY89DRAFT_269971 MQWLTVSLLPVVIVACWLYTVHVARVKFPKLRNKRICLLIAHPD DEAMFFAPTVLALTEPSLGNHVKMLCLSSGNADGLGETRKKELIKSGLALGLRQEDDV FVVESPEFQDSMTTTWDKTKIATLLSSAFAPNLSKPMKNKSIDAPTATIDVLITFDSH GISSHPNHISLYHGARHFIASLIHNRPGWASPVDLYTLTTVPLVRKYSGFLDSMVSLL VMMVGKKKMGEHPSPLMFLSGPQEYGVAWKAMTSAHVSQMKWFRYGWISLSRYMTVND LRLEEVKSK LY89DRAFT_270036 MARQRKEKDVKIKLKQPDRSGPDPSQQTLLDIAEKRGLLKIPVG EDEEEREGLDENGEPLIGRLGESVLWSISLTMLHFTLDVLVAHQYAVEIKWNAIVNRS AQAFPIILLLIYSLHSHPTPSPLLPTLPTRIQPLVHQLFFFAGSVAAGCYLIYITNVH SYYAVMKQSPPLGCLWIWSVIELNVFWATGSLICCGIFLKFGGYSFL LY89DRAFT_270009 MPSTPEPPAPAAAASSRCRLCGKSFQNKASTGRHERYCRNRRAC PPPPRRKSCLHCIRAKSRCDSCVPTCEPCQRRGKSCIYTSESLSPTAREGQSRLSATR SKPQNQAPRSLVAPRELSQGASLRSGLFITFEACPSSVAPRTSTPLSSEMREFTSTKH GEASDGASGQLGMPFTTGSSELRPSFSSHLLRPKRRKTGQMELISKLISQMLRSFPER RMNEVSCPPFIHRSAFQRSSSENPIIICQSITRQFSAREIHEDASLWDAIASEQERIY DLRGSLDRSLHLASAQAITMYLLMLATEAESVLTHHPHASVTLLFTLGRFSRYSIKYI PVL LY89DRAFT_675597 MPYFQPNLTLPSSLSFHNKTILITGASAGLGLAATKALLHHGAK EVIAAVRNISKAKEATSKFLSDPELKKTNPQAKITILHLDLEDYASVLSLAQEVKKRY DGKLDMLLLNAGTGSLKWERAAKSGHEKTIQVNLLSPALLAVELLPALDKTATITGVP SRITWVGSFVQFDHTLEKKPIEDVGNVLGHFDDESKFVSMGRYSDSKLLGTIFVEQLA GYVDPGKVVVNDVSPGMVRTGFGEYPLWLRGMFTVFFGLKARSVEEGAKTYLYALAVA GKESHGKYLSDNLITERAQIISTSVGKELRKGLWNDMWKDFLRQDGKLEPLKPRVW LY89DRAFT_540757 LYGIYGDGIGFEANFGYVQNAEYGLETVGVGFVAGGQNGPTLKS QTVAGIASASPIYMGIFGLGTQPINYSTIGNSSAPSYTAGAKYRELLSTTLHFGADKR VGLKDGQYGQLIFGGYDTSRFTPNTAAFTLAGDINRDIVVAIQSITFSGTTQASLLPA PIYAFIESTDPNIWLPIAACEAFEKAFGLSRDNTTGLYLINSTHYTALQNSDTQVTFS LADSLSGGQTVNIVFPFSAFALSATYPFTPNATYYFPLKVAANDTQYTLGRTFLQEAY LTVDYEQGNFSVSQCLWSDAATTELTAIISSSYHTNSSSSPSSSDITSSNSVSTGVIV GIVVGIVVIIAVAILAFFLFKRKRRPLST LY89DRAFT_740507 MRLLLARNELLASAILLMSTIDSCSADVLRRRSATSVHSSTNTT FIAPTKSSSTLATAVSSFQTSSMTAAAVLKSSTLPANATSPITQAPLWGNQSIPRNTC SSLSGDVTVWNPCSVFAGTVHLSYFPENRGNCSNCATEYYDPTLSVTLTSPSVYLIVN TLYGYNTCGPLGPNYVSQAFPMALSDVSTLPPYADVSATTRAPARPLTLSDLENCPSW SVLKTEGVLTESHPVSNVFNRCNPRLWVPMEFRRVGYPYWMHCGNRNYGVGVFDPPGA VPTVDSLFTSAAPVQYTSTYNSATVAASPVPDQASSTYTAAQSTDTPLQILASTMDSS SSSVLDSTTQNSDKISVQSSTPIATPIPTSKSPTAIAIVGANTVSAIAGSSGAILPGD ETASVGQVTTITDSAGSKAVVSVGASGVYIAGTDSKNTFFANPTTAPSPTSTPITTIQ GQIISAGAGDNSVVIKGQTITSEGEVVTLAGTHDIASLGSEGLVVQYSGGAISTYSIP SSTPALQGGAAVAGYVVTATVGASIVVIGSQIASLEGDPVTLANNDVVSLGSSGVVIQ SPGGAVTTVELPSSIETADGAFTTSKGRVASAIASSATSSKTDIGGGVKAGVTSTSNS TPPSTAASANVTGPIFTGSGTTTLLPGLFWVIAIALSGALGI LY89DRAFT_656750 MPKQVIVQSSIEAVEIIDTPIPTPQHDQIVIKVIVSGTNPKDWK YPVWTGNPQNSGDDIAGIIHSVGKDVYEFKPGDRVGALHEFFTPDGSFAEYAVTRDYA TFHLPPHVSFEEAATVPLAALTAAIALYADMKLPPPYYPVKSLAEGGERIPLLIYGVT SAVGAFAAKLARLSGLSPIIGISGRAGDYAKTLVDYVVDYRNGEDELVAAVEEVLAKE GLGNKIHYVLDAISENGSLEATLRFLAPEGTVSTVLPTKLFARDKENFKWPSSTKSFN SAIPLVFSTHKDFGYLWSRYIARMLEDGRLKGHPYEVIPGGLNGVLTGLQNLKNGKAS AVKYVYRIEDTKNVPNQGVTGKLGTEEQESKDQPAEKAHPLRNFPFSS LY89DRAFT_597337 MPAERVRPTPVSCRSCRSKKLKCNRVQPCSNCTARGIACHFLVA PQPQSDITSTPRSNEELLRRIEQLESRVLRQTDSTEPAAGDNLASRQHPLNPISESVI VANDHQKRDRDSRVIENIGTRDDSLLPRLSNRVAFRITDTHHISEIESDLQDQFSASN YYGGLNSNIVTFPTFRVASLLIQNYEANVDFLCHILHIPTVKSLAKTFYLQLSQNESV LPGQAALLLSTFAISAYFYQPFERSEVATTKEDGIRLSKSLIKGALDVLDHSRRNTSG TLEDVQAYILMSFVTYHLDGFSARGRILFAAALSIARELRLHQLDADETTPAESETSI RVLIDREVKRRVFWQIASTDWLLSFISGPQEGMYFIHPNHINVRLPKNCADEDVDLSE DTIAINGPQPTSMSFFLERLRLANLCREMTDTVPLETSKLIQMPYEQIIALDQKLQDF LSNLPFFFKFDSESRRRSKPFEAVYPKITVSRYCITSEAHSRRCKLHQRFLLRQSVDP RYAYSRQACLESARAAVSGFLYLREHECTYASSEFMGIMLHFTHLALVVMAMDLCFNK GQPDEAEIKSELKIALQMFEEARNSSALLSRFWCSLNEVLRKHNVDLNHPTNSAGSND LRLMPDGGYNFLTVDHMQYAQTEMNIDDPNFTFDTSFDDFWQFAMQGESNPDSITWDN LYSSLDSRPL LY89DRAFT_270175 MAPQVSPGHRKAWTRSTYRGIENLLFPSFGPDLQTLDEDAIRRD VRFAIANGCFSTLFASLGHPVDVAIRALEVACEEASDQILVGTFTEYATVEDNLALVK GAAAVGCSHMLIMYPPAMTPKSEDEVYTYLHNLIAATDIGIVLYAAPHKGIAKFSSSP SGVALDVLDKLAGLPTVSGQKLTQTIDPILARECCVRFGDRLTINCVAPELMPLLGRE FDLQWSGEWSIEGVQSPDKPFLGRYVGLIAKKDFEAAEALYWKFYPAYKLFSDFQIPK LKIGSHPWQHLKYYQWLTGGNGGLASVKGQTPEQIGILTAADRQAIRQTFKSIGVKIT NALDDEFIVGVENARRGVKASEFLDRPYYE LY89DRAFT_760926 MYASVFTIFTIATTASFAIAAPQVKERSNTTSASCGTVSQRKSW VDLTNDEKTAYLDAEVCLMSLPATAGFPGAQTRWDDLQFAHINSTNVVHDVGGFLPWH RLYMYTHITMLQNECNYTGVQPYWEELLDSSNLTASSIFDPVYGFGGDGNGTDECITD GPFANVTLHLGPIYEVTDHCISRSLNDNAIKWANQTYLDIVFAAQNYSAAWPLFSSWP HTAGHAAIAGVMLDVTCSPGDPIFFLHHTNLDRLWWEWQAMDLTTRLTDMDGQNVPTA EYIAEMGIYNVTDSWLDYDGDNGGNVTTLNHTLSVLGLVPNATIADVMDIQGGLLCYE YV LY89DRAFT_656754 MHPQINLLALRDHAPNFTIPAQQCTLNTCSIIQGQLQYDPSLAG NAFFLALFASLLSTHILLGTYYRTWTYSVGLVCGLILELCGYIGRVQMHFNPFIQSPF FMYVISLTIAPVFLCASIYVCFNRLIIIFGTQLARLKGRALVSLFIASDFLSLLLQTG GGAIAVIADTESFEYVGIHLMMAGLALQVASLVIVLALAADFALRYWKKCYEWDEKFE TIREKKYFRGFVFGLLLATVTILIRSIFRVVELTGGFHGRLWNDEVAFMCLDGTMVAT AYIFLTVFHPGLAFHGRWELVKRS LY89DRAFT_787754 MVTVRRSAPKSRHGCKTCKVRRVKCDENQPRCNRCVTTGRSCDG YTSESPTSSPASHSIAVNSMATSTQPTSRAYDLQKRRAFDFFRTETAPKISGHFGATT WQLILQTCSNEPVVSQAVVALGSLHERLSIASNEKGSQAIATPFPMRQYSQAISDLRE YLSTSWNADINIILICALVHISIETLQVKYSNAVLHLEHSLQLIQVVSGISTPSPSDA VAGPSFKQNIEPELIDTFQGLDIHASLFQGMRPPILSGICTQDSVPGRLSSLREAHLV LNRITSRLYTFIRSVVEEYKHRKLQVIPIEEIAEAASIVSEFEAWDDRFQRYLHRTTS KFSRADQSVIDILLVNHRLAFIEASTCVYSDATIFDKFDAPFDEIVTLASNVIRARKP TSVLDFQLDIGIIQPLYRTALCCREPWTRQKAISLLRSITFQEGVWNAASQAAIAQVA IDRENYFKDENNPSRRVSEFGRVHTVGIDVIDFVKKTAEVILSQKLNGLDGPWYEHVE FCTWK LY89DRAFT_787755 MQDERMTFYILDFSASLDLKPKPQYPPAILIEIFIMPTEKLFAA VPPFPEDIPIARLSILSLERLLAHDVKESAQLFESCKSDGFFLLDLRCNSIGEILIET VEILFEVNKALFEEGPEELKKFTHPPPGVLGYNAFGATKVESGLPDRFEWYVMSQDDI MGQKFITTSNSVVSHLLSLLDQHLGLPDGTLESRQRIDKESGTIVRMLKYPPQPEGDR RTSLFGHTDLGTITLLFNVVGGLQILPAGSEGLEENWRYVKPMPGCVIVNLGDMTREW TGGILRSNPHRVTFPPGEQATVTRYSLAYLMRAEAQASMKRLALEGSLIKPLGDGEKD IEVGVDKWIEEKTALARLGKDPASNRNGLAVQAY LY89DRAFT_740515 MLETDAPKVDGEAFFITNAKPLPAWTLFRKVWAAVGDRSTEGEV WCVPAWFSVGLGTVLEWLFWLFSFGTRTPPDFRSHTLRWINEERTFSIDFARERLGYQ HKDDIDQSSQCIKDGVAWALMQNRDFCKNK LY89DRAFT_689950 MSQEVALVTGGCGLVGFHIVKALLQDPNFSSIHVVSRNPTKNLL TGVQYHAGSISCGEDVRALFSNIQPTVVFHVASPASEGSSAGFNYFYDANVVGIKLLL EHAMKSQATKFFICALRITPIYGERDNQMIPGL LY89DRAFT_270207 MKFSSLGIPIVTQTGGGEGGLGSGIPPMPQTSDGEWISTELLYS TIFLTSQEALQEASEQAFLQPSQDSQQQAAITQGRTTAEAGNHGSLSTRAVFSTNMKQ SLTIKGPPQNVSPVYNLVRTTTQGLQAVQTTLRGESGFQGSMYTGQHVYITVVSTYYS TLTCTLQHCPSTIGSVQQYIAMHTTPSGEIGGGVVGEDARGDTVTIVETFTITTTCAL PHVPTTPGIYDALYTNTARVSAGAGEGENGEVGAISPAASPTQDQNSAGEETSHTVPS PTHSIVVGSSGLKFEWTGWGLGLGYIWSLLFWHAFM LY89DRAFT_556265 LVSAQLSLNRASSFAALSYASITNIGPSVLTGNVGTLGTSITGF FPPGVYTGTSYISTQADDAFSDAETVFLTIANYVGVDLSTQDLGGMTLGPGCYTFQSS AQLTGNLLLESGDAGNASWYFQIGSSLTTSGGSIVVLGENALACNVFWMVGSSATLGA GTGFQGAILAYDSIIMGSGAMSSGGLFALDGNVTLVDNDVQA LY89DRAFT_627524 MPTTKKPQKTRATRRSLPKSRTGCMTCRKRRVKCGEERPRCLKC VKFGISTEDCEYPQTFTPYNQGQLLPESKPLLPKSAATAGLGKDYSGNLGVEQFQQFL VPRPPRGLFENDKEYRFYQFFSKDVAHELSGFNPSTLWQQLILQAAEADQFIRHSVIA VGALYKIIHKAPHMRPCDAHRLFKAEHDFAVQEYQKSLVSMRGAISTSSMDVRTALIA CLLTVCFENVYGRKDLALSNCLSGTKLRRKFGISPLGNRSLHRVPAKDEMSRSCAVED ELVAVFSRLDLSAMLFVDYYPQDGHRMFKDEADSLIEEMALRFETMEEAIAYSNIITN RCWHFLNIVQGLDRPTVRRPWDHMEDFQGRWAWVDLRYGSNPWTGTNRRIPSNWLKEA AECFAQLEKWLTRFDSLWQSLQSSSQGQHKDFTRATLLKLQAISTYISVKGSLYRHET EWDAHIPEFEEIVSLTECYMSSKPKRFYFTFDGETLIYLYYVLWKCRDGAIRRRVLKV LDEHPRRENSWDAEHSANVGRWLLSLEEGERGKLACHAIEEEDRMRLLGMDYDTLEGG IRTWGYKLRNGERECFCHQWPE LY89DRAFT_701077 MAEPVTVELDLQDVDDLNENDSAFGDDVQSATTSIGSSILKYRQ ENGRTYHAYKDGKYVLPNDEGENDRLDLQHHMYCLMLDGKLTTAPIPKDQQLHRVLDL GTGTGIWAIDFADEHPESQVLGIDLSPIQPRFIPPNCMFEVDDLEEDWTYKHKFDLIF MRMMTGSVSDWPRCFKQCYDNLNPGGWMEIHDMKFPIEDNDDSFPTDCAVKQWTDFVI EAAQNLGRPLDSAKNYKQQLIDAGFENVVQVMYKWPQNHWPKDKKLKELGRWMYENFS SGLSGLSMALYTRGLGWTAEQTEAFLVEVRKSMKDPKVHRYYPM LY89DRAFT_270563 MDLLASLLDQSVGCWIVTILVALAGTFVVQTCRSWYRLRHFKGP WLASISRLWLVRSISGGRFHLDFMEVNQKYGSLARIGPNDLVTSDPAVMRRMLAVRSH YRRSDWYIGMRFDPTRDNIESIMDDDRHTKLRSMMAAGYSGKENEDLEGTIDRNIQNL IKLIRAKYLSTDSESKPLDFGRKAQYFTLDVISDVAYREPFGFLAADRDLYDYIKEAE KVLPAALMVTIFPVLNWVLQLSILKAALPSDKDPLGMGKIIGITKKVVAERFGKNKKV QQDMLGSFIAHGLNQEDAESETLLQIMAGSDTTASGVRAILLYILMNPRVLAKLRVEI ASTPISSPIQEAEAKKMPYLQAIIKEGLRIFPPLVGLMSKAVPPEGDVINGQFVPGGT KIGYGAYGIFQDKKTWGLDADVFRPERWIEETADKLKDMESTLELIFSYGKYQCLGKN IAMMELNKIFVEVSYSSMSAKELH LY89DRAFT_689956 MFHPFKRLPLELRIEIWLYAMPEPRLVKLLEHVAEEYNEDEYNH DLFSKLYCSPAEVHDFDLQYLDCHDEEYDDDEWDPVRICYARSSLPSMYKIDPSLQHF KQAWKFPPDRPEGKLQTQLERFNFTSSYPRPEMPLHLLKDCSDMVEVFDATRRGYLWS SSPIPALLHTCKESRNAMQRAGYELTFRHRTSGPRIWFNFNHDTLYLRRAPIRDFRGE GLSRTLDQGPWNLGQLSPNDLARVERVALENMLTGNVTSPREDFESHAIRLFGNLKEV LLVRNHRPAKLELEYGSNEYDVDYIPDYDEGEDEWGHIETETDLWRPMDYTTADIFST RWIERDRYIFSDLRFSHLRNGGNEESYWTTTAGKLEKFLKSQQEWAVKCDIPRWNIPR VRIVQVVTRSQAEEIICHRQEYAKRGQHREQMTPPVLAEDEASRAEVQSSQYL LY89DRAFT_787762 MDSIGAAPISPPSTTHNSPDDSKKKESAPCSPVEGKSVGEPMTP SPKPRATTIESVDESPTTSIKVKKRSPHADPDDTDPHDRRIFTTKSYTGVKELFQTSP SNKSDASPKAKSPTAESGPKSDAAPKIKSPTTVKYPKSVTAETKREAKILSPPTIPNA HKDTDITFKIHDSCFDTSYDKTNFKSTLPVPLDSTFTIPTSETRSSLMLSTLDLLARY DNHFHRTEYSNSLLRQAREDAERKIEELDWKVRCFAEEEMIHRKDMGILGMKFRDAQG RLVKKDKEIKELVEVAKKRRSEGVDKLEAELERAKSEILVLQKENLDLVDLKERLDDK FEDISMSGAFFQGLSKDQISKLNCRIEELEEKIKDEKELLSRVEDDNSNLQDKLEGLE ADLSALQKEHRECSRILEEVHDYREDNARYIAQLEKFNNSEDDATLKIQIDSQEDEIN KYLQQINDADTKVEGLQADIESRDEEIGKCNEQIDEARDELARCLDELTKSQKELNKC QEEVEESREEITRLTDKVTKGYEQVEKVRDLEADLTSQVEYHHQELAKINKQLTQARE KEDGLNSKIASQQKELKLMSDLVGPSKSEALLKDRIRVLEAANAKLSSDNEELEIENE AFTRKAQELSMISPRSVLREHDHNNTHRIPISF LY89DRAFT_536422 VYDALRTGDPHAVMRSLMNIVQLESHGYPSAILVSAPPPTFSEI LRCIDPKHFLDPYAAVFGEISSVSTHLLGGQNILGNEDYYEFCSAFLTQAGHVMNARR QRWPLSISDYKYLLKCAKAVGHAKAAESIWETMISPPKEHEGAAVVPDAEAFNHFLAV KCWQDKINPKHRYRLRVIEENFTPRSWLIPPHTLSSHRIGVVSGIKSQASRLFRQMVD LGVTGNEETFCLMIIALGRERDLNGIASILSRVWNIDVDAVMTKEDSEISPPTPYGPR SPFFPSTHLLYSIAHAYGSNNDISTALRLLDHVSRHYSIPIPLNVWNELLQWTFVVSA KKRLVRKKAGLEKPTGREIGQLPPEAVSNLWQTMTLEPYNVKPIIQMYNRLMSNLMYR QRFGEMQRRMEEARKVLKDEVRILGRKEAAYISVLGRGKESLIEARARELIYARFRVW RNRQYVKRWVRILLAGGNRSLWHNDHWSAQNVPNILHDWALFLPKRVKYRTPTGIVST WTGTEKLKKWRAGMLARRARREAKLIAR LY89DRAFT_740524 MADVDDGTSAPAEPMDLVRLCLDEIVFVKLRGDRELKGRLHAYD GHCNLVLGDVEETIYVVEEDEDEEEVIRTIHKKSEMLFVRGDSVVLISPQGGS LY89DRAFT_540877 MATKGKQLPHERRKGESALSEFADYVEKQQALRYPSAAGTANPA LEDHAELDILNSLDLADTSSSVRLKELLLSNDDDMLVKLVTLLETRIEEGHGETVFEI GFENNGDSMALTKDEWDMSMKRLREAAKNVRADCQVLLTKHVGGEEEAESAATEKDKE CSGKILIRQHPATVEEVIETRIAVVGNVDAGKSTMLGVLVKGGLDDGRGKARVNLFRH KHEIESGRTSSVGMEIMGFDIMGKVVAADVPGRKLSWEEIGKRSAKVITFTDLAGHER YLRTTVFGLLSSSPNYCLLMVAANNGLIGMSKEHLGIALALNVPVMVVITKIDICPPQ ILEQTIQQITRILKSPGARKIPIFIKNKEECINTATQFVSQRICPIFQVSNVTGESLD LVRSFLNILPHHGHYDAQAPFEFHVNDTFSVPFVGTVVSGIIKSGVIHAGDTVLIGPD SLGQFTTTNIRSIERKRIQVPAASAGQSASFALKRVRRKDVRKGMVVLPKLEQNAPKV YREFVAEVLILSHATTIKTKYQAMLHVGPVSQTCAIIDIDRSYIRTGDRATVAFRFVQ RPEYLAPGDRLLFREGRTKGLGIVKSVGYDASKPL LY89DRAFT_723916 METVNNIASAASRAIWGESAQTGETATAAGTEPVSGKTGNVEAG EPYDKGNDGEPVNNTSSSTTTDSKLPTYLNYQKENANTDTTTSKDTTTNSSTDTPSTA PTTTPSNTTTSKMTDNTPSTSIEKPTTSSTDDSSTTAPPSNRSDPAPAAQSAANQEAI QKSTPADTTPADKNDDKEDDEATQQAGLKADGITETSKEGDHAPKFDTFKTSDGPHIG DGKNDGRVGPPIEKGDLKEFENHDGSETKKEEGEGKVEGKVAEEKHAHHGEESVKKDT ESAERADSPGKEKVSLKDKIKAKLHKH LY89DRAFT_760959 MPPSTPRRPRAPTPAAQPRDQWQLPPFFLGMVVAAFLFIAGMSV KPAVGECLVTRSTVRVNPLDVQEHMRYHKACGLPEMKAGEFRCEGGVFMGENPVVESL NIKCPLTLTGVRNGKEYTLDLFDWTPTSTRHLVKREPDLQAPIEETKGKGIDVTYPDF IVRWRQGEEDDTTRFTLNRGHMFPHLSGKYMEAYKDTIRDLPHYFPLSDLQMSLVSWS EINELETSRYHLRLALQSTKPGKVTKRFHARLQYQCVKEQPRLTLLPPNGTAFFGMPG EFEPVFLEVVPHFGANGTMIGHSRPGCRQGAPCVWSWGHAARKDFESGESEFQVLVNL HSNMGHDEL LY89DRAFT_656773 MPTTTPSHDFPILIIGAGTWGCSTALHLARRGYKNITVLDPYPV PSPISAGNDINKIVEQGSLSTSPDSISSTLLHHATHGWTTDPLFTPYYHDTGYIICAH TLDGLAQLTKRESLDNDPDFEWLSSPAEFRATMPEGVLTGEFPGWKGGFKRRGAGWVF ARGALVAAYKESERLGVRFVTGENGDVVKLLIEDGDCVGAVTKDGAEWRAETVILAAG ASAPQLLDMKDQLRPTAWTLAHIKMSAEECELYKNLPVLFNIESGFFMEPDEEKQELK ICDEHPGYCNWVISEDGKRESVPFAKHQIPKEAEDRVRGFLKDTMPQLAGRPFSFARI CWCADTPDREFLIDRHPELRSLVLAVGGSGHGFMHITSVGAFIADTVEGKLDPKLKKA FRWRPETAVERNWEDVQGRRGGPNTMTNFGEVNMWTEIAEQGSTTSKL LY89DRAFT_627539 MADVIESVPGTEYLVDVNHKAEVEHAGTTGSSDIVLIPQPTECG GDPLRWSRWKKYYQLFLVAFYACAFSYGENTLGAAWTTVSEDTGVSLTNMNGGSALNY LLLGFVNIFWIPAAMKIGRRPVFLITTIFCLCAGVWLGEFHGTGQWFAAMILNGLGTS AYQAVIQLSVFDMFFAHERGRMLSVYLFGQQLGSILGLITGGSIADTPGWRWSQYIVA IIDGVVLLLLFISFEETLFPRFLFGQSDPSERHASCSGVDSDGKPIQTTVTANAEVSY DFPKKNFVERLKLWTYYPEDRTTYWQYFRRPFFLLSFPNVIIAGFIFAFGCTAGIVSF NTISEILTEPPYNWSTTATGLVFLAALVGNIVGWATGTLSDQIVIRLARRNNGIKEPE MRLWTLGFSMVYALLGYFLYGWGAQTGAHWMTIAFGVGCMIAHQVSACSIATAYAMES FPGVSGEMVVILAMCSSLINFAFSYSVQPFINAAGYGWTFTFFGLAVFASMVAAVPMI VYGKRWRVRCAPKYYRFINESRWE LY89DRAFT_270862 MASNTIASKLLEQNKDAAKTYQPMPLFSELSSLGLEVPHTLIIT CADPRISPEKFLDLGPVGPVIIRNVCGHVAPALNDIVSLDSLVNFTDVIIVHHTDCGS LMMKNENVRNYIKKELPEAKDVDSMKFGGTIEIHQSVKDDLAILRASPLIKKDLREHS YGFILDIKTGEFITVDA LY89DRAFT_656779 MATKQMKISFTFANSSIEAPPQDPATRARIRKQAIKQAFAARKQ DGTQKRYNVRQSPVFVRDKLVAPSVSGINLSRSDTEFKVNVEDHNALEVDDFFAAEEP IEPASDDNEIPKVDHLSLVLRESQTLYANVSGTGYEQITHKYGFNILDLSDLATFHVG RSTRTSLSSNPCQLVNLRQYQPWSFLSFLPSTYEHFPCIRYAAECVAARVRQILSPGE VHHSTVIALYVKALKHLQEVLNTPKRCLKPEVLFATEIMAIYELLATSDEIAWVRHAA GAARLIQFRGPANFTTEFEKALFMAHAGAISNEALLKNERCFLEEDQWRDVFQSIIVR DSAISDRSEAAITMLMIKSSAPGIAKDVTSAVVQQLSTDSPFVIAVADRARQLHATLL SWHDNYKELLGDDPSDICTATIEGDSRCKIMGVYLSCMVLANRFMAAVCPLEQQEREE EAQEVVNRIFELQKHVSRVRPQACLYLVQAVGLARVTAVTTQDWQCISESKDASFGGP RRVISRWRFERWCELFGRKTS LY89DRAFT_689963 MRSFILGALLPALTWAAPAAKRDDAEPTLMTFTFAGELVTATYY GTATSTGAEACPTETFTYDGAVITVPYTGPGTPQSCLTAVSSAAAPCPTETFTYEGVV ITVPYTGPGTPQSCLTSSESTPTSASQVESSEPSTTVSAPTETSAPASVATSSPTTIA TGPGDGGPSVATSDLVSPTTSTSVAASTSSSSSTVAKGFNYGSSGMTQSTYVTQFNLA RDLIGTSGFTSARLYTSIQDGTTAGIISAIPAAIATKTYLLLGLFYPNVDNEIAALTA AIDEYGTDLADLVLGISVGSEDLYRDSVLGINANEGTGATPDELVSFIQATRNAIAGT ALSSTLVGHVDTWNSWTNASNAAVITVSDFIGMDAYPYYQTTDDNVISNGQSLFETAY DATVAVAGGKPVWITETGWPVFGSTEANAVASTTNAEIYWQQVGCGFAFDKIPTFWYD LVDEGAVPSFGVTDGTTTPLYDLTCSS LY89DRAFT_596838 MSSPTTHVNFDLEAGRTSGTTAGQSPNHRPLSIRSEPDEKIGVS PILKRRQTRSNTSKTFSTVDIAATRPSWAPGQEPGLDPSKPNGGRPQTPTLHEECQIT VVDFSEKDMQMHEFDNAGIIDFVKKPQESWISCRWINVNGLSWDVIQALGKYKKLHKL AIEDMVNTNNRTKADWYTDHTYMVFTLQKLVHLHRDEDSDSEGDEMDSIGGSRKAPRS RVSKYFRKLFSGSKAKFDEKQRTADIVAGVHDPASDFISGHTDGTHDTPVQKLRTLQR YHGGPNKARMEYMERHSPLTRRRLAISAEQVSIFLTSDNTVISFFESSADDIEIPMVQ RLSTPETILRRSCDASMLVQAIIDATIDLAIPAATAYQDVIGDLELDVLTNPSIKQCT SLYIVTSEITSMRNFVSPIHNLINALQDHKSPALIAGVGGRDNKNSSTAVKISPMAQT YLGDVEDHIVLITDSLDQMRRSCDNMIDLIFNTISAFQNESMKQLTIVTIIFLPLTFL TGYFGMNLTEFPAIHHGETYFWAIAAPVFVVTAVFLMRDRLVWWATRVVQRRGISRSR KGRMGGQAAAKRNR LY89DRAFT_723922 MSSVSDPSLDVLLHSVSSQTSIGPAHIEDKHSRPQLLKDLRKLI SALETPEYHVQRVVFFPSTYACARIAIDLKLFNIIADASGGISSSELATKTGAEEQLI VRVLRGLTYAGLVTEAGDRVYAANAITQHLKTPSVQAGMIHFYDAGLRSLSTMPEYFR KNGFKLPSDSVSGPLQYAFHTPLESYAYWNTMPEFADNFNTFMAGKLGATKTGQSWEK MYPVKSNIIDAFDQKIGDTMFVDIAGGRGHEVAQLKIDYPNAPGRFILQDLPAVIDDV KDLHSGIERMKFNFFDPQPIRGSRAYFMANIMHNWTDADCRKILLNTAKVMVKGYSKL LLSDHILPSTGCGLESLGRDIGMLSLHGGVERSESQWTALLESAGLKVVKFWYMDKGE GLVEAELQD LY89DRAFT_723923 MAGTSNEKCDLDSTTSPEAPVLASSDRDDRGAVAPRQNKDTEKN ASAGGTYFVKETTSDADDGLKLAKDGVTILIPQPSDDNEDPLNWTWLRKHKVLISLLL PSLLTDWGMTWGTTLFQAQAVTWGMSVPDVARSVSGGIFLQGPGGVLAVPFVQRYGRL PVLFWSQVLGFVMVLIATFMPNYASFTAFRTLQGFVATSPQVIGLSVVHDISSSTSLT VLERARKVNIWAFTFLLGPFLGPFVSSFLLSRISWQFDMGVLAMFYGVSAILVVLLGE ETLYDRDKSWKPTAGASKISILVGIAGAKAKGQTSLMLVSKQLLELLIKPQLLLPTLY IMLLFTWAIGIVTTVTQFIRPPPYLLDNTQASLFYLAPIVGGLTLQKQLPVIGLAAAW SLMAFAQVASTTAISAYALDVFPHHAALASSWINFWRTTGGFCVTYFQTQWVAKSGAA TTFGIQAAIIAFGFIFVIITQVMGARWRLKFPAPSIAEN LY89DRAFT_787774 MKFNCSIILSALAYTASASPIISLRQAETAQDSINKWNGDITIV NEFLNSVGSSSTPSADAMPVLATALDEPVQLMFLTSMIASDATAAMYASNTLIQMFGQ VPEALMNIVAANNDPTIVAANVATINNVRCNVVLPNIGVLWAQGGFPNAGSITVPLGP AVCPNPGPNGGPYPMVAA LY89DRAFT_740537 MFVRHRGGAPNYQPKPLIAKHHFDPVKAWKRRHGISTKELPIAM KNLELEDQSTVQHPKVHVYFAGTGGTASKLAERLKKFLKANSGAVIGEYGCLNSFDAT KIHMNDTFLSIKKLDTGEFPEGVKYSIFGLGDDAYRSTFNGAAEIIDEIYHEKLVAPL LNHKLIESDVSVENPPLKVFRQWKESIISVIDGKGEVVNNGADSLLSVNPYLRHRDLL KTFSEGAITFEPMDDIVGGILKLTIEITENDCQDMGHIRILPRNSTENVQKVMEILNL PTLDAVIDLRRYEGQIPNDDKWMGIVSTQAFLSDYVDLCEPFTTLKWAGGFPEVENIS VLQVLSAFSEQLHGPSVSAELRHRILLSMPTLRPRIYSLASSNLEGTSINRIGGNNQA EILVRVLPEGRFSSTCISSLRPGDKISYKLAPNTLVLPLLPSPYLDPVGFKPTHVILI GTGTGLAPILSFLSRRLTQLIASGSNFDENSSITLFAGFRSPTIDKPDVPDDRKLFEP TFRRFSSYGLFSELYYTPSNEAKIRVQDTFETDDVKHMLLLRIIKQKAWIFVCGGAEM VKGVKDKLGEVLGEKALEQVSEEGRLLCEVF LY89DRAFT_689965 MEKANLRQELSPVTISSTGNHEAHVSYGVRSLPTPPESSHGGDS RTSYFVNWESNNCPKNKEYSLIHSHCPVLGPTGCTSDFCASGMMFHPLEPHVGENHPL AAIKLEAEAFLHEMFDEGLFTTREDFASRLVEILKEIDSNSLEASVWQASQTVVGDLG AVKVNGWTSSGYTQSREELRFGIRCAWRNSRKCIMRAHYPELKLVDLRSIKTSRGMVD AIVEHAPVAYNGGRILPTVFMFPPRSIKSTGPMFWSPQLLTFAAYELENHSILGDPSN LRLTRDIIALGWNPPEPRSRWDILPIVAMAENEEPAMAILPYELTELVRISHPQYHSL DSLDLKWVKFPALARLGFDIGGVQYTASPFTGWFRTPRLEFATSPIAFDTMSFPQS LY89DRAFT_689967 MVIKVHGVAQSTCTQRVLTALIEKNVPYELVKIDFMTGEHKSEA FLKMQPFGKVPALEEDGVFIFESRAIAKYIARKFAGQGTKLMPADDDLIGYALFEQGC SLENAYFDKPASSIAYEKMFKKFRGLGGPDEALVKKYSDDLDGILAVYDGILAKQAYL AGDKVSLADLFHLPYGNVVKQTGGAELFAKHPNVDRWFTALENRESWVKIGQLQN LY89DRAFT_270937 MSTVGCEIDSKKNNRRSFSPSCILLLNGFPGVGKLTIAKALQIR LRHNNAPHRLIDNHLLIDPVVTIEPERNTAHYFLRKSFRRTAFERLKALKEEELVLIF TACLVTSRVPTAYDDIEQFGEYVDFAEAKGVPLVMFNIVCDLSANCGRLYSEERRKGA VGGKTKLVNIEVLEKIRQETSLLAREQVMACKKGVNIVYFEVDTSDLAAGDAAEKVWD LLDKI LY89DRAFT_689968 MTTTVSTKFLIISDTHSFEFGDAEKVNGPFRLPIPKVDVVLHCG DLTSVGGPSAYKGVLKMLGAIDAELKLVIAGNHDLDLDRKYFDSHLDEDDDPEQHNKS VEIMTGALAKEAGVTYLVEGLSTFTLKNGVKFTIYASPYQPEFYDWAFPYERNEDRFN PPQNIVPGVKCITENPIPDFPGIDILMTHGPPENILDETNKGTHVGCEALMTAVSRAR PLLYCFGHIHEGYGAATIAWNEDKTKMGAEAIRSQGHLSNAYSESLNWSPKFGKETLM VNAAIKDVRYKPTNAPWIVQLDLPRGD LY89DRAFT_597243 MYFSTILLAVTAAVSPTLAQTQQPIIVPQETISQPLLGFGTWNL KVSPENTSAVVSLAIQTGYRQIDCAAIYGNEKAVGKGIADGLEKAKLNRNEIWVTSKL WNDHHGDYETVEEALNQTLKDLGLEYVDLYLMHWPVTTSSKEPKLDYVKTWKSMANLP KAKVLNVGVSNFSPEQLRHLVTETGIKPAVHQMEMHPYLQQTSWLATHQALGISVTAY SPLGNSNPTYDPSSTCSKTSLLSFLSSLFTGDKQTPPPLLKNPTIQAIADRRKCTTAQ VALSWGMGRGTSVIPKSKHEKWIVENYESLKCELGVVDLVELKKVGVDWTTRYNNPSK GWGVKLFEGLEDA LY89DRAFT_675629 MSLNSFLVFFRLFAELNVVETRNIPPKPHHVAKTRKGVRGGASF GTIYYQSEYLQLTNWAGVNEEKACDMCRTKKIKCQPTENSCQQCIKYSTLCHFTPISL KRAPRRAPGQKRVEELEGRLKKMEEKLKQKSSFLSAKTVGSEVPRQTALISARPMDKA SSSWSAAHLPPSFQDSVYDLINGVQRAGVGATSPSFLLMNCKPEGWILPDNCCSLTRV DDVFSRRVIRPLPPKPEALALIQRSFQGFSSAFPIFNQTSFLARFESTESPYEDPGWW ACLNVVLALAHRSRSMISLNSRQEDILAWGYFQNALAVATELTMLNNTLTAVQALLGM AVIVQGSPSPTSYASLSAAAMKLAQTIDLHRSQEGSGLPREVIEERKRVFWIAYYQDR DVCIRTRKPPILDDEDMDVELPSPSIPDLEYLNHMIGLAKIQGQVYKHLLSVAASRRP YPQRVIAIQNLEAQLEAWRDNIAVDFQHDYFYVAQHSSLPEPLVRNLILRLVYFNTLN AIHSSTPALSEVDGVQASKDLEHHGALPPPITFVAEARKAIKLLHVTPQGEYACIWIV LHIFVSATKTLLNHLIADPGDALAKSDLQLIDPLLKLLGMLAKGERSVEVTEMYEECN ALFDRATKEVEDFTKRKW LY89DRAFT_596900 MWRDQLASTTQRTHRLNNDRSLNSTVDWNSTTQHIRDDNDKNGI ILEEPLADGPRKSRRRVCIGIDYGTTFTSVSYHIMSLEEEEITLPQACDIKTIRNWPD DSSGNAEQVPTESWYPEVTIKRCRPYEQFDEPEDMKNSKYFVEDIDSHHMIRDEGEGN ENDITPVPIIDLEDDSSEFFWGYSVSYQRYHLNSQRDPSRLLQRPKLMMLSTEYTEQD RKQLRQQVQHLVKAGVIRKYGRRNVSDMRDVRDIITDFLVKVFEHTKKQLEVNEDFDE ECSVEFVLAVPTIWSSQASRVLQLSVEAAIRASSFGSLVNNSLVNLFIVPEPEAGVTW MLQRMSTTPLREAITCLDAGGGTVDCATYQVCNTHPTRLGTAILEPTGDNCGGSCLND NFRKHLRSRLHFEKYLVCNDNTLESIINRAARDFEAFDKPRADIMAKAFFRYRIDHLK GDPPSAFPGLTGRKRFENNILIMEKQDYEEIYLPILRRVWAVLKKQLDVAREKTLMVK TVFLIGGFSACPSLISVLKARLIKYERDCNLPYTIRLIEDKKKSVAAVSAGAVLRALD KANGPPRVIQSSYGFLRREPIDPEIFEGHKHAYPSKDPNDGDWYVDVINYVLHKGHPV LATQEFEPIKMLHSFAVDEDEEEAKVDGAPPKLLCHELLYVSDTATESHFPFKGFKNR DVQLVGRVVTDITCLKDQNLLEIVEPETDHDGNLCGKRHYKVEYDLVPMIRGRDLIYE TRWPSSDALARVENDRKKRRRLQKHEFKKTAQISIAAAFMPGTS LY89DRAFT_271076 MMVVHPWQESDIVTLLSWLDFCIAHDVNFWESIIPKLQESRLID TNQDFSFTRRQIQNKLIGLCRQKMSLRFDSRSHPKLSDVVSRGSACIPGLTQDMLTKV KNAVFHLEKKYRQGAYRTGIGKNEHDRGSIVEKQGSESSLSRLPKTSNTRLARIRLPT LHGSQAQNLPSPSKTTQESRALTNGHGFHDTGLGGDYMSLRIIGQESQAARISTLEEK NQRLAEEIQLLHNARNDREAASHDLRESDFYTFYRDGWDMKQRLASMQRTINFHEKLV PLWKDSMSYGDIDEEMGMIEAELQSISHCLGTASLRRDITVATGGLLESLIQSAFRVG SVMKTASEIWREILSLSDSLTALNTLVLVAIRDWILNTLFPVFEDGSLLSALFKSWRT VLLDCAGASLAHSLEATAYSQFIDSNAFKDHLIPEQAAILADRFIEASFPIFPLSFAF TTAYHFKASTVLMNSRRFPIRLWVGLRPSA LY89DRAFT_701089 MLGHRSMEVDLVRLQKSEAIQLIKTTLMGWENPQGDEHFKKQRK QADSGKSAALFYRMMKEIGVELHLKTNALTLTNVSPSEVKILTEASGITLSPDQGGHK VFLNSPLSTVLYCDITMFATEFGAEYVPVTHPEHATFSTERPFLSQTFNLIFCHGQVL RTHPRMEYREAYEATRLTFPQLTLAMQCISEGGTFIMLLHKVEAWDTMDLLYRFLQFS DIELFKPIKKHAIRSTFYLVAKQVRPSSEAARLAVQHWKEGWWQATFVRSKLAGLGRK VLTIQADALKKADFVR LY89DRAFT_760997 MSTATNGSSNSVKTPDPAQDDPVVIIGMSLKFPQDAVSVESFWK MLMEGRSASSEVPKDRYNVDAFYAPGKSKTGMVNVKAGHFIRDDLAAFDAPFFTMTPG EVACMDPLQRWLLETSYQGLENAGIPMEEAKGSKTSVYIGSFLDEYGMVLNRDLQMSQ KYKAPGTAVSMLANRLSWFYDLRGPSIALDTACSSSLVAFHLACQSLVTGESEMSIVG GCNLTYNPETSICLSDLGFLSPDGISYSFDERANGYARGEGFGIVILKSLSKAVRDGN TIRAVVRATGSNQDGRTPGITQPSMKAQETLIRETYQAAGLDMGKTAFVEAHATGTTL GDPIEAAALGNAFKTSRETISHLHVGAVKSNIGHLEGASGVAGLIKTVLALERATIPP NVWPRNLNKRIQAETLKLKFPTKPIPWPTSDLRRASVNSFGFGGANAHVVLDDAFHYL RDHDLIGNHLTAVVLPAADSIAGGKPIVNTEDTGNNIANLATPKLFVFSAPDEDGLRR VTSTYQSQLLSHCTAEYISDLAYTLSEKRMKFRCRYSTAASSIDQLKRNLAEQQDFVQ SKQTPRICFVFTGQGAQWYAMGRELLRYPEFRRSLEDSASIFADLGCQWSLVEELLKD ETTTNIALVQQLSVWSISPSVVVGHSSGEIAAAYCTGALSVGSACKVAYFRGFVAAIL ERSATPGSMLAVGMSEDEANAFLNKLQLEDGQVTVACINSPKSITLSASKSSIDMLQV LFEKENIFVRRLPVHVAYHSPQMDEIAELYRKLISELDSGLPVSDDLVMFSSLTGSKI SNEETSRPKYWVDNMISPVKFSQALGNLGNQAAKKLRKSLKPDKLPPPVNYFLEIGPH STLKGAIKATMDSLSKQDTITYMPTLVRGKSAVETAAEALGNLWCRGHEVNLCLFNDS EVPSRQRNMLVDLPCYPFDHSRKFWLESRLSKGLRFRQHAPLPVLGTAVADWNPLEPR WRNIITLAENPWIKDHNVNGADIYPAAGMLVMAMEGAQQMSDTKSIIKGYHMKDVSIK KALVFPTLDNGLEVQLYFRPGRERTGSFLNWSGFRICVYEDKEWSDICTGSIAIEYQS GASSFTDGRGVELAMSNYQEECREMAERCRSPIEPRIFYSHLKDFGLNFGPTFRSLTN LRFNDHGDSLGTTDIHHWQSSYGQDDSKSPIMHPAALDTFLQLACLGLTKGGKETLPT MIPTTFRSLWISADIANQKETSLMSLDNSIASSVRIYTKSKLQGFRDAHSTVIGQDAE SQAIVLVGDVNFTGIAELEARSQSVAPQKLCYKVEWKPDLDLMERDDVYSFCSSGIEF CPPYADMDHEKSLLCHFGLRQLVEDVQKFNGQMLEIKPHLQKYLHWAEHRLSTDQWTT QMQDEVSRMPLSELLEKVAHNDPQGKLMVNVLNNLNRIMKREVDALEVIFQEGLVNEY YHFTYDITSGFDEALRFIDTLAHKSPRMRILEIGAGTGSATGRVLRALTRKDNKDSAE KTYLFQDYTFTDISTSFFEAAKIKFSEYAEVIEFRILDIEKDPADQMFDIAEYDLIVA SNVIHATASLNATLQNVRKLMKPGGKFVLFELTVPDNIVEGVIFGLLPGWWLSTEEHR QWGPMVTRETWNSYLKSAGFTGLDLAFPAHDETAKCHTMISTASEAFELIFNIPKVVI LTLGNSALQNKAAERLQYLLKDFCGVAEIKSTVDVESSYDEQSICVPFLELEHSFLDQ ISQDDFNLLKLLFSKFGTIIWASSEISSTANPLGDMITGLARCVREENGTTKLVTVKL SRIQDVARAMTQIFKVIQKTSQSLLDKCEVEFAEIDGSLCISRIAEFKELDEFVSRKT TRQQAQMQKFREGESTPMKLAIGQLGLLSTLQYEADSSILSGSLAPDEIEIEVKAAGL NFRDVLIALGQDPASYLGIECSGVVIQVGEEAAAKFKIGARVCCVTEGCLRTNVRCDH RIAIEIPDHMPFQSGAAFPVAYSSAYYSVTSIARLRPNESILIHSGAGAFGQACIQLA KLQNANIFTTVGTDEKKEFLVKTYGIEESNIFSSRSPDFAQGVKALTNGRGVDVIINS LAGEALKCTWDCIAPFGRFVEVGKKDIYNFGNLPMFPFSRNVTFSSVDIFYIYRNFRQ ILTELMESGMALFSHGKITVPSPIEVYHGSEVETAFRYLESGKSKGKLVIEFHNDDIV PVVSSSGPPYNLDPNSTYVIAGGLGGLGRSIARWMVTRSAKHLILLSRSKLHSEAVVA FLADLRDQGIDVATPPCDVGDRDSLESALQQCAHMPPIRGCVQASMVLKDAMFANMTV SDFQTGTKAKVDGSWNLHTLLPLGMDFFILLSSLAGLIGSRGQSNYAAGNTYQDGLAH YRNKEGEKALSLDLGKLTRIGYVAEREGIENDAIGEVQENALLAMMEYACNPDLPIKL GSPSQVVTGIETPAALKAQGVEEPYWMLRPMFSPLYRTSNLQSNSTQDDTNGSETCSK RIATAETLEEATEIIIDGLQTKLSRTLAVQKANIDTTKPMHIYGVDSLSAVELRTWFR NVVGVDITVFDILGNQSLADLAATVAPRSQFLAEELKRMKEG LY89DRAFT_538876 MRILCLHGAGTNSHIFELQTAAIRYQLRSENYEYEYVDGTISWP PHAETNLLLASTQSECFAYFERNIDSLRTALQDLHDYIIHNGPFDAVLGFSQGASLAA SYIAQRALDPAPDPNSEFKCAIFICGTYGLHSGGEGRILSVDEDGEIIQIPTVHIFGS KDPLVQESLDLSRICDQRSRVMLDHKGGHEVPRGA LY89DRAFT_710907 MAQDEIPRNGSLKDDIVSEKLSSHDAKDSALSSKEVDEDVLPSE RVEVEETGNNNQQENESYLTGWRLQTLLVGVCLNLFLSNLETTIVSTSLVSISNSLHS FSSSSWIVTAYLVTYTGFLVIIAKLSDIFGRKPFTVIVLIVFIAFSLGCGLTHDMTSL IIFRAFQGIGGGGLYSMAFVVLPEMVPTSKYPLYAAILSSTMALSNLAGPLLGGAVNH NEGSSSVWRWIFLLNGPGGGVALVLIMLGMPAYFPNHKSSSQAHKKNALTMRNLRRVD LMGLVFLLGASILLVTALEEGGTQYSWHSSVTLSLLIISFLLWFLFIAWEWYQGKRNT IQEPIFPWRMATDRFVMGVLLNSLFSGATMTAVVINLPQRFQVVNGDSPLTAGYRFLA LTVVASGGAFSAGFLVQNLKVAPFFVLLGGASLQIIGLVLASYLSTEYKVQKIIYFYQ VILGLGFGSSWSCTIMAIPLVVKKKDTAVGMGSIGQFRYLGGSIGIAICTNILNKHIS KALSTLLSPSQLGEILQSAQIINEFPPSLQDATRRIYAEGYNTQMRAMAGFAGAALLA TFLMYEKNPRRP LY89DRAFT_656816 MSPARAVDSLKAITPRPVEMQVLCLGLSRTSTMTMMTAMNQLGY RCYHMLETGDSQNIRERHSLCWREALDYKVNGKGTAYTGKDFDKILQHYSAVADMPCV NFADELVAQFPNAKVVLTQRDPDVWVKSVENTIYYVLSWRIWRLLCYLEPDGIGTIRD CLELALADWTSPHPYTDRKALAEYMPVHTAHIRSLVPHGYLLEFHPKDGWEPLCKFLG KEVPDRPFPFVNKGRNAANIIKIGIAVWAVKRSFPYLVGIGVLWAAWKWAVPS LY89DRAFT_787787 MTVYNAYHWDYTLLAAFCIPIATIAVILRFVARARTQQKYGYED WFAVVSLLFFLAFCGCLIWGGNHGSGYSPLELPYPVLVKFLKAEYASGLLIPASMMAA KNSILFLYARIFSIDRSFSISIRIVGFLNIIWFIAATLGLVFQCRPVHKAWDPIVPGK CFNYAAFMLGIEIPNSLLDFVMMALPISMLREMRISVREKIILVMIFMLGGMVGIIGF IRIALVYKSVQSNLHELGLWVGIQTVMAVFCCCVPTYRPFIKGMKLPKSITSRYASML GQRTGSSKTRSNKSSQNSDPYSKPHLTDGSYDLGNLGHEESVLTRVEVGSMKDNHSSY PMKTVNVESTVEMV LY89DRAFT_271405 MEHAMSHEADLMDWSSTLLQTPNMSDNLSSFMPVAGNAGPDWNP DTTYPTHLDLRSNEMDRTDSFFADWPNFQESIMHGSAHEYTYGNPGFASPSSALSVGS GHLSIGSGASSNHSSSSWRGRSKIKKLFSRSSSKTGGAKSAMEDGMPSWSLNGAKKHI SPSPRRTGRLTDEGRAGMRLLSGKGACWKCKILKKSCDPGDPCKECTSTIKVAWKKVG CQRGALGENYKPVQLCPLSSSLETAFGPYSSEEEEWEAKQAEANRLWEEEMKARCAEI GATRLRIHHRSYLRHFPAEELPVLLLKGEKLKEQVVKAMKELAPSLEDPKRKQEVFML LYHASLYEEWFQPRIHRQCNLIHLSIRCLNHSLDAIRSQASQISLHHACKPQRCRLHS IAKLQQSSSRYIRCPPRSHVQQIAIRKSSILVAGHLL LY89DRAFT_689979 MSMTIAPQTTAPNELPTRAKEDTIRISNPVFSHQCHHFISNAIS NRPTSHNPNFIITSGKPKSPSPKAKTCMPPTHLHHHIQSRIRIKASRRHCPWSEREMC SWFLSVQNREERQDGGACEEDAWEELEFWCELFKKQQLLCDLLQAWKY LY89DRAFT_271408 MEYENEQPAGAPSFLQQVLASWDPWKRFTEPQGTPSPPASQPIP TPDSTAAQLASLRHLRMVAKLHDTEFRMMEMYLNAYDSQYEGAESPSEVEVDEMDGKI AALEERLRIEGVEDVRESTRDELARALVTFEAESESSWLVIDERQAVNLRDESGLRRV EDLDYLDL LY89DRAFT_271413 MAPDLNTVPPSPRDTPSTMPSTTPPPRLVSAKRPNILYIMADQL AAPLLKMHNPKSQIKTPNIDALAEGAVVFDSAYCPSPLCAPSRMSMVSGQLPTKIGSY DNACSIDPSVPTYAHYLRAAGYETTLAGKMHFIGEQLHGYESRLTSDIYPGDYGWNVN WEDPERRLEWYHNASSILQAGVCVRSNQLDYDEEVMFKSKQFLYDHVRQGPGARPFCM TVSLTHPHDPYTIEKKFWDLYEGVDIELPEVNIPQDEQDSHSQRLLKVCDLWDKKFTP EQIKRARRAYYGAVSYVDDCIGKLLQTLKDCRLDENTIVVFSGDHGDMLGERGLWYKM SYFESSVRVPMLIHHPASFKPHRVSANVSTLDILPTLVDLVNTKLWPGLPMDGTSLLP HLEGRSGGSDTVFAEYCGEGTVAPMMMIRRGDWKYITCPADPDQLYNLKSDPKELINL ALLPSKHPLTTPDVSKTLSAFQAEAKAKWDIETITSSVLVSQRQRRLVWSALKVGKFT SWDYNPNDDGREKYIRSHIPLDDLELKARFPPVDTNGRDMYGAFKRGAGIPDQAGSHG Q LY89DRAFT_740554 MLGFDSKTQVVHFDHRHDHPGYILIRTIDKGFQSQTTLVRSLQD GNVYIRKHLNANENSGAELLVRNLPTDVAPRLIDYTNTLDRNQNDDVFIYEYCNGGDL ADLHTKYLNHHTKIRFLPGALLWDIARQCAEKIAYIHSGWQYGQGVQVGWEPFIHGDI SPSNTFLDWNSDSSPYPQVLLGDWRIARTFKEPKGRDMASWREYQSIDLQCFLELLVS IDNEAQIRTPDVQNPTPSQLFEDIGAEGEKWELRPENKGSSIAAYIADKFIEKARTRI RDFESQEASGDLRWTMPNAVIGFATFDKNGPPPTIKQPWEWRNLDQLPIGFGA LY89DRAFT_787791 MTSRSAWTEAYKTYREEAERDKQNAALDQGYSKPLFQSTSSITI DRGPPYFQSNLSSARDPSASFQGSSLATSYTGSPQASSDPGGQYSFSSYDSQSVATSR PTPALLNWEPDSPSLDCYDFIVKGREPYFRLKSNFVATDVTPEVVYLDLEAIPKRGIY RCVYPFDCTGKQTVFTRPADLERHYKNVHSTQGPNQFRCDYSTCGRRSQPFTRKDHYR DHLRDYHKEDVGSAKKGSRNPINANGNICSRSGGKIETFQISGGDVFVWKGYK LY89DRAFT_656820 MASPEAIRDAVFGAASENSELLNTLAKTSEAPSIYSAHLVHFDK LETSLTEQQNVLDSLKTQTELRFKSHKKLRNSVTKKWFYRATKMLPKFEAKAMTEERE YFTALGAQSKAEERCKTLESEVKKAEAEKEKLLKVVKKHGDAHAKIDLLYEKLFKGPT PGFGDEDEREHKYYTSDESNESVKEGIRRARRAKSGLGVVKTYLGRAKSNMKYALTSV EERLFFFDESTYWTERGDAALALALDKMGKTDEDLLPLPSDLVGKHQVVSSSLLAARI PMGRSHSRESMIQAIIKSLEQISEVEEQLGILIAHTKEVEKVGLAKIKETARQLEDSR QELQQVRQGIFERVAGFGEAAPAYMECCDRADRFCNVPEVGHEHVQPEEEAAPPVPQP ESAPPEYGEQPVTVAIPSRYELADALRAENKTAGPGDHAYITHDIERPGRTV LY89DRAFT_271460 MAQQKTTPGSIPATLKLPRCGEWLPQDTTTLSSWLSAVIKHVDA NPKPLVPVLQEFQSVIENDAKIFMLFHQMFTQIPRKKPYWDDPTGQPQVRHYQHMLQL FNHILTTAPEYNDTGLVGFPINAILDWPMGTPAGVDAFLNPEVNLQFKNMLAVWSDFL MSSKSKYVLNTSASGWLGTAALSAMQTTNQGSSFVSTFECQPTQEFYGYTSWDDFFTR QFKPDARPVALPNDDTVITNACESAPYRIATNVSKHSKFWIKAQPYSLFHMLNNDEYA PRFVGGTIYQAFLSALSYHRWHSPVNGTIVKTCLVPGTYYSETQAEGFAFSEIDDYGR STTEKATQEPDPAGPNDSQGYITAVATRALIFIQADSKDIGLMCFMAIGMAEVSTCDT TVRVGQKVKKGDQLGMFHFGGSTHCLFFRPEVKLVWDMHGQDGNVGLDSSNIRINERI ATVVTKDGKGPVFLPPSIPK LY89DRAFT_740558 MDPLSFTASLIAVVGTAGTVAKELRLLQTSLRDASSLLSALINE ISDLRIILEACSSAVTELYRSANSPNPPTPLADAVKILDRTKTQLEELEKVVKHCFGG SLDSTNVSKMVKLRWLREKGKAERLQGKLRDSKQDLMMLLESQSVSAISGLHIAVQEI AVGSTEFHTTSSDKMDQILFELSNHMNHIRDLNLKTSQVNEKLDRLSQAQQEPDPSSP RPPEYSERTPNATDSESQTPLNSAIQVRASCYRKTCRPWCSCCCHIRREVRSPSLAKS FIGSLFIGYTGVPVVTPPCNEKQCRKRSTSRIIVSYQFPGWFWERSLFTSFMTAARSG PEMLIRVTNTIPFACEAYQHCLDGNVTGLQRLFELGRASPFDLDPSGISLLHNALRFD HFELCHFLISCGSDLYQEDHTGLSAFHYAWDAILIDPNEPSSSKKKQALLEIFPQDEG GLDERQFNRIHKSVLGIIGTALEDELAISTATIDTPDNLGRTPLFWASRRADVKATSL LLEYGAHTDPPRKGRRGTSPLLAAAEAGNVDVVEMLLAHGASVDVRNDDNSSPLHLAS TQQDGLGCVSALLKAGADVNSVDSDDRTPLLAASCYGWADIAEALIDAGADMEIRCED GWTSLTSSIFWNMYGSVELLLDKGANTLVVTDTGETLLHLAIRYGDTKMLGLLRDRDL GHLDVGAKNGAGETVWDIAKSRDEPAEWQTAFHDFLKSVEAQKTEKFTEESAISSGSM AYTSSETLLDQKMAMRTVVEVYVSSDDDSDLDHFEDALEAVA LY89DRAFT_656823 MVSQNGSNPDRMPRASWIQGAKFLGMAGVGFFADGYLNITIGLV VPVLGYIYYKDQKNAVPTVPASVIKGGLSIGMIVGQLVFGVLGDAIGRKKIYGKELMI TMFGTLMVIVLPTDLSHDGIVAWLTVWRIVTGVGIGADYPMSSTISAEHNPFGSRGKL VLAVFGSQGVGNLAAGIVFLVLLVAFKSSIAQDVNRLEWVWRLLLGIGIIPAAATLYA RLRMRESVPYQKCEFNINVEHKGDGSRMEDAPRGLRQQWVDFKEYFSDIRHAKILFAT SACWFLFDIAFYGINLNQSIILSQIGFGKGKTTWDTLHKLAIGNIIASAAGYLPGYYA AIPPIDILGRTRQQFIGCITLTCLYAIWAGVANIAPSGVLITIFTLSQFFLNCGGSAT TFLIPVEVFPTRVRSTAHGISAASGKAGAVLTAFAFGSVTNAIGIRGVLGILSGVIFL VALLTLMIPETKGKTWKRSKMESCMARQLVTSLLRTSYLWLISPQLLDHLTKPTL LY89DRAFT_701095 MAYQSSAQRLHALRDEYGDPKPAEISRKVTACVSCRKHKVKCDL QNSGVPCTRCRKRGLSCAVKKSLQMLLEDDATWKGRIEEEVARLTAGMARLSEHLSLP DLLEDSEDVDSARGTTAIPTPAAGQPISSSIEEVTGDNSDVWNDNAVEDYGPAAIPAS IVSEVTKHSPSARVTERSRSKPDIISRGILTLEQAEMLFNYYMTKHDNSIYSVLEEGS TFTTIRSSSPFLLAAICAVASLHVVSSDVPYERCYDEFVQMSTQHAFSSRNNMDDIRA LCIGAFWLPEISWILISNAVRIATELQLHRAYRGAIAGNRKAYVAARLYYLVHVCDHQ FSIAYGRPPLTGEYEAALTADEFLTSKFIVEDDFRLISQVKHWSITSNVFQTFGTDIQ HPIPENLIGHLQRLNLELDTCRLEWSTKFRQHKHIGNYPRKGVSLHYHFAKLYLCSHA FRGISNNNAATNAMSREMREVADNAVLSATSILRSINTDVEFQSFMSDLPLYFDTMIA FASVFLFRITTSYIHAIHVDVHEILRLLGQSVRVLDDIASKIRPAHLLAGISEGLKSL LEQFENARQREAPNTERAQPPTAGIDMQLPEAIDLPVDQFD LY89DRAFT_597169 MLPSVVQRAEETIATLFSFITAQGSSDYLGEKVSQLEHSLQTAH LARKAGADDETVLGALLHDVGRFIPQSREMPKMIAPGGTFIGTASHEVVGERYLKELG FSEKICQLVGAHVMAKRYLTAVDGKYYDGLSQSSKQTLKFQGGIFNDEQVKEAQKDPW LEQKLAVRRWDDLAKDPNLKSERLSFYEDMAINSLLKSWSGIELHSRKYSLPQNPTVV VCVDGFDPEYLDEGIKDGIIPNLAKFAKDGFHKTAKSCMPSFTNPNNVSIITGVPPSV HGIAGNYYLDPLTREEHMIVDDTLLRGTTVLALLAKRGVRVAAVTAKDKLRRILSHDL EGLVCFSSKKAKDANLKENGIENVEEWIGRPGPAQYSGDLSIYVLDAGIKLLEEERAD ILYLTLSDFIQHKYAPGDKEANDLMKVLDARIGRMVELGAVVAMTGDHGMSDKSKADG TPNVIFLEDELTNRWGPDCARVICPISDPFVRHHGALGSFVRVYVKSKEHIGPMLDFC KTLTGIEVALSGEEAAGVFEQPLDREGDLVIVSEKNVVIGSRKDEHDLSNLKGHRLRS HGGLSEQEIPLLMSQPAHGTYTEGRKNWRNFDIFDLVLNGAHERA LY89DRAFT_740562 MTFILTPTQIAQYHEEGFIFVPASEHGLFNPESLQRWAREVQSW PKEKGKWMPYDEINVSGERQLMRTEKFVDYHPEFDSFLRGKALTGVLNQLSGAPMLLF KDKINYKSARGNGFAAHLDAPAYDHIGEIEHVTANLAVDTATIENGCLEVVPKSHKMD VTFLRGGQIHPEWEAAHEWIAVPMQPGDILFFGSHLAHRSGPNRTEKPRSMIYATYAS EADGKDLRERYYEHRRATFPPDHEREPGMVMDWQRYGFAAPFAGARPDVTV LY89DRAFT_271536 MSSNSNDIEDQYTTVWADPIDRLALPYAWRKGIRFWTGNRRCYK ITSGPIDEYLIIGGGYVDYAALEQANRAHDQENRDRARLDMHLHTGTSIHENGWSYSP RGEKLRMSLYHKLMYEARLEEVQRRMVVLKAELKGKHDAWKRVALKGFEEDVPRLQSM LRMVARLNAMLLETNAGWNWKVAIPAQKMLRVRQDDLAGRFSKTFAELGLDDAPLRRR AAGLP LY89DRAFT_740564 MPLITGIAHVNLTVPPGTLEQAASFYEGTLGLTRAPVPALQKDS LAWFDITPGEQQIHVSTNCSTKNDPKASRHPCFKLESPEKLAELREKIWAHFEKKDEA SPSEADKPGAENSGAKGVEYPTRFFARDYAGNRLEFSL LY89DRAFT_689984 MTTTTPSKPPSPSTSMNQPDLIHYVSWGALIVCPIVALLPPRKL DFYTVGLLGGTFLGGNQISREYTGISMVQRIVHRANSWTDARLPPKAMEAQRRLKEEK EARMAGVELGRRKEMGVLAEVQREKERVDRGLLEKVWMGSEGEDWKAKRDQREKEALD EGRGYGGLIMDQIWEVWNWGKDKNEEAKEVDEKVVAEKKSWGSEEKKR LY89DRAFT_689985 MGKGKGKKTRKGDLKKNKEPKIKGLPSTRPPNPTKVANKLAAKQ KREARLAELKAAIAKDPSLLKPFPTPMQRTEPFMKNPNAAKWPHLFKRQLGLIEMHID SITRIMSAMKNDGDTMSEKDKLDWELGERGNWRAICGMLDKARLVLSQTKEAAQGVLP PDRLRDKKKLGVVGTTFVPLVPQLDEKARQLGYNPKGKGSLGRSMDYLDNDGDSDMSD ALESSEESGSEDDGDGKKSKEGEKTESVPSATEPNPFFVVDTQPTPVNLTALNGTANT PKDGLSNRQRKKLEKEAKAEERLAKRMAKKAAYEAKKAAAAAEGSTNAAEEPPKPEPQ SPAVDFRALEAKLQAEIEDGTKAQQEHEAQEAEAAEKRAKKKRRRSSEGGEEVVEKKA KKDKKEKKEKKDKKRKADDEEDADEVISKKKKRKNKTDSDSD LY89DRAFT_689987 MASDPPQFASPASVSRSATSRGHIRLLADEMLRASLSSSGASLS STPAATPPSYRRLPVPPTPAELLSRSRERNPRENWTRLPDDGSVSITRDSISKTKSNG NSRSKIKSENSDSSATTLSADETKARIRGLRRELERARGDVPPRPTTGLFEAACSTDL LFLIDTTGSMYPYIVAAKEQVKSIVVDIKRSFLNQAEVRVAVVSYKDHGDYPNIESLD FTPSTDQVFQFLGQLGASGGRDAPEDVLGGIFQARNLSWQQRTRCIIHIADAPPHGAG ELHDLGNGADDYSVPGSEPHGLIYKPLLKELIEFNINYALLRVNSSTDRMAWAFAQVY AASSADAKLLPSNRYFGQVSCTQPEGRNSAWSGATSKKHSDLQFEEMELGTTYSQLRH LVVRTVTSSVSRTAGRMTMALSTVPTPVTTSVSRTAARMSSALSTVPKARVSDLTLIA GEEGIDGSAIKLFLEKDPPQWDTVGWFDETLVVEGFCPDMKLQSANSLNEMMSADENI KLSVAQLTIHARSKPFGEGAVRVASYARTESSTGKFVVKFFKKDGKMLAHLAEDMQIQ ALCKAFALEFNGLLKEEPQIDFVVTTCLQSKGDAESEGGCLSLEPFIDGEYIKYNNNS MFVKEDSPGEPDPFNQIAQAFSHFTFERSWGYFLVNDLQGVSGLLTDPSIQTRDHDRF KLADTNFHEEGFKFFFAAHKCNSICHELELKSNGEMIISGNYTFRERWPTLEPTVCCS NKLCRRIVRLASTQESEKFRGYHWCSACWPQLQSSMVSWVCEGPGPNHEFDMSRFFYE SQGQLAPHRCSEHLAKDKSVSSMAVMGGSLWSKMKAEGSKESISGRSW LY89DRAFT_596816 MPYIPPPYPLTDDHRIAAILREAGLDAPGLIPSLGVLTVGTFEN EASIPYNIQEAQLLLVQEGASDLDRRKRHIFWSKSKEAKRKSANWVFTKNEVARAFNF ILSRKPLPAPGIAQALLYHASVASLEELWRHFCDPNLEKEEERAQVTISANSRKMTWL DQIYAQENLEYILLVCQVGLDQDALNRAFEIALSKHSMDAMKTLLALGAVVSPACQNT LHERVKQHDLALVRLLLSAPNAISAETWRGCLEPEVHSLATEWIQILLLCIAHRPEII SMDMLQKALKVENLRATAIMLAYEFASQAGFSKHPHGSWVGYFNDVRQLACELVSRIP DNKHRHKFFSVLVEAGLVADSLVLRQELVNDVKNRQLSLIKLLVDAGVMVDVEPHNAF HWAVTHLELDTLKLFKSCKFTSPVSLAMNLVPSSTSDSDLLRLVEILGPLGLTGQPLD PYLIRAVRSQHIQLVDTLILYGASVEFGHAAAIRAALEHLGNANFDILNILLRIKCSP KLLSTTIPTAMALRPRHIRLQAMKALLEKGVLRQALGHPLDTLVSEEGVLDSELIQLL LQHKAPVDGVGNNTNNAVLVAARRGNFPILRMLFDASPGEETISKAVAVAFGAIDTCG YDMALSMIKLLLPKAAVDLPIHQTLQNAASQDRLEIARLLLQHGADANHARGAALGAA IARSNLALLRILCTSRQFSQASIASAFLVAVDPRIYTSEAVELLLSCAQNSGGVLNTL WSSDILRGNPNATAIVSSLIRYGLDVNIENGEILSFAIQERNDRLLTRALSANPSITS LTAAFRTATYARPRRFQLDTMRAILEKGDSNEIGQSESILQEVHYTLSGDQAGLRLFL RHQAVATLHTFTKACLAVVSSPISANEKDAIFLSLIALSDIPDKSKLLAHCVTNLPKC TQLPQLLLSYGAEVSFEILTLALETASFNLLDMLLRTVKGTENVVRIFNHAPKIEMTS GRRYWIYQLLLSKGISSENVSRALVDSLESDVGGDLSFTKLFLNNGASSCFQEGKAFS LALRANSPNSLLAVKLLAQNISDDSMATVAFDVICKTVCETPLLKRHVPVETFRILFV WHIDKLSVSQALVDSFKAGLPDISTLQFLLEKGGDPNKDNGHCLALAATAGALAEFQA LSKYASCWVLLEALLENMQEESEIIKWFEVFIGGRSRLSWNPHFIFHEKDKLVYKCMR KFPTGTKLLRFLLDVAGLSASTKEDYSLCTDWKTEPCTALIWALFSQPRIANDTILVL LSRTDAALPAYSTPLTKVSAAFGCLLDTSRTPILKALLDWDRGLILDYAIPGSSFGPL SSQPGAFEKDSDILDSTGELPLREASLYLGNCKAFRLIAPEVTRNDGTLHLAALLALP KFVKWLLKTHDPNHKAEEFDNMVPLALVCKAKYLPWCKIANNKSHWRKRQQRTMDLLA GVTQPEWGHRNMTILHWAMENGVETTRAMVKALDFCHDSEKDTKYLYTDRDGVEYSPK QYVRKILCAEDAEKIELISCLEHEYVIPRPRSKHRMKWYSSKIAKRATSIK LY89DRAFT_723950 MYRRVETRDGEHRPKSRDVSPVPSDHRTPPEYATIMEGIGGEDL HLSLSTPAEAREIHNRTEHPIHANAGNAGKSTDSPSLKEEGASAQNEWTPGVWVRMPW LGLMAILGNISCILAFVVILVCSNNSEVKNWNTNLQPSVWLSAASTLANLSLGLALAE GLNIVFWREAIRGSSLNDLNTYASGGSLIGALLSFYGGNKNKKTLAVIAGIALTTLST FRGPVIQRASVVSFTTIETSGNMTLNVAQYLEVREEPLGVTTGSSIDTTDATISYVTS NFSEIALNFTNRQGVYIDAPDCGDNCTTTVQGFGFKPTCIFNNASFDGQNSSIGSAGV IVFESAVNYRYNLSSSDVLPNTQFILNSTFLEGGLQSDVLPTQICTLDVAIVEYSVFI SGNTVQMISTTVYDPYLPSSLSYIPAGFATSYLDGSSESGKSGTITAVGSIVAGFAYY LDSLFASSSVLSFQSFGETFEDLQNGNWSLQSIGINANQYMSLGTGWTITDNTTWSNP MSDMLNQTQELAFRVAVQTAINNPDPSHAQMVPYTGQRTITVYKSDYTVMGIAILINF LGLLSVLMLYHGWWDLGRRTSLSVLETAKAFGAPLLRDSDDNATAKQILSKIGKTRIM YGEVTSARSSGEFLIPRKGIRSRLQMVEEERGRRPSLGTVFG LY89DRAFT_656845 MDPLSITASAIGITQFAISSIVKLHDIINDLAEAKEVARDIASN LEGVQRPLAALEELTISDRTIYITTKADLEKTGVVEAVNNCGQACADFADNLKRWTKH STGTTTKISLRDRFLVGVWNKEKIRTFRMQVQSCQAIVQFAITSTQLIVQLRSEQTSE IHREQLKTQLQALETQIQEYIDLAKKQQDEAQRRRQDLQEQPGDEEDGGAQRALATQE VEKQKGLLEADQVSSAVVFLQVRSKRTSQDISNIIISDDSKALVGMPESVVGEVNQRI KGVTTKNRSAAAVGVFDKNVDMRDFFKST LY89DRAFT_710917 MAGITQDISSVLIDHLSRTNLHDVLRTLPTAVDAPFNAYQRQHD PTCLPNTRVDLLRDIYSWADGQNERCIFWLHGLAGTGKSTIARTVARRCLDQKRLGAS FFFSRGGGDVGHAGTFVTSIALQLASNVPSLDQYLCDAIKERRDIASQSLRDQWQHLV VHPLSKLSGSGGGQFPYILVVDALDECDNDKVIRIIVHLLADARSLKTAHLQVLLTSR PDLPIRNGFGEVPDGEHRDFVLHNISPPIVDHDISLFLQHNFKLIREEQSLNIDWPGA DIIKELVQIAGSLFIWAATAYERVQTLLEGSTYTTAPEEHLNELYNTVLRRSVHPRYS AIEKKELYSMLRHILGSIVVLFSPLSASSLHRLLDVNKHKINQVLKDLHAILDIPEAD AHPLRLHHPSFRDFLLNKDRCGDFWVDEKETHQLLATSCIQLMSQTLKKDVCEMHAPG SQASQVESSCIQKCLPPDVQYACLYWVQHLQKSSSQASDGEEAHRFLQAHLLHWLEAL GWMGKTSEGIQAILSLEAHVSAIESPDLHAFIYDAKRFALHNRLVIEQAPLQLYCSAL VFAPKKSIVRKTFEKCIPCWIQQRPKVQEHWDTLLQTLEGHLDSVTSVAFSPDSKQVV SGSYDATIRLWDAATGAALQTLKGHTNLVTSVAFSPNGKQVVSGSDDKTVRLWDAATG AALQTLEGHSDWVRSVAFSPNGKQVVSGSDDETIRLWDAATGAPLQTLEGHLTWASSV AFSPDGKQLVFGAGDRTVRLWDVATRKRLQTLKGHTNLVTSVAFSPDGKQVVSSSEDQ TVRLWDAATGKRLQTLEGHTGSVTSVAFSPDGKLLPILQVFNHWVIENDINILWLPPN YRGTCLATWNRSLAIGHSSRRISFFCFTKGTKLVI LY89DRAFT_689990 MAANDYYNPSSRQQTPQPAYNYQSYNSPAPQDYRPPISSAPSYH SQAPSRPGTVPPRQPDITSPISPFEAPFDDHVYPMSSQAPHRFDSETSLGQDTRYYGQ GGGGGLEPSNSYQDDIPLRDHPGLKAQDSTDHVYDAPIAPARLEEGRGNKRMSGMGMF KTKGRIPWVTYILTTIQVAVFIAEIVKNAQLTGSPIEIHPSFNPMIGPSPYVLINMGA RYVPCMHSVDGIQNRASGGAISWPCPNTTSSDVVDCQLNNLCGFNMPASENPIYPGNA TEPLQDFSNQPDQWFRFIIPIFLHAGIIHIGFNMLLQMTLGKEMEIAIGPIRYFLVYI CSGIFGFVLGGNFAATGIASTGASGALFGIIALNLLDLLYTWGERRSPWKDFAFIMLD VVISFVLGLLPGLDNFSHIGGFFMGLVLGICILHSPNALRKRIEEDAPPYTPVAQIKA SDGDRPNSGVTGFVKNPVGFFKGRKPAWWAWWLVRVGSLVFVLIVFILLLKNFYAYRK TCSWCKYLSCINVNNWCNIGNLQLTQVNTTNKRSVFDSWEAMAKLYEA LY89DRAFT_689993 MDKLTTSNTNAEAPTPINNLYARIYKRIKLMSYQYSVTFPFYGL EPLERFILHICLPLSALIVLYAAFWVFKMVFFALLIVVGHAQSSTSTDLGMGVPW LY89DRAFT_740574 MAPARVPAKRILGEATNTRRNIPSSPASAKKRKLEPTSSPATRF KSTQNGPKGKVGSSQPSHFETEVLVKMTQDMAGLKKSNSEKDQAWARPSLADFDPKKD SLCFQQIECEEGTFEDGKACVKLFGVTETGHSAMLHVTGFLHYLYIAAPVNFTPHDCD GFKTYLESQIAQHQPAIHHVQLVMRENLYGFSGNQQNPYIKITVTDPKFINKVRSTFE TGNTNYKSMWKSSDGILTFDSIQYVLRFMIDCKISGMSWVEVPSGKYDIVPQHQRHSN CQIEASVSYRDLIAHKPEGEWAKMAPLRILSFDIECAGRKGIFPEAHQDPVIQIANVV TRYGEKKPFVRNVFCLDTTSSIVNTQIFEFEKEEKMLSQWRDFLEEVDPDIIIGYNTS NFDFPYLLDRAKHLKVAGFDKWTRLHSARSVSKETNFSSKQMGNRDTKATNTNGRLQL DLLQLVQRDHQLRSYTLNSVCAQFLGEQKEDVHHTMITELFNGTPESRRRLAVYCLKD AYLPQRLMDKLSCLENYTEMARVTGVPFNYLLSRGQQVKFVSQLFRKALEQKLVIPNL RTDASDEQYEGATVIEPTRGYYDVPIATLDFASLYPSIIQAHNLCYTTLLNKAAVEKL NLVRDVDYIVTPNNDMFCTTKQRKGLLAQILEELLTARKQAKRELATETDPFKKAVLN GRQLALKISANSVYGLTGATVGKLPCLAIASSTTSYGRQMIEKTKEEVEKKYTIANGY SHDAQVIYGDTDSVMVKFGVKDLATAMKLGEEAATFVSSKFIKPIKLEFEKVYFPYLL INKKRYAGLYWTNPDKYDKMDTKGIETVRRDNCRLVQNVIETVLRMILIDQDVQGAQD YVKDTISDLLQNKIDMSKLVITKALAKADYTAKQAHVELAERMKKRDAGSAPTLGDRV AYVIIKGSAGAKNFERSEDPIFVLENNIPIDTKYYLDNQLAKPLGRIFEPILGETKAN SLLAGDHTRSISVAAPSIGGLMKFAKKTFTCMGCKKPLVGKTEAEGAVCSNCAPRVGE LYQKTLSKVSDLEVRFSRLWTQCQRCQGSMHCEVICSSKDCPIFYMRMKAKKDVEDAG KELSRFDRDAAAW LY89DRAFT_787808 MQLSVILFLCSSLIALSNAHFVLQSPVSLGYYDVAEATGPCDTF NLTNRDNVTSWRVAGYPVHVLTTHNKVVFEYRVPLLNDTNTWVNIIPPIQETGVGDFC LPAVPGYPPWCAAIVFSTDNAAPVPPACRKSSDVAAVVTSYASTVPFGTLLVTSSISM SMSMPTGTIPASTTATAFQNTTTTQASKTSSASASAATTSKVVSGAETGIKIQTIFGW WTLSAAILGAWMALATLYCAGTIAAQSTSKYPTYNFTQQVDHSGNNSATFNQSYQLVT DFFRAGGPILFLQGAEQGISSSPIENDIIMDWAEELGAALAVLEHRFFGTSIPESFDG SLASFAPLSLDNVMEDAVSFIEFIQKSVPGAANSKAIVTGGSYGGNLALVFRVKHPET FYGSFASAPLTESFGPLASNTDKFRASEALSNIYYDASTLAAQRIQSAMLQFYGKNCT EAIPDLNLCGPAPNATQFPALYGASISTYRYAALFNYPIPAVYAPPYPLQYLINATLA ASTPGEVLRIPLAMTNWQEDLSECVNWSSPNITGQLAGVSIADSWFYLQCQYYPISES AVPPGDLLPPTDVTTRVEVCSNPEWESSIYNSSNEFWQQYLGTADEIVDNTTRLLILQ GGYDRDQGVGMPNLTLTDDRQHSRVIFTSGLGHTEDMFGESVLPRGVRPQLDAVRDTK LEYLKQWLGMYDAANLWNATTVSSSTSSSSSASSSSTSTSASWKIGYNLLNMLVGLVS SVFLFHLFA LY89DRAFT_271879 MSTPILLSPPTLQTVPIPTLSPPRSIYLIPKLLTLTECNSIIDT HTNLIPSNITPTTIRDREVFADPALASLLWSRIATLFQGEIGKVVDKDGEGWTVRGLN ETFRLCRYTLGGKFSAHTDGRRLESVNEQAFMTINIYLASVPSPNGGATRFLSPNHEV IASVQPVLGQALLFRDDVWHDGGELREGVKYLLRTDVMYWRDEEFDFERLCEGEGGDE KRGRRALAIAEGLEDAGKGEEAVVWYKKAFRLWPALGR LY89DRAFT_740579 MFGRVWRFFRNPGTRRNTVQLPQHGDNSSENTPSQSGWPLVSGT YPEEQPGHQTVIKFLSHSNKAKMKIFYSALEAAYDKCVQESIDQAQPLGSVNWTEVLF MAPSGKAVSLKEAEDFAVELEMEDLLKDGHEDKLASEWIAKASARGWI LY89DRAFT_740580 MSSKAMEVSRDVEKGLQTLRQLSIDEVERDLERQRMDAVSAYDK ESDDLRRVLVHMPTEQIRLKVLALFTSCTKLDIKLLAVMRRNLYGADEALPSPEFKAP NGRKVSVYDVEEVVRIAEDSGYRSQDVEKNKLAAGKMLELLTSRKMI LY89DRAFT_675669 MPPTAGYNWRRIAEDADFFETVLRQIQMHCKDSPEKIRAAMFEE IDMAGFTPRPGVGRGYGRGGDGFARHGPGSLEEWGRGGRTSYVDRGYQDDQRGHEGDR SFRDDGGYQGDHGRGYQDDRRQYQSDRSYQDDRSYQNDPGYDGSRGYQQDPPRYEDAR GYPNERGDGKSGYYSRGGRGKW LY89DRAFT_627621 MPGATFPILRVAIVGGGPGGLSSAIALSKLPNVEVTIYEKAKEL QEIGAGIQIGYNCWKVLELLGVGRGVRGHVQETIIHRNGLNGKPLREQKSTAAEPRYR GQRVRRTRLQAALIAKVPEGVIKLRKRLISLTNVEGGGVHLVFEDGEEVIADLVIGGD GIRSVVRESVFPDHAIQFTGRTIWRVIIPKSLILDIPEMTSSTAWWHGTAGHFYNCLV DDPSEIPEDEQMFEIAVHNVVPAATVKEKRFSWGIPATNERVESHFTEYDPRVRDALS RVPQGLWKEFSSFSGPRLKKLIAWDKVVLIGDASHPLTGAFGSGAAFAMQDSWILAQA IPHTLSFSQPLASALDIFDSIRSPYYQRMYEHLDHQGKKIQDAKTNNPQQTFEESLDV KVKSFGGEALSWIYQNDIQEVWEEFVRNEKEHGKQSLK LY89DRAFT_787811 MRWLPFVAQYWCVAAIVLAFHALFAGVSNDGLEAAAIITFNTSS LGLSDYYQVNSTFHANITALVPTSVTNPSSITQYLQIQDWYSIHYLSTCSGSFAIDPT TNLLTSMKTNITCTNQKSGYVYTLSDILRKELPSSVASLAAEITQVSYYTAPWIALWY IGLITALIEIVILPMTWAGKRRINGYSFLVTFISYISFQISAALTTGHSLGAYHSSTL PPALYSSEFFAFMWPCMCCMLVVLILVHMEWKFELWTLKGDRITLYRKPPYKSWSLLD SCYDRKPVKTDAGRGEEGWEMQ LY89DRAFT_740584 MADKSHIAVLDTSLIDRLPLSSKTLFEAKASKSLTFESIAKELG RSEVAVAALFYGQAQASASDVAKLSTILSIPESKLGEQLLGFPDRGRAGPMPPVEPLI YRLYEIVQNYGYAYKAVLNEKFGDGIMSAIAFSTKVEKETDEQGNNWAVITLRGKWLP FTRF LY89DRAFT_596894 METGTTAKANKQRRASRPKVKTGCNNCKARRVKCDETRPQCQKC VRSGRRCEGYPAYKRTTDVPIPIAPRFQDDSSSTSSPPSTSSSTFPASSPPRIRSIMK KTNRAPPRATNRTTNTQVPARVKFASTPTSYIPGGFAFSVEEGQYFQVFRTHTANELS GFFDSDFWTRSVLQESHSQASIRHAVVALGALYKTLEKASESPPGSPETAGSVASDTA PTHYNFALQQYGKSLTRLREAIGNNETRTQRTILISIVLFTCFQSFIGDHKAAITQIQ SGLGLLEDRRQDSKQPLIRRKDDEVEEELVQMFTRLAIQAKSYDMAFHFPHPYVIRLS PQSRNDPTSPQSPSSPSDATSTASMESHMPEEFKTSKEARSALDTLLERIMRFNEQLS SYHPGPNNILPKSIKSLGYGFGIQLNQWDKAFGELLANRRTPGISNTERAGIDVLKML HLMTSILFVTGFSTSEMEFDNFTPKFKEIVDLAKEVVVDEELTLAQARCGNVAGCRHS EGKALPEHHFPGLASQRPGAYREEDNLFHIKASFALDLGIVPPLFVVATKCRDRKLRR DAIRLLLSSPRREGMWDSILSGRVGQWIMDIEEQGLSIWSGPATASAREIVPDEQRVM VKEILFDLQNREAMLRCGTRGLDAGDIDMRAQEQYIWW LY89DRAFT_272029 MEETKEKPSASVLQPKQKRIIRVDQIFSKKTRQIHFVPTKKPEK TEHKFNKTVLVIRRIISSKGLVAAIEVDIKSPALKEVLDEIFEGVEGLRLNKTPPIAE PELLFHAGEQLYSRLKKLEASPNPNEALINDISAVLDFIQEHFGGNIDSLKSLSEHGE ITYNLIWALFPPKTLGYTAANIQHQPQVFIFKEGTDIETSTEKYYYVTGQVINHDGMD FGRGEMHIKIPYFEGTKKVRSLAAYPFSQHARRDELRKELIERGRKFARLVTSVCQEY AGMGVKEERTPQGMEEMLFEATGRVMIDPGAFRLYQPNWSNLLNPWVHADMPKDNLSD EEYLICNHRVLGFSLASKEWGAFAVSPLKDVVWDESAFEKLIIEPKRRDLICSLVRSH RNDKTGFDDIIRNKGKGLIGLLSGGPGVGKTLTAEVVAELTHKPLYMISAGELGTELE KVDQRLQMVLDITRRWSCVLLIDEADVFLQERSNLDMLRNALVSIFLRRLEYFQGILI MTTNRRKAIDPAFQSRIHFKIHYPALTESSRAQVWKDFLSTAPAGVKKPELSNEQIVQ LARIPLNGREIKNTISCAFSMSRESGMALTVQRVEEVLSILVDDWNLDE LY89DRAFT_627632 MEQDTSHNHVRGALEPIIQAMVDYTGILDTLCQADPMPTALVWG SLRALVGCCGRYVNLYKLIRGQLNKLTNELNRLKEYEDLFGHSDAMQELLKASYLEIL RFYAKVESQCRHSGAFLAIKSLTSFSLKKIDDILATISENGNDIAKLVSIIQERIYQE TRKDTFEEYGKAEIARNDILRIIKMQREEKQIRDEDRRDKRKREVRQWIQGMSTLNES NYLRQRKGERALERSTATYPWLLQTTDFQAWLSQKASTRGLWLRGGSGTGKSVVCSYV IKHIKATTADAAIAFQYYSFNEPLSSTTVYRNIVQQLLDQLYLKGDDVSDEIYDLTKN KSDNQESMKEMIVLLISELSQTFILLDGLDEECVDNRWEEASEVVSFLSSLAKDATAG LWFSSQERSSINRVLGSLPTIQLSEMTNKDTVEAFLTNAIPLLEDMNLDPGTQTLVIE ELKSKARGNFLWAFLMIEDIRDAPNLQDLQRRLKDALPGDFEKYYHQKIQGIDEKHRH TVSKILSILCFAKRPLLLEELCEAVGACETDHGRNISKSAPLFRHKVLELCAPLVEIQ EVKEAEDKIKYVCSLSHAAVHSFLLKNPRILSKSSTRRVDDETLLITSQTLASVCLVY LSQPCYGRLLEKKDDTFVTKDGQDIEQHHLISYAAKYWSMHLDDVHPTEHWANLVSAF VKSRHFLTLLQVQSLMVEGQFTMWLSISAGPGYKRVFPRWFSKHSADGDLLSHQYNEF LGEWALVLDKTTSSHGPFPGELSRCLWGTLGSQSFLYQSCKKLPQPKSFPFLARKETE KQQHERCYDFVNASGDALQLFRVLKCDDDALQFQVMSERWKMYSEHSPKVKESSTIMI PTSATHLYDQPLRMKIIGRQPVISCTLDFKFARIGSQIYGHSESGTYEQIPCLNSNEE YIEELANQGRFLAATSRRCLSDKDLDNGIGLDDFTLEDFGKIFSEAMEELSRLGSSQK NRESSTSEENPLTELRSSNGSSRSSSPNSSRSSISNSDDENEEKAEQLEKAVAEMQDK DTDADSDSSIESIKSLSARESCSEASTEPLSDEEDDEQWNDWASEASLSDFKSDASED GDLKVEEWDEEKHGRRGRRSVMYDDSDDYSASDEISDSENNSDDSSQISIRRMIIRRG LSGDDSDSDDNSDSDFGSDELSDAGADLEAELKKRKKDVALGHQRGKLLVYDTLSGNP SPIFRYEQFCPRVLYNSPPIFHPSAPLVVWPLGAGDILFANFSENTYYIRTMPCSATR TCHVSIQGKFSHCGQYLHLAALEAREDARPHPGPVKPFIHLSIQLSTHRMSKRKTVRS PPRLIYRFGLALDSVEELSVSPLPYTLTWTTDHLYVSKSQNTLHVIRAPLFRQPKDVT MGNDDSSALQKEKPECHSRSSEICLPSSATSRQVHYFAPVPGPKAKNIATVVLGSRSP SGLGGVLKARYDTLHPLGVYLDEQAHLGGWKVLESKPEDGKKAACLGGRLQGKFEKFD RTDDCDIVPYLY LY89DRAFT_689999 MPSARYNTAVKYIEAFNNLDLKSIMSLRAPKCTHQMAPASLGYG DPITNDVYETSISRFGRYISAWPVEIKEVTEDEKNNRVVVWATAQPQWREEAMDGPKE DWEKGGEYMFTMCMDESGEKLVRVVEFLDSKRTEELRALIARASKNLEKKE LY89DRAFT_675677 MNQYGGCKKCFGSGCWYCAGSLWSGSRRPEIVENMAQSGIEGCR RINTANFTRPLNIQRLIDRDLSPSGSSHSSPSLSFYMPSHLDSSTRPGSSHKRQASSS HLETSKSRSKTSAMDKSSPKPTVKSKNDKEMWKRQASYQTLSTTEKQKQDRWAISQLP MKGRGICSICPDFGWLRDGGSYRCLCGLYFVSDRLLKEGKGGCYMGGKKCKGQTDLPL TGPYYEPHLTINVAGPMGGDWTYKIFGTDNPTKDHVRWS LY89DRAFT_740589 MRLISLFTVVFFSANCVIATPVQILNGKGLSFADGLPEGYSIGK LRYRATIDGLVVGFEGDSREDIYAQVKAKSPDFQIPYGNATEIKEPTALLESRDPSNL KPREFI LY89DRAFT_272095 MAKEPDPATGSLLYFLVKLNLSGPEGIAVSELFSKWTTSEEERE EVNAIWKALAAQGDVLWVDGEEPLSQSPLTKHSYDELVAARLGSKKIRLRDPRWDFPS EVPVGKLPWPPILDTEAGRCATHIACHSDIWARYPQWAIIARQPTPIPGWNSWNLAAS ALSPGRSDAPPETPRRRTARTRLKSGSSSTLRTRRHRDDDERPIREALYTSYEEMQRQ EGTSGVYMGLPGTENTQGHSKAKSSLVAVFKHPKLKDDTHLSSGRGSWAPYVAEILQK DLEHVKKTTKRPKRNQSGRKYTKRQKKDVAPDASSPPPSEVPALEHNSTPRVPSRTTN SGTDAFEMDAGALPSNGVASVAVSSQPLGAPNASSFLAPVQQMPQLQQMPGSSAPQPQ YRHIDPYSHPIQQNHSDTPQFPPAMEPTQSSPLPATGQLPLGGAAPTQRRSRRTSRQS RQSQSLPSSRSASQPAVPPWPPTTSNQWPQANASTYNSPYQAEHGTAPTAPRPDWPSP SAVPPRHQDHTQQSMARHDNVDYSTGYASLGYTVPPPHNHSLLDRISNLGRATTSYSS PYVTSTKPKPSVNEPPSVKTSFQPIFSAVTPQQSGQNVNLPQDVAANKGNSLGFRDIP AKSITRTTPQPNSRASTPSSAINPNSPAVYQSLNEYFRSREGSHRGASEPIREHRRSS QNNIVQQASSAILNASPALLAGSTQARSHSSHYQQHTKESGSIEVPQEQYHAGPPSPI RSTVSAAADRLQTLLSSNLGQLEEPRDAHIQGGDTDFTPQPLTSTVIALVPSKTSGPN PIYTKSNRSRKRKLSANPTLEDNHEAPKAKTRKRKSSQAPNQAALEQSPVIDVQEPAR ELTASEEIEELLRAASEQAIPGDQARLPCIFTRYAGNLLLLKDFSTLEFFWTGQNPPQ PPLVTIPVLQIAENPITSIRGSKPMQLRVTLKNEDDEEPVIHNFIYGKSAVSSRAATQ MREKIVIAMGAERLRTGGNYQRPIEAKEKITKPYLCTLCNARFKNPNGLQYHLTKSNT TCNPGFDPASAKKPRGGRVAPPKEAMEPTPRATRNAKATAKETVDSDAAESSHASESS DDSILEWARKAAGVESPRSTSRATRKAKPTRVYKALNRETEVLGEILASISENLDQDV ETPALPAPFPSELPSLSRVASQISSTDELTETVAREMVRALIHANNDVFPGQKSVWMG CVALWLKMHPQTDVLPRSTLCSRVIDDLMDDKKLESTDFTFIDHKSRLVTRNLIYIYG ADISGPRTEMLKTSMQEIHPKFFIPSHLAPPPLVLDALQALANRQLARRPVQEEKLAE AGNDDNDLVIFDSASPDSFPEGDLSDSNRSNQGKFATDEDDESESGSEFEMGRRNNTR AVNSRQRRARNSRPPSDKRYRSPTTNARISESLKNRWKDIKAGKLEYPDYSSRRPSGR SRLWGEPIVGQSPSQTWPGANAYLPNSVTGAWDRSTAPKPKPGPKDGKMPFTPRPAEP ITFLQAMDGSWSVKPFGHGVKPIYCRPNRRAHGGPNLPSYLKRIEDGHRPIIYPTKDG IYLPTPPSKVTTKQLVQNLSVDIAPMTNPKRRHTRRVNVSMMPPDLPEYLSEDDSASD FEEPTDFVDLASSLRPKRRYTRRSSNIENDKVVYVNDMEWDADIVVLEPKKMQKDAPP NPGLDTIPPRFGLPASMYKGPDLQSIDFPTKYFSVMYTEQKYMGEDMDGPKECSWTLR KLQSTRDLYQVQWVDDFAFTSESIPYHELEADGQVLPIAQPGQVEALLRKRRAKTTLP RKKSHKQITFKPVAGRPSLKERIAWTRSQLAITSDFTTVFRDPKKVSSKFHIEIVEPN KASQKRQRHVPSNMSPADENRFIVAVVVQRILTGGLDLLSDWVLIGTIFQDYTLNFLA EYWKRICEGKEAVIDQLGRDFQAAYPAAYKKGVVPPFDWNDLASNDWDGLISWVQDNV VSVFGLEDAAIPDSREELEEEFDMLESVVEQNWRNMYFAPGSMKYKRIELSSTEPLVT SITKEVSGKDSDETLRSYVRATALTPELDWPVAKLSAREKLASFDEESVERVISSLIA SKVLMHRNKGRAVPGRGFEGTDAFYANLRKHMKEPLFIEAITYKRWMDAEFRSGTPCL LVDYMANEGSILVLASLQALGRIRIDLQNLPMEKFGLTESGYETKSIPREKITFDMTI TPTPSYLYDEQNEILQSFFELSPPKDEEEGRIPIWYGISGILIPDIWKKVLVAFAQTV ALRTGINLDGLTKVFRPTLGKWEVKILMQWGVRARIFECVNENVEGWTVNEWWWALVG RMCTT LY89DRAFT_787818 MLLLPKVSFGSITLTYIVINLYSHIFPISFPTSAFSSLFVMQAA LPLHHLSKPSHAMETLPIEIKLEILRHALHSSVPLAFCPLPLSRSESRREFAKYPTQT YLRQRYSSTLRLALWNQCLINEPVTKQFMETFSVLSLVSKSTRESTRKIFFGENKWVL HITRTFNAISWIEKYWGVEVLGLMRDVRIEAQALRKACFNALDVFTTAAAPGGRLNSL EVQWLEKTRPLQTAMRKARGENDETWHFHPMLRDHGLERNSEGGRGLIIQPGEEHEYD EDDLGTKYEGVNSEPEEWQENEVVLVPLGKLRGVRKVRIEGTVREKWATWLEGVMMSK PEEDVEEFPLSAAGRRILGLLEDADGLLLLQN LY89DRAFT_597005 MTIRERRKASLEAEGYKDLSQDATRLLADGYAARRTRRKRNWYN YCIFGGISGLTILAFLLVLNLLLGVATLLWTSDLDHVLQNWGQPGTGTEGLAWYPTDF TRDILPIPCHSHNDYWRRVPLFSALRAGCTGVEADVWLFDEELYVGHNTASLTRNRTF ASLYVDPLVKILEDQNSSSDFYNGTSHGVFDVDPGQSLTLLVDLKTSGAETWPWVLKQ VQPLRDRGWLSFMENDTVHTRPITLVGTGNTPFDVLTANSTYRDAFFDAPLDTMWEAR RVTPEMQSWPKFDDSLPGERLDEEEGSAEEKLPTSSSETQDLGQGLSGTLPDTEFNPL NSYYASVSFGKAVGRIWRGRLSPRQMKIIRGQIRGAHRRGLKARYWDLPAWPLGLRNH VWDVLVREGVDYLNIDDLRGASKQVW LY89DRAFT_272139 MAISILSTLLSSIVFLTCYILWKKVLISSASSKAGCSSPIKYNH LDPFFGLDLFIRKIRYTQVGDLLALDDEIFAKYGKTVHTLFFGANHWMTMDPLMIQAV AATEADKFGNEPTNRKSCGPLLGDGAFTVDGALWKRSRDIINPIFSRSQVSQLSSLKI HIQRFMEHIPRDGSTIDIQPLTKMLFLDSSTEFIFGKSAGSLTECELTIGAQKFSEAF DEGLRGMRKNYMTGQFSWLIGTDKKWLEKCSEIHAVVDGYIEEEIEYQRSTKEMGLST DTPSESSAYKYVLLRELVKNHSDDKLYIRSELMNVFFAARDSVGTVTANMIFHLARSP GVWQKLRKEVGGIASNQELTFEFLKSLKYVQAVIEETLRLVHPVDRCWRTCLSPCILP RGGGNSGREPILLQPGDQIELVYGSMHKDKDIWGEDASSFVPERMLGLKHSWQYIPFM GGRRTCPGQQNAYTDMAFFLVKMVQEFKTIENRDDCLEYVEEYVMTKESRNGVKVAFT VS LY89DRAFT_701112 MPHKHVRRGEVDKSTVDLPPTSVAEPLPVSKSAKSNGIFTTEIN AKRNNKKRKRKDDKDDTPKAFARLMAFAQGKKLPKGLDDGVKETKAAKKRRVAAAAEN EKEESAKVEEPRGEVPSIRPGERMSDFSARVDAALPVSGLINKSTRGGKDPLGLKVGR TKTEKRMHRMYDEWRQEEARRQEKRQEALELAEEEEMDEDGQVKWKVDVEKNGKKKKG KKRKVLGEVEDGDDDPWAKIKRDRGEVKAGLNEVVLAPPTFSKIPKEKFKIRGGARVE IDDVPKASGSLRRREELGEVRKGVVEGYRQMMKEKSGT LY89DRAFT_690005 MAQTLVLNPPLPSHLVHQFPLPTFIENLAIRSNGQILITVVNTA SLVLVDPSNPSTPIVVHKFEGVLAVTGVIEVEHDVFYIAAGNFDLMKGNESGSYSIWK VDMGSFEKEGQSKVEKLCDLTNAGLPNGFETLSNDKSFFLFADSEVGALVKVDVITGA SEVWVQVDEMKCSPEGLKIGINGIKYRDGYVYWTNTSKQLFCRIKIDDHGKIVGEAEI VTKDILVDDFVFDKKGNAWMMTHSNNTVVVVKTDGSLVTAAGELNEMTVAGGTAGQFG RREDDQHILYVTTTGALSAPVGGDKVEGGKIVAIDTSKFE LY89DRAFT_272178 MPTSQKESKSSLNRGSVKSAVDAPSGTQRITSSAYSQSGGMKTH THLHAVSIKVQKAGTTTPSSMSHSQNSARLTKAASSSRIPVSETINVVASEPPVRSIS FRPAETSISGREISDKQADEMCRELCLRVWKTDLGKMWDSARAADKKGNVDDQLYGQS LDFFYCFLPMVIKAPATDPVTVSKQGLENYNVLFNRFGDGGTWGQGQSGKNFPHMWFS GPGSEGF LY89DRAFT_656880 MHSNEQDLRCPGCHKRFTRLGALIGHIELETCKSLPNIDIDLAR REKEQSNAAVQSARSFNDFSRTGVSFSEEPHPLVRTPGFPSPAQRGQAQAQAIVAPPR QPPPNIMDAQEPLSTPGNAWTGQQSLFPDAPPAVAPPPDLAATMLQTNAQPGPEANPY DPDTPGFDSRKYYITIINKFKCPYRGCGKSINNRNAFIAHLKSPAHRNEKLQCSTCLR YYDTATALTQHMESQGVRCKVRESNSYMTAVDQVTGGVALPFGKHQDNTVKYVVNSGV FDPASGGVVAASKSAAEVKNQTFNKFWEDHVPKW LY89DRAFT_690007 MPRKWARKCERYCCLGATYFPLFFVYSITSWAVWVEATIGFLPA KTAWIGNGTSFLGIALYVLLNWSYTTAVFTCPGTTTSQGYSSLPTTAPPPATNFTVKA NGELRFCKKCQARKPDRAHHCSTCRTCVLKMDHHCPWLATCVGLRNYKAFLLFLIYTT LFCFVCFGVSAVWVWREILNEGEYTESLMPVNYVMLAVISGIIGLVLAGFTGWHIILA SRGQTTIECLEKTRYLSPLRKSMQHQHIGSYGQQMDDINSGQRHEFSDAVERQRARDR YEAYLDEQDSEKLPSAFDLGWKRNLGHLFGPTPVLWFVPICTTTGNGWSWEPSPKWLE ARERIAREREEQRMRENAAGWGADPAPAPPVPQKQEGAGRHYVAYPSRSTSKADRILG RNPDDYADTGAVSMENLHPRNEDDYDISSDEDETERKALDRKAAVGWPQKVGVVTNTL LGNTLARQKDDVRGWDGMDEGVD LY89DRAFT_690008 MATSRINSKSPTIKRILREAAELANSPSPDFHAAPANDADLFDW HFTLRGPPSSSFAEGIYHGRIVLPPTYPLRPPSFRFLTPSGRFEVNREICLSISGHHE ETWMPAWGVRTALVALRSFMETDPKGQLGGMDCSAAERERVAKSSSAWTCSVCGRSNN EILKESEEASKKEGSSSQEVEVPKELNMAWKDELKGTVKSKHDSEEEASLAEGFFGTG TDGALDTRPADTVASISTSPIPARPPQGVPQPTGTVTPRPPAIPVQAVQRRSNDGVPM WIDRTIAAIVILLVAMVFKILLGL LY89DRAFT_761061 MDNQDQKIKSLEDRVEILQQENAQLLDRLRTAPAHGLWQADKDV DYYRNRLQSINGKLGEWAREFATTKPAPWQGFSDYERWNFVNNQLSTVTRLVDGDVSN MLKTTEAVRYILESFATYHVCVKIFANPFFGSPDHRLQDAGELSWVYSVLLPKGKMAA HTWRSDTLRELSDPRSPKLSSEATDRLKQAAKNQTVLFLESSMRCLLHQSKMNEYTRR LREIFELAAETAHDIWRERVSIKCQTMADFDKLTGEEGKGDWWEDEAQKGERFAILLL RPELTIVDGAVVETPNDGKQEQEQIVIISDAETMYAKSG LY89DRAFT_740600 MSSVYLLQWIVIAVLLVTVLVLIKYRNSSDRQSPSVAWTSTEDK IHAEEQIQNNNVLQKANEKLARELRYLCREGGPVSSSRERLQALEACQTQLRATERVL QDTQSKLIILEHGKSVLHERQRAEGSHGK LY89DRAFT_627652 MASADPITAITVSLPPAEDYLMYLTILEYNMSPEILPTLEGFLQ DPELTQHIGWDLIHLLLPQPGAEKCLTTIARLGNPREVILKVTEELQKLDLESVEEEG ETPPGTTPNDLDGFCTLVNLLSILHPRIKTKHPSRFLSTSLMAVLTAFRPSNRATLAL IAFVHTVFPGKKRPPLPGRKSSLQIPTSSLTDQTALDPEAQDEDPAESAIQAKLLQSF VTHVLEEYVNANTLEWAARLQEAFEPRKVVAGRTSLGEAFREEVELQTRDTIVGQLVA IARDLGLTQYPVLFDAIYNTDSHPSEYETEYPSTPGDIPLSQAGSLFLITSFIFSSII FGSQMAAPELSIFPDHAKLVKHFIGTNGPMNIGGEETGVIDAILGIGLWLEHHNHFVS GPLEDEDFLQHLQFLSLLSANNPSPTLRYAAHTLTSSILHAHPVDRLRLTFITDTLEE CPFETLKGSAVSWLKEEIITAQERKSHNAFDSTVALAAAQPYLFPDTSSLASADDMEL IQELEQSFPFHMSVVNFLYFVGGKQYTHVVPTGMMSVVEEIYLGPLRTAQQKALKLVE AESENSSGGSVVELQLLGDRIALCSAQIND LY89DRAFT_710930 MAAQGPSEQDAVALFEDVQKKFPHNTLGDDKWYMVVLSAIVGVE PEHAVSLYSYLLKKPEFSTSESRQTLIRRLREALVKNVALQGVCKPLEALFSIAKIER PEDKDYSCSREHWQAGLQNRARGEKWLNTIYEGNLSTATDQFAAHRDFDFISREITYG FYLSDHSIISPLETELVTLSGIMIQNLPLETAWHLRGTRRVGVSKEDVELVQQCVEMV AAFAGARLHKIPRVADIEHEV LY89DRAFT_627659 MAIMDIIHGRQDGKNVQNLAQDDVAVITDSDANSLEARNEKEIQ EHPTEITANALPGQQKAEAAALVWPKSVVYATYAWIWICFFMLALQSSIGNNVIYNAY SSFNAAPQVSTAGVCASIVGGVLKLVIAKVLNIWGRAEGFLFFVLVYIIGIVVIATCK GPNAYAAGYTLYWVGYDAIYLILDVFVADTSGLRNRAFAFAFVSTPFICTAFTGPLAA TSFLDNGGGWRWAWGAFAIIMPVVFVPLAIVFKFYQLKAEKMGIFVRNPSGRTTMQSI VHYVHEFDVVGALILMAAFLLVLLPFSLEGYAFTTFGSAEFIAMIVIGFLLFPVFAVW EKWFTRIHFVRWELFRQRTVLGACILAMLLNFSFYSWDLYYYYFILVVYNLNTTYTGY MTQIYNVGSCFWGVVFGIYVRTTKHFKYACLCFGLPLMMLGAGLMIHFRGQEGSLGYI VMCQIFIAFGGGTLVIGEQMAVMAAADRDGVPMMLCIISLFGSIGSAIGDAVSAAIYQ HTFPGALESALPAAEKFNATTIYLGGYLTQIEYAVGTPTRDAINYAWGYSQRMGAISA CSILVLGFPAIAVWKNYNVNRAQNKGTVI LY89DRAFT_656898 MLSTRGQKYREIGYQHGLLDEYNEETNPNGIVDLSSAENFLIHG EVIQFINSHKLDASCCGYGEGYTGTLRLRKAMALHMNEYCNPFEPLTAEHITFAAGVT DLNEVCALLTCDADCGDAILLGKPNYGSFARDMVSRGHISQVFADFGGADQFSSDCVP YYLKAYDAAKEEGINIKALVICNPHNPLGQCYSREALIELMKFCQSKQIHLISDEIYA LSTYQRTDRPSEKYVSVFAIDSRQFVDPNLIHVLYGLSKDYGAAGLRLGCLITRNAEF SDAARSLCRFSSPSQISMSLAAALLEDRIFLKSLLESSHSALYKSRKVAEVALEQVGI KYHREGNAGLFLWLDLRPYLPTTVTNGDEWAAEKLLWNRFENIGVQVSLGKRYFAPNP GHFRMVFSNHESVVLEGIRRIKTVCNRSKNKSDWPCQSLS LY89DRAFT_656902 MSLHNSSQKSVARRNGKLQACEPCRTRKIRCDHGSPSCGRCVKR RQEAQCYYHPAPLTKTSDKPDPSFTPQAPGRHTLTSSPPAAGSIPPSPAPRTSWRHAS TLTPSTTSTPAAPTTSTVGERRASVEEFGYLGSTSFSGIIKASKHASDDVWLGPLAQV KRTLRTPAHWHLSSDRVTTGARVLGLLPDYVALEKILEMHYAASQTVLAPWISISRAT DAIIKTLRDEYPTDSQRLLLAEKIFAKTGEPLEIDEHTTVETLHESFTGANLRWEILG ILFTYLALGLKSTKLNTSQSLIERQELVGDLVHASNVCVSFCDRAESQNDLLVWLLYG STCLVTIHYGDTSYLGWRRCSELASTILAMGLHRDPSNNPNLPLFMVELRRRAFAIAY SVDKNISTFFGRPPQLAMRYCTCRPPYDLTDDEIVASTPVMVLAISRLDPAGWNTHGI IHRQTWARIKMALNTILEEVLDLSLATPPPAPDLEQSQKAQTILDKAEATWESIPSFA KYDDNCWDSGLAANDCFSHLYCLLHFTYSKFLLYRIQARHGGCSWSAVHLTASKLLSR ILIFSKHRDRISGPANDAAWEFVFYGLPSAALLAIELLRQQQQNLSPSADIPRSETIR NISVFISSLEWVAKPGDGNYDICRGAKLMLEKILDAILDPQPVASTVESQQAPLDPLS WMDDVFSGGWITSWPPTYGSGIEHELMNSTSQDWLVQF LY89DRAFT_723978 MAASFPYIQAYGVVLCVECQTCLLPSRSSQERHLRQPPHHRKGP QLQALLDLFATYELQLPSRVVLPNSPCSAAIDGLRCYSAFTCCLCTGCLTRSKHALEV HVSKAHQQKPAQQVEGSSWRECTIQTFFAEKQHIRYFVVDDAKEAAGASDAEKAELGD TLERIEQYCGRFLKQDTETPIGEILSWRLLLFIVSKEVVGPHQAQWDVDEKVLTYRDV DLHMDHVPRLLLSDFQQAQHLLYDELMFGAQNLPRMRTWALKDNLDADAFG LY89DRAFT_272324 MGSGQPLRESELFSVTWRNTQRRRNVYLKHGLVMLHTTYHKGQQ QTGKFKDNIRFLPAPVGDLLLDYLVVVIPLRQVFLR LY89DRAFT_690013 MVPLLGHNPNVSLNLFGILVVSLVVNLKALNVSCKLSFNDINYH LPLVGYV LY89DRAFT_723979 MEACGQKLPNEIWIRVLQNLNTDDDLSFLWITCRQVCTAFKDAT ESMFRERLLPKLRLNFLLGEKTLPQLGERFADDRQGDFYLPERDDRRFSAVPLSCEFE FNHLSEDKKTVFLSVDEELSDELKPVTRKRMREFAAETHLEIPHHTIQIRRDVNDGPI PGLSFDYETFEVCCDWEALFSAFYGEEFLYHKLLGQAVGKRETWLEELKAKVDRKEMD AQQVILKALNGFAEDNTIARKMARRARVKRQMQMFDGTEWDFVRDGDEEEEERILKNV QQLRQFSSFEEWSDDEDDEDDEDDEDDEDDEDSEDSEVSEEGSQEWETEDEENDEADE LY89DRAFT_627669 MNIAASPRMHVWRRFSHKSIRTTLYRQRLSLPGQSLTRCFHHHH RLGSEVSGEGSTKINVADAPFWKEFRGCYHNPLPNFKFSKQSPMPLSWMGNSVVAVGF LQKIANLGEALVFAHLQGPGTSDTVQLVCQDAKLCKELSSIRLGSPINITGNLALKRA PKREKTGLEKTPDGFASLHQIEINLTRVIRLNTFPADLHHGADHVFSPQDRHLQIRYD SELKERLLFRSEVAKYVRQELHQFQEIETPILFKSTPEGAKEFLVPTRRHGYAYALPQ SPQQYKQILMSSGVSRYFQFAKCFRDEDLRADRQPEFTQIDLEMAFTDGMGVMRRVEQ LIKNIYKKFARPGTHLRSPLPQAPFHRITYEKAMSKYGSDKPDLRLKGLIHRIDQAVN PELHGMITSIKNPKFEAFKIRLGTSPSGVQKFISAFMDSPDAEIFRSNPDGAPGICVY DPSKPLEGLLPFGFEGAQKLKELFSEISQQPWENEETHEANRTFDTGDLLVFQARPGL PHSGGSTFMGKLRLAIEKASLAEGLIEQDLNHLYLWVTDFPMFTLENGSDPGQGGKAG FSATHHPFTAPKTAKDVDLLLWKPLEAKADHYDLVVNGVELGGGSRRIHNAEMQEFIM RDILKMSEERINDFAHLIEALRAGCPPHAGLAIGFDRLIAVMTGRESVKDVIAFPKSS KGEDMMVKSPAVIRPEELKRYGLELRDASTETL LY89DRAFT_690015 MASSFEKSVKGATKIKAAPPKSKYIEHILIATHSGENGVAEVFR ALQNRLRDSTWTVVFKSLITVHLMIREGSPDVTLAYLARHRSMLAIGSFSDVQTQGRN IRHYTNYLMERARAYRDTKCDFVRGAENRLEKMTVDKGLLRETEAVQHQITALLKCDV LDNEPENEITITVFRMLVLDLLAMFHVMNQAMINILGHFFEMSKPDAERAMEIYNNFT KQTDFVVQYLSTARQYEHQTRVEVPKLKHAPVNLGKQLQEYLKDEDFEINRRQYLAEQ ESKGSRTNGAGTKAFSTPAKSDAESRAAAGRAFPDASNQSATKTSAPAKGPDPDLIDF FDSIEQNQQTMATQPGMQQQAPQFNNAFQMQQPQQLQQNGFVSQPTGFHNPNQFNQQQ AFSNGFAGMQQPQQQPQPQQQQPQPVQPNFTGAGFGGYTPQPAFQPGGLSSIPQDSAV NFQQQMPQQQLGPVQTGAQQTTNPFRQSMMMASPTGMQGPSFPASPPVTSPITRQSTN PFAKSISPPVQPFSPPPDQQYQQQQQQTQQTAAPLRSMATGTNPFAKNLAMNSAQRPQ TSAGLAPQPTGSTNPFRQSQFVNTATGTGWQHAQQPMGGGLDNLETVPVFPRPAQQQA WQQ LY89DRAFT_690016 MIRNGASKSLESNPSDDICPVCKSNRYLTPSLQFLINPECYHKM CSTCVDRIFTSGPASCPVRYCGKTLRKKGFHKAFFGDLKVERECDIRKRVGAVYNKRQ DEFETLLDWNNYLENVEGLVFDLVEGGKEDKMRAEEKLRAYKERNLGEIEANKRAGLE EIEMEKRRERAEKEAARQRRLAAVREAEEEKMDVEKSRREVLEKLASGEGDAREITEM AQKVVLKKSGARRIASEAILQSNGSAKDPNLTIRGLKKREAPVAEKPYEPFGGVDLAP SRYVLQEDYDSEWLANAKKDQRHAAGGFSLHEYYARTMFEAFSGLGVFIEDEMGSKAL PAVPSSIGTEAAAEASTGKIKVEHKMELDDVF LY89DRAFT_675699 MTDKEPTKEEEAAARAMEAAEQAALPYKWTQTIGDLDITLEVPG NLKGKDLNVDIKKKKLVLGIKGQEAIINGDLPYEIRVDESTWTLATSPSGTKILELHL DKVNKMQWWEHVVTTAPKIDVTKITPEDSKLGDLDGETRSMVEKMMYDQRQKEMGQPT SDEQKKLGMLDKFKSQHPEMDFSKAKIN LY89DRAFT_701125 MRFLHSLIPSLLVLGAGVAQAASSWSFDEAVISVTGKSGASSAF KDKLSDHVPLAKPVTLGATDSLKIVLTATEAGTAKRPHQAFLLLRDQDTGLETTFPFS VKENGKGKVDFTQKDLPVQLLLSSQPLRATLLLASFGSTQAFSNHVFNLEVKTDPNVP LPKYEKPLRYGKLDEIHHIFRPDPKSGPMIISLFFVLAVVATVPILLGTWAYLGANLS HVSKAMGTAPISHSLFFGSILSMEGLFFLYYYSWTLFQILPVAGVISTVIFLSGTKAL SEVQSRRLAGER LY89DRAFT_627679 MAQPLKDSFLDLERHRQLLEENIEKLRKSLRHWQMWEAEYEGLK EEILAAETIDQGQLLAIANAYDGELVTKKEVEELIGISKPRSAAQVVNLLDRRIDYVE QNVRTVQKQLESAEHKLAAASIISAPEIRNEEGLPMTEIIEEIDEEGNVISSHTSTPG DARPQLLEVLKKAGVKDLPTTSPSPVSLSQNPTSKNTPKPRQDDPKSAKKGVSFAEDT KPGPESQKSHTAMRVEEIMKLAKQNEGKSSEPPIIPKNESVEDAALRQEMLQYGMSEV GAVVAELELEEASDWSDGDYEDETSSADDEDAFGRSTGKLIDDELRQRMLELEERLGV RSMQNVGKSGPEYDVVQEGIGRVAISGQENIPPKSTMIDKSQAKNTGDKSSKKSVRFS EELDISNPSPEPVASNPTPKERLQAPVGDIVERAPPAQASNTTIPQPQKKASRFKSSR TPANVLNGPLALPNSASLPLQPARSANPKPFSSPIQFSPATDSERKVPIGPEGVTLAS KVVERDVPLDTSAAAPDELDPHLLNQEVAMEYHKMRNRMIGKQGGFMKEEEGEMVPFT EEEGGPKKMSRFKAARLGKS LY89DRAFT_690019 MSHSSPDNSFTVSSDFRIRDTAKKFKYARWTRQEQQFSVDTAAI ATAFPDFTQGSTSDDLTIDLGRAKNTIQHFPKSPSLPDIQYRTVDSTNTDMLRQRVLN KSSTNNIQKENMAPNSSPYVSNASRTISGDRKQLAELRAQVQSDTENSTMGTERTGTT TFPPSKVRGSRFGQTKNYKSDRVDSQQQIPANISARFTSPAKSVTKTVAQPTQSSFII HANGDMTARDTEELGLPVIVEHGQVQQRKSSARRFKRVSGIRTPEEEKELWLLSEKLK AENAALRSRADYQQHVNNSLQEQNARILREVSDFKTRIASEFEVKITEMSRKVDVVMS ENTMMKAESAAIRAKNAALSNEKEVEGHELARLETELAQLRADFQENNTQEVNTGIQI TSPKKTQKSTSHTVRSESRVEFEGTNTDMQFEIPNLQAQQTSTRPSREFRAETQETNT DMEFELPKPKGQQTTTRNLRSESRIESQTKISTHFEDANSNARRTSARSHRQNSGTDL QRTDTDVQIEVSKLHTRKASTQTFRPKSASEEQTLRPSVDPQQALATVMAQLNEELAE LKEEHNQVTSEYMALDASMKRRMRKEMKSEMDRLLRAIERKADQVYLLQDVQEGILPY EEGQSA LY89DRAFT_675703 MHVQRRSHGLKFKWRTSQPIIAYLPAMIEAPLSSRRNSVRGCSE VFETSGKRNANHRSSPRTKIHYQQQKLFSLPRKSRKAGPADRGREDADLCGVPRELEL ELVCMRCGALLDEMPSHAGVEGVGTSTATASRHANKLELFPSIFPEHFDAGKYILGPW HLSTMLADLWTWFEG LY89DRAFT_272525 MSDKVIEDTFTMAPAPVVTGLDGKHHGVLDVHTDGMYENGHVIP TEEELETLPRIAGTMPWAAYMLCGVEFAERASYYGCKQVFKNFIRAPLPEGGNGAGAP PRGSQKTAGALGKGTVIASAMTDAFTFLAYALPIFGGWLADAHLGRFKTICIGVAICG VAHLIMIISAVPSVIQAGHAIGPFALSLYMLAVGAAMFKPNIAPTVLDQNPHKRPHVV TKNDGSKAIIDPEATSESVMLWFYLLINIGAFFGVATSYLAKYVGFWASYLLPGIIYF MLPVLLFFVNKRLIKLPAGGSALGDFCGVILLALKKNGFKGFGRKGFWDKAKPSVLAA SGDSRIVHWDDQFVDDVRRTMAACAIFLFFPIQQINDGGLGAAANAQSASLTSNGVPN DVLDNLNPLAIIVLIPIMNHGVYPLLRKLGIRFGPIARMTFGFLIAAIGAASYAVIQY EIYQTSPCGYQASTCEIGTGVSNLSLWLYAIPTAVTACSEVFINVTAYGIAYSRAPQN MKGFVMALSLFMTAISTAISLATADAIQDPYLIWCFAVPSVVGFISAFVFYWLFRHLD DEEFFVHVDEHLGTTSSITGSRDEDSLAEKRAVGVSQKSF LY89DRAFT_656921 MAAIPVIESEVDFHVEGAGKPCKTWYTIFGILPSPDGVRPLVIM HGGPGVPHDVLRPLQNLWRTHRIPVIMYDQLGCGRSTHLPEKLGDGSFWTVQLFILEL DNLLGHLGVQDDYDILGQSWGGCLAAEHAVLHPQGLRRLVLSSSPASKPDLMLASQKL RDQLPSDIQEALIKNEDAGTLDDPQYKQAKMFYFSQHLCRLKPFPDVLMASLKGLIEK TLSWKTRNGPYLFKRTGSTKDWSIKDRVHEISVPTLLLNGYYDPTTDSTMEPFFKGIK NKVERVKFEHSGHFAHLEEPEAYLQVVGDFLIKNGSKVE LY89DRAFT_701130 MHRLVERADSTTTISPATTYGKWEGWGVSLAWWAAAFGDQDDLA DIFFSTDWTTFNGVSIPGLGFNVVRYNAGACSWNTAPDGSTMVVSPDMISTRQMDGYW CPRTDLSTASSWDWTVDANQRAMMTKAQSRGVNYFELFSNSPMWWMTTNHNPSGNDDG SNNNLQAWNYDQHAIYLASIALKAKSSWGVTFTSVEPLNEPYDSWTGDTGTQEGCHFD RDTQNEVVIYLRNELNSRGLSSILVSASDENTYDQATATWNSFNSTGQASVGRVNTHG YQYGGGDRVALYDAVTASGLSVWNSEYGEDDATGEQLVSNLILDLIWLHNTAWVYWQA LDGGGWGLIDADVETGTIGDVSQKYYVLALFTRHIREGMYILQGGSDYTVAAYDSSAE KLIIVAVNWGSAQYINFDLSEFSQGGVDGALVKRWSTQIGSGDQYANFTDTYLSGTKF WSYFDEDTVMTFEVDNVVI LY89DRAFT_710942 MTHIPVDVLGNPYGSKVVLTTYPDIGGVRPVPLRWGESEPSQRG PVIATRTGTGAKTRNAIGAHGGSYSVYNALAIAGGDLPPDFLPDFTNTEPTFDFKQQP AWGSAEKIVAMDPFGHIISTAYKKYLQEGYDVRPTIAITRATMRVGEIAEAVKDGKLP VDGDIVIDDEGNVRVTKVAVEPVWYLPGVAKRFNIEENHLRRALFEHMGGSYPELITR PDLKIFLPPIGGLTVYIFGPPERVSDPSCNLALRVHDECNGSDVFQSDICTCRPYLAF GIQEAIREAQQGGSGLCIYFRKEGRALGEVVKYLVYNARKRGGDTADKYFQRTENVAG VRDMRFQALMPDILHWLGITKIDKMLSMSNMKHDAIVESGIPIIERIPIPDDMIPKDS RVEIDAKINAGYFTTGTVRTAEELAEVKGRGWEQWEDVAH LY89DRAFT_656929 MALNVTVVSHPLLAAKLACIQDRTTDSATMRRLVAQAAQIITVS ATASLQPGQNCALVPLMRSGLAMVDAVLELLVPGNKVVVHHLGLFRQRSQKTSLEAVE YYNNIPTTKPPVEHAFIVDPLLATGTTSVAAIDTLKEWGVGEITFIALIATQKGLERA AKVWPGKVKFFVGAIEELDESGHITPGVGDIGDRLYFN LY89DRAFT_787844 MDAETQYKYLLSLESVRSSAKCAYKAAQLNKLRSFDFHPDRLDE AAEHVCSLIMRDFSPEQFKTIPPHGRWQHFETKDVPRLEELLQEWRNAGTDDLECSRR LVDLFLVSVLLDAGAGDLWKFTEKSTGLEIERSEGLAVASLYAFKDGVFSKSSNEVNA LGLKTLTAESLGSAMQSSVDNPILGLAGRAELLQRLGSSLLALPEIFGETGRPGNMVD FLLLSKSRDNPIDLKNLWDLLQQLLIPTWPTNRTTYNAKPIGDAWPLQILHEQREHDP SGTGIQPFHKLTQWLTYSLLVIFERLLDIKWIGTEHLTGLPEYRNGGLFVDMGVLTLR AEVLDRGRAASGTNVPLFDPTDDVIVEWRTLTVSLLDVTLELVNRKLAEKTGEVAEPL TLAQLLEAGTWKAGRELAAKYRPQSKGSPIEIQSDGTLF LY89DRAFT_787845 MEDNTQGAGSGEGNVEDNYVANSASPENSTASSGVRKRISKACD HCNSARRKCDGHQPCSYCLRTRSRCSYKRQAKKRGKTPASASKKLSASQTVRPPSQTP LQELATTSTIATEASQIANHVHGISQEVQRDRDVHSVPTDDLNESTVEGFTFSPLVDD YQMFDFDPGWGIEDFGDAIENASLLNLNGSTNIHEGMVMGPSEMLLESTNLDPSNQSR KNGAPRISSSHRVTYGIHSFMADDEGGTCSIRDTSSVPSQVLNNTLKISRSGSLPKIQ GDGTTSEQQRLNGTLRHTASLQQESSGNSTIQYPVLADTIHLLRPIMPDTLSFALLEA YFNTSPVTNAYLQPCVPPSVYRKFSFLRTNEPRQSSQVLLVSMLWLSAQTADIPLLNA SIARRKYVRRKLLELTTRLLKPLNEVSLDGNPPRRQKPSSTTQNQTEEGIYSEVKPRN SMNDGLDEIMAYVHLAMVTSASEFKGASLRWWNIAFSLAREAKLYQEILDPLPESQGN DDSGGLSPDSPQRARLQSYRQGHAFGEHSIFASLISEEGKEERRRVWWFLYAIDRQLS LSYNKPLALLDSECQHLRRPCNDALWQSDQSFELIQLSDIGKGPYFECKGASFFGFFL PLAALLGEIVYFVQAQNHPRFGISQSTLLDWKQWEKGISDRLHSYEHSLKCLLELDQV LPDAGLPSGSYPSLSPQSIGQESPIPLQNRISYAYAKVNIHILHVLLAGKWDALVLLE ESNTWYSSPAFVATIGHVVDAAKSAESLLDLDPDAHFMPFFLGIYLFQGCVPLIVAAD RLKTDAAEIIIKACDTMIRVHEAHIIRMPSEYQILFVSVLRMGLKEMQGRPFYASGDP TSRYRQVFERYSWASEGRGLAG LY89DRAFT_787846 MFAKQSALIASTSCIGCIVNALPATVANSIIWYQCDQNSTIPYT CGNLTVPLDYSESNSNQTFTLSLTKVNATTQPSMGSIIFNPGGPGETGTDFVTDLAGP LLIGTGATLPVSCYENDADRLLAYLRTPVTTNTSDTALGTMWAVKQSLAQVCYENAHE IGDLVGTAFVARDMMQIVDALREDGMLRFWGFSYGTLLGATVAAMFPDRMDKVVLDGV LNPTQYYEGRDIQELATSDASFAGFFNGCLAAPPGSCALARPNVTASQLEQDILDLLY DLKYNPIAAAPAEATTIVDYGALKSAITLSLYGPSYWPGLAVAFDGLLQGNASALVAF VGSVRSSTSLNLIEVNAAIRCGDNSLRGKYLKDVLPVVDALYNESFILGDIEAQVTLT CGTWLMQAKERYEGGFNEIHTKNPILFVGNTYDPLTPLVSAQNASAGFSGSVVLQHDG YGHSSLAQPSLCTAKTIRAYFVNSTLPAPGTICEPDVPLFSNVTMLEVLAPIANLTKR GLNTEDDVALLTAMKRLSGRVDMSRVF LY89DRAFT_740625 MKKRISHNKSRNGCQNCKKRHVKCDEQGPPCAACIARDFTSTCS YKTSPPAQDAGSSVVSTSTSNSETQNSTPIVKKHTFDSRRLLELELMHRWSTKTYESL CSVPEDYHYLQIEVPRGALRHDFLLHGVFAITALEMALSGDPAKSPEYICIAMEYYDW ASESFRAALCSVTSENQHYLYIFAIAATIINLAMPDENGNHLGGMLKRMVVLFELLMG ACSIAVLNFNWMLDSPFSPSLLSALSLMEKPAPEPISEATKQAFEDLTSIIDKLGKDE ESRSHAAYKAVATKLHYCFKQESRGLIRGFCIAFPVLAGEEYTAAMRVQEPMALFMLM YWGVLLNVLSDQAWWTAHIGRDLVSEISDALLQLPPQSQFSTMPEWNAGISWARTEVG LEVFD LY89DRAFT_787848 MSDNETQVKDPYGSEIELKEDLDKCLSGLEGDGSFALFEQLQSP PNPGLCLKDGGLIGLPLNDHDAELITRASHAAPFGKGEETIVDTTIRKTWELSPKDFE IRNPAWTTVVEQIVAKVSTGLGVDAAGNGVSAELYKLLLYDEGAMFKPHQDSEKAPRM FATLVIALPSKHEGGEVHVTHAGKTKIFATSTFSEFDASYLAWFSDVTHEVCPVTEGR RLVLTYNLIHTTLSSRELGAHTNVATAQLKLVLKTWEETLGFDQDMPKALAFLLEHQY TDSSLCYDGLKGRDKNVGAHLRECCSEVGFISYLASFELSVEGGCDEDGGGWRSSSYH EIIEEVDRTTTLKRVVELDGLEVAKDLDFNENDFVQDNPFNGMPPDDEDYSGFTGNEG VSATHFYHRTVAIIVPKSYRMEFFFPVKSFERLSYRSYMGDDNDQSDISTWIDRFSNR VSEHPDDAEAAECLSQICKMVVSRTRLHLARLPTHSWERSTPPFPDDVLARVVGISVD LDDRVMFIETFKLCPNKAASSTFRAVGIALLRNDLESLLPDLSTHLSKENSLAGRLEI IDEVKAGLQVEAERSSTTVGSAFQTWLESELDDTLLSTAVVVESPRDGAMLASLSKTF ATQEVFNKILPTVKRNVNNTVMAVAFAIGIVQAGAEGELAENVADTIFHDVTSDLADC FSLGCLGPSFLPQKKPVGYFRRPPPEIIIDAGNSSNIATLLCYCVNSKLDSEIDQIIL RLTGEMETVDLTFFDAIYLPFLKTILSKLSERNAGGQDSRFCNLFRSTLVTYLARFVK EEPQPAADWAQRRVGCNCQDCQRLNAFLVSPHEKVGRFALAKKRRGHLHSMLGSSSVT HETDRRGNPQTLVVTKTNAQYQNAHKAWTLRCNVAKQHLKELETEALKDSLGDLYDPI MSLSPSVLAGSPPGKSSRPGTALSPSASASNRVLPPITKRKVPEVIVIDD LY89DRAFT_656943 MDDGKAEMIEMDGTGHSTPVNGAANANGIVEKDHALGDSSHLEG GLVDGLTAEHRDYLISRHGTTDLNPLPTMDPADPLNWPAWKKNTNLLLVSFHAMITTF TAAAVIPAFETFSIDFGISITRASYLTSIQILILGFAPLFWKPISNRFGRRPIWLIST LCSMVCNIGCAESHSYASQVVTRLLVAFFISPAIAISSAVVTETFFARERGQKMGIWT LMVTLGPPMGPFLMGFVAFHTNGYEWIYWTLAITNGVQFILYFFLSPETLYVRNRASP NTASTSAFKRQYLNFGRLGPHPLSLADFWTPIKFFAYPNILLPTIAYSIVFNFSSVLL TVEIPQLFTPKFHFNAQQIGLQFIGMIIGSVLGEQLGGRGSDFFMRGRPKSPEHRIWV SYPGFLTVIIGIVVFCVQTQNLQHYNVTPIVGIAISAFGNQIITTVLVTYAVDCHHEH AASIGVFINLVRSTWGFIGPFWFPNMFSSLGLKGSAGLMVGIIVVVAVVPVVFIQWKG RAIRERREDNELDQVRTITR LY89DRAFT_761129 MASAYSNASLDPLPDDIRNGMIAMGLFGLVSTISTFTLMSFITY RMIYWKRYYDQPIHKNQVFVLIYNLLLADFQQALSFLISFHWLAQGKLVGPNTQCFAQ GWLIQIGDMSSGLWVLSIAVHTFVSLVGQKQIRHRTFVACVISLWTFCLFLTAIGPLL SKDDFFVPAGAWCWISDTHESERLYLHYLWIFISQLGSLVIYISLFFFLRGRLSNGPL SNPSLSSNSQDPTSNMVYYPFAYVALTLPLASARVASMAGRTPPLVFFPVAGSLMACC GAIDVILYISTRKALVKSSVGMIGSGYSENTNALRRFRTGEEERQQRERSIRMNRMES DVGVANSASQGKKGFGDIVVSQTVVMSEEGSVEEGRSPGGAGGDGRSERSDSLRSLVR RKDEEQEDFERQQKSWLA LY89DRAFT_627712 MPVPIALAAPAAVAGAMYLDARLGLTYDYRLLGAAMKATIQMGF NEKKARLNVFYVLEGYATNRKTANNTFIIFEGRRWTYKQVYQIALKQGTWLKTKYGIK PKEIVAMDFMNSEKFVFMWMALWSIGAKPAFINYNLTKKALAHCIRVSTARVAFIDPE VQSNVTQEVLDELPEVQFEVFTPELEAEIAVTEAVRVPDADRAEDKRQNMGIIIFTSG TTGLPKGAIVSWSKIIVGSGLVVPWMWFTQKDVFYTSMPLYHSSASVLGFCTALRAGA AFSLGKKFSTKTFWEEVRATEATYIQYVGETCRYLLSAPPQLDPVTGENLDKKHKVKV AFGNGLRPDIWNRFKERFGIAGIAEFYSATESSSAAWNFSRNDFSKGAIGRAGSIASL IVKGTVEIVEMDWETEMPYRFPDTGFCKRVPQGEPGEVLYQLDPDDISKKYQGYWNNK DSTESKIMRNVFVKGDAYFRTGDMLSADEKGCTYFNDRIGDTYRWKGENISTSEVSES FGTHGAVHEANVYGIELPHHDGRAGCAALVLAEEPSERLMQDLARHIHAELPRSAVPL FLRLTKQMELTGTNKHQKHVVRTQGVNPEKVAGDELFWLRGGTYAKFSKKDWEELNGG RVKL LY89DRAFT_710947 MSAPTEDEVPNPLEAEGSSEAPSNAVHIAPKKKEPPPESPESIR LRSLVIASFWAIIILVGLPIWWRTTTIYRASLPMDQMMEWADGKACRPVFPLRISIEA ESLQDHEAQHLLRTTQHALDDLNEFSAHHLRLQLSQPANASISITGLSAGVAEEEEVA LTIRLLPGTTTQADLQAYSPILDIYYTPNQIPSSSSSASSLANYIANTLRGLFAEEQA MIAYLLSTSSAPPERSPKALSPDVAESLAKWTTRSMKYSRTYHITFSLFTPTATPSSW DIEAALEEHMKPLLASFSEISNFTIDTQVQLYATPGVSGNVLKKEDLSGFINAAEWPL SPSIGGAPTVNFILYVGDMEVEGGGKSWLIPQWGGVVIQSDMSDLRPAMLIFSNQLMS LLGAPEAGSLPLRLMTLVRVRSLGLLLKASGTMGSLARLTLNLPSISIPRSVADGVYT TISHLRKACDGLGGKEGLENARIAEEAAEKAFFEKSMVAMVYFPDEHKVAVYLPLLGP VGVPLVMGVLKEFKAWRKRRRESRLVS LY89DRAFT_675719 MAPHLHHRDDTSNTPPSNSSTALPVPLIVFLVFLGIFLVCGFIL EMGMYRWLLRATPTTNGHAILPSTQRSLLARLTAHQPAVQLEDQVPVQDEDHVQPRAE SRVPERGRPRQREGSPSPPEPESQSNDLGPLSFEPEERTRIAHHISNAERAFEALRGV RLQVADPERYTEASRIRISVGGGSDRPEVLTAVRPMGEGKGRKVEEGKRHELRDGDGE WVDVDGERDDVLEGSVLAFKAAQVLREKERRERRVSGERKESRGFEERVEGGRVSSGA STRTVVRAGMSSKDRERAREKAYQNMGVLGSVSGNGRKTSRVEEGKKKIAVDDYESEM F LY89DRAFT_740632 MVEFAYGALAGLIVGVVFGTLVLVAIGKEVFYRITKPREGQGTG PYMVGGAGTEMDTSGVSGGEGGESGEEAGDIGGGDGDAVVVEHGDEVDEEREIGL LY89DRAFT_740633 MFQPTSRTFNQGPADVAQPSVSNFEFINTEGSKPKAPPSARRAA RVHVMRRYHNEKRNKNNPTPASRQGETTPQPLILPLRSSPRLLTTPQPAAPFGTTQEQ RSIESELSQRIVIPALWRGWCQGCLKLIPPNHRWLVPEASLLGQCTCMHPSIPHGLEP APQSSFPVDPKLVTLLQFSISGVARAIVPMGLQGETSWFAQALKEPACFHGTLLLGVT FNALLRNFTTMPPECFYHYDEAIKYTSAKIEKADDQLEEGTVAAVACLAAFETVINHN NTSESVHLNGLEKVINLRRSRLLLGLSDYLQKFISWVDTCHAVANITRPRFLSIQMNK TIPLNPTFEQEDVVKNCIEKEWGPEFPLTDIKMYEGMDSSMAILFHRLRQLCALATRY CGMKLDPVHQRQFTEGLLILERQVVTSIWSMSSNNRRQRGEDNSVKACARSWHSSVLT FIHVFLRHTAPSAWRVTVDKVAARVRYSLRILSPTELWVNFPPKLLLWVLVVAGVAAA DHPARKWLMVLLSQLRAGQGLANWDEALLILKEYAWVDEFCTEPCKKFFEESTFGIET DERQQQGTAFTEELPPDVLERCTKKCYPLGCVPENEFCDK LY89DRAFT_690032 MDFFEWLPDQFNNAEVGAEQRGAFMDTEDSGVDWNDYYPDPNYF EEIPHQRNGSYSGTDDSYQSTTINEPTSSINIDDSGEKGKGRPRVAYSSGRDPIMNRI HRRRTQNRNSQRIYRERRLEERQRFEERAIAAEEVSKLLRFQLLELSTEIGVLKHQLE QTKAENASLCRDRA LY89DRAFT_627717 MMASREERMQQRLRGAQRRQVKDVDFGLVFPGAAPEPESEPPAT LESPQLELPQSEPLPQLSSRRTPASRQRASNAAIAQEISRPPGSHSTIDANTSAKRRK LDTDDTPVSSARSTRSRPAPRPDVYTLRDDPQDETALDMLLDTTNDSVTREPVYTPLV EQALVAGTPATRSRAKTPLLQSQVVDEVTESPKDAPGSGQRVHTGGNNAGTSSSRRQS IQGDSTIQTESETPIRQKKRKRPVSHPRPSPRNTRRSLQNQVLQDTSLELDELSPEQT TRHTLAPELESEAPMSEDEPLEEEPEEAEAISDEQAAMVLKKNKGRRISRGVPNVPAD ASEQISSPMAKKRRGKQRKDLSPVQQRHAKQAPPKTKQAKAIKKVRLGSPIPITIHRF TNPLVYDDDEADADILNSEIPQAKRPGVNTIDVLSEVCGEVVAAALQTLDEGGTACDD AALRREYKIKWQAVAAFGKEIQTRLLEHTINLDNGYSLERRLRDEQKKKLRLRDEILR IRAEREQVALHMDEVRIKHENAKMDAQKRDDLNANVHDIEMAIDLGKQKQHDEAEQST EMVGTEVLLKRVAGEVSNKSDSGGLLRQIKDFNAFLERAALALEGKMV LY89DRAFT_627719 MDYLVSLLPARAPSQPELAQKKPPNPLSYPKSSERFSYELFKTP TSEYRGAPFWAWNTKLDKEQLLRQIDNFKDMGMGGFHAHVRTGLDTEYMGSEFMDMIS ACVEHAEKQEMLACLYDDDRWPSGAAGGKVIEQDPNNKGKHILFTPHLYGTIPLGGDR TSSSARACRSENGKLLASYDVELDDNGCLKSYRALKKGESGKNVWYAYMETNPSSPWF NDQTYVDSLSPAAIATFIETTHEVYKSKVGDKFGTVIPCIFTDEPQFATKTQLSNPKS GDDVFLPWTTDIPETFKEEYSADLVKGLPEVIWNLPNGRPSLTRYRYHDHVCERFVSA FMDQLSQWARKNNLMLDGHMMEEPTLHSQTTALGEAMRCYRNMDMPGMDLLVDWIEYN TAKQVSSVSRQNGTKGSMSELYGVTHWTFTFEGHKGCGDWQAALGITFRVHHLCWVSM AGEGKRDYPACIGYQSPWYEEYGYIETHFARVGVAMTRGKPVTRVGVIHPIESYWLAF GPNGSGDELGRRDQAFGDLTNWLLHALIDFDFISESLLPNQSPRKPHGKYLQVGHCQY DVVIVPNLRTIRFTTLKVLRDLAKRGGKVIIAGSAPDLIDAQVPSSPPFIDNSTSVYW SEQAILGALEQHREIKITDVQGNRAETLLHQIRQDGDERFIFICNTDRNNAHQTTVQL KGTWKVEKLDTLSGNESLVHSSYKGGWTSFPYRFEGCASLLLRLYPSKGDLLALIKFP SDRLDVVRTTVLVSLDSMTLSEPNVLMLDYAQFKLNNDGWSSPTEVLRIDNILRSRLN IPRKGAAWKQPWAVPASERKPLGSVKLMFKFHSDLTLKETTKLALEDPETMDITVNGL SIPHPGADSPIDKTENYWVDESIRTIDLPPQIITKGENSIELSFPFGILTNIERVYLL GDFGVDLKGPSGRPSLTPLKKSTLSWGDITTQLLPFYVGNVTYNCSINIPTSPTGETA KSTTTLHVPPFSSPVLTVHTSANQKLGAIALQPHTLDLGHLPSGQSNIQITAFGNRYN CFGHIHLRDGITNQCWPDIWRTEGDWWTDDYSVRPIGVLTPPEIIVEMQVEDTSASSG KNLGVEEERSRKSSDSWFVIGGS LY89DRAFT_690035 MCRSPFKNKFDNVKYYIGDVTKRDHCRHVVQQVKPNIIFNTASP HAYKDHEHVPDIFKVNVDGNVNLLQAAYDVGTVKAYVYTSSGPIIAGSGGGYDHADET HPTLVVPHTKKGDPYHVAKALGDKIVLEANGKNGIRTCTIRPTALYGEGDGQMVGPVI KALEDGQTTIWTGYNDIDMDVVYVGHVAIAEVQAAKGLLVEISDPKAPKVSGEAFNIT DDQPSPPLTFFRKYWALAGDETPLSSVWYIHPTLVLIMAHIAEWIVWARTWGKLRPES LILERMEFVLFTRTYSIKKARERLGFKPWGNQPYASQDEALKGAVEWYLRPENHGPVK IGGSPSWPEVPFSLISNTGALDLPASKQDHYCVKNARIMAQTHNTIFRALNSIYQQAL SIKPGTQEAIDMLAYCGITYDFIHHHQIGEESIYFPEIEKATKIPGLMEENIAQHHFV EDGLERLRKYAETTRPEAYSGEELRHIIDEFSGAYEHHQHEEIKTILNLHDKIDSKVL KSIDLRMRKEAERQSDIFKAAPFVLSCQDRNFKLDGEIRPFPGISALVPYVVHLFLSS RHAGVWRFAPSTMYAQPRPSPARKNDEPTKAELIAPPNAGMPKGFDLTGILPSTPVIF AFLFGLLAYALYF LY89DRAFT_597836 MLLFQTLFRTWLALVAFYIGWTCLALLRNIRIAKATGLKYVVVP WFSYNALVSLFMRRVFLQLLNKVLPQSSEASVTSWRSLVTGIWPLRFRHAPFAAFGTD TFLAVSPGGIILNTADADVVSQIAGRGDDFPKPIGIYRAVSIYGMNVVTSEGEEWRHH RRLTSPAFCESNNQLVWRETIDRTQAMLVLLLGRYTSSKTVQHLADDVMRLSLEIIGN AALGQKILWPTIEDGEEPETQHLKAGHTMTFSASLGYITTNMIFMATALTTFPLWLIK RIPSARVKKLYEAYDNWKRYMQDMIAERKLGLHSENGSPQNIDLIGQLVKGQVKNKAS ARGGVTQPGLTDTEVLGNLFVFIVAGHETSASSLYMTILLLALHPHVQKHLQEVLEHI LQGRPPSEWDYEQDLPPLMNSFLTAVWNEELRLVSPVLSIPKVVASSPQEVLINGRYT ILPPDMTIRLCVSAVHVNPKYWSHGPPSDPANPIFCHDNLDNDMEEFNPHRWMRPEAS PLPTPEWDSFKDGSMPNTPTSGTSSTQRYNRLRFPTKGSFIPFSEGQRSCLGKRFAQI EILTALAVIFSQYSVELAVDDWANDEEVKNMTRVQRQETWNKAAKRARYNWQNKLTCA ITVQMASSGHVPLRFVLKGQERFFDLD LY89DRAFT_272793 MEDFSNPFLGTFPIDSPIDPNSNTFNANSWLSNLVGFIQKDPKR YLPRSAGIAFRNLEVYGFGSPTDYQKNVGNVLLELTSLFRWMGGQKKQRIQVLRDFDG LVEAGEMCLVLGRPGSGCTTLLKTISCDTYGFFIGPDSVLNYQGIPAAQMASQFRGEA IYMAENDVHFPQLTVGDTLLFAAKARAPRDQTFPGVTQDMWAKHMRDVIMATLGIKHT TNTPVGGVLIKGVSGGERKRVSVAEALLSGSPLQCWDNSTRGLDSANALEFCKTIRLS TSLAGTSAFVSLYQGSQDAYEVFDKVTVLYEGRQVYFGPCRDAKSFFNNMGFECAPRQ TTADFLTALTSPAERRVRPGYEGLVPSTADEFAQRWMCSKAYERLLDDIDRYNQKFPI GGASVQAFTESRRAQQAVQQRVGSPYTLSLYQQVMICVERGFQRLRGDASVTISRVVA NAVLALVVGSMFYNLDETTDSFYSRSVLIFLAILLNAFASALEILIIFDQRPIVEKHS KYGLYHPFAEAVASTLCDMPFKVCNAVFFNLVLYFMSNLRREPGAFFVFLLFSFSMTV SLSMVFRSFGASARTLASSLFPSTITILALITYTGFVVPIGDMHPWFRWINYIDPIAY AFESLMINEYHGRYFICSPKSYVPAGPEYLNVGGLNHICATVGAVAGSDVVSGTDYIR LSFDYQPAHLWRNLGIIIALTIFFTFTYFASTEYITAKKSKGEILLFQRGSRPRNKND VEQPHWMNHHPESNLSTTLSTSEKKRNLQKQTSVFQWKDICYDIKIKKEDRRILNRVD GWVSPGTLTALMGPSGAGKTTLLDVLAKRDTIGIASGEALVVGRPRDVSFQRKTGYAQ QQDIHLETMTAREAMQFNAIMCQPANKSRSEKIDYVEEIIGLLDMGSYADAIIGVPGQ GLNIEQRKKLTIAVELAARPQLLLFLDEPSSGLDSQTSWAVLDLLEKLTSHGQAILCT IHQPSAALFERFDRLLLLAPEGKPVYFGDIGDDSRTVIDYFERNGAKPCPPDANPAEW MLEVIGCTPGSHSDIEWPEVWRNSPEFSEVHHQLDHMAQHARSNLPSQAQLDDGFKEF AAPFSAQLWECLKRVNQQYWRTPSYIYSKAAMCIGSALFLGFTFYKSNNSLQGLQDQT FNIFMLITLSSNFVPQMLPNFVTQRSIYEARERPAKTYSWPVFLLSNILVELPWNALM AVLIFFAWYYPSGLYRNAQFTHTVNERSATMFLLMLAYMMFTSTFGHMVQAGVELADM GGNYANLLFMLSLIFCGVLIGPSLLPRFWIFMYRVSPFTYLVSAVLSTGLANAPVTCS SIELLHFEPLANTTCSSYLSSYLETAGGYLANPSATSDCSYCPLKDTNAFLSLLSSSY DTRWRDFGLLWVYVVVNIALAMFWYWLARVPKDRRARAGKSVSPICPKRKVETVVLRF AS LY89DRAFT_690039 MDSCRRVPIESQKVDAPLTQSATFLVVSITDSPNATKTVRSTLA SIDAIAKNVAIRDLSASFACTIGIGSNVWDRVTRLPRPAELHPFPAVHGAKHSAVSTP GDLLFHIRSERRDLCFEFERQLLDLLGDSIKVVDETTGFRYFDIRDLLGFVDGTANPV GPAVPEAVLVALEDDAEAVGGSYIVIQKYLHDLKGWRSLSNETQEAIIGRTKWDNIEL PDGSASSQKAHKTLATVEDEDGNEHDILRDNMPFGSPGAGEFGTYFIGYSRKLWVIEK MMERMFVGVPEGMHDRLLDYSTAVTGTTFFAPSASALAGLDGDDD LY89DRAFT_675728 MRCLRRRTPSAQWTNKLAGFSQDIDNSSSSTNDSSSTLSTLTKG LPVSLRLEGPYFTPADPYRYKTVICIVAGTGVSGALAIAGAFKELERQSLQVTSTPNS EAERKSSVSTSRIWTRCVVIWSVREEHFIQLPELSSAPSSGLDVRVHLTGPGKQRLSV DDALDRILGEGASSTWVYISGPNAFIAAGEMACKKRQERGVEWYGARWDI LY89DRAFT_761136 MTRITPRTCLWPLAGSLSLTFALSIGLTFRSNHHCFAGTCGEWL FPLQARLHVVIWYCFLGISVTILALRAFQPRLRKRLQKPLFEGKIPIIGKQIGLGGLL ILLWIISLYGIIVGVWWIRLEAYFTQRGLEGGVLNGNKRLAAIALTGHLCDVTMGMAI LPISRHSALASFFKLSVATTLTFHMITAYTLFALVVTHGFLYVSWLPVFEALPATLRM VYPVLNPTYFYRECWPGNQSSLGVWRASLIFTGITTSFIMLLIFITTLPVIRLRHFNV FYFTHLLGIVAIITICLHASTMFYCTAPGLTMWALDWAMRLYELWAPLDGRIKDMGKG WYWYELSFSNTILHV LY89DRAFT_675730 MSSLDTGHVERCIFLEIPTELRINVYKLLVWKPIVFLASKNMHT AILRTNWKVYQEAQGILYSAIVFNQGEDIKCLRPEPDLLDPNGVTTLCSASFQFSAYF YFGSWQLDRTIRIRINKSFNINRKDRKPFKTFLASTSIFKDLAKVISNSTFVKVLNVD IGVEVEVVHHFDPGHEYTKVAQQKATDIFLDCGLLEPLRELTNVVKFNVSLLALKFAD PEYQITARQNIMLQELEATIENNAKHLAVEGLKIRD LY89DRAFT_675731 MSLMLSRPFFVKLPGDATPSSKHTQPQIGGWWAIVQQWMTRHHA SVFVILANYMASKDDPKSSGAEIHVKTGLTAFATELVIGLLSVSFLMKQADVPLQDFD PSQPCNSTQGVSNCPAMQQDAELLAGLVLTNAAVVGFFVLCSPCIFADFQDDSEGPPH MRNKTA LY89DRAFT_272884 MKLIHILTVAIGVQAIAVPRFVSKRGTGITVNGDGSSNVGGESG ITTNADGSQSIGGASGINVAAPKGNKTTGAAGATGTGANKPQGTGNAAGLAALLGALN SAGSSKNSTSTASSNSTTSGTDGLAALVGALEGANAGSGEKSNSTAATAASGEAAPAA AEK LY89DRAFT_710952 MDPQSPPKRVTRARAAAKDTAPELKTTKIATASSKAKVTRSVST TKRKTRADDHHEEEQQQPEPEEIIEPKTKPSTRGRPKKVVEPAAEMDEPPVRTTRAKR AAVETPAPEATRATRGRSKKIEVQEEEAVVVEQPAKRAPRTRAATTTKAAPKKSVKFD EPDKENIIPIEINGKGKAKATEPATGLRAKPVRKPAVPARTTRGRAKSVVEEEKSSPL SPKKATQVGKAKETASDDELATNEKTPMRPLMKSPIKPPGSAFGTAKKLDFSTTVTAH KAVMSSTQDLKGSIVASPARRPPQSPFKESLKASPQRSMMGGSLLQSPFKPSLAAPRA APANSPFKVSLLQSPARRPQSPTKVTETGSPTRSGNSNSMFATTPKASTFKISRFATP RTVTKSAIKTGQMLPPSAFRETPAASSLASSLSTNEALSVEPSLTFSGRLSSIMPREA DPAFTRDDSVQDTIENVEAICETAQVDEPMMIDEPQHMAEEEIDTIVVDDQMDETTTT PPSSPPRHSTGFAGAFTLRETDENPFHDSDSEDELASSSHQYSPGPLTGFRSSSHDFT SSPSTPTPFSVLSKSKTPQTATQRAERRDKIGFTPLARQLSDWMAASPAKSESSASDI DQLSYSTTSFAKAIEADIAASARPSPAKSTFFEDEMSVRDELVDAPDTPLMGTDMLTE NFEPVEVDEEDMALAHEADEMSLLEPDQIEAPEPEEMFTAAAVADAENVEDDHDDIVG EATPSEASQEYGDENAIPIDPALLALAEAPQASTPRAYATPKRVLSERVCHTVSKVPL KPAADHTPMRPSPVKRSASISRLPTQRPTTLLTRKNTVISYSPTKSTPRAPAVEQNQD VIMQDTFATPSKADGVAWSTMGTPARTPRRDINNELLKGAVVFVDVHTSEGADASALF TELLTQMGARCVKSWNWNGEDGSKVSITHLVFKDGGKRALEKAKETNGVVSCVGVGWV LDCERENKWLDESAYAVDTTMVPRGGHRRRKSMEPRALANLNGTLVPSSAMTPGRNMS PTKEFLNLDTPVTSKSRRRESVQWVHSPSGSSPDENDQTLILSPVPATPAPETISAYG EEGLYGGETPGGQTPYFLHKEQLVQKTAPAGRRFVDSEQNEGESRMSMGTGFLSEKKD ESVMMRLMAARRKSLQWAPKVGSPLARGELF LY89DRAFT_761138 MSFTIFGELPVELRCQIWKQVCHITRVLDLWPQYDDKTHKITFR TSNRNPPAILYVSHEARMVGLENYKPFFGTHFKRTLGGGPLYLSENPNIYVNWASDIM CPLINPWNQEHIDEMVTDFVLALFSRLPYTRIAIHMDEARHFEKIFGHDLAWSGQHKE IVIYSMARLCFQEFRVGKPGQDTGILMVIDGDEVPLHYITTRPDSEVALSNTRKGNAV AILYAQYDSVHDGERAIHPKDAKNLIKSFSLSPKELMALNDRIQQFSNKIDGLRRCHG CDELSDRLKKCHRCSSFWYCDSVCQGVAWNKKGHKRDCKHLRDPDLRSLFLVNWDVCE DALRFPLHMFVPSVDINKNGLTDDMAAMML LY89DRAFT_675735 MRIRSHHVGVVCLLANFGDAAITLDLTSTDSIKKAASTVAFDLM KYYTGNNTGDVPGNLPDPYYWWEAGAMFGTMINYWYYTGDTTYNAVTKQALLHQAGDD GDYMPLNQTKTEGNDDQGFWGMAAMTAAETKFEDPPSGQPGWLALAQGVFNTQAARWD TSTCAGGLRWQIFTFNNGYNYKNSISNGCFFNLAARLALYTGNSTYSDWAVKTFDWMY GVGLMSPEYKIFDGTQNTDNCTAKDHNTWTYNAGIFLLGSATMYNYTNGSAIWQERVT GLLNASLVFFTDGVMYEPCESSKCNIDQRSFKAYFSRWLAATAEIAPFTHDTIMEKLA SSATAAVKTCTAGNTGTQCGLRWTTGANDGSLGVGEQMAVLEIVQSNLVDTAPGWVSA VKGTGTSEGDVNAGSDSSSTADDLLVTPVTMGDRVGAGFLTALVLIGVVGGSATMIIS LY89DRAFT_273028 MRADFLLCLSFSSSLASATTCYLYGGQAIDSAYQPCNGTAPVSM CCHLGVSNNNGDACGSGSTYGLCGVTGTQLWRESCTDQTWQSPSCLKLCTTGAGATGD SMITACDDGSYCCGQNNATCCDAGQGMFIVDNEVSLTKSTSSSSSASSTATSLPSTSS SSTSAPIAASSTGTPSPMPTNSTSSGMTTGAKVGIAVGVTGGVLAIAAGLVFLFMRRR KDTGLPTEPMADYQTVYNPTKVVSEPTTEMDANRNTQELDGYYRGQELHGKSVAVQQY AEMPT LY89DRAFT_272907 MSQITPYKINVDEKCLAKLKQKLELVDFPEELEGAGWTYGASLS EIKSLTKYWLETFSWRTTEAKLNELSHFITPITVQGFKPVDIHFVHQKSEDPNAIPLL FVHGWPGSFIEVTKMLPLLKKGEGEGKRAFHVVAPSMANYGFSGRVTEKGWGIGQHAE ALHKLMLNLGYDRYVTQGGDWGAFVTRTIGLKYPDHCKANHINMISAAEPVWTAENPK PEYSEDEKEGMATQQAFRAEGSGYLAIQGSKPATLAFSLQDSPVGLLAWIYEKLHTWT DSYPWTPEQILTWISIYYFSTAGPYAGIYTYYEAMHDPVLNFSAFQQYIDLPLGVAAF PKEMINSPESWWGTMGPIVHRKRFEKGGHFAAWERPEDLVGCLWEMFGEEGPVGKI LY89DRAFT_273244 MDDLFTSPQHLLDEGTVQEQYSTLMPLKRGIEPPLTAAEVSENL TITKSESSFITILVIRDFPNPGITLDKRGLVGVPPSSEDGKKIRLAALNGQMRILDNR TPLCWELSTDKVQVTNAAWISFVQDLAKEAGVRLGFGSNGVRAELLKLQISEKSRRPT APKKTKPLAGVVGTMSIILPCTYTGGDIHAVHDGETSLLETSTKSHTNLLCVAWISDV TPEVKSITSGWRLVLLYNLMSEEGMNKDKTPEMEATVVDGPEAATPETSEPETPDACE VPLPAGLEEADLSKMGSVLEKDEEWLIDF LY89DRAFT_273293 MSRLSGKTAVITGGATGIGLAAAKRFISEGAFVYIYGRRQDALD AALADLGPNARAVKGSVSDLADLDRLYAAVKAERGTLDIVFSNAGAGSQLKLGDITVE HCDEILDTNVKGAVFTVQKALPLMGPGGSIILTGSSAGTTGAPAFSLYGASKAAVRNL AKTWAEDLKGTGIRVNVLSPGPTATELAKGALGEEGMKAFAAGNPLQRMAEPSEIGAV AAFLASSDSSFMTASEVAVDGGLAQI LY89DRAFT_761162 MNPTKRHNLNPKRPQNATAVTNMSAQPLIVFSKSFRDALVQHQP KNTIHLLPYDVLYMIFKLIGEEGTICLGLTCSKLWNYVKCSNQVCFPIPLSIRLCGHE AGGRYTCYECGSSSILDYLYYGTLMRNYREWTFHDEVTGHTHEARFLNKWVFVEEPEN WRTPAARSSPLAHLKSRLRDFYLSHFELPSPDNRLSEYVMVPAFLPSPYSLGDDWTEL ALEAIHGDLGNPRHGSVKAWEEYWVNFAVAKRNRGALKDMMR LY89DRAFT_724016 MQSNNSFRLAAATTLLAACGLVSAQIPVVTMFPVTTQTSFPSPQ CTGTSSTESFTVNYNFESVERGDPIIATTEIAEYNLLNFKSINFVDTDTDFDGNHLGL APHTYPYVATFSPGTEIIDDLLSPNISMITASYIESTLTSFTPKSFWYGCVYPFPYTA GSLPVDCNITATGFDKSGNLVAKQSFEFSGNGSIIQDQNYGTFQGFSQVYSVAFGVSP IIAAALVDNIIATLYQEECAPYYTGSYGNGTSSSWIYTSGLSGHARYPSLLHSITTFQ TPT LY89DRAFT_273424 MKYIPSRETVKKRCTTVKAWELPKQKSALAPEHVWTNKDMDPVT IENQTWTIWTWMAYWATDTINLGTWETASSILKVGLSWREAIPIIVVGTSCVAIPMVL NGAIGAKLHIPFSVAIRASFGYYFAYFAIVSRSILAMFWLGIQGASGAQCLTIMVSAI WPSYGKIGNHLPESAGITTQGMISYFLFWIIQLPLLLIPPTKLRYLFAAKLIAAPITA LATMGWCVHKAGGSGQIFALKATVSGSTKAYLWLSCMSSVTGSWSTLACNIPDFSRYA RTSKGQYIQLPLLPLIFTLCAVIGIVTTSATGVIYGTLYWNPLDIIKMWLDDGHGGRA AAFFAATSWYIAQVGTNITANSISAANDLTVLFPKYVNIKRGCVIAAIIGGWVIVPWK ILSSAETFLSFMGGYAVFLAPIAGILCADYWLVHKQHIDVPALYDPHGRYSYFHGWNW RALITMLISVCPNLPGLAHSINSNASITAGAQNLYTFDWLFGFTTSIFLYTVLSYLSP HQTSQIPTTIYGHDLDGPEPGSSDIESTGVRYEKDFGNVDAVDLHHSHHDEKHMASVA I LY89DRAFT_627746 MPGLTSASGVLGFLHDEEPELKVFALQTLNDDIDTLWTEVAGSI GQIEALYEDETFPERQLASLVLAKVYYHLQFYNESMTFALGAGDLFKLDYEGEFEETI ISKCVDTYISLSVTKHSTPQESSKAGPLPTLATSFAAGTDDAAAAAALTSPTTPFSQS TLPSKSLLSRASTENLLDPTGSEGTTGAIPIPDRATQSALNQVIERLFESCLREGRYR QVVGIAVEARNLEVLRRVIKRASSESRTKQTEGVPGPSEELMEYVLGICMDVVQERAL RTEILRLILDLLNEIPTPDYFSIAKCAVYLDQDEQASEMLQKLTAKGDITSTATAYQI AFDLYDNGTQEFLAKVIKSLPTNPEGTEEAEDPTISRIRKILNGSETIRLNLEFLYRN NHTDLSILNKVRDSLEGRNSIFHTAVTFCNAFMNQGTTNDKFFRDNLDWLGKAVNWSK FSATAALGVIHRGNLSQCRKLLEPYLPRRTSLGGSIFSQGGALYAYGLIYANHGADAL DYLKEQFNDASEEVIQHGGALGLGIAGMATGSEEIYESLKNVLYTDSALNGEAVGLAM GLIMLGTGNIKALEDMIAYAHDTQHEKIVRGLAVGMGLIMYSRQEGADELIEGLLNDP DPTLRYGGIMTVALAYCGTGSNKAVRKLLHVAVSDVNDDVRRIAVMSLGFILFRKPGS VPRMVELLSESYNPHVRYGAAMALGISCAGTGLDEAIDLLEPMIKDPTDFVRQGALIS LAMIMVQQNEVMNPKVAAIRKTLKKIVGDRHEDAMTKFGCALALGIIDAGGRNCTIGL QTQTGNLNMAGIVGMAIFTQYWYWFPFTHFLSLSFTPTSMIGLDHDLEIPSFKFHSAT RPSLFDYPPEQEVKTDEAPTLIATAVLSTTAQAKRRAQKKERAQRRESMDIDQTPTTP KISQAAGDKMDVDEETKDKEGKPEEKKEGEAEKETGTAAEIAKKKLEKEKVGHEIENM SRVLPAQLKFISFPAGRYKPVKKPTGGVILMIDSQPKEEKVLLEEKLKKASIEKAAAP TTLEDMRNESFNLRRQADAHGEASGAAAAAGVLTAVDEDEEGGAEAEVPADFEYFTDN EDEN LY89DRAFT_597664 MIPQTENGYNSSKRKIEDRNAAIEEPRRVGEREAKPQTNGDSKV VSSSPQPPPKKRIRYTEPPIWARSVRSKAPLSMSTKTNLKANGKLPLGIYPANQGPPP IKTEMNGNNQLPAPSIRVAPLPNTVKEDPSVILGPWEQSITNTRPQDDISKLVADFLY SHVVSRNDLGELASRGVDIEIEAKLGQIIDKDTNERYILPIDSECVLRANTGLTFRSS MTETQHRTLNEFLNKRVQESYAPNPLPDSKERVKIIYNHRRERDTFYELPQTMYSSLP AAVREQLNSRQRIRVRISHDQKTGQVLAKIIKCRVANLDIYMPKQALDCRISINFEMK FDMDVEDIIAASTSDRQPDRNKDRLSYTQSVYQFDLTQVTQTSNIQAMKEHELEIEVS TAAVREQGQKAAAGSTNEYLALVEGLVSNVRVLARATPFQ LY89DRAFT_740659 MDNPSQCQALNVSDEKRCIETATSLNGFFCAFHSRQCQGLYRGY KLRNAKLDRLVENSPNYLAAAKAPLHSLTYEDVSSHETLKEIHDHLFLRLQLLERVIR ARKLHMSRFCSQDMDYGHVKWVEHLQSQKSSTVRALEKLERQTSEFLYKKQKWYTWVR ECQDDEGKNGEKEQKKVKAEAAMFRRHWKAAQLRQNDKRAKEDKLREDAFLEQIYKER MAERERNGERAEEDDEMDWDPIEDVLEDSRGSYIDLIQTFLWMTASESIPDITKEDST GASIAISKDPPDSSPNSNVTLVDSPSASNSAKKPKPKSKSKKKKKTSNSASSSTQTTA PAPEPDKSLIETRQEMHDRLKNGEDFNNDNVVGMMIAGTIENPVISTKTITFPEDEIK RLQDEIFQIKHLLFCRLLLGHAALLPAALRANIVEEFLADQEVTTGELRDLCLKMENP SLQDIRDACADFFRVNEETDEDLEPSREEAEEPAAPYSDLHVFQKRKGDLPDKWVSKR EKTKRAAEAMGAPMPTVSEMLGEGGGAVDFGNTQGASPSPTGKIKVKICGRTIWNYPS SKAMNRGGWLHFCVMAKESNLHDAIALCRHWDEFFELNVLAIWGYFPGKNWAEWVGNR WRQQMLQLGFIVYFESAKPDARDLTVRIQEGGRRGPVRRSHNAFEARNFICAHIKRDN QASRRLVQYLSMQSHRLLVLVRDAESGRLLIKPPEDQRWLYRQKSGLGRVSRNDDWQI IKSIGPDFFDDMDKFRQWSFSFKEYYDVYVWDLEAGEPFPCLYNAVQETLTKALRCRT PPDLYNPVAPVIRTLYKDETTHRVRDIKPGDDVKSIYDNSHSPDVKFRYGTVDDPWGK NASGLAPARKVFEEDNTFPMNLFYTKADELEGEVLFPEEREAQMLDPSQIGKIEPLSQ WEETFTCQGFIEGWDSEYTEDTDDENLEYDSEWEDEDDLEADLSEDNGSEGLQEGHEE EESTVSDTHEDLPITPNEIFHRMPFSSELKEAMKKLSLKSGHSHRVRPNTKPKESEMR EEFMTFLDREKAKGEKTQNPASPLLLFLHDQLLLLSYPIFKVVWHKADLSPHAQIRYT EMTKMIKKIRKYNHEVFDYAPVILAFKLIHELDLSQDKKDLHKAIAKMTPFFHQDFFA SADGEGFKDSLLFRQEERAKAPQDERSHHSNKTRPAEFYEEFDAAAKAAQYLPEDFPE EWDIVIRPIIAHLYKAGVIRTRADTYHETQAFAAKEPGRDKYDLYFEARDQIETVRMP PHVKNPYDVPSFKAFARAFTKKHPGARFAVLSLWSAPHFYPLMIGHDNHDATSFRDLQ GRNFIWKFVPKDMPCSEWSIHITAQQRVEPFKKYLKDRVVVKREKYLVMGVDEKDLLR LASATTFAIQTRPWRQEVDLWKSFVNVDVGFIEALDERHWYRFGVVWDISLLI LY89DRAFT_690056 MRNKIYEILLLEDHEIDFDKQRRPLYDLRSLSLVNKQVSNEARW IYFSINTFRLETGFLSINSPIQFLRRIPIKCAPAVRRLTFTTFLGIKLQPETDVLPKP RKFDPKNITEVSRAVDGYLKKMTDARRAEDMANYRFDINDQQRLNIGSLHMAIRNHFP HLASLNIEFIKVSFVPFSLYAADGILGDNAAELAHLLQPLFKFPEFRKITLVQKSDVK LDWLAEKIREAREAPVGVVKVVQA LY89DRAFT_761184 MDPVIVTVETKAKAAQETCRFLIMLPPEVRDMIYRYLLVKDTPI RITPLPHIPISRPRTFHSAILRTNKKIYEEARSIFYSANLFVVGNGDTYTRNVPNLEG LKVFIKRVPKSCLPMIKNIELEMRLKPLYPTLTLPEFGNGYIMSSLSEDKFTTICAIL KAYFHGFSTLRIMSCVRGGLSISNGVILTSAQSRGHMKKGVDLLREWGPEPAEDSDCI DFTFLEDLRLTKQQVESFLDAMADKEALQKY LY89DRAFT_740662 MSTNSTMSADSGAKVPEDSPKELQVSFLKFPPEVRDMIYDLLVE SEYSIDILSLKRKTGSRKKSDSADPNAILRANKQTYSEGSARLYTVNTFTVGNGWWNS STVPNLKGLQSFIRTVPKTFISLIKNLNIRVSFLCNFDGDAYYLDVHATEDLLDTLWI VQKHFDGVKNLEWVITMDNIRNLRGPSDPEAGTWAIAYSGHHIVRYAVQSLLESLTPL NSYHYTFGVRLKDEEVKRFLAVVLVEKDWKRVGNGGVED LY89DRAFT_761186 MAYQPARLAPRKSGSVQEAFRFLDLFSEIRNKVYSLALDHEHPV SIKSPRNHRKKTTAPATAFACLLRVNKQVNKEAKGVFYAENTFVVGNGWWGSKTQENH QAFISRVPKECISLIRHVHIIMFAGEPDNFGRCLAKERDTKELKGLGTSLVKHFSGIE MISLTVGDETSRQNPHTNSVANLMPGILRRTLVGDVQGLAWEVRTILDGLAAKKLKEI RWLEDGMTFAEFSSLVQHEELKVEMKLLEWKDEVYVKSARGSQSNPICL LY89DRAFT_273490 MFIFIPDDSGCSLGAACQTRFSFSNTSSSILYVNKKIHDEAIKI FFQYNTFVVGNGTEENEASLIGLKALTKYVPASLIGAISKLQFHVYLRTIDDLSQLPP RRRPWETEWTTLITPSAEVAEVLAQYEFLNSEPIPFRSGVNLSMGGPAEALEIRQIHR CIPKHFVNVTTVTVDWVVSSNKVQIWPGRIIPREATITVLSKAIKSLMQLPKLRQICM WEDECVYTEGIIERLLAEQGEMGKAIQFEHLRYNEGISGRIRREAEEQREIHLLWIA LY89DRAFT_273478 MHAPITMLGSAFFLRRVTEYSPSTEVCSLTHLLPQPQVQASSEE SHLFNPKVAGIAVLFIHSNVHRAPLLQHTESLVTWTFNVNFEKDRTCAALKPQLLTEY EFSYRIWEYTVLKTWSKHQEGYCLRFPQRFGTVFISCSLPAMSPYQSALRAKELSGVC LY89DRAFT_724021 MSLVPYSSRERGSEVVLRHNDALVLRDPQTQQLILRGATPSYAD CPTCHRPLRPPSPDRQSSPHRSAAFISPEYFRMLQSAYGSAEDQSPPSSPIRRLVQPA FPISPPRDRHTGESVADAEFVTSTPAPQTGHSIKKEAFSPNYFKRFFIEEKELGRGGK GVVLLVRHELDSVSLGQFACKRVPVGDDHAWLEKADETVLIEVQLLQGLSHPNLVSYR HVWLEDVQLSRFGPTVPCAYILQQYCNSGDLLHYIIGPQPTVKSVKEQLKEQMRRRSR GQADRPDVRSSQRKLAFEEIYSFFKDITSGLAHLHNNEYIHRDLKPSNCLLHKDGNEF RCLISDFGEVQAENVVRKSTGSTGTISYCAPEVLKQDEFGRYGNFTTKSDIFSLGMIL YFMCFGRLPYQSADSIQEEFEDIDLLRAEISSWSGFQDERRERPELPNQLYDFLKRLL ALDPAERPSADEILTAIRTEKGLEAPSRTRHASNAGLGGRIIQSFDSPVPPSTPVNGN IWPRSSFPLLINAEPNKRRVRSGAYIEDASATESSPTPSPSSQLVIESSHSRSRSQPS HNRHNESLSLKPSITTPLLMPPPSTPMSTFKHRISLYKHYLDTWLSRNQQSVTMISKL VIFLIKMLSITKPCAPLVTREAIWWPLMALAAFELAGGNKFGWRGVVGLAVVHFAVLG VVLRVGGGLCVRERGFGEHWGWE LY89DRAFT_597745 MSPKVFIISMFSPEADIWYANADTPGSIGDLLAINVTVPGFSPL FPDAHCLADGSVCQLTTGESEINAASTITALLSTPLFDLTRTYFMIAGIAGINPKYGT LGDVAFSKYAVQVALQYEFDAREIPSNYTTGYIPQGAYAPDQYPTSIYGTEVFEVNEA LRAIAVKYASTAKLNDSSAAVAYRAHYASADTTIYEAATKAPGIIECDVATSDVYYSG ALLGEAFENTTTLFTNGSGIYCMTAQEDNATLEAMVRAAIRKAVDFSRIIVMRTASDF DRPYFGESVEDNLFYADQGGFEPAIQNIYLAGTPVVKGILSGWEKTFAKGVNATNYIG DIFGSLGGTPDFGPGAEFGAAGLVTRDVEVEEVRDRRALYRRSAVKGKLGAEVARRAN GNA LY89DRAFT_627761 MTAHAQTKTLTPETQSDMEKFSNKDEGGILQGDVATLEAQGSAH FNRLGWKRLTVVLIVEAIALGALSIPSAFATLGMVAGVITTVGMGFIAIYTSYIVGQV KLKYPQVAHYADAGRLMMGTFGYELIGAMFALELIFLVGSHCLTGTIAWQNIIASSTC SIVFGVVSAILLLLIALPPSFADLAILGYIDFVSIMGAISITVVATGVSFAQGGYQAE WSAWPKDNIRFTEAFIAVTNIVFAYSFAVCQFSFMDEMHTTKDYVKSIWALGLIEIVI YTLTGALIYVFVGPDVKSPALLSAGTLISRVAFGVALPVIFISGSINGTVVARYIHGR IYKNSVTRFINTRKGWISWIALVSIITLLAFVIAEAIPFFSDLLSICSALFISGFTFY FPAMMWFMLLREGKWYVGRKNIFLSVVNAVVFVIGMVVLVGGAYAAIDDIKKQYNEGT VRGAFTCAPIG LY89DRAFT_597944 MAGGAAPAAGIYDAALQRRQAMMGKSGPAALIKNGRVFMIAMFA CIGGLLYGYNQGVFSGVLTMHSFGSHMGDYVSNTSKKGWLTSILELGAWFGTLLSGFM AETASRKYGILIATAVFILGVIIQTTAISAGHNSILAGRFITGMGVGSLSMIVPMYNA EVAPPEVRGSLIALQQLAITFGIMVSFWIDYGTNYIGGTGASQHEAAWLLPICLQLFP AVVLFGGILFMPFSPRWLVHHGREEEARKVLARLRGLAEDHELVELEFLEIKAQSLFE KRTVAEHWPALRGLTAWNTFKLQFVAIGSLFKTRAMFKRVIVATVTMFFQQWTGINAI LYYAPTIFQNIGLSGNTISLLATGVVGIAMFLATIPSVLYIDRLGRKPILTIGAIGMG ACHIIIAGIVAKYRDSFPEHRGAGWGAVAMVWLFVIHFGYSWGPCAWIIVAEIWPLSN RPYGIALGASSNWMNNFIVGQVTPDMISGISYGTYLIFGILTFMGAAFIWFFVPETKR LTLEEMDVIFGSEGTAQADFERMEEINKEIGLDALLRSHGGTPSAGHLDEKDHHDEKL DALNENHDHVE LY89DRAFT_710964 MIIRYPTAGAFRPNHLSTVCLPCLLQISRPASRSLRRNFSRKSN VQAAAVTGTAPRLRAEYFSPNSLLEKARLTTKRGNLTSTIQHGSSIAAENEATKLPSS SRATTPPRTPAQDLPHRRRQAARKASAGADLVLPQNSSSTLTETASQAPSNSIRRLIP VLLSLSKPRLSILVVLTACASYSLYPVPELLLPSATDTPSLSPLTLLFLTTGTTLCAA SANAFNMLYEPKWDALMSRTRNRPLVRGLISTRGAALFAILAGMGGVTALYYGVNPTV SFLGALNIALYAGVYTPLKRVSVINTWVGAIVGGIPPLMGWTAAAGQSATHGDWKELL LGEQNIGGWLLATLLFAWQFPHFMSLSWSIREEYKNAGYKMLCWVNPARNGRVALRYS VLFFPICIGLCYYGVTEWSFAVASAPVNVWLVREAVRFWKLEGHRGSARGLFWASVWH LPVVMILAMVEKKGMWQRVWRAVMGEPELDEEDWLEEDEEDAVLSAKEE LY89DRAFT_657007 MDSRTLSGKAVEDPANAESDLEAQRILAPLDLTDKDKIPHKADP SSDLEEGTEKTLDWESPDDPDNPRNWPKGKKLFHTYLPALYGFTITIGTSVYVPAIPH IMEQFHVEREVAILPLSLYTVGFVVGPLLAAPLSEIFGRRIIYWTTIPMLLLFTGIAG ASDSIALLIVMRLLAGTGGSGTLAIGAGTIADLWDQKSSGKAALGFIMAPFLGPALGP LTGAYIISEYHNDWRYSMWVVLIIGAPIFSISLFMQETSKVRILHLRQKKLGCKAPHH ARGMLQKVRQGFIRPWHMMFVEPLVGFLSIYTGFSFAMMFSFFGSYSYVFELVYHFNQ KEVGLTFLGILVGFILAIITFGIFDATLYAKASIAANGKPAPEHRLYAAMLGSVMLAI GLFWFAWSPHKDVHWIVPVLAGVPFGWGALDIFISVTAYLVDVYQASNSASAVAANGI LRYGLGAVFPLFTLQLYEAIGIHWAGSVFAFVALAMLPVPWIFFWKGKFLRARSHYDT SKD LY89DRAFT_597906 MFKLHLVHFLLCIHFANGAPEGYLPIISCLEESNIPQDYPNTSN FSQDSLDYNLRLNFTPIAIAVPSTVPQVQAAVNCAAKLGIKVNPKSGGHSYAGHSVGG EDGHLVVDLKYFRETKVDSATNVATIGPGARLGNIALDLYAQGERAMAHGICPGVGIG GHVLHGGQGYSSHTYGLLLDFLVSAEVVLANGSVATASNTSNPDLFWALRGAGMSFGI VTSMEFRTIPAPPENILFYYPYLWNQTQAHAGWDAWQAYCGGETTPIIPPAMNVRWVI VDGDDGLLLFLLEGAFHGSIEDFDLSIAPLIDVLDEIGGFQGNVTGVVGWLDALLYAN SNGLYSNWDNNQTLETPLNYTAHATFFAKSLMTRNLSPAGVDAWINRLYATGPTSPTG WYFIVAAQGGPTSYVPTVPADSTSYAHRHSIYEWQLVAQTNSPPFNDAGITWLNPFVS DIEAAESNLTLGMYYNYADPTLSKEEAHERYWLQHYGRLAEIKKSVDPDLVFLNPQTV GN LY89DRAFT_740673 MAANNNKRAAQNDLNKRGGKKNWAARAKDYVLGNEDDEESSSDD DEEGTRTPDPNKPSMADMIDASFAAQEKAIMLRQAKAAGIRAGITPYEARYIQRRQDI SDQLAEDSVKEVLETHLTKEQMLLFMPVLYAGPGAILEIQAHNKKLYDEALKNGPFKG QKNNIITKITMLHYGLPVEPVTLTNINNSGKDDDDPDDAPSSPPRVQRMMWAEKPLEF LDKYYERGHGFPMLRRTGPLPKPIQPQGFVKLRGGGPKRALPVRWLYSHYGGRLPVTA QMVDNHPTVTAEVFRPIALQLLGWAEADDWLYTVDQYRNQESNKGEENKEFIRSFRIT KANFVHMYRQWIRNRVSNTLQDWVMVVRFTHNPSQNPATFALPGADSPGNPPAPGDIE QPVDDPPPDDDDNPPPGSEHSSLIPSSHSSPRSSNHSSPAPRTRPPPTGERRRVYHHD RTDESQEFTNDWMDFRNAVRRLLGLTAASNDIALQLNFYGSQLGTRFRYNHYISVSSN VGDQVYNEKIAHLMFSATGGDDWRLSVHPLPNNGVPRPATPMWPPWENVPYNVTATTP RVVHQTRERARLYGPTDRPAYRIAKVTDQDQGTQLFLNIARRALGLQGANFSFRVDFH SRQLNRVGPGAGFRFSHHIIVDQDNIKRIFIGSIRTYLFDSGDDWFVRVVEADNNTRR PPPPALGLPATGDSTTVTPIVTPTDPPPGPDTGFIYAYSGKIRIRQDNEQSFQNGALR LLNVDPLTKWWFRVTAYQADGTVDPAVNSLIVKKDEISTEYAKISGARDANGNWRVFV HRGLAAPATADGREPDGELINIVSVKPAVSTALYAYWNIPRELLTVAHGVNQFQPEFF RALQVHFNSHLARPAHHINIEGQDLGWGGMEVGPAPLEALRGVYRTAETTLTAARASG QLGQHRLVYNLARLPVNLNLPPDAIGIRMVGNSQLGATSPAAPYQDIAEDILDISRTW VDEAPDPPVRAGRQGALPDFYRIWYTAADREQNVGGEVVPYNLGTALGFLTRFMSNNR TRPPTNCLWFRPEWRVFTVQNLGTLAPNVAAPQVQWDVATAGATLVSFRTVFEQLLRL STPGKTDAQIATDVSSFQITSPGWTGSTMATTKFVVTSETTETEWRRDIFDWFQGNVF FVKSQAQLDYVLDRDPPWGLAEIEAPPPPSRPPTPEPVAVPRGNAPKFNRPRLLKLQE EKAKPVAFDPWQANQNRLDRLQRESYYKNQSAIEPGQKAEPPIFGKSQDLLLTTGSNV PEIYLKPLTATDIDQIVEENRRIRNRNLERQTACAMCNEAFPKHNPNAIVAHYKEHAD ALAAAGKCPICQTEGWVFMDMDHKRQHLEDHFAKTEGTRLKNFWAQYKCPVCLDDLTG LEPEKALDHIAGHTPEIVRYCDRCSFDTKTATRRELDHHNQNCRVWASEEEAEKNPNF CGQCGRTRPVDETNAQRRAHNKLCRPPPGENCRKCGIDLEPMNENEYNSHNQRCEVPR GWPGTFCRRCGKDLSIMDVNGLYAHRAECLNKPTANRAKDPRPAYLESLMRKAATDEL ANKTLAAELERRLADIIARERLLGDQPPNDADLQRRLDECLNLGTVNLGNCPIAHCEN PNLGLFTRAELYAHLLYHNLNSFLDCPLVLDTGTVCGTVVSIRHAGITSEEKLVDHYQ HISANAADNGNNSAELVRLRAQIETLRRELQQCREERAGPLAEYQRRLRALRAHHDTL SDETDTILARCTQEKEAFRPRIATMRTRIADAIAAQPDPKTAASKQILRDIEGEVGEL NAQFARVREDHCEDREIALIVSSTANVAELNALAADKPNPIPEDLERDFVELRGLIRA LETRMGDSMLVCGALTTLVDDAITQFRDDLTAAQVDQVGFLPSPPGGYRVRGSPHKTS PRKRSGPAGSPTKAGSKRKMHIPEDEDDSYVPIPYLEVGTPAPVPGMRGNKRARTDAG SEEDYVIVGESLSSAAPTRRTTRATSGTPAPTSGGLTVKKARGRPRKDADDESPETVG EDEGSDSVVVNARASPRKGSKQSPAKKK LY89DRAFT_273733 MDNTSALAEGQPSSLYFVQSQIFNNSRNTTALKTIIAPSFVASP ELRGTLTILWSCIITLIACIYTALHLNVPGETGKFKALATKGKWVLIGLIAPEVVLYL ACSQFLEARRLANELNRIALERGEEKLANNSAFDIKYGFFVVMGGLEISAKDIVRSTW RDSPRGTLGSGSLRLTPNGVLQLASLGYFVPVPRSKIDDKSKADVVQKCLVMMQVVWM ATQCIVRKVYGLPLSLLEVHTMVHVFCALLMYLFWMEKPLDMHDPEVVNSEEYEDIVA LMIQEQFHDKESDEIIIYPKSHNVRTRPPAIKWIHSSNAEKLYVTAENGKVKAVKYVP MEIDQKQPTMLLNVGEALDCGVSLVSRMSSFEREEFRERVVRQGYEYLSTDDRERWEL KIWYSEPTLPSCELQRPDITRLERVVAAIERIDGPVHKAELLHPEHREPRFAKEHYHE SFSRSAGNIQYTGESQMDPEDIVMFIFNSKVLLVLLLALPAVYGGIHFIVSNFDFPSP LERFLWRIAAIDIVATMPVFFALTWIGHFLSGCFGEDDEGDCLCSVSYKFPGHVLLFG YILCRLYIVIESFVSLRYLPIGVFWTPSWIQMIPHI LY89DRAFT_627778 MFENLCTLPLSSELFTQALHPIESVLAVGLSAGHVQCFRLPAVP GSSSDDDDPDTSIVSTGTSTIDTEWRTRRHKGSCRTLAYSGDGEILYSAGTDGFLKAA TSSTGQVVSKLAIPLDRSTNGIDPPTLLHPLSPQTLLLATDSAALHLYDLRVPSELSA KPSQTHRPHDDYISSLTPLPPTEASTSGFSKQWVTTGGTTLAVTDLRRGVLVKSENQE EELLSSIFVGGLPARPGRSKGQKILVGSSNGVLTLWERGVWDDQDERIIVDGGRGGGE SLDSLVVMPEEVGDGGKNVVVGLGDGSIRIVKLGPNKLVGELRHDEVEAVVGLGFDVG GRLISGGGSIVKVWQEKLNIESDEEDDSEEEEAGAQKRAVEGSDSDGSDAADSSDEDS GRKRRKKRRKARKGNNSGNGILGFKGLE LY89DRAFT_740676 MTADTPDIQAPSIPSSRFTRRFIELMQPKARTSASPAPASDLQV ALVSTSSISDTLAAATLDEDSTVNETENERNSSILSTFTSFINLPVEIRNQIWREYIE DNPRVIRLARTSNPPAIFCQINQKFRAERELYGYVDLKRQTQDGKELTTIFHPEVDLL YLPAARSIQVAHAGLRQADSGIYRDTIMSILGASDALLRIRNIAYPGPLFYSPEAFVL PLPNLETFFIILWESPQRRKGYRWQLSQRFDDRPQVQHLQRLVSADSPNARVLICLNR VWEEDP LY89DRAFT_675761 MQMSIATSSFLGFLGSSTFATPFNDLVEARSTDIEARQSCQVYI CEVGACLSSCNAEAPPLSPFPYTIVANVNCWESAKDETVLLGNRLGSNVQAEEKKWDQ SCLGFF LY89DRAFT_536741 PDAATEAASQLDNLCPPLNQNEATLDYLWSVWTLLLDIARSQDV TSEIHTRLVNILEMLWQHAK LY89DRAFT_273750 MYGKMFRVWRDLPMLADCMKTCFNGPSSMMRDRSSKYAQMWREL NSFGARCLGASVQSPYEQSMSALRSGLEEELSTIEEGLEPTTDHDTRKGEEVNKSTDS VYVDSLRR LY89DRAFT_724031 MIHYPVTSAAHPTLLAVNHESRAEVLKRYSIFFGDRGFCISYDN SQDAIYIKSSLACTVSGSQIFQNGPLTMAKYGVQDNRIRKLTASTRWAIHFGTKESLE IDEIMFVPHYRKLSWVETRILGGFPDQQAGHDLRQLLREASQESGKSSYDEPVVRVVE PASFEAKEDPFCVHLKRDVKVAITLKDALGT LY89DRAFT_740678 MSESQPETTEKPIPLNDLESSKATATEGTTETAPGTSIPVNATE NSKTAATEETIETLDEKTPAADDHTGDINAPATTKTIVTPVPNTLVSINDFADSKAAA TGETIEIPPPIASRPGDDIESNKAATNPEDDEKPVPWPIPQWVKTSVNVFAAGFLSVF AFLFSERSGGRGFMLWLFCGLLLIPHGFLVYRWPHGDYGIGVLNSHFFCGAHGEGFMI FLIQLPINVMASLIVYAAGYVRWKAWWPLKVVLFLLSIPAHLLYNSIFFHSAPARDSY EILVSYDFLHGGAPFDLATVPLRQSSGKSDALGGDYHMMLPGLQNLSDTITQVQQAAM QGHVLPGWSNKTIKKCTERYESGTYDQFSNVIIVSNWTAPQNQNNSVLDLTILSGYAG PKHQTWQALASLCPDSFFEINNLTEPKTWKNIDGNAPEGACDPYVPKKWNTKEKNLFI KYCLSEEPKEVCRLLYSPLVLKLCFWFLVAIVGAMGLGLLLGLFGVEEEYAPQPETGV IVLAFATVCVSFFVMVIVTAYSRAAHWHPAGKSDQAWILRAFCVVNVVQLIDTICDAI IVPWGTSPWYTLLSIVWHWFLSGTFSLKLSDRYLITNTPPGYDVVPYSDLSSLWFKWL FVTVIKLVWTDLFSLLFVLFLFGLPFAARLFAQQAILEEDFDGWGD LY89DRAFT_690066 MMPQGTPKERFPKGCNPCRGKKQKCDESKPLPCGRCVKTGLSCS WPGPEKPLPVKRRGAGSWKSRAQDGTLMLPDIQPSTSSSVGELDAPRSTLQGIHADSF TQHPSAFGGSFADLGYEEDEAEYQTSSNVRNDFIPRADLPFPEFATITDFANISPPNS LNHHVSSHWASLSIPTPRSPSPVFIFPPSSFLSDNFMTLPNSLRLSDNAHRALGHYQT TFSIYRTTKDPKWSTHKLLLDLGAKSTMIMRFIIAVAINDVCHRQDYEASLEAQEYFE KGAQELIEMIQRDSDEDFVMAMAGFLFLYWYMPKRKSVPRARIQQLSMTVLTYLKRHK LDSRCLESDEQEDASDASSGLTDRDRSILARIIICIFDEDVKCGFQGAGGCLARYLTA HRERTMAVYEVSRTVLKAYWGTSYPDTQTDDDDYNAMELEFLWALTALWQDINQLSQD PPSTPSQAHRRVEQRFKLLEKKYSSVFQKSAAMTQPRAGDRVLINADYDVVLFHSLRV YYFRAIISDSNTAEIPQDIKQALDIMLDIIQRTFASKSSELHDRLQWPLFLAGIETDN GLYRKAIMSSITSRRAREALQKTIDHQTCSGKRLAMSVIKALLCESDVEPVYSFSSGQ DSFLDTIVENVF LY89DRAFT_675766 MEEKMPEPTDIQLDEKLPPQIVVVDDEEKLILRKIDVHLMPFMF VTYMLQYLDKITLGYTAVMGIQADIHLVGQQYSWCSSAFYFGYLVASFPGSIGFVKFP LGKYLSTAILCWSIILMCHGAASNFTSLIILRVLLGVFESIISPGFSLITGLWYKPSE HSLRHGIWFAGNGQASIFGGVLGYAIGEIRSPLAAWRWLFIIFGLITFVWSMVLMIFM PDSALNARWLTPHEREIAHSRPQKQSRSFKSTHWKPSQALEAIMDPKTWLLFFYTALT SLPNGGVTNFTSVIIKGLGYGELRTLQLGMPQGACQVVFTLTAAYLATKLRRSRCIII ACLLCIATLGWCLVGYLPASHKSGRLGGVCIFAAYASGFPLSLSIIASDVAGYTKKTV VSAILFLAYCAGNISGPQVFFAREAPHYQTGCKVCIICLCLGIVDILVLRQYMDWENK RRDKAQGVKIEAEPGRLSGEIGVVELPSAGLDETDWEQEGFRYIL LY89DRAFT_701158 MKGFTPAPKGRIRTSVGHKYLEALQSKANGHSDCSNSSSSPPSV HQSPGLDLLILGITSGTAMDDIDFALCRFTQESPESPLCLDLIKYDSVVMPSKIRTDI FSMLREDAAPPSMLSQMDAEMGHTFANAIHLFAHKHGISIDDIDMIGSGGQLISLTGT PPKGQHRSNMCLGEGAVISAKTGITTVSDYRTAEQAVGRQGAPLFAYLVGLLLHHPTR LQICITIGGITTACFIPPDNCGGIDAMYDWDTGPGTCMIDSAFRQFGLDPTTDQASSL LHGQICHEVVEELLTSDEYLSSRPPKTTAREIYGDAKAQRIVSMCTYRGCTPADTIAT MTRFTSASIAQQMLLFGPGRDAINNADIVVDGRGMFNMQLISDLQNEFPGARFGSFDK TGVPSNAKKAVGFAMQAMEALLGRALPVPTNADVRRPNTITGKLAPGLRWREVIEKSV SFGGAGRGWEGLPEVRELIVKQKG LY89DRAFT_787893 MSGRAAVARKPQRLAGLEKVQPARGSASGYPPTVGRSHPNPYHH QFGGGPGYNYPTDEEGLPEASLGHVDSNGRSQASMDDPAYARARRPMLENIESNIEKS ALRLALDDKSDQIRQNLGNLFKKKPKDPQERPATSLNNRRDAQEMEGDMRFRTQSRLG QDAWIPGFPVPPSAMPGSPDSESVDAPSFRSQMGNQGISEPPSAPLPPPPEPPSLKCF SGSSPLKAVDFNETEVDREMWLIDGDTLVYLTQETSSGPTGMPSFRLCSKKLRALGSV VLSGLIQENLRNRQSIMAITDSAKSLLTSEHMESTDNLRYTISIAPPPELEGEKLTRW KRGTRNALALLHGKPLIGDFIHEMLLTLQERFIDWIQDEDHAKEIVVTYVVDFGLADV RKCPRAAVGLLAYADAPNVHWEPGYREAFAHCVGMYEQVVTLYEWTMLSGVRPVTKAA IRKAAIILEGCLKFQAQRLRDFNFDGMWDLKPEDSVVAFEAFKSLAGFLRDFYTKSYG SWPPNQVDNTHLWLTRTVVRDLQRDFSALYDYLVDRSVVFRYRLKHLVMDHPTNVHFD ANGNGVPMVRVLTNFDESCGYNPIPHPFPLLPPSIPVAASKIAQEKPKRKFFGGGTKK DKKDNAASTEQGEGVPKGNDPMADVKFKLAYEQANNLLILHEYTGNALVEAFIRHEQF ERICEVDPLECRLGRWVLIYFMLQTLASISSDVPGLIYPDGVGYFLNGFIETPSWKQG DFMQASPFLTHCFTVEKTWVKSDETENQAVAENHQSAGEHYPQAAEQYSQIDEHHPQV ADHYASGVSAAPSGAFRSEHSGYSVRSPTLTNNSQYGHPSDEDYNRFVRDYGQLDLDE NDHNGYAFGQTSHTPLPQRMDSRFNQEAYAQRPLPRQPSQPNYRVAAQANQLQPRQDS SKADLGWERDATPLRQENLRDEDDFQLFQSQPPPYQGLARNGRQQIEPHQQLSAQPSF HDFQHARAGRGNGTRARRYDVQDIPKFASPPRNHGRAMSPDTFTPPQFGPKSPLRAPD SYNKPMQGGQRQENFNDWDVETGNGTLP LY89DRAFT_690070 MTTQLSAPPVLSTPETHIFEDPTPPSTTHTLPRYTDDELWDVYE LERTAREIREGGYRRVALQFPDGMLRDAPRVCEGLEKAVGNLTARDESSSLETSFNEL QVKPDGHTAVERVRFYILADTSYGSCCVDEIAAEHVNADVVVHYGRSCLSPTARLPVI YVFTTHPLEVEDVVRTFENTFPEKEEKVVLMADITYNNHIPSIREALVSRGYKNVLAP EVIHDPSSTIPNRKVDEGIDLKSYSLFHVSDPPDALLLTLSSRVKEMFIYPTTNSGPQ TAIRTNTTISLRRRYALLTSLSTCPVFGILINTLSVKNYLSTATSIRSLISSAGKKSY TFVVGKINAAKIANFSEVGGWVVIGCWESSLVESGEFFRPVITPFELGLVLVGDGKRL WDGDWRGDFGGVEKLVESGVVEKKGDLEEEKGEEGEMDEDSEEESEPPEFDLRTGRYV SHSRPMRSSIPTPSSNIENESSPTAASNALTRRAKGDLATMNGAVSPGAEYLRTQRTW TGLGSDFHEVEAPQTIEEGRSGVARGYTVGEGEERR LY89DRAFT_597408 MLFGIFFAFWRFMEIITLIPTLGMLAYFVHIYASNNALTPNYIL VLFIVSVLAAAWALCTLFTYHRSKSNSLFVAFIDLCFIGALIAGVYELRGISHQNCTH VTAGDSYQISFGYLGSATVNGLHFSANKQCSMLKACFAFGIMNCIFFAFTSALAFFHQ GGRRDDRRDTTYVRETHVSRHGHRRSGSHRSHRSSHSGRRHAYV LY89DRAFT_675771 MIHGPDGFCLAFNNLADTIYVPRYIPRFPRFFCAHFHTAEGSED RMVIKKSRIDSFGLRALAQTNLEDYPDLDELVIKIHFNAPPIDDPNEKVFLVGKDEFD LMENWEEVKPRSVYDVDVPEPEDRNFCVPITPETLATTAINPRVISLATEPCSDDNAC CYKAPKRQPWRRRRFRAAG LY89DRAFT_542395 PDPRLDGVTATYDPSAIIATLTEHYQALSTLPFISASDILYPPP DGWPNITKQNFSPLKKS LY89DRAFT_724039 MYYSTVYCDPTLFQMSINFDNYWWEEDNLTDLCLGNCSYEATLW DRDVTQACADQWISAYGRLIPADSISGRYVDAMSTACLSSTSEERWCLVSAQNITGSD VIYPDCIKYPTDPSCTGNGTNIDPEDERMANLYSNDVLCDNCFIQMLYARVTSPYLAD SDHSDYLVDQLQDIGDVCNTSIPNITVRALPSYVPAPPVTSINFAGTTTTTSKPPATT TCSGQVLNSSKKRSDQVYGRDTEDDDATPTCDRLSKAYGISTGDLQANSNSANCTITG KVCLPSACKLAQVPTGATCDSLAASLPGNITTIQFLTWNKNILGLCDSPLAGQYICTS APGVNGTYTLAAPPLGTDADAGNQQRGGQGGFVTPCPTYTSSPTAAPGPTQTGITTAC NAYAMADNGIGCVDFAALNCIQTSDLFSWNGVLGANGENCGTAFWAKEYYCIAIFTAT ATSTTTIPPPTTMTGGAPGPTQTGIVSSCNKFAVAPDGMGCYDFATLNGITQAQLYAW NTVLGVNGANCGTAFWSKEYYCVGVSGGK LY89DRAFT_761217 GCQTGFGGCGAPNRPSCGGGGSGSKRSIGYYESWSNTRKCQSVA PEDLNLNGFTHINFAFAFFDPTTFAIAPMDGKTGALYNRFTALKSSGVQTWISVGGWS FTDPGPTRTAFSTMSSTSANRQKFISGLKQFMDTYGFDGVDLDWEYPQADDRGGASGD TANYVQLVSDMRASFGTKYGITVTLPTSYWYLQHFDLVGMQSSVDWFNLMSYDWLMRF VVHGVWDSASKFVGPYIAPHTNITEIDGGLDLLWRAGVSSSKVVLGQGWYGRSFTLKD SSCSTPNGVCQFSGGANPGPCSNAAGILDLQEIKDIISTNNLKPVWDKKAGIKHITWG GNQWVSYDDDDTFKQKRDFANERCLGGLMVIFKIDQKGSNGNSAAGSAVSTQDQQDAK QASDNAVAGITCYTTDCDTSCKKGTNQVAQMNGQPGDLSTNDRCPKKMYRNLCCDDGT IMGVCQWRGYRGVGLSCMSGCDNGETEIVQDTNHKEKKGDQTCTGGIQSYCCKGFKPA PNGADLVKDATALAKSAAEAIAAQVALDIAAKAFCRIAVPALLLPLELLEDAIPIFGE IADLAEIAATPAIIEGCVKGIEKEGKAEFKVFGKKHTLDMNKPTDKPAESRPPKSSHS SAKTSSECPRQPKHVKRAAPCNAEKYETITTKISDEPGSMGTLKCTYSGGKSAGGQAC LHYCEYILQYFDHTW LY89DRAFT_627796 MTQISRQEVSPATYQETINKSIDDLDTELHDINRKIHESPELSY EEFKAHDNIVAFLRSQGFEVTPHAYGLETSFVCDYGTDDGPLVAFNVEYDALPGIGHA CGHNLIATGSIAAFLGTVAAMKKYSLPGKARCLGTPAEEGGGGKLKLIDAGAYKGVAA CFMTHPFSTLANVTYGTCMASAKFRATFTGKPAHAAAAPHEGINALDAAVLAYNGTSM LRQQIKPDQRIQGVMIEGGHRANIITPKSILDYNVRGSTLKETKELQERVVKCFEGAA IATRCTVEFLQTNMYADLRPSRSLCLAYSEVMKSVSPEDKWPVGEKEEPGAYSTDQGN VSQVVPSFHGLYAIPIKDNAANHTPGFTDAAATDEAHRLTLLTSKGMAGVAVKVLLEK AFAEEVDKGFKEWLSAQE LY89DRAFT_787898 MGSRHVINSLSSNMSRFRQITQFSLIALASFANARGTPVRRNPD HGVAKRAVCTPTSAGDAGTDDVPAIESAIASCGNGGTIVIPAETTYALRSTLSFAGCA NCDFQIEGTLKASDDLTYWEGKKAIFLMSDITSAKVHSVTGTGLVDGNGQAAYDYFAQ NSSYARPTLWYITGSNSITISNLEFRNAPNVFHSAAGNSKNIAYSDITLIAESSSFNV PKNTDGWDIGPATYVTITNADVSNQDDCVAFKPGASYVSVSGITCTGSHGLSVGSLGE SGTTFVENIYVYNATMISSTKAVGIKLYPGGYGTATVSNVTWDTVTVTDCAYAAQIQS CYGQTAAYCAENPGSGTITDVYFKNFKGTTSTSYEPVVANLDCPADGICDIYLSGWDV APPSGTAEYLCANIDSSPGITCTSGASR LY89DRAFT_761218 MSRQSKGNKFGGDSILELHKGLTQKYGLFKDRIEANWRSFSEAK RINIVTSRAPIAKGKGSALFPEANVKQLAKSGPEHFLGLLKHRATTKLCDQYLGAKGD MRFVGDGILEDRLFCDESLHHSPVFILFSDDVYGREINTQQTLPPRVQERVDFEKQTG ACIEKKVGVLVLERQYGILQILNLMVDAILDTNSTQEVATERAKRSEDVASTALSKLS IYNKPIKATLKDMLERAVEQKGNMEDHLDLCRSEPTIFQYMAQIWFTSRPELVKDNKG AIAKLGDKYISPSIMNMMNNALLGTATWSYMCVLLQLLIDAGDDKIKRPIVLQELSNV TNMEYLRVQKIFKRHVQMGCGAKDFRRVPGVYDNGFARTNMKTDPKDLTASDPQLHYI LRLCQPETSANKAILWVKNMDGLYSAHPEDMGRMLEREWEAYGELVVMIGFLDALSTC VTVPAANSTKGANFVNKVRELTQELDEVKNSPDFDLTENAVPLSMLAEDDRSEQVLAK LDEKVVEKAGTKLGFLWDDLVEDSSATFKSYCQQQKQKANQGTNSKEQAPPPSTQKLD LKDLVEARQEKEKTRPGHSSFYIIPQPVVAPEVVEVFPAIKIKVATFAVFQTIFSTGQ AQGTGTVAWVAFEAAMIDLGFSVEATGGSIYLFVPAPETADLGIPQRPCTLHRPHSSK LEGNQLLYYRRRLMRAYGWNVGTFELL LY89DRAFT_724042 MASIMPPIPRFFFLYIEPSLCFFGGAFQPVLNPHSITTLLPAPL AGRHTTDPNPTPLETMLALQSATLMFMIAMVTVIVMVFAKDRAVVRGYVVASAVTDLP HWASFAYVLGWEGLRQWRTWEAPLWMQLLVPVFTLMFKLGYLSGAFGEDRVPEKKGRK EL LY89DRAFT_274087 MWEFCERRREIAECWAGLRSARMLMFAARVRLQSCHLAIPRLRS PAPPSEQLQPQQDGDSAALNLLNISTYFSIRNTNYDPPHPSLPNPRHRQPGRAGRNSS SNRRRRQTQPQRLHTLKDNWHSHLSLPPTHPHRRRRLLRSKPEAESETRREVEGDTRG RGEAYGAAGG LY89DRAFT_675780 MSCSSSSSDNTIVLQSKDTFHQFSRLPLELQRQIWDSTLEPRIL TAYESRTLPNRSPPTTCFTKVWNLVPFPTSPEVGIYTVAEGIWPPLRPTPFWAMPYFR QLISDQIIKNNRREKYPADLLMRFEYDTKRPAGPVALRVCKESRKVALLHYQLGFGGW HRCPNKTFSQAFEESGLRERRVWIDFERDTILIQVLQLPQNALPQNADHVRDTAKAYK QLRNLAVVAGRCWTGRGTVRSDVQHIMPWDECLLVTLQQLEKLENLVVYYYRDDQESV LAGTPFNEQVKKTILEVIADPWASFPVGTKILPLLKPPNVKMVMLEATLEYMKSY LY89DRAFT_274078 MAFPEPSLASSVSTMVPATFHPFPRLPTETRTQIWAYSFEPRVL TIRGLTSVLLIDPRNLDSGVIELPWDPRDPNWRRKRTLLVKTFIVFEGAHSDLKAMES WVGGSAPSGHVLAKQDEPIVRAPMAPVALYICRESRTMALARYALGFGAIQKHSDSPH RLGDSRWEEHGMIEKTTWVDWERDTIMIPLYPCRRICLEDNPLIQRLAVMEREMWLQD LTPIQFLVDILKILIHFKTLLVYIRPTNVAKDPLHPEEMRK LY89DRAFT_627803 MANHHRRESSVPHVQLTQPLPPLPLSDIPPRLSIATQISYRDDP EEEEEELLSAHSARSPSQPPRYEPMYDYLRARQQMTLQVPTCTRPTSNPENASEEPYR DEPFVITIIDSVEEDDVSQPPPPSYNELYRQNNIEMENLMRQFETEDTPAEQTEEICK WVVAMLLVALTIACVGTAFNWGRPSCTWTNRSMGKC LY89DRAFT_787903 MAATVTIASGATWQEVAADRQKHRDATIAAIEPPIAEVKGIPQY TIPLAKEFLTAEEISITESPVEKLIPQLAKGELSSTTVIEAFLRRAALAQKAVNCVTE LLPTRALERAAYLDTYLKENGKPIGPLHGIPISVKEHIGMKGLDLNAGFISWVGRVGE EDSHILQILYRAGAVFYVRTTQPQSLMHLETSSNLYGVTTNPFNTTLTSGGSSGGEGA LVGFRGSCLGIGTDIGGSIRSPAANNGVYGMKPTAGRLPVMGWSATMAGAEHIIPTIG PLSTSLEGCRLFIKTLIDAKPWFKEPSLLPFPWKEEQVFKGKKLKVAVLWDDGVVKPH PPVLRALKEVVEKLKAKPNVEIVEWKPYKHDLAWEIIANLYFVDGANEESEAINASQE PWRPLSKHIIPDNPHVQTHTIPTLWKANQGRDNYRAEYAALWNATSTSQGPNGELEGM VDVILCPAGPGVAPKVDTAKWWGYTSQWNLLDYPALIFPVDKVDAKKDDSKDAYKPRN EKDKFNWDLWEKYGAEGYKDAPISLQLVGRRYEDEKVIQALEIMKEETGLPFVDYV LY89DRAFT_274189 MLALKNITLLLTLFTTSILSAPLPNPGNGNNFGVGVSGHNGLTI TQGHHKRDPELLEDREASNVGVEGAPSFKFGLHGGAKREAYDPTIHILPFEPIAEKRG NVGVEGSPSFKFGLTGGAKREASNVGVEGNPDFPFGLTSGKRGNVGVEGSPTFTITEE KRGNTGVEGNPDFPFGITNDKREASNVGIEGSPTFTITEEKRGNVGVEGNPSFPFGIT NDKK LY89DRAFT_274200 MHNISPEESSTAAPPPYAPPLDPTFYSRSESPSKPQTISESAKR NRRKACICMGVGIVMFVAVPAAIFGGIISKAHTNCITKTPGNAGSLPDGQKFC LY89DRAFT_690082 MSSNNPYKLHDVEANHPLPPPSPIPSPNPFLDQAPNVPPPAYNF HAEAENLLLPPNTSVSRSASPSPFKRQESPSAAYYRKQQYNKRRFICFLVAIIIMIAI ALVVAGVAFKWGRNNVCIRWDDGSTSGNCD LY89DRAFT_740700 MKFSHSLTLLLVSATHALAAPTPSVPSVTLTFRDSHGTVTLRQD IPLNNLVVSIPPSPLASTADKWADFDNVWCGIGSPPGAPASTVSWDKEDVTHFSPPIL VGFIRCCRGTKEKCER LY89DRAFT_274367 MFLKCRKVVWALLIGTVSAGTVTYSIPASVPTSATPLDVAPVGI SFEFFTFPSYFLNVSATNQCLKNFETLTGVWPPIRIGGTTQDRATYDPSTSAYVVYSV AAPTDAPASLTFGQSFMSLASTYAGNVVLGLNRAHDNISNTIAAAKVATAKMSNLRAI ELGNEPEYYLTDDQPIATESGTWTPAIDAASQDNWDILVGSALSKTNIIQAGNSNSAP PTWGAAELIATENDTVQSYVYDYAHHNYPGGTISSLMSHSDISSNLAIFNDDIAAAAT TGKDYVLGETNSVSGGGASTVSPLFGAALWTLDYSVLASSLGIKRTYFHHGTIGACYY CFWGRYDMGAPYYGAYVATAFMAGAAAVVELDDGSTDYAAYVVFDGAGSPLRVLLVNS DYYSSGTRGSETFVLGGLGGAASVKSKRLTAASANSRVDQGGNPTFGGQTFANETCVI GGTETFESTAVSGGTGSFVVGASEALLVYLQ LY89DRAFT_701169 MFFGLVALLLVGLGSASVQIVPGATITTAGTNQHMQAHGGGIVE VSGTYYLIGENKLNGSAFQSINCYSSTDLVSWTFVNKVLTLQASGDLGPNRVVERPHV MFNEKTGKWVMWMHIDSSSYGEARAGVATSSSVCGSYTYLGASKPLGFQSRDMNVFKG ELDTDGTGYLLTEDRANGLRIDKLSDDYLSVESATHLYAQDYEAPALYKSGDTYFMFA SHESGWDPNDNIYCTATNLSGPWSAWATFATSGSKTFSSQTSAVVSINGVVIYMGDRW ESKNLMTSTYVWLPLTISGTTAKLTNEVNWVLDIAGGKWSAGPDETAPEAEASTNTIS GGAKEQSCSGCSGTSDVGYIGGSAGGTLTFPSISSTVATTTTIRIKYINGDSTQRYAN VLVNGVAYVVAFLPTTGSTPGTSTLTVPLKSGSGNVIEFEAYNGGWGPDIDRLMVPVS LY89DRAFT_274343 MDISEDVYVTSRSRTPSFIDVKSQSERVFTHLPDIIQEAIVSTE FSSPRLRISRVQDGILDTEYMTQPMFYITSRTDSGIDLPDLNVTDEKLLGKYGSDLTA NFADIRAYGAKAFFEKENLSNGSKYSLCACATGYIWVSVTAVQQATSYPHLDDVEIQI GTYALKAKAITTVEIPCVYRCMSSDEPLGGEPALLFSTVLGNYLRNRMLGQQYEEATD NAIKTTRKELSSRQIMNPTLFGDFYSVLKEMCTNAPLPPTSDVSLISRSMITRRWQCW RESSILRKRYFFPQRIIRCLKPSRHDSIDLLSGCYMALASEKARSKVVSTEAVEEVV LY89DRAFT_690085 MSAEVVKKRGRPKKVISDPVEVELPDLKKKSTTRAKSTKAVPKP AKVPTTTAAKTVQPKKSVSSSSGTPATSKTSSQPTAPSKLAKAVSEQPESKRAAAPVE NPTIKAEPPTSKILEQVRELEAKKSTTQQPSQPSMPAKAVSKTVAAAKSTPPSNTPST NTKPAAEVKAPTSNPLSKKPAASPPPPPPKPTSKPHIPIAALNSEIVSNISTRAGARP NTSGSKDLPKNYQSVARKVRNTIVALPILIGTSYILYQRFVLGEEQKQLIPVQPKESP ELQEVEVGKVKPPSASTASS LY89DRAFT_597898 MTGQMYVEKLVPLKPTQKYPLIFITGSGQTSTNWLNTPDGREGW GSYLLSKGYTLYLTDQPQRGRSPWVPGEGTISMYNVSFIEDYFTSPQNADLWPQAHLH TQWPGSGTKGDPTFDAFFSSEIQQQANDTLAETLNVAAGVALLDKIGSAIIITHSHSG PYGWGIADRRPNLVKGIVALEPEGPPFVQEVIRSGPARPYGIATLPLTYSPSITNPSE LVTQTIAAQGVNRSSCILQAEPARKLVNLATIPVFVMTSEASYHAVYDYCTVDYLRQA GVTVDYLDLPEVGIHGNAHFSFMELNNFEIVPHVEEWIGRRE LY89DRAFT_657053 MDNENVDADNDRSSLREAVEMAGLGRGDERDSASQSKQTLAIPR KPIQKSISSHFRENISPTSPTAPSRVVFPDIEMVSPESLRERSIGEDTRSYNFDFDFV SPQSIRAPSPDPNRELPAIMEEPAVAERPVSLVSAMEEEIRDEVPKSHSNEAYQVPPP PIDNDYNPYQAIGSQVDLLKVAPAKRKGTKNWFSRYSADWWLMEFLSCLVSLLATAAI VIILKIYDGKPLSKGPHSITLNSLLAIFITIAQIGLVIPLSEALGQLKWVWFKENERS LVDFETFDEASRGPIGGMKFLRTLGFGHLASIGALISVLGLATSPITQQIISYREHLV PGTSGPLAQAARSDVFLAYNPIQGSTGVPDLSMQQAVKMGLFNAANESISASTPSCSS GNCTWPDFSSLGICSKVANVTSFLTVSPLATNLSASIGYMVDNNVTLPNGAFLQAGEM AMNITTAPNVDNSSSVSPVNGSLAFANEPNAAYTTISDHYVIWQNTAGQGQADFGAME ILLYWCVNTYSTQVTAGISSTSISSTSVNIASTNTSLTLPDSNSANGTFQQWGELAMN PSNSSDNYTVDGSANAALAAYMGTTFRGTYEIGLGGGYTTDAAQVLVSALFGEPGLAG ARGQVADDMQLSGVQNLTQNIATGMTNNIRDRQFAGQAATGTSWVLETYVHVSWPWIS FLIVLLVSSFAFLLATIVKTRAVKVDVMRSSALATMCALGQEVKGYMGPVDLRGSTFE KAEGLRVRLGKGATGW LY89DRAFT_787913 MVGQARQAAQAAQIQRIYYDTRGDLLPPNKRQEYTQNLTDLAKK YTHPLGKTGAADHRILFNTGKSGITPISSPPPCLIETDQQKLSRNQLKAYQKTRFRGF TTAELKELNALEPLDFETHKNELTNIDIHPAFRRKRWLTEKDLPKHLGVPPILGGLDG LWEVTNPVVWAVVKPCLQMASMMLMNEYEYGWLDALFRGRLEKFAKDKGKQLLRLHPR TGLEMRTDSAADEIQKFFERKISKKIDLRIDSGYSVMTGMPIVTNPLSGVTYERPLDP GIHVSLSLEMFEPLLRTDLTDAERLGNTWNVAKTLVHEMAHATWRALKRYKPPYAEPY FDDQALSELGFSFINDICGGEPARMLRRPYWSGGPGVPQLGIWSNYWFNSTNAGYTTS AGAPPQNKPVEPDEIDPFYTRDDWVVPTSWHCNMFLNNWWNVGVVKFSREFTHMGPLQ HGYRKIDKRAIEAGNIEPRKIRVGEGDGTGLLYSEGPGDRIVISDKLSIANRKAIYAE QKRMVEAGKILFALTKNPGYVGAPNDNTDNGERPPRIQYQCRRWDEIVAYLFANRGPK ELALDTMTLLSEPQFYRYIRGRGGINLTGLEFREFLAVANERGILFVWEAHPGRGLVI RRKEGWPPAQDYPLEEIDQPSDEAVELDTLLSDEGTAGVPAKAAIPAKPAENGAPAVP SVPATPAVKAVLGTQGKIFRDFGVNRDVDVKIFWEFLYKEDPTTWDIPLDRLIEVVDE SINLGSMYITHGPEGIVRFIYDVPDHVWEAATPFAKTRVADMKKRAGL LY89DRAFT_690088 MPGRSIYVIGALLVAGLSIFLSRSPTAPDSAQPKTFPGRNNTVL FLSDTHAGLANVHVATSHAILVEYPDLELHYASFPKLEKTISETSAFAAQQNTATKAI QFHPLVGRSYAQSLDDVGFYIANAIQPSGLEGIASLCNNMQDFLMPWTATDYLAIYKD VLRLLDEIDPIIVVVDPIFGPALDAIRTQGRNHAILSPNNLKDNFVSMQPWASMFWKY PAISSGYPYPVPWRLIPANFYLTIRFIYSVLHTPRLAEKKAFLKANGIAKPLDVFTIY QKDYLWLSQGLPEAEFPMVTLPSNLVTCGPIFRSTAPAEQQDPELAAWLSRAPTVLIN LGSKVDYDENGAGELVKVIKTLLANSTVQVLWKFNKRGPFSQDVFSEVSDEIKEGRLR LEKWLNIDPAAMLETGHIAVSVHHGGANCFFEAVGVGIPQIVLPLWVDLYDYATRVEY LGIGVLGSPVSAPNWTSSELTTAFTRVLDGGQEALRIKETARRLGEVARKAGGRFRAA EEISKLARMGSG LY89DRAFT_701173 MSYPPVPVNNGFQFVSTRHHDTYPFIDPTTQSDHTNHYVLVTGA SKGVGRATAISFARAGAAGIALGARSDFGTLEQEIQSAAKAASKPAPKVLKLELDVAD YASVEKAAKVVEKEFGKLDVLINNAGYLGDWVPVADTDPKGWWRNYEINVGGTYHVSK AFMPLLLKSGQKTIVNVTSAGAHGLGPGASGYQGSKFALLRFTEFLMVDYQDQGLLAY AVHPCGTRTELGENMPEHMYRVFTDTPEIAADAMVFLTSKKRDWLAGRYVDCCWDMEE FLSKEKEVVEGDKLKMRMVL LY89DRAFT_274530 MADQLSLPTPSSTESALPPPRNRLTRLRSFLNAPVKDDLLLECQ LLVLSFGIGIQDATSFPDYHCFASNQTGNTVLFAVGVARLSTIFSISNIGVSLSLFIL GVSLAGQLGNHIGPRKRWWLLLSSILQTIMVFGASTVQYTGPSPVPDQGPQALAVLSL LAFSSGAQVAMARGLKITEITTAMATAAYVDIFVDKKIFQRKNRQRNRRIAFLITLFA GSFAGAFMYKARGSAFALLVSAIGKLAVVASLVWNEEMPEEEVEKVALQMERSREVGR IASLFGA LY89DRAFT_787917 MNPEFVDQLFKTLLHTEVTKKVHRDVYPAISPSRPELSQAGKVV LITGGGTGVGFSSARSFVRAAADTVIILGRRVEVLETAVSALEKEAKVTGTNTKIISR VCDATNLTEVNEFWKDLAEKGIIVDVYIANAAKFTEPKPILELGADEVWSQMEVNAKS PLYFVEKFYSQPSEKQKFLVNVTSAVIHMTAVLMVAERPAYVLSKMTGTMLFQLIALH VPPEKMQIVTIHPGVVYADGWKAMGFTPERFDTDELCGSFAVWAASKQAEFLHGRFVW TSWDVDELATGENRKRIDSDPEYLRASIVGANGGV LY89DRAFT_274672 MVKALETKACDACGKAKRKCGKQTPRCLRCRTRGIECTYPPARP TRFVLLEDDNETPVVEPEAPVENALELPVNSSILQTRGTGNIEVDLPASGEGLIDDRL ATSWFASLETWKVRFPRENQCLSVIADSKRFVTAIRRWLMQWIEKGTNPFIHKRLYQT RFPRSIQDAYTALSCYLHKTTSNEGLVLQIIENRATQLVAESQMDSAKSSIDENSSGS ALDTLAHVARVQALLIYQFICLYDGDIRLRHLGESHIPVLDRWMRDMLDQSSHAPCLG GTIISQTLEQTRVRPSYVSPTNELIWYSWVVAESVRRTWVVGSGIQVVFLALQRGGAP PCQGGVMYTTRHGVWEAPSAMAWEKLCSEVHVGLMQMADAERLFTDVSPEEVNEFTKV VLDVTFGRERMERWGVHVED LY89DRAFT_274643 MSQPAISSTSAVQQTSSAPSRDRLVIAIDYGTIDTAVAFGLTQT DIANLDELEVVEKWPAGLSSRVPSAISYSPTADMSQQQWGFSVSEDAIVYTRTKLDLD ISSKEHMLGRISDFLDGASDLRFEAVLRARGYPEHSWKDAQDMVSDYFRQVFHVVFEE LAYMGGHLAQVAVDIVITTPAQQWSYKGENSLFRAVREAGFNNESFPSLGDTIIVAES DAAAIWSIRNMREQQGNESFKQGECFIFCDCGYDIVESTTYRIKCLEPTLQIEAINEL KITQGGGKHIYQNFEKWLQGVIGERCFRRLDPRYDQCFRAKETWPKGMRQLAERFDQK LRCRPSDDKTFHIDLPPPLHHLSCADNVVAGELKITSKELKSFMNSWVTSIINLIEGQ IQQTNEGNDRITNILLVGDYSDVLHLQNEIDLVMRSKNIKLHRPWLRWGHTATAQGAV VYGIEKSHRSDLCIAESSPRHYGLLLDDGPVVNTTPVHRAGTFTYLIQKDDLLFSDRV VTKEKSFAIKLHPEKEKVAMMNLSFRHCQIRKR LY89DRAFT_701176 MDRHRKRHCWECRRLCLVCDFTEPACRRCFLSGIECPGYSDVKP TKFKWLIPGRITSRHRVRKRTASDVMDNNRNEMKTTRTTKLATTKKGLLIPQFEILTE AHIIGQAAQYFNSCIYQDLLPIQELGPNPHIYPISATHLKVAATRPDYLLFGMICMTL SHRINRTRSESSSKALAQKFYLYWGLSVRSLNRRLDVKDGQLDDLVLVSVMTLLLTDV QMGTSLNWRCHLDGLHKMIALRGGYKVLATSWSLEPLLICLWFVAVIANTTCPASDLT MTSSQLDEIEFLQKQYSDAASPFMMCPFTLFAQIIKINHLRMRATACETKEINDLSQE AYNILERIHDFSPEEWANSKFSSKADWLLIGKVYQASIVLYCILSLQSLSVLPTTALL QASCSAHGRHLHLLLDQGLASQKIKRFMIWPLVLLGVQAIHEDLATRAFVSKQLPELS RDVGTYVPLMAKRVLESFWGSGKTDWDACFDRPYVFTTQIAVDTSRCR LY89DRAFT_710985 MAAGEPILYSLYVYAPNKGAPIFFAIAYAISAIFHIWQCYRYKA FKLIGLHPLCAVMFTAGYALREYGAYNYIYLTTTKLPLIIFVLSQVFIYVCPPLLELA NYRVLARLFYYIPYCAPLPANKILTTFGALMMLVETLNSLGVALAANSSSSTTQQALG SHLTIAALSIQFVVIIIFVCLASIFHLRCKRERVQVTAVYTLLFTLYVSMALILVRCV YRLVEHTGNTKIDISNIDERRTLSSIVRYEVFFYIFEATLMLLNSILWNVWNPCRYLA PDNRVSLARDGSELMGTEDKDERSVVEKMGNVLTFGILFRRKKGGKQVKGKDERSLRV LGQDK LY89DRAFT_724065 MSGGPKKSLLIGINYTGSQNELRGCHQDVENVAEFLSYRGYSDD PRSQVILRDDMPDQYYPSGHNILAAIDWLVSEPGTTNFFHYSGHGGQVQDPTGNHPSG LLDTICPVDFRERGQLDSDVLHQHLVSRLPPSSTLFVILDCCHSGSALELPFVYRSDD DGNISLMDNLKTGVHLLGEANDLFAGGFSFNKLAEAKDLYAGATSFFRSFKHMGEEQG DGLAEGDYAAYEREHKMVTMFSGCRDDQTSADANIGGMSEGAMSWAFLETMKRIPNPT YLQTLTDTRYCLRQSNYTQVPQLSIGLEINLEQPLAI LY89DRAFT_675804 MKVLAPALASLATFTLCNANVVAIPRHSSAETYAVDALAAPLAA IKRGLPNSPSGGYSPASVPCPANRPTIRNADSLSANETAWLELRRNATVDPMISWLSR MNISGFDASTYINGIRNNATALPNIGLAVSGGGYRALMNGAGFLAAADNRTSNATNTG QIGGLLQASTYVAGLSGGGWLVGSIYTNNFSSVEDLRDGSKGSDVWHFSNNILEGPDG DGIQLLSTADYWTTLANEVSSKEDAGFNTSITDYWGRALSFQLVNATDGGPAYTFSSI ALQENFASGSIPMPFLVSDSRAPYTTIVSLNSTVFEFSPFELGSWDPTTYAFAPLQYI GSNFSAGVVPSDEECIEGFDQAGFVMGTSSTLFNEVLIELNASSVPTVVQNVLNSILS DIGFDNNDIAQYQPNPFYHFNNATNRNANSTELTLVDGGEDGQNIPLYPLIQPIRQVD VIFAVDSSADTDNHWPNGTSLVATYQRSLNATVENGTAFPSIPDQNTFVNLGLNNHPT FFGCNTSNLTGPAPLIVYIPNAPYITQSNVSTYDLEYIDTQRNLIIQNGYEVATLANA TLDSQWPTCMACAVLSRSFEKTGTTVPTACQQCFDRYCWNGTVDSSTPGSYEPTFKVG EISGAGNVRVGTLVSVAAVIVAMLLV LY89DRAFT_690095 MFLPPLHYTGTASSQASRDQESQSGDDIALEIDPLWYDFFPDTE AEPSSTLYAEFSATKCFCSTTHYYTGTASKLLPLTSQPAPGQEMSYEELKYTAYLDRS DEESSKKDYVKWSKTSILGMHHYMTIDDTPAAWRAYYRHRNYLKSWNFPSDRASVQYE DEDEIDPTYYKHPQSTPHHYLDIRLGPGEDLFDIAPVEEDGHRDDAPEEHDIIAEASY LATNFDFPILELLPADSL LY89DRAFT_274853 MWYRRITEFMDVDPPPSVRVHTTRPAEKVKFGHNAFSGFTFGPK PASKFPPVSTKRKLDCIELEEDDIAHFKRVRLITSKERVKFIPGPFREVKIAGKDLSF AAAERHRAAESDAWEAFRTNQSTLGSSHPNTILSLGNLTRTLRNIGKSSGAEDILQKT LGDEESTLVEKDPASLRVLLGSLMVALVDQKKFDAAEELWTRASDLFVSRASAEEQAA LIRDLELAHPFRQSVLAVADQQPNQRKAWTIDDLPSSFPELRSPYTLPKTIPKPEPEP VSKPTLWPTPKISWQMPMTPETYSRWHMDVARGRFLRTPVSARLPSPAKSHSDDHFAA ENGFPWSDFVRTFVVEPSSAACADSSATQNLFNTRHYCGRASSFLAFLALTSTCG LY89DRAFT_597775 MPIGVGSVGDIISICLLAKDLVEALNSSRGAAAEYQEIVRELWG LERALLQVDLLSRTHNSSPEINALHETARRAAEDCGVGIAGFLKKVKKYQPSLRESGS GSVLRDASRKIQWQLMQSNDVSKFRAEIGAQSQSISMLLATMSVRTLVVSDVKLNARL TSSESKIDDEMQKQTVIVAEVRDRLKENNTLISAVATKVTDALRFEWFRQLGSELKSM MNRIFRTNVATFEAVVAIQRVLPSHLERSMFRDPFILEDAIGRISPVHMDFINSWEAF DAILRLRFQDVQGFKKVRNNEYILQEHTTKREIKRTRRWDLAFMPGQRIDMSLVFVKK EAEEAEEIQSTSCPNCRATSDKSQDSDIQW LY89DRAFT_597510 MRLFRFCAVFGHALLPSIASASQQQPLLEATSEARSASQWKFNY SSEAPHYFASAYGLLQQWPNTFFPNGHSLVPCEIPPFTKLYHGRRDGDVPPSPEWFAF DIGMSYGIMGSSRDSHMLTYQTTRRVKCIYFDGESATLFGTGQLDSQNLHIWGNTSGP SRPGNGFRGLWEEYARATGLCDWFQEQKLGGPGWGYEGIVRMNAGFEMIWCNFSSPSI RLISHLNITAPLLPKQDEEVIVKAQDDSTLTSYYPLPPSPTRSDRATDPANPPVPPVN NPPWIREPFFHSQAWAWYSSATTHYGSNGDGPGRPETHVKPMSCGFLSYYGPDFLSQA LPRAQEEQKSLNLTKDGLWTGPGKSGSRAKAMESLTRRRRYHTLDSITPSDASIMRVN SERVLRDLSSDSPANCSGIDWSTMTNDIVQTYGSSLFRFLNTLERFENVTITNLTSVR DWMIDVRDETHSFLLPFLEYPDDDANDNIWTRDSSLFKTTYSRCRYQFTRLLDPEEGI SLGPEESLLQWSVEETTGGICSVLVDVGLAVEGLWEANFNVPIKETSKPEVPTQFKNE VSRWTEGIEQLMAWLGWAGEWVRCEQRCAWDESCFIPMWPMIPFRGGRGGGRGPPRRE PGHGGPPGGRPGGRLSVFDELFAFDDPPNNRTFRPPGRGFNPWQPNEDDLWTPKCVKS DYILTGRG LY89DRAFT_274893 MSFTMKYTMLFFILAMVSPLAQAYQTMRIPNPLRYTFNAYLNDN TTRDNYTVALTADQFPCKGYMSDMYTDPNGNGWPVTPYEAGEAAWIILTGESLGGSGQ ISMSGNNGSLTVIHTFEGNVGTSNQQQLDFTVPVDAPTGTAVLAFSYFPLGSNEVCVS CAAITIKPAQENATLPTTPFASRPDIFVSDMNNSCTRDPTKEVMFPNPGPDYTMNHTV PMASEVNTIFGTCLPVNGYGNGAKDNATQSLDSVPFPIPTSSTHSAGSSTGYLVVHHP NGHNSTHGRNSTRPTHTARPIANSTSTITIHTHTPGTAPRSNPSGTSNPFASLPISQD GQCGKESRCPAGLCCSMFGNCGTGVNYCGSFTCKVGFGACSKFDNGTVPVAEVKRGVA GRVRW LY89DRAFT_787926 MSLATELNAKFAEFHANAPAELKEPVKKSMSDFIASYNLDDAIK VGDKLPEFHLQGASGDMVDSKQLLANGPILVTFYRGNWCPYCNITLRTYQQHLDTFKA KGVTFVAISPELPDQTLTMTEKHELKFPVLTDVGNKFAKKLGILCPQPDEMRPVYSAV NVDLKAHNGDDTYVVPLPAFLLVDQSGVVRNAFIDPNFTHRLEPTTALEWISALDN LY89DRAFT_787927 MLDNPAPPSTVACFRAFISIISQSNELTSCFNPWVITPTVPYDE LETSLNVNPVITTVAYAILEPLITKGLLHLAVPPWTLLLGFSPFHIMWSLNMMIIYTG LYRTAQSSMRTSLGYEKPPSVERGRSNERDFYQLYAAGTFVLPVISSATINATLLLFS QSLYEESLIKQPRMLLLAFGAILTYLGCRFGDYVICKETRRGRSLSQAIFYQLMLSAI RGYVRILVGFPPFMAFQIPDTIEIEQRFGSERYQWIKEISQRYPFKYSWVLALVSVPL GLLGQYLMRTGMEERAT LY89DRAFT_690098 MRSTIAIALSFLTAAVSAAPTVRSASTVEVQFSNVVTGANGNAW IPLDGSAVVLGEAYADTDLEVNGTLFVTSLEFTADFQNVACTVLKTATNTVVASIADP AQDFQTFSEKPLNWQAGFTISCTVA LY89DRAFT_627847 MAILPSRPSWTHQFLNAVLCVVTFTIIFLYFRRYVAAFPITLES IWQISLAEVNRRKNVKRIQRITPVLDEESGMGEKKSVVRHMASVVGYREEPTLFKKCL QSYRGSPGLEIVLVGIDGDQVEDMEMVRIAGEVFPETMVKIHIEEPYGPLAVRLAQSY IDRKLHDEKSMADKQDTRIDDANTLPKELLEESYKYACRMVFEKAVATLKSHNVLSVP GSDLRAICLYQPHKCKKDIMFTNMIFTLALGQANDIEYLWTSDSDTWVYPETLYQVIG CMSADPLIGGSCSALSIHNGHESVIAQLGAAAYWTELAITRGQTGAVDSVDCQPGPCA AFRLIALEPILLKWYTQTSIGIKTVVNEDRHLTTNLLLNGWKVTFNTSALASTDTPTT LLRWLLQQIRWARATHIETFQYPQVYSIHGPILFVTAMRRFYGPLIIGVFTIRYVLTG YTVHTYSLIDLVGRIILCTGYNYAVNGKNVNGITYLICSQIFYQLPLPGIMFWSVLTV LEGGWGTRMRSQGEQRKERWAGLENLWSTSAVVLWMGFVAAAIARFVAWRLVPEFMVP LMLVSASATVGTLYYNLLA LY89DRAFT_690100 MSSPRRSRGVFLTGLILILVALYYYDFFGLLVERDGDEGRHQIT PPSKDAGQHAVPDEQTSSLKLSDTSSTAIHTDPVSSQPTTSALEVVPTPTSTHSAPQQ AHKGAGAPEDVLLIFKTGASTIWRRMPLHLTTTLSNGRIPHSVIYSDLPEQLSSTISA IDVLTNSSSIIQKFDPSAYAAYQDQQSPSHLNTYREHARLPGDEPPDATAGNQPGWLL DKYKFLPMLAHAQRNWPNLKWYIYIEDDTFIFWDNVLSYLSTLEPDDEPSYYGAYSGE GNNTFAQGGSGLVFSRSLMSAVFSGDNIPDLEKYGNETSQSCCGDIMLGKVLRDYGVY VNRGTYGTGSFRPEPPWKTGFDDWSWCAPVFTFHHLHQRDILQLSELERKRRALDAGR PVIFRDVYMELIAPYLTKPKLTNWDNFASRYVFGSNATDPVEEDLNSIDHAVLEAAPS SAEACRKACLAIPNCMGWRHDSANEKCGMDTVVKLGREPDPQPHWEAKTVITSGWILE RIDDVLLKETCKVVKDP LY89DRAFT_690101 MGVRDLVPRHTRGYLWIAFLVGVFMFMAMSENGIFSTDSVLPNP VLIAKANQSPLEVRPSDFKMPKAQSSTSTPTSLGGASQTPISHTSEPATKSTPVPTKE HPKTEELSFNGLSPNDIAILVKTGATSIWRRMPAHMSTTLSNPLLTPNIIYYSDSSDN LNGSPVLDVLANVSAALKATPDFALYTKAAEIADQNLYLESGSMEGDFYLPGGWRLDK YKFVPMFQHVAAYMPGKKWYVYMEDDNYFFWENLFSWLGTLDHTAPLLVGSPAFRLGE DFAHGGSGFAVSGKALEVSFGSDKGLAGQFEEYAKEQCCGDQVLSHVLHSKGVERYKE LDGGGWAGLQSLPHWRIGFGTWNWCSPIMNVHKVHQRDISRLWVFEREFKEGGGKRLR YRDVFEGMAKAGMQMESRSEWDNYAAAKTFASSSDPDVNAGVNGGSEGSKISAGEMAK KPWYSKEACKKACVEWEQCLTWKYADDNCALDHTAAMGQKIDAGIRMESGWMIERIKK LEKTECEALTF LY89DRAFT_724077 MIMLDPAWLEKSDEGDRMDYFFRTLAPIYALRVALPIPKTSPGV LEIPHQGWHNLFLKANFKPDHVEEEYRKDMLKFLVDLHEYTVLIHARAMHEKLWTNCS EFFLLALAFDKNEHKPWYAVKPPRSTKQSVEAAIDSGEKEDSIDPAIVQACRKELETM DEKFSGLVDHAKSVPQALRYQDPDTFRKMKMEYVIRQKELNGEKCDYSRWDAMITLAT RPEDSVFEDQQMVKESIWRMYREGPLEVSNRPYDLPNASKWLQLSINIRAFCLHAGCS DVGSDPLENVQNLRTYVSTQKLFQTMDLYDKIYELETDNREKENIIVCLSFRHLIEKY ISDVKNSKGIEIKDSSTRWEKVWTEIWNKAPAQDAAHPMRKLLENNPDPRDRNDIKKL GDNLYHSLSGEIHKYHEGKVELKAYKGSTLGREMLAALTPGAGINIKLDWKEARGELG YKK LY89DRAFT_701184 MSPLPTRILAGVTIPDTPLITNALEYTRAHSTDFAFNHVQRSML FGFIMASKIPSLADRDIEVHAIGALMHDIGWDPTGELVSKDKRFEVDGADAARNFLQR EAPDWDKHRLQLVWDAIALHTTGTIVFHKEPEVQAVAYGIWADFQGPDRIQNNLLTWD EYNTVVAELPRLNLMKGLKGLMCHFCETKPATTLDNTVGEWGDKFVEGYDRKGKLTCD LLLTCDLDGKTLLEDPY LY89DRAFT_675817 MFIPQSSRGRGAIPIPIHSIRATGDTRSRRQEFPPLTPDKIQAS DNELDLMVLDPAWLEQYPSHKHEDVFFRSILPRLVQRVAECTPQWKFTTEPLTLPANS WTRAFHEKSFDAEKAFAEYNESRVSHLASLHEYMILERAKVMCERLWAQCREFFLLGL AFHSMGPMGDSKEMKLDMAASEYKSCGEAMHAIRLAKDQSIYSQEFVKLCEEQISSSS NFWSGLYSENTEPSYATGNFSNPEDTIVEHVQKWTKVFVAATNEYRTKRHIDQWVNTS CFGRWNQITALGIKQGKMKDWPGKEVMTNVWSTYRDGPLDTAVSYSDPKAVGWVILST NVRSLCSRAGSTEIVCDPIQNIANLRQQIGREMVYAAGLQHKIEEMEEEKRLQQRIIA TLSFRYLIESLSSYQYPQMSGTDSWLSFWAEAWNASLIADQLKVRTHPLRSLRNSCHG DQKRLNKIDECAKGLYSSLSTYIHRYCHDQVSLPDDQWDPIKSDIFKALKPKDGLCRD VNWETESENFFPSSEIALAEVVADNTTSDTESTHTNDTDPVLSLAGEPDQHGIPERTS VNNRC LY89DRAFT_740729 MSSCAACSKAESDLVRLLSCARCTECQATHWPMHRSECKAPNYL LRISLFPSEIQNPSVTKTLSCPSSATFSGLHEAIQTAFGWAGTYTYDFKIKDPNAEPE PEFDLTTYMARRMAQDSARNSGRAVPDSGPRQNFPRIIEEAPYGPGRPLGGKGVDHMQ NQDRVHSQTPEVKSHKIKLGKVFENKEYEEAVIEYEYDFGDCWEHDIEIVGRVLATTK FVCTEGEGHGVLEDIGNIRGWLNLKEAFKVIHPTKDQKEKMKWAKSGASNADPEGLGN GRDRFWDKDDINEMLSH LY89DRAFT_627858 MGSSTSKAAKSASKLSATNTARTYPTRSPPPSSNTTNAQTSRPT PDARLGPTVHPESTATDSRDQSIPPSSSDPDLSLNARLRTLGPVQPNPTLSNSSTYTF ARSPPLTHSDRPSTNSSTSQTHTDPSLTHTQFQPSASNPAQSIFPGRGRENPAISLLT ARYRLAEEAEREFAALGKKGSAGRGFVDVQTLRQVLVLRGEGMGGAEIEGRLGLKAGV VGRLG LY89DRAFT_275030 MNNTLLRQLPRAARRSLARSQKTPIISRSFSAIPRRCEPSSTRG PPPAPDAESAALLKGEAPTYLGTTKRLPEFNLVDKVVLVSGAARGLGLVQAEALLEAG ATVYALDRLESPSADFSRVQARAEKELGTSLSYRRIDVRDVEQMNAIVQEIADKHQRL DGLIAAAGIQKELPALEYTAALSDNMMSVNVTGCFMTAQAAAKQMVRFGNGGSIVMIA SMSGTVANRGLICPAYNASKAAVIQLARNLASEWGQYGIRVNTISPGYIVTAMVEELF KEFPERREEWPKQNMLGRLSAPEEYRGAAAFLISDASSFMTGSDLRMDGGHSAW LY89DRAFT_740732 MLCEFCCKVSIDNLIELAKVEFSAQFFPEKAYYQHHDSYASLIS AATAGCELCQLIRDAITTYKIGGSDFYWEGRTTESAILETESEGHATDLKMCINMHHL NPGGKLEDVELFDELMVQVGPPIESESDDPDEYLKVPPLNLSLTVPRGKPVYHQNYRI GRFQTNPDLGSETNFDIAKSWLNNCVTTHEKCTARQAVDLPTRVIDVGPLAGSQEPKL YCSKDIRGSYIALSHCWGGPVSPLLKMANIEAFQSSIPFLDLPANFRDAITVTRQLGI RYLWIDSLCIIQDSKRDWDIESKKMGSIYRDALLTISAATSSRSTDGFLKSPPSSAEP EKNIPLHLTADSGSEAIVYISKPPQTPEDLRDLFYQAPLNKRGWCLQERILSAQILHY GSKQIYWQCAQGFLSADGVPHGVAMPEEEMYPEIASIIHPSILKGPIPKKPNLDLVLE EYYLLVQVYSSRKLSFDTDKFPAFSGLAQHVHSYLGGDYIAGIWSTDFRHGLLWFNEL TTCKHVRPYRAPTWSWAVTNEPVVFPARCDTPFPEYAVELILYDINLETNAYSQVESG ELTLYGLTKELVRSRQLTNISYPEMATAHVYFDEAEEEGGAIEQWSAVFQTKAGDDDY LLSVINGSGTEWPQPEWRIDFELFSPREYTVLLVDVRTGQQDGATVYHGNGLVLSKAE GKEHMYERVGYISLTPREKEDFEEWMETWGRENVTII LY89DRAFT_690106 MADPQPPNVVEGATTGDIDEEVPIAAKSAEDRKAAAALSTLDNR DDESSGKDVDQEAVRKAMERLGGAGATNGVVTKKDEQKVVKKAIKVDPADVTLLVEEL ELTKIKATDLLKAHEGDVEKALRAYIAPAA LY89DRAFT_675823 MASQVGQYDGQGDGTGENLVNGSSWSPNSWTSKPAKQLPEYNDR SHVERSLAKLQRLPPIVTPTEIEKLKASLRDCAEGKAFLLQGGDCAELFDYCEADAIE SKIKLLLQMSLVLIWGLNKPVVRIARMAGQYAKPRSSPTEVVDGKTVPSFRGDILNGY PLDERALDPDRLTSAYFHSAATLNYVRASVSSGIADLHRPLDWGLGHVRDPDLQTKYS EIVSSITESLKFMRTVGADTAGQLQTVDLYTSHEGLLLQYEQALTRSLKNPAPKLAFP GQVPRVEKLNYNTSAHFLWIGDRTRQIDGAHVEFFRGIANPIGIKIGPTTEVTDILSL LRCLNPQQEVGKITLITRMGAGKVRKLLPAHIEAVQKSEYNGKVVWQCDPMHGNTRST ATGIKTRQFGDILSELQQTLALHRELGSHLGGVHLEMTGDAVTECTGGSENLMDDDLS LNYTSFCDPRLNEKQSLELAFLIAGFFRNDSKTS LY89DRAFT_675824 MSHILINSQSLRQGTTVPRQAAMLTTSGTLYRKYCSGRNFEELP ARPLRRQANLKGRKAKEKYTQGKNSTRIWVTTCHATTTRHICDGSAYSLVSEGPNLLN LITLSHNSPNLETKVLASRAELSQRPPIDRRRCHIPHIHTMNEQTKKNLESSIELSRS CERFLHTRRTWTSHLYNPLSNNSPMFKARRSALVGMVSCLSNSGRSTVEKASGFLSSC PKPGKTTGGDIGPFYDRGQDHLRAVDEQKQMSKCG LY89DRAFT_787940 MSALGATVDPALQALSTVPFPSLTVNGAAAARANAAGSASQSSS TQTASVPRSDKSKTSRKRKAEALEEPETICKIFIPDTQSSSGSCFRREDEDYRGRKSM WFLTSPSSDGNAAWQRAFDEDKRLSALFAKRNGADSIMIQALFHAKVKNAWNLAADPD GPPPLITLDTFGITQGVHVALAQYTDDNLGFQVDPALMAEY LY89DRAFT_740737 MEPHQQISAQPSFNDFQQGRAGRGNGARARRYDVEDIPKFHSPP RNRGRAPSPQTFMPPQFGPKSPLRAPDSHNKSMPSVPRQEDINDWDDVYYEGHEFQIA TRPIRILRLLEAETPPSGDEYI LY89DRAFT_597461 MLFKRTRSIAASKPSANDVDLPTPPESTADNASDILAAAFSGKD PEFGTSASVKVFYEGKNSNPNHYNWVETPPKQLNEKIARANNRVAIKIFKIKDLEQPT ISGKTPLKIHEIEIQSAILVAALKDIVKDEGMYLETTESAKFQQPFKPLFFCYDKIMA LYDKTKSGGVLKQHLKLLVQVMDEMFGSFMSHLKSLNASGLISYKLAWTYFRKDAMLF CPSKDSERIFRVVATQYVTHPCPHMLISCEEIGFDGETFAWRATSQQIPCFGGNLPVT ELPVYPLEFHDDPEAVKERLTVRAKKALDYQELTYCEYTGVGLLKTQCGFQRHNVNSR ILIDYFGYKKHFEGLARTDTNTSRRNRHHNNNNNNNRALPNGEIAPEENTYVQTLPLE KQQQNKKEMLSKRYDDLVYVSPLLEGFALKNKQWLNFYVDDIKPVVWNDEAYGHLVYP EEQKDLVLTFVDNHQRLKARVDDVIMGKGQGLILLLSGPPGTGKTLTAEAVADKTRRP LYYLQAEDLGTDAARLGPKIKKVFEMATEWDAVILLDEADVFMAERDPGDIARNELVS IFLRELEYFKGIIFLTTNLYSTIDAAFRSRVNIHLVFKSLPASSRLVLWEKFLSRLPG EDVVAELEEGDMGELAKWELNGREIKNAIKTVRTWCVCKGFEMNLARLEAGIKVTAPS SAKVEEVEEGELV LY89DRAFT_710999 MDVPADRNNGQTVDSDVLALLGKKQVLKRRFGLLSIFGFAMCEL ITWETVLAIFSQGLDNGGPAGLVYGFLIAWLSTLSVYTVISELASMAPIAGGQYYWVY ILAPTRYKKFSSYIIGWLTSLAWIATIATESVFAGTIIQGLIILDYPDTYVPKEYQGT LLTWLVIAVAILINTVIPGTLPKFEIFILVFHVAGFITIMALLWTYSTHQTASFVFTT SLNEGNWPTQGLSYCVGFLGNVATFVGADATVHMAEEISNAAINIPRAICGSMIINGI LGFAMMLTVLFCIGDLTTVVGTATGFPFIQIFYDSVGSIAGATVMTAVVLALTWSCAI GITTTASRMTWSFARDRGTPFSHYLMKVDKRTKVPIIAVGAVTLFAALLTLIYIGSPT AFNDVISLTITGFYGSYFLPSAFLLYHRIKGHVASKTLHIPAATQDLPEPSHDGADGH IGDEKMKPSEDPNTSTTIPDVAIEVAQIQLAWGPFRIPGILGIINNLYACLYMIFVIF WSVWPPATPVSASTMNYSVLVTGGVIIFSVIWYWIRGRKEYQGPLIDDEVRNVIRLGS VVA LY89DRAFT_675828 MADLSGYQLWMLQPNWTTEICQAAAPIFVNVTINGQDPRDLSIR GVASFLQLLPSPFNVSTEGDLCEWWTNTWTYDSEVNNTAFVNNIGMCCSSEVCSNVDW GGNPDIAGIGLMVVYYWLLGLTLFFAIALLIPFGRTLLQFAPTKPSSFTQQQTQDQTE SSEEESGRSISERFFDAFVGTITPLFDLCLTFTITAEVSTLAFHSQAVSRYEMVISEL LCSCGIGNGGSKVSEAKVLSAIDQGGRTPGIDYSHPVLCNYDMANPKTALKAICQRTG WRFEDPFTFALTANAKPANVPNIKIKSTKQVPFGSEHRPMPMITF LY89DRAFT_627880 MTYSLIAITLGLAALAFFQLSKIGRRPKDYPPGPPTLPLIGNLH QMPGKNGHLQFQKWAQEYGPVYSLILGTKVMIVLSSDQSIKDLLDKRSNIYSSRPEMY LGQIVSGYLRVLLMEYGDTWRMIRKMIHNILNIKAAKSYVPYQDLENKQMLLGLLEQP ELFVGHIRRYTNSLTTQMVFGFRTISIDDPKLIQLFHGFEKFCEVTATTTAALLDLFP ILKVLPDFMLPLRAYSKELHKRERELYVGHWMNVKEKIKTGVAKPCFCVDLVKAQDVE GFSDALAGYISGSLLEAGSDTTAATLIGFVQAMVVFPGVQRKAQEEIDRVCGERYPTM DDERDLQYIRGCVKESMRWMPTDILGVPHAVIKDDEYMGYKIPKGAGVMWNVWAIHMD PKRHLNPRAFDPSRYANDFQTASEAASNSDASKRDHFVFGAGRRLCQGMHIAERSLFL GISRMLWAFNFEKARDEEGKEIIPDIDKLTEGLFVFPQPFPAKISPRSEKHARKIREE WASAQELLDEQKQWKEVPKGMVFSTYTPDIEAKH LY89DRAFT_690111 MSNGVSIEELLRHQPDNDDDVERISFRKVKPSLDIVDPDPTWPS SYDLFASRIVAALGPPSPSRAEPGTNHLVSVSHVGSTSVPGLPAKAIIDIDVVVPDIN DESAYIPALEAQGFQFNLREPKWYSHRFFTASEPMFANVHVWPPASPEVERHRILKEW LIGNSEDRELYAKIKKESSEASKLAGESMMQYTYRKDAVVRDILHRALDHLKAQLSSN SATLDEGKIA LY89DRAFT_724090 MKSVAIVPTLAVAAFAAPAKDIEKRATPHVYLAGDSTMALGGGG TSTQGWGVYLPYSLKDVTVVNDAVAGRSARSYTDEGRFTTLVNTVASGDWVIIEFGHN DGGSLSPTDNGRSDCVGSGSETCTTAAGVVVQTYVTYLTNAAKALLAKGAKVIISSPT PDNTCESGTCSYTPSRFTAYCKDVVENAGNGASFVDHGQYVANEFNALGATVVNSYYP KDHTHTSPIGANVVAAAFMKGLMCNNDPLATYSKNTTASIAGSCI LY89DRAFT_690114 MAQPKTAVDIVFGAMTLGKEGAEQARVHTLEECSSILDVFQSHA HSEIDTALVYGGGSSEQYLGQLNWQSRGLIMDTKFSPRADLGLGPDVKTIHGPEHLRL ALQKSLQSLQAEKIDLWYLHAPERSTPYEVTMREVNALHQAGYFRRFGISNFMSWEVA QICEICERNGWIKPSVYQGVYNAVHRAVEPELFPCLRKYGVAFYAFNPLAGGYLTGRY TRDMLEREEVVEKGSRFDPERSQGRNYRRRYWNEKYFDALDILRPVAEKHGLTEAECA LRWMTNHSLLKREFGDAIIIGASSGKQLEENLINLEKGPLPEDVVKALDEGWATVKGV CANYHR LY89DRAFT_597428 MRLESQGGGSPEDDEQVRRARAFEAAQHAQSWKEAIRRNPKALL WCAYSLFTCIMWGYDGLASSIVLAIDRFRQDYGDEFEGQYVVPAIWQLALGGASLFGL LFGGIIAGYGAKKWGRQFFMFMSYMLSVAGVFLQWFSSPGNLPLLFGGKVLTGIPLGV FITIAPTYCAEIAPFALRGATTAAVNWSIVFGQCLAYVVMRQTQYFDNANSYRILFAV QWIFAGIALMILPFFPESPYCLVAQGRIDKAMKNTRRLHDANFDTEGFIASIKVDLET QAKTQREASFRECFQGKNTFRTLIAMSTFFIQSVSGMSWIIGYMAYFLELGGLSTGAA FNATVGLSFVMLVGNMVGWVFVERYGRRGTALYGAIILSAALFMIGITASIPSKSAIW AQVFFMAIWSFTYQSTIGSVAWAIVAEVSKSSLRSHTQSLATVTNGLVGAVSGVLLPF AMNPNDADLGGKIAFIYGGILGVSCIGIWMYYPETKGRTFKEIDRLFEMGVPPREFAG MRLEEE LY89DRAFT_275418 MSLDSRRDQSAVKAISVMSMVFLPATFFCGVFNLPFFDWTANRL SEVVLNWRIWIYVACVVGTTLIITFFWRVWFRFEKWRLSHGRSKNVRRDLKSWVKSGF KQIDKHAQALRERRKKKLLLEPSPSTPV LY89DRAFT_275417 MEFDPLAREFTPDHIADFLRHGLPDSESRPMSPNHLAFHERVGD TGVDTQSLYQDAMKYQPRHTEACEAQYETLPATTGNHRHHHRHGAVSIPLEDFDYEKH QHSSWESAERCRKRRKEQQRLAVEREIALQKACHEATETEQYRPEMSGQSATDHIADH HSGTTSSTIDTHCFYRKAQKEFCAAAWKDKNPSNSGPCSYVVFQHAEDTSTITSSSGS AYGTQLIDLVAKPRPARYHCELRIIMSPETRDEPYERHYLKSISSTPFPFDPATCEAF FKLCDFHKAFFEMSNSGHSHVIQNARVNTYKHGGTHSFFVGLGDTGRCNMKVFVSHKE CDRVTTVFIHDCSASEKRHLQDRFMDKALQPLTLHPIFILVLIAERLQESLWRDVKNV YHDAKNQLEQVPTWGLEQQDLHKSIDAMAQSNLSLQISQTVHANQWRMGQLRDFIGNM KAWSREIEDKDPKRESSFRTACKVLDDRLMYLEGSLDEAHMQLTATLHYVSIYRHWVR FNVSL LY89DRAFT_275416 MDALRNALQPITHNLPAPIRDLGVSIIGETCYKTLLLDIDLEST ECIKLAVSKGLGIGIIAASSIVKVPQILKLLNSQSASGISFLSYLLETSAYLISLAYN VRQGFPFSTYGETGLIMAQNVVIAVLVLHYSGKQAAAATFVAGLAASAFTLFSDNIVD MKTLGYLQAGAGALGVASKLPQIAAIWQEGGTGQLSAFAVFNYLVGSLSRIFTTLQEV DDKLILYGFIAGFALNAVLAAQMVYYWNGPAAKPVKEKKAEKVPIASGSSGVSTATPK GKSPTTRRRG LY89DRAFT_690119 MPTLKLLLTPSLACEAANQCINVRITLDVPGFEQDAILFHHILS RGPIKTMQYTSDALHIRDALGPVQVHHEDSGRRRFREFLVDRDVPPGELTIEYQALPW KPTETSPCGPQIAIERDGGGLTGAGMAFILRPDLDMVMDITVEWDLSSTPPGTQAACS LGEGTMVSAKVKPDVLDECFFAVGSLHSYPPLGTSGKFGMYWLENPSFDAPALGRQME SLIPIIAAFFHDQDLTYRIFIRRNIQKCVSGRGLYRGFVFAWTTVVPRDQDEIVEFLM HETVHNWPRLGFSGDYPTPEELADGWFNEGIAEYYSIILPYRFGIFSEQELIRRLNIR ISGYYTNPDLEVKNKDVQDRFWNGGHVNRIPYQRGFMYFMQLAYKLKKANARSLDNII LDMVDLRRRGKPHGIIVWLHLIAVELGPSVDNEYRFMADAHLIILPSDVLQITESLNW TLEMQRQEPFYLGFPEECLTANPPVVKLLDPDSRAAEAGVREGDVVTRQYSFFVVAER WGQNFSMNVKRKNDEGIEELKTIEWWPRSRETVESYQFVARSEA LY89DRAFT_597543 NMQLLAQKGIALTNYFSTTHPSGLNYCAIHGGDNFGMDNDNCNQ IPANVSTLFDLLAEKDSSFGEYQEDVPYTGFEGYQYLDPEAGANYYVRTHKHNIDFSS PAVLYNKNVEESTKLNTIKRFVEFYDDMDSNEMPQYMFITPNMLNDAHDTGIVYGADW LYQFLESILENKNFMNTLLIIKTYPIENRFMPVLLLDNLPAELVNTTDDTFYTHYSIL ATTEANWDLHHLGRWDTHANVLKFVANATGDMIRAPDVNLDNVS LY89DRAFT_761301 VDLIRCRATSRRTHRFCVEHISIDKAGQVLYLKDPCYAHHRCKH ITINADGEIDRNQLPYRESITFLEPRDEEPTPIRGTSENSISLLGRCFGRCLTRTHTS VKNFNSNSTDVYCARASHFRISLTTSMLEYSDSGSNTDTANGEPGSNEEHTESGQPEA SSSDHEQEKTSSKTTGTPENDEKAGCPWWVKYLYGVNSLPCAHCRQVASNPIKTGEGI ASLIIYSSQAHGSPVGVRIREPR LY89DRAFT_724098 MALTAPRTRANDRLTPPPDPAYNYPASTRKRTRFYDAYDKQHHL KSFRQLYRDEGVDHTTGLRWKRQRETMGHLAMRTTRGISKKPLGRKSKVTLAMCQMLV DPIRNPVRDQDLDAQI LY89DRAFT_275530 MLGFDAGWSGFDVGSWLSVFCTSVCMLSIQYLLLKTDRARKVLP PEVVPTQTELYAAVGCFWLSYLGSIKTLLHGIRGLLWILSELNFLDRPQFPHGTSWPS RSEDSPKQEFSEAKLGLQLFNLVILTWQLHQVTLLIINVTIPFIKKVQQHPQFALSLL CSRLQSLIAFLQLITIGQSSTSQQIPLKLCISDENSSLSTIQKVRLQRTQTASPKKGR TLSWSLTVDKEALDEMKETLGPPGGFGELGFCVGKDEVRFEAMGKGTSFGLMFGIEDK EDGVVTKPAVRPVVSGRRRKTK LY89DRAFT_690120 MTSSNQNKKTRIFMTGATGYIGTTISEYAIKEGYEVHGLSRSEA GDEKLKALGVTPVRGDLTTLDVLRHESSQADIVIQLAFMNDFQNFEKVLQADRAAIDA MCEPLVGTGKSFIVTSGTLLNEPDPNHGEVTEDSPMANSPLTGRKISEDLALSYASKD VRANCLRLAPFVYGRGGSGFLPNMIQKAVDNGESIYVGDGSACFTSAYVDDVAQLYLL TAKSAKPGELFNATTTTNVSFKEIAEAIGSLLKLPVRSVTLDEATKLWNPFFANIFSF ENRASGQKARQQLGWKPSGIDVLSDVKTGSYVEFAKKIQTGEGGASQGAKAIWERS LY89DRAFT_275517 MSARNNPRVCFDFARGKCTRGSRCHFSHDPNLPCPYYPTSRGCS RGGRCPFTHHDRRSPDTSRTAQPTPSVPRQESDLEASCRRWTYMIPRPDSRPYQNAAV DLKRFFETGWDLVERGDAGTRQHIITKLASENGLAIIKALADLMTDGTSDNVTLSIFK DQALPFFQIIAYADIVSSLVLEAPVDGIYNFLFGPSGRRAAQVFRSVARSIVSLISDP STDEGMSARAISTSLAVLQRLIDGYQTAQLLDDFKSIVETLSACMSDDVALIPAQQSL VRIRRRLNIGNSLPVRTQARSMRDVHDVTFQLDVDLPGRLSKHGPRHDNDRENISEIR ILPTSAEIMSQRTEFLPSIRAPSTHLSGIAGLLDKHFRLLREDTVGQVRDAVKVELSR LEHPNRTEQLTQGKNILRNVVHQNLRILGLVVDKKKGLQVLVGFEQPKVIRGKSRRNR EEWWQNSKRLQPDAFVCFVSASRRIIFFSVGEVPTSQNSREKNNSEDARSAGKGHDEP QNTPSLSHDNDEATVLLSMVEHNADDIRWIVNHIGARHKSRQSLVEFPGILLPSFQPT LHALQRMNRTLDVPFADIIAPTTDVADLGASQVQGKPPAYARQPGFSFNLDFLAGGNP LSLNPGSGERFDFEVFDKYTTLDDAQQEAVIHALRTPLAAIQGPPGTGKSYTGVSIIK ALLNNRAAAKLGPVVCVCYTNHALDQLLEHLWNDGIRQIIRLGSRSKSEILQKLNLHV VSKDVDPTKQEKHDKWQYNQQIATLVEDIRVILAGLNEPSSWKNVRDYLQLRHQRHFN DLFKGGIDEDGFTEVKQGKKIRAVESWLKQAPKKITSNRPIAELQQVSLSETSSLERS ALHKHWLEMHSNELLQRLLGSLDELYDAKSKLDKCHQELDLRCLRQANIIGVTTSGLA KTIDVLGRVGAKVILCEEAGEVLEAHTLTTFLPGVQHAILIGDHEQLRPQINNFELQH DNPKGQKFSLDISLFERLVSPQPGHPKLPCIALETQRRMHPSISDLVRVPLYPRLKDH LSVSDYPEVDGMRKRLFWLDHRETEDPRSAQSVSMSRTNTFEVDMVEALVAHIVRQGT YGNEDIAVLTPYLGQLQKIRKRLASTFALVVGDRDIEELEATGIEDPAESEKQSQTQK STLLNALRIATVDNFQGEEAKVIVISLVRSNEERNCGFLKTSNRINVLLSRARHGMYI IGNSDTASPVTMWSQVISMLQENDNIGTSLALCCARHQDTPIEVSIPDDFARLAPEGG CDKRCASRLRCGHACPNMCHSDTLHNAVRCLERCQRVKAGCDHACPRPCGDPCDDKCQ VVVKNIILPCGHVADRLRCYEAQAPEMVQCQVSMNQIVPYCNHQVKVFCHQLPLEIDH ECNARCGAMLPCGHSCNSRCSKCNTRIDGRIVEIAHSPCSTPCGRPYSTCRHECRTPC HGDSPCLLCSQPCEVSCAHSKCSKKCHEPCIPCAEDCTWSCPHNGKCNLPCAVPCDLL PCSKRCTSLLTCGHQCPSLCGEVCPDSRYCQICAGPSIKTMVVDYIMSSTYEETDLDE NPCIVPSCGHILTLESMDGHMSMSDFYTSDEAGSIIGLTKNSEPFSASSLKNCPQCRG PLRNINRYSRIVRRALMDESTKKFIVWANAGFIPLVTKMKHIEEELRETADIPAATTT NMSESIELNKSRDMQFTRIGLLVRGMKKFKSVLPLRRDIKQFLKQVGETEQPFGRVRD LVLDARRHRGVDVDDAVALSGADILQTRNRLLTTVLLIRCDYAILAKFLETYKKNITN STALPRTIKLDLSENRKDCEALIKESEARSQPGIVVDGHVYWARFLALERSLSESSEK LTALRETAKDHLQRAKHVCAAHPGQTAGMMEEITEVEKMLRDSTFYMPVTNEEKAAVY AAMASDFRGTGHWYYCVNNHPFTVGECGMPMQTSTCPQCGEPVGGTNHTAVDGVRPAA DLEREFGNLRVR LY89DRAFT_627904 MTYLCGCYYHIVSTDYYTRYQRHYLPLLDSEVHSTILSTSSRTV LKQTFTNPSSTDNIKECIYTFPLYDGVSVVSFISRIGKKVLTGLVKEKTKAKEIFDQA VAKGETAGLLEQAPEASDVFSTKLGNIPAGESVIVEVTYIGELKHHENEGIRFTIPTK IAPRYGSGPSHGFGFAPGIVATGEDNSNIKIVVDVNMPEGSFIKGVQSPSHPIAVSMG TVSTATRDQPVMSKASATLSLGSASLDQDFVLIVQSKDVGTPKAILEVHPTIPNHRAL MATLVPKFSLPPSRSEIVFVADRSGSMNGNIEMLISAMKVFLKSLPQNVKFNICSFGS RHSFLWQQSKTNSRDTLQEATAHLNKFSADMGGTETFEAIKGTIERRLKDIPLEIILL TDGDIHRQNDLFAYVNERAEETKGKIRVFPLGIGNGVSHALIEGLARAGNGFAQAVQH GERLDNAVIRMLRGALTPHITDYTLEVKYQEEIDDDYELIDRVTDGMKVLLTPETMSL KSSSSMSPKPTISLFDTTTDPEKDAMKEIQDTTFSLSPVPSPKLLQAPHRIPSLFAFS RTTVYLLMSPETIQRRPIAVVLRGTSEHGPLALEISVEELPTPAETIHQLAAKKAVQD LEEGRGWIYDAKDQDGVLIKERYPSSFDDMVQREAVRLGEKFQIAGKWCSFVAVAAND KEIAEKKDEAAASAGDHTTDDLDSDSDYIVHSLDDAVAPSAPSRPYGAGFSYQSSYSS SVRRPTLSAQPAGGSALFSASPPGIAYRRSGNMTADARFSSPRARKRVMNTEAPSASA YGMASFSADAETSDEWSPSSPGFSPISPSYSPTSPPPPPYRSSTTAQGRIMQQRQEVD PALASQAGPPNVRFGPLSRTQDPAPTAFGGGSGFPQTRTAQPGALMSAVGNMFGRSVD GAANRGSTPPGMKGFRQRIQDNGPTMKGRSIPKRKMKSEVDDMDYTPTPVEVDWSTKT VVEKMLALIDLQDFEGFWPVEKREEISKIIGVAIDGAAEELESKIWITMAAVKFLEEK CGDEEGTWGLIVEKARAWMAGTKSASELEVFESRAEKLIVG LY89DRAFT_275552 MEVFWPWIADVLSAYDTVIQKIPIGYFNSPTANLAIAGLQTASA AVPRLTANSPLPKDLDRSSVKEFIHESYRPVLRCLEDVLEVLEDLAMDTRSNEPSPRK SCNALHKIYQLKRATPRLTAILAYLTDKKKQDAIIWGAFAVSGVVLVGGAVAAVCLLP VAAPVAGAGALASTSAATGAAGATGAAAATGTVAVTRAVAASGAVIIAAEAGGAAAAV TTTSAVAASSGTISASAMAASAVGGATFGHSGVMTIFALLELADSRNIQFINTGINRI LEETLYYVALCFMRSNGIANPCDADDLEGTLKKLYGRRPKNSQQVYRAVVFA LY89DRAFT_275543 MLEKADKPRLKGGGHECSSLFLSSLLLSSFSFSRINNFIFLIRH IMSALVASQYQPASSPYAFLTRRDDPVSAGTDFTARLSVWQRPPCQTNYQLAILIREF LQEVHTGVCRAGGAQTPTLTPWTVTSLPFNEGPAPQPIQVRRSPCPVEALSSAVTECN LQG LY89DRAFT_724104 MSIECHDERVVARQREARAQLASQQRRDGLRDRREEDDSASWLM FDEEPIGTRFEVLRRSAIFNLADLSRLRSQRRAAYKYSDRTSESQAIINVDSLTIEDA QYEVTWDCLRRDLRLMLVVLGKIQEAEWSYRSFPYVPNCLLYVCGFGWAQDLARHANE TRAILAKDAKRTALSPEFAGQPVEFYNSRPKPRVSEIVWRTTENATEASSGINFLRVV LLFGLGVSGVWSVYRLWRFGTILLCRQSSKTLTAKVGRNDLSEEDYISLKGFKWSSLW WINAML LY89DRAFT_563901 EYIFMEDGSKVHKGHARLPRLQHNIRGFNWPPSSPDLNPIEKVW RWMKEELKDLDYVPKNKVDLKRELQKLWDRVDPRDFRHYTEQLTCKIEDVIKYKGMAT LY89DRAFT_690125 MVFSKDSKETKFVENIPIIGYLASAIHAGAGNEEHTKRAAAKCT NSNLAVIGGIAGGVLGGPVGAMAGAAAGSSVGIASEHGISQHIKDESVRDLPKLRPND WKGDWS LY89DRAFT_761311 MSTPLSLCCIAYPLSLSFLPLRYSAASFIDPRGIKARYGGEAQQ KEQDKIDYDHQKNQRFLRKTYQHIVDLRFQIGKLPSSPATTLLGSAVPWRETNTDTCM CKYIVYRIWLDRHRVTALRMKPERAFQPARACTDQHSFASTPTLVSSQAVSYAAAVHF YHLSNLEIALSTQTEYQNDTTFYKYYQACFNGGTISLVTLVDASVQVPVAFWIIVLSL TEHHRSVRPSTILELFLLLSFPPDILQAKFAPLLRGDKTGSIIVILAATTELAPLVAE VKGKEAILLPHNRALSPELLSGFICSRFLWWLYPLFLKGSQRILQFRDLFPLDDALLA EKLGLDLKAKWEQRSIPHRRVLQHVERNNTPNRKWNSSSLVTMTGIIYVGIAFSSVHT SHNLHRFITAFRAATISLIYDRALALHETSYHASATVAIMTTDVDRISYFLEEWTECW SRPIEIIIGILLLTLQLGWVSVIPLLVVGTSFGSSMIAKSIGNKQRKWADATQKRVLN TSSVLNQMKSVKMMGLEATLAASLQDERIKETKLMEKWSKVKIWMNTIENAPMIFSPA ATFAVYAVQARLQDQESLNAIKIFTSLALIMLVSQQTSRFLNAIPNAVSSFGWFNNIQ VFLLSRSFSDRRILSKDGNLQVGNGHFADTDSQLSFEFIPRSGTDESVVLMEHVTIRF DEISVPVLYDVNIRFARGEITMITGPIGSGKTAVLKTLLGEFEPSEGRISIRTKNIAY CSQAPWLPNGTIRDVICGSRYIEHIDEEWYKTVVQACGLYPDLLAMPEGDRTLVGTRG AASSDSQKQRLALARALYSRPDIFILDDVLSGVGKATKDMVLKRLFGREGLFHRLSAT VILATSAVEYLPMADHIILLAKDKTIRQGTYQEFEQSCFPPPATTEKATNSFHPHRET RQAARDLSTLLDKYILSDETQDLTRQTGDFAIYSYYLTSVGTWKILAFIFFCLLTALA SSFRHIWLEWWTLLHGRQTTLHISVYFGFGILYTVGIWSYGWTMSVMIGPATGRKLHE VLLNAVMGATQAFFAKTATGSILNRFSHDMMLIERPLAYSVLATVSNFLAALAQAALV ATGSLDMVPTIPFFLLAIYTVQHTYLRTSRQIRYLELEFRGPLLSHFLDTLEGLSTIR AFGWEREWMVECLKRLEASQRPYYLLSCIQKWLALMLDLITGGMAFALVIMATIANGA TSVGLLGVAMNNILAFNISLGAFIRGWMNLETSIGAIARLKNFENNAAVEAREGESFE PAEDWPFEGRVDIQNISASFSPFATGLHEITMTIQPGQKLGICGQIGSGKDSVFSAIL RLFEISSGRILIDKLDISTLRRQLTIIPQDTLHLNGSLRLNVDPFRSRSDPQIITALA KVGLWDVLSIRGGL LY89DRAFT_675850 MDHVLEPESDSISTTSNAEAEKIQRNSLYLTFNAPQVDEYHRGI FLTHPPIPTIPDPRYSGTLFHASYASPDPSRPSLPPKWLLEQRVVKDVSTSQSLVLLY RIGTLDSTTTSIDAQFQAIRHVLETVPLGRENREQKVGPLKEGEGNSVLDGYDCVIWT RDAVAALAAAGLIVLEEGKSVETVMAESRAMAGPADARTMVGVDFGGFRVFN LY89DRAFT_275613 MLMTIGFRSTQPHFCVNSLARGRFHQVRPGRTWNARSSSPPALD PGRFSISRPVIILGKGVDERQVYQLDWRERDRMIVKAENSSSKDTQR LY89DRAFT_275618 MKYLGSAPSCSIGLGKSIHKRRLLQDDKTSLECTDAHRHQILLL GGITLALVLPVPANAAEAVISCEEAFETPNDHGLELHPSTRNETNAVGLNKRKQSCRP CEPGPRISAAFAGKWRSWTVSREQE LY89DRAFT_597801 MAQTNFSREDVRALEATRQRLSQLTHTIHSLKTDLQQSNPLPQW SSIQTQNAILVTHVDGVTKLLSSHADLLNRTVVFPSTNYPGRTQEGLLTQLLRKKLEP QVENWVEGGRQIAQAEGLSGKDNDEFLGWAVDWTGKRIAEYAQNEALDEYTIDEQALG IENVNTGLKAKYEDDESEDSNEDEDMADAGVAVTSARRTSLGQVEYGLGEVKKQPSGT SKSVDEILRFATTGATMDHFSLRR LY89DRAFT_787965 PSSSIGLLTITITITPPLPPPRNPPPDLPLRPPLPPNPPPSLGS SNRPRKIIQHDSPPAAHWDFFPISKFISSQVPASGVRKQYSPAGKNPNRVVGGK LY89DRAFT_761313 MEQQLMTIEVAKKLIAAEDKMQTLRKSIDTRYGGARIGLNIDDD IISMLDPKRKALEKVWQLNLARARYLVAQPQETVMIASVESEAERNKAKAEDPRMSLE MAHGFIAEEHNVEAAKNALVRRYGVVARDRYGEATSRLSPKYRALEIVRRQNVKNARC LVAHPQETVMVSTIESETDTEEKRILFNKLCQGFWLSAQMDIRASGNF LY89DRAFT_761314 MTTHTSLLGSGYREYLQEASPSILRSDRAVPVHNLESEPKNRVQ STEKKDAGAKWTIGWRTPIVMVSCYVLALLLSITHLVMFIFLDNKPIISYHQSYVTTA STNLASSFRLTLKVALGVAFTQTLWRLLRKSSQTLRNVEDLFTMRTNPFLAVRWGILR SAPLLSLFVLVLWALEIVTNFPPGALTVTLKPYGTTAWRTVMALDTSYIGRVVDNSSD PQLDPSIAILDVLSVTDDDNSIITGIELEIINSNQNVSDDIWDRRDSVHRLASRTMIS GTISTIPSPCGLNCTYSLQFFGPYMICDPPKKETGCMGTGFSTAPYYFGIWSNPIDDI TIPYTEQMTPVYRRSEPLSVASFNTSVYTPLGWMGPAEDNVGDICYEELSLRCQPGQA AYNVSVTYQDGVQGFKVDITPPSIRVLTDLTKPTNISCPSGCNCCMFMQCSCRPLSDT NIQWISDANNMALIESMTLALAGQYDVQLINPGGADFNYTLDDGTVVDLQPISTKDES FGTVYAPSTCGNACDPGTQTTGPLNGTIVANTALNQRVNDYTSSLGPNITINQDILNE MLQNITLSVMNTLGYWTTEVNATTSTLRNVYSFSNKLNLILPYYLSLAVSLPLLLIGS ILLHRNGVSATDGGFLQILTTTSTSKALHGAASQGALGGAENVPDQLKELKVRYGELI DDDSELKALRRRVGFGVEHEVVPIQKGARYGGV LY89DRAFT_740767 MRASIPLSLAFLSTVLAQNSITAAPSVVTVTSAAITTPVAGPQA YIGASTEPALGAYTSWFCPPGQAWVQVGNYARCCDSNQYEECGIATACLSTSLMVGPS SQQIWTCSGTGTQTLCVTGTVYASIGATVGVTNCWNGGNWVATRDISITTSSSSSSSS STTPISSTPTTLHETSIPSSSSSTPPSTSKGPHAGAIAGIVFGILILVALVAISLFVY RRRRKAKRTPEAAPDPYVPTAFMSELPAQERDVGEASRFGVQRGRNEDEDEVSVVSGA SGEAGGVLL LY89DRAFT_275667 MPNILAIFGATGQQGSSVLNHVLTSPTLSTTYTIRAITRTPTSP AAVALSLSQKVQVVQADTSSLSSLTTALSGVHTIFAMTTATASLDPCAEFTSAKNIAD AAVSQGVKYLIFSTLPPVTAISGGKYRAVTPFDAKARAETYIRGLEGIQSAFFCPGSF MENFAQQGFLAPRWVMERCNGAGTKVPLIDAVGDSGRVVGAMLAEPERFVGRRVCAAE RWYSLEEIVGIISRKTGKRVVYRQISVEEFRERLAVSLPGMMVDVFVDAFQYGEEFGY FGPGGEEEVKWAKENVGEKLTSLEEFLERHPLKLE LY89DRAFT_597857 MGFSQSKLVTQIKKKPSSAPDGQTDAEAGFGSSGVKTTMGHDLT HMGLKNAKTVVQAITTLASGDPLDDKELLLEHGVAMLQSLPLNSGLSATASNDFIGML WNDLPHPAATTAGPTARYREHDGSGNNPWNHDMGKAGSPYSRSVPPLKPKGPNLPDPE LVFEQLLKRNEETFRPHPSGLNRLFFSFATMVIHECFQTNRKNQWINDTSSYVDLSTI YGNTGDEQTRVRTYENGLIFPDSVASERIMMMPPGVVAVAIMFSRNHNQIAKDLLSVN EAGKYKSWDKLSKDEQKWQDEDIFQLSRNINVGFFASVVLKDYVAAILNTPRANSTWS LDLGAEIKQLGKRIERGTGNVVSVEFAVLYHWHAALSAADAKWMEDLLRWQLPELKSV DDMTVELFQKVVMTAGHALMAQPAKEWTFNNFKRGEDGRFSDVDLAEIIKDCIAEPAH AFGAHGTPASLKIVDIMGQLQARELFNVCTMNEFRKYLNLKPYATFEDWNQDKETARA AELLYGHIDNLELYPGLMAECTKPAIPGSGVCPGQTTGRGILDDAVALVRGDRFLSYD FNSSTLTNWGYAKLGTGSAPGAYGGVLPTLLFNGLPGAWTGTSSWALLPFYTPDAVKG ILKGNKVIEQYDLDRPASGMDVVGVYTQEGCKAVFEDRENFRVMYQKAIRQCTDNHDF MIGWDDAPRHDTRSSILHKVMFEEGFEANVTKFFSTNVSKLIKDHSLKYTGTRRSIDI VRDVTNITPILWLAERFALPLKTAEKPHGLLTVPEAFGIYLVLFMYQSFNVIPANEWT LREAATKAAPILRSIFEAHLKTQRGITEHIVDWLGKGSAFEVGPVADRLYHALNDSKL PIGDLVGDCIGMGAPVAGNLTQQASLLIDLYLTEGYETYKDRIVELSALNTPEAEREL QGFVFEGMRHAGVVPGLPRVAAKDITITDGARGPVSVKAGHTILIATSKASMDPVAFP NPEILDPHRPFKDYLLLGHGLHYCFGSRLVGASLAATLREVFKLKNLRRANERNGHFS VVEKEFAGVKMRVYLDANAKESPIPTTLTLEYDE LY89DRAFT_711013 MEIQRLSHHGPLPTTIRAVRDSHSPARGRTLVVCLDGTGDSFDD DNSNVVNFVACLKKDDPNQVTYYQAGIGTYGRKGLQSGFSAAIDMAVGSGLGIHIRDA YRFLMQNYQEGDRICLLGFSRGSYTVRCLAGMLHKVGLLPAHNRAQVTFAYKFYKDDT PNGWKMSAEFKKTFCMNVNVYFVGVWDCVASVGFIPRKLPFSKSPTNVIGFFRHAMAL DEHRAKFKVCRYQPQQLDGVNPIDEKTIDRTFHTQFKGFYHRLFHSDNPDQKTKSPLD KLKKVKTNQEVLEKVFDKYERRTITPCKTDALEVWFMGAHADVGGGAVANEERHMLSR IPLRWMIRQTFECNTGILFDTAGLAETGLDVPTLWPVYKQNRKPAVGPSPKTLELWEK GGLPSLKRRSTVLGLQDSHEEKQQQSSKRRESDLNTLTNSQDTTNPMHLLPEQVEDYF DALAPMNDQLVLAKPWWILEFWPIKVRIQRDEEDGGYWEKVVRWNLGRYRCVQETDPR MHWTVEMRMGEGDKERAYKLRARVDRDASWRSVA LY89DRAFT_657159 MDLPWLFSTYTPSSACEISPHVPLSPDHFATESDEVIALTQSLA SLPYDPETWLNRAHSLRLLGYPELSLGDAYKARLLVEAGLDPMPSILGEDVRKASRKK IHVLHTTDPAWKRWAHTVSTPQLLAEKVGEMLKRLELQVWTELMEGLMAANCARDYQR LAREAVEKFPEDEFFPSEVVNANSWFAQRKVLLEQAVERGEMSEGSAETTLGNGGVYP VAYPWMSEGNGFLGRSEEVFEGIQEDFRRVSDNCMVVRSTIRNTPAGDGQEATTEEDC IGAIATRPILAGETILIDSMSTGTVGVDADPDGCPTCCASPVSNFWNTCCPTLYCSQT CASLALSTFHAPLCGKDVSYLYEAAKKATATTDFSLDALLLLRVLALTLEEAKEHPLL SKVLVRLTPAYGFKNPNLIIFNFTDHIQTPTSILLTLGIDVFAAPTYDTWVLHTIKCR LQNNKHGQTLGDWPGTSISGLYSMLNHSCEPNVDWRHESASCAVTMFATREVAVGEEL CISYIGARGDGLGVRERRRMLMGWFGMDCGCGRCVREAREEEEGLKTELEAGMKTLAV L LY89DRAFT_724115 MEHFNPHRRHRGYSGYQFYHSTAFDGRIAQFPPGSLDQSYNPHS SAIGGFARPDLRGFDNNRYHDPPAQYQGYMYNPQPPALVDNMVIQNHGAASGQYYQPI EHENFAHSRPQHNTYAHPAGIARSGHQSNMYYAPPVVSTGNTTIPDSHTAYGNQRAVP NGKFSPLISPDEIGVTSTKPDVPNRNLPLPTPKRTVKVPTVFPSSPSRLIFGFEKHPT PYSISFNPLLLMQRDLREIEPGKDEEAARLRNYLHNTWNSLILKDNKIFNDRTRCRLC GQCPRVEHSFHEMNHHFSRKASALWKDLQSKLLNTDPATVSLEDMENFYDMYWEVERV FWEDDCSTECGVPTWTGYHA LY89DRAFT_740773 MGSDHSKKPQSILPSSFQSLPYEIREQIWLYTLLPRLIYIHPHQ RLEPHPYDHESNELVDGLRMTASVRFNHSLHTTSSTPAEAFASYASFVVPDPHYVGRM DGEFLNYEHKLAVQPLAWRGGQAPPALHVCGESRAIALRKGYVLAFKGVDLRLEEEDK EYWKNNHLSDKGIWVNFSTDLIMLDALYRSRMYPKWAPLQPLVVLNKWAPEDVKRIRY LALGGNPGMVLSALRGNCVPVPHGPRWRTEWQWWVSLGYDNLEEIWIDDEFEVTQKEK YYEVSWRDWECQKGAAEQCIRAISMGRSKLPHWTSPLPEVKVVRGKDWRVYFGD LY89DRAFT_275867 MYFLQLALFALPVLATTGSRNTPKKHHSQKQSYAYKGPGQYYIE NQATGTTMDLYLGDAGPDTAITAYEPTKDNAHQIWQVVAAAQYHQVLIINSATGAIAS CPSETNDDGLYQMKGGEVAIDGYSLFTLSINKDKSVQFKNVQDPNLCIDLQGPSDEDG TPIICYSCHPGEGKNQNWIMVPVPKVGDGDGELKL LY89DRAFT_701207 MPSAQPSTPPPSIRGGHEKARPRSTQPFTYSGSLDSYEHNDSTP SIGREIPGLQIKKILASPDADTLIRDLAITVSERGVGFLRDQDVTTQELISLGQKLST LTGSPESSGLHIHPITEAGSELGDQISVISSVKKQKGEAPVNPLTEPSKFASNGWHAD ITFEPVPSDYTMLKIHTLPPTGGDTLWASGYEIYDRLSPATAAYLEGLTAIHDANFFN GIAERLGHPLREGVRGSELNQGKNLQTVHPVIRTNPFTKRIVELTKDESDVILKYLFD LVSQNHENQVRFKWRKNDVAIWDNRSNWHAATFDYNEVRIGDRVVSLGEAPYYDPAST SRKAALAKRELPVSSAA LY89DRAFT_740776 MAKENIKLSFSEKVNFFWVLATAPFFVGWVGLRHYLLPPKDSPG VARIVATTLLKTFCRLSQRQMKGMINLPLTGKVIEQYCTKHELAHEVEHLPNNATLHW VGSRPAKRSNVVLYFHGGGYNLPASPEHVVFAALCASEANSSLAMLEYTLAPEGHFPT QLIQAAEALKHILTITPPSRIFIAGDSAGAHLSLSLLSHIMHPLPGVTPIKLSEDLGG ICPMSPFLSFDYNKESYDYNAERDYLSLSQVKEFNASFKKPGLTDEEALKDPALSLGD APAGWWKNCPVDRVLLTMGAWEVFLDDCKAFGERLQSEASPKTMVEVVIGRKEVHAPC LQDTFLKRKDGDSWNAVMNWMSF LY89DRAFT_740777 MTTLDDVLAIQKTSNILYPPTIVTPSTESKVETDTSSGTLSIGL YNSTTSNTVYAYITGLAINNNSALFLLESDGQTAYYPTNPSANGSALSANCAIALGAP GTTVNVTIPFIAGGRIWFCVGSTLTFLLNPSTSGPGLVEPSVSNTSDPNYNLSWDFCE FTYNSSQLYTNISYVDFVCLPISLTLTSTTGSTQHVSGMASDGLNTVCSNLIAQNASD GAGWDQLVITSNGSNLRALSPSNGIVFNPNLFSTYWTSYIDAVWAQYVSAPITVDTQT SWGTFTAYTSNDRTSLAFTNLGSFTKPSASDIFGANSGAFAPQATNTAQLLNIGARLD AAFNRSTLLIDADQPDNEVVSTYYQNSITNHYARIVHAANLDGRGYCFPYDDVGPDGG ADQSGFVSDGTPANLLVTVGGGNAFARREVQQPTGKAMKRSLSWEDDVKALVTVEEDT DRDLEKGLHPKLLNENASSSEAKRFKLPAIVERFVGPQLAKLQASPFYMQRVARLIAL ISQLLLSILSLSIRTIVSRVFIVVFFLLFYFLGLLPHGTNGETQRRVLESMAAASGNG TTLV LY89DRAFT_275941 MRQGERPILLDNHKEKLETNSNENPGRPTTICPNVEPDVKPGEA PVENAQRPSHTSNIQSELLAALDTQSLSSRDMPALSKVTGFPKGSFRPIQDEPFNDER PQPDLLAQRKLKRMPGRDILRSPESTIAKCLCSSLADRYNLSGSSVNAVQPAQERHSC QCAYRR LY89DRAFT_740778 MASGFPISLRGEGRGLEISFPDLAGLAQSQAFVEVEGGLVLDGL HMELKTPTSHSGEEAPEFVLPRMVMRTHKDWYRELDSEKLATTRVFLGWTNEAHILLG TRDCFALNVDISGAPHNQPTRCLKSYGGGIGFGIHGIAALSATISGTRSAVPSGISGI EPLDKDAAMIDIRNGVRNHVIVWDDDDDIGWLIPQSVMLLYLLQIFLLRQLQCFEVLP SELLSSQAHDAGQAAFKTLTRFLEIEDIPEATQLTSVGVNREAPCNLQLSIKRVSKAV KEMCYHFRYVCDQLRKIYEDATTLHQSR LY89DRAFT_597619 MKGLTTLIAALALSHRPTLTLADTDTDALYAKAIKLMSESPLID THVDLPQIIRGLDRNPLSVLPNLATSLPGHVDIPKMRTGHLGGAFFTVWAPCADFVGA DYGPNFLGMTEGLRDSLEVLDIIKRMIEQHPEHLQYAHASKDIKSAFHAGKIASLIGM EGTHFLGNSLSTVRLFAEMGVKYLTLTHTCHTSFAASNGGGGPMEASNHGPGLTEFGK ELVRELNRLGVMVDLSHTSDQTMRDAIQLSKAPVVWTHAGARALQDHPRNVPDDILSL IGDGEGKNPGIILSVFYPVFIGPTPETANVSRVADHIEHIASIVGRNHVGIASDFDGM YAGVIGLEDASKYPNLIVELLSRGWNDTELYGVMGENLMRVMEQVDVVKEQLKAEIPS TAIWEKRPDLPANWGGPEQAYLPYEVRDLVNKRPKHDEL LY89DRAFT_275990 MQPAVTSPPLSNVSQISQLPGLTSTATSTVCENQASNTSLSCGL ESYGFDSYLIIQIFNKTPVHCHLSCLADWNCMSFQVQLNGSRYCNLFSTSVSGNVELS LGSGYFSYDKSCPNYLLGPCASPTSTAQLSSTVITAPSYLATIPPSRISSACACLLTS PTASTSSDKLSTTLK LY89DRAFT_740780 MSTSSARTSVSSTELTGAAKLEEVTRLMDKLASDLQHINLLPHQ RDALLEQVKVYGRDPNNSDPIFTKEAGRGIETLTRHAFNSPSLTTSRNALRCLANALL LREKTRQIFVDLGYEQKACNKLKNDSRDDEFLVSRIIFLTTYGTKIDIEKLIDQYHLA ENICLNISRHAKQFVTKQKKVRELDPMEDMALIETLKLMFNLTHFCPQRAAAFSPALQ SLIIIMTKRAISSSKPLEPPIGPLVNSLLNIDLENKDNKSTLYPKATPTITVDRLIEI LDKSIRAYQDEELEQNVSPLLTLLRKVYEIAPKEVQEHTQRALLPSAEDRKQILGRGT TLPSRLLRLSSNPTTPQVRESISSLLFELSGKDAKIFVQNVGYGFASGFLFQHNVPVP ENALEAWSTSDSDSTHARASQDSKQGLLGKINPVTGQFLENETNVEMPEMTQDEKERE AEKLFVLFERLKKTGIMNVKNPVETALNEGRFLELEDDAESE LY89DRAFT_787980 MRFTLLPLVTILGIASSSPLGPEMSAKRDFVYEQLTFTFYGGPA SYELSFPADGSTYPTNNALSVSLIDPGTFSALWGCNFYYDLPAGTAENPVLTSIGNGN EIAVGPPATISYVACEPTASNGTCLPVYAQCE LY89DRAFT_787981 MVPFHYHPLDKNGGEVRLLTLLPGRFASDIRILITNVSFSVHNP PFFEVLSYTWGSQENPVDILVGPEEATLKVTRNLAEALPYLRLENQARTMWIDAICID QSTLEERSSQVTRMGDIYTFAHRVVIWLGPERDGSTLAINMLKDIGSNIAVDWTSRTI SPSGPKANLQWADKTIALPYKASDYIVLKALFQRAWFGRLWIWQEARHANSNSVLICG YASISWKAFGAAIFCLARKRRLSNIPGSLAELLKIIRVIYALLSIRTKEEAAISIKPD YTRTTGYVYINGQSLLSAYCLTICGTLLVNWASSPKAKDAPLTEDAGLTEASNFVKYL LETPDVLKDINCDPQKLPLEFLRGAFSMLTGRSFFTSEEGYIGLGPPGAEKGDVVCVL LGCASPMLLRRTSQGWYQVVGECFVLGLVGSEALLGPVPELYRLVYKYSYDHGCYIQH FFNKNTGETSWSDPRLNDLPLFEGPDQAPCSYVRCVENGYLLTSDAYTRMGAKIEDFL LV LY89DRAFT_761378 MLSKGGERRQIDALPSTVRSREMKVLMLGGPRTGTSALFAALSY LGFKPYHHAAVGTSPELITRDYKLWSEAICAKFMGQGTPYGREEFDKMLGDYDAVGDV PPSLFVEDLLQAYPDAKVILTLRDPDSWYQSLKRTIIHWHQKLSLRILSVLEPEVCGP LMTCMPLSLDIITGGDWSDGEKAKQWYAEHYEKVRRLVPKEKLLEFGPDFQWEPLCKF LSVELPPPMYPRINSVAECDLVYGMAVDMAIWTVAKKAAVRVLPVLALGVGGYFYRSS FGW LY89DRAFT_657169 MVESPPGSKLPPTLQARPPTPPRESNQSNTKSSFVGRLFRSSST RRSSAPVSSTYTPDSSAESPTASGSSRKRVGFSDWPQYKDPPTVSFDGKGVLKHPVQP LPPSAERKPSKSILKAHNGNQEQDSLNIEGGNTALLPLHHYATFSKMLESIVQQLAGK DRSSKVDAYLMLCGSLKASDNVPDPKALKDQMGLLCQFIERDLSQKLDNGKPDSSLMV HGLTLLGCFLHKPSIAESLPVDFTLRFVDHAIRVLDDGSMSKEVTKHLMFMLAQQNFS TKVMNQDRVGKLIAALHTIEDRIKGKSIVHSRLQIYRTLLRQSRNHMLVHTVWIEDLL SDMLSSLKDIRATAIAFGLEAGFSLGIEHSASRAMQSILRGEWAEGLKFIDYYIERLK TSSKNREESSTVPQVWSVVILFFRSKPQCLEQWQFFSQFLGVLQACFNIADNPTRTEA HYAWNRLIFAVRPNEKTSPKLRAMLFQALSAQLKRKSSSGRKRTLSSIYTLLYYTLNP TSTHAELDMYWDELLVPLVNDCLTTSKKEIAASEMAKVDAVEACLILQCLFDSRTPRK WSETRAMDNLMQNGMDAKELPALDSKWLRKNHTRIFELLQPLLQKLYWDLGEDSAITR LWKSYVTSIASAAIMEVKVSFDMMSCIASIFGTIHKFWGVGPEALGSLSATVPSSKHV AFWKGLETILTISIEGLGILPFTDKTLSIKHDNFIAVATPSQQPKKIRLETKSPLYHL ISLLSNTSSVLVFDHGFSQMIHNILVPFFEKKSSKQARVEFVKDLVVLLPTEATLSVK SLWQALADFAVIAIDTRERAEPAISSEIPLGTNYRYVVSVLETGILFSPQRPMPRWKI LLEAVIVSATLDAGESGKAIAVLEPLAKTLKSRATTSESGIFGLTYLSILLGKATYPK DRQALDAAERTLWGSKQSSSKTTSFDPYSELYTYTLSCLESSYPTFVKSQFPDYADLV SGITGLLGRCPVNLLEAVLANLQSGIALWIVDLESKLTGGNALASAIISLWATVCKSI ATLCQSRTHTKILKDFEVLICAGLQSKHKTVVNSTIVMWNATFGLCKNGLEYPQNAKE ALLKLHSIVELQLPFFPESLETDAASTGHRQPASLIGQLGESSQYSGFSSMDSAINGY LAPPGPKVMQKIRQSTPQVIINTRRSESLKRSREETPEAGRKNSKRRDVTPKLRHDDS QVQFAAIPSSSPGFDRVVDSQLLTEKQKEVRERQQAEAAMFPDLRSSPRPRGRLPESE DVEPDLPIHRSSSKIRDMISRGTERETTPTVVIPSDDDNGYVASSPTPTPSLRARVED PPSSPPEAAAKRRDPARDEQISSSPPEGTPEPINAASPAFHALADTAVVLDDQRLEEP QSDHNGTFEPGNEDILLEITEANIDDDDSVSSPRAAFTTGEDIPDIALIDHTDMNVDE NDISQREEAKLLDAGNDTTLSIDPSAQIDPDAMEIKYIISTNDISINERSSSPTEDPS EQLRASQLEHEAILASAEAQTSQHQLSNDKHSSESVPSSIAELEIEPVYEPETPGTPV RHGLASEPHSPKTPLFVDALTSPAGSDKHDVFEDAVSSPRLTKAPKMQDSSSPLSDMD ESSLLRVVAGYDQSSDKHVSFLDDKENQPRQTRSSLSKGTQGISSPAASASAPSRKSA MRKKSGAQQSSQADEEVLRSEVDQFQTSSLPSLIPETPAPNTGNLLEPDAQSTLVYRD DDGEVINMDETIIVDTSILELEGGLRTHKRSPRKKGRKRKHIEEHTSTSELPDIQGGD IEDDVHSQSLINTSTPPPPSVQASSFVRAVTPTKKKRKGRPSRASQARVEAESSQEIG ASQSFTSADGDISMADTEISMMAETSVLEQPEIKQVLPIPSETEEIQAIVEDGPEKIS GIDQIEMEDDIVMDTILLDDPSSSDMDVVAETTFEDSSSAVQQSSMQQPEVHTHKENV VEQVEIVSAENEAPTSNGYIANDSSFSPAAAAAVGEISEDLESSLPKYAGVDNSIGVE TTGAKIDSVPVHDAERESAQEDSKVEEKPAVTMQSMKEKLQGLITDLRSAALNRQEIH ELEDMFMDAKRVLYDVELRGRQSADM LY89DRAFT_690139 MVDRPKKPSALATTPGLPPQAQLNISHDNSRVSAVLPTGESVEV LLFGATIISWKDAKGNEKLWLSEAAKLDGTKAVRGGVPLVFPVFGTAPDHAATAKLPQ HGFARSSRWEFLGKSTSESSTLSATSAGDDSVKLDFGLSPSNLTEESKKAWPYKFGLI YSVTLGKEGLTTSLVVQNDGEQAWEFQTLMHTYFRVKDISTVSITGLESSPYLDKVLG PSSTGEPYTSPSAAVSLSSKVDRVYTPAGGPEAAVIIKEGGKKKFGIVRDNMNEVVVW NPWKDDAQGMGDFAPKDGYKEMICVEAGAVKGWQKLEQGETWEGGQVIMAAN LY89DRAFT_657175 MTTSNFPLLSFQEFLTGSEVEKRAVAQKLYNAFHTYGWVYLQDF GITKEEIAEMFAMSKKYFDRPLYEKLKQTLNDAETNQGYTPDGAEANGGTDHKECYEH RRLSNELCPTDQELTNFRVFMDDFYAKCFKLSVNVLQALALVMDLDMDYFSSALAKAD PQLRLLHYMPIERKIIESAGHARIIPHTDFGLCTLLFQDNVGGLEVDPFHTGKFLPAT PIEGTCVINVADLLQRLSNDRLRSTLHRVTSPPLEKVGNDGMLPARYSTAFFVHPSAD VEIDPILRDGEAKKYESVNAGKWRTMNTQKNYSNLLGGSALKV LY89DRAFT_276126 MAPQYSRQYVSRFVPSSGFLIVFFLIATSVVDSVLLGYDSSLMG SLNVMPSYYNYFELSTATKSLNTAISYTGGAIAAFPAGFLVDWRGRKESIFWSCIITL IGAILQTSAVHIAMFIVGRFIIGMGLGVAATATPTFVSETAPPKHRAFALGLYYSCWG VGTLIASGVCYRSQFVDSTWAWRIPSLIQVVPSIVCLFVLLFVPESPRWLVGQDRHEE ALEVLAVVNGGGDISDPLVLIQYREIADTIAWEKTEGRQLTFKEAYSNPINRKRLIIA ISFAAMVMLPGTNIITYYFGTMLEQAGITDATTQLEINIILTAWSLVIAVVASWYADK VGRKLLCCLSLTGGIIAFYLVGGLTAAYGTSSNKSGIYGTIACIFLYNGTYSFGITPL TVLYPPEVLSYRIRGTGMGLYTMATKLCGLFVTMVFPFALDAIGWKTYIINASFDILM VLFVIFFWVETQGLTLEEVDIKFDGVKHSDVPDLEELKNGKVDFVIDGPPLDGRVSNT VEPKK LY89DRAFT_701215 MLTATCSSFAGLATVRFLLGVFEATISPGFVAITGIWWTRQEQA SRSAIWISFLGFFGTIGGLITFGIGHIEGSLATWKLIYLILGAFTVIWGVLFIIVVPD NPVSARWLSEDERVVAIQRVVENKTGTKSKTFVKEQVLEALLDPKILLLALISFVNAV ASGGLSFGSIIIKGFGFTSLQTTLMNMPLSFLQAVFTLLGGYVQTKVPNARLIVGSVA MIPPIIGTILINQLDSTNKWGRLVGVWLLAGYPTGFMVLLGLLATNVAGSTKKSMASA MVFVMYCVGQIVGPQCFKAAQAPSYHGGIVAMLVGFILNIVFNLALRSLYQLENNKRD RALEGKSEEEVEALREESRIQGFENVTDKQNVSRLHFNLELDLM LY89DRAFT_541834 LHIDDEAGELAAQALATGPAETEISNRVLRKVDLYILPFLCITY GLQFLDKTTLGYSSVFGIITDNHLVGQDYSWTSSIFYFGYMFAEYPGVALLQRFPIAK F LY89DRAFT_597626 MQDEYLDFVSTYIESISETLRSISLKIHDNPELNFKEFIAHETL TKFMNTRKGWKVTPSAYRIKTAFIAVYDSGKKGPVISFNAEYDALTGIGHACGHNLIA IASVGAALAAGAAISKFNLPGKVILFGTPAEEGGGGKIALLNAGAYSDHGVDINLISH PGKVKDSALVRTNAYVNTKIEYFGKEAHAAAAPWEGINALDALITAYNALSVLRQQTM PGDIIQGHITDGGAAPNIIHAYAAGIFVVRATSKKRLEVLKAKVDKCFEAGAEATGAK LQMTHIMGYDDHVPNKAMGRVCRAVMNKLGSNIPTEHLDLIEGATQASTDQGNISYAM PSLSPGFRIESVEGPHNPGFAEAARTREAHDVALRVGKALAVTGLEVLSDEDLLKAVK EEFEEMLKEH LY89DRAFT_276174 MARPNYGPVRPFSPLDEESTIYRSPATYSQPFPSHDHHGDLGSS PEIEMGNVRPKYPTHSDTKDSVNSTATLFPSHSASQTDAQPFLHDPLNKLDTFYTANT FVPYQDHTGKQLRHILVGGSARWLITAALCGGYVLATVIWQKKSAIGETDKKWYNGIT TGLSIALGLNIASAFKDMALNMRWPILAKGQRNLVELDLFLNADSMTKLSKLAIVTKR PMVVLACIAWLLVNLLAQAAIAMLSLTYGFNTDYTAVQMVKGNVSVPSMDHFFPLYSV LNSTSPSIQDEEYAAHMYGEYGYNYGLNETTFKPKPGDIYQPQGAMIFTDYNNSYMEF IFQDSPEGQTLGTFSVYSGRAINITYSCDSYKVTKNGNGTSDSIEVETIGAITLSQTV PDSTTYWTNSSHVCGSNERCTKVEAFESSNTDPWYYQCEITLGKTFNDSMNVSFVSDY MAYISTAAIAQVGYTDFTGVASQIYPQDSLWGYPNNGSVNDMGSTMATFALGAIAGAT MYNPYTSYNGMAPQQGQCLQVGHPYFFYLMLGLICGCHFIFIFIVAFYTNSVMVGPDG HLSMALLLRPIADALYGVSDGEENKAFRDAKRNTMVRYVKEHTRQGKWTLKMIQR LY89DRAFT_276167 MNVPVAANVLGTLGAVCWSIQLIPQIIINYRRHHTIGLQRSMML LWAIAGVPLGAYNITSNFNIALQIQPQILTILSLITWIQCYHYDDKWGVLKCCAFVGS IGAVFGGIEVGLVYALKAALRENKHWPVTLMGVVSTVLLSAGVLRHYWDIYVHRTVRG ISFIFVGIDAAGDVFSLVSVFFQPSLDILGMVIYATEFVLWCGVFACGGYFNLAPWIR QKLKTRRQRREDASIDLASGQEEGQNSVDVANDQSLHQLPSSTSVFRTPSSVLSSRMS HRSETQEG LY89DRAFT_538749 RLAVLQPGSRTTSIRVKLVHTTFADRPKYEALSYAWGRADVVKG VELNGRRVEIRVNLWLALVHLRRTKEERLLWIDAICINQADLEERSEQVQIMAYIYSR AKRVLVWLG LY89DRAFT_597623 MGWFWGSSDNDDEKKSQDPLRNLDPSLRDFLAKESPIKYSPSTP ATNHESITAPKSADTTPPAPPKPISDADTSNVPAQSLYKDGRYAHLWKTYQSQSDVES ESKSDQEKINDVLDGYKHRKAEIGRAALENCALEQWQVNECFRTGGWTSRLTLCRAEN RELERCYMMQGKFLKALGYLSTFDRPPEVDEQIQMHADTLYHRMLDQEKAIEAAKAEG KPIPSFPPLLSSRSKSTTSPAPHNLEDNRVKAADLPASVQKGLKKRLEGLNDEEREVE ERAIKAEIQAGEQVAASLSTIYEKQAEERRLRKEQGKETIGDKLSSVFGFR LY89DRAFT_690146 MAGELVLVTGGTGLIGIKIIQLALKAGYSVRAAVRSQEKANGVL ATPTVKALNPGSRLTFIIVPDILADNAYDEAVKGVKFIIHTASPVIKGEGFTPDQWET HLIAPALKGTTSILDAAYKTSGIERIVITSSEVAIIPWEEFIAKEVDTVFDDQYQIPF PAGPYSHVFEAYSASKVRALIATKEFVKEKKPEWDVINIMPSFVVGDNEMITDPNLVA DGTVGAAMAQVLGGDSGWGAVPSTSVHVADVARLHVEALNPKIEGNQSFLAVSEGERG TRWEEAIDIVNRNYPQAVKKGVLPNNGTASTKRTKVDASRTEQVFGFKFQGYEEQVKS VVDQYLGLVGEQAA LY89DRAFT_740795 MASNDKTIVLITGGNGGVGYLTAEALAASSPNYHVIITSRNLEK GEKALEDLQKSQPKESFSLVKLDVTDHQTITDAASQVSKEFGHIDVLINNAGIISKAT LLINQLREVFETNTFGPAIVTESFLPLLEKSKDARLIYVTSDLGSITERSDPSGKYYK LPAVSYRMSKSALNMLAMCHHAELGPKGVKVWTFNPGYVVTNLSGTGEAGRQERIKNG AGDAKESAEGILKLVDGRRDQDVGKFVNKDGIHPW LY89DRAFT_740796 MCLLNLLLHSFIGLIFIQVARADPSSYQWQDSLTSDAAPDWQKY VRSPPNQIVRPVAVLTNYTQGNVTNPNGLLTGKGATTFTRTNISAGTEKDVLPTIVVD FGQNIVGYLSIKFGGASSFNDTPGLPGIRLAFSETLQYLTNVSDFSRSYNGDTITPGS DQIAVNPYPYTWTDVHGCEYGNQVCDDGLHGFRYVKIYLDSLPADAPYTTSYGTVSID SMSLNFSAFLGTPNTFTGWFESSDDQLNQWWYDGVYTTDMCTDTFGANDSDPRGAASP SLLGKLVILDGAKRDRDPYVGDLAVSARTSYLSHNLPQAARNVLADLADHQRADGWIP PASINNYTLPLLDYPLWWVVCSYDLLMYTGDVAYIQQYYSNIVQVLDTFYPSVTNTQT QLISKGIGISGGYGDYAFLPRSGPVTYYNALYVLALDNAASIATFLDGKQADAARWTA RAQNVSASLNKYNFDITNGAFFDGTCGGEAYCETHAQDGNSLSIVTGVANATRANQVL SYLATHNARPYGNSFYDNDAIGAGFSQRVYAFISYFEIEARFIVGSASSALEEIRRLY GWMANHDPTITQWEGIGADGIPYEGAYTSMAHGWATGIVPALTNYILGVIPQGPGFSV YSVKPIPGDVKWAKGVVPTPHGPITVSWNSNPSQGQFYISTTGPAGTKGTVYVPVANA SVAVYLDSNPVPQMNGTQSSASQDVGYVAVPINDDQTHVITVGFQMN LY89DRAFT_627975 MTSIRDRLQSAAAENSRLSQTRSETAYSVSAYQQSQNYVGNLKK QISIEENKLADVNEQVAREYEDHQKYRDSHMRRLAYNLGGKKEKFAEQATKEEKEWLD AVALQLRTKKGLEGLNRTLADATKASADLLAIVKVHNRAGVERDALYNSIFEGPTPEM PEEDAKEREVREAENNFNVDQLLSSTETQARAILGDADKFLKRAMGDIQDAGSSATAD VWGVGGTFAEMAENNALSRCQQNVSQVEMLVTQAQRVQPAVGHLGDMRVAQMDFMSNV VFDNIFSDMAMRDRIHESMGQLSHAQSNLNREMQAADQRISRAQSEVTRAKSVLDRQR SELQQVRAAAFERLANGMEVGVGRPPEYAAEPPAYSA LY89DRAFT_740798 MKLLAILSALLCLFGTIGAGPFDNNGIEKRDVTSSGCAWCSPGP WTFDVACSTGEYYAVASSIENPPASVILNATAFCSEFLRPLVTDLSIINATGGTTTST STAYSLIMFTPTTTSSSMIMTSTAKPKSTVSAPPSITPLPMPQIAAALLKRDFTVPDY LTAWYYYGRLDPGCSCIITSALPSIVTSPTTTSTSYYVTLSTTITETYTIYP LY89DRAFT_690148 MPTMILRALLLLCGLSTIVLAQVQYMGMNIAGFDFGCATNGTCN FTTMLPPLTSLGGSDGAAQMQHFRDDDIFNTFRLPVSWQYLVANDMGGTLDATNFGKY DKLVQTCLATGAYCVIDIHNYARWNDLVIGQSAGAPTNEQFADLWSQLATKYKDDAKI VFGLMNEPHDLDIVAWAATVQAAVTAIRQAGATTQMILLPGTNFTCAVTFTSTGSASA LMGVTNLDNSTTGLVFDVHQYLDSDNSGTHSTCSVNTTAGFEDLATWLRSNGRQAIVA ETGAGSDPSCLVYFCAQNTVIQENSDVFIGFIGWAAGSFAADYVLSMTPSEATNGKWT DNTLVSECVIAPWLVNNTVIAAEYSSALTAIPTATSSSASPTSTKKGDAGSLRVRRKD VEVVVGILVMINIV LY89DRAFT_276341 MASDTSSNTSKRESRDLNGSVYLISSDGRVLSLPIPTRSHRDPL NWSARKRAGAVLALLFYSVTGLVVVQGPSLMFKPLAIEFTLEETKPFPLTALLSAPTL FMGFGAFLWIPLTLALGRRPVFLLCTVLLTLSTIWAGIAGSFYQMLAATCLQGLAEGF STSTILLMIIDLTFIHQRPQAIAMVWSVVGFITGSIFSLVPQMTNAGTNWRSFYLIWI IPCSISIVLAFFLFPETYFIRPALAFDGRIVVQGATEKVEIYESWEEVPGGKELPDTP GQNVWGYTNSELRIWGKTRGGWTSMFACYPQVFLCLINPLVFWVALLSALVLACMLSI GETYAAVLSAPPYSLPIHVTALVNLAGAVGSLIAWPASGILISWISRRLAINNRGVRD AEHYLPAFILPVLGAVASVVLYGLTAEWKWNSIWVYVSYALNSFAFASLATASTLWIT EAFPRWAAPAVVVVFGLSYMASFGLSFSIMPWVQSQGYAGSNIELGLMILIVGCIGMP VAFWGKRLRQYIHGKYAFHEEGALRPH LY89DRAFT_740801 MLSAYLAVGALALHTVSAWTYPDCEPDNCYRNLMDTRFTAEAPS FCVDFLSATTTAASAVPTDFANCDVAAASSACSCIVYTLTHTSSASSTSSSVIVSTTP ETSTSTSAPWTSSSSSSYVVISTPTVTPSSSYSASSSSSYSVESSTSVPMTTSTVYTV TSCAATVTDCPARSTAVTTETIALYTTVCPVSEVSTPVSAPVTSTSTYMTTETITIKS CPATVPNCPLTTSLTTYPCTTTYVVTPTYAPTVPVSSIPAGITTVPVSGTGAPTKTTS SGTLEVTAAAGRLNGGLEMVAAAAGFVAVAFL LY89DRAFT_740802 MAPSALLPESPILEAPLPQIADPKTKYNDWRADLLEKGFTVVKN AIPKAQAVKYQEKAYAWLKSFDTELDFNKPETWIKENLPVQSKINTFSTYGVAHEKFM WDARMEPGVVDAFTHLWGTDELLVSFDALNITLPNRKDVPRKPAWEHIDQSPFRRGMH CVQGIINLSPSGPDDGGLVIYPGSHKLNDEFFDTQTEKSSWESKDLYMFEREQLEWFT DRGIKPYKVCADVGDLILWDSRTVHYGSEPSEASNQIRTIIYVTYTPARLASEDSLEK KRAIFKAWGGTTHWPHDNIVFINTQTLLDDGTRDPRDRDGPLELPEMSDKLLRFAGAK SY LY89DRAFT_740803 MAEVGGRNVLVIIGAGGMGLFIARRLGSGRTVFLADYSQAMLEK AEQTLRSEGHTVSTISMDVSSYDAVQKTAQASAALGAIDAIVHTAGVAPGASNSRQIY TIDLLGTANVIDAFLPVISSGTSLVCIASMAGSMIPISLELEKHLATAPRNQLLQHKD IVLSEEIEAAGVAYAVAKRGNQLRVQAAAVPYGKKGARVNSVSPGVISTALAQAQLDT PAGENMRAMIAMSAVKRIGTPDDIANAVAFLASRESSLISGIDLLVDGGAVAGRKWPE A LY89DRAFT_675890 MNNKELQNNRRQKEEKQNKPKSARKDTARKDHNNRKHVWERPET NVKLQNQTQNHKGQRRAQWKAHVDRLATKRSKHPEQGTAPNQGTTRITNHQDYQSLTG TENRKQNHQTRHQNGTKAKQQKPAKNKKTTPDRSPKLQTLATENQDIQYSREDNPKKP ADDAKKSTHPKQEDRVKHTTQQQQRQKEDAKPKDNIVHHKGRQKPAGVPYSKQTDTKN HKQG LY89DRAFT_740804 MGLSLAYELGIVLGCLVALAFGAGFIKLYINKKRLKVNQKKADE EAATRATDREKLVGKRKLGEGDLFGVRALEHGYFGGVAQTRPSSPAPSYKLAPDAKLV DWGQMNKLRSDSSSVTSSNFDFLQDRNSSSASLAGAGSTIKKPSPLRLQPSDAELRSH NMSSVGNMGGNFLPPAPSPRSLRAPSPLFGEEKSSTWVSPLDVHFSRPGTPVRPASFL PKLQFPLEFGKSDLTIPLPDFNKPKSETTPAFEPVIVKPPPTAGGRAKTPKEIYAEFS QQGFAKSPTLSNFSQQSFAESPTLSTFSKQEPLMKSPTFSTFSKEEPPKAKSPTFSVF PQQAQQAPPRPNRLSMKSMFSTEELPVRSPKEDFSRTFQLPPVPLDDLAPMIPPVNPD RFPKDLNVWNPASPIRNSIVNRQRQPTSAGARHSHEWTLPSPTLPDEMIDAEWQPSEK AIIRASVVSKQRVSVIRGPGAQSQDLDVQFARMRSRGHSMAASSMYSTRTSILEPGEQ SKRHTRTCSQSSEARARASTRDFSNSSHKRVSSRSLSRTRDSLRGSRKTSNDSFKSAD SHRRSRERDQLHFDPTSHRRNRSGSVQGHSVDFDQPRESPFSDSNGTSSHSATSSVSS SQSSDAASPPKPILNHHNQANSGAPLQPRMPFVQDPDRLSIAPQIHGRSASEVSQNSI GDFYDSYYRQSTMGRNSGMPNPPLPPASRNSVQDRAQAFEDKARGRSGSIGAPKRPAP LKIGNGNNNGAGSGYGGFNTIVEVATPMPSPLVMGDAGRERFPTMI LY89DRAFT_761415 MVGFTVSFSGSKVERQEQMATLLERKIKKTKEETWKLNFNGKDI PVSDLAQPVVGIIQWADDYISGALSANPYASTAWAGVSLLLPLALNPSKQAASFAQDL DYLTDVIVRSKAREDLYQQHRDSEQTKAYESSNRLPDTLYRDTLKKLYVENGAFRLGL DIVKWNDWDSALGEVRKRENNFKAVYMIWKDKNYQDDSERVFQQHQEGIDIMSFISRN TSGLRNAIEDAQRNTERINLLSWLSDFDPSTNYNTNLDKTRTGTGTWLLNNNIKFEEW KTLPNSFMWLNGRAGSGKSVLSASIVKHLKDIYGSNPSVAIAFFYFSFSNARAQKVDE MLCSLIKQICTQRPIIPEPVQSLNKYLARGQRPDRRTLEDALTATIRGFTAVYIVLDA LDECPSTENERDVLLQSIERIHNTVTENLHMLCTSRQLTDIDEVLQPMASSTSASNVD LWQYKAAVDHDIGLHIDKRFSEAPFRSWPEDIQLEARTALIEKADGMFQYVACQFDNL KNHRTPPRIRAALKDLPKGLDDTYDRMLKGINPEYRAQVASALKWLAHSLRPLSLEEL AELFFVDHEQDVPFNESDRFTNTEDVLNYLPGLVTKTKIPAVGAMMITEIRLVHFSCK EYLLSKRMTQLYFATPEEASHLHILSEDMLATHSSLRSPWELWKYAARYGPLHLEKIP LGS LY89DRAFT_740806 MSSSQGGSSPSQLPKGSRNWFKSRLGNISHRHKQTNLSTEQRAK AKVPKASAIAPSSQVTTPSTQPSATISTTPATSDSPDASILNSSPPAPSVPIAESRTT SGPPPIQELWNEAYDE LY89DRAFT_724141 MEKTLQITSTPSDGEIPDTDIEKVISRNPHLDVEPGQVSNLKDA HIKGVDKAYAYASVDIISIDEKTNKHLFRKIDTHVLPWLLGLYVLQFLDKGILSYAGV MGLQKDTNLTSAQYTWLGSIYYAGYMPAVPIHNRLFQIFPPAKYIACCVIIWGVVLAC MSACHNFTALMIQRTALGILEASINCGFSMITAAWYKKYEHGTRVGIWSSMTGVATIV GGIIAYGCVLGEEKHGSGGFSSWKILSLVTGLISVVYGIAMLWFMAGSVVSAKFFSEE EKMLAVERLRDNHQGVGSTQYKKYQLVEAWTDYRTWMYVVFVLTSQIPSAGLVLLSSI LIKSLGFDTKTTLLLAMPQGAITILSNAGFGYLADRTKYRSGSAILVSLLALFAVSLF TGLGSVSPLYDRNGQLVAYFIMSGCSAASWFIVISMISSNVLGTTKKASSNSIIFAAQ GLAYFIGPQTFRDGPYYRKAKIVTIILWIASIVMLSGFWVVNWLENRKRDHAAEAGEI PNDGVLNAEFMDLTDKENKGFRYVL LY89DRAFT_701232 MTRHPPRPLNSDINSSDPYTKKYHDSTDAAIDAEARDLHPLPIR NGLGSSILGPRNLAREQQQPDIIRPPSTDSGTLPNYKWSFTDSHMRIEEGGWARQTTI RELPTSIELAGWAYMLAGSARVTALDTEGGNFIGEVEKGDLWYFPSGHPHSIQGLGED GCEFLLVFDDGNFSEDSTFLLSDFLAHTPTSVLGKNFRLDPEIFKMIPKEERYIFQGS MPGDKDDEMPDKPHVKKSRLMFTHKMLDQKPEVFSGGEVRITDTEKFPLSKTISAAHV TIKPGGIREMHWHPNADEWSFFIKGSARITIFASSGMARTFNYTAGDVGIVPKSMGHY VENISEMEEVEMLEIFRAPKFEDFSLEQWLGNTPGRMVAEHLFQKHPKAGKKFVEELK AGKEAVKPNSNA LY89DRAFT_276611 MMMEGVASFFVKYLTLSTAGLSSTKTNTLTTPVIPGIIPGDSYA KFCNESRTTDLFSIEYFSVHPIPLVVEKDLDIYIYGTFLKDLTPNATWHWTIADPSNH GQNQSGYFWFCDTIDAIEQPNPDHVARESCLLVKGWAIISIHGWWSWIMGSVSFPCSM EFGR LY89DRAFT_276619 MNLLAGIAEAQLHRQAAINRPSGKSFPSIEIHGVPLASDSDITA WGYAFEHNIDYDDDEPIEFWKAQLALRGFFSRGSNLAALKQRLRNANTNEKDMGLFDT YMEAVQARAQGKEHKANDRLADNRPPPAAIPAVASRPSRLAIPALPSAAPRPTLASQS AAPSRPQLEVAHRLPPSVNTRLEGFIVNRRLAENGIEAIYNGILTLNQNLLFVEHRLI LAMSWMEDNSTARIQNSWITNENTPILPIIDLQTGQYYPGFPQDVATIDSWNGANCND FLATLRVPPQAIPHALKARQKLIKQKIGLRMW LY89DRAFT_690160 MDLFEELERMAQNATAFTDAEPDGEDVERWVHLFKYDYLEAYAL FKAQRSDVTREPISDEHWALVKDDREAAGFDREAYEHSLTLKDVLKSHSTVIHDKDGR RWTLFRLGGLLESREKVKEIAELDELPKVTQGEGQFDTLDFVWVDDEARGKIETWMQL QQVVEKGKVEKDS LY89DRAFT_761462 GKNGRIYVTRRVDERRCPDCIKSVYKSGRTTVMIWGALSWDYKS PLVFLEKLPERKGICSKAYLQQVLQPIIFPLFDDLGPEYIFIEDGSKVYKGHAKLPRL QHNIRGFNWPPSSPDLNPIEKV LY89DRAFT_701234 MSSKPPSFVGFTGQNLVYAVTFCCSVGFLLFGYDLGFMGGLTTS QPFLSTFGHVNASLLGFLVSSYEVGALFGAVSQFIVGDRYGRKTENIAGASIVIVGAI IQSTSFGLPQFLVGRLVAGFGLGIMTTVIPVWLTECAMPKSRGRMMAMQLSNLIMGLI IANWIDYGMSVHYTGSIQWRFPCALQIVFCIIVVCMTPFLPESPRYLCATDQLDQATY VLAALRNGQLDSPDVVEEMGEIRYAINVEMKESGSWSDVFHDGGISAFTRVAIAFAAN FFQQLSGVNVMSSLGPYVFQNSVGMSTHEALIVSGGLQVFYFFSSLIPWLVVDRIGRR KLFMTGSIGMGVFMTLSAIFIGIGGTGLGVAATVVLYLFQTFFTLGWQSNMWVYPSEL LPLKIRLRGGALAVVSQWLFTFLVVEITPPMITNIGFKSYIVFAIINFATVPVVYFCF PETSRRPLEVVDLLFADRQDGSRPSIFQVVRDSVNKDYVKSIESQLQERARSNKDNDA IVASEKEKALENLSVKMRSQL LY89DRAFT_788007 MTSYFDYTFFWGTQTPAGMAGISTNGPSNVPFACTPVYSAQYFT FTWYQLGNGCPVEYSWNAASTCISTAGVYNTMSWGCTWTTSVAATSTIDGGTTTVSAG QAATAIAPVVWVTITNTQQTTSTTTSTVDPGAKTVTVTSTPGVSKRDDNFAGALFPAR NYGTDMQRVVEPGNATSEVLDSRAVCASNDINCGLNCCLSDQTCIAGGTYPCCNGWAC VPYNPYEPFTGNIYWSWQGYTATSTSWAYQTTYFTVNPPGSTVTITPTSTSAINFVQT QIVTATITTSDPTVTIVSVLPATTLITGFTGAGGVTKTGGPGSSESITSVSTSGGTVV TISGSTFTTGGTPVTVVLPPSTSTNSGSPPVTSSSTNNGLVVKVAAGVGTPLGLCLFG LLIFCIFRYRKRNKDKKPKPAPPNIISSNFGGDSAMVESSTGGDASAYHTTANVASKP LRPATVVENSPSDSQGFGYGDVPMSPTSQHSQQSEMFAPAHHSSEIYTHPPRYASPPR YVGDENHGYYVNEAETVANRHEL LY89DRAFT_740815 MPPTEFPIEFNYKKPAVLPGKGIVVRPNYPTETGPINKALAIAR NSEVPNLLLQAGELTSEEEKRIWYHRLISKEAIYVGEEGSETWNGTFLDEKAVFELPT AGQNMVDLRKKILKRGVGKFKNHSAFEGILAGRDFTKDKHKVRRSYVLGHTVHPDATS CGPAECNADRLKHQEEIAEVSNLISRIAPKDLLKMVTDRAATDVPMTIGSEDNPYFTT AQLNFSDGDEISLELTLGEAGALHVDSKDDATRWTVLISLSHNPEGYWPGRTMITAHR AYAVMAPFTILIFNAVNPHISLGPIKMANSTRAPYVPSIPEIPYLDPTVYIYARLMIV NYPKKSIMDDSPILARNSTPMLLRGPDNLNDSHPQALPSALMAFGTLRNQHEWMAMSD AFCKARTRSFVDEENGKGNQKSGKITWTDDLGSTFKHMNLKLRDDNMGKKGKKMSLQA EYGPKPFACFQCASTTRYRAASVATLKAHFKTKHEAFVRKDVEPSMDPAYKKPLVEDV LGEGDEDAILEEEAVLNEGEDIVPEAFEEENEKAEESAEEIKEDKKRDKEKLVEVPRT KKRKITDFFGAPNS LY89DRAFT_761465 MSTFSPQYHRSRFDNVEDVESYVPGGFYPVRLGDIFHDRYKIIH KLGFGGFSTVWLALDQREHRHVALKIVRADASSNCSELAILQRLQAIPTPHPGRQHVA SLFDHFTIDGPNRTHRCLVSDVAGPSVTTLNDFPGKGAGSQRLRADLTAKLAKQAAEA LDFLTSTNEWSEDEIYQRFGVPQKDPILTITGSEPGQSAPRYLVESAGLIDPQFLTED ILLLDFGQSFPSDTPPPAEEVGIPFSYCAPEVIFDSKVNKYSEIWALGCIIFELRAGQ QLFASWSGGQDEILRQMIQTFGRLPDPWWAAWYKRADFFDDDGKPNKDWSGGIQKAVE CPIDDLIADIGLEDDENDIPREAEAMLEASFKKVPEEEAVQLKDLLESIFKWVPGDRA SLGEILNHPWFTTIYETW LY89DRAFT_740817 MRSTLVICWLWLTSFTLAFDASNTSNTCTPPGPLLTTQTPNFAA CLIKIDNFTVQERADSGCFGDPNTGVLTYSGCTAICGSDYALWEWKDTVDRLSLLVLP TIVLIAHLAFPPLGWWNYFVVMFHALGNPIGILRSLMTRLERHRRLRRASADVFSGDN LGMRATATVFATYEELSWQDLSGHFSDILIGKRLDPDERALLMRASHRLSSTRLASTS SAAVAIAALVATLASAIVQTIKQFDEVNTRVYNETAGTIAVMCIMFMSIPQVWFSARL GTFTTDSGASHILETMNERMKEVINKKELTNPLFPPLQLAVCPLQPRDRRPVLLLWLF ERLPSRVSAYFDSFFSHCPLEPIAPAYDSEDARFIRDQVDYSSYISLNSAWRPCKHLA PDLHGRSHTQLTVISLLSVVFGACLPAVFLSLTNRSDQRPLAVGCRSLSWMTILGIWL SSFVLDSIFRFFVCRFSYRRDPVQKVRLLWQWTIAKDAFIACTVLVVILLVELGRYNS CWCRASFSKPFQVELFQFTAEQWSDAQIFWTSLPGAGLFINLTLILAFELRWKEWWGF IPWFRLEGGSPLCKTGREMQAELDELKVLERKDHEHIERVENTVPEPYDPGYKPDGAV GVQEYGEISNGQAQEFWRAQY LY89DRAFT_724149 MATFDVEITLPTQTAPSYDQLVVGKKSPLTSDLEEFRGIPFGFV PGRWRHSELRVSLPSDKYDATRNGPKCPQSPEPNNSETFQSHVDFPSDVTESEFECLN LFIIRPSKEALSRFGKENENLPVLIWIHGGAFGFGAGTDPMWDPSRLILESLALGTPF IAVNLNYRLNLFGFAASSELLDDQTGGARKGCNFGLRDQQIGIQWISKNIGYFGGDST KITLSGQSAGAASTHTHTLSAKQHPEAPLFRRSIFQSGSLGCLGPSPLSHANENWDTL CQHLGFEKDDRVSRLEKLRTLPPEELLRAQKELGWMAFQVIIDGASFTATDGECEVFI DLDGGRGAYDISKTSGEAIEILIGDTDVEASIFASQIYKINNFEQAQALFKAEFPTPS AAEDILNAYGISCKTPLDTVHRQLFRFVGDVMFGLPLFKARNFFTTKQEKSETTGDIE EPRFYHPTQVQSYKVKFGNPFLGPSHDVSHHCVELIYLFDAFHDDLVKIDHIEHATAD QLTPPLSSSSSSASLNRIDDSISPNTAPEPATQPTTRKKRPNIALKKDIQRHWIQFIT KEESSIVEDEITVYNTDREIYTESLSGDNEWIEEAKRFEMLARYPEANRKVLKRLTQK LLI LY89DRAFT_627997 MASVISYLKSEWRAILICCFICIGAFQFGYDSSYFSGILAMPEF LMDYGSKDPATGEYMLSAGKQSLVTSIINAGEFVGAVSSYYIGSKIGRKGGLYVSSVC VVAGVIFQVSAPHEGLLIAGRLVLGFAVGLISCFIPLYVADCAPARVRGALVSMYQYV IGLGLILGVIIDNSTKDHTNTGAYRIPMAVQLIFPMILVSGLLLFAPESPRWLIEKNR PTKAEQSLRRLHGNDAEKIQQEMEMIHQTIETYNDGRESSWKDVFTWGPEGRKAYLGF ALQAFQQASGINFITGYGIVFFIQIGIDNPFIIQLGLYLVAMPAIWISQYSIERFGRR PIILISGGLMAATSIIMGACGLTKEKSYALDQAIVAMVYIFLVVFNLGWGPTVWVLTS EISTGKNRGKLMSFSTGTNWLFTWLVSFTFPYLFNADAADLGAKVGFIYGSLMVAACV WTYFLLPETAGRSLEELHLMFEMGLPARRFKSYVFPEIPMDMAKKDLTVVQVEGNSKF ENV LY89DRAFT_701238 MPKEDNSSILHEVGSSRLVTIRRAFLSQTLKLLQPVMIGEIVPS FDDAITFFTLAAIGASENDTHIKLPHWLNFMKYALKKLNLYAEVEHLDEETKEERRRL WWSGYTIDRHVALSFNLRPQTTDLECQMLQRPCRDDVWESDILLSEYYQTGRSNVLKG IVYSVQNLDVFGVLLPLSSMVGDILEYHFLRQHATFGSHRSFMTDIRNNIEQNLRLWD KSLQDHLARIQNPVNPTDNDDADTRPLRPFNYDAEMPASTSSKVVLYGRHIWHCLHVL LFGTMDFVEMYRDLSWQASTDFVQAGEHAISCAKLAGSILTLDPRLHYIYRFFGTYLL QSSFIFLILAQKLGQAADNLILENCTINLRVLDTFVQVVNVEYQRTFARVLRQTLSKR FDEEGGGGDGGLDPALLQYRWVAGYNGLWNGDMALGAAGNTEDA LY89DRAFT_690163 MARYNNLGSPPTELLVQLPIAIHKAGTLLTNNLLNVSPPAELFV IHPINQDFFDLTAAVKYLKNFAFLLDCQSYSDHWGPLSAKDFKLLGQVLAAFTNTTTL EDSKLSLNGLWDDERPPAASLGSIINVRSYPNLRHVDWSGLSIHLSELEHGIQLLRER SPISALTTRTCGQGPGLRP LY89DRAFT_690164 MASTLLFLLSSLLSIGRALPNVTAIQIDAGTCQAFPNSFHGAGD NADAFVFHPDQADNLALNGLTTGIYGTNLVAYPNSATDPPTPTIFCCDRGGTILDGFG VQSLLLPTNRTNDEELGYLESGSKPETYTLEVNGTALDGVYLGKGNVTTWGFRKGSGD MKDLWLVRLLAPSPTMRRRGEDGGVVLYDGEVKGFLKVVGWVAP LY89DRAFT_740823 METINAPESPGSAVTLIAPTSSSDATFIGMTIELRCMVYKLLLS NPLLSTPEPLDPGSRSQEVEYGLSPALLGVNRQINAEASDWLYGQNKFYMLCKTHRKN TTAPSYEWNPYNGICLSPLTRWLQDTRSMIQATEDNKSAMRKVRYWRVTAAMELDSGQ LSSLKLLRNISDFKIRLATQSDMPSSWSSAFKHYPHPHTPWPRMQKFPFGQVLEISNL VKSNEPVERIGDLYDNLLAYARTFEQFQPYKKAMGLTQPDIWHGRELVWYPRNSLSTA LRPVLSTKKLEWLQFLDRNLPFQDRNSFHDAHLHPVEAGLLACRHASEFDDMALFKTR RARVIQYLEKHYERVVGASSAITKFMEDQHAGGSLGGSDNEDERCKAGLVLLREYQDA FIRDFLPWIKENIDKYTGWDTFFEQYHCETKDLLRRAQEMIKGKAYCEFLPIFNQVVQ LLDKDFIEMQEARQKLFEWDVTDRRCDIDVDLGRFVEGRSRW LY89DRAFT_597844 MSTPTTTPSAKITSLDHLVLTVHSIPLATAWYSKHLGMRHESFV SFSTPSITRHSLIFGSQKINLHESGHEFEPKALNVKPGSADLCFLTDDRVQDVRKRLV EAGVEMVDLKGEEDGDGTVIRTGARGRLRSVYCRDEDGNLVE LY89DRAFT_740825 MSSMLRQPSHSSSLSNSTASPKSGSGFKFFKKRSSTVGTLRDDH GSSDKIYEPQSSDKIPTSPLFSLPDLDLSRSHSGLPSPDSFVAATHDSEYLHLRENIR KLRKPPFGPDPKKVDAVWEVYKKFRDESLQLCSSLLQKSRKESLMLPRQQLNEMWVCD NGHRRLTIVPIPENNGRNTSLESNVGPLDTRSSNSASITETALPFQVNDQWLAAIHEY KAVQESMLENIRASLVATYKLYEPEATERQIEAFLNDKNLRKTLILKWRDESIHRMKS EKLLFWEQYKIRSLNYDRLRLDLKAVEKLFGEAQEGEAPNMLIREHVIAKNGDTILEF ANGGSEIHPILRFRVSSHLLAAASPLFAQFLSTQKPENTPLDMMNQLPPAQPARYKCK DGMEVKVYRMPQVELNKHDSLVILLHAAHMHTSKVPRQVDFPVFVSIAEVCLKYHCTS PLELQVEYQWLPEWIHLIGDDNIDGFLIISYAFGLRRIFTRMSKSAILNAVDEEEIRS KEHWPPAVRDKIIATRAAKLAQVQECCTKAMGEYFRSPAKSTDRSSSVGSLQLTSLPR CPRSSHLCDATNLGWVMLVYNELRILPMIMKDVGFLDLPAAPKRSLKELVDCLRLMPS APSVHSGVCDYAAAFRSDINDIYNSVQGLTLRDVAGRNGWALSKHAGPTEDRYDDLAR DLVELEAPLEYSKETKRSEALSNEDINLRILSHLEDMNDLNAAAMIDKTFYQAYKRNE ASLLKNVMKAERRRTMSQLCADPTAIRQPVRGEDPWPSRPSVSIPPAVEHKSLAVDKT KFPSQASTARENLNDLYDASPVFSPVSPLPYDELPMSEEEAQKILWPDDEPSTSSSKA PSMNGNVRETTEKFLLGQVSHIEDKARMFEDDKHLRDEKDQALGLGIYKR LY89DRAFT_657242 MDKSDKKKLVTLDGRTGEGGGQVVRVAVALAALTGTPLRIDNVR GNREGPRGGGLKAQHVSCIKTLADATDAEVTGCSVGSKSFQFRAKLSPTEIVNRNIKV RADSAASVLLVFQATLPFLLFAGDESGSPISLTIQGGTNVSFSLSFEYLDQVLLPSLE RFGIKVERKLEYRGWSHGTREIGSIKFKVTPLGPGQTLKAPAWPTEPGNVTKIDISLV VPRDVQAALKKSLLFELDLVFPGVEIDFIVIDDSRHKSRLYTLLVAHTTPGLRFGRDW LYDKKTKDKSPDQLSTEISQKVVDDLDVEIRKGGLVDEHLQDQLIVFQALAEGMSNIA GSAEALASDRDRVDRTDMPFGDGSLHTTTARWVVSQLLPHVKWVDGGRLCEGAGWKTI DADVESTAEGVQSLSINASTA LY89DRAFT_690166 MATQTQKYNKLAGKRVVIIGGTSGIGYAVAEACIESSFSHVTVS SSSASRVQTAISNLQKSYPSTSCTISGHTCDLSTPDLETSIEALFSKTGNVDHIVFTA GDKLAIYPIEEINYERILKAGQVRFVAPLLVAKVGKKYLNAGPESSIVLTTGAGAERP YANWSIVTPYLSGLYGMTRALAIDLKPVRVNLVSPGAVDTELWKDFSAEEKEKFFKEV GEKVPTGRIGRPEDVAEAYLWLMKDSNTTGVVASTTAGNLLV LY89DRAFT_628014 MPQQTIPARHGIAAALNAGETIKVINTHGTQVVDTFAFTLSSPS TISTQLSMQHTRASLNKIIPQPGDGLFNNKREKLLTVLEDTSGGIHDTMIAACDRQRY EELGGGSSHRNCADNLVEGLEGLGIEAPTFTPSPLNLFMNIPVHEDRTTISFEAPVST AGSYVVLRAEVDLVVAFSACPQDILKINCGKPVDAHCEIS LY89DRAFT_711048 MRLPITVLTLALSLLPSSHAIFADEAYSVDYQHELLGLPQPQTT FFHRPRKDDKATLLYTLSDLGVLGAVHPGTGKVVWRQLLAGEAGEYNASSKGFLRPVE GESTVVSAVGGRVDSWDAMSGRERWGNLFAGTIKDLEVMETTSGDVKDILALYDEDGK GVLRLLKGESGNVKWEYHGEITDVPLQVSTNVEKIFVIALHGTTGAYNLKITELDPVT GKQISEHTLRSLSDVHSPEDVLLVGANSAAPIVAWTDKDFKNLKVNILGRSASITSLP LAEADGPITKVEIHAPHLIQSLPHFLVHSHSATSNRADVYHINPASGTIAKEYELPKL SGKGAISVSSQAANVYFTRLTEDECIVVSSASHGILGRWPMRFTGKDGALLHGASEVV QRTGDTYAVRSAVLTSNDDWLLIRNGAVDWTRPEGLSGAVAAAWAEIPESENLAKTLE AEAHSNPLSAYIHRVNRHAHDLQYLPAYLQALPKRLLTSIIGSGTASQSGVLARDSFG FNKLVIVATVRGRVYGLNAGNQGFVLWSSKAFDIPSNEKWDVKGIWVEQSKGIATIKG SKGESVTVHVVTGNVLETVAPGSDFIQSTVVVETPSGALFLPISLDGNLDEVPVDQAP KDNLVVQGKDGEIKGLKFDTKGPGASPVVLWTFQSGPGEKILNVVSRPPHDPVASIGK VLGDRTVLYKYLNPNVVLVTAVSEESSYVAFYLIDSVSGDVLYSVTHEGVDIQQPITS VLTENWLAYSLWSDILVTEATLPGSKGYQIIVSELFESAVPNDRGPLGPTVNSSSIEP SDIPNAEPALPYVISQTFLIPEAISHMSVSQTRQGITTRQLVCTLAGSNAIVGIPRHI LDARRPVGRAPTPAEMEEGLIQYHPVIEFDPKMALTHKREVIGIKDVIATPAVLESTS LLFAYGIDVFGTRVAPSAAFDILGKSFNRLSLVATVLALWIGVFVLAPMTKRKQINER WATQ LY89DRAFT_597784 MEEKPDSEPQDLEESQPKSIPPSSTRLALLQVLGSFFLMFNSWG VANTFGAYQIYYEANLLKDESPSNISWIGSIQAFMLLIVGGIVTGPIFDAGYLRALVF TGSFLVVFGMMMTSICHEYWQIILAQGIVVGAGAGCMMLPSIAVMPQYFKTRRAFATG VAASGSSLGGIIYPIVFHKLQPQIGFGWATRIIGFIALATLMVPIIFMRAKVFPAQKR PLVDFKVLRDVPWVLFSIGAFFGFIGMYIPFYYISTYSVEKGFVDENLAFYLLTMLNA GSIFGRIVPSFFADRLGPLNITAPWCLFCGIIAFSWLSVSSLGQAVAFSLFYGFFSGT FVSICGPALVTLSPDLSLVGTHMGMSFTFCALGLLIGNPVAGSLLTTYGWIGPAMFCG TANVLAAIFVWLSRVKKSGWNVTVKA LY89DRAFT_740831 MVNFGRSGACITCKQRKVKCDESRPGCQKCHKIGKSCPGYPDKW DLAFRNENKIIQRKVQWKQKALEEFPSAKLLMLENALADERLQALCLFFQDYTISTCG ICPGWLHFLPKMYQMSAATSLLHHAVCTAAYANLAQKTERPDLAIMAMSHYRESLEVV KQTLVFPGTAFDDSTMTGVILLGIYECINSTLVEGSYHHISGLETLADLRGSLLMQGY GPSLLQTVCCQMLRRILDRRVPPSSVDERLVKLLDPSLLGNEMIINKLEISKFIANVD AKILSGQIQRHWDEILLEFERLEGGLFHWNMRASQEFGFVQVQQPTFIDDKKPFVYHS YLGFWSLSLWNKHRAARILLHQTLLDGLDAMDSGRLSSINQDQKSISKSVIKEMADFI LLSIPFSLGDVPLPPSLNGPKSVGGYFLVWSLQVLLRCPSVSDDQQNQAKNALLRIGR RCGLSYATIFAKKYGNSSPTQIVKHVPPQQIPLGSTR LY89DRAFT_701246 MASWFQRATSSTHAQFAATAIVSGAVVAGAILGYQHVRRVERTE DLKRSIPALGAGDEGKLTDWGAASPQPTMSKEDERSAMLAERAQKGDYDDELILEQLA RNRVFLTDAGLQKLRSAFIIVVGCGGVGSHCTAALARSGVSRIRLIDFDQVTLSSLNR HAVATLADVGTPKVTCLRKRLQQITPWVHFDLCNELFAPSAADRLLGPWEGRKPDFII DAIDNIDSKVALLDYCYKNNLPVISSMGAGCKSDPTRIFIGDISASTDDPLSRSTRRR LRALGIASGIPVVYSTEKPGPGKAQLLPLPEEEFQKGSVGDLGVLPDFRVRILPVLGT MPAVFGYAVANHVILKISGYPSEYLPAKGREKMYDGILAQLQGSEEKLARATTPGEDA QGLKLAISANDVGYVVEEIFRGKSAISGVSTRLALCRWRKPSGSTIDLSVEGQKTSRV LLRDLVCMTKEEATVHEREVIQGGKTPEALYSKEIVDLVEARINEELGYEKYR LY89DRAFT_788022 MEPQSNSTQVSVADYKNLIQTFLRSASFKLPAFEGDELFTQKVL SFCLSQDLPSASIPATAATASAAAKYFYPSHPPPTQFLLAKFLALTLIIDDLGEDILD GMKNYRTKLLLRQPIGTKAVQSLFDVVSEAGEIYGGWAADMLFKSCLEYLSANALEVE QKGRLHPLRSTPNFAAYLRVKSGIGESFAMLIFDECKEGCEYLVLVPELMRFMEDVND MMSFYKECMVGDEQENSLCLHARSKGQSLLRSLEEYRTSALACMERIRDLLTENEGLR MRVEEFMMGYMGFHYTDSRYRLGELGLDFD LY89DRAFT_711051 MSEVQDQSVGSQTRLTNHAEKELDIIIQSPERENDGDSNSKDKD QEPINRDAQEGVQYMEAVASVWTKTSLITAYGTAIGTLTPFVTSTFGKHSLTPTVTVL ASIILDIWGRHTGIVISIVLTEMGFIMMAACRSIDQYAAAEIFWQVGHSCLLYTVQII IADAPSLKSRGLMVAYAGSPSLITTWAAGPISQAFLHGPGWPWAFGTFAILTPIVVLP LIVLFQRTPFQYILHYLREFDAVGLLLLTAGLAMFLLPFSIHTYQRDGWRSPLIICLL VFGFALVFVFVAWESRPYVNLFLGLPLTILALGLTYYFSAPGWHCGYFIFTQIMLSIG HAFIILCDEVAAMSAVSHQYVAVVLAMEGLSSSIGGAISSTLSAAIWTSVFPKALAKH LPAKDLPDLEKIYGSLTT LY89DRAFT_690174 MNSFGVFQTYYESLLPDSSPSAISWIGSIQVFLLRFVGVITGPL HDIGYFHTLIGTGTFLVVFGTMMTSLGTQYWHFLLAQGFCVGLGAGTLFMPSVSSLPQ YFSTKRGLAVGVAATGSSLGGVLYPIIFRKLEASIGLGWAVRVLAFLSLATGSISLSV MRLRHHPSLSRIGNSPSPNTSSNAAGTKKQTRTLLDLTAFKEAPYDFFCVAIFLGCVS FFIPIFYIQSYAQSTSSSGHSIPTSLTTYLLAILNASSIIGRLSSRFLDRHAGPVNML MLTSILTGTLSLCWIAIPPSSEGGLVTFAVLYGIFSGGFISLPAVAVASLTTDMRRLG TRLGMNSAIGGFGSLCGTPIAGAILDGSGGWIGLKVFAGVSMLACRLPRRY LY89DRAFT_690176 MNSSNVTTAVESCEDPQFVAKAIVLAVFLVFIQIPLVSTGFFFG YHYMALRVKMRLPDVVAIEKLLIEVEEKRWGEGTYRRDGKRPTFEIEAEV LY89DRAFT_276883 MSQLTSLLVVAACEHIRQSPTGFAIYLARGLLIFTTIGLSIWTA AALSKITDPSVITMIIGNFYGIIWWWWQVFCGRRNWWLWADALGILIAFLALGFGAAS PHQTGAKYQKDKSSANLRALRGSKATLGVDVALLFLDLATFGYDLYK LY89DRAFT_690178 MVSKIGHCLNDLLFRQSISQLNIEIPLIISNHPDFAPLAASFKI PFRHLPVTAETKTEQENRILELVKEQKIDLIVLARYMQVLSPALCTAMSGKIINIHHS FLPSFKGAKPYHQAYDRGVKIVGATAHFVTSDLDEGPIIEQDVVRVDHGLSPKGLTTE GSNVESKVLANAVKWVTEKRVLLNGHKTVIFN LY89DRAFT_276909 MSVLFCCFSFNRLCITYYSHPSFRSHLMATSRAPEVDVVAALFL TLTWVTTALRCYVRGFMAKSFGIEDWFAILAQLLFTLTAIFVLEGISHSLGKHLIDIS LQNLAPAMMYWFSTELAYTMTTGVLKLSIAFFLLRIATKKSHRIILYSIICLVALLTI AYFLFLVFQCKPVSYFWEQFGGETGICLAPAIVGDMTYTHSGVNAFCDVILAL LY89DRAFT_740839 MLRRKTNAAIAVIIRIPYVKTLVDNASDFLFSSTDVVIWSAIEP GLAITAANMATLRPLFQAFLSRTKLWGSTTARGNSSGYRHSKSRSRGFSNGGRKGYIR SGSKGNITTDGDMEGDEVGLKDMVSPTENPDEKVRRRSRRVRFRYHCRYRGMGRGSRD GRL LY89DRAFT_657263 MAGLTFVAVASLFATFFYAAPTSSNVSSTTSSSTALPTVDLGYV VQQATINTGNYYNFSNIRYAAPPTGNLRFAAPVKPTTINRTLNDGQQGSICAQASPYW EEIASYYLQGYGTATLEYVEEEIDALEASLTISGLAAPDPRTTEDCLFLDVIVPESIY TQNTSAPVLVWVYGGGYVNGDKTSTGNPATLIASSTEDGGEGVVYVAMNYRLGLFGWL SGSTFAGEDGIPNAALYDQRLAFEWVQENIHLFGGDPTRVTVIGESAGAGSIMHQITA YGGAIGSAPFSQAILQSPGFPPVPGDAEQEATFQSVLTQAQSLIASNITDVAALRDVD FDTLAGLNTIVVARSAPYGTFTFGPVVDGTFVPAMPGKLLLEGKFDSSLNVMVGHNSD EGLEFTSPFLTTEALLEANLEAVFPTADNDTITYMLDTLWPAVYDGTYPYTTLFERAS LITAEVSFTCNTRYLDLAFDNLTHAYYFNVPPGLHGEDIAYTFFNSDTTTSDDGLPVN ATVATTLQKYITNFAMNDCGDPNGDGLPFFPLYQSNSTTMVIGDGGIGGVITDTTAND RCGWLMQALYA LY89DRAFT_276931 MRKLREGSDTIVQVGTAREAIDNVVQTPSVVLITDHGIHKEENE EILQRIVSYVRAGGTVVVGFFFPYNTNADQFEAFFEAFGLAWTMGAYTRAECWPNVGC NIENRAVFGNMPSNYHTKAVYVHGAKADEKIYVDPFDLPPDSDRTEAIVARAKVGQGW LVYMGDVYFGEEFQDILLKLCGIDKNG LY89DRAFT_597549 PQIFLLSLAYWEPYDEIYTSLIDQLSNHAEIKRAKSANSAITFL ENENPRAVIVTDQDLHDNKNKHVFDKVVSWARGGGTVIVGLSFCGFTIPTEFKNFFSS FGLPWTRGDYHRTNFQFNPSLTLPPVMQSSLSAPYSMKVLHVDKARPEEKLYIPVDGA RIQSSVFAADPVNDPTQAAVAGAKIGQGDLLYIGGVNGEEGSHEITMRFCGL LY89DRAFT_628037 MASTLISLLHTLQASFAGYNLYLASISIRNLQKYESTAQKAAKW SNIAEDQLWKTRYTQASGTVAVLFSFLSSAYLILSSKGTLKSLAVASVNVAALAAAWQ HVGNFWKRKAKIPLPKTGEYNEAIGKTQEVHLNMTYLMGSWIALGVLGLVM LY89DRAFT_740843 MMLSSSLPPIIGPLLFTASLFYTSTNAVSLTVDTTVGTVYGLIN GSNPNVAQFLGIPYAEPPVGQLRWAPPVPKSPVGVIDATYFGPSCPQVIATAQSDPNV YTVDSRDFLIYGPTSEDCLRLSVWKPATTPSNADLPVIMFFYGGGLSTGGMAINYQIP TRWVERSQSHIAVVFKFLSSQRLWLSQCAGLNQTEQNLGLLDQRLAVEWVQANIASFG GDPSRITIWGQSAGAESVNYYQFAHPDDPIVAGVICDSGTALPISFAVSPSTSGLYTD FTLLAGHLGCGNLSATAELACMRNVSADTIEGFLTSYTGTPTLSFGAIADNKTVFANY TQLFNEGMTAKMPTIFGSNNNEGGSLGVWFENGTAENYTNANTITLSILCAAEQSAIL RYPHNATTYRYYYAGNFTNISPQSWEDAYHSSELPLVMGTYGITHGPSSAFEEAVSVV MQDLWLAFMTDPENGPPAQGWPVWSPDGSVIEFGREDLVTQLLPNSMFPSLCTSEGTA MAGGVPPL LY89DRAFT_657273 MQPTVFTIANFVFLSAIAANATPVLQLTPRSDVNITGYNYGGCY TEATNMRALTGDAYFDDLMTVEKCAAACSTFSRFGVEYGRECYCGNSIMPGSVSAPET DCSFPCPGDAGEFCGAGNRLNMYTKAASLGSTTTQTLSTYSTLGCYTEPSDSRALTSL TVVDDTMTVEQCGTVCGSHGYSMFGVEYYDECYCGNSLKPGSVPAPSTDCKYACKGNS SELCGGDWRLNLYQWSNTTLSSILASSVSNNFTEVGCYTEAVGIRALSMSTFDDDDMT VEQCAGVCKGYTWFGVEYGRECYCGNSINAGSVPAAPTDCNFPCAGDSTELCGGSNRL NMYNYGTVTSSTQLSSTSSTLSSSSLLSSITSTSSLGVSSTSTSVLFTPTSSSLASSS QLSSSSSSSSSSSQLSSSSSLLSSSSSSMPSSSVIVSSSASSTIVSSSSLSSTLYSTS VSSSFITSVTSSSVSSSAATSSSAAASSSSVVSSSSTTSTTSAAWSCKTPLLDPSFEE TNSAKVPWQIYNPQPNFVTYNFQAPSTASNPSVDGSQQASITYTYGPNIQSWFYQDVN LCPSTTYTLSAWTKYTNTIAYVFDAVYYISTTDQTQILDIMSEVVVREGVGWVHSTGT YTSDAVNTNIAFNVRMINPGSVSYARTFYFDAISFTAQ LY89DRAFT_276977 MDDDEILYINTMMHSTLWLAKCRHQIPAWVSEFHPQKLPCKLLH DTNKHDRHGSYNWLVQVVFENAEEWIVRFPKGTKVRYPDEKVEAEVSTLKLIRDKTDI PVPEVKAWGVAEDNILGLGSFIMMSVIKGTSLDSILLKSEPGARGMRQDISDEVVAII YRQVARFMLQLFKLDFARIGSLSEPPAGTVDIGYAASVHSRPFTWRAHEMLVLCGVDT YCPKSATFSSTTDYFTHVADGDLQQLHQQRNAVDNESDARNKLICSETLKSLIPRHVF AKYDKGPFKLICDDFGPSNMIVDNDRDLNIVGVIDWEWSYAGPCQLFSSPPRWLLLQS PNEWGRDDEEVATGYEKALQVFLEVLEEEERSTLQDMPSTERLSALMRECNEDGHNWF HCIMRDGFNGPDRFVWQQLKAATPDFDQLASAVSEEMITAFIEEKMVALAAYKLESAK KRSLSELVDVPL LY89DRAFT_740846 MNADFMIFTLWLVHYDPTGNEFKYSWLPYLSRHKEGSEGLWRKT RGGESGSQGQPQSASSVDDAHCWTQPLRFRVLMAKVDENGEVQERGGNADAEEEAEIT KWTHLDYVQVFDPLTDSIPGNPAHRPVYRY LY89DRAFT_277073 MSARSRRTRSSTAASGPPESTQATAELQLLLDNLTTTLQKPMGN SYPDLGILADQCQSIRQYLIAASEGSKANDNFRHLHGFQTLLDTLRTFSGFYHPTKRS QKDKLQLFGLLEAILGILAQTFREHYGNRRYFKRRVEGGGWAALEQTIASIGIGGSES DAWSEAQLFGRLLSFALDDKRLQDLCLKVVEGHLPLSKNSPSLAPSKNDQTSVGTADK DVDAKDKEILAFIETRLQEILQESALIHNADIIPTIIDFWRTMPRQPDTPINPAALVV ILTLTKISSISVHNLMALHATGVLSTLLSLAFDSDSPLGTSERASVEVLCEMLISVGV NTLHDAQSLICNKSPAAAEFLLRAIKSSHSPAYIQFDLSLHGYASVELPTLGRTFPPP STAAGYSFTAWIYIDQFDPNCHTTIFGAFDDSQSCFVLGYLEQDSHCFILQTSMSSSR PSVRFKSMVFKEHQWYHIAIVHRRPKTMSSSRAILYVDGEFVEQTTKCPYPSPPALSA ASTDSFTSFASSSSSKTNPVQAFLGTPHDLSSKLGPRVLFSRWSLASAHLFEDALSDD LIAVHFRLGPRYNGNFQDGLGGFQTYEASAALSMRNELLHPGKDETSEILTAIRQRAS TVMPESRIILSILPTAVLRDDDRYNGHESQLLRGLNQIAASHLYQLTHNNGTSLAVNA SIPSINDALSRTHGTTVLTGDPVVVVPQSLDDIMWRLGGFTGISLKLVEDANTKDDIV RAVEILFESIKGSWRNSEAMEKEQGYSILGALLRGKIGAGVVVASKSGPEPTLMTSED RDQLGFQLLSLVLDFVGYNHEKPEDSYIINPLAYRILLIDFDMWRKTALITQKLYYRQ FIVFGVKSKSHQFNSLRLHRMRIVKRFLDALKAEVFTHDVFPSFMEALLCLVKCNMTS EVFRSLALFVTYAYHKPTSSSASRTPKGLAGTLPVRSRTNQEAPRRPALNTMTMMDSQ DIVSTTLTRRQLGNKVLEMYADLLCEKGNTTHIRKFARTVTNKWLLHLLTEDDPEVIV HGTKILARLLVVHGPAYVSKFSNSKTGGFAILRYRLKRWWDIPTLWPICFSILFSYDV ADIDFERSFELFSLLENFNNSIVVYPNALPVITGMLQHGLKDVLIHQDDPDSPLAEKG NGNASKAGLTVPAATNRRRSMSLTKELESRQTHQPRSQRLGGQATVLHTVIRFFADLH SKSVEFRDFAVTSDYIRLLLVVLFPAIVSTDAVSAETELNSRDSALTFEGDDVIIRPI SRATTTPAPIVRTSTVETMLPPSPTAPRATPLRRGSSFILLTSRPSEFSPSSARLNLV MSPKKKLTQQKVSNSLVEGLLELVVIVFVDQVMVRKEFPGFGLFQKVPPGFQEHQAYF ESYLLRNTISQLGNTIQLDQKSLWEPKLLQNMARFVLHIGECIFEGWFLSGAEPLLDF AGTLLEYLQRPEISKIKSVRLCSQAILTIKSVFLRVVLLRLSELDSAQVPEDEALAFM DKLLYWQTVVLSSDVSEENFLKLICYQLYLKLVDSREKIRLAAANLWRIMLVQKPDET SSMFQNAMTSEHRSLASGFKKLMELDNETFVEWVDDQRAELDSLFFGVMSKSWDDFVT AENEKTEETAKTRVAKRREKLRQWHSEDLNEQDILFRHDLASSMWMKNIYASEHLKHQ RAQQDQQDNFSFLAATFSKMDRELHRPCAIFEADSPIKWKLDRTEGRNRMRLRMLPDR APPSYDYQPKRRITDAAATPTATNGTLKLNTKLATLTANPQTATPSAMTPNDLLPDSQ NDGSNDALVDGPDAAAQGSVMPEEDFELVDDPNDPGDGDDGYEDKNRKVMRSLRIGDQ VQNVFNISRIIGLEACEGLLIIGKNCLYLIDNVFQRSDGEIVNVSQAPKEERDPYLQM IAGQKTTDKRSQAVRAEQEARSWKWSDVISVSKRRFLFRDVAVEVFFTDGRSYLLTAI SHTLRDDLYSKLTSKAPHTTGNTNLPNPEDGWRVEALKVSEETPTTFGSKFGSIFNSS AWNPAMRRWAKGEISNFHYLMLVNTMAGRTFNDLTQYPVFPWILADYTSEELDLDNPA TYRDLSKPMGAQHNSRAADFIERYKTFAEMDQNSPPFHYGTHYSSAMIVTSYLIRLQP FVQSYLLLQGGNFDHPDRLFYSIEKAWQSASKDNMSDVRELIPEFFYLPEFLTNSNGY NFGMRQGNGGGIDTVQLPPWAKGDPKIFIAKHREALESPYVSKYLHQWIDLVFGCKQR GEAAIESVNVFHHLSYHGAKDLDNIVDPVERLATIGIIHNFGQTPHQVFSKPHQARED VRNRIRRLDTSVETLTRLPVPLLESHDKVATLTYSPKLDRLLCATAFRLNLPPHYDKY LEWGFADNSVRFYFNDNKKLAGLCENLHQGQISAALFASSQLLITAGEDCVVSAHTVI TSPSKPVELQPRSSLFGHKTPVNVLTVSKSFSTLLSASVDGIVILWDLNRLEFVRKLT HGRGAIECARINDVNGDIMLCRGQKVTLYTLNGEFILEQNVCDPHHHEDYIASCAWYE GVGNEWLENNLLFTGQRNGIVNCWRKAVVRGNGGGNQKWKLELVKRLEHVDRPGGETA VGSLSVPKGRARARSRSRSGNGGGEAAITCVAPMAQCVYTGDEDGRVYEWTLVQRER LY89DRAFT_690190 MRGLIGFTLLPLLASSAPTFSTETIHEGAAPLISSTNSVEVPDS YIVVFKKHVTEASASSHHSWVQDIHLEAETQRTELRKRSQFPITTDIFEGMKHTYNIA GSFLGYSGHFDDAVIEQVRRHPDVEYVEKDSVVHTMEDTEGELEKNAPWGLARISHRK SLGFSNFNKYLYAADAGEGVDVYVIDTGTSIKHVDFEGRAHWGATIPANDADEDGNGH GTHCSGTVGGKKYGVAKKAEIYAVKVLRSNGSGTMADVVKGVEWAANAHTEKAKKGKK GFKGSAANMSLGGGKSPALDRAVNGAVEAGIHFAVAAGNDNADSCNYSPAAAEQAITV GASALDDSRAYFSNYGKCNDIFAPGLSILSTWIGSPYATNTISGTSMASPHIAGLLAY YLSLQPASDSEYAVAAITPKKLKASIISIATEGALSDVPADTANILAWNGGGSSNYSS IIKAGGYSVGKADKPTNMPTTIELLEEAIEKDLNMISGEIVKDTKSVMSKTEKFSKKI QKMVDEELHEFFEEISS LY89DRAFT_597749 MLRRDSHRLDRRKSTSSVHSTSESINLETARHHAHTAAMLAFLR AQQRSSADSSHNGDAHSRSNNSNHVSSNRQSLPPQTTTQDGNRVVRRQQSVRFVGPNG LERRESLTRATQTTIQPQLSSATLRPMAMTTNAPVPAAYRPPSRSSSIGKASSHKVAE GLMAANAFKEYYTQEDDVASTPSSYRRLRRSKSMFSPLKAPSIFYTNGSPKRLESISN AGHMRSYSHTPTSQPTHPALRAPKSMVVLNNRGHSGTDEKGRDREEIQQARDRFFHEK HRQRLREQPSFLFRSKAQRQQPFRKSVRSSSTNSYGMPTDLLSPAGQTKSSGLKAAAR KASKNIKNKLRRVFGRSKDEPVIIPHQQVEARETHVRHYNGDLTPGQEQFEGFSNIPH PDESALSRVASRVPSIHTATSNQQLRSHAGSVKSFKSDLSDDKSRVTVLNTGANAPRP IERERDHQRLSIINEVGTHHPSSSYYRKHKHTNQISPYPRIEDSRIPQPSPDIRMARS TSGTPATIRQVPASTGSDRSSSNQWSVPESTIQSRTSSDDVFSPAGQSGGPKLSLRRS YYENTESYLSLPTPQGMSPQKMADRNERLMPETKVIREARTTFFGGSTYTISRKTSPF RRAMAEVSQNSNDLQSSGQSEKAYSESVYSRTTSGQTPAAANSSTSLPMLTTNVPMMV PTAPGDVVIIDQTVYRPSGPHNVGHSVTSSGSSQEWKKWMSSEVGKFERTKENNVTPT YINYTLPTMPRTYNTGHVRENAQINDDDSPIVYQPLGSISQPSPNIQILPLKPILKKP IPAAPPLPPPIPPRSPLRKKLSKASLKSQNSSDNGRARSAPNSAASKKLHKRRLSGGP GDIQNSQKLVENFLNSRRKRIASESDDVAGAFL LY89DRAFT_657283 MSALPRFWSQPLRYFRWAAIEKPAIFYSLIIGTMGPVTIVVVPP IRKWLGDGPRPEIPLTYPIPTTPRKTLDGYDD LY89DRAFT_788036 MRWLLSFLILGLLSVVQAVSSSGNRLLVVLEELAEKSKYSKYIA DLEGRGFSITFESPKSDKVALFELGERAYDHVLLLPTKAKGLGPALTPKLLLDFINAG GNILLTLSSSTSTPTSLVSLLLELDIHLPSDRKALVIDHFNYDTLSASEKHDVVLVPR PDAIRPDIKNFFRGEGKGGEVIAFPRGVGQELGNASPLLTPILRAPRTAYSYNPKDDV EGVEDPFGVGSQLSLITTMQARNSARFTVIGSSEMLEDAWFDAKVKRSVGLGGVGSDA KNVKTANQAFAREVTAWTFNEIGVLKVGKIEHSLNEGGVKSNITNPKIYRVKNDVTYS IEISEYSWDKWVPFTPPTGDVVQLEFSMLSPFHRLPLTPSTTTKDSTIYTTSFQLPDQ HGIFNFKVNYKRPFLSNLEEKNTVTVRHFAHDEYTRSWGISGAWPWIAGIGATVSGWV VFVAIWLWSKPVPLKLTTSGKKVQ LY89DRAFT_277202 MVPYRWNVYDSENPVLHMAQVLLFPYPIGIFLYRQANERCAGKC VSEKEQTFLNEESLMQLLTESRAVEASRAWIQLGYIPMGASIGAHVNREHGTFLSVRT SDLSTFAMKHCSVRSIGGVLGCFPFEGRVLVPDV LY89DRAFT_628056 MASTFPTFVELVARKTHSPDNLANCTISTCAISSSYYFYRVSLS ANVAFLVLFSLSLIGFVATYAFTRRAFAFTFAMSAGVILEVIGYVGRIQSHQNQWAQT GFLMQIVCLTIAPAFMAGGLYLCLRRIVYAFGPENSRIAPESYTRIFIPCDLLSLLLQ AAGGGIASSATHTNKSPTSGDNIMVAGLAFQVFTLGVFILLCLDFALRTRKRYKSMGE SAFDQNPLFIKLRHSWVFKGFLAALTLATICIFWRSVYRVVELAEGWTGNLIRHQWLF VGFEGVMVIVACFALNAFNPAFAFKEAMEGKGGLGSKKRERKLEREREKAVGSEVVSG SNSDVDGVKGGV LY89DRAFT_597859 MASILKQARQLAGLEGQKKGKGKAPNRYPEVIQTSYTSLAVDYP ADFLAPIPDPSTIKVERIDFKSTKLSQYKDLYAVVLDNVLTQAECDQLMTYAEMSKGA HIAKDDEPADNGWTPALVNAGRNHEILVPHYRNSDRIIWDEQEVTRRLWQRIMQAEGM KDYFSSLSGEQYLPAIGSFRDGEKWTITEYGLNERMRFLRYGPGQFFKEHCDGTYETP DGSQRSFYTFHLYLNDSAQALGIKKGSKEDTPDLLHGGATTFHSNDMQHRIDVDPKIG RVLIFQHRRLLHSGDLVTKGLKYTMRTDLMFQFESQNEEGNYADGVVFE LY89DRAFT_788039 MDGKKLDSIELMTSNLVLGKDGNLRPELKKAADTVGALKIATMC SGSDAPIFFIELLCEDLIQNHDVSLSFDHVFSAEIVPFKQAFIQRNFHPKLIFKDIAE FFRKELKVDRKATTAYGSRIEVPSDVDILFAGFSCKDFSRLNKSPKTLEDAGESAVTF AGLIAYMKNTECRPKLVVMENVLLAPWKKIREYVEANTQYLCTHTHLDTKKYYLPQTR NRRYMICALRTSDLESDDACRGKLKDWTNSLKEISNNSPNPAASFEEMLQSAHNTKYE DSKQGNWASSKYLAAAWRETLGTERPFTNWSLSGKKRLPDYFRPSRGITERTLDLLDC VFLEQVRYGIDIRYYSRFIECSQNVARNPTSHDIGLLSCLKTDVIPIWSIEGRRLSGW ELMLFQGFPINRIDFTSFSDKEICDLNGNAMSTTVVGAATIAALEIFHMDLTQRGPTA SLPSVATSPSEHHSGENNNLSPMSNSVYTTCSTSELIARAIVSVQICSCEGWKSGATS FKECAVCKHTACTNCGKNPVHEYTAKQSTPAEATTKAQFVRYVKAMLPAILRLNPAEC TKPPLDDVEISYREHYQNALECTFHMSSVQRSEVWEIIYEGACARLVLVISRGGRAQW FLYATPTTLDRSDVRKYLDEFPIMRMDLDNSGANITHGSWYMWLPEPEKLFSVRITGC GSAVPSFHAACGIPEHKDDEVYVELEINVLEQHKQYVGSDLSGTYKYRPRCGQAFDSL HIKEGATAEPVYLFLQHPIQGSEIKHTRFVFARNCRKLGYSDHRMILGSLPPNFRQPL VSSLDPSETTSLKVYGCWKDCDDISIKFDSRGVFQEVNFSCQDLASISDSNSLCKKWH EGFQAENIQLDALDPQWSSPDWTIVKHTLLPDFFRKFFWAIWGGLHTQHWPKDSKWKK AGAEENGCPVACNDCCPKPPSAHWGLQASRNEISEASATPLADALGTVEQPDDEGHAE KDPPVKVHFTLVPFEIPEEAFRYEQNLPSTKDLPLKLRYKTSEKDRLMTIQLLINLAI LIHRAIAELTRRGGKPIVSSEWRLVTNDDLESVSKRAPFALQRSTNINPAPEPEGLKL KLDEAQLRSLAWHLNQENSPPHFDEEEVFEARIPEIGCRLEARATRKLTARGGLMLHE VGFGKTIIALCLILQQAASKTHANWSKEPGSSIRLDASIIFVPTQLTLQWREEIHQHM PDTYKAADKVIMIRSSEEMRALSVQKFKEAEIIIADVSMLTAEAYTDDLAALAGMDEL HSGASRGAKVEWYEAARKAISSNSTLFESKPKEFWDEVDKSLEKHREEVQWSEMPSPM HRYKKDEVTVEHSGALLKTNDIPVPISNQQFSSQANERQAMVFELFEFCRVFFDEYHY LEDPILVMMQNVKAQCRWPMSATPQLETQGGVAKAASLLGINLGRLDYTSMSQQTFAK STREATNYELLAAYHEPYSVAWRNNVHRREEDFLKKLACQDIVDVTAFTVSESWRIVY PSTAHRLGYYEMQQLFAFTNFDLKRRPGQNKHTSRAIYYFSAVYKPRDVNGRLVKDNS HSQPNSRNDTLDCDKIIAQRGHERNIAQQTLKSLLEKLAYLEYEPKDVSNGKDCKRFS DYKSTIKGSQNLSAASKFILGAIKGLTDLDADTVKNLQLSWSTYGIVAPKNKLAKHQC VWYHWAVKELIVGTAALQNQDAALHEVFNDLHRYESAKTLHEKNRICCQNCDSRTDSS KMVVLGSYGHLLCQRSSCLPPESEDEGSCPLITCQLDYASVDILRASELKVKERHVDG QFSTYGNKIHAVVSLIQEITTDSEDCVLLFVQGHRVLTKTVEALNKAKLKPAKLDYAA SDAEGAETIAKFQQADSKIKVLVLDIGSQEAAGSNLQRANHVIFLQPYFTSGISAQNE YEATMKQAIGRCVRRNQEKEVHVYHYMTANTIDVDIIEKRKDKKVVAMVEKNEAGDDD DKDQNDVKQGVQSKPTDDEFFVDCELVSRAEDEDEKSLFSSKIAELTIELDDDWGWS LY89DRAFT_701260 MGNTISYGTTDCILDLGSKGSIKGVQYDNKARRYAGVPYALPPT GPHRWRKPRPLPPSFKYDDGQGKPFNATKFGPVCPQQAFHLNVESEGTEAFSEDCLFV NIWTPVEEKNSRDAEGKWPVYIWLHGGWFQIGDPSQEVGMDPTELISTGKLNAIVVAA GYRLNVFGFLAGKVFLEDSGGKAAGNFGLWDQRLAMEWVRDNIEAFNGDSTNITLAGR SAGAYSVHAQVLHEFRTPVTSSVQKQLFRRFFMCSNTIPAQPKTIAESESQFEEMCEY FKISSSLSGVEQVAELRKLSFKDLLHSISKLKNHTFRPITDNLFFHSGMVEYQNNGNF AADFKKRNMKLLIGEVLNEETLYATYNSPQEATLESLKRQISNYYAPATTERILKHYG WPESNDLLEWKAHFGKIIADGQVRAPSRALVNDLGKHGVSIEDIWRYRVAYRLSFITE QVAPASFGVSHAMDKPFWNFSIMHGPTSAERKLMEDWISMLVAFVNGDSTYQFGTSSV EEMKVATPDGTIEVQEDSRWTQLVELGEIFASG LY89DRAFT_277228 MPRIVLTHLPRRTSSAFSGVSQSLLQPPPMPANTETPSKSGLPD RTATTPSPPLLQTQVSPDPSPDREPSLAPSIPPLASAPTSSLNTSPSASSRTKSNTST PKSASRPERLKHRITSPMHQPTRTQRFVTLLWRTC LY89DRAFT_690201 MPSSCKDIRTSLSLPLFLTNSKAKNNTGAALAQCLQESDCVMVY RNKPGDCLREPLIDSMPTKCQQLKKGLGECKRGMVDMRKRFRGNQPIAVSKELEGGAQ EPSHQLYAGRPAFSGTVKSTSGDEPPERDWREVENEKFRKE LY89DRAFT_690202 MAPIPFLGRLNIAEYIALVGSFFLVGLEAVIRILTLALPSTVIT LFYRASRRLFNRFTSPAAKKSEHKKSNISTSIRNASDFVDLCALFGYYAEEHVVQTGD GYLLGLHRLAWRKGEEEQKVNHGKDSIKKAVVYMHHGLLMNSEVWVCLTEEERCLPFR LVEKGYDVWLGNNRGNKYSKKSIHHSPTDLPFWDFSMDEFAFHDIPDSIAYILDTTSA PSLSYIGFSQGTAQAFATLAIHPKLNDRVNVFIALAPAMSPAGLSNGIVDALVKASPQ VLFLLFGRRSILSSATMWQSILYPPIFVRTIDIGLSFLFGWHAKNISTSQKLAAYPHL YSFTSTKSVVHWFQIIRTKSFQMFDDDVQPVMPLSSVSKYTKVAKFPTRNIKTPIVLV YGGSDSLVDIEVMLKELPSHTVATEIPHYEHLDFLWAREVDTLVFPHVFDALENFCST EHSQEEYARYRSARHASIKAGMERPALLMQGYPSDEDVSASAGSYAEAASVPPGDGAD ALARRRNGTATGISFPSPNARKRARIQGDWDDSSEGGGSSPINPRHIRTVTINNGDIN GIANGRSSPTTSKTPRRESIGSNISISEKMTGGKGITLGAGKAVSAVSQGVTVGNMAG SSDDAPERKSKKGKK LY89DRAFT_788043 MASTRVEKVLYRVPNDSSKKNAADPIALPPGTSSEDFKEYIKKA VEIVGEENVTVINNKDELTKEHYTDPSKAHDMFHVFDKDYFVASAVIAPRKVSEVQAI MKLCNEYGIPVWPFSVGRNVGYGGSAPRVPGSIGLDMGRNMNKVIEVNESGAYALVEP GVTYADLYAYLVEKKLDQKLWIDTPDLGGGSVLGNAIERGVGYTPYGDHFMMHCGMEV VLPSGELLRTGMGAMPDPTQPHVEGGTLDQQPGNKCWQLFNYGFGPYNDGIFTQSNLG VVTKMGIWLMVNPGGYQPYLITFPKDSDLPKVVDIIRELRLAMVLQNVPSIRHVLLDA AVLGTKASYSSSDKPLTDEEIDAIAKKLNLGRWNFYGALYGPKPIQDVMWQVVKQTFG SIEGAKFFLPEDVPDKNAVLHIRAKTLQGIPTFDELKWVDWLPNGAHLFFSPISKISG EDATLQYSITKKRVIEAGFDFIATFTIGMREMHHIVCLVFNRNDAGQKERVHKLIRQL IDDCAAHGWGEYRTHLALMDQIAETYNFNDNAQMKLNEKIKNALDPNGILAPGKNGVW PATYNKQAWRLTAESSLSR LY89DRAFT_711067 MWTETIVALLFVLARLYTRVRIVRNIGWDDHLISISMFLFLGYT ILITIAATDGFGSHLTDLGLPQAVKAIKWEIAGQTFNIAAIGTSKSSVAVFLLRIVTS KSHIWILWFCIASTSFVCASCIVFMYTQCTPLESIFNPTLPHVCYLNFTVNAIFSGSY TAAMDFVLAIFPWFVLWKLNMKRKERLTIALGLSLGVFAGICGVIRAVELQGISGKAD YTYLTLPLILWGSTELLICIVCASVPILRPLYKQVRGVHSSNTPYELGNTPSRQLDTG GKDFESTAKASREGIVTRAEGNTTMFMGGTQYNSSDEEILQGVFPPQRMHISLQCASP QPLSVRQRNLPVTFTHQPHFDSFAKNGAASKVPF LY89DRAFT_690204 MSTLPSLPIDQSTDWMGNPAPSINTWSSWGPGSNEPVFGDMMSQ GSMRNASFMDHQPAPSSQPFFTQPISNSSPELSQIEPRSNPRSDVSSVSNGSPETRSL DDDLLALDTINPKNSPSSKSPANRVSPPSDHSSKVKKRTLNTLAARRYRQKRVDQMQG LETVLKDTESERDELKIKVARLEAEVEVLRRLIESGNSNSSSEKS LY89DRAFT_761537 MLSASELLSPNSTIVEITFLPTRNVYHYNPSPLLLSYGLALLFA ILGVALGLYAFHLNGVIHSTAFSSIVATTRNRELDELARGKSLGALPRDERFGGVRLR FGGLGGGDGGNGGEGVEHVGFGREEGVVGVRRGGRYV LY89DRAFT_597817 MESPSSGQRPASDISHNVGDIISPIESPSSGSEKKASFNVHIEQ LTDHHEFVKWGVKWQKQPTYMLLFALGGLVLAVSHHAFYSALHGQLAGTNSKQQWAHN IGNIFAVLVVAMFHTANIRAYDQYLWSTVRGRAFSVANLDRIFSLTSDPTSFFSLEIM RKAPVTVLLALACWIMALAGVLPPGTLTVVPGIVKEKQNRSMPSLNWTSDSWLPDPLF YNFSGGADWQTPTSLVLTKTAQVAETMDLLPLDPPAINSSYMMPIRGPYIQCEPANSS ELIFFNFYNNLLVEGGIYSETTQGSMPINISVNDTAPVMLFFSAFDLTMAADWQPDRS YDYVNADIYNDWVVPIPPDFLDSYGYMAHGSKPIANSSVYNFTAQMVPRQLFVQTSET SFVCTLGNGTRDVYFDFSNGDQTITYGDLQEFEPLFVPIYGLPEYTSAGPILPTNLGM FPYVAVYTSLTSMLSGNLTVDAVSVLTKALDWQIWDTSSRIINTGLETCDDFTLSSFN LHPVIVNQTYVDPDSNATTMPVGRMNATFEGGFKPAPWKCRNGTL LY89DRAFT_690205 MAAPVDPATRKKVLRVVFISLLLDLISFTFILPLFPSLLAYYRD LEAPIQFSSVTKSPSLLAHILTYLNAYKASFARPIDSRYDIVLLGGALGSLFSLLQAI ASPIIGTLSDRYGRRTALLFSMAGNILSVLLWFLASDFRTFLLSRIVGGLSEGNVQLA IAIATDISDEKQRGSTMALVGICFSISFTFGPALGAWLSTISSVKANPFATAAGFSLF LIVTETVYLYLCLPETLPSKVAEAKARGVPAATNGATKPTTRSQTQAKMVSSESATIP GKKYVRTNSHFLLNLTHLGFILFFSGMEFSLPFMTYDLFGYNSARNGRLLGFMGLVAS LLQGGVTRRLPPLLSVRIGVVSCLLALFLLAKINTVGGLYAASTLLAVTTATVVTGLN ALSSFEAGEDERGGKLGNLRSWGQLGRGIGPLLFTSVYWWAGREFAYTCGGMGVLAVS MVVFFGLKTPRGLEGKRVGDVKGTGEVEL LY89DRAFT_690206 MAVFDTKSSSTLVLLCVGATFCIYRLALYVIAAQRRNKIIRDNG CKPYKNYPHKDPIFGFDLFAENLRLSKTGGVLDIIVDRYARLNTNTFSQLLLGERFIN TSEPENVKALLATQFKDFALPSRRKAALGPIFGHGIFTTDGAAWENSRSLLRPNFVRS QIGDLETFEHHVSHLIAKIPRDGSTVDLQPLFFCLTMDSATEFLFGQSTNTLLEGETS ATGEKFADAFTYATETAGLTARVGKLANLFPNKRYEDSVKFVHDFVGNYVQSAIDMRN GSFNGEKKAEEGKKYIFLEELAKTGVGKKQIQDELLNVLLAGRDTTASLLAYIFHILA RRPDVFAKLRAEVETLGSERPSFEQIKSLKYLQYTLNEVLRLHPIVPANSRTAVRDTT LPLGGGPDGKSPIFVKKGQMVNYQVYVMQRRKDLYGPDADEFKPERWEQFRPSWQYLP FNGGPRICIGQQFALTEASYTTIRLLQSFKDIAPRGEDAPLLEILTLTNAVRGGVPVS LTPA LY89DRAFT_657322 MAQHYDVIICGSGSAGLCAAVWLARLGIKCKILEKSSGPMQRGQ ADGVQCRTVEVYESFGLSEDLLKTGYHNLELSFWEGDENGNLVRTRRAADTMPGLSHQ PHIILNQAIMNRFLLDAMFRWNEQTVDYGYAVKGVAVDGTEDGDYPVVVTAEKEGKEE IFRAKYALGCDGAHSSVRRSLGYKMIGDSTDAIWGVMDVYPKTNFPDIRKKCTLRSNS GTLMIIPREGGSLARFYIQLPAGTIAKDVILQDLHQAAQQIFHPYTVEISKTYWWSAY AIGQRIADYFSKDNRIFLTGDACHTHSPKAGQGMNVSLQDGYNIGWKLAAVLQGRAYP DLLKTYNLEREKVAADLISFDRTWTKLFTIKSSDHEANYFSEQFIKAGKYTAGLTAKY DDSIITAAAKSRQELARGLVVGMRFPSAQVVRFCDAKAMQLVRALPADGRWRVVIFAG EVGDGRCKGRLSKLAQFLSSKDGPVHRFTLPGMDVDSFIEAIVVFYGGRLKLEQEEIP QYFWPTTGEWGMRDLHKTFVDDESYNSGHGHAYEKYGVDVKEGAVAVVRPDQYVSLVT SLDDHEGLMSFFEGFVTSPSRQEKQNGI LY89DRAFT_657324 MVLKLSFACWDYDRMKAIEDGRVRPEGIELTFLNYRVEETFFRQ LRFQEFDVSELSLSSYVLTLNQENPPFIAIPVFPSRFFRHQSMYINSKSGISKPEDLK GKKVGIPEYQMTAPVWQRGIIEEEYGVPISSMHYYVGAIEPSEVERTSKVPHSLPPDV KVTPIERGKNLSQMLEDGELDAIFSASRPSSFDRSSKVTRLFPDFKSVEADFYKRTNI FPIMHVVAIKRGVYEANPWIAKTLTKAFAKSLEMAYQPLQERSALRYILPWLEDHVEE TQKLMGDDSRWWKDGFAENKHVIEKFLEYSFNQGLAKKRYKAEELFAPNTLESFVL LY89DRAFT_724191 MVELRDRIAAIQAALETDQEVEFANTPPRHSLDHRFDKTAPEVD AAPAPTPAKEKANPFESVGAPPKSQYYDSVAAAFGLGILDEEEFTEYIVYDAFVTNLR ICPLNLTDTGHYYYVEHRSFLPNVPGVILRHGTEKSGKSLGAAHIPLQGKNTFGVGDY DGRPHEMVWERMGNTGFWTHMKYEFEHEIAAGVRKTFNWIRTRNNIMDDQGDLVLVEQ GREYLVLCEYLGKGLLKWKKRGRLRIRTVEAFGESWEIVVLLTWASVIELSRRRARIR RYSPTHLIGI LY89DRAFT_277563 MYWPHYTSITTVQYMTMVFITTYLTISRKTTHSSITSTTTLLSS TIVEDRDVGIDAVRLYDNAGSAPAQLFAEMLDGTSTETQGVTM LY89DRAFT_788054 MAPSPIVVAPSQNWAGNDGPWSSFEIQVGTPAQNLQVFISTSVY QTLVVAPEGCISFDPSNCAVLRGGEFYLNASSTWSTNTANLSSNIYPLLVDTQLGYNG RAELGFDVVTLGWKGSGGPTLQNQTVGGFAAKDTYLGLFGISPRASNFTTFDNPIPSY VQNLRNQSLIPSISWAYTAGNQYRLDQVLGSLVLGGYDKSKLVENDVTWTFNSEDSQD LTVQIESIIVSDSTKNTSLLPSPIPSFLDSSLPYIWLPVEACKLFEKAFNLVWDNVTE LYLVNDTQHDILQAQNASLTFTLGNLTAGVSVNITLPYAAFDLTASSPLVTNTSRYFP LKRAANDSQYVLGRTFFQEAYVIADYERGNFSVSQCNWDAEASQEIVPIYPPGANGSL TAFPKESSSSKHNSLIVDLPAGVGAGIIVTMLVLLAIHYGRTKKLQRLEEHTTRDETR SPPIGLEYRGLKPELHAEEILRPQELEAEKSQWVVEADGHERQIFELSAREEPFAELE EARN LY89DRAFT_675953 MPRGHYHISHSKYHSSSNRKDGTDGKRTNQRGSKPQEKFDQFWF CHMCGDGPMTTEIVLVCPEFSCNHQRCEECTVQLYRFSRHGNLWPSTSPNPDISPQSK SQPVVVDLDEDPPSLLVQKSSGSDNSPKKLLVNKDNYTDCGNDLAKAQGKNGKLPPSF SQALTIRVLSSTTETLKAALSLPLIAVQVANSTVAVLDPIWTKASIVCVAATAIATVA INGVNANTARRVADINERSVAIAGRAANASEQNALTALSAAETAARRLAFDQEESLKE KEKEVGKSDSTTIKGDGNGEASNSTAQSKRLLPISVSAKPSSTQTRLDADIRARYKER ERKKQEETEKKARNIQIFKKLLQEPFNGVSSAKENELDGRLRELRNRQYEHGEGVKES ESESDREDSRWKGKGKERDMGRVYSSSSTPGCADMGGEGDGESEEGVPGQGPSTGLPT STSILGFGEVGYPSVKEALRSPLSQPSIFPAALTPKLTNAGKQDFYQSESRASLHRSV NLTEREDSYLDTLPLSSANGTSPSSPDYAVAEIHLETPSPTESAALVNETPTSKPGVP PTAINAPSLEVVEGREQRKEVVTIKITSGEVTSKGGQDATSWLPPKQT LY89DRAFT_761555 MYSSMASSAGNRARFIAGLKQFMATYGFDGVDLEYPQVDDLRGA DGDKVNCVSLIKEMKATFGTRYGISVTLPTSYWCLQHFDLPGIYDSIDWFNLMSYDNS GFKFIGPLVPPHTNITEIEGGLDLLGRAGVAPSKVVLGQGWTCCASFLSAVGTSIPII GEIADIAEIVATPAIIELCVKGVEKEGKAEFKVFGKEHTLDINKPTDKPSATRLKESS HSTASTKTACSKKPQADMAAREIDADDDDCLDAQKKMTTETADHAGIGPDFNGEFLCM WATERQACMHYRSMHSVHPDSHYNSITCPYYWVDAKGRPVVAEYNKGRKAAGWFARSH LEAQIAKETNGLQHCSTRSTMAENS LY89DRAFT_788055 MSQALSPDPRVEGKLEYDREAIIATVTDFYKHMIKLPHIEPSDL LYPPPEGWPSITESNFAPLKRSEEVIELLRHLPYLKTSPGQVRGQESIVAWDTKPIDY REEKFQPDRIEEGVKMITQSKDKFPAWVVPLTRGVDLYGIWLMFDTTDGTATEYQGWC LPNEQDYAVDDPRHWRDKCEGRTMRLRDFFAEWQHRFMTLEWICLPTDRWQLWWE LY89DRAFT_740874 MSSNAGIPIHGKIDDTHTTLMNAQYAPNNIPTPWPLVVFSLLLS FIICCIGAAAALMSVDPQGMSAKSTSIPLSRISGKRKVTVTSTTTVTAVSYKPRSLPR TIIYYLTVVFCTFRAISAFIIGLKSLQDDTTPLAAPSVILLALISTQIMDCNIGHNPL TRLILELDIIALAISFGMSGYAPNHHEWWPRYSTMKITDGNCPVYAGDCMSQAPHWLS VGCGDWSTPTNYDSGVSYGYPEVFYLPYQNTGDLNVQTGMNTLHATEMTIIVFGSVWW CFVFFHILDIFTTLEDIFKRKPAGTSLGGTSLGGTSLGGTLNQRSIFSSIKSLLAPLS PRARRQPAPRKVATAWQQSYYPLICVLGLFVAFVVMLMSIGAHASQMMKKQQTTYLES FGPQVGTNFTTDGNGVITGSDYWGNATSWSDCFVVRAPGSRNGFWSEWVESNRRGGAL FRIAAGM LY89DRAFT_724195 MGEHVNMDWGSADTRIRQRKTWSGRGAILDRNRQMIVSRGERGS ENDGGLDVSSSDTPAREMRGRGQSRVVWSQRGDGDTFAGHVEGCELRMRGEVFTVAWR KLALQHVDQMRQRHGKPKKVVRQPQVLNMFLLVRGHGNSIDGMDGTFLASNAGLGSMV GQRCVEEEEKGQLMVPTRRVSWIAERAEQSV LY89DRAFT_597630 MENKDVFDARTTMLSPPEPTLQDSFSRKPSGHSMDFVMTGLHPM PIVRGQAPLSPPISPETKLINPESPASINVRDPILFPHQDSSSQPPLFTSDPHRVVDE HVAARELSTFREFSPPRRVEYELALEFKSRIAEAYNVDRKRWARREFAQLKEDNMLKH GGKRYTAIAPASGNRNGQRAIKPPRPTVIKTIRTPKATVPRGTTPESSKRVAREDKDF SSLPDFCPPLSSLPNKPNSLKVDWKGAPIDLKFDPHAHLLHPDEIALAANLRLDCATY LTSKRRIFIKRIEAFQIGKEFRKTDAQQACKIDVNKASKLWQAFDKVGWLDPKWIKKH LN LY89DRAFT_690212 MDHLKSARQTIPPLFTHPSKFLSLYSNFITKNQSSVTQIESALR SLTYIIPGRFRDAELASESLHSSIQLLSMYHDTLLTRAVSKLPGMPRAQSPHNRYTKF WMVKSGMYRKVATLLAVVQYTELLWEMMAKRKGEKIRWRVVVLLEVVKAVCRFLLLRI THSRPLVTPALPEREVIPDEREDEEEELFEDEGYGGSEASGPGSGRRIEPKEYKMKRT GLSLPVLPNPSDISSYLLSRVLTADDIKAPSALLNKVNGSAQLAEILHIIQPVIYAIA MSRSKDKSNWQPWLLGLSIEYAARQLRKDGLRTTALEREQWGKRGWAMGWWAMRGAFY ENVTKGFLHKTSEKLPSLIAGVLDDYLYLWDGYYFSSSSN LY89DRAFT_538166 PKRRRSSTEEKDVSIAKRARTRDPNDHLSSLSDELLIRILHLLP IHTLLNCHFLSHRFYRLSSDSQIWKNLYYNRFVLPRALRIPGIRDLSRKEDLHFSSRK SKWLDESSLLERKDGGMTNWKRQYKLRHNWSIGACEVQEIRVKDGVGERERMLVKLAE GCVVTADREDGLRAWDLKGKGLIAKMGLGEREVPTCLAVDEQESDGLGIAVGFEDGGW GTWRLIAASKEFVEVYRHPASSNGTLGAVAYGYPYVLTITEGQLLSLYSFTPAPIPDE PTFEDEAGEQPSESFTTKESTSSVTTLAAVPNVPDDTPHPRLLASLKSHTSWPPLSLS IRTTPNTLIASIAYSLPTYLSGYTIGVQELHLSLITGLVTFSRLTSALPQGFSSIHPS SSSSSPKNTRPTTLSYSHPYILATHPDNTLTLYLCTSTSSNLSITPGTKLWGHTSEVS AAEITPRGKA LY89DRAFT_740878 MSAYPYSSGYVPYNYTAPCNYTYTGYLATVQQYCGPYLDGLQTC TSDTVTPFMRLEAASQVSDCMYWINWALHQPNFTYDATNATWNSPAVPKTNTSATCNY PTFLPIAETACAWDFSQWNSIQSNTSCDYNVAEADWGPGRCVSEATVQYVRCTIQEPT ANVASCIEANAQKADWLPQLEEYGGAASCGYPKNVVLIILGLGLLRGICEAFIHPWIK YHVKNAWRKYRKKDPDPTLFWDAARRAPRFFNLKAMMVVHAVGRELLRCYLAAVYMRK DNSNLLAPTFFRSFVLNAVLPRFAPFTGLFGLRQPWTQAGFADLFVDGVFSFVAGSFV GFGSYGDVPNQNPPNPATPQHALKIMEIGALMTVAPTYLFFVFLFLFSLRKGCIQGIF TVFISLIMVGILLLLLPIFALWEFYAAIRHQVRPFQNVRALQPISIDYKSFRGVYYFM IFFSWVINIGNWLFWASYLKLGGDLWCPNNVDSVVKIWVLIPFAVDVFFALFAIVTTD TIEDEDKNERTVIYVLSQPPAGMPMGESKVRDFSDRPVY LY89DRAFT_657341 MDQLPDIDHWLVTPDNPPRNQIGLDHERCVALYNYLLQYSFAAS NKEFGDRHKISWFEKHGDKAERAREHLDPSLIKFLENAYDPRDADFAILFYWVRGIND PTYLWTNWEDFSNLGEEHGRMTLFYTNCALLGCHQDGLCYDQQLHKAVVFISIHDQDF ASGDENSHLWYPLETILRNWITVLRMGKITAEPDDVKVENDRYRPWTCHRYSTKQVED TVTAFNRLADAIESRIPSTRKGMPPVRNFSHELMDATLIPNPSFARSFLSAIGVPAFK YIAPGLLIPTEEDFAKNQILAATENYDKLSTIPAVLLFKVEPESASQEQQGLNAENKD EHTRKPAGLYTEETQVWNDDIAEEGFRLILPYSIAEHGFARKRTGHLIEQNGNGGFED LYQHGFKPFGGDWSRAQRLVRLFDNWTGMVEKGLWEVGPDGVMGGIEKFKEADSEEGW RNYWIESD LY89DRAFT_724201 MGQRHQIFVIAKIGKAWRSFGCVHLQFAWSVEWEEGIKVVKEFE AYGMIDTATLSATWPGIWRDEGHTGSRDDQDDSEYIYISNNSDTTLQLQQVIEEAFST STTQLPDLLKSVEDKSQFWRALIAQVYSTPTLVERSLTASTLLRTAFRNKRTVDLSPF SLNEEQIFEILCRGTKKTRIHTLSLSGNQKLNEKLLEDILSRHQSLEVVHLLNTPQIR LETKLSVFRDHNKTTSTYFLDTELLAEPFLTGPGFGLPQKHFPLSYFNKNINQMVILA SNPRARLERLPCGGLSLD LY89DRAFT_740880 MREDHHDDRPDGGSLDFPIWIPSPGPPSMVADAHNHLEIPSPNI PVIVKSQPPSRRPKGPIGRPRVPDEIWEPLKGEVYYLYYKTNMLVRDIPKTLEESNLN DPNFHPCLSSLRHKMSEWGFEKKNVVRKKMQVMAAKSEKRMITEGKKTVIVHHGVQTR GEEMEFWKNGAPTQALIRDKDSGLLAGFVATPPGITYYTPVSSPRAVPPIADIEDFGV DPSPATLFHVLDKYGNTEALDMPEDEFVPTPIKDLDEECWEERYRRSFARSPGAHSFN EPSPTVAPATDSGYASIGGDNKSKSLNNEDDDDTCTIMTENHPLDIETEVRDKLSGTL AEELFRSLEPTLHRHSHACKTIKKEAIRRLLKDFSIKLRCVAKLGQEHDATVFVRQQR SRIAALLDKSFHSLSCGQDEAVEDVESTQSFEKIDWKDKIANWDVIGADTLGRVPADV VPSAPEDVIDDEDYSISQFSKAKIFLLESVAYRNLVEGLTAELLLSSRDETIINDVRN TVLDGLEKNPKKNGYNISSCVVQFEMLWTPISFLKGQFPDVPTPKIGNILVYTGSEFD AQVSTCEQYIRQTWGAAGVEVLRALEEVIHREQIGVKFKIWLADASWLEVELSVNIIV VVTSGPAPTVADIGELLAWIGAAGRESSHPNEIGISIPRITRQITSRPTFTIDATIES IDSKSNGQESRPNGTCWHYMLRNPVVVKGYPIPRREHGERGLEIQLPLMAALGNAERA TVFDEGLVIKGHSTMFVPTKQEEQSVLWHFLFHEDETRMSYLEAAKYCQSRVPLEELD ASHLETSRNFVGWSSSVYLQTGTRSENIKYDKIRWTGSNYASPGCAFEKVSISAGKIM SAGVTFARGIKDTPLYLNRAMAYEQEIHDAGNMYVVLYDIADKRAWLVDGANALLHLT CTQLATVWSQKSRLFNLEDFHYADVNNGPTAAASALLDPDNRKMAIFEEVETWKEVTT TVGNAATTLNSAIKEEHKEKKTQWCYEDLVRQLYHVLELMHDYQVKILTSSVTGLRFT DRDKLEGFALMDIVDGPSAILPRVAILKPSGKGWVDFTKSICAITLMARGFGELIKSN SHSNVLCREWQSVPTGRDYLVACISTLSKICERQGDPDAIPMELAQGIFWHKAHMMFE SCKCGKSTQDSTCDRVQVLLPPSLGRKRHPQPFGELLGAVIFGRSKRYTWSWPKRGNP TEGVADDEEDDDEIAHDSGLGSSLQSSSGTGADGSENQSATNSSYMSPLHDTASAVMS GAFGHGNENVNSVPYAPMLNVNPSDYLTVPTRTRTSGIILGANVPEQTFGMRQSHYTQ TAPPFTQDAEQGRGLKRAFEKVRDLALSNSPSGKRAKRS LY89DRAFT_277789 MRSSIILGSICAALAMASPINKAVHKKDFVYDVTTEIITITVTE GELPATSPSVPKVTIHTTVTPTHKVHSHVKSSSTSVYVAPAPTTTSIAPAPPSTTHTT PAPAPTTSTTEAAPVVVATSTPEAKVVATSTEAAPAASATDYQSTALYHSNAHRANHS ASALVWDDTLAGYALATANTCVFAHDMTEGGGGYGQNLAAYGTTGDIASLDLSSLVAD AITNQWYYGEAANVPYGQNDPAVTNVPEFLHFTALVWKASTKVGCATVQCGAGTIFSY HSLYTVCDYASEGNVLGEFATNVVEPIGLAGITASIS LY89DRAFT_724204 MMAAHLRTGISSLKNNLSSTTATPNNEKEKEEKLVLRNRAGESR SPYVRAHARNPVAWQVWGDEAVELARRENRLLFVSVGYSACHWCHVMERESFENEEVA NILNTSFIPIKVDREERPDIDRIYMNFVQATTGSGGWPLNVFVTPDLEPVFGGTYWPG PTSTTMQAFEDQVDFLGILDKLSTVWKEQEERCRRDSAQILMQLKNFAAEGTLGGRLG EGGDGLDIELLEEANQHFASTFDSVNGGFGSAPKFPTPSKLAFLLRLGQYPSVVVDVV GASDCRNAQTMAITTLRKMARGGIHDHIGNGFARYSVTADWSLPHFEKMLYDNAQLLD VYLDGFLLSRDAELLSVVYDISTYLTTTLAHPGGGFYSSEDADSLYRKGDSEKREGAF YVWTKREFENVLGEKDEPILSAFFNVSGHGNVEPENDAHDEFLDQNVLAIVSAPSSLA SQFGMKEDEVVRIIKEGKNSLRAHREKERVRPALDDKIVVSWNGIALGALARTGAVIK GFDPVKSAEYLGFATKAATFIKENLWDDSTKTLYRIWREGRGETEGFADDYAFLIEGL IDLYEATFDEKWLQWADELQQSQITNFFDLHGSGGFFSTPFEAKHVILRLKDGMDTSE PSTNGTSASNLYRLSSVFNDESYGKKAKQTISGFESEILQYPWLFASFMPGIVAGQFS VKGTVVYQSEERGEDGEQKVKEFEKSPRGSLGTFARLTKENKWLRERNELLREFGKDG VTRILICEGGVCREESVSPSANVIKERDPMDVAGLKEALPSPPNTAASPAVESKAVET PAPALSGEETQKLAS LY89DRAFT_761561 MPSSNRFPPIKPSDLTPEQEEAHDHMSTVASKFFGDTSVHPFLL YPHQTHLLHSFTYKNSDGAFIGPFAPLLYTPSLCKPYFDLVEALTKISSSNLPAVARE TAILATGSRYESAYEIYAHERVALKSTSLTREQIGQIKSGGKPEGLGEEASVAFDATM DLVGGKGNLGCMLILVCC LY89DRAFT_761570 ISNEKAEVACTEMIQSINDNRAFLQHELTLRGEGIARRWRKKTL KNREGLLKTVDPEMYDRKWHEGHIGYEARYDNWLIEARKYQNLHLLPYMSLENLKEDP SRLIKLLQLRTKYSPADLAAADNRRLSFGWTTGILETAFNGYAVVMYGPRYGSLVPWV RDEVHRGDSIGFPRGQLILKAQNHLPSVLRGVVEVLIDGLPEDKDIAASTNPSLLALP NTGESTVYVDEAFSSCSTIFDLEKLSDIASSYFKLARDHLSHLQIDLAYMRRYVQIIQ KFGYSKLPEKITFFMTTRDLDYEAWGIRHWAWIREEISELKQIQARFADQINCDQTVP PKYRNKLASFEALIIHLLDVQSRHIQFGFPYHPGFQHHYDFEYSMEDSTIHLSHGFKG RSDDLREQAIANAESFVKDPLHWCVASLTINPDAPGVLDKFRVLEFLDQHLSKATTEE RARIDETLLRKISDLAAFNELLTLVRLHRPRAVQRDMSEMRSTEESLAWRFMSKTRIK YELDHNREMMSTERTLQEIPLLYNTLGHLLRAFMDQEPPKDMSDRGWMIKDIAQRKAL RCFWDGLRQQHQKRLKDAGISRTDIVDDLKLISADSDPVFLAALEAERKEFLAHSMGV PPSAKEPRPRPRQSIKDTNVRQEKVSQGLVEPTKFKPKTRGEASSIDQELEDLNIIPS NDAQVPSEKVPVKKSAFEVFSSLFPRRGGSKSVLWDKFVDAMAKVGFMSRRSGGSAVT FEPSGESKWYGQGSIVFHRPHPDSTIDPVMLNSIGKRMKKWFGWGNETFELGN LY89DRAFT_628115 MARVKNMFFNLFALLGLVNARQAFPGIILDRASEILQSYDYVVV GGGLSGLVVANRLSEDSGTTVLIIEAGGFTENEDWVTIPLITSSNDPAVGHGPIGSKY DWNMTSIPQPELGNRSVNTPAGKVIGGGTVLNGMVFNRGSRADYDRWEALGNPGWNFE NLLPYFKKSEIFTPPDLKLEAEGWDIQYNPAYHGEHGHVHSSFAPFVWPSTRNYINAM KELEVPIINDAMGGDATGAYWFTQSVNPENETRSTSQGFYDPSRPNLHLLIGNRVTKA IIESGKVECIEFSAGENATTSSVKVLKEAILSAGALHTPQILLLSGIGETAHLSSLGI ETVVDLPGVGANYHDHLLLFIGQTVNVSPNVANFSNQTWTSEQFSLYESEREGPFTTL GGNFFAFLPAGTFSNATALSSAATAQQASHYLSPNTPPTVRAGYEAQFALLTSDISSS TVAYMEFIFGDNTILPALHQPFSRGTVSINSTSPFDNPVIDPRYLSNPLDLALFVAGF EYARKIRGTAAMQEINVTETYPGASVMTSEQIEEFVRGGVSTEHHHSGTASMLPQELG GVVDSKLRLYGVEGLRIVDASIVPMVPAAHLQATLYGIAEKAADLIKSSH LY89DRAFT_675969 MSKLLLALSVLSSPLVLASTPVNVPLATATVENLGIVSDPASNT EGIFHDGGGGATQNGYHVQVFADSDTTSDGFNFVHNSVAYFGFRDASNPLDEYTFGMN GTTGDAIFTGDVPVGPITANETALGPDFAIWMLSGMTPMLDGSTILGVFPALNEGTSE SLYSTMVQMTVVDPYDVAPGGNPPFTRLGTGRLFYPNEVNYGTFALSADIDGYLYLFG SDVTGVKLARVPDTASTIADRVAYEYYNSATGDWQGDPLALNNADGNIITWSSDPLGV VVGPNVGDVWYDPYHETTMMMWGDGGIDGTFWFSYATTNSLEGPWSTPVAIWTPFVPS GCDATSEAWNYQGHAHPGWDSTGKTLLISYASCALLPDIIIRWQNSILSFNRATVLRT SVGQMCSKRVKLDAEN LY89DRAFT_657350 MAAEAITALGSLKETFVSWTWPPAVNLARSLVLGIFSGIESGSL LIIDEARCVKHAFGQSSFEKDGNKVDAKSVYTIPHVQIVVKRDSFWLRLLLFADIGFA EGFMLGDFECNDLTSFFRLFIINKENLNNGMTALSTLSSMVSRLGRSSNTLANSLLNT AAHYDISNEMFAAFLSKDMTYSCPIWQPASLDGTGDGVESLEDAQRTKLLRFIEGAKL KATDHVLEIGTGWGSFAVEAVKRTGCRVTSITLSRKQKELAEKRIKLDGLDHRIEIKF MDYRELSAPTKPFDKIVSIEMIEAVGEAHLAQYFACIQRLLKRNGGIAMFQCITMPEA QHTADAKKREFIGQYIFPGGYLPSTTQLLNHISTQSKGTLTLERVENIGGHYTKTLRL WRESFLLNFDDKIKPALLNGHPAMSEEAIEVFSRKWEYYFTYCEAGFFTKTLNDVIIT VSRPGALELTEEIPL LY89DRAFT_701280 MIYNGPSNRGIFSDAPQEANAQVLQSLKFQLESHIWRSHFRVYE SGNHHTLLGSLYLGGKMDYVLRLTDWIIDTGYLPHRIVRLCTRQLLKARLAELSNRSL TEATNEKLSYIAKLCTRPIAIEAIAANEQQYEVSTGVFAAFLGPRMKYSCSLFPTGKE TLAEAETAMLQEYILKAELKDGMSILDLGCGWGSATLYFAEHLPASRVIGLSNSRTQK QYIEAEAKRQNLKNVEIITGDIADYEFEPQQFDRVVSVEELLMAKIAASLKPGGKLFV HLFSHRDTPYDFEDGWMARYFFTGGTMPSADLLLYFQRDLELKRQWWVSGLHYSKTMQ GWLSALLSNKEQIWPHLIESYGKRDAATWYNRWVVYHIAGSEFFATAGGDVWGVSHYL FEKPGSPSSI LY89DRAFT_628120 MNNPIEPSVSKKVAVIGSGCSGIAALWALKGTNHDVYLYEADGR LGGHTNTVQWKAGKYSVDVDTGFIVLNTATYPNFINFLKHLNITTEPTSMALGVSRDY GSFEWAGKSLASVFCQTKNLFSLKMWRMLFDIVRFNQFALDVLINADIDAGNGGTETI GEYLDKHGYSHAFRDGYLIPITAAVWSTSPDKCIHQFPTLTLIRFLWNHHNLSTISAQ PEWLTLKDGSRSYIDAVMKDFPSDHVFLNSPVQRVENNASGRVVLYLEDGRTDTFNHV ILATHGGQALSILGSSAKDEERSILSCFKTSQNEVLLHSDLTHMPQRRNAWCSWNYMT LSPKSEAYTDTVSLTYNMNILQHIPENKFGHILVTLNPLHRPDPALTQGRYLYSHPLY TSKAVRAQKQLPCIQNVRGISYAGAWTNYGFHEDGFSSGLRVAQDHLGVRLPFDLVDS TFSRGKKPELGIVDYLLRFVIILVQVLVVQTLELLAGVKRRPEGKQMLEGVKQR LY89DRAFT_761581 MISSEHNIRVDARQCCSKSSSTALHTFTFRHWFAMPQKSIEIYA ADNSGFGPNPHPTSDVKSSNRADRLSHLVFKESLSGPDAASICRRFAIRLSQRLVNAN VKDYWTYMPDLVVFFQLHMTPANTESLWGTHLTENSNFCSDFWKFNRQLQHFSWHLPR WMIPKAYSHRDRLLEAMKQWQLHLTDRETAPWDEDIYDAQRWGSRLMRKWQKLFLQMD NTNEEALASVNLTFSWAVNSNVVPSTFWAVLEIFRRPELLFQVRKRLPDIRTTAGFLQ HFSDSEKRASICTEPLLQSIFAETLRLRVHVYMARLFIHDSVDLDGWRIPQGKLCLVS SHPAHMHGSEWNTAGGKHPLEEFWPYRFLVPSENVSNQSESVPAQASNEELQANMSFS LNGLDGSWIPFGGGPRGCPGRFLAKHHMITTMASMVLLFEIDILAKERAFRMDETKYG AGTLHPVGEIPFRIRSRFAGGSM LY89DRAFT_675974 MDLLLVSFACLPALKHYLSRLRLRRQISTGSGSNDIGAENGLHL ELNTTHQSSDPPMPQYLPENISADQDITLGGLGDFIAAVIEFNSTRDLGFSIGFDSLS FAIGKKSKMLLSSVTGIIGSGTLCGILGPSGAGKTTFMKLLMGKLEPTTGSVNINGNV TKLVEYRKLIGFVPSDDDLLPELTVRESILHSARIRLPRKWSDTACICRTQSSSGTRS TSAPAHVPRWRPTTVPGESACEDWFTHLIPTTDYSGRT LY89DRAFT_628125 MFTTMAEFSDHQPSSSDAGIKSEEYARHWEQGGKPIANDNKARD HRKSQYTTLTNDYYNDTTDIYLEAWGESFHFCRYPRGPEAKSGAIARHEHYLAHMMGL RPGMRVLDVGCGVGGPAKEIATFVDCSVVGLNNNGYQVQKAKELAIKDGMDKMIEFVK GDFMTIPFPTDSFDAVYAIEATVHAPSLAEVYTEIHRVLKPGGIFGVFEWVLTDKFSS TNNEHMAIRLGIERGNGIPALQTKATAQAAMKKAGFELLVTEDLSEHPDRLPWWYPIS GDTKSAQGLQDWMLVIRNTKYGRYAVKALVRALKLVRYAPRGTLKTTEELIAGADALV VGGKEGLFTPMYLMVGKTKA LY89DRAFT_675976 MEENKVVPSDPPLRRGGVRVRRYKPHSKRGCNTCKVRKVKCGEE KPSCLRCTSTGRTCEGHLYGEPTTTVPQLLQSRGTIVPAPTREPTYPLARCRGPILIR NPTTKVFDSDQEHCSFKFFRDVSRNRLSAAFPSKFWEKVILQACHHEPAIRHAAAALG GLHMVSTQKKRRLPYMQDAEGMYDTFSEFQYTKALRTLAIPLQEKPGDIVLMTCLLFT YFEALRGNHKAVRFHINNGIKIVAELRATSSPRPDNLFYELKFTHMPLRDLALIFIKN DGIDSELITASTFQEEFSDSIVSSESDSLLQLYNLEQAHSALNEIRNTAINTIIGSKT STICFQPELYAHSAEFSTFRKLRQYSMALDRFSRVYSDKLDPTEQQKAHTLKLKAMLI GMDLTTCLGGTLKDELGTLWFKWGWKCQEIVAYAEAAVRSPTSEIGPKPMGLYGGFIW PLCFAAIRYRRRPLGLRAIELLRTSEMREGVWNSLQAADLVEHLIRREEDGLEVLVDA VNEEDLVARPWDP LY89DRAFT_788072 MHYFQVILYASAVNAFAFPNIFRQNKPEPTCQNPIIYTVVEYPC AVETFVASNTLLTVSMCNTLISITNAPTYVSTIVTSAKTLPFTSTTTSTITETVSVGK TLSTTATLLGPGPPASTAAFASTSQVLSTSTTSSTSFATEVTTIPYVPMTSTPVVPAV SPTPTTQSSADLPIVTSSPSTSVTSPIIPDISTSTSQLVPAAVSSTVTVPTPNCLPST SDYPDANNVPANTTWLLQLYYISNGNPISTGAYLTNTSSYTYTAGDAVPVFFSDGVLF ALDPTYGIGWYATTSPAVDAPFALSEYLPNSGLTAKTFSMGANYPYALSWDNCGFSDS PATFVWDEDVGEIFVVFDGNENQGVNHENMILGLVPVPT LY89DRAFT_597421 MGFFSNRYMFAVHVLQIILVLLAIGGSVVRLLIVKVPAGAPRSR ANTMALGMGAKSLIVILYQVLSEHTAMFRKWASLKANMILNCMEIVFWAAVVFLMIQA NLKFCVGTNCILSWVVTGVAGTLSTVAAYTAIVSIMDFRCFKSTGMHRGSEVELKNEQ VEDGRSMDEVRRNY LY89DRAFT_277926 MRPAVIALLALLRFGVVVQGDASSNYTAQLLSSGTIKLGDWQAA YDKAYAIVQTLNTTEKLSIITGGSGGNFSALDMLDSSTNPLTYYYVTTWPAGGAMAMT WDKSAIQSQGSALGAEFRGKGINLAYAPTLEPLGRSAWCGRIGETYGADSYLNGAMGG SFVKGVSSAGVIPSSKHFIMNEQETNRDGTGSGGGGGMGGSPSSTFSNFTIAVR LY89DRAFT_597643 FLNAWSGEGGDRSELYNATQDTLVNTVADNCNNTIVVINTTGPR LVDQWITHSNVTGVLYGGPLGQESGNAIDDILFGAVNPSGKLAHTIAKNESDYDANTQ ITESLEIDFSEGNYIDYKYFDKYNVTPRFEFGYGLSYTTFNYSEQVTVTSNATALAQA YATGALAVGEREDLWDVVATVSSSISNTGDVAGAEVAQLYVAFPDAADEPVRQLRGFD KVMIQPGESEAVSFELKRRDLSVWDVGAQAWKIESGEYVFYVGASSRDLRASATLTV LY89DRAFT_597706 MLIYRRSNTSALPLPPKPEPIVVVELPIPPVTADETEGGCTSEV NPYGTGCIGATSSGLLGGNFLPDGKHVTASLTYAGAPAAPDPASIYTGLQLITVKVDG TVFPNGDPWKCITCGVPADQQVSFNGSTTDYQYPQAFTDGVRVLAGEWIIDCGSEQLA SPECTPDKVHIYPIRWNVTPDGSGAGGSIRELRKHPDDVHISFNALTYSGVSTSQFGY FARLQFNPSPTTGTPLTPRYDLVNVTMLYDLDGLPTISVDGNNLTINSQAIVVGEVRG LSGLGHQVHYIEFPAESCNIDIFRVSLVTGEVTRVTSDPEYVDPIDTSSDGNWIVIMD TTTTNRMMFLAGMRGIPPLIDTLITGAVSSVRNNGIRRFFQPWIVDRYGDRYYDDGEY YQGQQLNGAGDGSPGSVNDDNWNGMADPRWSLDGTRITYFQTLVQAPNCGGVNPLPCP NSTEPGGRTVRLMMATLTSRQPLKWEPVPLVSDVIPWGREYVPGSANPVATTVPAGNY TLSGNVSGYAEVGFIMNSANTSIGTVAATYYNYSDNGENYISGTEQVAASSPSATLIH VDWYSDLHSTGISNSSKITGPGGFHFEIDLEENKFYANGSLTTIVDGVVYTQPANGS LY89DRAFT_597820 MFSADDVVLSHGSASGHDLVLEELPLPQDFAWGTATAAYQVEGG ASQDGKGPSIWDTFSHLEPSRTNGENADVACNHCNLVEDDVELMSSLDVDVYRFSMSW SRIIPLGGRNDPINGKGISF LY89DRAFT_278174 MGSHFALHCCSVVFMIMRFPLRVVAIGGVEAGSGKGGMACSGVF RVAVWSHFRRHHSVCVLLSFPSGVQYLKNSEERKLAGLRQKMQHRAVDCTIALQRALW GMELEYRGILTT LY89DRAFT_701285 MNASAFSQSGQVFRPSNAVQPTYQSLSMTTMNAFAVEAPANSSQ TSGAFTSGPCSVMIRRLPLDTNEAKLKYMVVWSKECTDVEVLSPEQSEDPGYRSAILR FKSHAGALEAKNMLDGKAADNVQMIVEVLYGSPTSSRRYTIDETTNTGPSSTTSSASS VGPTPRQSSRYNGTFQSSQQRVSPTNGGAYASNELPTPENSAHYQSLFSPQSPIGNHL NGPSRITGKSLINNDSVDDDETGELLKDPVAYAENGASVQGRRPTNPQIPISRMAGLS LNTNNAAGPASLSSSFVASTPHTLGSHANPMSPTLTNGGPPVNYQLGSQHYQRHNFPP VNPADQNPPCNTLYVGNLPIDTSEDELKAMFSKQRGYKRLCFRTKQNGPMCFVEFEDV SFATKALHELYGHPLHNSIKGGIRLSFSKNPLGVRSGQGMTSPGPSNVPGVMQGMNGA LVGGPGGSFSTASGPPPGLSAPPGLAPNRMGYSSAMSPMTTAGGHYTTTAFAGNNNGW NTPVFAGMAAGGPSAMLNGANNGFQPPAYMMGR LY89DRAFT_675984 MIQAPQARNKNGRISCSASISEPTRGGSRWVFAYIFAPTNEIGF NHPDRVRARTPWVICIVVSPPAAAINQHCVCHHPPSSTSISHLHPPALGLRLQYWATR GRPPAELVEPSSSLSRARTSAAAGGCGAGLRLRNSGQVAGIARRVPSSRIAKQGGQGR RDKDQGEQEQEQEQEQEQRQRRVVQCSAVQKKR LY89DRAFT_278133 MWWGVLSLFLASFEKIGTQCCGSGVLDSEGMNDCRHLLSNTRGI EIARMSVRSREACSPRGAGVLGACEICAVDVKSFFRLHSSLHRVYISQSCEVLHREPD ICAY LY89DRAFT_690233 MAPQTSIPVAANVLGTIGTGCWCVQLIPQIWTNYRTKNTEGLPG IMMFLWAICAVPFGAYSITQNFNIPIQVQPQCFGTFCLISWAQTLIYHDKWPVWKAST LGFVVWALFGGAEAALILTLRPLYDRGIDFPMIILGVIASILLALGLLPPYLEIWKRR GRVIGINWIFLTIDWSGAFFSLMALVAQNTFDVLGGVLYIICVILEAGIFVCHLIWMF RTRKIRAHAKSEGKTFDDIAKECEEQDGEFKFAEREIKIHGRRKKDDASKVSLGDVEV EKI LY89DRAFT_628136 MATSKTVILGTGIIGLSTAYYLSDSISPSSIHLVDPSPELFASA SGRAAGFLAKDWFSSPLAALGALSFEEHKRLAEKENGRENWGYMRSTGVSYTPGLTGG RRTKRERGEDWLRQDASRADAANESVSELLAGNEEEAGPRWLSRSEGDAMELIGEQGT TAQVDPLRLCQFLLKSCLDRGVQLHHPARAISVGKDMRDELSSIRILNTDTQAVTDIP CTKILISAGAWSPQVFSALFPDSRTGLPISSLAGHSIVVRSQKWSKEHEEQGCHAVFT TDSSGYSPEIFSRMGEEIYIAGLNSSVIPLPKLATESELNQRSIDTLKKTAERLLGAD GFEVVREGLCFRPVTNRGTPVLSRIEDAKLGNISTRGNEGGGVWLAAGHGPWGITNSL GTGKVMSEMIMRKKTSVDISGLGL LY89DRAFT_628140 MSETKSIILTGASRGIGLAIARYLLTQKHNVFLVARTKAPMEEL KKEFPGQVDFLAADLADFEVGPKVISQAIKSFSRIDGLIINHGTLSPVKRVADTTAAE WQSAYNINLFSAIAFIQPAMPYLRQSHGRIILTSSGAALNSYSTWGAYGSSKAAFNHL AATLKNEEPDITTIAIRPGTVDTEMQAEIRKNKDVMDAKDAEKFGSLHTEGKLLKPEQ PGNVMARLALNADKELSGKFVNWNEKELEAYQD LY89DRAFT_740905 MKERIKIPDANNSIEVAGDSEGLEWRRPSNPSQPTSKPKFLPYL TKKPATAPKAYSRPLETTKSVPKFAQVASSSKEAILPPPRTATCDMAPILHLPLDIWH LITCQLHPSTISALTCTHPLLHNFLTPILYHSVDLSLSAPSTEFWPKTNISIMDHTWS RRSHIAKSQYLFIKQILAHPDLALHVRTLNWTIGLERMGLLPEEIQGEKPVWKDEEMY AVFEMLRDVVRLDVKTGTRSHGHLPLDMVGRGLFPNARYVKLSGIMRREFVDAVLSAD MQTQSSDDARGKGKEKEMVGLENLSLDKVPLKTLNLDDLQEEGTFQNQATPYAFRRMS AKKRLLGTEVPQEVPRLGPCQPMQHILTPALYDRCRTLQRLSIRVYGMSERGSSDDEL WMDWAVFIEEVKPQEVVFDCDRLPRSHGRGGGSALRFARLREARGRAAVANDRRFGET LLPVLRGGWEGLKLVEIRGVGESVVKELRVLEEKGVKVVFDVDNEESYKWLRTNEGN LY89DRAFT_278291 MSARTSQRRDYHIVVLGAGGVGKSCLTAQFVQNVWIESYDPTIE DSYRKQIEVDGRQCMLEILDTAGTEQFTAMRELYMKTGQGFLLVFSITSQSSLSELSE LREQIIRIKDDENVPIVIVGNKSDLEEHRVVTRSRAFAVSQSWGNAPYYETSARRRAN VDEVFIDLCRQIIRKDNSSAPSYEEELVYGGRERKPHRHHRRRKKKKEGGGCVLL LY89DRAFT_278452 MALDGSTTAKLDLVRDQCGATGLTSRTKILIFGYLSGTKRHMSM YDKTLSGICNGLAVPLGSCQQFRLGKDNSWLFTKLSASDSLTNIHHQMLDAFQECIKD HYASEIKEGRVKWWPRIALKRHATETDLKAWLTTMRRTYPAGFELGNVTGFNLYHLIY TASGEKSRILVKYRFHGEDEIDEV LY89DRAFT_278438 MATSLAAEQPLLASQPDLASPDQNDPFVSSSTASSHHRFSPFDG QLFASGPTTSPEQAKRALEAHLAETERRIQDTSRLGTRLVQERQDLADRLKEVEKQQS DSELTPELRQKIIEIEKEYNELGRETARAFLPKSRVPSNELGGGGSPYTGGDTKRSAS PSKFESQGTSSPSKLGVPNRKQRNQPSNRVHDIEFVTEISTSLIAQLRHVQTQLAERD DELRSLTLEKSRLEIEAEGFNQRLRSLDESEHRYKDENWNLETQIHEFLAAAKEAADR EKKLTQNLNILQTEKSAAQKELDDIKLSHAKLSEDHAAVIKHHDAELGTVKRSMTMAE TERNTLQRKVEDLTNQNQELAKAVAHQRGRLEDRDQGRGFSDEDFETAPDNATPEHSP PPSPVKGTPRHSMLESETLKSSLHHAHRMIQSLKGNIHREKTEKLELKRMLQDARDEL DARRSDLGSGNGKRNRKVDSREFKKPLKPGQLGGLRNSRSEVSILDDPNWEEDDGQAS PSHTAVTAARIAAGAAAGGVAAKSSATSSDHFETAPENDTSDAFETANERATETEDFQ TGVEDISGSDELTETEGGPSVPRQRPTPLSLTKVGNRQSFRSTASTSGDEYAYDEVKT PTAQQPQRLRLKVSRGGNRRSRAVSEEPQFQSSPASFANSSNNGTPQVGQSLFAELGD MSDEDDSMQGTPSRFRSRSNTSSRPSTAKGMPAPYEFVPPVPKLPMIDSSMMTEPWEP TPATPHTAVPLADGANLGGVALAEAVHLMHDDSTKPGLLRQTSDMQTSTDPASEYSST DKHTSTDSEQAMERLMQDMHTSTDPEPKTQMSDAAIQWHDEQLNNLLKVDKEERSRPI SASTLSDAESQYDTVIMDEKLKKFPSPPSSRAHTPMASLAGLEAMSNPLTMSNIVSEH FEPSEPESPIIPQTLPEPTPKPTLAPASLVFSSIHSVDTEPISPRSPRRDAVIIPRDE SDSDDGVVVNPEQSEPTTPKATFLGSVFGWNKGKSPATPVIAEDETRQSPSDSPMVET PESQRPFKEVSSNPHERVAKKSRTETIDESSQTALTAEQIDEMMRSKRKDTIVAQDAQ RVASPPRGIMTNLKARQSQESIGSVGRARSKMAEPDYIHDSTTPLKRPGSAGSRNNSM SSTHPPLPTDHKQVIAAAAQRSGSSGGGPGSMGPPLMPASAYKSNPSFRPRTPSSQPP LSPGSIRGGTTPRPMHSSGTADIHSPTRTGRSRTSSVSSFVSEIDTRFNMRAGMPPGI DPSTDPRMINAITQTMIGEYLWKYTRKAGRGTMSENRHRRYFWVHPYTRTLYWSDRDP SSAGRAELKAKSVPIEAVRVVTDDNPMPPGLHRKSLVIMTPGRSVKFTATTGQRHETW FNALSYLLLRTGEDAVADTDQVANGALTSEDVAEFNPGYGGSGTARPGPPSLSSYNSR TTRNESPMRKSSRLSMQPQLASTSSRPSAGTFSRLSSYWKPGKEGSAGIGSFSSRRSR HSISGTGSIYEASEVHDSAEDLREIIEKQDRESGRLENVRACCDGKHDVGHLHHSSTK SRHQLPIGPASASKEPASTRNESRSSVLRRTD LY89DRAFT_788083 MESTEAPQPAAPVALFKKRTTKGKSNLRKRAATPPPALDGDSDY SSSEDESGRKIKRRKKNTGAVTASSANNPSAASELTSTKYTADRSATIKSSNDATKQS NWYDENATDALSSKNLLGSTRATATTSEAPDGTYKGLANATSFIQKNPNAPTRVVGPI KAPTNIRTITVTDFAPDVCKDYKQTGFCGFGDNCKYLHARESYKAGWQLDKEWENVTK GKKNIGGTTIASANRNAEEEGSDDDDAALEGIPFACIICKDRYKEPIVTKCGHYFCER CALQRYRKDPSCPACGVGTGGVFNVAKGLKKLLDMKRERAAKRRQKAIEAGEEVSEDE EEDVE LY89DRAFT_278469 MSLFQDSCTNRSSLLSTSILADYTKVSCPTITKATSRSDCPPTT PSSCPQPFCIVESRIPVPCGCPITLPTTTSYTACSTRCLEGCGTYFSALVAPCSSTVT LPTYTPPPPPPTTTLSTITAQPSFCSTITISEGPECWNAGCGTTYSRCETTKTVTLEC GCQSIPTVTSCKDKKKCDGGCITSWQTGYLPCPTTPWGPPPTSISYGV LY89DRAFT_628154 MSAEQSTGHIRPDGSKNTFPHPLDPLSVAESDAVREAILRKQGQ NVAIHFRSIFLEEPPKKDLTIFLDLESAGKITSRTPRPARVAKVLYDVIRSSRYHEYM ESWIDVKLGKEIRHRSIDKKHQAALTLNEFQQFSESCIESPMFKAAVAEFNLPGGFVV TIDPWPYGGPDFDEQAPRYTQGLCFAKDTRSGNEDSNHYGYPLPIIPIMDTYSREIVR IDRLATGGKEDGLAYGTHIKDIIAHCSPSEYVPELLGQPLRADMKPLNVIQPEGPSFQ IDGHLIQWQKWRFRLGFTPREGAVLHDVRYDGRSVLYRLSLSEMTVPYGDPRPPFQRK QAFDFGDAGAGRAANNLALGCDCLGVIKYFDAVMTEPDGKASVSKNVVCLHEQDNGIG WKHTNFRTDRAVVTRLRELVVQFIITLANYEYIFAFKLDQAGGITVETRATGIVSVVN IDPGKTSPWGNIVSAGALAQNHQHLFCIRIDPAVDGNKNTVVIEESLPMPMSKELNPY GSGYEVRQTPVKTSKFFDASPMTNLTVKITNPQKLNPVSGKPVAYKFIPTASQLLLAH PDSIMAKRAQFAQHHVWVTKYKDAEFWAGGEFTNQSQKEVDGVADAVARHENVENDDV VLWNTFGLTHNPRVEDWPVMPVEIHQIHIKPSDFFSANPAIDVPGSKNTASVLAPESQ SCCESGDVQKAAASHLQGSGPDLDPKSKL LY89DRAFT_628155 MDVASLRGRIVDTLSADTDARRRAELDLKTAEEHPGFTDALLDI LQAEQEASIRMSTVVYLKNRVTRGWSPNEENQQNKPIPEDEKQRFRERLLPVLAASQP QIRQQLVPVLQKILHFDFPEKWPAFITITVQLLNANDAPSVFAGLQCLLAICRVFRFK SGENRTDFDKIVELTFPRLLVIGQGLVNEMSQDAGEMLHIVLKAYKHATFFELATSLR EHQVVVGWCSLFLQTVAKEVPPTALPEDLTDREANHWWKAKKWAYFNLNRLFVRYGNP QSLQKGNGEDYTAFAKNFIANFAPEILKGYLSQIEKWVSKTTWLSRPCLSYTLVFLDE CVRPKEMWTHLKPHLDSLVTHFLFPVMCLSEDDVEKFETDPEEYLHHKLNFYEEVSAP DVAATNFLVTLTKVRRKHTFTILTFVNKIVSEYETTQDDKKNHIAKEGALRMIGTLSS VILGKKSPIAEQVEYFLVRFVFPDFRSPQGFLRARACDTVEKFEQLDFKDPNNLLIIY RNILECMADPDLPVRVEAALALQPLIRHDIIRTNMQQNIPQIMQQLLKLANEVDVDAL SNVMEDFVEVFATELTPFAVALSEQLRDTYLRIVRELLEKNEKRDDEDGGYGDYLDDK SITALGVLQTIGTLILTLESTPDVLLHMESILMPVIRVTLENKLYDLYNEVFEIIDSC TFAAKSISPTMWEAFELIHATFKAGAELYLEDMLPALDNFVQYGAHHLASTPQYLDAM FSMVSDMFADEKVGGVDRICACKLAEGIMLSLRGHADQYVVAFVEIAMRVLTNTEVKV KSYKIHLMEMVINAIYYNPVLTLQVLETKGWTNKFFSLWFSNIDSFTRVHDKKLSIAA IVALLTLNADQVPVSVQQGWPRLLQGIVRLFQTLPAATKNREEALKDDYPLDHSEYSE EDDDEWAGDDSAWNEEENEAEETSDVKDESTAYLEFLNEEAQKFQNLEDNDSDDELGE ESLLETPLDKIEPYQLFRNALAKLQHEQPQLYESLTTNLTAPEQVIVQGVIHQAEANA TQFAAQQAALAAGQVPGAAVNGSAP LY89DRAFT_690244 MTSNEVMKGTCNCGAVSIEFPKTSCPGSCVKCHCQGCRASSGGL FSVNLPIPRKDVKVNGTPKIYQDGETMSGKAVHRNFCGDCGCAVFSTAEVEDHKTAYV KGGLFTKNGIEIPPPGAEIFWHRREQWEEPTAGIKPQ LY89DRAFT_597916 MSPEAVSPYPERPIRPLPKRRLRERLSPDVAHSIKYPPAPKTTA PLFYPSYNIREETGASTFVESQHPSERERADEIERNYISRRNADELESDEDEAAYRSR FYSRHSADTTGRSYRYVQKPDAKHPNPQPPASTASSADGYDSFENTNNKKKRKIPTPG DSNLNGVHLSSEMAGMGISGSDDLAEDVGVGSYHHSSTISTQGISGPGRGRYGRIRNG RSPLRTLSDTSGNWVNGRTTKQRQPQWPTPTESPGIISRSIASANAEKTPITPSRGQE NVSLLQQAAKKSSPASTQFTFTCDSQVPGTIPWPGPSRDAAMHGSPKARTTTHATQTS PNMPSNLGMPNNVAQPKQGVAASQHPQNANKQPPQAAPPKKTRRRAGKEYLIAARQRR QQQEYLRAHHPPNPEDIWICEFCEYERIFGTPPEALIRQYEIKDRRVRKQEADRRRLL EKAKMKGRKGKKGNKAAPKNVPNAQDRQAQHQQAQPPASMNQSQSQSQGTQSEDFYED EYDDEYAQDDPPPPSPVVPPVSRHTDNATLRQGPLNNHGGLGSFTDKGVTA LY89DRAFT_597822 MDTARRSSRAARGSQSLQSNSYHSSASSNSSGRGDRATRSHNKA ESPRKSTPSASLSSEPPDESIAIAPDDTIQTRRKRGRAEEQPKSSKSQAAQVEMGNGA EEGGEEDEAVRCICGLDEYPGPPQLGEEDSKHGIKEGIEEPLITPADFTEDLAGFFLQ CDMCKVWQHGGCVGIMNEDTSPDEYFCEECRSDLHKIFTAPNGQRYSHYLPLYQSLSR TTSRAASFSKDGTRSPRGSKSGRPSSSLQSAKRRSTMNSRDAAYDEEEQLRRAIEASK GEKSGESTDGGGTKRGKRGRSESEEKQEGPKRQRTASASTSPPRELHRQESQADSDDG TNGRVSTAKKIRGAAARNYKEKEAREERERSRKEAAQKRMGRAERRRVDDPAEDAPLA SKIPIPKAIEIPAPPLEPPTSSQVATPDTPPPIVPAPSHKKGGRPPNNRKGKLGKNQY TKDRDQDHDDHSPHRSQSRDVARTEEGHASGNKSANHEGKPSKSKGSHSKITMADMKK RVAGILDFISRTQLELASESLSPAAGEATEKLIRGIADGLPMIKVNGENGNEPKSNEG GEAAPTKDFKDLSCVEMMDVLTRQLVKWQKEFA LY89DRAFT_690247 MSTARCFRSMVARPALRSYIKPQILPLSIQTRHASSKHPKGFES PSSSDLDELRERVQEFTRREITEEVASHTDKSNAFPNDMWQKLGDAGFLGITADEDVG GLAMGYQAHCVVMEEISRASGSIGLSYAAHSQLCVNQLQLNGSPEQKQKFLPGLIAGT SIGALAMSESGAGSDVVSMRTTAKAVDGGYVLNGTKMWITNGPDADVIVVYTKTEPDK ASKGITAFLVQKDFEGFSCARKLDKMGMRGSNTGELVFDGVFVPKENVLGEINRGVKV LMEGLDLERLVLSAGPLGIMQAAMDVTLPFTHQRKQFGIPIAQNQLVQGKLADMYTKL QAARSYTYDTARKVDEIGQIRTQDCAGAILYAAERATECTLDCIQLLGGMGYVEEMPA SRLLRDAKLYEIGAGTSEIRRMVIGRAFNKEYSSHAS LY89DRAFT_657400 MSALRTSKELLKLSRRAAKSHPQAPLPRAARRYIAAYTPPHQAK AISVLPTVVDPSSEEFKENARQMGEVMARLEELTKKIHEGGPPKAREKHIARKKMLPR DRITALIDPGTTFLELSALAGHELYPEAEVPAGGIITGVGVIEGVTCMIVANDSTVKG GTYYPITVKKHLRAQAIAQENNLPCVYLVDSGGANLPHQADVFPDREHFGRIFFNQAR MSSMGIPQIAVVMGPCTAGGAYVPAMSDESIIVDGQGHIFLAGPPLVKAATGEVVSAE DLGGGKMHSSVSGVTDYLAVNDAHAIVLARRSISNLNWPKKEFPPRTTYEEPLHDPEE LIGIASTNLRKPLPIHEIIARIVDGSVFSEFKRDYGSTLVTGFAHIYGHKVGIIANNG ILFSTSSLKGAHFIELCCQRKIPLIFLQNISGFMVGTDAEREGIAKNGAKLVTAVACA NVPKFTVVVGASNGAGNYGMCGRAYSPRFLWMWPNAKIGVMGSEQLTAVMETVGKAVD PELKARIEREIEAVFSSARLWDDGVIPPSHTRRVLGLGLMAALGGKNEPSDTKFGVFR M LY89DRAFT_724232 MVFFFLSLRESGSRIEPDILPTSTSTSASLLPKAPPRWQDSEFQ DYITNWDTSDKPALFRRVACMLALVLHLPINGISILSYGISFWLFMGIVISVLNLGGV GWALWKLDTMRGERVFYGKVFQRKHFDYVILGLVIVYGFFFGMFLFVRMRASDWAWFF RIGWPCTIAADIVCFISGWVATWRDVSLG LY89DRAFT_278679 MALILPKGIVVNSPHVQGDIERIDAEPLDEADIAKVWKVYTTTQ RRLLDPTAERLENLWWRIWGSPKKSLKGATVARLFAQISHGQTFVPLRGPPNRHEGSP PLTTNSRQGPAASSTSNIRYPAHSRPSTTSSSVASRAPGSMPHPILKKTRGPSSTGPR PTARFISPHESQDEADRASSVSPNTHVTVQPPSPSQESQEPKTDKKSAAVQTKKTFLA STKKKRPVIVRRQSSQTSQSSADIAAASAGRASESGQSSSAIQSSSERTPPTFTQQAR GQAQSKFQENFSSSLQRSGGSTQSTKKQTSRSTDIKRAPQRGKGTEVHTETDLAGDPG PSKQLQRIENLQEHVDELSAEELEELELQRMLLEQANARVRKQSQTTQATQTPSLSES AHEGLRIVHNSLRSRPSASHGTEGPSAMGLLQHDAKGATSAAPTLTDASGHVNLGSVG HQQGRSLDSNVSRTAGKGKGRDPDEVQRNSMFAKRPVQPVQLPSSPDTSGPLSRSKSQ LTLLLEKDRERGGGKKPDKEQSQGKKK LY89DRAFT_676003 MPRELSAAGYILYNHHSSSTTIPERHTTAENVVQTAKEEVSSQI REVSFRLGRHSDVITQIVQYSAVTLLLLPDPTLEPGTDANLLQILLMLMLMLGKTVAG ALSSWRAGGFLSSPLAQEPDVPAELDELARGSIQYVRFSVTCLSLGSSRIVFPSAAYY YPYIARRSNPHSDSDSDHEATRAREEERRRDETRRDEKRAAAQATRIISLPTRRYRRR YTVVDSSPIPFSVQAGEHKPEQKTLDAASSSQTARRDFTIACSSIPGGNIFFSGGGVE RK LY89DRAFT_597445 MFRTQLLRQAIRAGTASSRPIASHAAPVIASARSIHSTTALRRD EEKQEGHEGSFARTDESIQVEYPEEGDLPSSAPVQGRGGMHFRRTLASFSLEGRVGVV TGGARGLGLVMSQAMVISGADVAIVDLNKEEAEKQAEELMKAFVKENPGSDKIPKVTA HYADVSSQESVEAAIAEVIEQHGKIDNLVTSAGFTENFDAINYPIDRVRKLWGVNVDG TYLFAIAVAKHLMERKAPGAMVFIGSMSGAIVNVPQPQAPYNAAKAAVRHLASSLAVE WAHAGIRVNCISPGYMLTALTKKILDDNPDLKKQWTSLIPQGKMGDPEDLMGAVTFLS SDASSYVTGADLRVDGGYTLT LY89DRAFT_740920 MRFTQAGAVAALFGLSQSFLIPPEITSSDADIIKTLPFEDAVII DTRVMEINCPGCPAIPELQGKMHMKQPPSMLKLNFSLSHEDHDQLLLNGLPLYPIDPR SGVFMEPLTAPLMALTREKTWEQTSTPKLGYALSVHHPVAYSTQDQLDLVSIHLEIVE VGDKFVSGLPGVEIKLLETPSGKLMIGDAQMTQPKSEVSKPTDNGQECTTILCKWRAI IADRLSKLKGCGSKARPDGPHAFAGHTGHKKKPHHGHHGRPGPNRPFRHHHRHGSFAR FLKGIVLHVFIPVLIGIMVGITASLVGMVAGHIVVFVWRTMFRRGQRQQYIEVKQQEP SEDEEVKSFLAAQGPPPEYQEAVVEQKTEE LY89DRAFT_788095 MAATNRTSTYTQVSTSSDHDRPKSADAKKDMWSSMLDSVATGKH LPEKNILVLGGTPESQREFLEALSTDDSRRAGDRQNSKQPPIANSFALGYTYHDVLDA DHEDILARLSIYLLSDPSPSFTPLLQPLLTPESIPNTLIVVLLDWSQPWFWLRQLRDW IRLLRTLLVSLDGDCKEKMEEVMISWRDRGRGGNSLDGAGISSTADSDVSLPLGPGEW EEALGLPLCVVCQNSDRIDMLERESSWKEEEFDVVLQFLRTVLLKHGSSLIYTIPSVT SPLQSLIHSSLGIHSLLKRQPLKHNVIDRDKVLVPPNWDSWGKIRVLRDGFDVEAING GWSLDIEESNDFAPDSHTNGTESQTPTNEHAPQVSGGAVEAFEETIRDPSLDALQATS AESNGYKLEVDSLDTQNFLATQIEVLDKIRTGADSNGMDSSRVVRGRQLSQSRVDDGD RSPTGEGRVSEHIGPVQFNMGGIQVDADDMLQRLRDRQSFQTPEPETPMGSSADGKNQ NEALASFFAGLMKRGGGSATSSPRPGAS LY89DRAFT_278917 MNNIRQIQELNKKELENGVTPEASWHADYRDTAYVYIGGLPFEL SEGDIVTIFSQYGEPTYINLVRDKETGKSRGFAFLKYEDQRSTDLAVDNLGGTVVMGR TLRVDHTRYKKKDDEAETGIDLTRDLEEIEETGEGRRKRRRTNESESEEERPMIQEEH ELTKLIQEHEDEDPMKAYLIQEKKEEVALALAKVKGKKSEKAHKHRHRHNRSTREEGG REDEDARRSSGREDRHRRRSGSREHPSVKYLETRPRYRRRSESGGRHGDEHRSRRRES PRRTEREHDRTRDRRR LY89DRAFT_690253 MNTRPAIESSSNPVSLAASFNSDASCFAVGLDTGFCIFNSDPCQ LRVSRDFNAGIGAAQMLGKANFIALIGGGKLPKFPQNKVIIWDDAKQKVAIQLPVLTA VRGVRLSRSHIVVALQNSVRVYKFQSPPDLWAAFETADNPLGLCCLTSKTLAFPGRTP GQVQLVELSSGNVSIIPAHGSSLRAIDISQDGEVLATASETGTLIRVFATSNCARIAE LRRGVDHATIFALAISPSGQLLAVTSDKSTLHIFDVPHPSKPVRNETTNANRRLTTMG NGGGSPVLSDSDTSQKWGILGRIPLLPRVFSDVYSFASAHFEIGDELLYGSTTPLNSE AAFRPPKGIIGWTSDHSIVVVGAGRDGRWEKFVIAEGEDGKRYCVRDGWKRYLGAN LY89DRAFT_278833 MSSPLSAIKVRRKKNVKKGIQFSLMVCGASGTGRTTFVNTLCGK KVLQGKDADDAQNAHLEEGVRIKPITVELELDEEGTRISLTIVDTPGFGDQIDNEASF GEIVGYLERQYDDILAEESRIKRNPRFRDNRVHALLYFITPTGHGLRELDIELMRRLS PRVNVIPVIGKADSLTPVELAESKKLVMEDIEHYRIPVYNFPYDIEEDDEDTVEENAE LRGLMPFAIVGSEDVVEIGGRKVRARQYPWGVVEVDNPRHSDFLAIRSALLHSHLADL KEITHDFLYENYRTEKLSKSVEGGAGADSSMNPEDLASQSVRLKEEQLRREEEKLREI EVKVQREINEKRQELLARESQLKEIEARMHREQSAHLEATNGAAAESEA LY89DRAFT_676010 MAGNTKYSAAPQRDSFDDPAHYSQAPPSYAEPSASRDDAALLGG PRSSEDNIPDDFKFGGSVSEATIDIRMAFVRKVYAILSVQLIATAILSCISFWNPSYK VWIQTNQWMMWASLFGAIGFMLLTFWKRKSYPMNLLFLTGFTALEAYSISVITSFYDS KIVLQAVILTAGIFVGLTVFACQTKYDFTSWMPYLFGGLWTLVIFGFVAAFFPYNSTA ELIYGLVGALIFSGYILVDTQLIMRHYHVEEEIAAAISLYLDIINLFLAILRILNSQQ NN LY89DRAFT_711104 MTDPSTNVPIEKLDIETQIASEEKIQNGQIVNITEEKQKPAGAP SARYPMRTIPVKRKAKKKDEGPLEIVCGWIVEHQIGLSVNLLMLLSLTHLCFPRARRH TRKFFELSYYNPESGQYCAGWNDAWMVFYWIVIFTGLRAAVMDYLLTPMAKRGGIQTV KNQTRFAEQAWLLIYVSVFWTLGAYILANSDYWYNFKNLWTNWPNREIPGLRKWYILV QYAFWLQQIFVIHIEERRKDYWQMFTHHIVTTMLIFTSYGYHQTKVANLILCIMDVVD LLLPLAKCLKYLGYGTICDVLFGLFLVTWFAARHVIYCMICWSVYADIPATIDYGCYR GKNGAIEGPFPPPDRFGHLFQPFRDPEGIVCWNNSIKWGFLSALLFLQGITLMWFSMI VGVAVKVLRGGNADDVRSDDEGDDEEDATNDKMDYEQFDEAELPPYEEEVGVEAINLK GRTSNASKTRYKKSASSATGVSLPGHSDRKELLGRIGCDKGV LY89DRAFT_740927 MSMDNILGDPFALATVSIAMLAWFIAFVGSIIATAQHTDFPNYS WFAIAYMMCCIIGVFVVIASDTTQTYHVAIVGYLACGLVLTSSSVNSLIYSSNGAKEA TAAGHILLSMVNIVWIFYFGSTPSAVPRAYLDSFALHKDHRTSQGGRGMTNSYTNGRP DTSISSNVPPQMYTSAQLGGFETSSPVAGFPGGASTERNSSQPRFTNGAAAAPTSNLA TSGPMSSEPTAGEVVAPTEYPYRAKAIYSYEANPDDANEISFSKHEILEVSDVSGRWW QAKKENGDTGIAPSNYLILL LY89DRAFT_628198 MDGTFDKTAMDTIDLLEARLRRIEFAVCGKAGEDSAADGKPPIA QRLASLERALHQLASKSRVIQDLLRIHSRYPDLFQSLNADNLPTILDTESLRAIIMAS ASSYPATASRLTSIVDVPIPSTELSTQLIELQPRIAKAENVQAAQSSDIAELRERSAA LIQRWYTMDVLRAGDSWADLEGRVGQVEQQLRRVALAKRVDDAM LY89DRAFT_657434 MASYSAIAAPSGYTSDASRGRRRRSSRAMKDQNFGGQASWLSSN INLVNTIIGAGTLAMPLAMSHMGIVLGVVVILWSGLTAAFGLYLQTRCARYLERGTSS FFALSQITYPNAAVIFDAAIAIKCFGVGVSYLIIIGDLMPGVVRGFNESADSIPFLVD RHFWVTVFMLVVIPLSFLRRLDSLKYTSVVALISIGYLVILVVYHFAKGDTKADRGII RVIRWGGLVQVLQSFPVIVFAYTCHQNMFSILNEIKDNSPRRTTSVIAASIGSAASIY ILVAITGYLSFGNSVAGNIVGMYVPSIASTIGKAAIVILVMFSYPLQVHPCRASVDAV LKWRPYGLKSSRNSPNSSPARTVPLLTGASAQPIARNDTIGELRFAIITTVIIILSYT AAMTVSSLDKVLAYVGSTGSTSISFILPGLFYYKISSPDSIHHQRLSKDEDEADSGEE DEEGLLGQGNSRTMPWRKTILRKLSLALAIYGLFVMVLCLGTNMFFSGGGGH LY89DRAFT_628203 MVVKIRLARFGRRKAPFYNIVVAQARTARNSKPIEVLGTYDPIP KAPTDGEGKPFKDVKLDSARAKYWLGVGAQPSDPAWRLLSMAGLLEPKYRPTTSK LY89DRAFT_690260 MAIKTLSAKNAAALDKDLMSIGAFSIDQLMELAGLSVSQAVYRV HPPTRGRRVLVACGPGNNGGDGLVAARHLWHYGYKPTVYYPKQSKNDLYQRLSTQLKN LNVPFTEDFPAALKESDHVVDAIFGFSFSGKVREPFPAVIEALSSTSIPVTSVDAPSS WNIEDGPPSSGPGSTFNPAVLVSLTAPKPLVKYFKGRHFIGGRFVSPDIQKKYDLDLP DYEGIDQIVEVGANGQKL LY89DRAFT_279142 MYTLNRSRPFRRALSTAHRRHISSIPIKPITSVLIANRGEIALR VGRTASDLGATCTTIYTDPDAHSQHALSSPFAVNLGAANAYLDGERIISIAKSRGIVA LHPGYGFLSENSEFAKRCVEEGLVFIGPPWKAIEAMGNKSKSKDIMTQAGVPCIPGYH GTNQDPLYLLEEAKKIGFPVMVKAVKGGGGKGMRIALHEQEFLDKLESAKSEGRNSFG DDVMLVEKYIATPRHIEVQIFADKHGNAVALGERDCSLQRRHQKILEEAPAPNLAEDI RQDLWQMARAAALAVGYEGAGTVEFIFDNDTNEFFFMEMNTRLQVEHPVTEEITGEDL VSWQFKVAAGEPLPLDQDAIARRIAERGWAIEARIYAENPNQNFVPDSGKLIHLRTPK LSDSVRIDAGFIEGDTVSSAYDGMIAKLIVSGPTREVTIRKLHAALQDYEVVGLSTNI EFLKKICGSSAFIRGEVETGYIQKHSDELFAPEAFEPECFAQAALGLLSNELISHASK IDHGPHAETVGFGRSSSRQYTFLSDGASGPITVDLEQSSSNLFQVAVKGSGIDQLFPD VVCEPRSPTISTFFPQTRIESTLIINGDKLALFQRGRQFNLTLALPNWFEKALGLKDV TNSVLAPMPCKVLRNEVAEGDEVKKDQPLVVIESMKMETVIRSPQNGIVAKLVHKAGD ICKAGTVLVLFEEDGK LY89DRAFT_279192 MISVIVARRLISLQGEIVDAACVNTSADRQVTPSTSASGDSVLL VQRSFDASFRPDLVGKQACIGFNGTDFKAVDCAATGTQFVSLVGGALKSGTACQSGHD GAAQLTVDSTGTKCVGVTLTTVTPTAP LY89DRAFT_279228 MLLSRLALASVLAFANVASSQVIVTITNTVTVVTVTVTPKSTSI SYTYVTVTGSSPPLTTASTSTLTVVVTATGVATPTGSSCPIEEWGQCGGEGYGGSCGR CASNAVCKYKDIWYSYCSNLPEVVHAVSTIYMP LY89DRAFT_788107 MSKRVRSQSQSSSGKKMIRAAEMHKDTRSITSPAKKEPPLKWRA YSGINEDAGSTTPIVKGQEYPRHELLGSRVLDGSEADFCWKNVIDIGEIPWLAEHVLL DQIVFPAAAYIAVAGESVRQLSKGNLNSYTVTDFSITSALILKRDQKSKLRTTLRPMK VTSETGQWYAIQITSFAGSHWTEHCVGKISLRDASSSDDLDVPLPRLSLPRHVPQAYW YDVLENVGLKYGPAFQGLNEISTALKDHDAVATVSPFGDMKKYILHPVTIEQCLQILQ VAAYKGQGKSVTGLPVVTAIEHLVVSSRVQAKSNIRGTAVESSSGYVEGNASVISENG RTILSIKGCKISPVPSHRPGQDDKLLSFVKWDADATFSDLNQAFAVAHSKPDPLKISD VLKLLAHKNPNLKILELGNGADEMTRLVLDILKSSFGERLYLNYTYAAASLDAAFRLR AAFRGVSGVNVEFYDIQDLQSSNLQTGAYDLIITTDSISVARQISKAYTDSLKQLIHP LGYLFLLDALPGSNASKDKTILSQMHNNLLESGFVFNPRNEHSSDGPKVVAQLKKSLQ HPKKVTLVVPEDHHALAEVVQSTLQDSGISCDRCTFEGNISQDQDMIVLVDFGEPYLY NITENKFRGFANRLSTFKRSIIWVTPNASLSCINPNPSMILGMARTLRAEMRKDITVV EIEDQAVTFPSSSRSLVNIYQNLGHRAKSGNVDPDYEFAIVDGDIKISRLHWTTIRQE LTECVSYVTREDYGSEVRQYPNGGLPKLIQFRSDACYVLVGGLGGLGRVIATWMVENG ARSIMFLSRSAKEGPETTPFFDELRALGCEVLPFAGDVTRLMDVEAAIKQTTRPVKGI MQMSAVMRDKPLSKMTFSDWEQCVRPKVQGTWNLHQVTSSAGLDFFLLLSSICGITGQ PAQSNYNSANAFLDAFVNYRHGQNLPASVVDIGLMGCTGIMVRENRELNQKLMAGGYC FLNEQDLLDALAIAIAYSRPAKDVLINKSQLGLGLRSTKPMTDPSTRVAWKKDARMAV SHQFESLGIKTDDEARQCLRSAFQGNLCQRHRAEVSKNHVNR LY89DRAFT_628214 MAEEFQLLLFGDETGNFRGPLQELCESQHGVLFSRFIDDLNVLL HDEVCRQRRHVKEQIPPFRDVLDLVRKYQDSDCQSQVLKTPLLCIFQLGSVISFFDNH PSQPFAPANTILVGLCTGLLAAAAMSASQSALNLIENALSAVRVAFRIGIMVNNAAQR LSTGFNQSWSRLVVGVQSEACNAEIMQFNERKAFPRASHAYISSSSADTVTVSGPPAT IKALFSESDYLRQRKTVALDIFGPFHAPHLYSDVDIEEILQPIAETKVDRPSQSFSVL GCSAESSPPDVGLGQLLRQFVDDILIRPLSFDNVIATVVSKARDSGREKCNISSIGPS SALSSFVSSLRAETELEVSVGEQFRSTKSTYDRRSKSQKIAVVGMSGRFPNADNIDSL WSLLEQGLDVHRRVPRDRFDIDAHYDPTGKKKNTSHTPYGCFIDEPGLFDARFFNMSP REAYQTDPMGRLALVTAYEALEMSGFILNRTPSSMSDRVGTFYGQSSDDWRQVNAAEN VDTYYIPGNIRAFGPGRINYHFKFKGPSYNVDTACSSSFSAIQLACTSLQAKECDTAI AGGLNIMSAPDLFCGLSRAQFLSKTGSCKTFDDGADGFCRGDGVATVVLKRLEDAEAD DDLILGVILGISTNHSSEAVSITQPHAPTQESLYRKILRDSRVDARDISYVEMHGTGT QAGDGAEMRSISNVFAPRNEGPRSQIRSSEQTVHVGALKANIGHGEASAGASSLVKVL LMLERNVIPPHVGIKGVMNKGFPTDLEERGVRIALKGAPWIAPNGGKRRAYLNNFSAA GGNTGLLLEDAPKSARMSDNDPRTTFVVSITAKSAWSLKMNIQKLISYLEMKPDTSLP KLSYTTTARRAQHPYRVSFAVTNIPEATEALRSAQAEVLKLAPIKSPPKVVFVFTGQG AHYPSLGKQLFENSRQFRSDILDFDRIGRSQGFPSFLPLVDGTIVDATQFAPIVLQLG QSCIQIALARLWISWGISPSAVMGHSLGEYAALFVAGVLSVSDTIYLVGRRAQLLQEH CTAGTHSLLAAAASIAATCEALGGDRPDIACINGPRETVISGPTEHLVASSKTLKAAG IKSVLLPCAYAFHSAQVAPILEPLIKSASSVSFAKPTVPVISPLLQEVVPDGNIIGPE YLARHSRETVNFLGGITAGKRKGLIDETTIWVEVGPTPICSAFIKSSLGSEIVTIPSL HKKEDPWRTLSNGLSLLHRKGLQIDWDEVHSEYESSHMVLGLPSYTFENKNYWLDYHN NWCLTKGEAMEAPTEKRKSGRLSTSSVHKVTKQDYGERITVVAESDLSEPDLNDAIFG HVVNGAALCPAGVYADMAMTLAGHLHRKVNKSEDFIPMDVRHLEITKPAIAKGRDAKG EQILRITATAERPLSLVKFTYHSISEDGSDGEFHASCEVQYGDAKSLLADWSRFDYLF HSRIDHLAQGANAGKYQKISREQAYKSFSSFVQYGRKYQGMKEVILDSKNFEATSLIE FQANDNDGDFDVNPYWIDNIAHLSGFVLNGSDAVDSQKQVYISHGWESLQMVRPLAGR RSYRNHVRMHPGPGKTMVGDVHVFDGDDMVALVAGVKFQAIPRSLLNKLLPPLNGAAH HSELKATASMKGMADHPDVKNSKPEKQLAPTSKKTTHKASTSISPPQNGNKIITGFMS IISEELGLEPSELHDGVAFADIGLDSLMSLAVSGRLREECEIDVPTSFFADKPTIGEA KFAILAHDGGNPEANALTESASIECASTGSAATTDSMSGAQMTRNTSIGTDLCNHPAE DITKKLLSTVSEELGIEQTHLLEMSDFADMGVDSLMSLSIIGRIREELNLDLPSTFFV DYPSVDKARIAISALTGTTSSEGATPSKDSIDSSPDDMDTLPTIHGTNDQELADWVLE DQGQDSTLRRATSILLSGSPKTSSKSLFLLPDGSGSATSFSLLPNISPDVCVYALNCP FMKTPADFTNGIDSVSEQYLAEIKRRQPQGPYYLGGWSAGGVLAYQVAYKLLELGERT ERLFLIDSPCPMDLEPLPSSLLHFIDSLGLLGTRGTSPDWLIPHFQATIKNLENFTPR SMTSVDAPKTLLILARDGLLKDARDQTFPRSRGEAKSVKWLLDSRGGIGTYGWEKLIG DENIIVMNVPGNHFSVIREPDVEHVASLLRRGLSV LY89DRAFT_279311 MDLANEPCHDGFASWYRNNEALMGSCKAACFQRPVGIPNFLTMP YLDSIVRENVGRTQEGSISISLIDSVMAFGYQAYLTFSQRPVNSEERNSALQYAKIPL RSRASILRSPNTLLKFQTILAMTTISEQIDEIIHCELIAGAVSCARALKLDYCNSMYG PNMSNKDRDLARRSLWYLYSIEAPQCLRHGVSPVLSHNWIDHAPPKSGTEIDWFSVQC LYAIVISSAAEMLYTQRALRQSLTEREQKLKTAFEILEGWRKHLPTPLQEIHKQNTHL TLDDLYVRDVTLSIFRQYHEAVYMICFPWVGNRSDGRVSEDCRRKCGELCVNSAQVVL AIANQILNMEIIDSKFLDLIASSICIIFLDVATWSTAEKSFSYLSMGCGVFGRLTVLD KEVPFADVLELARTAQQIKGK LY89DRAFT_279324 MFELQECHYQQPRKRQPAKKSMGSASSKKFPESQSQPDDTRHST ADVLAEPPNDTHCWPVSASSSTGTFSSPLENRSGNNLQGLDSLSETQNFIRTWSENSP WGLPREVGNATSLTSAPPPTEMSSVPDSNVSLWPLFTTDAEWQLDFDDQLTTLPTSAV KGATPIAVNDLDLSQSYNQPIQDSESSGLSRGLIEYLNLIEKVSANATILVKRIII LY89DRAFT_740937 MARNCLEGPSHRRPPRSYAPQVLHDDDPVKDVLERVNQRLTNIE TIIITTPQGDQQSGAGHGSEATWSGISAVPGSASQKCPGPVFNIALTRKQRKQASAPG FREIKIRVNDCELSESYRRKPKPSEFIVNMCLGTRLWGQGKVKIPVHGVVVSDIPIHR MNTKNQLGAIEAIKQSNEKLLGNADIHQVHWLGKAQPGKSWNALVIEFHSIYVADTVV KAQNIAFEGAPKRSKGSTKTASHSKEHTNKQCPDIDNRAKWQCGACKRHRHRAWDPEC ERKKTEIARIMAAKKALKDDPYFLPDVTITPGISATTTRAPSTVGSDHQGGAELTPPS VNSSPPTLPLPS LY89DRAFT_701315 MDSSHTLLTEPARLEQRQTAFDNGDQMTSSSAKQSTHIDDKESD MEKGIFNGEDLDISAAAESIESKKEEAQNTAVMPNEKEKDPNLVGWEGELYGRRTPLF IGYFIFAIFQIPVAVARNVETIMLFRFLQGVFGASTMAIIGGALADFWGPVERGLALG LFTGAVFIGPVAGPIAGGFIVMNNNLGGWISEISPSGTFSALLRSYPIAFQEQRHWNL GVGALPFLGITVGVLSGVAIVVYASQTRFKRKMIANGGKPIPEERLLPMILGSILLPI GLFWFAWTSSPSVHWAAQVFAGIPIGLAVELVLLQGMSYLIDVYLMYANSALAGNTFV RSLFGAGFPMFAAGMYHNLGVNWATKNQGLE LY89DRAFT_690265 MKYTLATLALGGLASAAGIPRDTCSCKFTITASGGQSGVVGQLS DGQNRIGGGNPTGEYCINNGQITDSQGRGCILTPPTTQFQCDTGATPTSGFSLGSNGQ VEYNGSQTFYACPASDTMYNIYTTPVSGQTKCVEVQLTASGCYAQSSSAQSMPATTMK TSMQMTQPHTTTVVKTVQSSCPAPQTATVTQVKTAEASCPAPQTSTVTQTVVKTAQAT CPAPSTVTVTQPAATQPASSKPASSKPASSQPASQPASSMASSTAPATTPSSTGKTCP TNLSGAYQYPHLIIPVSKSQPNKACGTSYDGTITSDISSIFNFDIPASYTGTCSLVFL FPTQAELQTSSYTFSGNGEFDFAQLNTVATQSTDYANMGSVKTDFGTKTATPGSSVVV STFACPAGQAVSYEISSVSGSSLTYFQDYNPSPIGLYITSC LY89DRAFT_657455 MKLPSFLVGTGLFCIAKSAVTPHAIHERRDTSSSEWLKIDDKAD GNAPFRLSIALAQRNLESASEYLMSISDPKSADYGKHWTAQQVADTFAPSDVDVNAVK SWLTANGIGSEKINVSKARNWMRLNVTVSEAAALLKTDYAVFEHQNTKKRALACDQYS LPREIKSLVDFITPTVHFPEVGSLYERDLVDGNLISSMAKFDGPVNMTTSPAFSHWDT SYCSNYSTPACIQALYNMPNGTKKAYVHFPCSYLANDLAQYFQRLGPQIPPATTPSVQ LINEDSYLNSSDYLVVPEALMDLSLSMSLVYPQQVTMINSAASEYQYGTVKDEYDYVL EAYDASYCDIMGDKYQELTRTSTIDSMGACGVSKAPSVISVSYNGNEKPYQQVQQSRQ CTESLKLGLQGVTVLYAAGDYGVAGTRGNCCVTAGCADKNSSKTAPSFQPSYPASCPW ITSVGATQIQSSSSSSSKIGEVVAYEPKSATVEEWSSGGGFSNMFARPDYQKTALGYY FDHFSPNYTAVQYNNTKSTRGYPDISANGVNTLIVLKNKYYRTGGTSAATPIVGSIIS LINEERLKVNKTVVGFINPVIYANPGAFNDIVQGNNPGCGTKGFQAVPGWDPVTGLGT PDYQKLLKVFMALP LY89DRAFT_690266 MTIKPPFGHPIRNTHFSFSPTYVPLNHGSFGTFPLSVTQHQNQL QTQALERPDTFIVFDLPVLIDESRAAIAPLLGVDVDEVVFVPNATTGVNVVLRNLRWE EGDVVVCFSTIYGACEKSLVSVGEVLPVQMEVVELQYPVEDEEILGRLEERVGKVRQE GKRIRLAMFDTVLTFPGARMPWERLVAKCKELEVLSLIDGAHGIGHIDLRELGKVAPD FFVSNCHKWLYTPRGCAVFHVPFKNQHLIRTSLPTSHGYQHPNKPPEKIDGKTPFVHL FEFVATIDYSPYACVPAALSFRQKICGGEEEIRKYCFNLARTGGAAVAKILGTHVMDT KSGTMSQCCFANVALPLAFGEGKKFGTDEAPRIQKWLNGTAVREFDTYLQIALHGGIM WVRLSAQIYLEGKDFEWVGYRLKELCVRIEGGEVDR LY89DRAFT_740943 MAGPSSSKFTRLANAFRLLSLRSDIDDLILELLGQFSLEKIYAD GDKETYQSLVINLLAQLNAIFNIQRLTLPADSLQFGWYLGFLASWEVTLRTIEFVLQA VVDGREQLWESRLLREKYLAELLVNALRFLILHPKIPSSQRAKDRRDRFARLHRSLER IFDSYPGPESFLLLVCKEITDSLRSEPNGLGLPQKLKTELPNLATELYPIRDCLSSEY VTSIAPADGFPGDWLSQFLALRDVSQFVVGASLQYSVNQETRDVRLQSCSARTRNAVL RTLENIRLPHHLSQLDMIATFSETFRVVLPETLNLRRNSLDGQEDESDIDAIDALCAR LNDRQVLHRVSDRELMHNVSQITRNIELLDDPSGHFKGPRPRLFALNCGSCHIVGETQ LRNAEDCGFPLDFRESAEITLPSKAKCIMCREAITMMREVAVAKNVWELLKPLEPNVD TINVERHLSAQFQLGPPKVETGMPFHAGYGNILSTGRHRSQDTDISPSRVMFQGSISP DRSRTIPQALLMSPRSPGFSHMDTPRSDVPPSEEMTSSDKGYFDNTGTFGSTGTSDSL VKPTTSSGETSLPSGDSAFVRGSGQSRLQSMSTVSFVPEPLSRSQTAPTVAAPEKGKS RWRSKLTGSRRETPSRADSSSVSSGTLESPKTEEIQLKSLMSSAKSSSKGKGSKNVNA GLSQNSTYALFWTQTCINVWDISTSSPILGRAVMTESNCVLAAVTKMHLAYIIGTRDQ KLTLRIVNLISASATPIEYRMPSSPWCHSLAICPRENYVVVGFDNAIVRFYNTSRSEE PREDRLHIRSSHRDCRACPPVNTLSFSNDGLNLLASTRSAKNGIIQVYSWRFPFEEFG ELANCRYHVPLHESEDGGVSTVTFRSGNGGEENLMCVTTWTQSGIPVLFQPSDGHRSD IRTDSTTHQGKLGSRIQCAAFSPTGRELAMVNDKGHLYHITNLNSSPIEVKRIAISKE LTTKSDWYAMTYMSLPDEEAIVLVWADASKAVAYVRKVPVKYNTLDTPVPMTPLTGGF PGPRYELPSEGREIPKPPVELSVDEILPLKISKEKEKA LY89DRAFT_690268 MSPTSSTLDHEKPEAVFPQVFEYVEPTRSPLTPDVEYAPSIDEP ANVEERRERRGESVWRVLNERQINMIAFSGTIGNGLFLGCGHSLAVAGPGGAVLSYVL MGTVISAVISCLGEMTALMPVNAPVMEFPRRFLDRGVGFAVGWVYWFAYAILAADELV AVSNTVKFRYDDRNIPGIPNGKTYLNWEVGNNVDNAVWISLFLVIVVTINMLPVKVFG QLEYIFGTIKLTFISMLIVMMLILDAMKPRADAYYTETLGTKYWNQPYQFFNNDYVVS DEDGNFQRNIMGTLGTFFGMWTTIIHVIFSYVGMDIIAATAAESKALGDAESMKMGAR KINLRIVTLYTLAMLTASFVVPRDHPFINGQGQSVGSSSVFIIAVVEAGIPQAAHFFN AIFVFSSFTCAINSMYVASRVLYTLALQDQTGPEFITKRLRACRSGVPIRAVLATGAI MLIAYMGRTGSPGERLSELASNCTVSCLIVYVAICATYLSFFQTLEEVKLYGNASEAQ AAMYDRNHPRYPYKSHGQWLKACYGMVSCMILLIFNGVGPFLESPFDTRRFIASYIGI PVFILLVLGYKIRKHGFKINQWGPERSNDLRNTIQASSEKRKGRLEFLDNGFTRENIR TFGDWIWVWMK LY89DRAFT_690269 MQTFLFLSTHFIPLSTRGLKITGYSLQQRLRKAPDNRNSESQTL TPQHTSASSLTESPNPPEHSHDSHPPRTENTHLPTNELLPPIGHHIVHRKSKPSVTHS TVQTPSSSLPYRRCYSILVCFRKQVQTRHHRYLASTNLVPHQRSVTQHNLLHEIHINL SKTDAPHGSKIQFRGHR LY89DRAFT_628233 MTPASPLIASPSALSKAYDFIIIGGGTAGLTVASRLSEVADFNV LVLEAGADHSSDPKILTPGLAGTLYDDPKYDWEFKTVPQKELRGRRVFHPRGKVLGGT SALFQMKVVYNSPKAWDTWAVLGNPSWNSRAMEPYQRKFHTSHAPSPNVEKLHQQYSH LNEVIEGDDGPIQVSFGGEGSYNDVDVDFYKTMQTITKEMGWNEKTMGGSASPNSIDP ATKTRSWAVSAYLGPEVQKRKNIHIATEALVEKILLEKSDTGVSVTGVRFASAGQTFE VKAKKEVILCAGAFHSPSILELSGIGDSALLKKHGINVFVDNPNVGENLQDHAMTGVS FEAADGVQTADALVRDPSIMLGLIKMYEESKSGPLSGFFRTCAYAPSSLFDPASAEEL QAILKKASSDAKNKEFEDALIDLFKSEDGVTCQYMLVKIQCRVVGYDSISAIMGRDKG PPREGNYITFMSSQNHPFSKGNIHIASSSPYDKPLVDPKYLSHPLDMEIAARHVQFLQ KIISTPPLSQHFKPNGKRLPYGFADGKEPTLEEVKDIVRDSLITHLHPMGTCAMLPKE KGGVIDERLRVHGVKGLRVCDASIFPVAAKGNPITAVYAVAERGADLIKEDWSRD LY89DRAFT_788118 MQFSKLAAITSLLAGVIATPIAGEATKLAKRSEGVHLVNCGSEY SVVVYCPNDSDCNHSPPSGDGCFISGIDTWEGFEQSCTFSTGTTFTWNIEAYAQSEPD YTEVGTGTNTYHNFDIYKDDQHVMYYDGNGYACKSIYYCLDNQSTLGLSGLGSMYPQE LVRKCRETDHKLRPTIDEVIVSINEALATFNEGSFSTMEMESHIHDQYPGIELCPV LY89DRAFT_690272 MPGMIPAFYLALSAGNIAVLTFMYGKLGAPAAAVAVPAIAAQRR RVVSKLGMFREMTSVNGPFPRGGHAAGL LY89DRAFT_598339 AQDWNVTTSPKDSWANKERRRSTVWAQVDDVDVTANNPKRMSAS GAPRRGSILSVWSTGKDKHGRHTLVGDPDILKMLNRDKRGSNAGSDRRGSILSLWSQG KDENGRSVMLHDDEEWKV LY89DRAFT_280048 MATTTTTTTTTALPASSLGPITIAVGAVFLGINAFFIGLRCYVR ARISKTFNFNDVFMIVATFIYAGLFALLIFAVRNGVGGHTSSATIVGIGNALKFIFFL EIIYVVLTSIMKASLAITLLQWAKVKTHIYLLRAAILIDALICIIVVEYFLVQCAPIS YTWRLVDPTAKGVCLPAAQQIAVGFALSGTTVSIDMLFLIAPWIMLKGRGVNSRLKLY IYGLFGLGVAASIANFIRLATLAKLKASTDPLFDAAPVFLWSAVEVSIGIAVAGILEL RPLMRKYNVKGFEDSFDQIDEDRIPIRLQSMDKSNISFPTTREMGNQGRQF LY89DRAFT_788121 MLGNSWEFGVVTYAVWKVGGILVPLNPAFNSPQVIAALSHLEAA HLIIGTETNLPYKPPRENISLLKQIVPDLESGKVESISVPSLKNIILVDNSEGRIQTE RLKSTTPFGELINGFSSSRERAIVPDEPLHRDEIINIQFTSGTTSTPKAACLTHHSIL NNGYFIGLRMGLTPEDVICCPPPLFHCFGSILGYMATATHGSAILFPSESFNAEATLR SIQEEAATGLYGVATMFVAELELLARGEIEMKGFEHLRTGIAAGSSVPSHLMEKLHDK LNLTGLTICYGMTETSPVSCMTTPDDPIEKRVDSVGRLLPHVEAKIVSVHDRKEILPL GEKGELVVSGYNVMKHYYNDPLRTAEVREVEIHTNPHTRVTDRKVWMYTGDEATMDEQ GYVRITGRIKDLIIRGGENIHPLEVENCLFGMREVSEVSVVGVPDDRYGEVVAAFVVV HRGVRVGRDDAGRGEEGVDRLESDHEKGKGKGEVLTRDMVREWVRKELSNHLVPRYVF WIDEYPKTASGKIQKFKLRELGVRLLEEAGKGGNVVK LY89DRAFT_676037 MLTFRRALIVAACFICMLYLVTRSHTSLPTDRIPPQDSLHNQKN NNNVDAQTTRTGHVPTTSSYDNRLPLKKPNAGSQQLLQDMSKASLRDKLAYQFPYDVE KKFPAYIWQTWKYTPASGEFGENFRPAEASWSEKHPGFIHEVITDQVAVHLLRHLYAS VPEVLDAYQSLPLPVLKADFFRYLILLARGGIYSDIDTYALKSAAEWLPDNVPREAIG LVVGIEADPDREDWAMWYSRRIQFCQWTIQAKAGHPVLREIVANITEETLHKKKTGLL KNFKDNKVIEFTGPAVWTDTIFDFMNDERYFDMSTSKGNITWRDFTGMTAAKKVGDLV VLPITSFSPGVQQMGAEDYDHPMAFVKHEFEGTWKPENLRHIGATDEGKR LY89DRAFT_280362 MSRRDTDRDRRAAASARTNEYFVPKDGIDREVITADICRYLGND ALVRPGNYENPQTRQVQAGYFINAYRNLTTAMIADLKADSARWEAERRQTASRGQPAN GISLRDSNGIVRKSNTPTVGYRDSATHQTRQYYGPSEAQPTTGYPSSGGSSTPLGDAY DNGSQFQQQNYAQPASGYTQPTGYAVQDTYYAGADYVADQPRSSRVPVTSSITVPRSN AYAPAPTYQQPDSRAAYYSGPPPVNPTQQVYATPQDPYYGRGASSYDTQDAYDSRSYQ DTGYSQAPLASSSNIPATSNTSSRREREREPDRDSRSHHGRRR LY89DRAFT_281011 MVRLGVAEDQPLAVQQWQGLIAINYPARKFGLNRHVNISEAKKL CPTLIMQHVATWKFGEEKWGYHDDAFAHIATHKVSLDPYRLESRRILACIKEALPANL QKVEKASIDEIFADLSAQVHSIMLERYPELKGPAPYDDPSEKLPLPPTTALDWQADAL VDLDVDETEDDDPDWDDVAILISSEIVRDVRAAVRSKLKYTCSAGVASNKMLAKLGSA HKKPNQQTIIRNRAVQQFLSDFKFTKIRGLGGKLGEQITSAFNTDTVKDLLPVSLEQL KQKLGDDTGTWVHEIIRGQDSSEVNSRTQIKSMLSAKSFRPSINTPEQAHRWLRIFAA DIYSRLVEEGVLENKRRPKTMNLHHRSGGQTKSKSAPIPLGKKIDEAALFELAKTLLG QIILEGRVWPCANLSLAVAGFEDGVSGNMGIGAFLVKGEQAKSLSGGSRESSLPESGQ ERAEKRRRVEGQSGIQKFFVKTDSTEEHDDDFGFQSLPGMDGVLAEEANALSNDGEKV AATMPRQNEAVRPFEESFPVATGTSALHQQHITDFICPRCSQALESSDALQSHEDWHF AKDLQDEDHAHGAQSRMASMTSSKKPTGATGKKKTSRGKPEKGQSKLAFG LY89DRAFT_724267 MSSSQPFQASSPVSPNTTRRKKSRFTYKQFAQLALSSTSSPLRV IAHVDLDAFYAQCEVRLVLI LY89DRAFT_690284 MIELSEDHEARMDELEGTLRGHIGLIEEALDRLEGKATDEDRGK KMDDYYGKRGRNEKAK LY89DRAFT_280376 MYPTHTQPPSTEGWYKCCACKETINPDQYPTGCNCGHTKCDECK PLTPPPSPIKDNSRYNMQYNTFNNSASGSRDRTRRPDIRGWWTCCQCQALVNPNVNGD TCPVCAHVRCDWYCVTN LY89DRAFT_676041 MSAHRDLKAPTLEFLDLFCNKKDIEKSVTYLHPEFTATHDDWAP KDLDGFIEQWKHLITSLWPEFKYEAIDTVQEGNKVWVYARITGLQNGEAKDSIDMLEW DKEGEKIIKTKDVQRTVEHHVHKNEIVWKMRPYPANMKEALSMKEYQLESNRVFMLLE TWRRQERSIGVIIESKEQQQKKQEHNPSISDNKNHSILQPKHQKTSTDPNTEMTGH LY89DRAFT_701327 MASALFRLLYITVLDPPYLPLGPAALRSRRGFSTSEKEKSTSDA DGIGGAEYNVGFREGTGGPLDPDSPGLEYFYTKEVFSSEVDGKPKWCSHCANWKPDRT HHCSDAGRCILKMDHFCPWVGGPVGETNFKFFIQYTGYTALYCLHLLVVMAVYIGRQV NTEGETYNPQFTAILGLATFFFLFTAGMTGTSMDLAMNNLTQVERLGAKTRVHTLAIL KPSIEQLHHISPTVAGQHNYREITFPLPLTKPPTSADFSTSTSNASNSPLARVEVEGN VPEEEVRSLGSQPALGTSSEPSQGSSTVPVKASTNNDHNERVLDTPGRLNPTAEPRTE IEVITPASANAFAQSRPSNRDLMATRTFAVLDLKQGHNPWDLGTRLLNWETVMGSSVI DWVLPIKRSPCCNHEDTESQFQLGPWVDILKSSYGFLSPEDMRAYGGRRKSERRDVED FKPRSGHRKRRRRQKRDTGRELPVQLDDLESQAPQPT LY89DRAFT_281133 MEHPPPYVPHEPITTEDLETASIRSAAPSYVSDTPTYVSTIPPS PPRTGLPPIPPLPAPPANPNPSLDAYRISSWSKTQTSNPTTKHYQRIAQRRASNLTRQ QQSCLLIAALNGEDGIAQIKKKMDAEQRAKNIRTNEDPYLVGEEAAEQNRQERLRKEN GWGVLEREDKRWDWLLAQMSDWEERDKNWKRFRKEVITGNRRKLSNRFGFGGP LY89DRAFT_598214 MPPPLCIPVPGQDWGNADAGLIQDGINYLSGLQGGCCVGPTSCV RISCSWNSAIFLCNDNGYTICPNCGYLATYASDMINDCSSWNGGDSIIVGGQLFDSDH YNIIVRWDSC LY89DRAFT_690289 MATHRADEKRFLDERGSSGPLAPNGLNPATIMEKPVRERIVDCY FWKDQCFAVNEADIVNRVVDHVHFIGGTYGDAQRPSPFLCLAFKLLQLGPGDDVLREY LEFGGEKFKYLRALALFYVRLTRQAKDVYLFLEPFLKDYRKLKRRGRTGTSLTFMDEF VDDLLVKERVCGTTLWKMPKREILEDLDVLEPRISPLGDIEDLLESDVEEADGRNGRE DSEDDDRRRASPGSEFGEVTPERDAMDVDEGEKEVANGNDHDSS LY89DRAFT_628256 MLLTSSQVSIAISSFIVFFFTTALFLAGYVLQQQTVRDIRAVIK PQLVRAPPNGPELFLPPQFRDDGYLVDVIVDPVLPPKNEERTSATEVEVKETSQEESK ENADEASEVEENVDEDMIGATRWQKAARKKQLEALEAQQADGAQEPMGDEKSASNTNN DGQKSEAQKQEEKLSRAERRRRIKEQILADGEGESFKGYRRRMW LY89DRAFT_761711 MNISIDSLDDFAASSGAPTPTRSRAPSPLRTWGELNSSPRCRAL DMPTSQRDAPKETGNTIEVLTRIDSRRSTNRLAARGQEELPIHSTSQTSERFSKAGVG VMSSTGTQTGDTSKSGDNHVDAIEGDEARPKRGRGVERGLVKDSATRKSAHGTVHAGN GRTLGR LY89DRAFT_711126 MAEYPQGRGGAPRPNQYGHASNFEREAAFSNIFGAAPPPGRSQT MNSSVAPPTMNQPRTQTMTSQTSSIQGGMQRSPPPRPQDGGYPSPPPGSMTNGGGMPN GYHQGPRQPGPGQVQSQPQPQNLPQQVRPDRRPYPGPNRLDPRAPPQPGQYAQRPPAP RYYGANGPALNSDPYRSQSLASVPRPQMYSPPPNTYQQAPAQAFRQQQYQSHASRTTA QGRTIPERHDERAMSMTSYSHQDRDQHQTMSGRIIPNRRISASGPQELQANGFAIPGP RSPATQTRTTSITSSTTAGDMSRSMSMASTIVPSERTESLVHRPSVAKSVSSANGGER RQKSPLVYPALLSRVGECFREKIAVGDREKNELTYKNAFSGAEAVDVISYIIKTTDRN LALLLGRALDAQKFFHDVTYDHRLRDSPIEMYQFQETMIDDPHESSEVNGVFVLLTEC YSPTCTRDQLCYSIACPRRLEQQSRLNLKPQPGLRREKSDASLHDDNDDNEQKLWINT VSKEVADSVTEREKKRQEVISEIMYTERDFVKDLEYLRDFWIIPLRSSNPAMPSPIPE SRKDRIVRTIFTNIIDTPSIHGISSKFAEALTERQKKQDVVQCVGDIFLQYVPAFEPF IIYGSNQLAAKYEFENERSLNPYFSKFVDETERRKESRKLELNGYLTKPTTRLARYPL LLENVLKYTEDGNQDKVDIPKAMKMIRDLLSRVNAESGKAENRFNLKRLHEQLRFRPS ERVDLKLTDPGRELIYKGTLKKSPTDPTDIHAYLFDHAVLLVRIKMVGKKEEVKVYRR PIPLELLSIREMEEVMPKLGVVKRPSSSLIPGAKTATPDPKKDGYPITFRHLGKGGYE LTLYSVTAAGRNKWMEHIGEQQAILRKRGDFYNRTILSNDFFSSMNRVNCVAPFDGGR KLIYGTDSGIYVSTKKDAGAKPIRVLDATNVTQIDVLEEYQLLLVLSAKSLLSYPLSA LNPAEPALAKRPKKIQSHCNFFRTGICLGRHLVCCVKSSALSTTIKVFEPNDAMSKGK KQKGFKMFNSGQDELKAFKEFYIPTESSSIHFLKSKLCVACARGFEVVSLETLETQSL LDQADTSLDFVARKENVRPIHIERLNGEFMLNYSEFSFFVNRNGWRARPDWRIDWEGM PQSFALSYPWILAFEPNFIEIRNIDTNAVHIVPHRNIRMLHTSTREIIYAYEDEKGDD VVAAIDFWPAGRKPEIAPPPTGPDPHRDHPQTSSRH LY89DRAFT_690293 MADSDLTPKFAPFFGMAGIAAAMTFGCFGAAYGTAKSGIGIAGV GTFRPDLIMKSLIPVVMSGIIAVYSLVIAVLIAGDMGPPPAQNYSLFNGFMHLACGLS VGLTGMAAGYAIGIVGDMGVRSYMLQSRIFVGMVLILIFGEVLGLYGLIVALILNTKS RG LY89DRAFT_281350 MLSTTLTVLALAAAAAHAAPTSIAVGSKTFSAPAVHNPNYVRNG TAALLKAYAKHHLTPTKELPTAFLEALAKRQDGSATAVPSDGVEYLVSTSVGGQSLNL DFDTGSADLWVFSSELPSSDLSGHSYYTSSDSSTFQSLSGYTWDISYADGSGASGNVG TDTVVIGGTTVEGQAVELAEKVSSTFVSDAADGLVGLAFSSINTVSPTPQSTFFENAQ SSLDSPLFAAYLPNNADGAYDFGYTDDSKYSGDITYTDVDSSNGFWEYPSTSYKVGST THSQSGYTGISDTGTTLILMGDSAVTAYYDQVSGSSYDSSQGGYVFDCSADLPTLSIS IGGNYATIPASLLNFGTASGSSCFGSLQSVGSGTQNIYGDVFFNAFYGVFDASGPSFG FATVA LY89DRAFT_281370 MPGPRLVYIEQVPLYMTIGAWEKEQDCRWPPLDGDNDKTRKKQD DARSWAAFIANMRAKHFDGADYRDASMMGISSKMPIPDIFLACREAYNASAIHYKRIF VTSRPNAYFNFETDTLCLDWYNISNTQWDGNVPNHFDLWKGFRQEDVKDIRKHRISTI NTKESRKLAKLRTTPSRTELSLKAAVLVKSWPSTERSKFKKYRALNELIRL LY89DRAFT_281342 MHAPHVRLGARLPYYYSSTFILHINVLKHEHRTFPTSITRLVDV LSYDCLTRISFLIQLFINLSSAIEPFGRLKVLLLDRLESIHNI LY89DRAFT_690298 MTKWLLTWTATFLVLAAAEQPEAPKPVAAPMRPLPWGQLNFMQT TDTHGWLAGHLQEAQYSADWGDYISFAERMREKADGLGVDVLLIDTGDRIEGNGLYDS TTPKGKYLYDIIKEQDIDVLCTGNHELYQLDAADREYEQSVPNFKGNYLASNLDYIKP KTGEQVPMAPRFRKFTTKNQGIRVLAFGFLFDFTGNANNTVVQPVENTIKEKWFQDAI REDVDLFVVIGHVMLDGPEYKAIFKAIRSQKWDTPIQFFGGHSHIRNYVKYDSKSYGV QAGRYMETIGWMSIDGIKGKGKKDYPKELASMSFKRRYIDNNLFGYYYHTGLNETTFT TGHGKNVSKQIHEARKAEDLDELYGCAPKDLWLNRAPYPSNDSLVTWLETEVIPVITV NEDRKDVPRLALTNTGAMRFDVFKGPFTRDTTYILSPFVSKFLYIKDVPYKSAKQVLK IINSGGDFFTFSDHEATRLAPPEQMYIKSAIIAPSVPNHDYIPGAQSPLLSSAPDLIP GYTTKDAAGDDGDDTLHAPITFYPVPNVIQSAIAMPAEGDPETVDLVFIDFIKPWVLV ALRFTGQIYSEADVEVYIEETLTDKMVGWIRENWKQDC LY89DRAFT_724281 MLRLAAQSATGTLHRALAPGNAFILQPDPDARAPAPEGKNVLPY ALRGMAVKDNITTLSTPTTCASKTLETYRSPFEAGVLEQLRSCMGTVVGKTNLDEFGM GSHSTNSAFGPVTNTTPFERISVGGSSGGSAIAVATLQCDMALGTDTGGSVRLPAAYT GVTGFKPSYGRISRWGVVPYANSMDTVGILAPSATSVMSVFRCAIEPDPRDPTSLTGE TRSRIRQSITKSRKRERARRKRLGQWESEDDDTKFMNVRIGIPVEYNIEELDHGVRSI WKDALEIFQVNGATLVPISLPNTKHALSAYYVLAPAEAASNLSKYDGVRYGSRAEGWR EGTGHVLYSNTRGYGFGEEVKRRILLGSYTLSSEARDNYFLKAQKVRRLVQRDFDRVF AVPNPLLPTQHFDLSDLDESTPMMDKLGPPQVDFIVCPTAPTAAPSLQYVATQNSVDT YMNDVFTVPASLAGLPAISVPFSISRQHKRRDHPNYTGIQIIGQFGDDQRVITIAEKL EAFRDARNLFRTPALQQFAVKNGVLDELRKLYLGEKENLAPGIGPRIEKILLDRKYHP VSDQNRQPWSEYASELTPEIRHVQTYGPRESRKPRTDKEISRDLKAALEIWEQIKTSE NNDP LY89DRAFT_690299 MTSTPRPVQSVSNSELYNRWAKVYDTDGNILQAIDDVLLPPLLD QALNHFSSSAPITITELGCGTGRNTAKLLLPSITSNINITEINALDLSPSMLEVAKQR CEALLDSTAANATSAPPTLNFQVFDALDSSSLTESGLEGKADLVLSTLVLEHLPLDTF FKTVTKFLKPGCVLVLTNMHADMGRMSQAGFVDPETGEKVRGTSYVYKVDEVVQEGNK YGFYVVGKVAERQVTEEDIGEARLLGPRGKKWIGVNVWFGMVMRLRVDTV LY89DRAFT_740966 MPANGKPSTGRFELPQLTPVNFSLTDGTNIPPPPASPIEEKAPA VAPESASNGAAAAPPATNGQSATATNANGAYEGRGRTNVSIEQQPTSPVSTKRPSSIR RFLSRKSLNTNYTNGTNSNLSNEDVTMIDRPESAMSFASTRPSIAKKKSGGWFRRFSS SGAPNPDDRSPSRASIIYEDKQSTPKPMGPPPPKLPELSKLRAKIPDNDDGSLGAEDM FKNIK LY89DRAFT_657507 MNLERSIRASRSSAKTLRKPLGPVVCRRHKSGPYGYTQAKALVY SKYGEPSDVLSLHTHSISPSLPSNSLLLRTLATPINPADINQIQGVYPSKPPFTSLLG TSSPSAVGGNEGCFEVMSVGSSIKSVTKGDWVIMKHTGFGTWRTHALAEEKNVLRVEK KGLNVVQVGTVSVNPCTAYRMLKDFESLSEGDWFIQNGANSGVGRAAIQLGAAWGLKS INVIRDRPDAAVTQAMKDELLGLGATKVVTESELMEKGFSEQVKEWTNGGREHIKVGL NCVGGKPTSALVKCLSQSGHLVTYGGMSKQPLQLPTAALIFKDIKFSGFWVSRWSDAN PEEKQRTVDEVLEMTKEGKFKDIPVQELKWDWGTEEGTLKEAIQGTLGGFRAGKGVFV YGDT LY89DRAFT_724285 MAKMLFSLIEVALLVAPSVAVLHERAYYASQGILEVPITGIPKN VSLSKRQVANPLINMFQGLEYLISFGIGTPPQNITLALDTGSSDTWVNPTCITGQRSD LCAGIAQYVPASSSSSKNLGNSMSLAYGIGRAQGTFYTDDVHLGGADIKAQQFGVASD TQSLDTGLLGIGFGVNFTTAYPNIIDQMAAQNITNSRAFSLNLAGVDSSSGAVVFGGI DTKKFYGKLGKQAIVPYKQSPDGFPRYWITMTSLSVTTPSKTTTTIFSGTAQPVFLDS GGTLSQLPATIVTAIVALFPGAKNVGSGQYTVPCSALQQQGFLDFGFANTTIRVPYHE FVWQADVDLCALGVMANAAGDPTYVLGDSFLRAAYVVYDQDNMNIHLANAASCGSNLV PIGKGLDAVPALTGDCPEPVAAVPKATSATSVSSRKPTSSMMPITTSKPSKTTTTRKG GTTSSKTVTTPAAGVGGDE LY89DRAFT_690303 MSDKEFGGNDDLSLPKATVQKIVSEILPPASGMGFTKDARDLLI ECCVEFITLISSEANEISEKESKKTIAVEHITKALEQLGFGDYVADINEVANEHKEQL KGREKKANKLEQSGMTTDELLAIQEAAFKEAAQRHG LY89DRAFT_598310 MGAYNREGQLTRVVKASREQERERNSGGGSNESTQQRQPSDPNN PDGADNPDGTKAATLPPESRRDLTPFPLDPQFISQPVLSGWFKDQIWKQVILQGLSVR EVSASLGVEMSRVAAVVRLVEIEKEWKRINKPLMRQYHQAVMNMLPKTLWNPEETTEE NRKKTKTHESINDLPVHVATGQQIFLPTSESRHFTRKDAARVFDAKLLPADDRVPHPE LAVMHKEVLEELSDEERKARAEARDASEEQKRKVALARQAKKEASIKKVDIGRFEYRF SEIKVDDAGKDGRGLKGVGWRYGVPLMDRSRGQHKIPRAVE LY89DRAFT_788143 MSASMPLKEVADLPSPPFITVAGIPNFRDLGGYPVAAPANHSIR REVIYRCGEPSAVTKDGITTMSNLGITRIYDLRSVPEIERNKNAGRGGIVEWEGCERV FVPVFRNEDYSPESIAVRFKDYADGGPEGFTRAYTDILNNAPSSYKTILLHLANEPEQ PLIVHCTAGKDRTGVICALVLSLCGVDDEVVAHEYSLTEIGLPDDWKNAVIEHLSHNP TLAGNPEGAKNLISAKADNMLATLKMVKERFGGAEGYVIDKCGLTKTDVDNVRKNLIV EKPAVHVNI LY89DRAFT_281505 MPHIQDPNTMPEEDPQVAVERRNKIRIKNRRKLYLDRHPSYFTS PDLELTDPLLYDRCIRRFQSASEREADGKAKGYSGVLEADLYRSEAKLAAIKAQNPPI AEASSSKSPGPAVAAVPFVSYARGENGEVLPEEEDEVPKNKEEGLERWKFVMTLKFLS GEDQDFDYTTVDENDEWDAVERRESEERWFDDEEPEWVADESEGPLHGGETGIQDF LY89DRAFT_281464 MGYMSKYHGTYPSQWSEWEWNLQHSCYVRYRLVNKNDYEWDSYN PAPEAETTEEYDQEQYSERYPENNSGYTCESTSDFHHDASSQQSDRDSGYHSGSQSSS GGFEDLLDHPDSWTVNPFLAFGFPPAKDHSKKHQQRKQHSKSQTGLDISRTSLHSDEG FSEPSESWITLFGKHSKEVNLVPSVDHQSQVCYVSHSVLKDLGIWESRRMGKAREAWI PGAEEGNEERIKVVGSWTVSWLSTFKGNGHGSGSKMQRTTFRVFKDRKRRVVLGMSPR EARKFGISG LY89DRAFT_788146 MSRLLRPASRFVSSSAARPVTVRSSFQSFPRLPATVQSRGYAKE SGVKEYTVRDALNEALAEELELNPKVFVLGEEVAQYNGAYKVTKGLLDRFGDKRVIDS PITESGFAGLTVGAALAGLHPVCEFMTFNFAMQAIDQIINSAAKTHYMSGGIQPCNIT FRGPNGFASGVAAQHSQDYSAWYGSIPGLKVVSPWSAEDAKGLLKAAIRDPNPVCVLE NELLYGQTFPMSEAAQKDDFVIPFGKAKVERAGKDLTIVTLSRCVGQSLVAAENIKKK YGVECEVINLRSIKPLDVESIVKSVKKTHRLLCVESGFPAFGVGSEILALTMEYAFDY LDGPAQRITGAEVPTPYAQKLEEMSFPNEPLIEAYIAKMLRL LY89DRAFT_657524 MSFGFIHSALDRVREWAPVSHTSTFRQNGQITPEEFVAAGDYLV YKFPTWSWADASPPSKRLSYLPEGKQFLVTRGVPCHRRLDDNFAGDAGHDETMVGDGE DFKGEGTHSPGDDEDGWLRTGGLAASQEARVRDVRTVDEHGNMGEREDDEDEIPDMED EEDDEAIIPESRADGSDSQRRTYTLYIAYAPYYRTPRIYLSGYLANSQPLPPQLMMED IIGDYKDKTVTIEDFPYFGNNIKMASVHPCKHASVMKVLLDRADAALKIRREKLKQGK IVAGAKDAGMEGLLDDFSKTSLGSGDKKAILEGAKAGGNGNDEWEVLQHDAEAQDEEV AIRVDQYLVVFLKFIASVTPGIEHDFTMGI LY89DRAFT_724292 MRYSFTLGAAAFAFATAAPVTSPSNSSIWVTEVPTSVRPYAIQH YLAGGCVVGQQIYRFPVTGPSSDYAFSLIQTNAPGSTALGVLPHLHEVHYENFFNFRG RFQLWTDKYDDQNTRVLLPGDYGAVPHNTTHTFQILDPDTEMLGVIQPGGFENLFYAL SSGNYSSTTLSPYDPSANASSDSAAGPSASLIATLEGFDVYAQPDFSPRYDAINGTAP SNTTWHTGSNALATDAYTPYFVAKDYGPKYLNSQNGYQVIQPFVTATQSASNFTLSTI TTDRVSSNTTVPTRTFVGHAAFEVLEGQLQVEMLGEKLSLLQGDVVFIPRNTSYIYYS EVAYTKFMHVGQGGEGLDSALIAAGESWDSPVWPTS LY89DRAFT_281723 MLTWLITIIRDIYTLVTTGQPNGGNVAAATAVGSVISGSFNISQ STLRDPTSTAPTLPNILPLPISTSPGEPVPTLPPNIIPTSPGEPIPTPPPPGATPSAS PLPLQNPPIPSSAAPLLQFSSTAPVAIPTSSAPPAPPSCYNVVTLFTEADSAGAAYEV CGQVEVCYTLPTNQQYNTVSVTLGYALSCELYADSGCTGQNYALNEGTSAASDLSTVE FANTLVSLVCFGFPLDAP LY89DRAFT_281722 MPPRGFTNPAPKTESAREAVKSFYCELCSKGYARMNEYEAHLSS YDHSHKQRLKDMRQLTRDPLASTKARKAEAKANSQSGLISIKLGGDSGSSGSAGGIKK AGFKKSGFKSAFAPADGEPVKEEIKSEGGFKTVAPGAKVLTEPAEGESDTEDEGYEMY DPRHPTD LY89DRAFT_788150 MDRRESTASQDTLLSLQQVDPSPIDTPPDLGKELPELPPAPSSS STLGLSGGGHSSVYYLTRLQKYSTYAFTIFASFHITNTSIIPLLTRSVPASERYLLLT RPYYQSFPLEPLLITLPIATHVLSGLALRIHRRNANLARYGAANISVSDRFKRRLRIW PAVSWASLSGYVLTPLVLGHAFMNRLLPYIYEGGSSSVGLSFVSHGFAKHPVVAWTGY LALIGVGVGHFVWGVARWQGWILVGHDKKAKRRWWTINGAAIAVSLLWAAGGLGVIGR GGKVDGWVGRGYDALYSKIPFVEL LY89DRAFT_281742 MADQQVAEPAIVRVTINGEGVDVPIPRVFYTGIHEGRDNPMDLG QVGTKQGLRPLWAALGGPVGVVAQNATRPVVPRGPGYVRRQKAKVRAAILAIIARNAP APAARPSNPVVLPIYRLREMITATRTQPVFLLGEPVRLWNSTPDADGNVAIVDYEGHI GFVPMDVLIPPRRPWGLILDSARVPAEFLTTGTPLLTAWRDGVQVVLGPLPRGQEPRR PPPGSPPAESPAPGRRKRARRGAASRSPRRGRRRRRPDNINTAGAAGRPRNGFTDDEI LDRIADLDSPRAREVIDHAGREAGYVTGNFNLAFNVDYEIGRQEP LY89DRAFT_281747 MNQNLSAMAIERRQLPQVLNQAIANIPVPLTHNAAARDALLPWL ALGYTPVPTPSGDGYQENMCGYYALAGSLRAAWQLWGLNGRSPTVAELQATQASQLYR DLVRANMDDLGLVEAAADEYWNALTRANMLDAQGMRLVLEAIVEERGLELDLGLGIVT EGFRVRWVEESEFDTAGFDESSVNRTSVQYDEPVNSRRGVIWVYNNGGKPDVKRVRCT PQFFLLHREGRFFQL LY89DRAFT_724295 MTTRYRVEYALKTHRRDQFIEWIKALLAVPFVLHSQPTGVFETA SHSVEQMAAVASRRYEEIMLDVEQMIDDHIEHQKLGTQGNSKLKLLVPSIGTFFTRLP LAAAFRYQDKKRFISSRRFVPPSFNDVRLILNTAQLMGVTTAGNLELATFDGDVTLYD DGKSLEADNPVIQEIIQLMSHDTKIGIVTAAGYTEAERYYGRLHGLLEAIKSSDLLTN TQKHNLVVMGGESNFLFKFSMTSPYLLTHLSRRSWILPSMSTWTQARITSLLDLAEFS LKECVTNLSLPATILRKERAVGIIPKVAGYKFPRESLEETVMVVQKKLDMSEVGKQLP FCAFNGGNDVFVDIGDKSWGVLVCQNYFGTLHHGSGPASRTPSPSVTSNEHEQGKEER VKIEGERTLHVGDQFLSAGSNDFKARVVGTTAWIASPAETVELLAELKEMIQTQDERR RGEGEAKMTRDTINFIDELFKNHPMKDV LY89DRAFT_690309 MKEIYTALYPNEQVATNVGDYSFSHSTKLPKHITDHHAWGVETQ ERSNYMISPLQAQFQVWLAKAVGAKRVLEIGTFIGFSTMGWSEAVSTNGHVTALEFSP EYAQIAEEAFAKNSITNIEVIVGDARESIKKLSTTIPQPYDLIFIDADKTSYPTYLSQ ILEYSPPNSTSVRLLRQGGIIVADNILRRGLVADPSDANPQAAKERERTWRADDMDAL HKFNDMMVESARLETFLMPMFDGLGCGRLVD LY89DRAFT_657539 MAPAQPPPPLAGKKRRIAMMTSGGDSPGMNGATRAVVRYGIERG CEVFCIHEGYEGLVQGGKFIKKMNWSDVRGWLSEGGTLIGTARCMAFYERPGRLKAAK NMVLNGIDALIICGGDGSLTGADKFRAEWPGLLQELVETNELTPEQTEPFKHLNIVGL VGSIDNDMSGTDATIGCYSALSRICEMVDYIEATASSHSRAFVIEVMGRHCGWLALMA GVATGADFVFIPEKPRAENWREEMCRIVAKHREIGKRKTIVIVAEGAHDKDGNKISPD MIKDLLADKNGLALDTRITTLGHVQRGGTACAYDRYLATLQGVEAVNAVLEATPETPT PFIAITENKICRKPLVQAVLDTKEVAKAIEAQDFDKAMGLRDTEFTDVYNSFMTTTAT GLDDKMMLPEKKHMRIAIIHVGAPAGGMNAATRAAVAYCLTRGHTPLAIHNGFAGFAR HHGDEPIGAVREFDWLEVDGWASKGGSEIGTNRELPSESGMELIASLFKQYNIDGLFI VGGFEGFHALSQLRQAREKYSSLCIPMTMLPATISNNVPGTEYSLGSDTCLNELVRYC DTIKQSASASRRRVFVIESQGGRSGYVATLAGLAVGAVAVYTPEEGINIEMLAADIAH LKQVFREDSGQSRAGRLIIVNEKASKVYSAKLIADMIREEAGGRFESRDSIPGHVQQG GVPSPMDRTRAVRLAIKCIEHLETYEDRQDATILNDPQSCSVIGIKQSKVVFTSMKDV EELETDWPNRRPKNEFWLDLRSTVDSLSGRPVVPRPESPLVGWKAKDTKRGLA LY89DRAFT_563642 EYIFMEDGSKVHKGHARLPRLQHNIRGFNWPPSSPDLNPIEKVW RWMKEELKNLDYVPKNKVDLKRELQKLWDRVDPRDFRHYTEQLTCKIEDVIKYKGMAT LY89DRAFT_690314 MDSTLNDIPVSLRSWPSIANDSNALPTLIQRINIERGGFLNITE ESLRQEIADEELNGQDEEDSSSSDEEDEPDKLKALMAAREELLKEIEQAHQSSLFALD FISLLLTKDAPIQAMYTMSPYLQEMIPPKTLGADKLAATKLTEAQKADNKQIAKGWKA QSLDNAVDSILASATRLEKEIEHETKYWEQVLAVSDNGWAVCRLPNEKHTLGVRYGFS EASPAFKNRSLAVLRRNADGSISLDQGIAETEPKTLRVRIQVDGEYTGISTIPKAVPE DAPIESLILQARNTVFAEELWQELNREARSLAPIQAKDDTLIYQLSKTKTIVLDLVSL NESRITSTNDTDKSIAEGLYLALNLLLSYSHRQNYRRRTQPRKPISPQKEPPPPILLL RGVLTRLAYQESITALHSLLGPLCSVLKDASITPPSSYTLIPNVGKPPSILTNAEKTI MSLISQLEAKVTLSITANTTINITLNTYLNPTNSLFHVSLANPDDPLHLTCPPYTPYS SFEALRDYIFQATACALASTLIPSLIPVSNVHSPPANGTTKQKEEKDWVQTAQPSLLT NPNTQKQLSISVRAREANRGSIYFGKSGISLDV LY89DRAFT_281870 MSYRVEMSVSGRAGCQATECKKAGTKIPKNELRHGVWVDYGDNA SWRWRHWGCVTGKMLENMRDKLEVPGKPGTYDWDALDGYDGDEKGSLQNYPHMQEKVR RVITQGFIDPEDWNGDPEMNKLGETGLHTKDTKKKVRQEEKAHKQLNESLATIRAEIE TTKQAGGSTTELENQLAAIQEQLDEQSEAPTPAKKKPAPKKRGRVAADDEDEEAEAAT PAKKKRASKAKKEVDEDGEEVKPATKKRASKAKKEAEEDDEDVKPASKKSRAKKAVKK EEDEEMDEDDEEVKPPPKKSRAKKAVKKEEDDEMEDAEESKPAPAKKSRAKKVVAKKG EDEEMDDAEESKPVPAKKPRGKKAAAAKKEEEDEDIKQEEDTSAPAAPAPKKRAARGK KAVKDEPADEEATIKPAPKKGGRKKAVKDEPVEDTTMTGAESSTAGITADSTANTTLP KSEVKGEISDEEREEEKLVVESQPTEEQAVEEEEEVMMKKPAKSKKAAGAKGSRGSRS RTSSKA LY89DRAFT_598388 MSTKPTIVLVPGAWHSPECFQYIVPKLEALSYPVACINLATVGE QNPAATHLDDVAAIHEVIIPLMNEGKEVMLIPHSYGAAVQGQTVAERSARGEKGGITS IFFLASFALKQRYDLLRDLRQGIGELDGCCSQSPHNHSRFRSNPSVLYNDLSPEQATY WLSKCRPHTLASFGKPVEFVPPDLKIPSMYLICEKDGAMDLDLQESLIAATPGMKTMR FSGGHSPFLSHPDFTVEAIVKAAKELGSDRTD LY89DRAFT_281924 MDHFRDHAPKEVRALEVWDKSLNLAEESRRRLWVNTAQGAEVCS VREMASRTRPSTPRTEGDAPYGVLPAAKESSVATPLAVHLYCGFEGRFADTILGEVLS VFARPRLESLHFSSEPNNLKRRPLTRLELYKAQFSSNPWRHLLPSVSPANETLKRVSL DCSLFWSEFAYVLPHLHALERLNCGLTCLRFEPEPCPVPIEWETREQAHKHILDACLN NRVEKTQDKKWIQITKDGIVLPNIEPHEQRVLNEADSMFYQFPWEEKVSIQFHEGLLQ RSRERSLINTLSCNDK LY89DRAFT_711145 MGKKLNIVVCGAGLAGLGAAIALRRKGHDVNVLESTSELTEVGA GIQIPPNSSRILISWGLEDKFAEKVVWPGRMQMRRYATGEILRLQEVNPFMSDTYGYP YWLIHRADYQKILYDAAKEAGVHFSLGCHVESVDEHGPAIFLRDGRQLEADLIVGADG IRSKIRKSILGNNDPGPEDSPNCAYRATIPADVMNSDPALATLMSDPNGNLWTGPGGH VMAYPIKNATLYNLVMSHPGKASIGKKIHEKWDKVLQKVLTHVDHCLKWKLAYIPPLN TWVSESGKVVIIGDAAHGMLPYMAQGAAVSIEDAAALAEAVSRARSNDDLLHLLKAFE TVRKPRCEDISRSKHVNAVVWHLPDGPEQEKGDATSKAKMRKKLEKDKEAGITGGMEG KWNDEATMPGLFGYDAVKEMNVYLDSFASSHDTRL LY89DRAFT_281988 MAAVKPFSIPGFNKALKVQDLEESCNIYNMLPTDSQQPEILESH IHNLAALFVQNRAEKILGIHLAHGHFAAPKHTAMLGVNYEEPYCRWARPTAIQTIDLS NVHGHIFVLTDHGFHPYEYQSGPAPDLSELDSAFLPNLADYLNKNDLSMLVGLQVVDQ NPANMLELILPQGTVMLDSSVLNGCVPTRQTGWKFESEDSKPRVCQANETHGQHANGH DIYNKGDPHPKLETFEDVRIALVEAGLLDQIA LY89DRAFT_281960 MSSKHYFPETAVNTLVPQALSALVSANPSLALIRSQRVVLNLSH RPSQVSLISGGGSGHEPAWSGYVGDGLLSAVACGDIFASPSMKQVLSAIAAVPSEKGT ILLITNYTGDKLHFGLAAERALEKGFAGKCVVLPATDDVSIGRSKCEKVGRRGLAGNV ITMKILGAAAAKEYSFERCVEIGKAVNEQLVSIGSALDHCHVPGRQNHDEIGDDVCVI GAGIHNEPGAQKISPFPSVEDLISQLLTLICDPNDPERGFVKFNKNDDIVLLINNYGG LSNLELGALTQQTLDQLETKRDIKPTKIYSGCFETSLNGPGFSITLCNLTLAAKESKT SVEELMQLLGAETKAPSWPNVLSNTSTKIARKDTPAIDLEKEVEIKSNEDIQVDPKLL DSAVRLACERAIAAEPNLTKWDMVMGDGDCGEAVKGVSEAILQILDNGGAKSGSVLSL LHTVTNAVDDMGGTLGAIFGILLAAFAVSLRHHKSNTKSDNLINIFAPALAQAVESLK QHTGARVGDRTVMDVLLPFSETLEKERSMEKAVRSAETAAEGTRELKPKFGRASYVGA GGDEQKLPDPGAWALMEMVKGIHDGALGTKK LY89DRAFT_701352 MAVFQSKKFRSSADANTIASRYRALLAKHPFALFGLPFIATMVA GSFFLTPATAIRYEKHDRRVRRLSKEEELGIGKAGRKVDMKEEYYRLAAKDLDDWEQK RVKRLPGEHDGVI LY89DRAFT_598327 MSFDAPPSTLAAQLVNNLSSKNPPSGGAEDELRQLAQQVSEMEN SLVETYDPTVKLEHKHRLIYLLTRSVLERLDHDPFIDVGPFLSQASDALDIFQIAVKE YPAVLDYVLPPGVYFQSRGQEPLWIWLFPRILTLLGRPRYECLTDKIKDFFFSSFQVV SRSPKLWNLNSFFFTYLKECVASTLTLVDILHHLGQAKTIYSRKVEIVLPTTEDDYPM FFSDKDESQSSHVLYCTYKLEDSLASFCQATHLLLMLVDISIEAASSFDATPAFQDYV AWMFTSFCALHDIYQQWQANRSLYEECPNPDSLFFYSLYALISSLKDSLSPTLLRKGY NIVSILCGNILQDQSQIYETSDQLALCACILNLANVCEKHYSMRRSISLQLVPVIKSI LEDESALNRLGKDFQSSAMLLCHVCGDKDSETLDLESLKTFESDELSAQFERLDFPDK AAAIPEDGNNQAIEPLKKRRKISELVPVLDEITGNLYELLGSQRVTSIHGLSQLAETC FTALDEANRCKAFEYLGQVPCAASGCLTVTRISGKIQEAKCLVCEGLPVPKNTLLDEI VHNETMKEAISTFSTLVKSAAFSDGKKVRVLAMFALRRFAMHCSDADFIDLEISQLGQ WCLSSLKSSTRELRVAAGRTLPAFLRGESTPDSLTRKNRLNTMNVLRPFPDTEPTYLQ ETCVLAWGQLGRITQDDEFCLVLLALVKYLGHSNSIVSGTAFNEILRLAKSAGMTVER LFSPFWNVVAIEAVTNLLVRPQTSQLMADLLGISVTEFLRLTQSHTLPYLVMSKKVGV IKRISEATNEEIFYLIMRPENLVAVFALLLQQSVTDMEVYIMSLLGFASSEFKRADFH QLLRIGPCEQALHLLKAAAEADDSKRSRIRLGMQFLAEHTIEHTKGKAFNALSSFFDY HILGLVAHLSQVVNDADLKQTVTEKRRSVKAVEELVKMAKAQTRIARPQLCAILQSAF SQEGLQSAAFSAWNAMLRNMEDEDVETMLESTFTTVIQRWDKFDAPTRVKAAAILDYI LKERSRLVRNTIVNLPSLSHIPQLKILEEQVQALRTKTDKANAFAIFSRRLGHENSGV VTQALDELKKYLCQNQEFLQTSASSEQPDAVVGQLVRAVLDSCVRFNGLQEKISILAA ECLGLIGCLDPNRVESVRAPRSMVVISNFEDPSETTNFVLFTLAEAIVPAFLSTTDTQ YQGFLSWVMQELLRGTDFAEVCVDVMRNVRPEAAANDVYQKWLALPQSVQNTLTPFLT SKFILKDIAPTVYEYPIFRPEQPTSSLFSTWLRAFVLDLLQKQSCHNTKILFPPLRRA IRPREVSIANFLLPYLVLYVIIEGTDENRQEIGQDLLNILKYEPPAKLQDNVKLCVEA VFRILDYLAKWTQERQIKASQSRGQDSAELDIAKINSVVEMIPPEIISARALECKSYS RALFYWEQHIRNVKKAGIRPNEDTALLERLQEIYTQIDEPDGIEGISAHLQVVDINQQ ILGHRKAGRWSAAQSWYEIQLAEKPDDVEVQLNLLTCLRESGQLDVLLNYVDAMFLSK KQAMSKLLGFATEASWATGKWAALEKYVVMHKDLTAENFSVSIGNVLLDLQKEDTAGF NKKLGLLRNRITSSMSRSSTSSFSACHDDMLKLHVLTELEMIAGMDSTPGTNPDRTKL HESLDRRLEVIGSYLSDKQYLLGIRRAAMQLSSPSFNNGEIASTWLTTARLARKGNAV HQSFNAVLHAARLGDESATIEHARLLWKEGQHRKAIQSLQGAIAGNAFMSHNKSIHAT SFTNMDSEQQQNLLTARAHLLLAKWLDSAGQTNATALRTQYQLASRTFLHWEKGHYYL GRHYNKLLEAEKDIPFEQQKQTYQTGELSKLIVESYLRSMLYGTKYLHQTLPRVLTLW LDLGNPLKLSGDIRTGPLKEYISNIEIARRKTLDNVHQRWDKYINKLPAYMFYTALPQ IVARIAHHNTEVFKFLQLMIAKVIEAYPRQALWSLLAVCSSTQSDRKARGGNILTRLR NSKRAKGSHDMKLLIKNGERLCEQLLMACNAGEFQGNRTIWASLTKDLGFNQRACLPS ELAVPVERVLTATLPTLTESTTRHQAFTRDVVTIDSFEDEVMVLSSLQKPRKLTARGS DGKLYRLMCKPKDDLRKDQRLMEFNSMINRSLKRDAESSRRQLYIKTYAVTPLNEECG LIEWVDGLKTLRDILLGLYKTMGIVPNYREIEMYCDEAIKSDDKMPFFTEKVLGTFPP MFHRWFVQQFPEPSAWFAARLRYTRSCAVMSMVGTILGLGDRHGENILFEEGNGGTFH VDFNCLFDKGLTFVRPERVPFRLTHNMIDAMGIYGYEGPFRKSSELTLKLLRQHEETL MTILEAFVYDPTLDLLNKKPDKKKREGSANVPQTAQGVLDSIQRKIRGLLAGESVPLG VEGQVDELIKQATNKVFLAGMYIGWCSFL LY89DRAFT_740995 MSGVLRKRALWLGAGVIGVGLIAAGKLGPSKKQAVLWGMSGELD KVNSEVDKAKEEAFGYVLLSFEEIELAREAAFGRQAGGEALKDDAAR LY89DRAFT_282038 MDGVEGLIHKRVFMIGELWSNNDSLMRQSIVFYSSSPNRLCFLF FVGFLYKANFFTPSAISSGRRLLSETLSRPLSVQMFPHLFLPRSELYSVWERKHAFQR GFPFSDPNRKYWCFKRRCDYIFSSLGRVAQHEKFAHSRHPLTGDYGDWLERMEGEEEV EAVPMILVPRECEEVTRQNIVRIGMDFFEKVVK LY89DRAFT_740996 MDYQKKGSGLLHIIPYKVTQENHAHPRKELSSTSLEFKNTELKE SEFVISLMTPPKKIQYLHYRCTITDCGQEFKNHDDLTTHLIELHWNIDLSMAPVRRNS GDSAGSSVTMDSNTSVTTAEVKSVTGKEAEPTSSPVQEEEVVVGKMAEVRPASKRRAS KMSRNAVANPGASDWRSH LY89DRAFT_282035 MSDTQSHHPTESVDLRITVPNPYLLKICCPDARPRHVFTIDQLS QTGWKCRTCRFDLADRARQKLVCWQMDYGKAEGIKTSGGWWRHTDDILEEVEGVLQEK KRQTGLGDGGRTYARRVGGERGSSGSYEVNSCGGNLRRRQSITGARIELSIRANI LY89DRAFT_690324 MSPSRGSSSPAAATADLESSSEASTQARAQVRSSSALASAKTNL GYLFAPDNPNSPRPAHRRTRALLRSLRYIGIFVFWRVVRYAKYALVGSLVAAVGATAF GGFVSGVGWILAPPTLVGSLGLGAVWAMGKWGFRKMRVGEKVGGAAEYEIKEAREGVR QDGQWRDIQGPRAVPW LY89DRAFT_788167 MDSIPDLIDPAADEASPLRKVLNILEMYEENSKHPVPEVGVPQK TQGNASASTEHQRTDDVLEPTPQSQQDRENDEEEIKENEEEMGIEDARKLGDLESVDG LGGGPTQKKREEKALSSGKTVRKFRGRKISSNLAEDDESGEMNTGLEESQSNDEMMRT GGESSSNEITKPRNSSMLPLTPVRELPGLGKGSGQDGNSNFASGSRSSIDKGKTAISN ATRGSDIHKAPPTEDGPSNYVEGRYASTDCACRSEKNKHVNVAVNQSPLEVVEPFELP PPLPSNMDNIPACIDDYMRNMYAHMCINQHNFEKLRLKCQRCNCNDPLPEPELTTQDF EEADEPIRANSPVGRPSWETMQQDDSTDWRERDENLDQESDEEMRKALQESLALMQTH DLIRRAIPKLEIIQRKEYVETHELDTMGMVLRYWEVSSGRRLSDGGSMAAVQDWLAIP RGLVESPPPLIQDVDRGPGHRLGEASSSAPPVDPETRGALTADSALKRGKKQSTQPSL QWRITHPQGEAQELPRNKRLPPQPVAQPRDIERRNHSEVTPRASRSNTLTPARNQAEN NTVTAPSSDGERALDEEDESTRVANTGDNSSQDETPGKIWKGKGRA LY89DRAFT_598522 MFSRTPERNANPLDQPTPRPLYKDATSDLVSRPNREDDFITSTE YSRRPLDYSLSPPSPENDVPELRFSRTSSNDGNDSSDIPRIRVITSSPSPSFHSYDAS ERGQITSGSFDTAAHVDFSNFSSAGGLLESTTSHDSETSDQSPPTLEDQLWDCRIEWP PQQNTYFVPIDEMKRLVTLSSITMELQRRGTIPDRLNQSDAVEFTQRILATALRLFAI LTVIGKSDAILDFLEEGLGDDDLPFVRVDLQFGGSNMSLGSRLRPEIRMKCILRWTRM EISNFLRDQWWMLAPVFKSPGGRVRHYMLDDFFILPYIQDEQHSGRALSGGYSSVWLV KIHPAHQKFYPENHNHVNPPFAIKRLHSTKQEDFKLEVEMLKVFSNGDHPHLIRLLAT YEYKGSYFLIFPWAQANLRGYWEANPNMKRTPSSLLWVLRQCRGLSSGLLHIHEYQST SGPFQDINKQEHLYGRHGDIKPENILFLALDGTSVVPEENYGNLALADFGLTEFHNIK TRTRSLTRGTTTRGTPTYAPPEVALRQKISRAYDIWSLGCVFLELISWVVCGTEGLWQ FQEGRGETNSDGFINDDVFYTIIPKPDRHAIVRTSVQEWMRDLHEKPVASQFVHDFVD LISQSMLVVEPAERSRIGPLVGKLQAMIDKAEADPSYLIESVPYPPREVAASNFIGTR PSSPSQKVIFSEG LY89DRAFT_676086 MLARQSRNLSEKQLSLEHFMRSISLTERSSRKREQILRRLSNCN EALGGLSRAAAPFEYSSSEVSNTPSSKIQRSYSQRRRTIADSVHNLLTTHWLDGESMA ERDARLLLKSYNKPIAGLEEHFDVWFMVSSDPEAETRWQESGIWVIHKDEYVPLRASV QSSTVTEMELTTLSAGTSNGALAHSINTKSIRFDIIHRNGLVDSLSRTGLWEIKEQGL GNLIWEGAKHAPRHLDIIVQEEKLYWTSDLPRNNSGLQHFISLQQILKDGLLKQEMEK RLLKRCTLAYSLASSFLQLCDGRWSPCAWTCDMISFFYSGDSTLDISRPYLSTRFEES GSPSIVPQESSEFRYRLHPYPHILALGLMLLQIYLASPIENFRIPGHPNNDKNAVYNI DRDLGTALVMLEKCEGNSMKEYNNAIKACLAPQLPIDSSLNEEEFRQKVYTDIVSPLA LTLRDGYGNNVENDDWVSRVFSDDPHLQVPAVGNTKLSSVTEKHVNGSSPQPESSSSN NGNNNKINADQWLLELAKSIHPLLNRTSLEKMSPPFNKIINRRGNIKIAILDTGIDLP ISALETNEDRLMGWKDWVNGGAEETAYIDQDGHGTHCAGLILKVAPTMDLYVARVFEK EDDRETITPSDLLNRRIAKAIRHAVDEWQVDIISMSFGFENDIDIIESAVDHANTKNT IILAAAGNRGGLSHPAWPARSKKAIGIHASDGFGNKGPFTANPTDGSANFSLPGVDVL SFWPKHLGPDIRVRRSGTSCATAIAAGLAGAVLDLVGLHLSRKESIEHEFETRWPKLQ SSDGMRVAFRLMAGGRDGILRAMSYKGLDIQNFAFFAAENIPSFHHDDWLLSRPHLLD RAAHKKTYFLSPNWDILPDTSVRLGSIIAHSTKPTRPLNGGSQLPPSPAPTTATVQDW HLERSKLIEAKVGVWLSFLQFSGIGGDVGYNRDRKDEEVYKCKRLETEHFQPNKKYIF DSLGDSEVKAYIEEGLWKKPVYMITGVKIARGFSVTTEKKKSNGGEGKAGVDTTSIGI PVQVGPEVKVVYTKKEKMTFKKSTDCVFAYRLIKIQSKKGDKFSEDDYNKGTLLHGGE QNDKEENVEQFDENWDVGELLEALNDVKGGLEERVVSEDD LY89DRAFT_657561 MSNTISPADAKILKKEPLDTKDARWTRLILTTYTDPQGKERTWE HAERPTRPKNSEVDGVGIVAILDKPTGPEIILQKQFRPPINAVTIEVPAGLVDAGETP EQAAVRELKEETGYVGVVSETTPVMFNDPGFCNTNLRMIHVTIDLSLPENQNPKPELE ENEFIEVFTIPLKDFYPELKKLEAQGYAMDARVATIAEGFELAKRWKL LY89DRAFT_676088 MATFKITEKGMDVEVKLVEDLTKEELLEFPAFKNWLTRLTHSLS LQESNPSHPFHKSPYALKSLTIQSFTRFPHPTPRLGFLSLTASLLNTKNESLPGSIFL RGPSVGMLCLLSPRDNPAEKWAIMTIQARPAAGSLAFCELPAGMVDGGGTFAGAAAKE IKEEVGFVIEERDLVNLSELAGRMGGAVGRGEEGLEWAMWPSAGGCDEFIPLFLCEKR VERADLETWTGRLTGLRDEGEKITLKLVKLEDLWWDGARDAKALGALALYDGLKRSGK L LY89DRAFT_598047 MSYYDNQQWQASGQPSWEQQTPPARSGASSAVPREDSAAFQTQL EEVDRAMDNLMKSGKMFNVPGRRDSMPLVGPPRGAFPEQFDPRMSAGPPRHHSVSDFG GGDARSFSGSNLQSFYASQRHQPSRGANEAEQVMQAKRRMAAQRERELRNYHQEQQYN RSIVAEISTFGGKPDRTMSPGSGMSEEERRELIARQRSALYGEGSGFSDNGGFDENGT PRPGTQGSGSQSATGIRGHSPLAFDHYNAQGQGENSNQQASAEPQSATGQAPGQQRSR ANSTSSPSSNPTSSFSLFESAAQQSSRTSTSSPGGSPPRQSGKAQNSSVAPIGTRPSG QASNPALNKRSTTPLPSPLSYGFAANEEGKDGRTTSAASNPNSQAQHEVGLGWGSKSG VWGKSNLNQQASVWG LY89DRAFT_282740 MAAEERRKGKSVRVRRRKCKSGSGEVRRRNRSRRSTFGGRLGFE VGIGPGTEDHSSGKNSACRCRRNTSEGRDFFFLSESGGRSDGGGGCRWEDRRWGTGVA RVKCRVMGLQGGYRSRNEGGEKGIGNYLAVIELDERRETDGETRREKMDRFNQVRINS RSVE LY89DRAFT_690330 MEFLSLSLPLCQEFVWGLLLPEMREMRLDMSAVPIRPLSKCLHA SPLPRRRRRRHDIASVPPSNITRGSLSESCRSHVR LY89DRAFT_598036 LRKLTENATRSITLIVALATLTSNTLSSLLFAQLPDDPFHLANN FGWYLHFANILSVFGFIGALRQHPLSIAIFANYLILDTILCSIPRFLLLGLLNTFSST LCSSYPTTSTTTTTTTTTFQYQNARRDEWSEEGCIRVVELAQLTLAAGVIAATVLQFV GALCVREYARRLWVKEEEGVLVDIEGDLERGEEARLVVEEERRRMVEEWGFGGLPVIR EEREEKV LY89DRAFT_282298 MEGQSSAGNTQYQYQSAQWSRLVRRNTLADAVPVSTSSIAVPAQ RPSQVDLIPILLPQHSSYHQLQLAQYNRLISSGGRSTRLVTSPLPPLLSAQHIQAVPG QRSGSSSGTTARNNKSTSRVGHGNKESRKSSKGERALITEKEKAAKMPAKKLELSNPL PNRPHPQHTTSTLSQQSSSVPSTPHQHARKFSFESREPSPNATNGHSPRSAYSESNIT LPSARPIPAPRGGCRYETAMAHTRRRMPYSLGAEKLERKNLKEIKSKLSEDEERILST DMRELYDRLLPSPEKDEKRDALIRKLENLFNTEWPGHNIKVHMFGSSGNMLCTDQSDV DICITTDWKEMEGVCIIADLLARSGMKKVICVSTAKVPIVKVWDPELDLACDMNVNNT LALENTRMIKTYVQIDPRVRPLAMIIKHWTKQRMVNDAAFGGTLSSYTWICMIIYFLQ SRSPPIVPALHQRPHLKLPSTDGVPSAFADDLDALQGFGNKNKESLGELLFQFFRFYG HEFDYDKFVISVRNGKQISKVEKGWHVTNNNQLCVEEPFNTNRNLGNTADDTSFRGLH TEIRRAFDLLCEAKLEECCEEFKFPEEEQNKVWQRPPQKPKPILRSTSQSSNRGRGGG GHRNGSGRHNNQHSRNGNNNRRASSGAFDAPPQYHPGLPLNMSTQEAWLQQQAQAKLH NDLYATYSVLQAQENSLRLQLYAQGLQNQAYAQSQAQAQAQSNGGAIKQQQATDRNRT NSFDQPPLTAPIRPEMYFYPLQYQAAPVYGYQTPSTNPSSPSLSSAVPELRRSMHRST VTNGSGPGAGQPNSSLRSHSQPATRSGPSPLALQGGQMMHPGLGIYQNMRQASGVSIP NFIADENLETGYEPSLSSAVTPPEDSMRKEYVGYYVSDHTQSPMRRQSAVPMAVPAFG DAIRPPRRLSTDQLPQSILDRLKRPSRSPSPLGHDRSYSTGTHSAPLTAVPSQQGISH TNLRAFNHHGPMVANGSNPVPVSIPNWQASVSEGSMYEDRGSDGFSASLDSTSHSGTG SDVSIEQDLSGQLTPREPRLEGRPDQPLVVNGSFALSSESGSTVPTTSKTKGAVPHPI AVPNGFSSSEQINGPPKLSPNSRNRLARQNGGMSPLDIGTGNNEILRDDLPHLSPVYE TRTPSPTTTRKFDPLGQKANGLPSRGAEGKPGPLNTTPKPISTNGTQVMQGSPVSKAN GHTRASKSEGASGAWQKIQKGKRKGPAEMKNGEIPVQSERLPTQDSERKGG LY89DRAFT_701359 MFTKLTTKIALRKAGIPSNALSFPDTTGGDSKDGSGGGSSPFAG AKDAWQNLQVPKALKSWTTPPPAAVEVAPAPVLGTRAPRDRSGKLELPPLDGRPSIVI FLRHTGCPFAEKTFIEARRLSNKFPRLSFILVSHASKAATDRWVSQVGGAWSMTVVVD PEREVYAAWGLGVSTTYHLLNPWTQMAMRRLGTEGGIWAREVDGSANRWLVGGAWGVD EVGTIRYGSASKTADDIPDLVEACKALGAY LY89DRAFT_761821 MTDEQKDKRQSLEVPGAESGGEAAAGPGSTSASRQERSVTPSAR AAEAEGMGAPTLQRAGTLPPPRARSEDAENATPFNRRRGRTISARDKALDPRPMSPRS QLFKRPSRGRGDSSGREGSMEPIPESRQQQSSTSRPRRSTASERPSFISPGMRPRMST WAVGPDPVRGNSIQRRPTILINPPAQTTGRDMDAQSANFTLAGAAPMDQIAANQPYVD PGYADLNPAYDQPVNARPVWGLAKPLPRVIRPGMVPTRSELKLQIPEAEQQKQDAANI DLEQGRVQATLNPSKISPQLQQARRERENRLMQTYSRTGLSPISPINQRPSSVLSPSS QVIEEEGEQDLGTAHPHTDLAPVLEQPTPGPTRPGSPEEQQQQQQPDLSHWIPDDASS ILTAKEDENEGALDGDWIGEEFPLKAYDPETDEIHNLHTHWSVIRLRFREPLAELLAV TVQLTLGFCADLVVTTSASKAGNEETTDWAWGLATMVGIYIAGGISGAHLNPAISIML YIFRGFPLQKVPIYIAAQILGAFLAGLIAFGLYQKDIIAYGGADLANSGTLSSFITYP RYEWIDASTAFFTEFTGTAILSVAVLALGDDTNAPPGAGMNAFVLGLIITVLSMAFGY NTGAAMNPARDLGPRLACLAVGYGSEIFKNGYWIYGPWVGTITGACFGAFLYDAAIFV GGESPVNYPRRRIVRAGRKWKRRWAGRIRRGRGRWTDGLSKRGREWNESRLRLVGEWL ASR LY89DRAFT_676095 MEQRKEEVTRRPLYVYDLPPDILSTLARKDGASEGPQEDSTLET AKTEPVEPRTEDAVGSKACSLCGVSFHTVADQRSHVRSDLHGYNLKQRIRGGRPVTEA EFEKMIGDLDESLSGSDSSDSEDEEDDEGRKETTLSALLKKQAAISSSKNGDADDFSP AKRKRGSGKTPLLWFTTPTLPTNTYLGIYRAIFTNAEQEKEPTILDIIQKKQLYPKPQ KKVPTDSNNGVPLPEAYKGPHIFLCMIGGGHFAAMVVSLEPRQTKSSATGPLSRDATV LAHKTFHRYTTRRKQGGSQSANDNAKGAAHSAGSSLRRYNEQALTDEVRLLLSDWKGM IDTSELLFIRATGSTNRRTLFGPYDGQVLRQNDPRIRGFPFSTRRATQNELLRSFVEL TRVKVLEVDEAAIAAAKVAAEAAAQQASALKANKQSAPTKPKLSEEEEEALLHTGQLQ ALVRRSKVPALLSYLKNNELLPDFRFHPLDSKQNFHAPTPLHLAASQNSAPLVSGLLN KAGADPTVLNGDGKTAFDLSGDRATRDAFRVSRQELGENKWDWEAAHVASAISKAEAG QRDKREKAEEAKKEEDRRKAEATRLKEEGPKIPDTGPLGKAAGRGRAIALQKTAQEKT EEEMRGMTPEMKLRVEREKRAKAAEERMKRMAGK LY89DRAFT_724320 MSSCFGSRKKSKRQGDREPLLPQYEDDTSLQRVAHQKLHTYQML RALSKGFMPSTEQTIVNLRTLLASDVLNPDTPGLSQSGRKLMYYSKTWLQQFIDLLRN KNDGDQIQDFIWFMIHARLSVDTNDLIQTATSTRAKADASAAYESVKTVGSLLLTNSD FRIFLSDLNTIGRQVFSDTAHTISNVAEDAAKQIEPSDEQSEAVKEPGNDDGPAPTGE ELGAEAAEIADVVANGVKKTGIDAGASFKEHVSGNEGETLKNRLKAAVMKLRKRNDYS DSVSTIGLLIKRYAKVYSRAVDKTISTVQEDVDTNDELDRAIKNGWSLLSSFGDKDAW KELEKRFNKVMEHSQKDPEFENMMEDVAASVQKMLTDPDFFDSASNKMEELKEKSKEV GTESPLRKDIDALLQQARRTFDSVVHDEDVSKLLQTTLRIWSILSPTSQVTNPELLTD TYTIFIPLLIQMIQYLPIPRLEISAPEIDLLLENLILEPGRTINNTSFLPFRLKVETY NDLSIRKARFRTISTVRSLCTIKVQGLSIRADEVGFWLRAHKGLLRLADEGIASFELD DRGIDIELDVEIGKEKLEKMLTLRNVRVKIHHLSYTLRKSKFACLAWLFKPLLRPILR KVLEKQLSTAIADFFHAANRELVFARERLRATRISDPRDIRTFVKAVMTRLTPEDDPD LYTNVGVRGAAEQRGNVFAGVYAPGSVVKLWDQEAVEASERVDESARAARSWRNEIFD VQARAMGQ LY89DRAFT_690338 MNSMHRQFGKLMKKGAGDTANVAVLLNDYEDADKMLAKIIEASK AWRDAWVSILSIQVSTTTIFEEIYQPIVGASDGHGHEPYMTPRPQLDRASKLKEAYSE LKTDLLEEVGMMDARVIKPATDAKEYLAPIKKVIKKRENKRLDWERYQDKVNNYQRKL KRSDRENAALAKAEEELGRAAEDFRVADDRIRETLPPVISAAFSILPHLLAVQIMIQN TLLAQYYTTLHNYCEDTGFQSPPPAMEDVISTWNRDFMPIKQEVESINCIARGKVVHQ PMTLGDERKNSSVTGLNVRNGFRRPSGQATIDSQPVSPNPRARVMRIPSTNSLTVVSP PAPEPTPSPEPTPTYASPDYSTHLTPASSYSAHSPAGPTGDYFQRAAVQKKKPPPPPP KRIGSQNSGVYAVALYAFEGQSQGDLSFREGDQIKVVKKTDSTDDWWEGELRGVRGSF PANYCKLS LY89DRAFT_598276 MDVSQTQNTAPVLEFRCLYTQDLRRKQKRWQDGRLKFHTFNKRV MVYDDRSNFVGDTHWREDSEFAEGEELELERSGTLVEVGECVGKRDQDLTELVDKRVK EREERAAAKVTSPAVSLFRSQGTPTPNVLRSKALNTLVTPNGHYGRALLPATSPFEER QGLAGGQEKDEQERPAKRRKPNEPTSSRNGYAQNLMGATLNLTS LY89DRAFT_741014 MAQPSPSTAQQPTPTSPPSQQYPTYPLPIPFEKQAKALQLYKQY KLEKTLSQQPQSATSNHHGFHLNIPAPAPPRSTTSVGSSRHHRALSISTSAYDAVTDL SSVVSFDDNESPTSRGRPDELMSFDGKKIKQRRRSKLNPTKRAKAALVRWLGSCWVCR SRRVPCPLEHHDIKSLEELWQASEGQRAPRKTSSSGSDTSQGTTTFTAPKQENASNAS QSDALVGIGADLLEDLGKFDTTLDIQSPADEEQRARIPAPATINFVAPNLHVLDPSPY SSYQNGQMVAIGVYRNGLFYCQHLGGLCQEYFDDAEMLQIHFEFFHFAFTRIDPAVRY ICSTSNCLVMNENPTGPCYNCGTHNSIEPWIYGHYIKVPSFQRHAPDGQPVQGYDAFQ PPWSYSFSSPNSQWDSDVNGNYTDFNAPGNFTFNNNNAYGGSPYDYNASQDSDNGGGQ SPANMFGGTRYLSLDTHTTPLVLSAKVEQKCQRQLYLALGLCLVVFATIGLTHDWILP KARTVFLPATIAMNSHLPLVGFFGIVASFATSFSAKHLAGQRSQSRTQFPFEAIAHSK VPTYFRQSNPLTYVHVGDWS LY89DRAFT_598037 VSAMASTNHFTSFTITQPFLGAPLQFQPALGSKELEDLIDLYVT GSASKSNKLSEITIDFYNNATVDLNTGALTRTYNVLSQWSTNEQSPASQSSGFSPVFT TSPGSSANFGDSGYGSFSMTPPTNTRGRVTKKPRKETKKEETRLPGFSIMTKDGIDVT SSAGRGTKTKEQREHAHLMRIMKACPDCKRKKIRVCLPLFSLQRYFANRVCSAIHHIE DPKICHAHLPLLPNPLLPRHLTRSRVPRVQCRP LY89DRAFT_283032 MSLAGLETQDLGQFNFDINDLNPFPQNSSFDFSVDSQLSNFQFD NNLGHTPLMADQYGLDQWASAFGGEEMPVHTRPHESSPARDSGRLDSSFQTSWLYDVP DVSPTTTESLAQGYFPDGSLRQPQPFQNATTDASPLTSASDWSILEASMNASPASQDA STPASSESQSNSQIRTRKRRAVDDDSAEATLPLANQRGSGSGIEVDPSDIPHPGGDGN SLVSSSSRQGRLFTQRNAVPQASLRTLPSSPVISIAAFAESCQAACDALMSISSAPVE ATSLRRDLERLQSILHQVQSDSDAHLPSTVLQELPSLSSRLQVISAPSSEVLSDSAQR HRYNKPDPEIRADYLRECQIQARRIVRSLCATINSVQAHNTGTASSTDDLMLTSGRNV HLFASASRSPSPESGVQMWVESTSQWQMMSDSPSPMDDSTASASRANVQAAVASTVSN DEGRAGYRSLSGGAVLIANDLASDGPLASTVSEQQPLSQSQRGGDQVSTSLPFFDEDL DFDVQVHRHRSHAQANSAPALDTINSAASTLSRVDDLAQASSSPQNRDLQVRRTENAP PSSTLLSPGTAAPTSLVQSTANPQATGLAMQTSPDAPLGLPATTTTGHQTQSLAAHQL LLPLLATLFASYIASSNNALSPSLQYLLIPLALSSLLSTSIFACSSIFLAALSFNNLD LPRHAASQWVGSDPVVAMVKLTLCAVLTAQLPRFLVSRARMGVAVMGLLSMFDGQGCR GTGTGSLSTYSEEGEGRLTPTPEWLVEMRELTPVVVV LY89DRAFT_690340 MSGLEKALFNLKFTAKQLNRQAAKASKDEKTEKDKLKKAIQQGH NDIAKIYAQNAIRKQNERLNLLRLGSRIDAVASRVQTAVTMRQVTGSMMNVVKGMDQA MKAMDLEKISAVMDRFETQFEDLDVATGYYENATTSATAVGTPQEDVDRLMNQVADEA GVELHQEMEGAKAVSAAPVKSGPTEVEEDGLGERLRALRS LY89DRAFT_283039 MPPKPKLNVQNFPRPPLIERTPRHLQIKWHGQTIADTKDAFWIL ETYHPPSKPPLSSPFPPFTNKLPTAYYLPPTCISLPLTQTSKSTYCEWKGWATYYSIK SDNGEEVRDRIWSYETPNERYGALKGYVSFYAGPWDCFVDGELVRPQPGDFYGGWTTG ELEGLIKGEGATRWL LY89DRAFT_741018 MRTTLSTIAALATTVQYASAGFSDASAFSCPSNTNNECNSQQSS GFDWSSLGLGSFSSYGDFSFEGWTCASSFGGSSKRDVLTGRSFQDKCITGSASTDVSS CPSFGCGSSSDVSAFSVTEFQVSVEFDCELEFHYSMPGGTTCKQKSSCSTGGTTISNT QCGGAKNVTVVYPSQSSGSGAGKSSCSIGVHSIGFDCSTASSTVYHSTTTKASVTKET TKATTPASSFATTTSVSYSMTVPASSTSESIASTSSTLAIESTTSSVVESTSSVVEST SSTLVESSSVETTVAVSTPSTTAFTTSTVFTTFVSTIISCAETVTNCPARSTVLTTVS VAVSTTICPVTETETSSLAVSSSVESVSTTSSAVGVASTSSSVVESSSVSSSVETTSA VVSSAAVSSTVISTTPSESEVITTVVVETSTTICPVTLTHVTSGSTSLETSVSTSTIE ITTTKTVCTKCEAGSTTSAQGVVSTPSASATTSSSGAVSTAPCPDVLPSCLNTWLFSE GCTSNTDSDCYCPSSTFVSNIFACLSAYGASDDEIASAQTYFQGICAAHVSSNPAIIT AASTSLSTTAAGTVTAAIPVTTVQVYTTLVVPCTETTGISAGSVIPSSSSTTVISTAV TVPQVIFTTVTGSSTASAALVAGTAPATVAAGTTLAVPASSTFIVAASGTSASNGTIQ ASATPSQQVTSGAGRSTVAFGSVVVGMLVAVLAL LY89DRAFT_283069 MEMEAKVKLRGLSIFPPQLPTTTGRIERCCFACASRGALEASSN LFCSFPYRLVSTYRNAAVALRCVAFCCLSPMLCHVVTKLPKKARFLALDLLVKKKFKY RTTHMKRTNERTAHHHHHQKESPCGGCGEIEL LY89DRAFT_283071 MATTSPEEEFTLLPLTFPQDAAEILRLDYQVYTTSPLHQSTITT LPSLSQFITDRTPHIVSRFAKPGSFGAKLVLASQPEKMVSYVVFVAPEKDVRSDEEWE RDLKEKIEKRDDKVRKDVVFKLMVEDRRLNEVYLGKGYAGRWWELQGLVTDEEWQRRG LGTRLVRWGMERVEEDVRRRNAEGDGERVEGCHLVASPEGARTYEKAGFVKVGEKEMH VKTAGGEKYIHAWFVKRVE LY89DRAFT_283120 MTTPRPLKVLYHLHPNLDTLDLCGPLELLSHAHFPSTSSPQKAF LPTLTAVSDLITTDQGLKIHPDIPISTAYTTLSSYDILIIPGGGSPGVLEGKTEPLEL IKAFFSLPKKERKDDDPLKGERILMSVCTGSLFLAAAGVLDGLAGTTHPYYYGKFREI LNGRGKVLEERFVVNGTGEEGGVRVVTCGGVSCGMDGSLWLIERVVGREAKEKVMEIV QYNGRDGEGVIV LY89DRAFT_690344 MYAILLDMTLVLGHPDVAMTAEYVAQLLKPGLVTMILSPPSILI ELAEDPSAQQDLAKLKHVAYAGGPLRPTTGDKLAKMVPHLSSYIGATECGWFHTLSGD NKVWDSLKFYSDIGYRFEEISDGIFELVIVKDDRTNKYQPIFQVFPDISEYRTKDLYS ANSEAPGWWKYRGRADDLIVLSNGEKINPIPLENVIGSHPSIKAALVIGEYRFIPSLL VEIDAENYPETESERHQLLDNIWPVVQEANKIAPGFSKIPKSLILFAKPEKPFLRAGK GTVQRQLTVREYAEELERLYESQEASLLSEGLTLTKSTTLASIATFVRQIYLHALEAD DLSDTENVFQRGLDSLLVTIIVQRLRAALTVCEIPLKIEDINPRFVYSAWSVKRMTEA IMGLANIQNEDVKANGSAENLRGTHIRFMIDKYTKDMPSASPLKHSNGVSTSSAPWSL ILTGTTGSLGSYLLAQLQTLPPSRLSKIYCLNRSADARERQEQSNRVRGLNTDFDERV DFLQADFSEPDLGLGEQKYAELLRNGTVIIHSAWKVDFNLTLESFEPQIQGVRNLLDF STKSTKRTPVIFISSISTALNWLDINPSETVPEEIIQDFAAPEKIGYGESKFVSEHVL DNYSNTTGLTTAVLRTGQIAGPLTEKGFWNKQEWFPSLVASSKYLGALPATLGSMEVL DWIPVDLLSSIMIELTEQILEQDDRGTSQTSVYNLVNPKAITWSEVRTDVQNFVGVEK TVTLKEWVSMLEQSSREKKGAVVETNPAVKVLDFFQLMSEKDTERVSRYEFGRLVQDS PTASEMTAVSAAWLKLWVRQWKL LY89DRAFT_724329 MMEVFINIFNKRLRSEEGYDSDRLAKQIKRENDGETRQSKAKAA KEAKRHSVTLRRSAALDLIRSKTLQHEDGQIPTFTVLLDRVHKILNKADPISEDMIRV IVPYIVSIFESHLKAPVDVEVYIRLALLKPRKKFFVRAIFNALSSQIFHQVFQSAAPG LASHALVDNILAMPLAIGPQQLAYYESNRPTLPAPPPVYQQFQQLEPEVGSAHIDDKV STLVQPPVMEEHAVAKQLEDVCSLSSSILLIDLISDAEHVANVFKAAQYPSTALWLDQ VPVPCLQEDFPAAKYLMDVGTSSQGAQSLSTAKAYFCHLCPKGQTESVDCFTEHMLNN HRIISTGEITQNLSPYGCRYCFGKWLSRAELFDHFMSSSECVIGSVPVSSDRWD LY89DRAFT_690345 MSSKRRETALAFLAAFETLDADAHLSLRTQNCLNIFRPAAQPAP LANPEFGAHINRLKTVIERFPVWPKEVMEDEKQNRVIIWAEGELVIKKEFRDEGLSEQ QWIYRGEYMFVCSMDESGEKMERVVEFLDSKAAEQGRSLIRRARANLERLEKEGSEK LY89DRAFT_788193 MVFFKSLVAVMATISLVAAHPGDSVEAIKREMAMRNTQHAAATR SLSQCQNSAEALALKQRAAARRAAKVKELRAKRGLTTESLSHQKRDLTSLEYYTTVNH NESAALGYTLDTPEATIFGSNNTCALVPETTIGPYYVTGEYIRSNITEGQAGVPMHIE LQFVDTNTCEPVSEVLADVWHCNSTGVYSGIDTSEGEGGLNSTFLRGVQASDEEGVAS FDTLFPGHYDDRITHFHLVVQTNATLLPNDTYTGGTTLHVGQIYFEDALVEAVEATTP YNTNTIAFTTWEVDGWMLEEATTDYDPFVEYVQLSDNLEDGLLAWITVALDLSSNHSS NLTAAAHYYSTGGVAVSGSSKGLPPSNPNAS LY89DRAFT_542146 MFCPFCAISTAIPPSTSPALFSSPKGSAYPVLATPTVIAFLDIA PISPGHILLCPRRHVEKASSMTGAEAAALGFWLPVLGRAVIGALGQETESASWNVIQA NGSEAGQTIPHSHFHVIPRSAPGNGKARDASEIGDAERKNIALGEGPRVKLEDGEGRK LMELIKEKVKGEVE LY89DRAFT_788195 MSTSTVSREELIKELLNFDQAHLPRSANQNWHLPWQWKWDPRGP KGQLHKNPKDFHGVEYPDHTPERNTVLGSGCFGEVRRVLCNGRVLARKKAIFNINRPR RTVPNSREIQALKKVDHRHISQLVGLYILNDDLYSLSYPVADMCIRDYMRLNRTGDAT WVNTLINGMGCLSNALAYMHSVGVKHRDIHNENVLVLGGCLIFCDFGGSNVSNVRPIP IPPGPFDHIGPEPFHWDDARKIDVYRLGELFEQMVKAIDGGRDVERWGFDNPQQTELD KHNLRTFSGTPKQIGRRDFRSLSKAMTARDERDRPDAALVARYLRSGHVKWAIQQRSW SADADDGDIYPNTCGECCK LY89DRAFT_676109 MEDTKSYHDIIEANKDSLRIFHWIYWVFLSGSTGLWAIVITWSI LTYESRRKRALRLKEIEEYALDEVGQDSDSAVEDLIEDLVRDDDVEQAPQDPCRISLL SKSRRDQAGGNRNGYGAI LY89DRAFT_283232 MEPPSKRPRYYPSKNMIRPIMSASQPASAIPRPGTPRPILPPPR TNSTPHPTTSGKQLPSTTSAFHRRRTRANAQVLVDLCPNPYVPWVDPSGLHFSPKRRA IPVPRSSIGYPPGFLGQNDSAWDKELDLKLGEPAIPVKEVYRTSFLERNKGGVRMFWG RDDWEVNEGLVLAEEVRVREKRRKKRRERERKGALSAAGRKRKRLMAAVKSEEVEGEG EVKIEEDKEDVERMDKGDIPEERWTAGTSIVLGLLRSPR LY89DRAFT_690348 MSNSPSKQKADVDTRWIQQLRRNNKTTPSWACTLCPERRIFTSN QALWEHCQSDHLQRLPPGEDALHKFRQEYEAECAQKTSRPTRDDSKRQPNLNEANRAG PEPPPSKRPHSTPTRLAAARSISGLGALNLGTPEDVDMRDLSDEAADGQPRKRAALGD GISAGSASPFRDSSASPPPRRSKARPTSAPYTSGETEPDMYDIQRPANPRKQLWTEQD TPLTRSSVADPSNIASVQVQRARKLISQPKIQKPLPVSSSTRTPLAPSNNNAVNKSAS SQNLFSSTSDDNYDIILQPETRPISQEQLVAEVKGIYAGLVMVEAKCIEVDNKQAMLA QGDPQPPPKLNNEQWQALIALHRTLLHEHHDFFLASQHPSASPALRRLASKYAMPARM WRHGIHSFLELLRHRLPASLDHMLAFIYLAYSMMALLYETVPAFEDTWIECLGDLGRY RMAIEDDDIRDREVWTGVARHWYSKASDKAPTTGRLYHHLAILARPNALQQLFYYSKS LCVAVPFVSARESILTLFNPVLDADPTHGQLRLPPLDMFFVKAHGLMFTNKHIEKFKE TKKEFLGLLDNQIGRVTRKFMEQGYHIAIANSVAMTGFAAKDNVLMQLISPNKDGGDV AMAGNASQQSMDTFKLAVGLSNDTLRIVLQRIGDPNVLPFLHVVLVFMFSMTRHAGAM DLLQEDFPWDLLAIMLNTLLAQYKTLARIEAEQFPLPAMDDFRPFPEDFALRGLLWTE NYFPPEWFTHEKIDEEEKHHERPSMTDERKERILWLACRLAQAGPWLTYDGTSFKAQR DKSSFGGVVPVSTTHV LY89DRAFT_690351 MGEIGEHRSTLLHCPYRSFSCDDLKQNIKSSPSARSSEKRDHIE KSSKLLVKPSATPSKITMLFKVVSLLLLLSLSKLVWSKTFPAGATYYPDLLEVGAEEL VAGLESGAWTSVDLTKAYIARIEEANPVLHAVTEINPDALLIAATLDAERANGTIRSA LHGIPMLIKNNIATMDQMNNTAGSYSLIGATVPRDSTVAGKLRAAGVVLLGKSNLSQW ANFRSSNSSNGWSAYGGQVTGAYYPNMDPSGSSSGSGVGSSIGLAFAALGTETDGSIL SPSSVNNLVGIKPSVGLTSRSLVIPISEHQDTVGPMARSVSDAAYILSIIAGKDINDN YTLAQPFDTPPDYTQSLNFSSLRGARIGIPRNGLTPDNTSQPILDAFEASIQVLKNAG AIIVDNANFSAWDQYVADANAPVGNSTIVLDADFVSDLANYLSKLTSNPNDIKSLADE SNFTHTFALEDYPQRDTAVWDQSLSLGYNNSDYRFWQAYQYTSFFGGEGGVLGALKTY NLDALILPTDYSPGLPAAAGLPVVTVPMGYYPSNSTVIKSQTWGLVEVGPNIPFGLSF MGAKWSEETLISFAYAYEQRTKVRTKIQPYFVPNTQLGDIV LY89DRAFT_598068 TMYRIEQHVLPEIADPLAFKASFLSDFSMLSVTAAILAQVAITA LSLQNLDQVHRTANAGFIVSLVKACLSVWTSTSASQMLTGLDTTDALRDWLSNPAPKV TRVTLE LY89DRAFT_283596 MEKIGLAQAQANFWIVSITVWMQLFTTSSRIRATRKIKIFGILT SSTIVASQTVFEALIGNKSIESAMSEAKLAQTKRHLSVQVVGTCQDIVEEGEFNCNTM KRSFHSERIAALCNKEAFDKYIVPQVIFFLDTCPSPRSHFARLSIQIDGWIFFSLEDT LY89DRAFT_690354 MSAPQELHDPHSGDEEEATMLDADEAAEEILDDDDAAMDSGDDE EADPNDDQIMQEIEIQNDSAAHFDSFKDSIFCIAQHPLLPNIIATGGSEGEDAGGVGY IFDSTPKEADTPVLPPSYQSAPSERTERKSLESLFKLEGHTDSINALTFTLPRGEVLL SGGLDGKLRAYSQKDKSGQKWAYLAEAQEVEEINWLIPCPNPAYENTVALGALDGSVW VYTVDTSSKDAPLQIVQSYFLHTVSCTAGAWSSDGKLLATVSEDGSLYVWDVFGEGAA AGLTQGEGGAYVVGLTSLDQRFAVEGGLYSVAIAPTGAFVVVGGAGGAIKVVGLPRLG GDASGAAPAGRSGAGAASKSKGGKQVGGKASGSAASAGQAGQILADLQAQGDGIETLA FAPPPLTLLAAGSVDGSIALFDSAHRFAVRRHIKEAHEEFSIVKVEFVKNSRTGGWLL TSCGMDGVVRRWDTRGGTGMANSGFVKEWKGHRGDGEGGGVLGFVQGDGTRIVTAGDD GISLIFEAPIA LY89DRAFT_724338 MVFTPPSWVPALPFDPPDSIPISQFMLDDTYGRCPLKDSRNPFT CGLSGKTYTALEMVDRVDYLARSLAKEFGWHPNKGTEWDKVIGIFALNTVDSMTLAYA VHRLSGIATPANAAYSDSELEFQLKSSGAKALFTCMPLLETALKAAKGAGIPNNRVYI LEMPKEFSGTQSVLFQTVGKLIDAGKTLPKLEALKWEKGQGAKQTAYLCYSSGTSGLP KGVMISHQNVIANVMQIAAFEKPIREKRPGTGSEVVLGLLPLSHIYGLVVIAQASTWR GDGCIIMPKFELQSFLKAIQDHKIVTLYLVPPIIIQIAKNQSVVSKYDLSSVNVIFTG AAPLGAETAEELQGFYPSWKIRQGYGLTETSTVVCSSPETDIWFGSSGSLLPATTVKI VSMEGVEITGYDQPGELVVQSPSVVLGYLNNDKANKETFIEDTDGKGRWMRTGDEAVI RKAPSGYEHVFIVDRIKELIKVKGLQVPPAELEAHLLTHPAVADCAVIPVPDDAAGEV PKAFVVKSSSVGLEENDRMVARDICKHVETHKARHKWLKGGVEFLDVIPKSPSGKILR RLLRDKEKEARRQKGAKL LY89DRAFT_598097 MDIEPEKFTSPKKSRKKAVKVRKAAYYNNSTESKPDPHDMPQVW ANKRQQLCETLPAYRAYQSGAYTSEGILYGFLVDAEVGTLDKFDDQIIITTIGGDRSK DDSGNTVQNVSKDNKASALAAERTMRDGGAVLVIAGQGNRLSPSKLPHYYNVLGWFKV TDVWRETDKKIWKIRLEKIDLTERSWWAAYGTYPAGTDPLAVDYESPKATIQVCGACH YSSKQLYEQGWVCLQSHCQQFFKFSDATVETSSLQYSDAFLRERTKFTGPDPGPLSPP LPTQADLDGSGNTPYEKIFRAGIICPECGCCSRRVQWHQWECENDACSFVHHLKPRVI RVEEALAMAKPQELREYCHDDIPNRAFPAGRYDVHEYVLPGSNNTVAGWIRLFKTCNV INEQQDGPDDLFKQLQDGSFKLKRNAVRCPGAPSEILTSHFASNWGAIYKYGVTPTTT GFSEAAPVILKALKRLTWAGEHALGEEMQEFREFNELLLLGYYEDGQIDYHDDGEKTL GPTVATLSLGADAMMKFRPKKKSTIGVSKGAKGNKADYLKIVLKHGDLLIMHGNGIHK DYEHSVKPAGKLRFALTSRFVIPELMPTEADAEFARIGGILPAGHEQYNYDGDVHAQL LHRPEDNAEPFGNMAVFMARRGQITTDEAEKIDNAVKDILGRRQV LY89DRAFT_690359 MADSLVDALERVHISASNSDSLPDDWIDSILDSTRPRKRIKQSP DALKASLEQKYLTPSTSFSTEWLNKLQQRWDTPTDYSSLYKIAPTQTRTITRFTREGL SGRVTGYKEVTVPANSATAKNSTSFLRKPANRADLVRGAAGFFPFAPGGLEGVEAAAA LEDQIVRNESAIQAEGSKSKLERVINFSGEGGLLEIPPGFERGGEFKKIKRDEVEVKE AEEVENVLEEEPVQELDDEGAKEGLNGTADSGDASEEDGEDDIDSLLPVEFPALEPHG VLAASSAKRGGREWAHMVDVKREITNFRELVPDMAREWPFELDTFQKEAVYHLENGDS VFVAAHTSAGKTVVAEYAIALAAKHMTKAIYTSPIKALSNQKFRDFRQIFDEVGILTG DVQIAPEASCLIMTTEILRSMLYRGADLIRDVEFVIFDEVHYVNDLERGVVWEEVIIM LPEHVTLILLSATVPNTYEFASWVGRTKRKDIYVISTPKRPVPLEHYLWADKSIHKIV DADKKFLEKGYKEASDILSGRNKTKALPPAETNSRGGGNTRGGRGGNQRGGNQRGGGQ QRGGAQQRGRGGPPRASHTPGHMGRGGRSGGRTTAAQDKTLWVHLVQFLKRETLLPAC IFVFSKKRCEENADALSNQDFCTATEKSAIHMIIEKSIARLKPEDRLLPQIVRLRDML GRGIAVHHGGLLPIVKEVVEILFAQTLVKILFATETFAMGLNLPTRTVVFSGYRKHDG HSFRDLHPGEYTQMAGRAGRRGLDTVGSVIIVTPGGVGEAPPVAELKEMLLGNPSKLQ SQFRLTYNMILNLLRVEALKIEEMIKRSFSEHATQQLLPEHEKSIKVSEADLAKIKRE PCGICDTDLDACHQAAENYRQLTLDLHLGLLATPVGRRMFSPKRLIIYNKDGVRTPGI LLKEGATTGSAPTVHVLEIRTRRDARDTTDLLPFLPKYRKLFTKMPSTKKAIAWKNLF IPVADIECVTQSILKGVIPEVFGREGGSEGQQGARDQLWRLCQSWELDEWNEMDLTRI KDLALRELIAKRNEQATNAQKAASLSCPQFVKHFAMCHDQWLIQENISQLRQLMSDQN LQLLPDYEQRIQVLKDLSFIDDNSRVQLKGKVACEIHSADELVLTELILDNVLAAYEP AEIVALLSAFVFQEKTDMVPNLTGNLERGMKTIIEISEKVNERQTYHQVILSSDDSND FVSRPRFGLMEVVYEWARGMSFKNITNLTDVLEGTIVRVITRLDETCREVKNAARIIG DPELFTKMQTCQEMIKRDITAVASLYM LY89DRAFT_657627 MADYESRPLPTGGPTAGGDEFDDDLFNYDADENDPFSENYVTDV AKERARAEKEKASKAALDEEVKITRKPQAPRVKLDEDRLLSAAGIPKLRNKAKHHLKF KGKGHEYSDTARLLSFYQLWLDDLFPKARFLDALAMVEKMGHKKRMQMMRMEWINEGK PRPDNDDLFDEPTLPPRENEGREKTVPLAPIFEKRASERPRTPQPDADVDAEMDDLYD ATPKAARTQTQPGSLFGGAGTSIFGNAKTVTEDEPLEDDLDTLLAEEEMLQATSGNAQ PALAASKVAEVETAIDDDEEAAMAEMDMW LY89DRAFT_628400 MSNVEQRPYVPAKKINTDFPLIDSDPHFKRVIGYARTSDYAAGA ATATAGPGILLLMERISPSLVGKGGFAPIMRLTGAIGLTAGFLMTYQRSILRFYGFTE NSREVEKDMKEMVAKVKKGEPLYGVSSTTPYIQGVASRNSRYSGLWLHVMPWFNFVNH NQHGVDTAKYYQQAERELEAEKR LY89DRAFT_657633 MPQPTPLSPSLPTHPPIAISLPAHARAMSPSEISTHTTFMREAL SMATHALHTSETPVGCIFVHIPTSTIIARGWNQTNASFNGTRHAEFVALNEILGTPGG RCGIEVLKECELYVTVEPCIMCASLLRQVGVRRVWFGAGNEKFGGTGGVLNIQSGNGR AKEEGDFEVSGGWGREEAIVLLRRFYVQENGRAPEEKRGKEGRVLRLDVEPLGDGKRE EKEGG LY89DRAFT_283927 MLWSREGAGWWEGRCVLMFRTQWSQFRRELTTLHCAFPEWGWGP WLLLAPPRLLPLPSSLPPFDEPRNTRVISNPFPSAALHAFIRPTRRATTIESRLQQFT KKESDRTPACFTTESCTVARYLICYTLTNDQTTIVRNRGPGCRRSASQTHPWYPRERA AGPDGRWSILPTEPRVSLRFDMNEAWIQNTAKRIHTTRWISPS LY89DRAFT_598083 MESTTHIKFENSPADSLADSFVSTPGTAYPPLFDDDMEPLDAMT PQSYDDDNFRGSMDPSVAGTPAPEKKPVKKRKSWGQQLPEPKTNLPPRKRAKTEDEKE QRRVERVLRNRRAAQSSRERKRQEVEKLEAEKMAVERKAKDLELRLADMEAKNAHLQR QLEQMKGGNMSVFASSPAASSPIAELRQSSPVTFTTTIFGQQEIESRPISTQALPQPV KTVNPASLSPEIRPVAESSNANSSDLTQHPAAMFHTPDADRQFLENELPAIANQFNSE FDNMAHYNEGVFDNFTFDEYINNDDQPAPETQRLLACSPNLARPLKDATMVAMRLASE QQLSNCFSSVGPSRHELGSSPSVEALMTLLWAIHVIEKERENTLPKLDVAIDEEQEAE FAFRPSTIKRREREVSYVKHGRRGPMAGSGRSKKSLGDWRPAFDGRPHGR LY89DRAFT_598179 MIAPFPAPKPTIEPFPAPKPFIEPFPAPKPELEPFLAPTSKPAR KPSPLSESSPSGKKFAPNVPAADYRNMVLQAARVKSVLSGDSLILCSQTNPDAEKTLS LAYCTSPHLKKEGDEQWAFECRDALRKLVVGVNVQFSVLYAIPNTKREYGLVYLNDGR RLPEEMVKQGWLKLREDAGRKEDSEEALQHLDQLRLLEAKARADDLGMWQTSGGRIEV KHDMGDSKAFLDEWKGKEVRGIVERVLSGDRMLVRLLVSPTKHYQVMTLVAGIRAPTT ERVNPSNGQVQPAEEFGNDARKFVEARLLQREVNLEILGLSPQGQLIASVKHPRHGTI AKHILEAGLARCSDFHSTMLGSGMAALREAEKVAQEGKKGVHKDHVAKATSGGSNLEA QVTKVFSADVIFVQNRAGVEKRINLSSIRGPRQNEAAEAPFRDDAKEFLRKKVIGKKV RLSIDGTRPANGQYDAKEVATITLNDKNVNLLLVQEGWCSVVRHKRDDSDRAPNYDEL LAAEEVAKKEGKGMWSGKPAKAKQYNDASESVQKAKLQVGSLQRQKKIPAIVDFVKGG SRFVVLVPRESIKITLVLSGIRAPKSARNPTDKSEPGGQEAHDLATKRLTQRDVEIDI HNIDKVGGFIGDLYINKESFAKILVEEGLATVWQSQAEQSGTGSELFAAEQRAKASRK GMWTAWDPSHDGNPETEKPTNGTNGDAAVPRAKDYRQVVVTHMDESGKLKIQQVGTGT SALETMMAKFRSFHLNPANNKGFEGPPKTGEYVAAKFSEDGEWYRARIRANDRTAKEA EIVYIDFGNSEKIAWSRLRPLTQPEFAHTSLRPQAVDAALSLIQFPTNKDYLDDAINF VQEITAGKELVANVDYTGSDGTLFITLGEASAAETINAEIIAGGHAMVPRKLQKWELG MSDILKGLNEKQEEAIQGRRGLWEYGDLRED LY89DRAFT_690365 MVKAVATVRGDSKISGTVTFEQESESSPTTITWDIKGNDPSAKR GMHVHQFGDNTNGCTSAGPHFNPHGKTHGAPTDETRHVGDLGNFETDAQGNGKGSTTD KLIKLIGPESVIGRTVVVHSGTDDLGQGGNEESKKTGNAGTRPACGVIGIAA LY89DRAFT_676123 MSFRANGSRRYGSVPPQQYGPPGTQDSNYNPGLHRQSSFDNGDD GAGFDSNGNRYQSSAVASSVPGRTANMPQEEMFLTSPVSQSPRSPGQSTFGSSAMSGY QHQYQPFPGAPQSPGLSQVPYNPQNFARTQSQSQANPYRPQPAYNLASPTTASHQPYN PALYQAPQPGSQPARHGTVSGYNTYQTSYNSPALQQVPASPSYWPNQSNMQQYPPPVP SRPYEQPLASPTYNPSSSAPLPSRQPLYNPPPTSTVPYPTNGDNYGQGAYRPIATSQD TYPDYGSYSQPPVSQSQPAYSEDMNSFGNRTKPSSSHSNAGSSLPPLSQQGSPSGSGL QRHPTLRPLPSAPVDSDTGDDDDWGLHENEGPSQEQLFADIGDALGSSASRRGRPQGI DQRNGDLLDSELQDLHRYDSRATTIGAASVDRYASNASTLHNREPTYNRYADDEESDL EAAAGLEAMRIAEEQDQMGGALSFGTYEPQAVPSLSQEESSDSDYKHMDLGLVGGGYD AHMSYGDDLSGVHTGHSSEMDDQSRPLPTPQELGRSDSYSRNTTAAGLGGMTDYSIPG VGDIHPFPAFEAARVDTFGTGGLQRPTSQSHRLSFDEGDEQVLLHHRLSDSRQSGRSG SDSPSRDDMPELFYHPGMGSSPYNRPLPAVPPLSENRTPQLLPAGSYRAGHQYTHSYS SSTEGRPYYPPSGPDAYPSQSMLTPGGQYVPRSSSLSSHSSTPQTVPPVRSKTDAEER QARQKAARLAGRPLSGLDGYDMGTTPQSSMTLDLPALPAGRRKKFSPTRLSTTDYAKC REPWALSGIAAWIREMAGGETGEGESDLRQKTIEDGLVALFTHKVPTMNTADAETLSQ RVVKSMFDADILLPEEEWVKFGGGEISGVLWQLTGSGCYAPKVHEQEIHGRCYSHHCT RTLKKINLQTHVLEPSRKSEDWVTFFKLTKESLEGTTKKEIERQNNLHEIVMSEDTYM DQINVLRVLYRDDLASWQPPIIAKNKITKFISSVFGKIEAIKEVNENYLLAQLKYRQK EQGPWIIGFSDIFREWIRKARSAYVEYAAGFPYAVHLVRKEADRNLLFRQFLDQARDN KLSGRLDWNTYLKAPITRLQRYTLLLGTVLKNMTQDTEEKVILATAIEEIKAVTLECD AKVDEQSKKVELIELQSKLYLRPGMERVELNLDHLGRELIFKGDLQRAGANRFTWLET HAILFDHYLVLAKTVAKADTAAGRKKEVYDVSKLPIPMQLLVLESTNDDPVVKSSVKG LGAVTTVTKTASASDSRLARMNTNGPERQNTLEHTQSNQSIPSVNSVTRLAQSSTNDG DAKSMYPFRVKHLGKTEVYTLYAPSAQNRQDWCEKILEAKTRHAASLFEQNAEPFRLR VMADSAFAYDVATASSQRAVVSVQGTPLDRAIREMEKSIGGVPRPGAVCRAQVNCATA FNCYGKSMVAIGTDYGVFTSEASNPRGWTRSIQMNRVTQIAVLEEFSLCLIIADKALI AYHLDVVVPISNFPAPHHDTARRAPQKLSGTRDVSFFATARMKDRTLVFYKKREGLHS TFKVLEPVFQKSTEKKSRLFGKKFGGTTEFFREFDEFYIPTECFTINLFHTYIAISTL KGFELMTLDKKVPMSIPDTKNPQIGNIAARLQGQKPLGMFRLSDAEFLLCYEECGVYV DKHGEVSRSVIMEFVGKAKTAAMYGAYLVLFDSDFVEVRNAENGRLRQVIAGRDVRCL DYGINPLGAGGMTSQMTPGGFPGGAERRTLKLAMSHPEIVGSQIVLEMVLNEGHSE LY89DRAFT_724347 MAQPNPINQSSSLSSTGGTNEPLTTGSTYNNPSQPTTSTTSTTT SSTGTGTESTGSKLKGVLAGIHGAGEKIRGEFNSAVDEGFHEPGGVAKNRAVADAGEG EIATGQFTGETKNREGVVPGADYGRRL LY89DRAFT_676125 MSTSLDQLKAAGTTVVSDSGDFASIGKYKPQDATTNPSLILAAS KKPEYAKLMDVAVEYGKKHGGSDIDDQVDATLDRLLVEFGKEILNIVPGKVSTEVDAR FSFTTQGSVEKALHIIDLYKSIGIDKSRVLIKIASTWEGIQAARILQKEHGINCNLTL LFSKVQAIAAAEAGAFLISPFVGRILDWYKAKTGKAYTKEEDPGVASVKSIFDYYKKF GYKTIVMGASFRSVGEITELAGCDYLTISPNLLEDLMASTEAVPKKLDAAGAKSLDIE KKTYIDNESDFRFDFNEDQMSVEKLREGISKFAADAVTLKDILKKKIEA LY89DRAFT_690372 MKHYQKAFGTQHMPPATWFDFEVDTLYLDWGFAYKTKSRCDHYA FGPGHIGDCAEKVKKLALWDNYIINYTIEDDGSPFEEWLRGVLVEFKHLDELVLVDRY HLNTEVQRKDLVMLDEVIAIKDAMEIFKEEKGKPYSEEKTALVQKEHQFWRERSKRMT PAEKKDLEARRRSDDDWDVPKVVSWKTITTNGRLEKYKKAVEAYEMKKFADASTIEAE VEEESESEEEPETESEPDEFDDTYDSDDSDEPMTNED LY89DRAFT_676127 MSSTSIKIHQGSNHAEQPVLTNAQLNQLFTEALIVSVALGHTRG DRLEKKGPSSGPSLTSIPLPDSDKRVFLDSLAYLCDYEQHGKSVTAVALEQTNGGLLY RFAPNNNECSMKTEEFLTTILRKLKNATRLRYDRLLEELLTIVFKQNSPRIERYASRL EESINALIKHLTAIAAAQDRHLLGVLEALGREIHRPRSLCDLSHQYCSTPFPSMDLSP AASDAQNIKRLDTIRHVVKQLSHTIECVKVLVHASSHSSGLLKSYNIARIVSNISLIP LTVAKETGIHDMVIWMLDDPTEIGHPNHVSGKFEVPACHNNAYVCWKMLDISDTSTPE VVEYQNEFLTDTTKMMQKEVLDQLANRRMRPLQRTNSE LY89DRAFT_724351 MALPRPSNQDRARWRTKCREKLSKHIKSKLGISIDPSEVRLITR VEDPYSWQSLPVRTHLFKKNLSKHSIGAYMELCREVGISFKAVVKENILFTRPAANFT NRIAELEAENSRLIGEVNKLKDTAAAESTFKRDAEEAANQLTVVLHTAELENQHLKRD IQKWATIAEDFRVSTADSHQIVNEASLVLEKLKSLLPSICM LY89DRAFT_676129 MPPLCNKCQSIFDHWNGRNHWKERRPDHLHHTVPGLQDSARGGC HVCILLLGGIEEHTLREIWSKWPDEEAVVEVREWIGEEGHFAVDLIWRSSPGHRAAGN VALVRAHEMDVSDNVGNIARSTDSEESWTLVNNWLHGCLSNHASCSIHYLEQKLPTRL VAVGSTDVDLRLTLTANLPGDTPYLTLSHCWGGLEFKMLLSDNLRSLLQHIPANEFLT KTFHDAITITRRLGFKYIWIDSLCIIQNDNNDWEREAALMGNVYGGSSLNLAAVDAPN GDVGCFFHRYSTNVEACKIQISNFESRSARETWNCVPKRFWPNLTLDTVLGCRGWVFQ ETVLAPRTLYLGSRQLAWGCRKTEACETFPVQINTGHISPAATIEAWSRTNLLDAWDT IISDYPRRALSFPKDKFIALSGVSRLFATKFDASFVAGLWRKDDGDLERQLVWLAESQ VQDRPKHYQAPSWSWASVEAEVALPPGLADTQTGFVVLVAVKDVSVTLCTHDPFGQIS DGFLIIQCRAPVRVSAQQHLDTRWWLVAMGDAKFYARVYPDSRPHKLDGELLFLPILS SNLENAPQSKFAVHGIILKPHSWDRFSRVGYFGVEKDDLETFDHNWQVNDQSYHLERS DNRIDFGPSVASDNHGNLLYEITII LY89DRAFT_555967 WRPKVHAELLVLDHFWTQSLEFLDGDRFIACSKPACYCCYHYIA AHPGRFEVPPSHNNCWIRWRAPDIFDSTRQDLLKTREDILNAMAKKIRIEVLEQIRER RGPRANRPDSLTEIS LY89DRAFT_657656 MVIDIATDADSNFYFPPSLANDCLLSSGINVDLAVDFIVEYKKY ILFLTTLAYLKDPPSDYAYPSTDILGELDSLASKIAAGRIKSQYLVDQALNQIVASAH EGHLYASFCSLQAISYLRPFQLVSVSQDGIQLPELYVLSDFFSSNTTAISSVVAINGQ NATEVVEEEALFQAMDPDAAYNFMMASVGRVPNAGNDIGGFQGIQYNFYPDDYTNVTF SNGTMVPFENIASIAPGNWNTEISDGDQFTAAFCVIPALPPSTTTTPSSNATATSSAS SSATATATPTTFPDLFPYTPVVRDPNNQVAGYFMNGSDYADTAVLWIATFEQQSAEYA DPDTIATSFQNTTRDFFAALRASPNRTKLIIDLSGNPGGNTLLPDDTFRRLFPSIEPY GVSRFRVPVAGDIWGQTLEALPEDIVNITPEEVADGNYTEAQVVYFTSSWNYRQALTT DLNNFTGWSGASGLFPPNVEKGDNFTANHRLPLNETLYDFAIDMINVYGYPGQYSQEF PQPFPAENIVILTDGYCASACSIFTEFMTRQAGVKTIAVGGRPQNAPMQAIGGTKGAL AQPFASIQQMSQAFWNASDYIPTDLLETANETFPGLNPLPLGANDATALKGYSINAAD NIPVGDDLQIPLQFVFEPANCRIFYTPETVLSESALWEMAADIAWKGGKCAWGGMNTD VSNNGTGVGNSTSPGEVSNGAGAKSVMGSAAVVGLAGAVAMMLL LY89DRAFT_598477 MAEGRTEGQDEFGSNARPAFSDMIQLMDLPANLLPETGKHRHSG RLIVVGDVHGMKDDLIHLLDKVDFNKKHDHLILAGDMISKGPDSPGVVDLAMRLGATG VRGNHEDRILLAHKDMVAQHISMDSPDPNEETDKKQDALEEESFSHGDYKDRALVRAL GEKRIKWLKDCPVILRVGELGSMGQVIVVHAGLAPGVELEKQDPVMVMNMRTISDEGV PSDERDGTGWMKVWNKYQKGLPKHEHTTVIYGHDSKRGLQIGKYSMGIDTGCLKGGQL TAVVIEGGHSDHKHKIVHVNCKDGRNR LY89DRAFT_788219 MSFKLWGTVLASLIAFLSMGAFATWLYQKCADDTTILGIIFSTT TKLTANIVPQLWHGFSQLFAAVRTLIKFLWRHKWVVLGVVSFFAGPTIYFFRDRVIPF CDPWRNNNNQFVTTLPYSRFISDVAIYSCCRSGLARDTAILNIVTFNKLANVSLELSS PLVETTELETAYSETKSASEWIAAETRQISTLPPSVAMLQDTLMSVSDFKSTFLHSRV RSLTELDSSLQDFKREIKYRRDADDTSISISRTISTRSLLPKPWSKDLSYQLKTTSQF RGLLNSLFKDASELLELVHTTKEKVETIGGYIVFLRYLKLGGQMPSDQRSRYFERVTG APAIEILAFEEIMKDFNQPNLELTIFNLLPQLKSARKTLKKILAIRAEGDKLGLMPSE ERERVESWLSGKVENVGDIEQDLETRIEVLKELKMELNVMKASLPVKRAVERKKRTGS F LY89DRAFT_598040 MQFLPSIKELILAYAFTATTLENSTTDFQSRCLSFQPLNFISNA SIRVQEYVPAGTVITEAHHEPDCLTEASQTVSVNMCRIAMNITTSPSSFIVFEAWFPD AYNDRLFTVGTSGMGGCIRYIDLDFGVGHSFATVASNNGHEGNRAEVFYNHSEVLEDF SWRALHTTTQAGKRLVPEFYSNTINKSYFSGCSGAGRQGIKAAEMWPEDYDGIIVGAP AENYNNMQSWVLSFYGKTGDKSSPDFIDAGTWTGLIHTEILNQCDLMDGVADGIIEDP TLCNFRPEALICEGGKIESCLTSAQVEIVRNVFSPLYGYDGKLVFPAMQPGSEEMAVS KLYTGVPYDYAFDWFRYVVYADPNYTTSEFGVSSMAKAASLDPFNISSSPDTLSPFVS SPHNGKMILWHGQADQEITSFESERWYNKLSRGMNLTSSSMDSFIRFFRISGVWHCRG GAGAWMIGQETEGEPIYDRKNSVLKALVDWVEEGVPPETITGTKFVNDDPNQGIERTR RHCRYPYRNTYLGNGLDGNDADSWECRMPGQGTVVGSVVP LY89DRAFT_598409 MFHDGDVLIVSPTGKQWKLHSLILAQSSPILNKILAAAEPAHLT KKDRDAGKTVFFKLEMVDDSKYRSVDPEGLRYKAFKSVGVNSRALTMPPNINGLGEKA TYNEIYDNFFRCMYNLSPEFRQDDDPIARDYIIDCTALLQAADFLDAIPVIRVVVEAH LLRLNQILWHHISEKAENWIHIAAKLHSPLMFRECMIHLVGKYHLENGINQDMLRHSQ HGELGAKIWQLIEFKAKELKDKKLKTERHLMEFFPARLAHKDDATSVPGRAIYANDIY FWQALALCRQYFASAFMNGCNYRGADGGLAFYRTVAEGAYLRVDTLDRFHATFEMSSK GKVCLNGSIDLIKNDFKPVVKDLLIDRSQATRGPDTPPFAHFTCTEILDEEFPWYEPP APTAEYKDDDLDMMGDDGDA LY89DRAFT_657668 MARNSEKAQSMLFRFREAQAADLGIIDAGRTRRPKMITEVNAIP ACEKWRGQVLKEISRKVSKIQDPSLSDFMIRDLNDEINKLMREKHMWEIQIRNLGGPN YMRGGGKVYDEEGREIPGSGKGYRYFGRARELPGVKEMFEAATQKPREDKPLDSRADL RKQVDASYYGYNLDEEDGTLLAYEMQKEKEAFDNLLASKDGKAPKGWEPLPGDSGDGE EWRLPTSEEVQEELVERRRGRLLDKLG LY89DRAFT_788223 MFEALLSWSGLASTFALVPLFYVASWGVKELRYRVAAGKAGCAT PAKYWHKDPFLGLDLFIKRITDMQAGDSLATDRILLDTYGKTVLTNNWGVKQYVTMDS ANMQTILATQVDKFGNAPLNHKMCEAFLGDGIITMDGHAWKRSRQLLNPVFTRAQVSE LSSFEVHVGKMMSKIPRDGSMVDMQPLCKMLFLDSSTEFIFGKSANTLSSEADNSVAR RLSTLFDESLQTMFKRFMLGRFRFLLGEKQWLQTCAEVHSIIDGFIDEEVQAQKSAKS TSTDSDIPYNYVLLKELVKETDDKRFIRNELMNVYFPARDTAGILTSNIIFMLARHPD VWNKLREEVLSIGDQKLTFELLKSLKYVHAVISETLRLQSPIGGSWKTCLSPCILPHG GGASGQDPILLEPGDEVRMSFTPMHTDPEIWGNDSEEFHPERFLGMKQSWNFIPFMGG RRICPAQQNVLTDVCYVLVRLAREFKAVERRDETLAYVDAIVFTRESKNGAKVAFVPA K LY89DRAFT_690381 MYADSIIFVPYASPSAIIAAGVVLPVLSIIAVVLRFYVRSSRKT AIGVDDWLVIPPLFFVIGMGACLIAGVHDHGFGYPTPPPTGTTEEEYLTETNPEIVTA NQIQFALNLLMIPAYGFIKLSIIFFYKKIFAVEKRLFYLFYVLTWTMIAVVMAWTVCF EFMFIFGCGKHISAFWGSRLDLATYCAKGLTYDEAMFTSEFILNIILLVMPLPTIWRL HMPWTRKLAITGVLLMAFMAVIASVFRLVIVLEVVNSNYSAVWDEDETIATIYYWGMI EAGLALIACNLPSLKPLFTADGIQSVLNSVRSRVSFHSSNSSNAPKSSKSSQTSESSG GLQNPFHKAEEGRLSVTPFRGDVSETESHIVYVKGLETEHQQNSITVQRDVSVEDTTK LY89DRAFT_657675 MLGSKQQEYQIVAEKDSDHESIATDEISNPPPRKSSSSRVLAGL LALSLSIHVLAFLSYCWYWPAARRDAQLSTYSKLPTTYVTLPRNSEFTDDNETVADMA WDSLNMDAAAVALTDEFVLKHNLPPAARFPWDESKGLYYLEGFHNLHCLKLIRRSLSD YRQDKPQSLPKHHINHCLESMRQYVMCKASDLPIAAIEGKTDASRDEQQRQCRSWDAL VEWSTDPEREACWAMVDEYKTTIHNVEKFAYCKEESRYYPKMKEYFEEHGHRDPFS LY89DRAFT_690382 MSPSKYKDYQILEEEGKEDTIRRTISPRSRHAFLLAFAFSVLLN VICLSYIALHWHGETTNSLSRFAQLPKQDGIHLTKTPFSSANDTVADAEWEALDTTPG TITLPDEFAQNHGIRTGMRFPWDESQGLYVIKGFHNLHCLKAIRRSFREYQQDIPQSQ NAGHILHCLDNLRQSTMCTASDDLIPVVSNEVEAGLKMQCRSWEKLTTWALDPERHAC YDYIDEYRHAKHEIERFGFCPESSRYYPNMKEYFDREGHQDPWT LY89DRAFT_690383 MFFSKSIVVVLASLFAVSTAVPLTIRAEAETSADLQTTDTASTE TLEDVAFFA LY89DRAFT_788227 MSKEYQFEPLLTEEEESNYSFTRQPKAPRRWSLSILLSICLIIS TSLNLFQAIANVPAPLEHESKPTECRSEYANLKDNEISVKWLQSSAYTSLNISERDHM WDAINHDSGIVAVPKEWAAQKGLDMGADFPWDKSKTIYFVNAFHSLHCIKNIYRSMME YREGLPQTFDQHHLVHCLDQLRADTECAADDTLRVTTPSREKSTAVGQVRQCRSFDQL RAWTVDHSGCYRYGNPTFEDAQDSQLPRKRYCPEGSPDLDTVRAYYGKGPDWTPANDP VWSWFDEDEKRGQ LY89DRAFT_690386 MVYPSAMGMVSEASADPAPAPVPEYDLNDLDNLVLSRWSGSRPR VWAECRRRCKRALFE LY89DRAFT_537489 MRPDYWLIDHLWTNGLLSIEVYQHAIKYNERPPCTELVPLGYET KTMSYKRERSLQQLYDLAKESRQRK LY89DRAFT_598351 MAQFIPRAAFPAQLSLPRSYFLGHHASGLSKMKTMLSSIDLIIE CRDYRVPLTSRNPLFEESLAGRERVVVYTKRDLGSKDQPADKQREDMIKQWHAPSTTL FTNHKSKRDVRTVLDLCKQHNEARQSLLGSRILVVGMPNVGKSSLLNALRMVGVHKAK AAFTGAQPGVTRKIASGVKIVERDEAKGLEGVYLVDTPGVFVPFVPDAEAMMKLALVG SVKDTIIAPVTLCDYLLYHINKQLGGEVWYKEYCRPTNDVVELLEGVCRKTGRLGKGG VPDTDAAALWIIQRWRQGNLGHFILDEVSQDALEMKMREEIGLSLSQARKQAKDVQRA RTKARLSDAAR LY89DRAFT_598024 MQPRTKGSKRKRWKSYFGPDGKLRPFRLLRQDIGNVRKRYISDW TLFNQLIFASAVYVFFTNILPGITFASDLYVLTGMTWGTIEVVFSTGLCGIIFSLFSI QPLTILGVTGPFSVLAENIYSLCINSFHVNFLHFMAWSLIHSAWMHYLLAIFNAHDWT MQYVTTFSADIFSLLNSVIYFHKAVQELQRNHEAVSFASFLYSVIGAVGTFLVAVVLS TANSWQPLFHRYVRMGLSEYAAAISIILWIGMPNIGELATLDKQTLVVQTSFRPSDPS RTVFFVQFWKLPIEWIFISIIPGAIITILFYFDHEISSIICTLERYGTKKPGGFAWDI FLLGTTTAICGILGIPPANGLLPQAPLHSESLMHTVKTESIEIDEKTESRRIVIREKQ QVYEQRWSPFLQAAGILIFISPPFQHVLGLTPTSVLAGLFLFMGEQSLAVNPILYRFF YMLTPASELPPLPKGVKNYRGIHAYTIVQIVMTGIIFYVTLTVAAPAFPIIIIAMVPV RLLLMNRFWGRETLRFVDSWACKDGTPEDDEDRRNGIDGGERKKKEKAVANSDCGRDR GLGEELV LY89DRAFT_788231 MTPLPETHRALVLTSTSQPPEVKIIPTPQPTPGSAVVRIEAANI ISYSKHIYNGTRPYPFSLPLVIGTSAIGRIAALGPDSVSLKEGQLVFIDSFVRARDDE DAAFLFGVHEGHTEAARRLMRGEWRDCTYAEYAKVPLENCYVLDVEKLGVGVEELQDI SRLLVPYGGLRDIDLKTGESIIIAPATGTFGSAATKLALAMGARVIGVGRNLEALKEL QTSYANDRFEIAQITGDVPTDLKTLQTFGTIDAYLDISPPEAANSTHFKTCMLALRKG GRVSLMGGLKGELTIPVKVVVSKDLRIRGKWMYERQDVRDLIKMIEVGVLKLGGQTVE KFGLDEWEAGFDKAVEFGGSGGKGSAIIVP LY89DRAFT_690390 MSSNQLLIELLELSSGINSFNSLQLLNIQQENSLFAFAPQLLSF TQSCGNSLNELEQLVSSPVNSLLLNLGNSGSNACLEELLQLEQLFLSGNSNIDGEDLN NGEDLNNGQDLSNSTDISNSTSSASSSSADLSNSTASASNASANAAPVAVAQQGANAK DGQDAKGKKDGKRSEIVQRSGASKRQVLGGFGFAALLMAGAFAL LY89DRAFT_285388 MAESYPALPLPSEITESYLPTHDLTYHVLTAGQRNKPLVLCLHG FPELAYSWRKVMPAIAAEGYYVVAYDQRGYGRTTGWDTRDFSSVDLNTFTFTRLVTDA VILINALGYKEVACVLGHDFGAVPAAIGAITRPDIFKSVVLLTHPFSGIPSLPFDTVG KPTPEPKKGNFHQALAELPEPRKAYKIYYSTAPAAADMDNPKEDLHDFLRGYFHLKSA DWKGNDPKPLKAWEATELAKLPYYYVMPLKAGMRESVKISMADEDPASVSKLSARWLD DDDLAVYVQEHGRTGFQGSLNWYRVSTNPAIMKELELYAGRTIAVPLLFISGKKDWGT YQEPGAVEKMSEVCPQFKGVELIDGAGHWVQQEQPEKVVELVSKFLKTVKVDSISH LY89DRAFT_690392 MFGSKRRRAASNPPLNGTNASASATTAAAQAFLKNRSSNASLSS AAAAAALRSRPTTPTSVADVQTKRTLRRAGSTSSVGSSVSGSIKGPPGSQLERRGSVG SMTERTFREPSPARSQPVPSAPDAPPVPAIPKNMQQPQPPTIPAKSHRRAASLEAPPM RVASPPPNKASGRGSSLGPTGSTQPPRRSGPRASGLSSVQELTRVDRPASRGSVHSIN FSMPTSSRPTSPMGQRRLTSPAPQRQNLPKIVSPTNQNLIYDPNTRSFLPEVEILAIE QRLNNAATRPVKKKKKPVSAAGSHLSSGGMGAKPRGTAIDALEAAESSSARQPVQQPV PTPAPVSAPAPVIETAPPPPPTQYIPAPASESPKSAPPRRRKKKVVLSDSEDDQGSYN PGSSDTDSDVPQTFNTRAGTLLAKKPSIVREDREREEEEDDTPGRTKASGGLTKLDTS PAAARQISPSPLPRSVAGRGHGRGQARASAAFAQERQQGRSVSQPPLSPTEPPVEGVG LVSKDSVRGGRVHSVSPARTAHFAVTPESLVVKHQPPARSISPRKSALKHSNSPRGPS PVEGQSSEVNGSEASSSVVGSEELTVPKKKANRVSFDETNVVVGQAAAPVTTDSPVVL SPQTKRSWFSMGRGKKKEPVMTDEDDEVMKPRPALPSFGSVRERKPSKEVEERQLVKP AEPIEKLPPASPPLFTSPTGEIIENPLGQSNDHVVGAILAQDATSRNEANISKSREPL PPEVTSVEGSGYHSDTDSSVYSMENHKSDVGMGRADSVKRGPEDGHVDTYRALTNHED SHIDEHANGKTVTEEPEEEEAPTPKAIDIVPEIAILQATPTIDESISKNEWPDMPGSW GSSTSDSGSATQEDSEVVEPAVVQPTPAIQPTPADVGVAEPSPVTHAPIPPQDPEIVS ASTHVAPAILEEPEESDASVYSDAAEELTDTEGDGYLSLNAVVESPVVNTNLPGLAIS TPPDSPITKLTKEKAFKKSQLPKQDSGPEIDAGWDQVQAYWSTLSAEKKKQLELEARE EAEDSESTIEAKPAPKPKKKKKVAVQPEPAIVASQREPAVAQERNYMIQPGAKAGPNG FVAMRSSMRAEPASTTKEPHIRKSMRGSEAMRGSLRGSSESAEPKGSLQKKYRPMSYP PPETKPDPVAVNRHVRALSAASAAAAPAAAQRDLTPAKPTLRRKGSGDSDSSFKRAKP SNNNEIPSFRRSMRGSMDQDQGRQSSPNRSSRFSLRSLSPTGSAFRRPFNSGAPAANS TPTHMRNSLRNSTRDAPTLRNPSKGFGRGSSAKPSKQKSRPSKSRFADSSDEDEDRPT FRSRFNDSSDEDEPGPMPLPRTMRSIAPPVAKRTQDGDSSDLPDSDEEKSGLSSLRLA KKRGTNGTTAPVSNQGATLASGSLRRSGSGRETISSPITTVTPSRPNHSRRGSFMSIL RRKKPDPASKVRKSDAESPARRDTPLERSKSDLQQLRAERPSSPKLQKRRTISRENSS SWPLAAPAPPRILGGEDGRPFSADNGMGVTGGAGEKDVLSNGNGERPDLGTRRFTATG LADVDIAGVTDIPGRKKKKFGKLRRMFRLDD LY89DRAFT_285513 MIPWAWMDVDFNIYNVTCICQNNTPGRVPVLSGYTYICAISLPI SLYILLSYIVPHFMTAVSYHVQHHIHFPPLYPRFHPHQPRHSNLNPNSTQLNSTQLNS TQLNSTQLNSTQLNSTQLNSTQLNSTQLNSTQLNSTQLNSTQLNSTQLNSTQHNTTQP YPNLPSYTDHSPLQTPMPGTETGCEVTGRDPA LY89DRAFT_598248 MLDLECVAVEAHNPALIELGAVHFDIVTGAELRSLKTPISLQSC LDHGLLSDDDTISWVERNIPQTLATSKTTAITLEYALFKFSNFVRSCVAATKKTLREL GRDPEFCQAKIWGNGVIADNVWIKSAYRACNIERPWKFTGDMCVRTMVNSTSSITGRD YTREVVRQGRHHDALDDCRHQVKYLVLAMNSLAPKETPKKTVEPGKPITRN LY89DRAFT_657698 MATSNNIDLKDAKPHSENGSSEGQFESFILPDFSPPVTVTLAGD LDEKFNKQMLGTYEPFVEWVQQLKKSLDLQRKEEDDPEKRHPFHDDPYELKSIHIQAV DFFGSRIGFLKYKAIIQNKKGNKGKPMQLPGSIFMRGGSVGMLMILRPKDTSDEKWVI MTEQARIPAGSLSFLEIPAGMIDDRTKDFKGAAANEIEEETGLKINRDHLLDMTELAL RGSPNPEGLKAAMYPSPGGSDEYIALFLWEQEMERLEIESLREKLTGNKKQGEMITLK LIPYGDLWKVATRDAKMMVAWALYESLKRAGILDDLGNLVDDGAGGMAS LY89DRAFT_788237 MVCTICKDMLEKHINYRWKGTYDLAFDHHRTHQSLKDSAKENCC ICRVLLSMFKSRDDADHESHTSIAGKKKEAFFTQASLTYNRQWEAYRLDFRLNQQYNL ERVGSFLLKQLPAQDLARPIPNNTSSYGNVQLARKWLNECINTHRMCRHVSPATTWYP TRLVDMGHISLGRVKVVEPRQHDQQITGKYVTLSHCWGDIDFYHLTKDTLARFEDGIA LDELPKTFQHAMDFACRLGVRYIWIDSLCILQDSQKDWLYQSAQMDQVYNNSLCNISA TAASNSSKGLYVDRDSKQHWIDEVALNTDGIPGRPPDREPSKKVSLQNIVQQLQNANL TNGHHVKQARQVNGNAKKAADAAKAKPKYTILNLGFWEATVDQAPVNRRGWVLQERLI APRVLHFCHDQIAWECWEKDAVESLADGMPLLQLKAGSIVSGSRLKGMVPSVDGKALR HARLVRGRLTSGKYTDIDAHMGLSVPSIYCFEVWKRVVETYSKTRLTKATDKLIALAG IAKIMSRRILNGRDEDYVAGMWRDNLESQLLWRVDPAVRKDGTYDFHSERPGMDEYRA PSFSWAAIDSERGINYADVTDFGREAETDLCIKIETVILKYKTDDRFGMLTTGGFLEL KGVLKRINIIDSTMTTSPRRGYTRYHWQLVKNGRVVDEPYKMIYLDAPSSDRRHIIGP NRGIYCLPVCFERAAEPKELICLLLQAVGGMPNTFKRVGLTKISRYHKEDQEEIMKLS GDENSMDCIWDAQAGRHTIRII LY89DRAFT_562961 HRLPYEIISYRWGDSTPTHKVFCNNARCLFTRNLYSALSRIRKA DVSRFVWADQISINQDDVDKRNHQVGVMRHIYANAIRTLIWLGDNDAE LY89DRAFT_724374 MDVSHASCLRCQDTGRKCDGYGPRNPLPSLKSQSKPKPTSNPLV IERKLLPITLGTTVLQSRVPITVQCKDVQEEQYLQFFFAETAGDLSGGFDNPLWSDIV LRACNEEPCILHCAVALSALDKSCRAKMTKPPEPTSEEHHRYALRRYDTAIHEVRELL QRRQDSLRTVLIASLLIFCFESFHGDIRLALNNVKGAVELMYAWLSEQDGTIAPGHFS PAPDVIEDEIVSAYTRLDIHLLSWIDAPLPSRGKILRFATTETFHIPEAFKSMIDGKV AFDHVTNHIFQYLGSIQRSKAQASEESTPKSHISENSISEEPIAATELRQWMKAFEPV LVSARTHGGVNDFVGFTILRIHAITVEICVRSALFETTTTQAYDVFLPEFREMVALSS AIVNHPRFLKSFVFNMGFVPSLFIIVTKCRDKTVRQEAIDVLKAAHPRREGVWDCLMV ARIGEELIRSEEEELRSGRMEEKIEIHLACLNMYFELPRPPRTLEDIEMKRNRQGGRG YLLDWVERCVDMNVVAGP LY89DRAFT_701395 MKKPHVFKHPTIKSASTQRYRHRDSMARVYRRPAAERYLNSLDG KLSKLLVQTVDEIYNGHTTQHSWRRTYIRKVGPVMLRAAYWPFKRAPSDSDDMTGDDK LAIAIRWLPACFALFFVLPWPKPHGPYTDGYKYHPFPYKYWGTCKVARNPKEDTKMSR ALHWSVSSDDPQGERILRPRYLMFLNSPGKEGIHGSSCMKDEEWNAVQVDESKKNLPY VFIAYTVAHFNDKDLDTLHDIAEFATRRAGLSAYWVGCSCMPEDDNIEEDVYRINDVI RGAHSLVIAVGNRKGDSRPTDTSTLLHEWGSRMWTFPEALLSPNDKNVEVYTRGHDLN RPLIIAKKDLPGWAWDDADTSRQIMDHFTGSLMLSRIELVKLGIKCLHARKTTAYLQG DMVYALMGLLRRRPMVDRTDSEFQAFARLSLANDSDCLLERLICVAPKKYDEKWLAAK DAWDVNLWDIYPSCQVAGVGETDTVILDGCRSAAIHWDGFQPVEADRNLSWKRLFART IVRSSSILIVVGAYLLLPGSSVGYPLRKRGSDPYSDDPYSSNSYDYSSGPTGPSPTAV AGGFLLLIGLITWLASPYLVKIMYGGKFWDQQAWLFGFEGHLDIGTIESLIFGYNMGR LSWSPSGSPLSRHSTNKYNEWVGRDPTEDPDVERMVERARTAKLGEMRVFTIVDTFTM TVTLIEAVRPPVMVLLCASEGGMQRAVACSYEWRTGTLYKEAVMRMETQVIGRMERIG RVSFGFFRPKTSGLDYEV LY89DRAFT_690398 MLIDSWLHFLCKNIVVRDNDDSIFDALRSTFDVHHPTSGQIHPS WIQGGFFMKWKKTGGNITLICFGSFPKLEERLQRFIENTDNVQTALYDPYTLYAIILD ELHLKMDSIVWELVSIYNRTESVSTELYLIIELT LY89DRAFT_788240 MDAYNTFELFTQLPSELRIKIWKHTFPEPRVLAVRFNRAVSQYT SDTPPPALVHACSESRSLFLETYKRLILSPKYDSAVFIDFARDTLFFDTLDCSPDGDL SLDLALSPHRDRILYCAIDSQLWEVLRVFRYDSLSEVRLMPNLKTVALVMAKDQERGL HQQSVDVDGHQNMFVDTDTNTIGGEIRHVHFQVESLRWDLKQGMETHWTNPPHVQMWL W LY89DRAFT_561365 RRAGEDTDGRGGYNRRGEDGTDGRGGYNKRGEDGTDGRGGYNRR GEQDTDGRGGYNRRGEQDTDGRGGYNRRAEPGTDGRGGYNKK LY89DRAFT_724377 MATVPAQNDQEKIALERGNGGSGAPSLSSEEAFENPTGIDEKAL VRRLDLKLLPPLTLLYLLSFLDRSNIGNAKLDGLDTDLHITGNQYLLTLTMYFVGYVL FEIPCNIILKRTTPKIWLPTLMLVWGIVATLMGVSQSFAGIVVARFFLGVTESGLFPG VVFYLSMWYKRTETHYRVALFFSAASLAGAFGGILAYGIGFMKGVGGYNGWRWIFIIE GLFTVVVSGLSYLFIHNYPSTAPFLTEPERAFIHARLKTSSDATLNEPFSWTGVRSAL KDYKCWLYGLMFHTMSLPLYTLSLFLPTIIKTLGYTAADAQLLTVPPYFVATVFTVIV AVLSEKTHKRSPFVLASTSLAIVGYIFLLSAPVDKPGLSYAGTILAASGIYPSCAIVL SWAAANVSGQTKRATATAMTITIGNLGAVLGTQLYRPSTSPRWFLGHGFAIGYLGMNI VGTLVLWWCLNRENRKKEERRAGGEGVGDEEPVRSDEDMRWIFQT LY89DRAFT_285810 MSDYPSTPSYGANYGSRDQTNPPYIPASYPNQYMQPDDGRTAQG NMASHYDTSMSAYAYNRALPSFSAAAVASSVPPLPIYQGWNQDSIPLPPFNPPHNTAQ YPSYGNNAQNGSQFYSSMGHTNYLPNAQPAKAYEQGELSEGEFEDGGLATNTPPAGYS TSQYGNGGTNYNDNSSHAGYAQVQDFTPQQTFPVNNYQYPTNPPTTQLKRQQSGSYSP YVSPAVGDRDDQYSNDKNINAVASNFAQRPKNSTTPSQYKTPSKAVNGPVKSSFQSNG YHTPQEGQHNTQPKPSPRHSTPSLAPSVGNVKTVAESRKKAENAILNLLPCDVRYQTY IDEGFREEIIGPLFDGLKLPRHSAKPSNSTPTQSAIQQSLHTSKSKTAVEQHAAAGGN ISKAQSVPGARKEALELSIPSSSVTTQQSQSTAAPKSAAQTEKEEERKRTLQLKMEAL RKSREERAQKQAAAAKDVAKPVDKPTEKPPAIETPIAEPELPETKPAASITASSLPLD TPSASKVQAPIAGDAIPSKSPAPPIVPEIRQQPSIPGLFLASNGIPGLQLSGSSTPVP SISTAQRKRPVAADFDDNLPAMAPFKRPFGHHRNDRPLVINVSEDEADSDDEDVAMDL DSAGDSPMQPERKMSGNQAALLQNSSQLFDKVNKTFTPPPFPSATNTPPLLSSNSRPV HSSPAELERKDREIRDLKRRIAEAEAKKRAKQTPSRTRTPRIPELNASEITDGQATNG SVPKKLDSPTKMQQLVTVAQSQVDADQQKLAEAQAAEAEKAAELVKNELEQKRLLREK NATNQSLVDAEVQQTRTKLEELKAAMAEAEAAYQKSLEEKQRLKEEAERLEQEAELQT KKDRLQDLTGQKVQATIDIASRSSADEASQQSAAPITPDLSSTSTSEEPGQIVDMAPT TRTDSEPLPTESGLAHDVSNVTQSPTEPEEQVDNTQDKEEVVDCTSTDQAMEAALQEA VRADVDLQTAEDDDDVEMDMDMEDSYAPDPNHLAPESVPGVVLEDEGNSEYSPVLDRT VPEIQTLNEIEDQDEIQDDYEPPEAIAPVEDSIPYSPPFSPAPPEQNPEPVMDEPMDI QPPNPASPAFVPETNMDEVNDVNTTQARSEKGQNYSGELLPSVIGSSPNLVEPGSEDD FKTPLYTPYESPLKRFRAYRFHPEFSRDVAGGLKSRTYSHKIDPNKEFCRYELAGGTC NDSTCASQHFDRIGLQDDAVLTALGSPEEFKDEQRDKFCEGLKGVLMDLRVRKIRDFD VIASEIVAHRARFLGDPSKVLALDGTTI LY89DRAFT_788244 MASNAAQKRNNPFSRTGSPSPAPGLPNGRPKSTMMSSPLSGNNS PPHSQTPAHNRSYSSLADVISPNPTRTTRHRANSKSATPSSNTFAPSFIKTEETRRDH EVVNGIEGENDFSGKRYVWLKDPQTAFVKGWIVEELGSNQILVQCDDGSQREVSIDNV DKVNPAKFDKADDMAELTHLNEASVVHNLHMRYQTDLIYTYSGLFLVAINPYCPLPIY TNEYINMYKGQGREETKPHIYAMADEAFRNLVDEGENQSILVTGESGAGKTENTKKVI QYLAAVAHSESPVKKGSQHSNLSQQILRANPILEAFGNAQTVRNNNSSRFGKFIRIEF SRTGTIAGAFIDWYLLEKSRVVRLNAHERNYHVFYQLLKGGDRKMKQDFLLDDLDVED FAYTRDGHDTIVGVSDRDEWNSLIEAFNIMGFSDNEQVSILRTIAAVLHLGNITVVKE SRAADQARLATDARTHAEKVCKLLGMPLDPFLQGLLHPRVKAGREWVEKVQTPEQVRL AIDALAKGIYERGFGDLVSRVNRQLDRTGMGMDDSHFIGVLDIAGFEIFEENSFEQLC INYTNEKLQQFFNHHMFVLEQEEYAREQIEWKFIDFGHDLQPTIDLIELPNPIGIFSC LDEDCVMPKATDKSFTEKLHSLWDRKTPKYRPSRLGQGFMLTHYAAEVEYSTEGWLEK NKDPLNDNITRLLAASTDKHVASLFADCADTDDDSGATRSRVKKGLFRTVAQRHKEQL SSLMAQLHSTHPHFVRCIIPNHKKRPKQLSAPLVLDQLRCNGVLEGIRIARTGFPNRL PFSEFRQRYEVLCRNMPKGYLEGQAAATIMLEKLGLDKSLFRVGLTKVFFRAGVLAEL EEQRDALIREIMSRFQSLARGFTQRRIANKRLYRAEATRIIQRNFQVYLDLCENPWWR LLVKMKPLLGATRTSGEVKKRDEMIQKLNEKMKQEASDRQRLDEERRNAHLEMQRIQQ TLESERALALDKEEIFKRLQIREAELNDKLAGALEDQENLEDQLDGLLEAKKKAEQQA EMWRSQLEQAGQIIAQLENEKKQLADQLDDLNAQLNDLSHAQSQRSAEESRLSREVNM LQSQLGLKDRKVQDLESKLLKVDQDLDVKLANTTKELQSTRAKERDLTAENRRVQQQL SELTATSTGYEDLVRRKESELAILRSDNRKFEEERKKFDEEKRSLSSEKDNVLSRLRE VQAEMFTMKSQKEHLEREAADAKKLLDARLTEDAQAGQNRRMLEGQIKDLKQQLADVQ RDLSKERQSRDDVQLLSDHKYQELNEKYQELNEAKIIIEKELYVQQDTLRRAMEAKVT AQNERNEARNEIRRLREAKAAAEEARIQAELSNDRSASRLAQERESSLRKDLDAEQSR AKYFEEECERLTQQVKDLERTILESGDFGIRVDKEKERLERDLNTAKSRLMASENDNR ALLNKLQQKGLEIARSSSKASETSRGQLLTLQREKAKVDEQNQKLNKQLGDAQLALAS MEKQKEKLQLSLEDLSHEVAREHKTSRNAEKASSTFTSQLAEANRKLEDERQLHSQVQ AKNRQLQTIIENREKELLQLMRRIDPEMAAPVSQLDGGSERSSTKTPNLVPGLVQKVE ELHQNLRVQTAGRANAEAQLSELRRRLEEEFGESPDRHSPSRPKLEEINPNQASFNHS PSHLRNKLNARPRSNVSTPTRRFPSNGTDAQDSARSDRTADILSFNNRMDLKADLEEL QNQLQLSQMQNRHLQSQLERATPARELWAEDSPSQRRVQKLEQANNRLHEMLDDSARK VSALEKSIQSGELSLRDIQTKSHEELFDLINNQEDSRRSLLHVHNDTVAELNDTKRQI EAVKHARATLEVELRDATSELEETLLQKDQDAASRSQLLQEYADLQIRLDAESSKLTD VSSSLSLYKSRADEYFSKLEQAEIAVMKANRAEQFAKSQAKEAEDTCAEIMSERKHVD ATIEDLQRINQSYEEKLEDISADLEAALQARKRLQHELEDYRSQRAMDIEDKETSMEQ TRKKYQAEFATLTNELDIAREEKLFKQSENTRLREELEELRSKWDDEVLNSSTWSKEK SRLESTLADLSASRDEAIKAHTDAQDKIVSMMSQLRNLRTSMDDVTAERDLLLREKRG LESRLVEAKAGLDDLARGDSASLRDAASLDRELLELKSNLAQQEDIAAAAVGKMRRAE ALAAEMQKDIVAEREMTVQLNTEKAALEKALKEVQVRLVDLETKGYSSASQDVRFLHG RVQELESQLEAQETERNKSQRSVRNVDRTVKDLQLQIDRRDKANTQLQEDISRSRDKV EKLLKTIDELQSSESDNQLAARRAERALKEEKEKALRLERELEGWKGLRLEKPGQAGS VRRAGSGMWSIANGASRERSGSAAEDNGIEIPKRKSSISRAPSMSKGFL LY89DRAFT_690403 MSCSCCTPGLYADDFEPYIDANRLAQLCRLDNSKTRFKKPMVRP EQQDCRLQKLPVEVYHNCLQFLDVGTLTSMRAVSQYTRSSIDSLHHYKELYKYAPQAL RACLSTAMAPHIPLLRLHEALTTMECYYCKQSETSESVFGDYLSLFKCRRICAFCARN SPLLKPAELTHLIMLCSQRKSSLSAFSLSSIPHLKTLPGSYSPTSGDHRSRVPRTTLI LALSIPLDPNKPLAGREARTLTSGSLSDDTKIYHHPFCREEDESDISPSIGYTSPETE RLATLRYTTAISLPYLTSTKKLETGVLCAECNLRMRYMERLWFAEQRHRRMTGGNFIA SAQRRDRINELRKTASRSYVVDTDGRDGKDLSIREHYRIEHGKEWQVSQQEAEWRERH KGPALPLLTHGHFWQPPKPAKKVVEAMAVSEEMET LY89DRAFT_285845 MPSFSVGTLIAASYSLSSVSPPPFQGIGFVFNCLQSLHSSICAF HSYLPIRALGLWNLIAPDSCLFQCLIFIYRFRDLLVRRH LY89DRAFT_556007 FLALASFKAFKGFVHIMSFYFYTRPAKSPRIPTVTPRDVSVIVS TVGSFEADFQMTVQSILLNQPRELIIATVGVDKAKKAQEAIQDLPRALVGSTIITVDL TMAPNKRSQFISAVGEASGDIICYADDHVLWPTTFLKSALAPFEDAHVGMVGTVKAVE RVRTDPWVSFLNYVGCIYLERHNFECTATYNIDGGVFVISGRTGLIRKQIVESRDFRK GFLNEKFKFLLFKSDLMKPDDDNFMTRYCVKNEWKTVFHNHPDACVGTTLGVDKDASG SRKTKFLGQLVRWARTTWRSNLVSLFVDRSCWRAYPWTTYAMFISSFINLAIIYDPLM LVFLYLSRPQTSHFWGAVVILFLSKCVKPIQFMWKHPRDLVWLFWGILFGYLHSLIRL YALFTITNVEWSGRQLNS LY89DRAFT_676162 MDSSSPATVCEEDHQNHDTTSSSRLVAGRPSLCVNGLDNQKRGK ATPTTPIAFPWEKLAPELREEIFARVNENCSEIKEYFRCGSYTGMPPLVIALRPLPIA HNHALGWFEEANKPFYMSGAGGYTFANMVSSELDALDSLHISLNDYGKHGLAPLTGSI TRPMSISEAFVKPFLANSGIKHVRIRLAVDDDWSLDMLRFITEFPFWLRGFRSLRTLR VEIPFAPWAVPERARNNILSALVKRIHQKIGVKGNRSACFVTGVGYVKHYQGPIGDWD KEIWDWVADEGKVMDWSGDLGWKWPLE LY89DRAFT_788248 MDSKESGNAHGHIPDNATANGSGPAIPSSAMTSNSGMREVEEDS TQDVGAINNYESSESMHQSEEAINPTSFEASPAEVSENRQNEVKALDEVKSMPMEEHL TTEADPVEATPGKEEAPASFGAAPGGDVAQQSSMGGAEDITAPAETQALENPVSNVAD SSNSQPIEYESHPDIEVDSNPRADNSDADSAIGSMPGSSTVSLRESVFNYIEENGRTY HAFHAGKYVLPNDEVEQERLDLQHHLFVMTMNNKLHLAPLKNPQNVLDIATGTGIWAI EFAEEYPSAAVLGTDLSPIQPAYVPANCRFEINDAEEEWIFSQPFDYIHGRALVTCFR DPPSVIASIYENLVPGGWFEFQDPIMPLRSIDGSLEGTAFDEYQKLCMEAGTKLGRPW TNGKNYGRWMREQGFVDVTERSYYWATNQWVKGRQQKLQALWLQENLKELIPAWGLGT LSRTLGWSRERIEILIARAREDLKDPNIHAYAECYVAYGRKPHSTTG LY89DRAFT_761925 MASDKMAVVRHSTDPSSVSTLRDHVTHGSITPLSGNEDDLLSET ATKVLSEDQATWGKEAYDTWGGTIGKKTYDAWNVPMGFPWEALPYELREKMFEDVTSP HFSSWKNLDFQRTHLIVELRELHPLVVAMRGFQLTGMNKLELAGVRKLYLNIGEIETT LPYAQFPQPHWAQLFTGFRKPKWFTDYFLLNVANVQEVTIVSYRYHPSVSFISEFPFW LQGFKNLKLLEVWIPTKTGIISAKRRKGLMRGLKKRVLRKMGVEGVASESEHVGLQPW TWEASEGQSMDWSQEIGWPWNLPYRQTHRNKKRTSKVCYELSMNGGGFILKSVESDES HEHSNCPFPYWG LY89DRAFT_741083 MLVLVSTHIIGADIFGVSTACHLAKKYPGTTISLVDQTPYPCPL AAPWDWNKVVRADYGDLFYMEKALEAIHLWRTDPLYKPFYHESGLINTDNTGLGREMI DNYKKLNTDVVPELITVEAFKKRYGSFFETTDFTGIEEIFVNEKSGWAEATKALKALT DATIKLGVNYVEGEISTLTFDDAGGCTGVKFKDGKPLTAERLILSAGALTAKLIADSA PKRPELQVGDRIVAAAVITGVVNLSAQDGKKYTEIPVTVHAIHSTQGEIMPLTPPLEA NEPYTVKFCRDESYKNTCHHPASCQSFSMPPDESDEAQRSPSTGLKARLDIVRKGILG PKADKVVIDECRVCWNAVTPNQDFIIAPHPHCRRLFIATAGSFHGWKFMPTIGKYVVE MLDGTLDAALVKRWAWDREMRAGRIRTCYLRSS LY89DRAFT_690406 MSTDQTAPTAAGRKHTIDETALHVTSIFTKKGEHAVLDHTADAD EEVLVALGYKQEFKRDFTWIESFSVSFSVLGLLPSVASTLTYNLGYSGQAGSIWGWIV AGILIQSVVFGMAELCSSMPTAGGLYYASAVLAPEGWGPFASWCVGWSNFLGFATGPC SVNYAMAAMILAAAEISYPDYVPQTWHLYLTFLLLLIIQGCLAMNSTKFLGYVNIVGT IANVIVLVIFVIWLPAGAINKPRFNDNHTVWVDVQNGTEWPTGWAFIMGFLSVIWTMS GYDTPFHLSEECSNANIAGPRAIVLTGQLGLYLGFAIILVIAYTVKDVNDVVAGAYGQ PMASLCVQVLGKNPGLAMFALNIIAQFFVGQGCTIASSRVVFAYSRDGAIPGSRWWSQ VNSRTHTPVNSTWFVLLIAALLGLLMFASPVAIGAVFSIGAIAQYIAFVTPLGLKLFF AGDRFKPGPWNLGRWSNPVGAVAVAWLLLIVPALCFPAVKGKDLNDLTMNYTCLIYGG AMTFALAYYAIDARKWFKGPRVNVEHLIYGQNAENTGSGSDNIEPMAEKS LY89DRAFT_676167 MDNASVAVSEDALDISSDEISSKRPLSFDDLPPEIRDQIFANLN ESTKYCKFLRKPYFLWDRRLPPLVVALRSLPRSHDHALQWVAKETLRKCYEPKYPSHG RRMPGSKLNKAELASIIGIGVDMSLIVNDPDYRSIDKPAFFHEWLLRMPNLRSVHLYF NFANEVHTSDTEDFLTQFSFWLESCNQLSEVKIELPVYGLSYHKRESLLQGILRRVFK QTGVQAKFIEMVHDDYNGACEYTDAELWHWTAPEGQYMDWTQEIGWKCTKRCSAAKDP TWNFFLEFCRLDFEDERFVIKWQDSTVPNWGFTYHW LY89DRAFT_676168 MSSSSVHDPCSDTSPVDALKKLTFSEEMLTDRKEDKGESTSDND PDMTLSTRPVQAISTLPEPKCYWELLPFELREFIFSELHCSPDDYSASSHWTSPFTWQ GSTSPFMKALRTLPKSYDHALQWFGREATLSLTGNPWYPTGYRLSALDISEAELNVVQ QIDIDATFIVNCTQDLFEEDGKTVVRFEKPTWFTRQFLDLPSVRNVSIALDLDNIDQG YMAVFLTEWPLWLQGFTALAKVTVKIPLWVMDDRGRKMVNGIIGGINRKTGVRGQFVE VEKDKSLRHVHEDAEVWEWEAVDGKFMDWTQELGREYKYRQCRDSRRDFQFFDDITHT ELGFEDGSFFLENWHYCWPPYEGRCDEQ LY89DRAFT_741087 MPSAHIQNDLGAIAIQKDEAQRNPIAKTLLVQVDSQTIIERNKV TSEQVLGTAEQGFVAHASQEPTTTISEIATIFLEANIEPSPSRNGSLFRWKGRAPAIV QALRSLPVSYNHALQWYARLAEVHLEFGNGYDLVRSMKKAELDVITSIKIDVRDLEPF VCEFPSWLQYSNKLTKVTVELPTGMMYLREQTMVDKVAGRVSKKLKVRHKYIETRIRP KFHGDLGRHKPRFHAYVWESPPGKVMDWSKRLGAEYKK LY89DRAFT_676169 MSSSMAQDFNESAISPRLDGLVSVAQSNNTNNQSAFPWERLPYE LRGQIFKELNSERSGNNGSPYFKWQGSMPALIVALRTSQLSYSHVLEWFEKTNSFIVM DSSSSHDLGDLTTREVGLIKEVFVDIRYGFLFVVKALKHHL LY89DRAFT_788250 MAASYQATAILTSAFPWEKLPFELRAQVFQEVDRQYLQSKQVSY FKWRLSMPAIVIALRQRPISYYHALQWFAKENTPLIFDLGISCALGDMNDEEAAVITT ISMEMSKPLCKNTVRYNKTVWPKSRLQKPVAFTQQFLRLENLREVRLNVGDEMSDSAG FPTSNRAFITEWPFWLEGCKKLSIVEVTVTIKDRSQDELAQLAWRQTERVMVSLVKQI SKKLGIEGKKVDMDVRNRLHYISESEGSVWRWEAEEGQFMDWSCELGWWQDSKKNALE GKVDF LY89DRAFT_690407 MKFFAVPLVALIYSTYCAAVENIQERNVEHNERASTGTCSIGIA RWFGSISWDATVYNVPQAIRQDDNIIGTLTNAEMDKGQSITIQSPASAITITNVDNAP VFDYDSSELNASITDPATGTTKVKLDFVLQSGNVTWTTDDCYAGSVVFSAGLESWSCD FPCSVEAPQVKEL LY89DRAFT_657727 MSPPRVLIIGCGVAGPAIALLLKRKGYSPIILEKVRQLGDVGGS LMLFPNGLKVLSLLGLSSLATETAPNLTCLRDISSNGTEIGDTKLPATWRAKYGQPAC GVKRTALNLALKEACLDAGIPVLEGWKLKDIIETENSVTAIAEDGRMEEGAFLIGCDG IKSATRNLVLKGHGMQEEDTTFTNLTQAGGMSPTPSILKQTPGMMNWYGEGAHFIAYP ISKTTTSWAITQRSNIEEVETWQQMSVSQLAVYKSNLLAESQTWCEPIQDLIKGSERL IKYGLFDRPQLEKEKWVSEAGRCVLIGDAAHPTSPHLGQGANQALEDCWHLARLLPDG EVEMERKTLKEMFLEFSGLRQPRTAALVRGARAQGERRVVSGTEECRRRDEALRRGWM DELAVEEKWESLLREPF LY89DRAFT_761935 MNSTIILITGANSGVGYATTKVLVSASKDFHIIMTSRSLTKVKT AMAELEASKPAGSLSALELDVTDQQSVDTAVAHVTSQYGHLDVLINNAAIGSRGPDVM KRFQDCLTTNVIGPAVVAAAFRPLLLKSSNPYSLFVSSGAASMGKASDPTDAVYKVNI PNGGAYRVSKAALNMLVLQEWIKYAETGLKVFAVCPGFVRSNLRGKSEEEVSGWGQAG DPVVAGEMILGIVKGERNADVGKFVWKDGVYAW LY89DRAFT_788253 MPFPSTGCQTCRQRRIKCDGELPRCQRCQKSKRTCSGTRAEPGC SLIHLENSYASGATKRPRGPRSTRTVESIPQLPSTDLETRATTYYYNHHLHAIEDSLD IMRTVADDFLTVWKFRPDCEILSLAGSCMALAVFSRTQSHPPAAVEASLKYERLLRST HQEILSLNAENIDTCLIAIFFMGRYEGAVFSPEDDNPKVPIIFAMRSFRHHEGAISVL KHWKECQSHRSAPTDVIKHTRRGLIRSALLRNAPVPDWLMEGSLFGEHGLELEYDRIH TRIANLRHRLGTLLCGKPRLSQESCSIAEEFNTEAKELDKSLQQWKKHLPTEWNYQRH TLPVLRPCPSQNFYSSVVYSYANPAYGAVWCKYFATRMLINSTRLQILAFIEPSPIHS TNQQKSQALSHMRKMGNGLASSIPFCFERFSVTQNPESSDDVSITLNIHEDIKPYNAS LVLWPLTIATSIGSVERELRLWFRSELARVGKITGFGIAECANTPSWPINKLGFDKS LY89DRAFT_570110 LPEVIEDSIFVTSSLGIQYLWVDRYCINQQDAADKHEQISNMDV IYANATITIIAAAGSDPDYGLPGVNGRMRKLRPNLKMRKLNIYASLPQVYISVKKSKW ATRGWTYQEGLLSKRRLIFTDEQVHFDCNSICCAEESSLLLDRMFTSSSGNSMAHTEK GALPLKMLEPFDTSLISRLIAESSKRELGYPEDTLNAMQGIFRVF LY89DRAFT_286009 MPEIKQDYDLVVIGAGPHGLIAAHTWLELYPTSRVAILESEEEV GGTWAKGRIYPGMMTQSPMGKIEFSCYPMPPPKNTHFNLMPGSHVSAYLEAFSQHKSW AGKTLRDRVKFNFNVDSVSWAETDGARLYTIRSKTRDIVTCRKLMVATGLTNIPYLPT FKIEKGKEFIRPIIHSKYLAKNADLLLSPAIQKVVVLGGAKSAFDTVQILIHGGKEVT WLIRDDGAGPAFMGDPKPPPIFGLKNTSELVSTRFVSKLSPSIFEPVDGWARFWHQSK IGIAISAFFWRMLTLKFLVAAGYDKDERLLPLKPERPVYYAQTTGITNSADTWETVAK ARVLKKGIERLSEKEIVLVGGERVACDALIACTGWDAKVGFLSTEQSTELGLPVDLKE ESVSDRKHWEALIAEADKEVLIRFPRLEEQPAFPSASWRTSPSKLYRCMVPTSLGFND GGIVFLGHFIARDTMTLAEIQALWAVAYMNGRLPRKTGKEMEKEVALVIAWRRRRYLG DGHAFLFDGHEYYSQLLRDLGIMDVRKSGAWKEIFFPYDVYDYRGLIEEYKAKHG LY89DRAFT_285964 MSDRYSRYVPRRGSPPLFNPARASLPINLAYPEQQPHLHVVPSS RREIIAPARSSNSSASTAGTVTTTYKVTSEAPKHGSHVREGSRTRRSTIDNHNRPTIV TTTRAHRPVVHSGGGVRPASPMKNPYRSSEEEYVVTPATSSRHGHHHHKKHYSVTMDN ADMNRLAREREDSRLRVVPVREPTYRARNRPVYTGSVVRHADTVADDYGDDGYGYTNP QDLVRYDLDRGTQPTPVVHRSRRDSFDGNRAPRPSSITGYNDIVPRSYDTRERGPPPS TRGFDKIRPPPYDQAAIRMPRPPSPGNSMAPMEPVARPPPFEPVEPVRRSNSTRARPT SYHDRDRPRGPRDDYYEVRDDERRHRHDSYDDRVESRGFGIRTDRPESRTAVRGERPI ERPESRSAVRIEPPESRSAIRPERPESRSAIRPERSERIERSERNDRGSDGDRKEHKE HKGRDALATGLSLAGAALGINAVKNVARGDDRKDRDSDSDEREERRRREYDEEPRRRR DRDEREHVDLGGRDPKERRHRDDDMPPPPRDDSSRDPPPARSKDVKERSPTIDLGGRD PRERQAARDDRDSDKERRERHRQRSEAALSGTAVDSSDTGSDDAQPRPRREIHHRGNS TAAAAVGAAAGAAVAAAPAFNPKDTMDLKALKEALNSKDAAAKAAAPPKEPVSRTPRQ SSTKDPAEVAKIREELKPERGRDPLASNDNRQLRVVSPPREKAEDKPVKGILRQPREK FPEDPAPIREGVAPLKDSKKDGIPPDARWTKISRKLVNPEALEAGKERFEAREDFVIV LRVLSRDEVQGYAEVTQRIRAAREELEEIEAAERRRARRERHERHKRERNGEVPREHG RRRREREHRDRSASESDTTDDEYDDGDRVSDKPKMIEAPKKRATFEDAMMTGGLGGDI SVGSVRDPSLPMGGGLGSLREDPSKPGVYSGYVRNPPAPKQ LY89DRAFT_690410 MEYIDAIPLNELWFDSQCPKDLLEARRARALEEVAVAMVQMGAL TYGEGGSLVFAEGERDPTIGPMRRMDMQAMLDRLKTDDSDETAIYCEVEPSKDPKAYF QSLADRSRSPADDYGKGLHHLLRHFIDWMPCSTHYIEPGFVLSHPDFDIQNVLVTPEG NLRALIDWDGVATVPRCIGNERYPAWLTRDWDPAKYFYNPEPDEDIRENSPEELENYR AMYRGFVGKAIMATRADNGQATRNSMLSPPQRKIL LY89DRAFT_286026 MHSGRDVLRTRDQGTLGSRTSDSSSRTLGGISDSYKCWDCRHFV TLGLCTFLGVPRVWCDPHSLPIFLIISNSSRDTHTNELNTENTIISTT LY89DRAFT_741097 MRTPTQAHPEAHPFKLQPTIHHVFDKEQTFLLPDLHLGNSILAR DIKRNRKGLNRRGAWAEAELFILSKLRMGFHPEVIDSEICSEILSNEGSDYKTMFLKY ARRILVNLRTSSILGHVPTIAEYNVIAIVQDQRVRFQMMMYERLQDSRLVNQYGMDDE IARELKSAEALNIQQEQMLQQMREQDQRQVEEQYRANEEALRSELADAKFRAESAENQ LKYQNAMRSRQPSNAGSSRTPAFENDFPRFRTNGTSRYAPAEGDRTRASDVDDMRSQR SFRPGFDDRGMPMNQRAGDPDRKPAEEGYRYVEGVRFGRDHVPQNRYSNGQGQGRGHP TPGNRYVGPRQNEDRGNPQHRQPYNQGQENYRGTPDPRDRAPPQKKISFRTVKVQDVQ FPKTTIRMSSAHSIVPPVTTIVDPRKARSPTAIMNNVVLRDFEKECVLRGMNRSYTQR RR LY89DRAFT_598274 MKLREAAQRDERVQIVQTTAKRLVKCEKSGRVIGVVCSTRRSKE QKYFADLTIVADRQASNLRSQYTKHTPVTKSRFWGLELIDAELPNQHLAYGVIGSGPP VLIYQIGLRETRILIDIPNTVHQAASNSGSIADYVQTKVVPDLPTSVRPSVTAALKKG MLRSMPNSWLPSSTNTTPGIEFLGDAFNMRHPLTGGGMTVALNDVVLLNQLLAPEIIS SFGDTRSVLKQMRRFHWQRKEYSTSLNILAQALYSLFIADDLQLQVLQRGFNRYIQRG GNCVEEPAGIMGGVIHSPWLLFYHFFAVALYSLSTLMREGYASSLWHMTGAIFQCLHR GTVDIIWSCFLVLFVSVWAVLHHNVPIRSDHYWSTLGRKVRWATLAICAPELLTLFAV MQWNAANISVTEMQDLGEKDWSVVHAFYANARGFMLDAPDYPTFPINAKSIHYLRSTG WIKPLNITRDSIWDRSKADVFAKGFALIQTTWLCIQCICRVIQRLSITPLELFTVAFV LSTLATSFFWVNKPQNVTEPNVITTEWLIADVLKAAGDAAKEPYIDTPMDFVEKPVWQ GWKRRPSLLHFSGLTSRPLKRIPNDYSPPPPTGKEALFVWVISVVHAGIHVISWRLSF PTDTEAWIWRISSVTLLLVMIIGGAVPVLSTREWFDFRFNLLCIWIRPARKNTLVRRH VFDFVVDFDYFVYIVARLLIFTEIFLSFRSLPETAYANINWTEFLPHID LY89DRAFT_701409 MMSFISFLALHGAFYLLWLWPHTPFELAVKPEIISLAQQKAGLT RDGPPVHSATSVRDYWTNEYNWTEVQNDINTRFKMYTTTVNALPNSNYNASVPLHFVH HRSNRTDAIPLLFVHGWPGSFLEVANLLEPLTNPPNSSIPAFHVVAPSIPGFGFSPAP LFPLFGPRAAGEAFNNLMHQLNYTSYVVQGGDIGGFITRFMASSHPESVVSMLNNFWL IQPNATDFGRYFAGNTTADENYVIEEFWNFENKSSGYRFEQQTQPLQIGHAMTDSPVG FAMYIYDFMFLTVDDYWWGAGELITWTLMYWIQGPYAGFRFYKEMVNDYVVEDGLLIN STTFPYVSQPVAISEFPADIWYRTPLDWAQRGGNVVARTVHEFGGHFAAVETPDLLIE DIRSFFGSSTLSNTSIFSC LY89DRAFT_741101 MASSIIERFDKLSLVADGQNHADSARTILATVYPRPGKTERIIE LYQPILKDGATKEPGTIQFQLFVDINPETGNEEIFTIEKFKNLEALDCISRERR LY89DRAFT_761956 MWTVSLFVSTILKQHGCEEPRKYPHKDPLLGLDYWRAQREAAQK SQWLPTSKRLFAKYGKTYEVNNLGQRMIHTMEPQNIQSVWATNFNSWGLQPLREGIAV PFFNHGINTTDGDFWRHSRALVRPTFARIEVANLGFLEKHVDRLFDQLPIDGSTTDLQ PLFSCLFLDSSTDFLFGESMNLLLPDQRQECMSFIKAFDYCLYGLGHRVRLGSLRFLH RDKRWLASIQVVHEQVDQYIEKAIRSIRDTNGSDELAKKADRYVLLNEMAKQTQDKLD LRSQILTVFMPGRDSTAHALSNVFHVLARRPEVYKKLREEVLLHGDAKLTFETLRSMK YLQWVLNEGGKDGKSPIYVRKGDTVMASMWGLHQDRDIWGEDAAEFNPERWHEMKPIW TFVPFLGGPRTCPAQQLVLTQNAYVLARFVREFERIESIDPNPWTKARRIGFQSKFGV KVKLVRAVSHN LY89DRAFT_788258 MPPPVTFILDPELFNPGFYASILRFWLPTYPKPSSQFTQSDVLR WFGSSSSTDEQVCTLAGRALSSTGPENLILPPFTSFEGDRTLYDEIAAPFIRHLHSSN EDSGTIPNNAHSALALSILLDQFPRNVYRGQAAVYTHYDRLARAAAAKIRSVGLDHAE CFAKSPVWRIWLYMNLEHSESVSDHEMFQSALGEMLEISKTEGDEMGSRFVERAMEFE QKIWSR LY89DRAFT_788259 MLLVVGRFLWVLFSIICFSGTALGQENLTEVLLSYPACSAKCSA TYLPIANCAFTDLRSCMCTNYTLQYEIGACVLSTCNITDQIISSTITQNEICNGVPQP WEGGRAIRASIIIACFTFPIIGLRFITRIYITKKLWWDDWTILIATVLMLPNTAIPIY LSYHGLGLHFYDVHPADTPNLEKLFYISQIFYVLIQNIPKFSILLLYLRIFPTPRFRK MLFIAMAWQVAHTLAFLCGVAFQCVPVDSFWDPFIKKDHCIDIATLTYFGAGFSIFED IVIMSLPVYELKGLNLSLRKRMALVFMFALGSFACVTSMIRLKFMIGFGKSIDSTWTN VDVVIWSQIETYTAVICGCLMCIRPLFIKYIPSVFSTKASQPSSSQLHSATWGARISS RIKAERTSRYGNRLDDEESIEVGKGLEAGNMERRGSQGNVIKVTTENVVQYEMKRTSE RRAGSQDSDDIADELGLGSKENLNDRSVLKV LY89DRAFT_761972 MSDPNSMWRAARHPPANPTSLSFKDKAVLVTGANSGLGLAAAIK YVAQGASPLILGVRSHEKGEQAKTTIINETRCSSDIFIILTLDLASFESVRDFVQTLN AKVPRLHVAQLAGGVTKVNYEITTDGYESTLQINALSPALLGLLLLPKLQATASESPH GEPSHLSFVNSIAHLEVKSTDLSPDQTLIELCNDSSKWDFQKQYFLLPLAAKAAMAVT LFVMGRSAEQGARTMVSATGLGPESHGKFWTNDIYPP LY89DRAFT_701413 MSVILYVAVIAVGAWLLYFKRASSPPKLDLPYLEFEGDNSASRY KTESESILRRGYGKRGTYYGIDLGTFYLIEPLQRACAAAYAKEMPPCSEWTSVLPYPL LIKIFASMSACAIVGPKLDGLDSEWQRLSMDYVSAALSAPSKVRAKYPRWLYWLSQYI NDGVKTMWKHRSRARELLTPVLQSRIDATAELKAKGVKKHKGARKYEDGVQWLLDAHT AQGKVLTPDQLAQDLLVIMTASIHSTSGACLANIFDMLEHPEALSDVTQEILLVQSKL PGGVWTRKALGDLRLLDSFMRESARVHALTQYTSAQRIPTSTWKFKDGLEIPSGFTMA FPSYHHGFDPAIHPHPDTFDAYRHLRKRQESDTHKFHFASVSEDMLNWGTGRHACPGR FFAQETLKLLIIHLLTHYEFRYAEGTEEMPRFLPSNLFIVPNPALPLLFKECKVSL LY89DRAFT_286113 MFLWSTLFVLLQCNSVRGTRQIQKRQITATPPTTCTPSSATTTI NSQADAKALSTCFVIAGSVLVSTAVNGTISFDGPQNITGNLIADSADLLTGLSSSSLS NISGDIIITNLSNTFDVFNFPSLGSAGSLTLSSLPGLGSVETLQGFTTKSMTISNTSL GSAPLLSNDTEILDINNNFRIGDLAFNLASISTSLNFDSNGAATFSFPKLVWASNITA INVPQLNLPVLAVVNNSFGIYGSLEVGLNAPQLTTIGTFENAVGTGSLSVVSSPELTT LGLGSLRLIGGNLSVIGNNALRSIALTALQTVGGGMDLEGGFHSANFSSLVSVKKAMS IQTTDSSFDCSTYKALDFTVIQGGFECRTINSSMSTAPAPVVDSPSPGISPGAKAGFG IAIPLIAVLSAGATFFWLRHKKRNRMTVTVAPRLGYSIGAELPGGGKNEEKGLPVQVN ELGEYYFKPVPEAHELCGGETVEEGRGGGEMRVERVQESGSSVI LY89DRAFT_286120 MSSNNRGSQSGMEKQSDLSIRGKRHSDQIAKSKNESSLSEMAAV QPKSGRMGKPKTRTGCITCKIRRIKCDEGKPFCMRCTLTGRQCDGYRQPSQLKGTAPH PMQIAKLRPATPALQLHLVIKLNHRIPPQLTEYPDRTHCEHRSLEYFRCCTATVMSHW LVENFWKYQLPQTANSEPATRHAMIALAKIHEDVEIQREGIPNDPRLAPEVSARRSQY ARHHYNIAVSELARALRERTDSEEVALMVCALFVIFNFVSGNSLAAIFHMHNGVEILS RWKSSKIGNRVLSEGSLEKNLITLFGRLSHQSTSLDESIPELRPHMPTMISFPSLQVA GTSMESLVREGLRLVRIGAVLAYNPQAAVRWDDLELQASGFLADLSQWLSQFESLVAT LPIPRNDGDEGQIYRLRVMYLTAHIWICFGVTTPEAPEPTSVLEQFLKVVEEIYTKWI AGQLGCPSLHIFDLGIIPPLVLIATKSQNQMLKERAQLMLRKAAPLIVLGTPPSIPVS MGTVTLRDETFSGTPLPTNLEIEMADIGEHGTVEIEVEPGATQIGISLKPKRSGDGWE VRKDFAV LY89DRAFT_628514 MADYDYPGLGLPLRHFHQDDNDSYPLGIHGNCWGSDSDLLPVRE VAMMIIMDRLMDKPDWEKKVFDDEIIAKWRKEALEYPDDSLWKQATGGKVHNRWAGQR SDDETTFVSSHITPLTGIMSNEAFDYCVQELRSKAQYYKESALIPTLDACATVVKSDT LISSDMQVELRNAFDKLKADQSASPDWHPNSSEMVQDLLHPSMYPLVYGRSRVLKEEV VGVNDAIEKWAGKGEVIDKDDSELGTNDRYSSGIGGSYPPRNFWSNTYQWLPSNVAFQ KDGSVKFTSYINNLHPGKYPDMYRTIEKFIETVLPAWDQCLARRIDYHNTKHGAGRTV SRFSKPSHPDDDNGDNWDPSEQEETPVNTAEASDSDASPTAVVDLEEEAESDDSEEYD NEEDRQWRRAEKRWHKIRKPVQPQPDPFKAVDDFYVPKESVQLSKQFKDTGLQIIVKM ASIELTPGKPEFPVGGWHVEGQMNERICATALYYLDSDNITSNNLSFRMQTSAYINDE YTVGQDAYHWMENIYGTELGCGNAPCLQNYGSVETKEGRLLAFPNVFQHRVSPFRLID PTKPGHRRFVALWLVDPHIRIISTANVPPQQLNWWAESIFSDSDESISKLPAELVELF QQKGVTIDPSEKAEGKLPPELNKMLLQHFEGEGALLMGEEEAREHREKLMSERSAFSL AADDEWHHHSYSFCEH LY89DRAFT_598289 MNNDGGCTIDTCPLSMATVHYDPSLVGNTFYLTLFAGTFVVQAV QTWKYKTWSYSFSVMSGLVLEVVGYLGRVQMHYNPFDANPFLIYLIGLTIGPVFFNAA IYLTLSRIIVHYGVRYCWLTPKRISITFMTCDFIALVLQAAGGAIADTASTSKGSDTG THILVAGLGFQVLSLLFFIGVSCYYALLVTRLRQGKDVFVQSPSRYWRTVHLNSQTKR HFNLFLVAFSFATIFILVRSTFRVAELWKGFDSRLTNDEVLFMLLEGTMITLAVGLLT FFHPGTALKDEWKDSGWVAAKVAPNDVFSRYEMSGV LY89DRAFT_711201 MSSRTELSKKSPRESFPHSAYIASQSIQEFVPTSGTYPKGFQVG SINVGIKPASKSQPDLVLVASEKPSNGAAVFTKNEFPNASITVSKEIVRRTKGWGVRG VIANSWCGNTITGKQGLEDSLIMSKEAGRYISGETTDAEAESSVMVMHTGMGGQRLPI NNIIKGIPTLHASMGNSHTHWLEAARGLSTTDTFPKLVSRTFTLPSSPTITYSIAGLT KGSGMIHPNMATTLGIICTDASISAPALQNCYPPLPRNRGPEISLDSSCEDFISFQRI LVEFMADMAKLVVRDGEGATKFITIRVHGAPSYAAGKHIASVIARSVLFKTGIYGKEP NWGNVVAALGYSLMNTGKGIIVGELTSVSFVAEGGEVLKFMERGVLGVVDEQRAKEVM EKEDVEVLVELRDDGKEDGGEEAVYWTCDLTHEFVTINSDFGN LY89DRAFT_286196 MMAASRFTTWRRGWKESREAKQANIRSECIQPDNIPRSTTPTST ATTATAINFPQPYSHNYQSQAPTPSSNTMSQSGRESRLGFRESDIEYARKEVERRKRE EQFIRKDVPLGPVPRLHKKGSLEWAQGVNAERRAASRMASLNNLGEERKMGEPVGGGS KLRHCETVGDLRAAAAAGEEKEKEESRTGRWDIGGKLKKLFGKKKN LY89DRAFT_741111 MDLEDHPKKHHGHDTHAIPPQAICNIYKKYQKMDDVDIDSDLEV VDFGRGLTKQQDKSIVPVDTISSELVATARDKFMSYWPSFDSVPRDSTPKACTIYEHK DFAGLRILPSLLPPECQFLMLDSILHRDLANPLHKTNIHQDYSIPYPPPNGDSSQPES FFTYPPHSKNQVFTPINPHSNHKALNTTQFLHKKLRWLTLGSQYDWTTRAYPAESPTR FPADISSLVTTLFKNAFKPESGVVLLYSTKDYMPVHRDVSEECQKGLASFTLGCDGLF ILSRDKKREDGEAADEDDEEREMETCVLRVRSGDCVWMGGETRWCWHAMPKIMGGTCP KWLEDWPVGVSGEQRKEFEKWKGFMKSKRLNISCRQVWS LY89DRAFT_286214 MDQDRGKTYPFINNLLDHDLGLVDLGPSALEGDVDELTSTRSGS ILRFGWHLDVNHMSGILDLVDLRTLRADNLAGSSREHLHDLLHLYASINNTVSTTSTN TLGKICTTRDFGLNLNMDFGTVLVEILSHTLANVLDDVDPAWSPVVCVDLINSQGVLV LLRIRSPSGVGRDNMIRCIITLKVSGNQLDDGDTVLETVGDTNRKVGADFEGITHVSL TVVDFDVLSLLTGNWRDGSVEVPSKASVDVVQDLASVLVRLCLCMSALVPIEPKSRVA P LY89DRAFT_676194 MPLGRPRRPGTDAERAAARRQQVRENVRAFRQRNRERAQLDNQP ESSDSEALSRQSSVARSEAGSSSQVVASSSEALSTSFRRLSTSSQAAGLGSTASTIPT SSVERNISVTSETIGRTIKWSLGLPFRIDLGPAYSGAFIAAFQYRSLPAPTLTVESDS IETESLMTLSTEPQDTITDIAPTEQQPTDEASNELTRVEICYHTWTTSVSFEAMSPGS EMLREALLSAALNLISIERNDRAMAMQAVQIQATALRKLRDGFDDYLENKDPAKATLL SATALACSMSELLVNKSWDGFSLHLKGVGALIEHAGPDALDDQIARDHFYGYRAVQFP FSFLHRRGSFLAREEWINLEWRGGDLGNCPIHTLLDIGLQVPSEMEHYDKNPNRRPGA LRRQLKKLNDLASELNQWKVDVFSRYTSAMYTAEPASWTGLHSEAIHFTNDIVASSFT VYTGIRVALFSLVRQLAEDLKAEDESAIPVLTYSIEESFKWSRIACQCTEYFFAREPN VAGKVLCLFPFDTAWSTFVELGENYDRDMTNELRWCQGAAERIEATGLPVFKVRSRI LY89DRAFT_741114 MSTQLILADGGGPTQSPTMKKLESFPRFKELPKEIRLQIWEYLL PGTRVVHMNPTRTDLGPVSRVWSIYPVEQDPNEDEDSRFFCPSWWRDAFSREGKICGI NYGPKALWEPTTASESLSKSLTTCQESFSVITGNYVQTFGTLGTIPKTWFSSRDDTMF LSISLRHTKPGNSIFDQDHPIDFRLVEKLALGNPGFRPCSAPFVDAKAYPDFLELLSG FDNLLEVTIVDRFPPCPSYWRNTFPDLVLMDFMEVAVLMEWYQPGYDPKNDRSLQRYQ ETWSVKSKADFTYDKLELERQWKLAVQQGKPAIKTLPKFDRKLILESTNKEAFDQAKE DYYRQKKEYRMRIAVTSSNMAPFDVTIDSTTTVEDVAEAYRAARGLSDEACIAITCGG QRIEAGTKLLDVENLYDGDVLSVLSQGGEEFVDNRSGTDKGDV LY89DRAFT_741115 MQLDEMVPHSRLRSSSAMMSISNSQTSIEQPDDSVLRPLPTTTP TVNHNEQTPEIRRIIWELTLTPRILDVDHYFKTRRVSRVSDDCAVGDETPGSWETFFD PEISRNTMFSNAVTAFDESWSPTGVEVTCISVIGIMDACSESREVILSSGYTRIFPLL GFRATTLFSSLNDTFYIRQGAFIKAFFFWYGFMLGSAVVDVKHLAIGTIRHGFSGDCT LANERDMFRYAVNKDYKNIEELTLALEYDVGSDRSNLVFMDIEDIFGTSQAATPQFGP EMAQRGKHPLEANCDRNSVRLFELERQKLAQWLLRDIDMFNVLPNEAYPNERNEKNLK VNRKIVTTSEIKATFKDAVSWCKARMLRRRLFVFNQRTRCTTHAVADESSTVKDICDI WVSDNRLECNMHSLDKIQLWHDGTSFDPTTRILDINSILDGGFLYMLVWDGKCWRW LY89DRAFT_657749 MKQRFSSIDVKVIAHELSNALVTLRVSNIYDLSSKIFLVKFAKP DNKQQIIIDSGFRCHLTEFSRATAAAPSVFIQRLRKVLKTRRVTAVSQVGTDRIIEFQ FSDGQYKLYLEFYASGNIILTDKELNILALLRIVGAGEGQEEQRVGLKYSLENRQNYG GIPPLTKERLQDALQKAVERGDGGLVAGKKAKKKADELRKALAVSITEYPPMLVDHAM RITKFDSSLKPADILGNEGLLDLLMRSLQEAQRVVQEITSSEVAKGYIIAKKKDGYEE ATEEQQSRKFVLYEDFHPFRPRQFEGDLTTVFLEFKGFNKTVDEFFSSIEGQRLESRL EERELTAKRKIEAARQDQAKRLGGLQQVQELHIRKAEALTVNAEWVQEAIDSVNGLIE QGMDWVEIEKLVEREQKRKNPVAELIKLPLKLQENIITLLLDEEEFVEDDDSAYETDS DASDLEDEDAKPEPKQKKEDKRLTIDVKLRLSPWANSREYYDQKRSAADKEQKTLQAS TKALKSQEAKIAQDLKKGLKQEKAILRPVRRLMWFEKFIWFISSDGYLVLGGKDAQQN EMLYKKYLKKGDVYVHADLHGAATVVIRNNPKTPDSPIPPSTLSQAGTLAVSCSSAWD SKAGMSAWWVNADQVSKSAPTGEFLPTGSFMVRGKKNFLPPAVLLLGFGVIFQISEES KARHVKHRLVEEDPISSEFGYGTGATQSLGGDGQADQDDDEGSVAEDHESEEEPEDEQ PRTNALQPETHTEQDDQEEPTEEMSKLDIHGVAGEVEDLSPEDQVANQAGDTEADPQV AEKEEEASDEDSDNETNADNTHPSTGTQTPSQQGTAKKGPPPPKRGKKGKAKKMATKY KDQDEEDRIAAQQLIGAAAGREKAEAEAKAKAAREAELAFQKERRKAQHQRTQKETAE HEEIRKLMLEEGQEVLDEGEEEKMTIFESLVGTPLKGDEILEAIPICAPWAAMGKYKY KAKLQPGAQKKGKAVKEILGRWLNDSTAKGKVDESATDTERMWPREIELLKGWKFEEV ANTVPVGKVRVMMAGGSAGQSSKGAPQKGRGGKGSKKQGR LY89DRAFT_741117 MANLTHFNPKASSKPYMGLFSNCTIVPPNTALAYISTQWAADPS TGELVEGAAGDYTKQSKIVWTNLVETLKELGAQMKDVVHTTVSFSEFNDDIGKAVVEA WVSVMPDEWKQDMFKPSLTFNGVSIFHRPGVVYAVDLVVAVPSK LY89DRAFT_711204 MGSSPPRGSASKLFTPLAICNGKINLSHRVIMAPMTRNRGIPLN ESTTENPNRIWVADELIAKYYGQRATPGGLLVTESIMPSPEAGGMPGVPGLWLKEHGE GWKLTTKAVHSAGGIIFAQLTHHGRCALSIFTSTPIHSASATPYSTDEKYAYPAHGKP YSDRVFMKDHPPTAMTKTDIERTIKDYVSAARMAVKESGFDGVELHAGNGYLVEQFLS SNVNIRTDEYGGSPEKRCRFALEVLEGLVKEVGMERVAVRFSPWGVYGDVHDEARFET WSFLCREIARRWPGFGYVSFVEARQDELDANPDVKNSWGVDRPIDLKWAKEILGNIKV ISAGGWDGETCWEGIERGDVDGIVFARWFVSNPDLVERLRGGKELSMYNRGKFYGPTS KREVGYTDYGTWEEVEAARECDKTEV LY89DRAFT_628528 MPLSFNRAAEVLATASFIVGAIASPFVTDSRRNVSYQGITTSPG IETFLGLPYGKDTSGDRRFAPPEPFAPPSGYVFNATAAGPSCPQASGGGFLFETNVTY ISENCLNLLLSRPSNISHGTKLPVMAYIYGGGLNSGTAYARTNQPDGLIQQSIENGYP VIYVAMNYRLNIFGFATSDALRGNKSLNVGLRDQRLALEWVKDNVHLFGGDPNNVTIF GQSSGGLSVEMQVLAYGSEKPVPFQGAIMQSTALEPTMASNISFNATSAIAVAAGCNA TDAFSTSPSMIDCLRSLPMEKLLNLTLDFIDETSANNDGDIFLPTVDQDFLPDLSSKL VAEGKFPKIKIMTGWMENDATLFTNSAIKTPNDTRSFFELYYPGLNSTTLTNLLSLYP VSDFSPNTTANLSAEFYRSAEIFRDILLTCPSFYFASAMAKKYPNSTEPPVYLYSGNQ TILGNYLNSTGLPGLGVIHTSELSYLYGNLSIFNVTDAGLPGYHFDPSASDYELARQL PRSWSSFAATGKPSLVGKDTLPGWTSAYPDGKGEGIVYVIGGAEEGMAAANQGIMKER LRERCGFLNSAEVIEQLQY LY89DRAFT_657758 MPPKRKALASASGNARKSPRTKTAPARFGQDDGKREFKYSDPKS LENKPTFQNLEWYNLHKFIDDPDCQDMPADGELMEPYYDRVSDLGIPVTELGGDKLDK FVDECENRNQDIEGLYIYNDWSGWGVSEVMENMLKEFDAEVKKKDVSPYAKWGFVETF AGYVMEDGFDFLDNENSPSVKEIIDMLGLMTLTVFDVLSEHDLLKPGSEIKNLEIICL IILDFIVKDCGDFEIEWGCEVMRRCDEAGIELEKHVRKQVNIGVEDLQKLRDEYKMKE RLDKDWDDEYETFRPNHRGGNKYDLTKMSMVLKRKYSIGPEEEVDETD LY89DRAFT_690423 MAIHPLLPGIEVAICVNNEPLREYDDEDSWTGTTRNAVGFETKT ITKYIESVADQEFGIKFVLDNHYQLTSPFLVFYTNIDGENFGGAAFDDRKTHTPWVIH QEGVKKGGKGKTPVYLLPFKFTKIETTLNQNAMRTLEDDKKTMSKTGKISIEVFRCSG GASFDRDSNVPKLGLDPDSKLHEKSLKGEPKYHGASFGDARVVSSENKARTYTELDGS DSPILRFVFKYRSNKALKELLIIERLPEPEEAAAPAEAPPEVPPAVDLEHLNDNQRRK VEDFVRNLTEGGPSTQPKKVKRERDGEKSPLQLKKKLKTAEEVEIVDLTGD LY89DRAFT_724405 MAIHPDLPGVEVTICVNGIPLEEFDDPPEEGTPFQPNTTKSISK YVKSMTDQEFTIKYTMGHPFDVDCPNLKVHVCVDGKSVPGKAFDRDRFPGGKIVEGII SGVKYVENGEKMFKTFCFSAVKTTLDDDAYKRVDKDINLMAKVGSIAVTLWRGGDAVR VSRKKDWSAKLRTSDVHEKALKGEPKYHGVSLGDAKPVAFKNTILRTAKLDETPLASF TFKYRSEGKSRVALKELLVIERTPEPEEPSPAPAEAVSFEDLDADQKRKVEEFMRNLK SGSSQAPGIKREFKTEDGPSSQPKRPRRLGEKVTIDLTDD LY89DRAFT_724406 MKIKTFLNLPLTLHPLNFIKLGRNNIYREVLYRSHLLRLSPLPH NFQFDLHHPGLSVSILINNRPLTEYPEPDPSSSRTSSPSQSTNPITTSTTYIASTPNT PFAIRYSLAPPFSALTNTAPLLGVNVFVDGTLVVRETVRKADGERERDRERRFVIRGV KGVDGRGKQVLRSLRFVGRRTCELFLLLFGGRGKGREREANMKLIADENVPAEGDQRL LANAGRIDVEFWRLGEGVVRDWYARSEVLEVGMGARAVHEKALKGEPVYHCVDFVEEK VVERPVVRRRVGKLDQAPIACFTFKYRSEQALKELMIIERTPEPELELELGVSTLNLD GLTPEQRSMAKAYVDGLKSSRIGAGIKREHVEESEEEEGPLKKQKRARKGKEKMVVID LCDD LY89DRAFT_701421 MLQSVCGLCSSHVQHHESCRIIRNGDCPVVRIGVGRVVSPVVNL DFDARVPIPFSVFPSSYRSDEQQTTTQTHVEGEIKLPQASSRVGRDGQYSSFSANLPP PPQDDRYQAEEVVHITREEERHHRPSRREDIYIRDERRPTTTFQPQQQQSSTHIDFEV PNRRREYKTTYNVDIEGPRPHRRQYAGTDIDIAEREYRSRVQPSYREDIRVTGATVDP PRFQPSYQEEVRVSGTTVDPPRHQQTSFREEVRVSGTTVDPPRPQSTYREEVRVTGST VDPPSLRKPIREKVRFTEETVDPSSRFQEVRVSQETVDPPSRFPKAKMGYYDEDGHYH SFRHGLHRAADRVLGPGPRSEGPRAAAEPAYTPNTVTIPCHHIRLGDLLILQGRPCQV IRITTSAATGQHRYLGVDLFTKQLHEESSFISNPSPSVVVQTMLGPVFKQYRVLDLQD GVVVAMTETGDVKQNLPVIDQSNLWGRLSEAFESGRGSVRVLVISDQGRELAVDMKTI HGSRL LY89DRAFT_690426 METPVILSSPLEKGKSQREIVQETQVPRRTVRRILKLEHTAESV KRSTRNLI LY89DRAFT_741124 MSMQESDSPQTVESVPEKVLGNDETTVLADSGDAELLAQLGYKQ ELKRNFTTFEVFGIAFSIISLLPSIASTLSYSIPAGPVGMVWGWFLAAGFIFCVGVAM ADLASSMPTSGGLYWWTHFFASPKWRNPLCFLVGYSNTLGLVGCLCSVDYGFSLMFLS VIVIARDGNWTPSNGTIYGVFLITVICHAMLATTLQRIIGKLQTVSVIMNLILLAATM IALPIGRHSQRNDAHYIFAQVENLTTWPTGWAFMLAWLSPIWTIGDFDSCVHISEEAL NAAKAVPYGIMSAIGASWILGTFMMIVIAACINPNLQAVLSSPFGQPMAQIYYDAVGK HGAMGLMALLFICQFQMGLSTLVAVSRQSWAFSRDGALPFSRFFRPISTYFPYTPVRT VWGCAIFAAILGLLCLIAPAAASALFSLCVAGNNLAWLTPIFCRVYWGNSKFKPGPFY TGRFSKPIAWLAVTFLSFGIVLAMFPVGGPNPTPQNMNYTVVINMCVWGGSLVYYFVD ARKWFKGPKITLDLGTLSGEQREALREEGLVVEGVGMDGEGDSGQKGEKMV LY89DRAFT_690427 MGANQSKSPLPNEKLVIERLRALEVKDQSDSEYVQVDEKALAAS STHFKAPWTSLSTSELEHWEHELLQDPKNRSTLSALSAADPKTVLTSRSTAIKDQQIF NVKIPFEGAPITNQRSSGRCWLFASTNVFRVALMKRHSLDKFELSQAYLFFYDKLEKA NYFLEQILDTVGEDLDSRLVQTLLGSPVSDGGQWDMVYNLVNKYGLVPQVLYPDSFNA QSSGAINSLITTKLREDALQLRAMATSGVKSSKEINTVKEKMMKEIHLILTLTLGPPP APTGEFTWNYLDKNGAAHEMKTTPLSFAKELDTAKAVRITGSNVHDMFSLVNDPRNEY NTLLTVNRLGNIVGGRGITYVNVSMDVLKGACIKMLQAGLPIFFGSDVGKYSNSTSGI MDTSLIDYELGFNVRLGMSKAQRLMVGESAMTHAMVLTAVHVENGKSVRWRVQNSWGE AAGSDGWFVMSDKWMDEFVYQAVVEPKFVSKEIRDVLDMKPKVLPLWDPMGALA LY89DRAFT_711209 MDSQWSFSHLNSRSLNSSFIRDNEFGPFLKIAGKTTFDFTLLFE ESILSILPSALFLLLIPPRIFRLWKTPRKVTGSYLQTTKIILLAIFSVLQIVNVIEVS QSSFRTRASLAAALLALAAAVGLYVLSYFEHTKSIRPSSIIDAYLLVTLPFDAAQLRT RWLRGNGIAENGILSALFAVKLMVLIFEATEKRRLLFSPYADPSPEATSGLYSRGLFW WLTPLFRLGFRNVVNEDDLFAADGDLLSKSLETRFNKYWASRSRYPKKHTLVWVTARS MLGPFTSAVWPRLALTFFRFMQPLLISSITKLVQEPNSEASSDRGWGLTAAVGLVYVG LAITGGAYQHKANRMATMVRGSLVNAIYTQTLDLSITSLDESAAVTLMSSDVERICEA LLPIHNIWSGPTEIALAIWLLQRQIGLALFGPLIITACAISGPFFISPHMGKAQKAWM EGVQTRIDATAKMLQAMKGVKMLGLSSKMSSIVTQLRLDEITRSLKMRKLFVGMIAFG NMSDAFAPGTAFVIFVIQATVNHQTLDVTKAFTALSLIALLVAPIRQVVFATPPLLAA VGCFDRIEKFLSSPTKKDHRMLVPAQGQSSDMIGEGSLTPELVIESDIELQDIRPRNL DSSQTTIRVRNLTLAWSDEQSPVINDISIDFPTGDLTMIVGPVGCGKSSLLRGLLGET PSTKGNVYIDRAYTAFVDQTSWIQNTSVRKNIIGVSDFEPEWYTKVVHACALDTDIET LPEGDSTKVGSAGAALSGGQKLRIALGRAVYSRQKVLMLDDVFSGLDAISEDRIFSRL LGKNGLLRQLGTTVILVTHAAHRLSYADHIIALNANGTISEQGKLQELLGKNGYVAGL AARHVSEDTPNEEPAPAKPAAGDDVARQNAAADIHRGAGNWAVYDYYFRSAGRRNVLI WMVLMIFYSAFALFPNLWVKFWTSAVAAHGNSVNGLYLGIFIASEVLAIALLMVLASM QFIIMIPRSARYLHGRLLETVENAPLSFFTSTDSGQIVNRFSQDLSVIDMELPLSGLI LASNTYNAIIQAIFICISASYFAIVLPFVAIVCYIIQKFYLRTSRQIRLMDLEAKAPL YSNFLETLSGMVTIRAFGWSKDMEKRNMELLDASQRPFYLLYCIQRWLALVIDLAVAF LAVILVALIVRFRHSTNAGFVGVALISIMNFNQSLTVVIQCWTALETSLGAISRIKSF VGSVQSENLPGECQEVPAEWPTKGSIDVSDISAAYALDQQSALQNINLSIPGGQKIGI CGPSGSGKSSFVALLFHMLEIKEGSITIDGVDLSTIPRKALRERLTVIPQDPIFLKGT IRQNLDPLEFADYSTAEAVLKKVGLWTIMTNAGGLDVPMEAEDLLSHGQRQLFCLARA MLRSSKILIIDEATASVDLQTDELMQGIIKEHFKDCTIIAVAHRLRTIRDYDRIAVFD NGRVVEFGEPGTLLEDEGSKFRALWDA LY89DRAFT_724412 MVDLSLEQVEHVSQGRSKSENADSKQVETRFTLFPELPKEIRLE IWASAMPGPRLVFIKQQRLVSHVKNQKREPDSGLPPYGHGDVEQESTSTRAWRTFRSG LGSEDSVSEDEDAEMIGIACKTPVPYVFLACKESYSVAAKLYKRTFARTNSNPETYFN FEQVTLCLDGDDFSMNVIRFFGPFNMLRTIRVGFWQGFRQEDDEDVGKVERLGIRVAP NQPFFHESAVADVLKIFHGVKELFFILEMRNDHEHMAASDSYLLDPPESDILAEAEFH GIDPNEFYITDQKLNMTMLRALEERHGCWTLPYIAGKILGSGATGIQKGSS LY89DRAFT_762026 MHLRPSFSVLILAMSTSITAELLSHDSSIDVNNATAFALITFEP VLSAVGPNTFQNQAKLSTATSEVLVRPNVDTLYSKVVIDLSHSDLILSIPEVPNNRFY VAPFYDLYGNNFANVGSVNQSSPGDYLITISRNDEPGIRIFNETDSEYTKYKGVIKFA TVYGSIMFRIVVNNNGTDLNAVHAIQAQLNITTIERKEEPLAPLLTPTLLGNGQLSNA ALLLPFEFSLTQATETLQLLAQVNAVNPPEDRSDIKQVNDMFSVAGIDDGQYTSPEGL DYDKVSTIIGDQVQSFFANQSNHGFDQNGWFNLLPSLSGDYHTHYLARTCIAWYGYLQ LAPSEAEYPTYLDPTVTTASGSLQLAANESYIMNFSGKPPVTGFWSLTAYNSTNYLVP NALDRYSLGDRSNLTYADGTQVYANSTNDGAFSILIQPADQAPSANWTTNWLPAPAGG GEFAVNLRWYGPTPALTNGTYKYPVVTKQGTVY LY89DRAFT_788279 MEEFEFFPELPKELRLLIWETALGLEEPRIVSIQQKCLKKTIGA WEKENNAIWPPLDRRTHPRQAGRAERTGYASKAQLEEQGRRARGWISKLSGRSYKKAS LMGVACNTPPPSLLLVSHEARDVALKRYSLILACPQSNPETYFDFTLDTLYMTWRNFN RYRLKPTSPAPQLDVWNGFIDFDNLFKVERLAIQVISSRKTHFDEKRVAEVLRVFPSV KQLSLVAQHYHDSNLSALEQSDLCLTDDPIDVEEAFNAYHNFEYNEDEFHSYYGFWTL PVIQESTLLPRKTRAELEELQKDCERTIGEIDERIAEAEEGMWDNEYDMYEPNSE LY89DRAFT_690435 MLDQEMQSMEATKINYRKSHERREKVCELMVFLRPFPPESLLDG PPPNVSEAQRQISLCHPS LY89DRAFT_724414 MKFQTASLLVLTSLVQLAHCDGPGDWTWNWEWGFAPECAQSCLS SAFPATASTSWASPCATPTSLSSCIDSACTVTATDAARSTAISILSGITSSICSVYSS CSASPSACSFSSHTGWGPSGWGNPGNNGFFTYSCTDGRSSGWSTTTMTVTSTSTGTAG TSVLTALVTETATLVAAAVSGTSTGASSTATGNSAPGQQNAHVWAMGAMAAAGVGAVV ML LY89DRAFT_628546 MLAASLQEAFQTALAAIKSWLLTGAESILRHPILIVAVLIFCSS FAQIIYLKYFHALARFRGPFLAPISNGFWYYTILGGRGPWRNHRWHQKWGPIVRIAPN HLSFSSHAAQKFIYGFGTRSVPSMIKDPIFFTPEVDHSMNIINETDREEHSRMRRMLS FAFSNSNLLENEDVLVRRTDQFVKTLAEVNKDANGSGKRGFNIVQQFNYVTFNIMGEM SFGDSFDKRLEEQPEHRYHWADVIVDTTYMNDVMRAVCVIPGLFSFLEWYKTGLMKKT LYRHAEYATEHTEARLKIETKRKDFTYHILTSKGPHPTNLEMGSHFNVIMMAGAVTTA TFLAGVTYYLGHNRAALSRLQHEVRTRFNGLDEITSKALMECEYLNAVVEEGLRIYPP AGAGHLSRIVPKGGCEIEGEWIPEGTRVSVHQWSVLRDPLNFWNPSAFIPERWMKNEP EGQGGDRLETSLPFSYGPRGCLGRNLAYLEMRMVLAKLFWQYDLAWFNSDEIDWERDT KGYTLWEKPDLRVLLRDRLASTPSSPSILKL LY89DRAFT_676215 MPDFGIFCADKISACPINTFRLNDVNRADTLSACSARMRKIYFI VRRRIVFDEAGELLKIIGFGFHFPTDRLRFYREFRQHQAEKIAFAKAESLAHPRNTTQ LVFGPQLYEAPLLCEYPSNPGLIIPQAYCVNLGCDHSITDHQAVIVDDIRPFIWQSVQ TQYLEKVYSVKHVDDCLLRAIRSDPKVVLVTCDFYDDNVFENLGSSSEYSRTLPDSAI IVEEIENEDEDTPFCMNFIKSNSLTARLPRM LY89DRAFT_762037 MSGFVSQPQSEFMDNSTVLASIGMANTLVPTITRATQPSRFWHS RQLEESYQNVSEDHIENLKGLVRTLQENAVPGPQLFRLRHEFTDRLGTGGESEVYGIS SAALKFLRQADKQDHLRWPVEKIAIKQHKPKPAADSVRLSSHFLAAKREVLALAPTLF QKHPNIVQLLGWGFCLDAIEDFACDSFQIPLLILERAETDLYRFVRGDALCSRTTIAQ DLEAGSDKWRLRQDDVVRRLCVDVGNGLYALHQQSFAHGDLKPQNVLVFQNGRRLVAK LCDFGCTRGVVEPSGDSSRNTRYAGTPGWLPPEVIIGTDRGIDHAELQKCDIYTYGLL VWSVFCQFGDPPLLQDQETQILPDIVLERGLQDVQETFSGRSRWLAETIIEVLRNTLQ LEPTQRSSTPWDQMRTRSENAVLQMQTIWRSFSLDGLTPKQRYAENRASEAAHNESSA NLASHKPLTSEDKRLYGTWWGGSQAASTNLDIAASRTVLRDDDNFQSLSTFQAPERQI EIARLYKDLRNTLAENRAEDQRNLYCYARLRSRGRLAWWPQGPDVQNILCNAVVSKPR PEISILAWLCRGEIGTWEVQHLPASAEFWNAAVNSQDFNGSQRLELFLLLLQFGARVE TRLSFSPEQARSAVLFEFLQNCRRATIRTVMKEICRRFDEVKDEEQISSSTRYYFTGQ PELQSSEANSVVVTTFGADLYAAGDYTDALNVLSCWRFVHATQLRYISQGHGQGRDWY RLPDEIQPLVQLPIGWEQIKELNGVAFRLPCFRDSITASITLRKPKRSLLLMRQIALG FNTPDNQESCYIDIAAFIRPESSRAAKQIVDQGINRRFRSYDDSWFNLEQYGPLPSDD VLKALKDDAEAHLPTFADRIKPLESIFSIIWTFLTSILPSALWIVVVLIMTSLTVMSI RKEEDDEEDDEDHGCDRSGI LY89DRAFT_762039 MTTGNILHTAVILLTAPSLNSLSRLKKQRASRTFSEKKGGVVKF FSQLTSFSLVRRNGVELAKALRWALFEKEKLENTLKTFVKWNQKLKDLVPHLLDSGQY LKNPERVSRLMEDDDDVNIFAPHLRLMQIAQNPEEETTTDEKLLLTYDSPNADAKSPR VLIEYKPYVSLGENEGESSGLIVTGSKAGLCPRQLANLLETAGSNNFRTLPFKGYLKE QAKSRYIFFFDFPPLAGDQMPQSLHDLLMTRGSKEKLSLELRFHVAHTISQSIGAFHA DGWVHKSIRSHAVKFFFDKEGSCDLRNPFLTDFEFSRPVTGETRLIGQAPDPERDVYR HPERTGPPTTSFKKVHDIYSLGIVLLEIGLWEPARGMYDEICGELRKRDSQRKTPSAS MIRKNLLSDASRRLGHRMGSAYKEAVVACLSDELGDYSENDDFAMTFQKLVVQKLDIR KMFELAN LY89DRAFT_741136 MSGKKPSSDAKASSAQAINFNKDKRPAPPVAKKAGGQVYGLARR KGEKNPLKWGLSFEEGLLGHKALEGSRSLPPRDRDEYKVWKQEIMADYNSGKAPKAIG FWDFLFGGKGKKVPEKKGGGSRNAPPSKAKKPDSGGASGSGGKKASKGSGSGS LY89DRAFT_598126 MASSDPEKTVATDFPTHSPSLGPDDISPATASHIDDNYELYKNG KDVEFDPAEEKRVLRKIDFRIIPILFVTYMLQYLDKNSINFSSVYGLQKGTHLKGQDY SWLGSIFYFGYLFFQFPSGYLLQKLPIGKFLSYATIAWGIILITTPACHNFGGIATNR FLLGALEATVNPGFVLLMSMWYTAAEQPLRLEIYYSTNGIATMFGGLIGYAVGHITSG LQKWMYVFIIFGSISIAWGIISLLVLPDLPSTAKFLTPEERTIAVNRVSKNKQGVKNR HFQKYQAWQTAKDPKTWILFIIAVGAQVPNSALTSFTSIIIDSFGFSTLGSQYLQIPG GAVQFLALIFGGWVCTRWPKNTRCATMVFANCVCIIGAGLLVGLPSHNKWGRLVALWL CYFQGLGFSLSLTMVSSNVAGYTKKQLTGAVLFTGYCVGNIIGPQTFIAKEAPGYHSA YIAMLVGYSVKLLMVIVLYIYMYRVNKSRDAEHASQGELSEEEEREAIEKGMLDQTEV DNKGFRYIL LY89DRAFT_690438 MQSRLATNRPGHSNPSLESLPFPIILPMHFASNTMSSCSPSLNL HPLPPTPPDLQGTPQRLQGLSPFPSLPSKHAHPEDSLSRTDHPVPVPDLIIPRSRNAT LQFL LY89DRAFT_788283 MVSQAWTTRATQLWNAVVCKATMSSPILSLHSFDSYEQWDPYDD TSQQRTQTNNLKLCQFPDWDSEGTYDDDPPIYIHYSIVWKVTRNKRAVVGPDT LY89DRAFT_598366 DGGGIRGISELVILEEIMHRVGRSQNLPTLLPADYFDVICGTST GGLIALLLGRLRLSVPEAIDKYRLLAKQVFSDKKSRGKDGCFKASSLEKAIKDAVEEK LGKGHADDRMFVSNRSTCKTFVCAVPAKHINKQPRLFRTWSADKNPGYNCTIWEAARA TSAAPRFFKRIYIGDPGLQEEFIDAGMGCNNPARYLVEEAGKEFGPERTVSCIVSIGT GKPKVAGFKAPGLFQRVLPSELIKVLADMATDTEVEASAMEARFQNCPGLYYRLNVER GLEEVSLEEWEKLGDVKQHTMAYLNDNAVSKRLDVIVDALVGKSQQVYPLGQLGI LY89DRAFT_598116 MGGCGKSQLALEYCRQGQNEKWFSAILWLDASSPMSISQSFANV ANKLLKSNFDIADDKGNIRFVLDTIEAWKSRWLLVFDNFDNPSSFGNTSINEYFPRGG YGSILFTSRHAVAKSLGFCIEVTTMSNKEALQLLLERSRAEKTSENTQEAADIVKRLG YHALAIDQAGAYIQARGLDLNLYMTHYSERKEKVLNEVPELWDYRRKLTTDAEFETKL TVFTTWELSIELIKGSPAVRKDKDHLLTLAGFLDGKEISDELFR LY89DRAFT_690445 MGKAPDQAFFRSCLCSAHLSSLRSLESCNLACLLSFLFSGTSRP VLPPNLIRFAHPTSLPYLLVLYPRRSTILKSEALRKSSTSRILRSRLYPPDRIWQDVV LY89DRAFT_287488 MQHHPAHQQGPPAPHHLQQPRPSSIVHQHHQQVAPPPPQQQHSG PYASQHGLPQYAQSGPGPSGQHPQEIPYYTSHPSPYSTPSASGTYSSAAPETPDIMAA AQMTRPYPPIYHTPQSNSPASVASPSAHEQHRMYGAPTSQLQQNPQMYYAGPQQQYPP MPPQGAPSYAPQPHQQQQHHQSMTSQPNLLMSHNSGQHQMQQHPSQHPQQGMTGSPRT KMEPHVPQISRPAPPLGAPQQQHPQNGPPMNQNNVPGGNSGVNPNAAPGPIPATTPLV VRQDNNGVQWIAFEYSRDRVKMEYTIRCDVESVNVDTLSSEFKTENCVYPRACCSKDQ YRGNRLVYETECNTVGWALAELNPCLRGKRGLIQRAVDSWRNSNQDPRLRSRRVRRMA KINNRKAVQAATHTPHMAGPSGPTGMPPSGNMGPGGAGNMGKPSLSGMGGPQLHHHHN HPDGSTQGGDEVGMFHQM LY89DRAFT_676226 MYYSNFYWSAYTPINSNESFANNGYTYDVSQILNDSGDGVDIEK YKAYGPPYFSGANVFGQGAWFAWYPLTLFYVSIQHYEALKRSFYDMYKGIRYRSSAFG DNDDPHSRMMRAYPEVADWWFIGVLLISLVLGIIALKAYPVDTPVWTLFAVVGLSAVF LIPSALLLANANVTMGFNVLFQLLAGYWFVGNPEALIIVTAYGQNFNSQAENYISDQK MGHYAKIPPRAVFRGQMLSVLVNCFIFVGMLDWMVQSFDNGTLCEWNNPQHFVCTDAV LVFASAIEYGAFGVKNFFTLYPFLPWCFLVGGLVGIGWGVVQKFGPHFKSMCRRRWSE RTFATWDTWMFRPMELFAWFDPAVFWAGALNWTGGNNLSYATNGIYISFIFMYYIKRN YGPWFEKYNYLLEAGFDVGVAISGIIQTFAFDFGPSITLNWWGNTVSTAGVDYVSYNQ NASLYPIPASGYFGLAPADFPMKF LY89DRAFT_676227 MPSVTLRIARPTNNLEKLTHFYTTALGMRIIGFFKDHAGFDGVM LGLPDCTWHLEFTHQHGVTVDPAPSKEHLLVFYLPANEEWDTAVKRIESTGGTRVQSE NPY LY89DRAFT_287906 MKLSPHQEYEKVATNDSEESLAESPKSTRGRSFLVSPRKKIISL IITFTLLILVVLVFTTQINRALSAPSSRHCGRSREEAISLGCSFDQLTATWLPASCSR KWEDEFLNTSGMGYYTETHATEPVDFSKLEFNTTYHSNRVHHVAHCLYMFLRLGDKDS PLDGMTLDPHHQKHCVSLILREMEYSPRANIVKDPGHIKPGTC LY89DRAFT_287893 MASMPQLFKPKYLTLDDKDSYHEHDDLSSPDSCEACGRKNNPSP KSWLVPRFWVFSTFSLLFLLAVVIAFEVSIRNSLLRESHYGAFSTELSTARSEVSFRP YTFTGNLNFDENGKTYLEREPGSKVYSGPPTDEIDENWHELLQKRYFFMTEVEVRDSW GPAGLQKYYYELGPNNERRYIGGLDVLHTLHCVEGLRQTLDPNRYPVQRGKLHLYHCI DHIRQWIMCSGDFTPIPTRWHPEKNTSYVDTDQVHTCRNFDAVTNWVMKREEAAY LY89DRAFT_741148 MADYGSDHAISSTDVKHPDGMLAYTSWGELEFKGHSYDKGVADY LPLLEPLKTKCGAIAKHQPKKPRGQPAMWWKAQCIFRGLNAKGSIADLQNTLRGHEDD RVCDAIVRLSEKAKKDFDVKDTKQKDHNWLNTLSEDEKAQKDPERYLKEKFKQGEKSK EIVQFRAYSTKWLHEIAKELGLECRTTREPSRSMWSAVDYLAIVGQDKEVVEKKIQSI DKESERMRREAEEERERQEKIAKDNERQKEQAREAALTKCKDWDVTGIWKIDCPSITK TYGMEGSDELSMQIFVETTSKGAQMFAKFNFEIIEGVMRVERQSVEKPIFKAKDAAKS ASTSGTKRRREHDLDDQRDRYDRYNDYEDYEDEDRRSPTPEAFSLGPTNQPSAEHPTW NYRYRASETEGEIQLEEDKNLYQVTFLGPKGRTLKGTIGASLLKECSFTGVKFEPPGK EAIDIGQAWADLSEAAYNRASYSRWH LY89DRAFT_657800 MITDSFSSTAAWMQVQAALTKPGVTDIVLALVVLGVVALAADYA HMLYLHYRMPPGPLPWPIVGNTWLLPDNKPWIYFEEVAKQYKTPLITFWIGRNPTVWI CDAWAASELLDKRAGIYPSRPRMVVFGELGPGQTNLVSMYYGDRWRVHRKLTHIGVGL QQVRNYRPFQNDESMLVAHNIIKTPELYEKHFERYAASVVSIIGFGRRISDTKDPIIS EVLAVMQRAAELNVPGKTFPMLMETFPILAKFPNAIAPWKHGLGGKNSRGGSFYYSLA EEARDNPEQPQSYVKKIFEEAPKYDLRPEEIAALTGNLFGAGSDTSSSTLVTFVLACC AFPEVLPRAWEELDRVVGPDRSPSMDDEHNLPYIKAFVKEVFRWRSVAIIGGQPHAPI QDDEYKGWLIPKGTWVQGNVWAIHHSEKEFPDPDRFYPARYLKEDPQNRPFPNERGYM TFGWGRRVCSGQGLAEQGTFITIARLLWAFNIRKARGPDGKEIPVDIFAYTNGLNMRP EPFKCQFIPRSLEIRATVEREGEEAEQRLSVYDGETKYRLSQWFTKA LY89DRAFT_287976 MQYGVDSAAVGALQAMPGFLKVFGYEDPTSALGYGIDSTVQQLI TSLLTLGSFVSSLIAGFFSAYVGLKQALWLACAFNAVAVAIQMATTSKGVLYFGRLLL GLANGFFVTFSNVYTAEASPAHLRGVMVALFAYWVNIGSILGAVVDNFTRVRLDKASY QIPLGCLYIVPTVLTIVLFFVPESPRWLLHKGRDQQARKALETLRGNSVESKYIELEW AEMVRGVEEEKNLAKSIDFLDMFRGTDLRRTLLCYGMIACQTASGVWFLIGYQTYFFG IAGITKSFQFSIMNTCIGFVGVNVGMYAIRHIFGRRSILILGATVCGLSQLASAIAYT IKPTTTTTGQVLVAFTAIFYFFYNGCVGAASYPVATELVSSRLRAWTVGTATSLGYIL AWLVGFCSPYFINPQDLNWGPKYGYIWAGSNALCIVFFFFFMPEMKGRSLEEWDEIFN ARTPAWKFKSFQCTIKDEAAFDMQEKSGVRIEKEGGILSNEVEDVSGNTV LY89DRAFT_287977 MADLKVEQHQVRHLVHSHAHEEDIPGTVNLRAIEGDDTAYGQAL FPVPADDPNDPLQWPNWKKTMILVICSLYSFLGNSALLGPAVYIEIWAEEFKVTPGVS SGLISYANLAFGFGSLLLVPLYLKIGRRPVMLLSMLCFLAGLIGASRATSFNGLMVAR VFHGLGSGVCEALPVQLVNDIFFLHERGNRLGYYTVCLCLGATGPLYAGYMLAGGYSW RLFFYVEIAFAGALFVLAFLFVEETTYHRKLRVSQAPSADEVSDEGKGGTVEQRESRP AYIPARKSYLSTLKPWGKIDHDAQFFLTMIRPFSYFFVPAVFWVISTYGIYIGLGALA FNYTFPIKIVAPPYNWSQTSSGLIAVGNAVGYILAIPLTFTSDRLAAYLTRKNNGIRE AEMRLWVIFIPMLIAPAGLLLYALTAQRNLHWMGYFAGVAMVNWSAYFYFSFTLAYAV DSYTANTSEMLIAMNLGKQAISFGMGLYLLDWILQRGYAVVIGGIFVAVLLANNLAVF IFVFLGKKIRVLTSRTWLGRVHRKTAGQVMAA LY89DRAFT_724429 MHVIIVGAGPSGLVLALLLAKANIRTTLLDSASTIDDRPRAAHY APSAIQVMARAGVLEDIRRDGFIPMNMMWRNVKGEPITGIPKVSQPWSPEAMTVLPLN MLGKVLLEHCEKEERIEIKWNCKVVSVGSDAGKAWAVAEVGREFEKREERYEGDYICG CDGANSQVRRTLFGNEFPGNTWDAQIIATNVYYPFEKFGYDDINFIIDNEDYYMAAKI TNDGLWRVSYGEDTKHTLDQVVANQPAKYERMLPGHPKPGDYKLLNVGPYRIHQRCAP SFRVGRILLAADAAHLCNPFGGLGLTGGLVDVGGLAECLEGMEKGVADESILNKYDEI RRQMWHDVINPVSSSNFLRVSATDPETATVKDEFLKMVDEASRDQKVRDELDKAMYAI CHDFKQYWKTPGNRVEAKL LY89DRAFT_762071 MAQITFNPDLLSTLKDKIVVLTGGATGIGLEAVKQFHQAGAKIA FGDIATSLGQQLANSFSSDRILFQHCDTSSYTSQLSLFKLAHSTWGRIDIVVANAGIS IPQDPFEADQDVEKEFSTREIDVNLRGALYTTRIGCHYLRKNGKEGGDLVLVSSIAGF KESTGLTVYTASKHGVLGILRGLRVQVAREGIRVNGVCPWMTKTGMVKGIESGWRDLG LPENKPEDVARAIVICATANRAKAKYDTHTLSTSRKTHSGAKTPFWGKILFVSGGESY EIEDNYDRLEPEWLGVENSEVLKKGQDFLMNGETSWDTTKANL LY89DRAFT_711225 MPRLPELPTTVAAAATLFTVGAYLNAKLGIGYDLRRLRHQKEAT ARIAESYQKFGSDISLYQYLEFADQDADAIWFEGKTINYRDLKAKVDALAQYLSYHGV RAGQVVGILATNSPEMGILMCAISKLGAISGMLNTALKSDTLSHCIKVANTNIVVATP DLVANVPSTIGSEPLKIFSLNLGYVGPFLTERTPGISFTIIEPNNLTNLPPIASGTGN PTDFYLLVFTSGTTGKPKAVQLPKAYLYGLAMKSPLDLENPKKYFPIRTYSCLPLFHA TALLGFVSAMGNSSCFCISRKFSASNFSRELFESKANRMLYVGEVCRYLLAAPKSEFD RKHNCIVAVGNGLQKDVWIRFQERFGIQEIREIYRSSEGLYKFDNFYGGAQAAGKVGY AGLIGRILEDDTFLLKFSQDSQDLYRDPRTGFCVLAKDGEPGEAVARIRTMQGYPAYH GDKEATEKKFARDVFKKGDLFQRSGDLLVRDEDGWVRFYERIGETFRWKGENVSTGEV KGFMLELPNVNDVVVYGTKLEGYDGQVGTASIVLQEPNAAAEASYLEELYLHLRSRGL PEYAIPRLIRFTKQIDTGATFKHAKEVLKLRPWAPDQVIDDHLYWLDNGVYKPLDMES WSGIQRARAKL LY89DRAFT_628589 MPTSPGHERRPEPRGMLPKKCRYCERRFSKAEHLKRHQRSHTGE RPYTCPRCNKSFSRSDVLIRHLKNHPQIAGEEIEKSSSEGDFIEVNGAPPHDPNRPPS LPSIHEQIPQDVHQRQEYIPSPSVIDPALEGPLEDHVERRSSHSVPSGLDHLAQLASQ QKWGNGTMDAVMGDSANAALPEMSGSPTWNMEISNGSHPSHGEVGFDARPVQPDPSFQ NLGPSPNTASRFSFEHDIYAGRLNGMHDQMTPDMGGIAPDVAIMPQDLQTWFDQFDLE SHLQPGNMPEYSQSQHNGAPPSSIGRRGSAITSEGHSVRNASSPSTLIPTERFAKVER CWPIRHSNPLRLMPTLWWDAVMKTEDNLFSNSNLSPEAIEQNRQCGSRWGLDEDCRER LQKMFVTINHSARDSGPAAFSSPENYSSPSDTRSTGQAPSVMGDGLITTNFPPAEIFD IGLDLYFRQFHPLMPFIHTPTFCPKTAPTSILFIMCLIGLTILQTKGATAFVRQTFSK ALEQVSAELIPASGVEGSRIDQMSSLASAVLILNLTEMTGQKSHQAQCQMLYTNVIIT AQKNGLFNSNGGQPLTDAFFSQITDLDARWKAWSRIECAKRLILSLTMVDTWYSAMLH TSPILRTEDIQVILPCDAALFQAPTAQRWAHLASTNQLTMPTISLSGDTLTLPEVSST LEPLGMHCILSIIRLRISQDFHRILSGTSRRAIDQSFVPCLSYESDPSARRTKNLVVQ VMRSYSSPLTTMNPNCIVLWHNMCIMLTSDIRLFEMGAGCAGAPAARQALDDIARWTQ TTSARRACLHAAQTFKLMSNRRASDGDPFHASSGLFLSALILGLYVFMVPPESESNGK MNQGFDLIDDVDWAAVGDEGLASTSTADNEVTHDAAVNFIRNGGGICFDGVMHSPGYE AARRILLDYARLLEDIGRWRVRISQFSRVLRIMSDALVDVEMAG LY89DRAFT_701440 MASTTEENGYVVLPRHPASDPEKVFHYTDLQRSKPTRENDPYEY LQGWGNRHQSEVIPGTLPAGQNNPQEVRFGLYTEGITYSAFAAPRHANMSTYMYRVRP AAAHNGYVPLTTTSDIENCFLSLNPKIDTLPEQAEWTPFPIPPASQPTDFADGLHTLC GSGDPNIREGIALYIYTINSSMPGKAFCNNDGDFLLCAQLGSLNIQTEFGLLFLQPGE IFVVQRGVRFAINLAPGTTEARGYVTEVWGSSWELPDLGPIGGHGLANPRDFLYPVAY MDEELHVDFEIVCKLNGKLVGIKQDHSPFDLVAWHGNVVPYKYDLTKFSSQNSTSIDH TDPSINTVLTARSRDSHTPLADFLWFGPRWDVASNTFRLPYFHRNSASEFLASLYGNG LGRSDDFQPGGGSFEGGHTPHGGFSDGYVNEMRIQTNMPRRILENQMTIMIESSRTFL FTEYARTGCGTLHTQGTDPRAWDKLPDKFSTYPGIKDLLARVKEDKASAKERLEYYHD PAIGRFNS LY89DRAFT_690455 MGSVSAIDSSIFRSLFGTEEIRKVFDDTAYINRCIDAETALARA QSECGVIPSHIGSAITSSATGSKIDFDRLRNETEIVGYPILPLVRQLVSMCDEEAGKY VHWGATTQDIMDIASVLQMKTGLDIVERLLKDVSKSLEKLASKYKDTPMAGRTHLQHA LPITFGYKCAVWLSSLQRHQERLQQLRPRALLVQYGGAAGTLASLGSGDDGLRVRKEL AKELDLVNPSITWHVARDGVAEIVNFLALLGGSLGKLALDLIIMSSNEYGEVSEPFVP HRGASSTMPQKRNPISSEVILAASKILRSNAGLVLDGMVSDFERASGPWHLEWVAIPE SFVIAVGALHQADFALSGLVVNTEQMGKNLRSTQGLIVGEAVMMGLAPHLGRGKAHDV VYEACKESIEGDSTLFDCLMTKKEVTSSLDEKELKALCDPFNYLGASQQMVDDVLAIK NQ LY89DRAFT_657826 MASIRSAARKLSQKTPNDVVILAAVRSPVTRAFKGGFKDAYPED VLGPVMVEAVRRAKIQPSDVNDVLIGNVLAELGFAKTGRMALNHYGFPNSTTFHTVNR QCSSSLQAITHLSHAIMAGQIDVGVGGGVDSMSRNYGSRGIPQDVSPHLRNSENKHAR DCLMPMGFTSENVAERYGIGRQAQDEFALESHNRAFEARESGHFDKEVVPVTVRAVNA ETGEEAVTEVKRDDGIRGGLTLEKLAALKPVFKPEGGSTAGNSSQMSDGSSATILARR SWAEERGLKPLGRFIGTKVAGCAPDEMGISPVFAIPELLKYTGVELSDVDVIELNEAF ASQSLYCIHKLGLDMAKVNPNGGAIAIGHPTGATGARQTATLFNELQRSDKEVGMISM CASTGLGVASLFIRE LY89DRAFT_598513 MSLPAGGQVDSSLAGCYVASPVRFMIYGQGTAKKLNEVIEKLGA SKAFIITGQSLSTKTPVIKEAEASLGSAHVGTFNKIGQHAPIGAIREATELVKSSGAD VLISIGGGSPIDSAKAVAYQIHEATGKWIPSIAVPTTLSVAETTQNAGFTAEEKKKIA VSHPELVPKAVVYDGDIALYTPTNLWTSTGIRSLDHAVELLYHPLASEIPTKRLALEA IRDLFTYLPLSKSDPKDGTVRQKLFLACYASLFPFLYTGGVGLSHSIGHALGATYSIP HGITSCLTLAPVVNLKASTKPDEAKMIARVLPYIGKESTGSDEGDAKLVSKAIAELVE NLGHKSTLTMVSEN LY89DRAFT_657834 MMASKMRTARLLFVAAPSSRRAFSQSTARRAINKICPSASEAIA DMKGSTTLLVGGFGFSGVPNSLINALRDRSDLKDFTVVSNNAGMPGVGLGQLLETKQI SKMIASFIGENKVFEKMYITGELALELTPQGTMAEKCAAGAAGVPAFYTPAAYGTIVQ TGELPVRFNLDGTVALMSKPKETREFNGKPYVMEESIFADYAFVKVHKADRMGNCTFR KAQNNFNEAMGKNAKITLVEADEIVEVGEIPGENIHLQGVYVNKVILSTEEKKIEKLT FRKNKEELKSAVGAGESSRERIIRRAAKEFTDGMVVNLGIGMPLITPAFLKPGIEVVL QSENGILGMGGYPVPGEEDPDLINPGKETVTLALGHSLFGSHESFGMIRAGRVDLTML GALQVGMFGDLANFMLPGKVKGIGGAMDLVANPEKTKVVVTMDHTDKKGNPKILKQCT FPLTGQKCVSRIITELAVFDVDHLEGLTLIEVADGVTVEEVKAKTEAPFKVAADLKKM L LY89DRAFT_788306 MSLDHEILGRLRGSESKDGKTIQYRGIKYADIPGRWKEPVMLSQ RLNPQGSEYDATKYGPSCPQHPGGFAFDLSLVGNVTLALESNEQSEFECLNLVVTVPA GTKKGDKLPVFVWVHGGGLFYGANSWPQYDTAPFVRTSVNAGKPVISVSINYRFGIFG FLASDELKIPGNIGLRDIACAFKWIKNHIAGFGGDPNRLTAAGESAGAILISYLLVAD EKDLFQQAILMSGDSSLRRPRDMRWQNSQYEHNVHFLGLGRASLDNRKKVFFGMSAEE LIKNLPMAQHWIATVDGNYIKEDITLGALADPSNAMGKPGWCKQIVVGDTADDGTILK GRIMDNPQIMARLKNALAEIFTPAESKRLLEAYSLTGILNPDQQFKGLLNLGSDLRFY FPTLQEEKGWREKPRGKLYRFHFHQKNPLEGTFKGLASHELDVTYLLQNLPHEFFGED QRLGREMAEAWIKFTYSDGWGNEVMVIGPNEKISFESPEAYDEKYRKGRGKLLREIGG NCGEKVLKLGEMLQGVFGERMQEVFGQRKL LY89DRAFT_657837 MLQSTFLPRLTRSLGKASRQCSRSFSAKGAVDEPRLPLSGTRIL DLTRVLAGPYCTQILGDLGAQVLKIEHPTRGDDTRQWGPPYAPNLDGKPGPGESAYYL SVNRNKKSIGVSFKDPKGADLIRQLALQADIFVENYLPGTLKKYGLDFKTLSEANPKL IYASVTGYGQTGPYSNRAGYDVMVEAEMGLMHITGTRDGPPVKVGCAVTDLTTGLYTA NSIMAALLGRAKTGEGQHLDVCLSDCQVATLSNMAESVLISGKRDTGRWGTSHPSVVP YRSFRTSDGDILFGGGTDRLFGILCEGLGKPEWINDERFAVNSGRVANRNLLEPWIEE ITMSKTTQEWLEIFDGTGLPYAKVNDLMDTLNHEHVLARGMVKEIDHPICGPMKLINT PVKYSYSTPGIRTPPPTLGQHTDEVLKDVLSISEADIKDLRMEGVVG LY89DRAFT_711233 MKEVFNFAGPRAEIRDSPIPEPNDDQVLIKVVVSGTNPKDWKAP DFAATLTEGPIYERIKGMKVGTNQGDDIAGIVEKVGANVVEFKLGDRVAAFHEMMTPH GSFAEYAIAWSHTTFHIPHHTSFEEAATIPLTALTSALALYRHLALPFPWLPATSPIP LVVYGASAAVGAFAIKLAQNSNIHPIIAIAGKGSHFVESIIDRSKGDTIIDYRDTFES VTQKIREAVKASGNQEVKYAIDAAIVPESAKILREVVAPDGHINFIFPNDFDVSPAMK STSSVGSVHNQYRTEDTRELGYIFSRYLTRALQQGRLSGHPYEVRKGGLEGVEQALKD LKEGKASAVKYIVRIADTPGLK LY89DRAFT_711234 MKLYHTSSDLSITSPIRIDLTLHRDQNGIDQECVFVWNKFKAFA RTQFILLQQKGDILEQVDVGQKNIDLLRDEDNIMQVNGWNNGLEQLRPGGSQCTVETL PDNYHKLLIPGERYRLIWPGEEISMWDWGSKLDHVGNELKSQMMRESKLPRLILPACA GVEFTAKEELEPWPDRAEYESKFGFVRANFKEAEWRLEQNPPPSPPPISPSERVPEAP ILKVTLEGTGSHVTRNSDFVVSSQVTYEKPVGATGEGKPVTFHIYDFSYAYRLYRLRG GKWKRCETEDTMRTGFMIVDLPDETVKVNQDKDFVTLRPGESWTTQETVQKPDYTHIP DDSVVGDTFRYVFKGTTLDWWDWGNKEDHSGTEVTLPCFHWGSVVKPADNDGRPKLVV PGSNVVEFTIVDG LY89DRAFT_657844 MHFSTLAGSFAALVAIANGALVSVPNWGTSTTNPTGIAMSIWVP TKLATKPAIILALHGCLSSGASYAQQAKYGPLADTHGFIVIYPSSSHDNNCWDVASTK SLTRDGEGDSHGLANMLKYTIDKYDADPKKIFVTGSSSGGMMTNVMAAIYPDVVTAGS VYSGVAAGCLAGSPGSSPITADPACANGQHIKTSAQWVAQVKAMYPGYNGTYPKMQTW HGTADTLVLYANLGEQIKEWTGLLGGAAGPLAWTKNVTSSPQAQYTQMIYGDGTLFQA YSAVGVGHTVPVHETVDLAWFGLT LY89DRAFT_288129 MGCLGICFGTVVQVSDVITKEHTFWKFEFDIDLAAHKFRAYHKF YNRWSDILGPLFAGGELSTAFQFLSQLVNSFNDGTHDVLRWKSFWASILSKCGYTNEL VSQLIEDRESVNFRDVIAHLFLRAISIHKSSPELFARRFATLDTDKVVLVPEATLPGD VLCHFIEDVRTPYVLRIRDSGPEEDDPVVEKVIKEMNRPERSRFPITYCDFVGECFVY DWDYEGWLRTLTLDWSRAPYDVLGVFVMH LY89DRAFT_762078 IPDLDAQVECSLICTTLSACDEDFIDHYTALSYVWGNAKLKKII LVEGLAFDVTLNLDVALRHMRDHERKRLIWADAICINQSDTAERNQQVAQMGKVYQGA RHTTIFLGEATPDSDLIIDALRDEHLTHNFDKRLPWDSMYDRPWFFRVWVFQELMLSR DPWIQCGKKCVRWRQLFQAVGTNVDPFSSFWSSVVHMSEERRRISAYTWKQLLHCHSP YQDGTDALSALEGFDIALLSTLEARRGFGLLDPRDMLFAHRSCLGTGLPDWQLHELLD VDYEKTCARVYTDVAKYLVTTPSFKASPLKLL LY89DRAFT_724443 MQANVDFQPPPSSSPQFLIFHERMALQLRTAEEAQCCRRNVSAP DPDHTSRLHISLSSLPSPDTPITIPSFPIEIRSETSVFRPRNIANLWTQLLSIHIQNG NFWKLEDAVRYHKETTPPPALSREEVKKAEITRLEKGVLYIRHKVQKGLLTKDQQPIE AELPEISRLISKLESFGDIDVSIILSTKIHKVCKAILKLEWINGDEMYQFKSRSAVLL DRYLKILDQAPQQPSAAVSTTHQTDTEARSQSAEEDLKETPAICLTQLTPEQCSRIEE AAKNCNPPLDRGVVELMCMLPHFISRNGNYDLQVVPMGRLEQPLDDFPKIDRGVYCGG PTIPPNMLKLTYKVSPTYGISLFVDTKTGEGLQLDRWGPAEQGVMCTEFEGLRRPIEG LLQEWIDLFLKMKLVPTGGEGIMSDQFRSMDYLKLKFLMQEYDWPSSFPLSQSDYVSL IKRQADIDKKTFARYERNNSLEQIWAQLTQKWHNKNMTDWRDDTKIQELETFKILDRL VERALKYHIRKIEDRQYALAADEPLDKNYTNPLVLSRSGHGGSDGSWRVDATPDMIIE RFNEVAGQSPWEVPEVKDIKKVLDDAYERWKLSEKGIHPRDDVDEEDDDETSDYVPSE EECSEEEEFESDEEDLDFDVVMLEEDITTDIEDLRKRMEGYHIGGS LY89DRAFT_741168 MAIRLLLGALALATAVCGQKLVTYVEPTSTVQYSLAIPETAAAP FDVFASIVAPSNVTWAAIAFGGCMLRSPLVVAWKNDTNILASPRWADAYHPPDLYNGT TVTVFNTSTVNATHWKANILVSGGSSWLGGSVKAFGSIPLGWAVSSLPLAAPATINSG IRYHNVGKGHFEVNMTNARNTQSAFDALKTSPI LY89DRAFT_724445 MDVAMRNHPAWSARDDKHLPEDDAFTACCTTAKKYSFGLFSQLP TELRLQIWEWAMPERRGREVGSLSYSAFQQTSNCGLPSLLWVNRESRQVSLRMNKYDV RDDLLKQLLPRQNHHFEYIVDYELDTFVFRDVPNFIRYYSALQFYGSQTSFLAVKAEL GKIGHLKVDALDPTPDWFSSQIEEHLRLLLPVFPSLQTVSFALHIQVGDASWAELNLE SARIIGTQFLEEIQCQQETGTSRLPPRLKLEVVEIP LY89DRAFT_788314 MEICDLCQKFDIRELLLQSAAQKPDATGNTDRNYVDANDYRAPM PTFYKHHEGIIALKNSAEQGCQLCALFWKTWVKTLNKDDFTEDWLEKYFPGQLYIGSS SWTKSRQGFPYVMLTQQAPSGNSRTLCSFEAFADREDIPSEGAALLGRAVYSDPSLNG CKSVAAEWLKTCLDEHKECNPASDQARPLPTRVIDVGDENHAPKLIDSNGKTDPYIAL SYCWGGDSIFVFNDGTMDKLRNGIPINDFPATLRDAVLITRALGIKYVWIDALCIKQD SAEDWAREAAKMRDVYSGAVLTVVAANAPSTRSGIFSERKSENGRAAVEWRNPAEEKT NKIHLRPGSELWDHALSASALMTRGWCLQEGLLAQRTLSYGAQQMIWECSCYQDDEGG RITKATEDYRSKGFIQDCIRRQNTEISKPKESLLEKLSLRSHKSDQWWKSYSIADPYD KWNDITEQFMKRSLTMDFDVLPALAGMARVFQHVLKDEYCAGIWKNEILSTLAWNRSP RYPADQSTRFDLAKPSRYLAPSWCWASILGRESTMNNNWQVRDALKLSAHSTAKVVSV KTTLKGDDPFGQVTDGELVLHTRFVKVDQLPPAYSTEQQYLDKPEIPESVKISAFQEV AYTYLCTIGSMTYEYYQQHKPCPNQEFGAVEIVRWDGAPGSQVPGLDMLLVESTGRKE GEYRRIAHLGLRKYPEPDEEAVGSDAYKGTILESAACDEIFKAKLKKRTITLI LY89DRAFT_288296 MAPKRKLSNDVSEKQPNIKRPHTTFDELRQQLQKGNPGYEGLAA ISSAFEAELAAFSSSLTRLINSSRRKMNRNRKFPFHLLPPEIKNTIYDLILDDYFAQR KKHRSEEGDPFYERGFTHNSIPYGPSLGQAYGCAPDVFRGLVDSILPAFELFLKPNKK LHEEFASSRITRSELLLTPSVSARDGVLPSYDHKRRRIGDLDRKYGEQVCFTLR LY89DRAFT_288295 MCSCKIWIPRPPKSINKVIRIRPTRTQKLMQSLPANLTMLNITN LNTLRRVSNRQPLSLGSRPNHRHRVTEPVHVFTLGSIRVTQTPLAPGSFNTRTPAHQL ADLLLQHRRPSRDPRIFRHPELEPAKFSRVKKGAQSVQDRHPVETNEQTTEEAEGGQV EVDGDPREVRQAGIGS LY89DRAFT_288212 MAALILGRFSISLGCGCAVALGGNCTVDTARLLTVSRQLTVVSC RHASLDHQRLLVHRIMIIAAACSNFTSLPSCHKLLLTSLSLSELVPQTYFRILSIYAA GVSSQGWTANES LY89DRAFT_598019 MNPAAPSPHDSAISVTTIPPEQERKQAESQSQSHPQPGPSTSTS SKRLSTQKSSSPKSNSPPHAGIPYTSLTPAEQAAQDLETATAVTQAQQGIEIDGGADG SSIRDYPESDDGYETDSLGSASTSLASSVRNYAFENGRRYHRFREGSYNFPNDDSEQD REDMKHAMMVNLLGGKLHFAPLGKNPQNVLDMGTGTGIWAIEMGDLYPGANILGVDLS PIQPEWVPPNVKFMVDDVESPWLKPLNYYDYVHARHTVMAIRNWPLLMARVLDHLKPG GWFELQEIHHYPQCHDGSMPPDHPVAQYWGLIIEALAVLGVDFNATLLLEDMMRQAGF VNVSTRVFHVPIGLWPKNKVLKLVGLYWRTILIDGLQPIALGPMTRGLKWTKEQVEVW LVEVRKAYMEGWVHSHMPCHIICGQKPEEGVSYPETG LY89DRAFT_701454 MSTSQLIELAGIITRETEKLDRFLKQSGSAQPSFDVDGPTNFPK MSDEMKSARDEVVRATRELGDLVAGPTENIRWMAWDHNNSLSLHAIYHYKIAQSFPIH ETATFAQIAEKVDLDEINVRRFLRHAMTNRIFKEVEPGVVAHTAASRVLAEDQMINDW VGFCVEDIWPAASRVVDAINLNPSASEPSQAGFCVANNTIDVEPMFVTFGKSPKRAKR MGGAMTSLTGGEGYEVSYLVNNYDWSRINASSGTVVDIGGSHGFVCIDLAEKYKKMKF IVQDLPKTVQSAPKLEGDLGERIKFQVHDFHTQQPVKGADVYLYRWILHNQSDRYSIN MLRQLIPALKKGARVVINDHCLPEPGTESLWDEKIIRSMDLVMLTLLNARERSEGEFE DLFKAASEGLSGGFRFLGAKRPEGCRMSIIEAVWEGEDFGGIES LY89DRAFT_288513 MHQPISDAILRSFEQISPNPRIFYDLQSWGGGPAWSLTNSSLLY SLKADIEWADEANYEAVDFVFAALANATGLRELDLYLHHEGCVVGRDTPQAFPFAGYP DVRFQPLEVLRLDGYKLDESEDGGWEWKQRGRAPWKAPSWSDWFREKWFEWSRKKWFG EVGENEATESNNRRTNLEAWLEVMDWSHLHTLHLAWPSKATLNHLQGQTLPALTNLSL AISDYFGDAKAQDIIPFLTNTAQPLRGVIIKSSQTELGDPILETFIAAPNLTQELRQF SYRHGPELEDKSFLSQDLISKFLHSTPSLESLDIELPRDVNTSTDTGLFSNLINSPSL KKTTLRFPSPDLDYQTMRWDDSGSQLSMQYHEMRRNYYTSNGTDDEADPLFNEDTALA MFKKMRARKRGAELKELELYVGDWEDRDFRGMMGRVMVRVAYWGCFVVDGAERCEGGQ MRLLE LY89DRAFT_701455 MTSKVSGVSVEETTAARVILERHVRGAWRTDEVAEHDGWLILPE IPSSKEINPPGDDKPEPEEQWNDYQFDPVYDPQLPTNIVDGPWDSRSEYLKAHFEILR EDAIAPLRNAVKAFKENPEMFDDASIRVYTHVYPTGILLTRNGPATRVEFSTERAAKQ IRWEQSTRLMQGSMVALSPSSDNFKTICKVAIVAARPIFGGLDQNPPTIDLFWGEFNE AHIDCCEEWTMVEACEGFFEASRHMLAGLQLGKTEGIPLSTTVVKLTSKSQAPAYVKE NPFFDLTRLEPPRKEGFENTLVDTDDTFDLSNVDVLQNFPSYVNNGMDTSQLLACKAL LTQPVSIIQGPPGTGKTFVSIAALKVLVANLKPSDPPIIVSAQTNHALDQLLNHIMKF EPNLVRLGGRSAKDNTEIRKRTLYELREANRDVQDARQGLRIAHTTYVNLCNEIEAIV HPLKNGEIMTAQILVEHGILSEAQRSSLNSTGWGDDEDEEGRYGGVAEHIDVDLREWL GDDQIIPVPRAPNKHLGVLEEEVDLEVEQYQNLQENIAALQDDADEGLQGVWIPFGRK ETGLAATGISASRISADVRALKDLNLVQPNERGRWYRGFELLLIRSIQSKLRKSLVKY KLAVQEYQVAKSICNLKIIRYLGIKVIGCTTTGLAKYRALLSALRSRTLLIEEAAETL EAAIAAGLIKGLQQLALVGDHKQLQATCNVKALEEEPYNMKISLFERLINNSIPYVML NKQRRMVPEIRKLLTLEPEPFYRGLTDHPSVLDRVVDRPHVPGMEKDLYFIQHEFPET VNQDGSRLNYDESEMIVGFINYLVLNGTDPDKITVLTASWTIKIELWLPFRELAVVST CSEMRSH LY89DRAFT_288524 MKKLHHVLLLVHGVQNSKRSEIRYPKIAIVLYQTAHLCELICLP NSSPPYPNPIQDSNIPIKYLNHTKALQGWVGQSREGVVFAAISSAKPGCLPPSDFFLP PTTQLLPRNRNFFVATFFEYNKHKSDPCFCNSIQRYVLTLRACFPERFLRRSELSNLE RKGNLHLHLRATDSFTQLKAFPSHTDTY LY89DRAFT_741176 MFKLPLLVLATFLASLLASPISPPEALAARQNTGCYCGTITGSS CGSRTSSGVSLSGDCSGNVLYYCSARFGNAEVEDTCLICDASGQDGYDSCALGLGVSS NGTLGL LY89DRAFT_288604 MPIAIFHFILQRVHAAPPGGSQTPLYWLCGLILQLYIHKSSAVQ LATYRHVAQTHLHQKSAIRMPQDRSAR LY89DRAFT_657854 MPGFSLDDDDRGSNNSSDNEQDELMEDAEDIEPDPDQDADADGD GDDDENDEDNGDEDQDGGDDEDDGPEPSNRTRRPSLPTTNTSSDDTPIMSQVNGAGPT SHPRPVVTRTSASPRQPSAPLPSPKMRYEVRPEALTASEYDIVPTMAAPQSTSINAIT ATPDMRYWFTGGSDCYIRKYDGVATVNGKTLLTVAQRHPFVDSVVKAGVLMSYWDNEE PNSKVQGEDPPLSPVYSLAVQSQALWMLSGLESGCINLYSVRHDEGKRINFLHKHKSA VSVLTLAQDEKSVLSGSWDKNVFDWDLNTGQIKRSFEGSGSQISAIEIRPASSLPVPE ESGDPVPMNGTFSSNNDSKPLLNGLSNGNHTNGEEGNEDAPGSPTDSLFGGGSDNNSL FGETAGDGAPSGGVFGDDDDEFSKAIESSLDNQDHIDAQGDLTMGDAPADNESAPAVQ QPESQMNGTKAEPSTQSNGSTQKAPETTTIVAETQDAVATSDSTFLAASIDGTLQIWD RRQPNPVARIPTRTGVPPWCMAACWSPDGNFIYAGRRNGTVEEFSLHKGLKNAERTFK FPQGSGAVSALRAMPNGRHLICASHDILRLYDLKAPQTNKHSTVPFLIVPGPPRAGVI SALHVDPTCKYMLSAAGNRGWEGSTTEVLIGYEIGVSP LY89DRAFT_598140 MAEQDKYGSHFNSNPTNPVKDGSGSLFSGLFRGSGAEQDRHDSH FGIGEDNVRNAASKLDTSKFGSGAEQDRHASHFSLGEDNPDPNNPIDPSTVGIFRSTL LPSIGFHSGLAVVAYAASRATDRVEGKDWLWPSGQILNAWWVAVGYRVVNEGISPATA YSHLAYTEKLLLTGVTAWGARLFYKIASRSYTREGDDPRYEEAKKEEGFWNKAFFSLF LPEALCQAVISLPFTLPFRAIDASAFASPLHVHPEYTHGLAVAMFSTGFAMEVLADIQ LAAQKKEKPDDLNREGVWSIVRHPNYLGDALVHASFPLLLWSAGIMHPLALLGPVANY AFLRFAGGDAENETSQEQRYVKESQKKHGQLLEYKRTKNSFWPKPEEISNPWTWTVMG AGVVGLVLEKGVRSYLHR LY89DRAFT_724452 MPILQFYISPNQLSLEEKQELASTLTARYARLMPDFFVNVMFHE LPHGSFFVGGKPTDGKFVRVTAEHIAVNWNKDSKREKAYLDWLGGVLKERFEPKGWTW EFSVTESDRDLWRVQSIVPPAVGSQAMKTWVAAGKGIPWEAEKL LY89DRAFT_741180 MDSITTASSQVKGFLGLFLGSPFKFALTLLGLLLWTAIHRLYFS SISHIPGPKLAAITQLYEIYYDVYLGGKFTLHLESLHKQYGPIIRINPWEIHINDPHF YDEIYTTVRPFNKVFEHISWVNLFTAGLATVPHSLHKMRRSAISPLFSKQQIYILQSE IQDRAAKLCNRFLYEYKSTGKAVSLERAFGCYATDVVTHYTFAREYKYLDFPDFIAPT LETARMFAALIHVIRLFPVFRIISQNMPNRLVAFMVPGLGHFFAFQDDMFRQVTDIIS GRNQDHVNVSHKTIFHEVLSSNLPPREKLVPRLADEGMVLVLAGFETTRSVLTNTCFY ILNDKEVCRKLKAELAAAWPDIENPPTLQELERLPYLTAIIQEGLRLSLGVSFRLPRT SPTPIQYGSYTIPPGAIFSMCQYFVATNSTIFPSPKTFDPTRWLADPITGEMPLAPDG KLLSRYQVSFSRGTRSCVGMNLAYAELHICLANVFRKCELQLFETTVKDIEFNRDYFL PRAHPDSKGVRALVV LY89DRAFT_288641 MASKKTNINTKFAEKAEALKPELHERIVHPLSVIEFVPDQTAFF KCKAIETHTIQDLPSLSFGKDDQIILDFGSHHVGYLSFHVGVDAVDVDAPTRLRLTFG EIPYDVTEDLHPSKAGISTSWLPDEIINVDWLPTDVKMPRRYAFRYLKIEVLDTSQRY KVRFQNVKVRAVSAILPAVKVEALITTDKKLNELDRISQATLRDCAQTVFEDGPRRDR RLWLGDLRLQALTNYCTFKDFRLAKRCLYLFAALPREDESLPACLFEKPKLSAASDYI VDYDALFGPTVYDYALASGDLETARELWTTVLGSMKMPMSHLDKNGKFDSRATEAWKF LDWSEGLDTNAGMHGLVLYCCKKVNKLAKLIGEEPPYLDIVERMTGATSSFYNKTLRV FVSGPERQVSWISQAWMALSGAVDSHISLEAIRTAMKDSKALKPLTPYAYHHVAEALA RCGGEADCLKLMRDYWGGMARAGADTFWECFDAEDSRRSPYGDCHNNSYCHAWSCTPS YLLRVVLNEYFKVEAHNWTRLTDQK LY89DRAFT_598208 MWGVPQFLFSLRLFSSATQAVASYDYVIIGGGTAGLTIATRLSE NPDTSILVLEAGNDHSNDTNVLSPGLYTTMYGNPEYDWNYKTVPQIYANDQEVAHPRG KQLGGSSAINFLFWTHASQQDINNWGQLGNANWSWEVLEPYFTKSENFLAPSYHVEED LETEYIVPSIHGTSGPINNTFPDIYGPLDEAWPRTYEMLGFAPKIDPRDGLALGGYTN LINMDLKGRTRSYAATGYYLPASKRQNLKGLTRALAEKILIEKGHSVRATGVQYSIGN STEIVHAKKEVILSAGSIGSPQILELSGIGNPSLLKTHGIDVLVANENVGENLQDHIY VPVAYKVIPGVTTLDNFTDPDYFNAAYEQYITNATGPLATTGASSGLLSCPQIGCGDL IPPAFSNISSSLAAQYSILNKYFQTEAVTQELTVTGGMSPQYVNDTTKLFTTSLTGNF FTLLGVLEHPYSRGAVHIQSSDPTVYPEIDPKYLSNPFDLEVLSRIALHLQKVARTPP LSDLLKGNGTIYQPGYYELDSNNVGEWIKQNLQSEYHPAGTCAMMPKEKGGVVDERFR VYGVDGLRVVDASVFPLMPRANLQTLVYAIAERAANFMKEDDNCL LY89DRAFT_598060 MQQPVRHQTSTPFDDLLTSITHSLGTTPKPSLPHLHNLLRTYTS DSNHWSKYAHRNPQKQYTRNLVCELPGIFNLLLLVWTPGQASPIHDHADSHCLMKILK GELQESRFAIPENPGNEGPLVETSRLNFGVNKVTYIADNLGLHEISNPHPTDYAVSLH LYTPPNAAMRGCNIFDPETGEARHVMQCAYDSVRGAVASN LY89DRAFT_711244 MPLPDHAFTLHGGCNCRAIRYKIEVPAFKDRFMHPTRDQAHLPE REKIRFPMSLVCHCNDCRRGTGALITYAFACLNSYVSFQIESRSKKDSDKNEWIPAAK IFPPAEFKEKDDTFLEFYASTDARRRSFCSRCGVSLTYNSVSMPEPWPAFLDVWTGTI DSEDLEKDWLIPVRHCWIDVEIPWIGKLACQGSGGIPRHEGGSDFQ LY89DRAFT_741186 MTRSTRQPLDSFAFRPCLPPPKCPQLPSNLLAFCPFSPSVPGLF AHTIWLRNVFSPYSPYNGISREYSNDGMSNMADLSIDPQLRPPPTTQPHGEPGLSARA GDTPDAQSDGEQNDGTSRKRQKLNLYKCNQCRVARKKCFPTDRVWPEKCQRCRQHKPE LDCSEPQLNTRKRGPNLPKNTPRSKTRSSSEKPDAPSKESDDDSTADEAPPRSERPLP IGVPKRIKREHIETMEPVSETPQARPVGKTDQPPASVFLKLGPNNFRLLRLKPGKRED TVVCSFQTVSLDQPVDYEAISYFWGGSDLGWKDSVRIELEDIHKRTHAVFIRSNLCNA LKSLRHPTEVRNFWVDALCINRSNQLETNHQVEMKLRIFHNAKNQCFWLGDDEVFKTG LTFITRILDLAKIDVLVRDPKSIEGWSAFVALLKNVAFSRLWMVQEVTVAKTTSLHCG SQGVLYTDFVDAVAMFISCRENLVRLFRQNGKDYRELLDRKITVTKRFIDVTQNTLRT LKPDRPDPEIERRLSLEALVSLLTDLTCTDPRDRIYSVLALAKDGLPPPEGTLMEGSY VLQNDQSLRIDYGRNVVDVYQDFVIHVIKHSHSLDIICRRWASAVSEKLPTWVRPLQS SLQLPGDTKSTERINADSLVGLPHEKCYSASQNKPAAWQRKLDPLTNSLLSLSVQGFK VDTITHLGPRASEGIIFYEWLELGGCKLTENGDEIPDKFWRALVGDRGPHCANAPSWY HRALLYCLSHSTGNPDINTKNLIAEYEAESSLVVDFLRRVQSVIWNRKFLVCAKNDWV GLAPMAAQIYDVVCILYGCSVPVLLRPMPSPMNVEYWSVVGECYVHGIMDGEAVREST DPSSMDRDEYFELR LY89DRAFT_741187 MARILLLAPFLSSAFALLPTCYYPSGVTEPNHVPCNQTITGFSA CCDPLDSCSTSGICLGRSGWDYRGSCTDETWDSANCAAKQWPQCITDPSTNDEYDSWT AIWSCSPIGTAQGEQCCGYGNGSSCCDSKFELGVTGPSFKPGYDALIQNLTSGTVNAS SIPSQKSNCTNTNTTSTQSCGNGDLGTKVGVGVGIPLGVLVAGLLSFLFYREFKKGKQ TKGVAAPVPNHEYYSPMAQQHVPNYTSGYAQNQQPAAYSPNVPKPNPYSPAAAAPVYE APTANGVHELGGR LY89DRAFT_288737 MVHYLHKALFGINTISEFCSLQAFRSNPHLQVEMTSTNGTGIVH PLATLTAAARATVERQILDPIPASVEKYWNTCRDELSVTWDRKNLADPVQQYTYTQLY DLDRTKKFDPSKIVDVDLNQIAVRKPEYAFNWNDFGTIINSRTRPDTIATQSDGDKEA MRNTISIPLTEAYIRLVCDYANGVRDKAERAVGSTAPPRISCHCMLQSSWQPLRDAAL ARDPYATIAQQILTQQGITQQTFAEMDFLFLPHLRPDLDDAGGEHYTLLGFAPRQKYA FWINSAEPKTPSDDPDVDLPIQEYEAYMRDSLLAILFCLVPDHDERESWEVNLDYCGN EDKTLRKAVRQRDTYNCGAYVCTNALLLAFGYNLTSFDARDIDQFRKPRMFAELLNGG FSGLYQYDLIEFPAPRTDTDPSPSYDEAIEAREDWETGL LY89DRAFT_741189 MFILNSLSVLVALSSCVYASPTSSSTHGSRIIEKIESAPAGWTK DESTRLDKDGELMKLRIHLVHQDMDKFHDLAMKIATPGHELYGKHLSQDVIDAMIAPK DESRDLVEQWLRSEGLSGQATISPRADSIVLEVSIAQVEKLLNAEYEPFVNEKTGDAA VRTLEYSLPAILKGHVDMVQPTTFFGLRAMRSMISGQREFDESTLNTGAVEAVTGCSG TTITPKCLQNLYSFTTATNYSNGLMGIAGFLEQWPIKSDLSTFLATYAAEGNVAESFT CTLVNNGTCPQAASGAGVEANLDVQYARAITESIPNVYYSTGGRPPWLGTGTNTNEPY LEFLNYMLALPAASLPNTISISYGDDEVTVPLSYATNVCNLFAQLGARGVSILVASGD SGVGSTCTTSAGTKMFETSFPAGCPWVTTVGGTTGNSPEAAWTGSGGGFSEVFGQPSY QTAAVNSWLTTDTTHTANNAYFNKTGRAYPDVAAQSTDFVVVISGSASLVDGTSCATP TFASIIQLLNSDRLASGKSPLGFLNPWLYSNATSGLTDIKSGKNTGCSGVISGAGFSA VSGWDPATGLGTPIFSSLLTISKAT LY89DRAFT_724461 MDPLSIATSVASLIAAGSKLTAILTQISRLSDAPPLCKAILTEV CDTAAALRQIQNFLNGQLYVPPERREYVLLEHVSTALVGCVMTKDELETLLDGLGLVY AGSGITGVFDRVKWVRKETNIQRLVQRLQNHKASLNLILTIFQCSSSTQIQDSVVRLS SLVEEALSSHSALSIRMSRLEEGSTLRSATIPTSSVNDIESIKNVEQEYLGTDNASVT TITRIPEVLSEPEEQFILLPFPFDPELQASRVYRRLHLTHSDGHSATSITISTRQRAA ASIFSALSLAEISNLSQYSLPIFIQEIGNNRWYIQVGRVSTIFEETGGTASRISLDVK KLDGSRTPYTMQPSSTGQDLMNAIGGPEHFLVCEHTKNVFRIENNKTLQEQGITEGAR VHVVLRYRAEGRELGERSDPYMPLYRIDNEYPRYRLEPR LY89DRAFT_598100 MATETQTRTQPEVLTFSTLPPFPADIPTAPLHRLSLSKLRSESP ESERLFSSCKDLGFFYLDLRQDDEGESLLKDADNLFNLAPKLFDLGRDELQKYDYKSQ GSYMGYKRFGSAVVDEKGNLDRNEFYNIPKDDFLGISSKPFAHPQVLHDHNSLITSYM RTSHSLVVFILRHIENHLHLPAETVTSLHRQMQISGDQVRFVKSPPQPPSDMRTALGK HTDFGSITILFNRLGGLQILPPPSLTPAGQEPQWTYVKPLPGHCIVNLGDAMVKFTNG LLRSNIHRVVSPPGEQAKETRYSLVYFSRPEDEVILKRLEGSDVIPELRDGEKEEEVN SKEWIKLQAMRLRNVKEGMSDEDRKKLWQEAGRGT LY89DRAFT_701465 MKALVYRSVGDVKLEERPIPRISQPTDAIVKLTKSTICGTDLHI SKGDVATCKTGTILGHEGVGIIEETGESVRGFKKGEHVLISCICSCATCEYCRKGMYS HCTTGGWILGNTIDGTQAEYVRIPHADSSLYPIPKGADEAALVMLSDIFPTGLECGVL NGKVQPGSTVVIVGAGPVGLAAMITAQLYSPSTIIVIDMDNNRLRVAKSLGAHHTIDP TNGKAAETVKALTEGKGCDTVIEAVGIPESFDLCQNLVAPGGVIANVGVHGTKVDLHL ENLWAKNIAITTRLVDTVTTPMLLKLALSGKLDPSKLITHKFAFKDMEEAYNTFGAAS KHEALKVLIEF LY89DRAFT_741193 MEQHHIKTEPYIKSETRDELHSVNPYIKSETENEIHSVNAYIKS EHPDTGSAYMKSEDGKVKLEEFYASQPLDQPPAISKGRKQRNKSNNDRAATKKEARRR RMQRRMAASIVKSGIGKGKRTNIKSKAPETMEAYKRLGQDPDDAEALETFLKYNPWFV EQRRTVYQPGVGMVRPGWKP LY89DRAFT_690475 MVGLKFATVAALSGLVIATPATIGYKGDWKSKIKNVVVLVEENR SFDTFAGGLSYTSGINGLLHHNYCNSMNASDPAQMGDVCAGPRANDVAPDDPNHSISG VNMQIFSTWHPDESKADSRIEIENMRGFVTEQSVAYDTLNKTRAAEVLNYYTSDQIRV FGTMAENFVLFDRWFAAVPGPTNPNRAYITSGTSHGHGKNDNAFNVYGLPQRSIFQQL SEAGITWMNYQNSTTGPGLGFNPDAAFYNWTYTSGAYKTNIAPLDQFYADAKAGTLPQ FTYINPECCDYQSFHPPSPITLGEQFIKGIYEAVRGSPQWDETLFILTFDEHGGFGDH VPPPTGVPAGDDVLYTETAPDGKNITFNFERLGVRVPTLLISPWVQKGYVEHKGSNNG GEYTHTSILGFLQSLWGLDTLTPRVSYSSTFEHLITDDLRTDTPATLPDPFPYT LY89DRAFT_288860 MATHKPPYKIHPILIFDITSDTIFKMSINFPSNGIYSITVPTED SPQSTNAISWARHIPSEDANESQRLSCALASSPTLGDATHASGPYFPIITTGRSAAAI ITHGANSVQGNKLCWRRDFTPYAGLARFLRASRLRNSVPNAFFVLVDIVSWSDVDENQ TKWYHLAGQISVRDDKDVPISVPHQGGNKELGFGHVFDYAVPQGEEDLMQTIDWKCMA ALLRIGVARNFGLDGERLSLEEMLYATIQNPEPLRDLGYAEHPSYYRLDRNRGRYVST FKRI LY89DRAFT_598147 MQITLALATLATVASAAIGSSPTASAPAGCSTSYSGAFEITILN STTPRQSTTCGQAGYLTVTLADSILKDSQNRQGEIVANYQFQFDNPLQSGAVYTQGFS VCNNGSLALGGSNIFWECQTSDGPGIGTYYNLYSQSTGAQCEPILINIIPCDDSSSTS TGVVGQSSDGQPTGTSVGSVVSQITDGQPQATGTPGSITTAPVSQISDGQPQGTTIAP KPTGCVVSQISDGQIQFITSCPTPTPTPACVVSQISDGQVQYTSCASTLVTSAPVSQI SDGQIQATTATSAPVSQISDGQIQATTATSAPVSQISDGQIQATTSAPSVASTSLAVV SQISDGQPQAPNATSTPLQVTGNSATSLNIASTFAAVMVGFAAMLLL LY89DRAFT_288923 MSQPPLATPDLFDWGRHRPSNAAPVLTKLHCILLDATTSAIIAS QPHATGPYFAGSRKCCWNTLIQDPTDLQRILAQYGLETVPGIAGIRMEIWAITKDCGV QDVQLDFYCIVLGLWALDGAGRTLLHTSDTTEEVDSMRAVIYFNIPIPTPPHEDILPT VGWACVVGIIAHEVKMTWNIDGSRVEAFVYTQRKGANLNPLESLGYLGGPCLLEESED DVVISTFRRDPSFQGTSS LY89DRAFT_741198 MSAEAPPLDANQLRVEWGSHKPVSKASDFVRLRCALLNALTSRT TSTSSDSKRLLHLAVDVHEEGPYFTNEFKLCWLVEIPNSSQLFKSYGMGRACESGPIS FRVFTTMREIENKSFYTIWAEAEARNIRNQRTKRVDHDGKEKFLTTTVIFNWPGSVEG DLVQKLDWSCIANLISHYAKIGFNTDGEPACDDIVMERLFENINPSKEQGYRS LY89DRAFT_788339 MSSSQQLSTVWDSHIPRPDADLETRLRCVLLDFFYPLPPTPIQG PFFTMSFKLCVLIQMLASEFPEYFLDTEPHVHSIRFSIETSLGRADRDLQLDVPMFCI IEAKATAMRAKYTILEGLNSGILFSIQASIVVMWPACVNVAFPVGWGWDSIVSILKHE GGSKYDQVGEERSAFMYDNNLNPG LY89DRAFT_288940 MNYPGPTKSGISGILTPSLIGYANDITFPWPLDSKLDGSDVGDV FFRTDSAPLWTTPPVKDALISLSKIGLSNIHSKDIISVLGSPKQPTFLHNAVGVILIL DQGPRNLFKGIHARYIYDYFGILARNLTSYLVSLPPFHNPFNLQTWLNAGIELSHALL RISMLMAPLCHSDDRKDHMLHLKLTENVRSFYEEATRTKDPYRGSFSKDLKDIYLFAN ILNQGPPQGTVSIEQFVYWIMRYFTSHVVYVDWFARSPFRNCAVGRDDKEGEKEWLRY CGVKNDEMVREKVKEDMGRGVWTPLEIE LY89DRAFT_701468 MAGPETPKAAVPLTCHGHSRPVTHLGFSGFANSEEDEYYMISAC KDNNPMLRDGVTGDWIGTFFGHKGAVYQARLSPDANTAATASADFTAKVWDTHTGEAI FTFQHNHIVRAVAFPHESNSLLATGGMEKKLRLFDLDNANAITAPTANGASTPNGNGA VDSKIISAEAGFEIGAGVHKGTIKSIVWTRDPNILVTAADDKVIRWWDLANRAVIQEK IVDGDIGSCEFTNIKPQSNDIGSGLPVLCIAAGKMVYFYGGNDARTLIKSVTLPYTVA SVALHPMQRKFITGGVADTWAKVYDYDSEQEIDLHKGHHGPIWSISFSPDGKLYSTGS EDGTIKLWKNCQGAYGLWKTE LY89DRAFT_628664 MAAYKLSASLSGHDDDVRGVAFPTSKAVLSASRDGTVRLWKLTS ENHPVYDDTISSHAGAWMNTVAYLPPNAEYPEGLIVSSGKDVVIDVRQPSRAAEDNAE ALLLGHSRDVCALDIDQEGKYIVSGSWDHDARIWPIGKWESDIVLRGHEGTVWAVLAY DPEKIITGCADQKIRLFHKSGKLLNTFQASRGPIRALCRVPKGHPSGADFASADNEGI IRLWSISGKQIAELHGHESFIYSLAALPTGELASSGEDRTVRIWKGTECIQTITHPAI SVWGVAVCAENGDIVSGASDKVVRVFTRSEERVAAAETVAQFDEAVKGSSIPQQTVSV NKENLPGPQFLTQKSGTKDGQVQMIKELNGAVTAHTWSAAENQWINVGTVVDAVGSSG KKVDYLGQEYDFVFDVDIEDGKPPLKLPYNLASNPYEAATKFIQDNELPITYLDQVAN FITTNTQGATVGPAAPAPTGPDPWGSENRYRPGETNAPSVTAPPKILPQKDYLNILVA RIPAMQKKITELNQALLAEGRKDISMNPTELTVLSNLCKHLETVGATKTSQSVRGGLD LAIKLAIEWPYKDRLPGLDLLRLLVVAPDTATFTHSGGANIVDILEVGATETETPAEN HVMMAVRAFANLFESMEGRQLAVREFDKIQKVITASIANSTNRNLLVAASTVYINYSV YFKSEGDKTSFEHVLAVLDILGKILSTQTDSEAVYRALVATGTLLTLDDEIKSAAKDV YGIEKSVSTAVGKAMDPRIKNLAGEIRGLLK LY89DRAFT_724471 MFFPSSILSAVVAIASLVVVQAHTVITYPGWRGDNLITNDTFPY GMQWMYPCGGMRTTTNRTLWPTTGGAIALQPGWFQGHATAFFYINLGFGTDGPDQGPP NMSFPMVPVFQIVGPNKNPYPGTFCLPQVPLPANTTVNVGDNATIQVVETAIHGASLF SCVDITFAAPETVAAQMEVNASNCFNSSDISFNNVFSISGSTSGASRTIATASFLPLA SLLMWAFL LY89DRAFT_657898 MTRKKKSKPVEGKGIVHTKRREVVYEDGWTLIVDTPKAKTIPKP TFHGGDFEVNGVSYINRTLDEMRADFEHWKKAWEESDACGALREKLEGMKIENAVVLG LGSLQSSRREGRRASATQLAALQTVLGALDLPVVLQDPQYTELDKEFLTSLGYKVVDD PGAFAAVGTGSLVYAIHCYGPVYQSFSDGPRPAVLIGTDVENFGRFDG LY89DRAFT_690482 MAKAFRELIGVSPSTASTNDSVLIIIDAQNEYATGHLKTENVQS TRKAISTLLEKYRAASAPLVHVVHQVPEGAPVFTPNSDLAKEFAELEPKGDEKVIQKQ QPGSFTGTDLDEFLKKSGKNKIVLTGYMAHVCVSTTARQAAERGYDVIIAEDAVGDRN IPGVNAEDLVSVTLAELADAFGSVVKVVSKTTSRGPTFR LY89DRAFT_701473 MQHRYSFEDDDQPYDGRRTPEQLNSPSHTPYNPTAHPDSSFNRL RAARRYSRDREPLQGYGETITAPPPPPHRDLGYTNSAYSNITPGADNFGEQAAGGMAG IAMTVADANARESGLEAMRNTPGYDSRVEENIPLEDSFTRPTARHSEMSSTSLTPLGA AAFPPGMATPQSRSTVSRSPHSFEHQPYVDNPPYNEFRYSRNVDPSLTQFDPNTIADD GDDGLEYRQQSRGSMLSLGRHSDHAVPAAGAAATGGVLGALGGLVGRAGSGNAAPTAY DPVMREGEEKSAWLNKQSTGSKKLRWLVICVVGFLILAAIVGGTVGGILASRHSGSSS SSPAGQSAGQDTAANGDLNKNSPEIVALMNNPDLHKVFPGIDYTPMYTQYPDCLSYPP SQNNVTRDLAVLSQLTNTVRLYGTDCNQTEMLLHSIDQLGLNGTVKVWMGVWLDTNTT TNTRQIAQMYDIFKKYGSASFVGVIVGNEVLFRQDMTVTQLGDVITGVKSNLTSMGIS LPVASSDLGDNWTAKFADQVDYLMANIHPFFAGVTAEEAAGWTWDFWTGHDTILKTDI TKNVISETGWPSIGGMDCGAATTCTNGSIAGITEMNTFMGDWVCDALTNGTNYFWFEA FDEPWKIVYDTPGEEWEDHWGLMDVNRKLKDGVVIPSCGGKTVS LY89DRAFT_598475 MAHAFLALSLAVTVNAIVSISGQTLIVNGVDYYAAPESVSIISA TADMLSSAATIGVDLIPLTVMEDTTSSFTTSVFRSIVGNYTSSDDVFNAGFLQAIYLK HTGSSPATVQYPLGAALTEYGTKLFMPSRAYTSSVEAQGHNFTGWRTELPPGPYFMSA STGDIYQAYRLYSDVQGAFTEGLKPNTDGTFSILSAAIPGAQSLTIGVPSRLYYTKTA AQPLAGVRLGVKDIYDIAGIKTSCGNRAYYDLYPPRNVTAVAVQTLIDAGAVIIGKMK TSQFANGETATADWVDYHSPFNIRGDGYQDPSSSSSGPGAGIGSYDWLDIGLGSDTGG SIRGPSEVNGCFGNRPSHGLVSLDGVMPLSPNLDTAGFLTRDPFLWHEAAKALYGSNI ASNFTSFPTKILTSGFPTSASNEANTILLDFVSQLQTFLNASTTALDVESLWSTNGPA AAGGDSIDDYLGIVYPVLIAQQQYSLFTLPFYADYAAAHDGKRPFVDPAPLIRWAYGQ NNVSADATTQALQNKTVFMDWWSTEVITPSVESCSDSILLYVGSDATPNYRNLYRNSP VPPTGFSISRVSNFAEVPDMVFPIGQAAYNSTITLQEEYLPVTVDVVAARGCDGMIFG LAEALTNAGILKVPGTGSLMY LY89DRAFT_741208 MSRVLLTGANGFIGSHILEHLLNQGFSVRAIIRSESKAKQVLAD FPNKGTQLDFGIVPDITAPGAFDDVVKSSPPFDTIIHSASPFLYRVISDNREFLDPAI KGTLEVLKSVKAHAPTVKRVVITSSCAAVVNFGAPPDAPKKIYTEDDWNPTTWESALV GTQNNAYQASKKFAEKSAWDFVEKEKPNFDLVTLTPPMVYGPLRHSVKSVKELNQSNS RIYGLFVDSKKDAELPPNGMHVYTDVRDLAEAHIKAVAVPEASGQRFIICAGQISSQQ ISDMLRKDIPELGERTPEGTPGGNPLPENAYECSSAKAEKVLGLKFHRKEETFVKLAK QLLEIEKKEKAGQ LY89DRAFT_741209 MATMRAIDIKDGTGPVSHLFMNSDIPKPQPGKSQALIKIHAFGL NRMDLMQREGKYNVPPQGGKILGVEFSGVIEGLGSESDFKIGDEVFGLAYGGAYAEYL VSSTRMLIRKPKELSWTVAAGIPETWITATQALHLVGCFKPGDNVLWHAGASAVSIAG IQLAKAAGAKSIFVTAGSDDKIQFCEELGATKGFNYHKDDWVKGVLGATDGHGVDVTI DFIGKNNSQGNFEAAAMDGKIVQLASMSGSKLEAGLDIGLLENKRLRWEGSRLRSRDL DYQHKLRDLLVEFALPKFVDGTFQVPIEKVFDWKDIQEAHALMESNQSKGKIICIVS LY89DRAFT_657917 MPNHTLTLNNGTKMPLVGHGLWKIPNDRIADQVYNAIKAGYRLF DGACDYGNEVEAGQGIARAIKEGIVKREELFIVSKLWNTFHEREHVEPICRKQLKDWG LEYFDLFIMHFPIALRYVDPAVRYPPAWFYDGVTPTDIQLSNATIRETWEAMEHLVDI GLVRSIGISNFNCSSILDLLRYARIRPATLQVEHHPYLVQKPLMEFAKKEGITVTAYS SFGPTGYVEMDLEHAVKTPHLFEHPVINEIARAHGKSPPQILLRWSTQQGIAVVPKSD TLPMLLENLDAKGFDLTEEEIKEIDGLDQNLRFNDPWIVRTPAFLVPY LY89DRAFT_690485 MDTDHISLSQASSLSANVSTPFFIEKPAFALFLYLHVLVFFKRS YYFRTIRARVLACSRSSDCC LY89DRAFT_289336 MEINPWCPPIWTPRLCVVRRFHHRGGLPNRSGLFNRRILLTAIF DLSRLQFPADASRLVHSLVVHWQTITSCCLCPRNCVVVLIWEKKLGDAGIMTIKSHGG PQSYGNSRERRIVRGMPKGIIPSLHCLHGLLEGFIFRVCTVCSFVRAHFLPKVRDLLQ ICCPRCVLELKEAARRRHTWLISLNVSSAALKCENGGFVEVGDAGDAGVYHAVFFGTL VA LY89DRAFT_598436 EQVSSHIMKQLKAAAEDQLGTNITHAVVSVPTYFNDTQRQATKD AGKSAGLEVLRVVNEATAAGIGYELDRSNDELNILVYQLGFNTCDVTLMTIDRGVFEI MSLEIMSLASAKVSSEQYDQAVLEHVIGTPDMRSQVTKALNTLSSKTSAKITYSLAQK ELKEVHERTFNRTIPLIKRVLKEAKLYKGQIDGIILTGEPRNAARIRPFVEAYFGKKV LEGISSDEVIVRGIAKQAEVLGYESDGMFPFQFWGYTPLSLGIDNGGIFICVVPRNSV LPTSKRITIVTVEDSQTSIQLNVHAGAGSITSKTKFLGTLNVPVTPGVPVDVYFQVDT NGGLEVIAGDVKLQIEDIRYSGRLDEVDWMIMEAEIEWEKDLEEKEVIEKNGMGRFKV VDRIVKNSYKERETGWLGRSWRSVYGTFLVDGFAR LY89DRAFT_711259 MASMEKIFSDYDARKLHLESSDNQFAKGVAWVEGELTPLSHARI PLMDQGFLHSDLTYDVPAVWDGKLFRLDDHLERLNLSCEKIRLKLPLPREEIKQILMD MVAKSGIRDAFVELIVTRGLKGVRGSKGEMVNKLYMFIMPYIWVMEPEVQRAGGSAII ARTVRRVPPGSIDPTVKNLQWGDLTRAMFEANDRDAMHPFLTDGDANLTEGSGFNIVL IKNGVLYTPDRGVLHGVTRKSVMDVAKANGIEVRVEVVPVELAYQSDEIFMCTTAGGI MPITVLDGKPVNDGKIGPITKIIWDGYWKMHFDSAYTLAVDYGRV LY89DRAFT_564282 NSKPAAQRWRHIYGCYRKSLRATSGFAEMCFFCSEWVMGKYEWE NHCQVHLDGHKPLPAQCDPLFYGGTLASPGICPFCLDDATLSAAERMHQFYDKAEWRD HISDHF LY89DRAFT_290074 MPVSQISNDANGNIDPLLLFMPGTAVSVGDNIALSSPRQSFTIT SRESNSIRESSEVCNIVSEYDVIEVEDPTNLDEESQALEAGESITHVATTPKAFTTPA DDNDWLDLSTIRDTLPREKPLVESTDVLTYEDIAIETGGRKCLKEDFVFVGDSLDPDI RNIHDRKELPDSYISGAHSSPGNSPTEGISTSHQDPEYQQLSEQAVQETRPSPVDPED NQFEVERVIDDQVVPVGRGRRKKMITQYWVKWKGYPEDDNSWVNEADMHGDLIKAYMA GKSSD LY89DRAFT_598374 MASVPSSATVLVSYLQAQVNDIVRIMRETIKEVSERGENLDSLQ GKTDDLAVHSNGFRRDANKVNKRTCSKHVKSRMYLISCVIFLLVVLLIIPVVTLALNR HS LY89DRAFT_676292 MPMDALPAEVLLAIARLLGSVYLRSKMEILVLFTTWFVPVPIAT RTTLTRGLNRYRMARIVAFEDLVLFPRCLLHFRGAPKISHDLVRSCLRSLTINGVAYT GNNDGLEMSPQNTAYCQNLDSLAAFMPGMVNLRTFRLGLRMETSLQLPEEQIWQGAMI KLIGSLPTSVTRLIVHVAGYTRNFQHSSTHSGLPTHFMCHLVCANGFLPRLIYLRFRM PITWDFPGSRVGQTLLLKLSLHGPRGNMMDHAQERVDKGKAKAYLNLYFLDGDFGVFG DESFSNRKRQFPSCLLKFLGTRKIGIPGGRATITTTLTQTRLPTRIQKTITTSLTQTQ LPVEA LY89DRAFT_676293 MQDDLSEMRKENQPVSIKRGWKFYGTFGTLALLNFICAIDATIL SVALPTIATDLKGSTAIQAFWVGNSFLLCSTVFQPSWASFSHISGRKSVLMAALVLFT VGTIIASVADTVALLLVGRCVQGVGGGGLVALTYVIITDLVTLRERGKYMSIISLQWA IGSVIGPVIGGVFAERATWRWIFWLNIPFCVIAAIGIPICLRLHIKEGSVWKKLRAFD WFGSFLFVAATTSCLIPVTWGGIMYDWSSWQTLVPLILGVFGLIGFTIYSVNRSTEPL IRRTLFNNSTAIVAYIGTLVQGLLVWSLLYYMPLYFEVAKNYSPVTSGVAIFPFTFIV APAAVVVGLIITETGRYRPSLWIGWFLTTLGMALLISLRQSTNIPSWVFLSLVGGIGL GMLFSAQGFAAQASASNDDLPFAGAMYSFFRAFGQTLGVAISGVIFQNAFKKNILATA YSAFADDWSRDASSLVQIVRAWSNIGEEGVMKEVVVLAYVKSLRTVWCVMCALAGGAS IASLVWTREISLERELETEQGFRYDMDECR LY89DRAFT_741217 MAQFFPDQFTPQKRSTIFIQPKTRQHNPNMFLFMPQIFRHNKFT VEKAEDVLSFRAAPLAQPKKGFRIVCAPFLFHNNDITIRNQKLNDGIQYNSPKYSDSI IGDTCADGFRHSTHSRMMLEGTGHEEELQQTTLKIAEARVNFEKSMDMLYKELILAKN M LY89DRAFT_762147 MTEKNQISSTGSTAGDILNEREAEAGSNSNFLAASSKETRDVSE NSSTTDVDPEKSEQPAETAEELPLRDITGWKWAVVVLSILSSTFLFALDNTIVADIQP VIVLHFNDVKNLTWLSVAFLIGAAATNLIWGKIFGQFNAKWTYILCVLLFELGSAICG AAPSMDALIIGRAICGVAGSGMYVGVMTLLAVTTTIHERPMYIGGTGLTWGLGTVLGP VTGGGFSDSPAGWRWAFYINLCIGAACAPVYLFILPNKDPRPGIPLMDRAREMDYVGS VLTMGAFASGVMAVSFGGVTYDWNSEQTIGLFCCSGVLFILLGLQQVYAIFTTTSRRI FPVEFFKSRTMLILFAMTAAGGTAIFIPIYMIPIFFQFTRNDTALEAGVRLLPFIMLM IFAVIGNGAILSTYGYYMPWYTLGGLLCLTGGALMYTVDTETSVARVYGYSIIIGFGD GLFAQASFSIAQAIVDPQLITSAVGFITCAQVSGVTIALAIANSVFLNKSQTSIAELL PGTPLAEVQQAIAGAGSAFVASLSDVVKTEVLKAIVSAMSKTYILVITAGALATVLSL GMKRERLFIAAGHAG LY89DRAFT_598417 MPSPSPTNQPHYTFDELYNLQVKFFDNFIYPADITQAKSINSTL LAEDVQGRIDVTRTFNGRELNTEYLFGLFANLAADPNSISLLGVPISYNILHFAANEY ITSAATRFMFNFTSLGLIIPIEIDSWNTWNEAGQVTQYDGSFKYWQWTVDYIIASSVP LFNATSPTQVVEILTRVLASSICETATTYCNGTNTQYSNLAACYQYLTQEVRFGEAYE LGRNTVLCRMVHQNMVPFRPSVHCPHIGPSGGGYCTDDTTYIGTVEENYFKISFIPYG Y LY89DRAFT_676297 MLERVAFANPNIFVDLHTPGIDVTLAGKVLKVTVENLTFELDYR RKVKVNISEENEWEFRVDVTIGKDENDSLAVRQVMDLICERVDDIEMSYANPRARERQ ESHEELKFLAALPAWMDHYKVTCRTPAQERAINAWSDLCNQGNNSRNKAFIGWTIPLP LSDMDCDILRAGVLSDEIQIAEKGTSEEIHERRERRVRAMDQLTQRLAY LY89DRAFT_598241 DYFPRLEIYILRMPSSLHESLGSYIMQELLRQLGAIAQGNSPSA IFARNIKNSASAEISFEDIEYSSHQPDASFQHFEAQFPGVILELSCSQKKQDLSRLAH EYILGSDADIRIVIGIDVEYKGSKKASVSIWRPRIEVNDAGEKELSVVQTITDQACSP LY89DRAFT_690489 MTVQALQITPPESFTSSPPTPPATEEKIVPSISHILAAVKQHKA GNRIPTGEH LY89DRAFT_724483 MSTTGDRGAHWYLTTDLMLCYNYSSTPHNLLCVKCVAPKCVRDF DTAAPAQSSYHFCTESFNIGINVTPKGSFVDLHHDITRRGLSKTIGKCKKIWLLFPST PENLELYIASAGFSNRLARIGSKLRGGIIVETDSAHELEFPAGTLHAVFTTIGGFLAG INYSTAECLPTMSRVLKAHLPIFHISPDHIFKNI LY89DRAFT_657928 MLTPAEAQREARERSTEVLAGWNKLRQILERHEDLLRKRWTKKT ILQRTNILRGAWPKISSVHRPDYKAWRKEGSKLSSVGTTSREAYLWPYINVEDLVKGK NLLLFLNSRGRYFPYTFAHTDFEATHLGRITGATMPAFLNLHTMLLNGEGVETYGCLV AWEDDEDAMMKVFTGHEYQPGEALLILEIQQRILQFLVDCCHIILHDFTHNALVAEAP IESEPPPIKDTFKWPTWASISATAPYRVPAALDFNHLKALTTSKRNMAEGHIRDLRED PSYFADVVGDWSEHRQERLLDTNGVRHPILETPLFWDRVIRDVVSDAYGSLIKWDILS EQLKQLATLQEQYSRVISSQTSLPPEYTKALLTLRYTLGQVKNISITKLKTGTPASPP LRSIWVREPHIPGSSIIRLKTRKGLDYMLWLFHTLWTDDQLALLRLPGLMDEIENMIS DAKESTRLSPWVARVFSDLGLIGRVGHELDIYQPWASSFDHEFIKYKAEIEESYQSRF ATAAKVNRILKGLSLARLGEPIKGRFYYPSDKRRTKQNTESMRAAEFNLDVFWKEVDD HFLQESGSSLDEAVQHIFTEMRQLERTADWVEPTQECENNLLKTDEHALLPDLTPYAS ADIPTRFALPELKIKAKTRGLAKDTSEIVPNIPLPEETTVPQPIFVLNKRAFKVFRTL FFNSSNSDLPGEIPWTDFLYAMQSIGFAVEKLHGSAWQFTPLTRDVGQSIQFHEPHPT TKIAFRNARRIGRRLSRTYGWHGGMFTLA LY89DRAFT_598176 MACVDPFLQFDDESFALQLQLEEIEAQRELQSGKWTEGSPPDFS LAFDDFETELKKALLLVEDLKFAHSIARAVASDAVAIEESRAEETQSIQDRDFALSLN EDGNLLSQDTTDLPEMSRLGAESVDWDHVLRAIEAPTLSNRSYSTVAGPSTYYTLRQE VFLEHLPQLKVECSVCGEAVHPHATVRLVCGDVYCKPCLKSFFLRVAKDESLFPPTCH RQAIDISTIEADFSIEELAAYRSAELEFTSTDRVYCARPECAKFIPMPQRTADCASCG ACSAETCMHCKALAHDGGCPADEAKQSLINFADEQGWKPCFGCGEMVFRYEGCDHMTC RCSAEFCYRCGVKWKECPCGDWVPELLDRRAQQVVDREAPWPLAPAIRQQRVVAMVQE LQTNHECDHSGKFTKLEGSRRGKVCEMCGTRHWKYILSCKRCHMLACEDCRRHRL LY89DRAFT_724486 MHASFAVPKTAESEPELFLMLEIMDEIFTEAHSWCFDGPDCMLT WPRQLALSRFHTAAAPGQKLRAFDPKKEPNTLKTNFGYWKQFLTYCYQVAYRGSHFTT ADDDQRTPESSIQLTGAQEKAWEAAFQSAVEQDRPALRDAISVLLMALICHEFGGNRY SSPLLSFCAMLSVKPYTKTWKEPGNYNSCLSGGAR LY89DRAFT_598783 MIDQRTYNKLHPNSATFLFSKDPILPYDEYPLEIELDADLPDLD YMLCPHIVQGFFLKEKHWVSLYVRKVEPVNWNKEAFDRLVLPPKTKELIKALLLTNEG RVSDPVGVCGGKRQDLIAGKGTGLIVLLHGGPGTGKTLTAESVAELAEKPLYSVTCGD IGTEPDAVESYLRRVLYFGKTWNCVLLLDEADVFLEERGLQDLKRNSLVSIFLRILEY YSGILILTSNRVGTFDEAFRSRIQLALRYPDPDPPFRKKIWRNFFDMLEADEEDADLD ELKEHIEELSDLDMNGREIRNALTTARQLALYKKKTLGWVHLSQAVNAARDFNKYLMD VQGHSNKDWAREISLR LY89DRAFT_657937 MKCRYERQPTCKARKWLEVFSGKVVYYGTVMDVLVQQYPQYVSL AWGAMKFLFMSVINHDEMTKEIAKAYSMIADLLPRTDFTLIHYPTTAMKEAIAQLYAH IILFTSRAIRWYKKGKISHAVGAVARPWALNWKDSVDAITEHSRRVESLAKVAAQAEL RDTRLEVKVLRSEVQSLSAASTTAHASMMRLLEDLSLNGKQVYELTYKTEGLVQEIKP ILQTQKKEITNICLSTLAALPWAEDIPSPKLTLAFCSSMRRRRRAPALKLPELATLNS WAISKQSTLLFVTS LY89DRAFT_598553 MRGINWWKERRHTSHSGIPTASRPATAWNEQKTVEQAILAAFES DAFKAAIASQLDPTIAKLNQIKASNLNLESTLQTHIEDQNDHLTQISTAQQKAEDNLP IALETIQDHLTSSERQLEALFAAQTRTEDHLPDLLKHSIETRFDGLESRIEELDRKID NLDESMTNADLRSAIRFGELSNELQDRNTTLGDRIWEVQRDLGKKVDGQQRRLLGASE ELGKDVRNLGDTLESMQEDSSKAIS LY89DRAFT_314334 MNTASVSTMSSIRPTINGLAKSSKPILWKHPDPDSTRMAEFMRR VNQKYDLDLQTYEELFGWSIDQIGDFWTEVWDFSGIVAEKKPLEVLPKDAPLFPRPDF FAGALLNFAENLLYPANVSIDPSSAAIIEATEIGNSTISWNELRERVRLCAIAFRLHG VKESDRIAGFLGNHANTVVAMLAAASIGAIWTGVSPDTGVTAVLERLVQIGPKVLLVD NAVRYNGKVHGSYQKVKDIVGGLRGLAACVIFETVKGFEMKTAGLDPDNGKAWTYAEF IQSVDNPAAHLDFNHLPPSHPLYILYSSGTTGAPKCIVHSAAGTLLQHKKEHILHCDI RPGDRMLYFTTTTWMMWHWLVSALASGATIILYDGSPFHPHGELSMPLLIQDLRITHF GTSAKYLSILEQKGVRPMKGPEKVDLSSLTAIYSTGSPLAPSTFSYVYEAFPSSINLG SITGGTDIISLFGAPCPLLPVYPGEIQCKGLGMAIEAWSPDGIAVGEGQEGDLVCVKP FPCQPITFWSSESSEKGQTKYKEAYFEMFTGVWHHGDFIRFEKGTGGLMMLGRSDGIL KPSGVRFGSAEIYNILLKHYPDEVEDAICAGRRRDTDADETVVLFLKMAPGKICGDGL KNGIKAVIRKELSARHVPGVINECFDIPVTGNGKKVEIAVKQILCGMNIKVGASVANK ECLEWYREWAANH LY89DRAFT_314323 MSPAEVGRDDERYDSIPAQNAWRTAWESNKGAALILISEAFGSS SDAIVRFLQQGGHGMHPFQVIFARMITTLLLSGLYMWWTKVPDPFGHPSVRHWLALRA VFGFSGLFCLYYSVHYLPLAEATVFRFLVPIVTAWACSVFLGQTFTRKELIAGLIALV GVVMIAHPSSIFGSTDDIKTTDIEKVAPAQRIFAIFTSVLGVFGAAGAYTTIRVIGNR AHALVSVSYFAMLGTVGSTVALLVIPGIGFKMPHGAREWVLLSLLGIFGFGLQFLLTA GLQLDRSSKATSMMYTQVLFALSFDWAIWGVLPGGWSLIGGAIVIASTLWSALQKTQP NAGQISKAKEADEESALLGEQQDGVAEVVRRGSVSA LY89DRAFT_314636 MAGGFVGTADTARVEAPTTWKSYMMCAFAAFGGIFFGFDSGYIS GVLAMDYFIHLFTGLPIPGPNATTAETNAFVIPTPHKSLIVSILSAGTFFGAILAGDL ADWFGRRTTVILGCVVYGIGCILQTASTGLGLLVAGRLIAGFGVGFVSAIIILYMSEI APKGVRGAIVSGYQFAITIGLLLASCVDYATENRTDSGSYRIPMALQLAWALILAGGL LWLPESPRYFVKKGKLEQAARVLERLRDQPAGSELVQAELTEIIANHEYEMSVIPQEG YFSSWANCFKGGLMNPASNLRRTILGTSLQMMQQWTGINFIFYFGTTFFTQLHTINNP FLISLITTLVNVCSTPISFWIIERFGRRSIMIWGALGMLVCEFIVAIIGVTDSSKPAV SAMIAFICIYIFFFATTWGPGAWVVIGEVFPLPIRSRGVALSTASNWLWNCIITIITP YITSTAGGGVDLGPKIFFLWGSLCTLCFIYAYFLIPETKGLTLEQVDRMLEETTPMTS AKWVPHSTYAAEMGMTDKPAEVHHVEIEATKEVV LY89DRAFT_676308 MESRWSRWSVWKGWKLEARGSRQVTACPPRAELNVSGVVPLRNN GMGPVFLGASGFRGGFCSGLADSGDRPLSTGGGGESWSQIRRMRTYCTGNLGEVGLWT VDCGLWIVDCGLWRLGSWNAEVRRNLEKVEMAVGTVWGSGSGSGRAPSLPGTSCMGSA TLRLYRPSLASASLAKPSQAELNVDRLYLTPPTKPHFAFWRLSAPLGTRLRSLADVDA ADDPDPRLPESRVTWQLTFEEHIARYRDQQLIIKEDGSAQGQKFALFKIIAMSQVAFL PDSSNT LY89DRAFT_690502 MLKQFKGEVVYNQEVDKHFPHLTVGETLEFAAKVRTPQQRLVEG ISRESWAKHMSQVVMTIFGLSHTYNTKVGNDFVRGVSGGERKRVSIAEMALAGSPIAA WDNSTRGLDAATALEFTKSLRLSSNLGGTVHLVAIYQASQAIYDEFDKAVVLYEGRQI YFGPCEDAKQYFVDMGWECPTRQTTGDFLTSVTNPSERRVRKGYEKRVPRTAAEFETY WRESIQYKALKQEIKEHEEEFPMGGKTLEQFTASRKGMQANHVRPESPYTVSIPMQIK YCTQRAYQRLWNDRTSTVTTIVGQIGMALIIGSIFYGTRHDTASFFQKGGVLFFAVLL NALISIGEINTLYNQRPIVEKQASYAFYHPFAEAAASIVADIPVKFMIATCFNIILYF LAGLRREPSQFFIFFLFNFVAILTMSQIYRGIAASTKTVSQALAIAGVVTLAIVIYTG FVIPRPDMHPWFKWISWINPVAYAFEGLFVNELHGQRFDCSQLVPSGGNYALTGNQFI CAVAGAVAGQTTVSGDDYLESQFQYSYAHIWRNLGFMFAFMIFFLFVYLGATEFNSAT SSSAEVLVFRRGHVPKQLVVAEKAAKNDEESPIASGTNAGKDDAEQEKEQDEQVQALA PQTDVFTWKDVCYDIKIKGEPRRLLDNVSGWVKPGTLTALMGVSGAGKTTLLDVLAQR VSMGIVTGDMLVSGKPLDESFQRKTGYVQQQDLHLETTTVREALRFSAMLRQPKSVSK EEKFEFVEDVIKMLNMQDFAEAVVGVPGEGLNVEQRKLLTIGVELAAKPALLLFLDEP TSGLDSQSSWAIVAFLRKLADNGQAVLATIHQPSAILFQEFDRLLFLAKGGKTVYFGD IGHNSETLLNYFESNGADKCGENDNPAEYMLTMVGAGATGKSTKDWHDVWKNSNEAKE VQNELGRIKQEMGNKSSGDDATSHSEFAMPLSNQLYEVTLRVFQQYWRTPGYVYSKIV LGVASALFIGFSFFHIDSSSQGLQDAIFSIFMITTIFTTLVQQIMPRFILQRDLYEVR ERPSKAYSWKAFLMANIIVEIPYQILLGIMVFASYYYPIYTSGGIPSSERQGLILLLI IQFFVFTSTFAHMLIAALPDAETAGNIGTLMFSLILTFNGVFQTPQALPGFWIFMYRV SPLTYLVSAIASTGLSGREITCASNELAIMQPPAGDTCGDYLQAYASLAGGRIYNPDA LSNCQYCPESNADQFLTSVDISYSTRWRNYGIGFAYIFFNIFMAVMLYYLFRVRNGSG KGLAQRFSWVLAFFKKDPKKENKGPEKAKTPQDQGEKILP LY89DRAFT_788365 MEFLDLSKRRTRNSSIPLAQYPENTESESSREVDAFLGQRVAQI ASDARETKPGRGDKVLDEHGQPAAPLFRSWFVLILVLSYAIPAVLAWVITCILSFRPI SGPKQYGVIYDPDSSYYGGYAEVGNSYVRSEEWFRAARVIQSIVSVLTIPLTSAVCSS AAVIFVQHHRSTPRLTIRQLITLADRGWVGPGTFGRLAISWRRYGSSFLLLAIFLTIL GGILSPLQQLFLSSKTIKTPTFFQSVNSLFDIADPFLSYYQDREDLVTTVTRNALMSA TNGEPQSQLWQGSGTSCNMTEIAALVRADSFTLPANCGNGNTLGNISSLADPFLAQLP NGYSTGLIRQYIPRFNSTARYENISATEYPSGCSQIPGAFYVQYTYVTQPNTTDVAGW NVEACMPTDMSKSPWMETRDRQDFTETLYLNISIYGGLTQSGTPVGGSMFRITLDTTG GYFELPNYMNGQVPGSLLTTIPNNMCGAGTDCLTEGSTTAPNGTIYEIFGPYDPNDPT TGNTKRDSSTIYNTKESNSSASAEMVVNKGPLLTTALALFGSGSFLADRIAHPEAYIG INYTRASDTGIYDPANVGACIDLFPMGLLFAELDTSNGGASSCISNTDYGSNGDDRLG TVMASWINNFLGDTGRLSSIFTAAGFLANQAWMSSNGISSGSLIIHFDFGADTQVPTI SHAGIIIISTLLALYLVSLFIIALYTSLSPRWTNQLDAFAMMQIGAAMSDKISLMVMT RQSHTNILDEAPGWIGDVADEHEKVGRLGLGARRRLDPKRLYGCDTWVD LY89DRAFT_314971 MSTPPSHARPSHTILTPRLILRSASPNDARPFSLIRSNPLNNPF GGVVNATLPESEQRRRLAAQAETTAAGKNAWMNIILRPSEERPPEAEVLRVEDGILTG MSGFNSFLLDEDGDLVGDTGALIDYRFARKGLAVEALEAIFEYGFNELGCGKMSLETN ATNEPFRALMGGMTLGDIERPGTGGEDGEDSVLYIFGREKWEETRKNLKEKGKWYL LY89DRAFT_763398 MPQHEYIPTKYVLLQENGHIEGSQSTDVSLESTDAETRFQFSFQ ALRPGLFRTTFSSKTHPLPPHPSTHQPRADFSGVKPLSESCQTKKTISVGDVTATVEW DGPPLVSLQLAGQETPIHTDLEFRSYVVDAAGIAHYTRYKRDTLHVGLGEKAAPMNLS NRNFILSATDSFGYDAYRTDPLYKHIPLLINATPNGCVGIFSTSHSRGTYAVGSEIDG LWGHFKVYRQDYGGLEEYLMVGKTIEDVVRIYADLVGYPLLVPRWAFGYIAGGMKYSM LDEPRACDALMDFAGKLKKYDIPCSAFQMSSGYTVAETEPKTRNVFTWNHHRFPDPEG FVAKYHEEGIRIIANVKPYVLANHPEYQKLVKSGAFFTDPRTQKSGIARLWSAGGGES GEGGHIDFTSKSGFEWWYNGVKALRECGIEGIWNDNNEYTIPNDAWQCALEYDESSSV TENHIGLWGRSLHTELMGKSSYDALVDLEPEIRPFVLTRSATAGTMRYAASSWGGDNV TSWDNMKGANSLSLNAGVSLLQCYGHDIGGFEGPQPSPELLLRWVQLGVHSPRFAINC FKTDENDNTIGGVIEPWMYPEITPLIRDTIKRRYELIPYLYSLMLESHMMASPPQRWT GWGYHSDPEVWTSQVMAGEEQYWLGDTLLVGGVYEEGKSTGKMYLPTKGADDEGYLNL NGPHQHLKSGQWVDIQSEWKAGIPILARIGGAVVVGKDIQTRSPGDHRFPSPNAVEDD YRAVEIFPPKGTSAKTYSYTWYEDDGISAKPQISHFTLRYSSNAEQVLVDLSKDTENK FVPIWKDLSVILPVGDTRSVVMASLNLPCSKCESSRGRAVFRIVLG LY89DRAFT_788368 MDPSSSDQKNNVETVEDTPKYQAFETENLANKDRAAELLQNSHE RIFVSEADNKRILRKIDLMVLPIMLGVYFLQQLDKSTLSYASVFGLVADAQLKGQDYS WLGSIVYVAQLVMQPIIAYFLVKLPTGKFAGVMVFCWGVVLSCMCIAKDFKGLLAARF FLGCFEASVAPTFVAITQMWWRRGEQTNRNASWYAMNGVTNMVGSLLAWALGHIHSSA LHSYQIIFLACGLLTVLFSVIVFFFLPDSPVDAKFLNDHDKFLAVERLRANQMGVVST VWKWEHVTESLLDVKTWCWFALIFSISIPSGGVTTFGPLIVNSFGFDSFHTILLNIPF GAVQIIATMGGAAFATWTKKKGPALLLLCIPPIVGIVMLLCLPHDKANRGPLLVGYYL ISFYPGISPLIYSWSSQNTAGETKKKTTTAMLFIGQSAGNIIGPHLYTTAEAPLYRRG LISNLCLFIILIILIIFTTLWLMFLNKKHANKRQQLGKSAVVVDRSMMNAQERAVSDE VDEGTGREGGEKAFDDETDLKNEDFIFVY LY89DRAFT_314986 MNPLIPLPALADPFYRNALARQALLPRTWPLAQCTTHGHGHCPT SCYPRDIHSHNHHMTSPFNSKHRCRSRGRDRDSCSRERSCSCHRCRSRERSCSRDRHA HSRCHSRERRSCSCPLSDRCSCSLETFRVKVAPDRRDLFRSDTSSDIIIPFGWQVVDI YDFIEQRAGMGRDFEVYIEMRDGTRIPLKSCSVTELYLLHKEGQVDGLVYERPLGLGM GVGLGVGGLLGRRGLGGLGLVD LY89DRAFT_690506 MQVSKKIPMEDPNVLMAIRGLYVVSNLIIISLYAYVHLKINKKK DMTTLKYVEQPQIGSTEEPKLVTTTVHAYDVAQMKTLYKAQLMGVGMMCVMHLYFKYT NPLIIQSIIPLKSALEGNMLKIHLFGQPASGDLKRPFKVAPGLMSSLQGGAAAQSQDK KSIAAAEKAGRGGVKDE LY89DRAFT_540787 TPPARAVVPQQDFSPPTPEVKRRIEENRLKAKALRVRSIAAQEA AARTPSGFLAEDVSSSGRTRSQAAVLSTNVPESSRDARNTSTKDDGIQPARNFKKYVD HDFSKMTDTKGGFLSVDDDPFNKALHAPKEGEKPAHMTLKEWETHQTLKSLRQRKEGL FEPGLGLGAKERGKKCRECGGLEIDWLWEDTFKCEICHRCKEKFPEKYSLLTKTEAKV DYLLTDPELKDVDLLPHLSKANPHKSHWHDMMLFLRYQVEEYAFKTKWGSAEALDAEF EKREGDKKRRKEALFRNKLRELKKKTRTDAYRRNARGGGKVGQFGDRIGSGKHEHEWG QTVENADGMTVKTCVGCGMEVEELEL LY89DRAFT_690508 MAWPSQSGMGLGSNGGGNGGGAEGSQQQVNQPQGTEYTLQGVMR FLQTEWHRHERDRNGWEIERQEMKGRIARLEGSTRKSDTSNKSLKKYITMLEDALKKR DEQVKALKAGNDVQLKESIKEGKAKESLAVKRDRPVQEKPHNSFLDTEDGEGEEKPED DPDRSSLKGFMDKTQGELTYLMVSPSNPLPPRDAPDEILLQPPSFGNPTQQSLEDMYQ QQARQKNMRESSLSRPSPSPNHHPPPVPSAITIVERLSRGSEPPVSRSLADQQPLPTA SAQEWSSTFQAADQVPEEQVTKINHSYDAYGREINAEESEPKPKTEADGWDFNDAAEF PEPEVKPTPQRPDTDLFPIAQEPPKSPNRGPGSHRRKGSMSRRKSADHELSLNPTQKI DGGNFKVRFGLRGHLDAVRSVIFTGGGSPGEPELCTAGDDGTIKRWILPARYENTGGM HTSSNDLDVQSYFTHRGHAGAVMSLTSWSPSQNFSSGGRAQGDGWIFSGGQDATVRVW ERGRVDPKATLDGHTDAVWTVCVLPGTTGTVFGQSNAYGGPDRILLASGAADGTVKVW SVSAPPQLMSPQGGSARRGGRVRGNSMSSGSAFPSSPQPSVASNSPFSHTLIHTISRA NSTASPTCITPLSASGDAFVVAYSDAAVLVYDTRTGEEVAAMASLETYNNTVGTGVNA IVATTNGLDGSLSFDSGRGLAEDDSVVGGATGTSGGVEGIIISGHEDRYIRFYDANSG QCTYNMLAHPAAISALSLSPDGRELVSAGHDASLRFWSLEKRSCIQEITSHRLMRGEG VCSVTWSRDGRWVVSAGGDGVVKVFAR LY89DRAFT_690509 METFPSTDPSPAQKKRRIPKACAACRNSKLRCDGGKPCTRCRNA KVWCDYIQPTPDPVQEKLAQIEAQMEALRSRVPDMPTAMALLDLQRRQEQVRDAAQSS PAYGQPFQAVASPVSMSESHTSNPRQLQYMDQGIPSPRLYESSTLKRKRGDFELNAGM EPDIISKGLISYDDAVLYFTTFFQGCDKYVPIFDPRYDTFNSVRERSSLLFDVICAVG CRAEQGPGSEQYQLLSNATKGPLCDVILGAVPKSIETVQALVVHASYSEKGWLLTSMA VRMALDLDLPTSYTKLSDLVLQGEDHDREDESRLMRETRVWFGTFVLEHILSIDCGKR PGIKAIDGMRRCRVLFNHPSRTALDLRLLAQVELNSIRALAHERLSPTMSDEEMNEIV QETRIDLSVWISDWSNLIVSNVPTEEERASLVVNLKIQREWSEMTMLCKGLQGMGINN VAIMSDSQRNLIQLAKSSAQRHLSTIISNPKLYLGTFRYGMDFVWAKCAFSVLLLLKL ARLLPNSTNMSSLVSDAKILLSHLSKVRGSSNIYYRILCLSVEKCEKALGGNGASQSP EGENAMDAELDFQTYVPREFILEWNFPGLSFCWIPFDFQDLFLDLGNGFES LY89DRAFT_562313 KVPTYEYYGFVLYLFSSLTFLMYLLWSYLPSPFLHALGIYYYPN RWWSLALPSFLVMLLVYIYVALASYNTGYLTLPLSSIETIIDDAANVVTID LY89DRAFT_701499 MRLTGAAVVQFTFCAAAAGTEWSEPGTAQFDFRSDVVTRPTLPM LRAILETTLQDDVYREDKTTSSFEQRMAHLTGHGAGAFVLSGTMANILALRTHLTQPP HAVLCDFRSHIVTWEAGGIASICGAMTQALSPSNGEFLTLEDIQKHAVISDDVHLCPT AVVSLENTIAGLVHPLSELRRISNWSRNNRLKLHLDGARLWEAVAAGAGSLKEFSTCF DSISIDFSKGLGAPMGAMILGNAEFIARARRVRKSLGGGMRQAGVLSAAASSAVDETF GSVINGKRSGERLREVHSLTKEVARMWVRRGGRLSTRTETNIVWIDLKHVGIGSAEFN HIGQKHGVKLSGKRLVLHYNISEEALNRLGLVFDEVFTRDRTVCKNRKETQA LY89DRAFT_724503 MNDKASTFLIVELEQGHDLSHSSLSSVRLTDSSIQNTSRIILER LGLRVVEDGYVKWREDASLHPRNWSTSRKAFDILLVLMLDLFTLVSVFLLWYIADHIC STAVSSSGPSVAEVARLEFGLSRTVCLLGFGSMYQFGQAFGGVVFPPYSEAFGRKSVY LSASVVYCLSCIIVACVPSISGPFVGRFISGFVSAVPSIIVSGSIEDMFNMQQRISMM YIWACATTGGLFLGPIYGTYISHSLGWRWVFYLASIIMGGFTLLLFFIRESRPSQLLS RQLALLHDQTGSTHFRIFNPDHTPDLRTFAKVALTRPIRLFCTEPIVFVVAIMSSVGW ALIYFFTEALPIIYSNFNLSPDQSSLMFLAMGVGISIGILPRIHDWRKLKQRIVDQKP LHPEDKLIGFSFAAPSLAFGLWWLSLTVPPRSHFHWSVTVVGLIPIGFATNEFACTLS GYLGDTYTIYASSAFAAMSFLRAILGGVFPLFGRPMYVSLGSNTATMIIASLATVFCA TPILFTRYGRAIRKRSKFASYSLEVNNETQIHADNIE LY89DRAFT_741246 MAGGKGKSSGGKSSGGKVGADSGKKQQSHSSKAGLQFPCGRVKR FLKNNTQNKMRVGAKAAVYVTAVLEYLTAEVLELAGNAAKDLKVKRITPRHLQLAIRG DEELDTLIRATIAFGGVLPHINRALLLKVEQKKKTKIEV LY89DRAFT_788376 MPTRPWRIPLPPTRLQNSSESPTSPASPLSPPSSTSPRSPKGPL TAPPRLHLRTIIPSPPLPVEYLKTAPSAPYPWIWRCHLCGSIYRLGATRRCLEDGHYF CSLPSPPPSPAVPTSEDFFSVLHSSEPSSKTGKEIIADLAANKKRSKSRRRKGTRGCR SEFDYAGWSKYNIWRREVAVLKREQKKKFLTRSLAEGLREGIATREKAERSWKGRDCW KDCDFPSECHNERKAEREWERQMKEIRESEERWARENEWNEEGDAGRPDSAMGLGGED LLLESIELDGLEDKKAPGDISEEVVDGTENLGPLGTDCDGLGEQVVQAEYTTKRRKSM DAAMASDSPPSSPLKECSFGFENPATRCQVWSSAGLCSCAKNGEEEEYSKDEDGDVQI KEVSMAKSLLLRKAEKDVADETFGDEDVEVL LY89DRAFT_724507 MKTSWLTILPVWLLGTIVTASTPLQYCSVDAEEKTDLCFAVASF KNSTTQANDLSLHISTKFVVDATGWASVAIGPKMDNALMFIMYPGGEQGRITVSTRTT RYHAIPKVVSEDAPETHVTKTWIDDTGLYNAQIMCYNCESWSGTTLDVMSPSQPWIWA TNWDQPTHSDDVHLKLRPHNAKGFTMLNMASSYSESGDIIAQVSTQRITQEPNTDDAG PAPPTMQDESDDHHPAKEHHKHSAFGLVSLHGFLLSFGFFALTFGVLGIRSGSSKSYK IHWTIQTGGGSAIVVGCLMGIYLSYKHGGHFGTFHQWIGLSMIPSVIIQGLLGYLHHV NYLKYGRRTAVSYYHIWLGRAALIIGNLNVGFGLNLAGAESFKWFTWYAGLAIQLSVL IPMWYFYARGKTILDAVRNKPVAKDVVRYESVEQDAFIVDDELDEFEDEGLGHDDLKN GKD LY89DRAFT_315300 MLNILDITAPMSKTISEFGPRQNDQLHQEVSSITGFSHCLKDEG ENILSSSPAYSLNTLYEDYFSTPNCEQTDFAWDSEDGWHQICNLCLHERCPDCEGVIF TSVTDFQDVLTFENREIWICADCKHGFCLGRRLKLVSLCRSCGHEACAECLGRIDEIH HRPACCKCLKGAEVEVDKGV LY89DRAFT_598871 MASTEPYTLYYNKWSICSQMVQLTFAFRGEPKDAGSQMTIEKKS IDIMNGEQLEEAYLTEINPKGQVPVLTHPSRLPKPIADSLDITYYITTQYPGLVPESN KDQLIELLKELHRINFFSLSFGSRVGAVNAQKTAVEAKLAQTDISAKYRKALEYKKTI IRDEKVNGVTPEEIETQKSNTTTFLDKIAKLYNPGSGPWLWGRISPTVLDVQLAIFLA RLHDVGHAILIPKHLLPFYSTMTETNEWKDVYQGRKTMFGV LY89DRAFT_558811 STRQSKRKATQEAAEKTKTAAKVQARKRAKKASLSFLPSSDEAE NAPNRLGNLPREIFDEITSLLEPDALTCLSLTCKPILNVVGRKPWTECHTKRQVYYDR TSNFRRRLIPLLHRDAPHMIFCAWCNTLHPPLKPPREHRKTKLTKHCFGQWSTIDYLK GYSLLWEHIEEARENLVLESTDEIGFPMELLSGNYTVQHERLNYTLTSSGRQIGENLI LKHQHIFRGIKAQSPLQMKNIMALPVRLCPHQTTSTDKPEPNRYTNGRLPSGLLTHSI SREIPSSLRAGLPSPHLFRDATSSEKRQMDSAVPGTKALWTCRGCPTKWHVQYTGAGG RELKITAWHSFGDTEYHARQYWKMLVRREVANLGDEKRNSEFFSTTKQYLDF LY89DRAFT_598823 MEHGHLKELEVDVSRILREEGIEDIDGDESPYPEVRAVVPAVDD QAIPVNTLRMWILGFAFTMIGSGINQFFSLRYPSVHIVALVAELLAYPFGVFLAKVLP IYTINLGPLGKWCINPDRHFNIKEHTVITIMSNVSFGFGSADSTNTIQAAKAFYNFDL KPGFSVLIVLCCQLLGFGVAGLSSPWLVEPATIIWPGVLSNCALLSTLHSRANAIANG WKISRLRFFMYVMVGAGVWYFFPGLMFTALSYFTWVCWIAPNNLVVNHLFGMVTGLGL SPITFDWSQVAYNTNPLLSPSWAAINVFVGFAVFFWIVTPGLYYANVWFLAYLPICTA DLYDNTAQVYNVSRVMNSDGTFNIPAYEAYSPPFLPATFAFVYGISFAALTAVPVHIY VWHGTQIREAFAGRTKLDIHARLMRLYKRTPWWWYGIVTAIMVVISMVMVHVYSTGLP VWAIILATIIPALYMIPCGIIQGITNVDANQLNVLAEFIGGYMFNGKPLANMIFKVLS TDVVGQGLYFAQDMKLGHYLKIPPRTLFFAQGSATILGALTQTGVTLWMLGNVKGICD ADQSNGFSCPNGRTAFSSSVIWGAIGPARVYSIGKIYSGLLHFFWIGALMPVVTWLIW KYWKRADGTSREWISLVNWPLIFVGTYNVPPATGINYSSWALVNIIFNYWIKRKFFAW WTKYNYVLAAALDTGLALSAIVIFFCITYPGAVFPDWWGNNVYLNTADGQGLPWLQLP ESGMFGPPNGTWH LY89DRAFT_690519 MTVVFGIFGIWMVLLQTKSTCVDSLCASNTRLDIFCTTVLHCPI VPRGNATFQARKLCTRHNSYNANAKTKAESKANDQLTIFPEAPLVGAVVEDVLDPFEE EVLEAVPFEAADDTELVNVVDAPVEEDVLEKVALGVDWTTVMVDEPDVVVDTVPLPVA DVDAELDGEATELVTELLVVLEEVSPPPVMWNGNDSWNSVIGLPSRVRFKP LY89DRAFT_690520 MYLSTIALFVLSHIASVRAQVPTEGFDVLTSPPKDSNFVAGGIL PIVWTAGTSSGTVSIVMNGGASPSTLQHL LY89DRAFT_690521 MLGSSFAVLASLALSVLASTTVQVRSANKSLSLADLALQKVLDD ASPIFGYYVQNKTSTSTWMKSYPDSTKIVHMNLPGVHDVQTWNYSLATQEALDHVTNL DGVTVYPPEIYRCQEQPIISMLNAGIRVFDLRVAFDPTNSTLVFWHSQALQSETATMG DVLFGFYKWLDDHPSEALLLSFNYEGSTTAYAQDDAAVQLAIYNTLTTPVAKKYFLQA QNVFGTLGEARGKITLLRRFDLSALPSSYSDSIQGIYFSADQWIDNDPDITLIYNAAQ NLTAYIEDFYEPGQPYGQGAAYNIERKYNATTTHIIKATTQYPDSLFWTFASSENDSD NPIETPEIMALGNGTDTPLGGVNQRLIPFFKEQEGKRVGIVMFDFFDQPGDLVQSFLD I LY89DRAFT_315797 MSRFSPDRVQTGPRSQSALTMAFQRFTTMLLHDRTAPIITAFAS IVAFVLSLLALLSTSKQGGLIQYDLVMLNTSTIFQNAIKVETAGASGTSSASVAARAA NVPYIPAPTAAPVLHGRDPLSLPSPSQVSSFFASIGSGLSSAVSPPQSTGTASTSSGT DSNGLLGDLESFFNALVGSATGAIGDEVVQVVNSIVADVTKALGVKDWYGLYMTGFCD GEYTSSDGMNTSSCTQYTDLHINSTNSTVQLGTTTLDFSALNIPAKLSASGGQIKAVL KTVLALQIIGIVSAGILIILAPLEILFSFFRRWLVHLVIGCLAALATACFAVIAFAAT AIQVAVSSLVNDLGDGLGIEAYSGGNLLALVWISYILMEYAAVLWFIRWFIRWHAHRY VRREKGLGPSQRPLVRTAESRFIR LY89DRAFT_690522 MHFATVAKGLVLTKSHSHTHSDSTPADSTPPSAPEPVPHKINKI TTLPEFEQILTDHHHRRVIIFCVTTTYRDPDVDEEGWYHHYEKLNDVHFVRVDLDESE ELEERLKPRVRPCWFSFHKGQETGWSSGGMKRFLQMHSERKGSH LY89DRAFT_315805 MFYSALAIVLSALPLAFAQYGNDPASTTSSSPASVSTTSSGATV HVIKVGDGALAFSPNNITAAVNDIVEFHFYPAKHSVAQSTFADPCVPLTNGTSFFSGS MSTTSGVNVNTFQLTINNTAPIWFYCAYPGHCEQGMAGVINQATTGTKTLDAYIAAAA NVNSTVAPSNVQGGVIAAALAGSSTGTSSTTGTSTSTSSTSTSTSKSAGVETKGAIRW MLLGFTGAVAVGVGSLMV LY89DRAFT_598578 MTAIVDEDVSSSDGDDALKLAGTHAHHFDEKYYARLRRKIDLHV MPLLVFVYFTQFLDKNILSYASVMGFPVTGIWYNDIAQAFYMGFLVWMFPTQYIGQKF PIAKYLGVHIIIWGILVMLHAVCHNFSGFYALRFFLGMLEACVSPSLILIVSMWYKQN ERASRIGWFYAGNLSTSIVGGAVAYGVTFYKGAIAPWKLLYLILGVLAVTNGILVVLF LPDSPVTAGFLTEEERIAALERVRLDQAGTHNKHIKKYQIVETFKDIRTWIMFIIIMC IGVPNGGNSAFSNIITKSFGWTSRQTLLLDMPRAAIGGFAVVAVGWLSDRINDRMTLV LIFTLPTLIGMIIMTTMQYSGKKGVLEFAQLFQNLSAPAFPLCYAWNASNTGGHTKKV TVNSFTLFTFGVGSVVGTYIFLPQNAPGYIPGKAAVVVLTAVMMVCAAVMAYVNVRLN RQKKVVLEKLIADNGWSEEDVEREREKTAFLDLTDKENVFFMYTR LY89DRAFT_701506 MVPRLTSWLLPAVLPCATLTQAWSTNYNLTEKCSSLAASISIPD VTVNFAQFATYNLSTCPYPYQVVFNDLCRVAMRVATSRRSEITLEAWLPTNWNGRFLS TGNGGISGCIQYIDLAYTASFGFASVGANNGHNGTSGISFYENPDVVADFAYRSMHTG VVVGKKVSEAFYGKAHNTSYYLGCSTGGRQGLKSVQDFPEDFDGVIAGAPAAAFNNLT SWSGHFLTILGNATSPTFVPLSMWPVIHQEILDQCDGIDGVVDGIIEDPTLCQFRPEA LQCPPGSGNSTNCLTSLQVLSVRQIFSDYYGVDGALIYPRMQPGSELIAQFLYYTGTP FPYTTDWFRYAIYNNPSWDPNHLTVQDAAHAAHKNPFDIETWNGDLSAFKSRGGKLLQ YHGQQDPVISSDNSPRYYDHVSTTMGLPYSYLDDFYRFFRISGMGHCTGGPGAWQIGQ TYGGAAGNPLLPQDNILLAMMAWVEQGIAPETVTGTKYINDTPSLGLNFTRNHCRYPF RNTLIDPARYQEADAWECK LY89DRAFT_676334 MATASESTPLLSEPAQAVEATDSAVDSAPQTEDEATLPSSAHFQ RPRKLLTILALICSIITGSLLIAIHVMLTMHVFSFNFWDQMEAINNMGFLIFLSLLFA PINLIWNFPVVFNILLDPYLAVSIITWASNMISAWPGDIWCVERDYYGKKPDLDKGPV CHSMLLAVRIVIGIAAGFAMIVAVCHTVLFLLRIVALWKTKFWKRPMPFPTGSITLEV SIKVQRQERGEVQPVVAS LY89DRAFT_657996 MKSGIIIATVLTGALAHPVVDHFHTWSPPGPGDVRGPCPGLNSL ANHGFLPHDGKNIDLNTTIAAFANAINGASDFATSLFMGALMTNLRVPNPTTFDLTDL DNHDIIEHDASLSRGDAYFGNDHTFNKTIYDQTTSYWTGETIDIQMAANARAARIATS KATNPTFNQTYMDNKGFGEPTAYFMALGHQVPVGNETTFGYTYEVKRSFVKYLFEHER LPVKLGWRPSSTLLTLTEFGNTMHLIAAASPPGTVGLKGRGLSIHGSNQLLSL LY89DRAFT_315829 MFSTLAFVGLISAVSAHGTVSAIVADGIFYEGYNPSYQYITPAP VTVGWKIPTDLGNTFIPPDSYTSSDIICHLAATNAQAAAPVKAGGKVELQWTPWPTSH KGPVIDYLANCNGPCETVDKTTLEWFKIDGVGLLNPTSMTDGYWATDVLIANNNSWTV EIPPSIAPGNYVLRHEIIALHSAGSADGAQNYPQCVNLAISGTGTANPAGVLATTFYT PTEPSILFNLYGTLSNYTVPGPALYSAAVSITQTLPAAPTASASGVYTQS LY89DRAFT_741261 MSASIWTQSNHDSSIFSYGESYLSSITQWSTETPDKLYHELSNG FIHDEQQQKPNKLIGRPPNRWTTTRLRKLVKLYLLTELEIDGIIDSLRTEDFQPCKRN VQEKLKVLLQAQPRTIRPKSDANRERRQQLGRRRCIHRPGSNFTTIKNLDFVGTVDPR ELSWERWHATHTNRSPTDVDFAWTPNTNLPTTTSNLLAPQGYQQSEDFISNSERFESE SSQPGTEKPMNFWNHPQTLIPEIGFDSNFGLAPLLPSSNSNHLSSISEYSSPMMHDAE NASAFQHLNNSRTRGTVSSPSPRLSPPSERLAINSTYTADIPDDASSFLSMTDSCYGF EAMSDFNSQANTSDLVSVDTLKGSNIVLCGISPSDNDSHTSNELGPQMSDPFPSTSSI STLLSKVSLTPPSSDTSSNSSEPLPGRLECPKLLPGAFPRYCWQHICRDTLRQCAGSL DSKQCKKKRISEGKSVYRSFSVDVLARISQQQVKSTDVDEIDAFGNSILHVATTTMAS SKYLISLINLGANVNALNNAGQTFLHPFKPEEMMQKADFCSLLEVLTQKSFNFSQLDH LGQSPLHLLMRPWIAASILRQVIMTLDTLRIHSQLSTARDCLGYTIVGELNLQESSES WGENEPSARNYENHPLIDTIDDLVHYEEHVDCWRTIISGLESPWFEDLNGRNGLHCIA EAVLVSAQKPLPERLMAKLNSKGLAQCDRTSIVNALLIAGVDSNNYDKRGNTPLMAFI IHSSQAQSGEQLAHALQTLLKAGSDHSRRNRQGETALHLSVKLGCRAATEVLLAAGAN IHARTQDDVGILELGQKHARKHKQDGELYAQIMLCITLSASFGAVAAPTILDEWGSRC KLEVQGHCEKKSTGFAKIKNFIIKKARWKHAARR LY89DRAFT_315866 MSTATSPPVLLVIDLQQGLVEAPPENPRSTPNLIPNVEKLLKHW RSKAWPILHVQHDDIYDESNDISASYPQTFKIHASAAPIANEPVFIKNVGSAFVGPGL PAALEKLGKRPIVVMGMDGRECVNNSTRHGADLGYEIIVVWDACATYGMENLKGEPVD AETAHEMAMAMLASYASVENTEKVLELFQK LY89DRAFT_701509 MAIGCRSDTASISSSLFQHVQENGRTYHKYKEGKYLLPNDEKEQ NRLDLQHQLCKLSLHGRLHLAPLKDANLKHALDFGTGTGIWAIDFAEQYPNCKVLGSD LSPIQPEFVPENCTFEVDDLEDEWIYPQPFNYIHSRLMVFCLRSPISTIQKAYASLAP GGWLEMQDTCAPLYSIDNSIAGTSLEKCYDMVVEAAKKVGIDSTAASRYKDMMEEAGF VDVQEVKVEWPIGGWAKSTYHKTLGKWFRADLETGVEAVALGLFTRVLGMKKEEVESF LVDIKKDMDSKHVHAYQPFYIVFGRKPE LY89DRAFT_690532 MPAYNSIFNADSSPPSCTNIGNFPLLPLRTKVRGPAYTLPPSSL AAHLSPEPDSESYDALDEVLGLFRANTFFRNFEIQGPADRLLIYGILWVSECLGKIRS GMGAREAQKEVQNIALDTNFAIPGDPGFPLNQMFNPPRDRQEAETLKQYLGQLRQELA SRLLARIYEDGSEKPSKWWLSFAKRKFMGKSL LY89DRAFT_676341 MHSLVHPNAWVCLRLPSDTLKIIQVVPNTIVSLGKYGTFSSNLI IERPYNLTYELLDRKPGHKDSELRIVSAAELHEDTIAEEEAAKNPNGSDDKITIGGDG VEFQLVGENGEVIMRSNRETIDDSARQTLTMEEIEELKREGTGAGKDLIAKLMASHLG LDQKTAFSLAKYKLLKTKKYLRRFTILPVDVPMLGNWMMEEKDASKILEMRQEMVALL GSWSNVHFSEMPIDVGTGCGRWLVVDETGGLLVASLAERMGILYPDQEDEAVPDNEEE TYRRVEPPLATSNTITLIHNNAQPNLALLKYFSYNPTDSSTSPNHPLSTHLHSLSWLQ LLSPSNDSVYSTPIEPVSPETLASYRSGKRATYYRKLRRHNRIRTIVDTTLSGSFSGL AIASHMDPVGILKHTIPLLRGGAQIAIYSPTIEPLVHLSDVYSTSRRTAFVQAPPSSF TELETENERVKWQGDEDFPLNPTLLLNTNVQSARVKEWQVLPGRTHPIMTAKGGAEGY LFTATRVIPAEGRVEARGKFARKRGVEVKSEIQSEDGVEVKNENAARVEGESTSKRIK LEDGASLNGTNGAVANLEHATEESKTDIS LY89DRAFT_316597 MVCLVRASFSLRRSKGGGSAHGLAPSLQPHIEMERHQERSCTME FLGSFPYSCPSVLDPILLICRPVSLSVCWLGQHHSPWKLHKAILTRQISKSLLPRIFL CMTQVFLVLLPPTALRRIQRPYCSAKHCKPINLTGTRSATSEKAEPRFKKLFLASQGC RKSLQPWS LY89DRAFT_598535 MNAWSGEGLENNQNTQHANNSLPDLLRAGPPHGYTPSSSQEALR PQATGTNPFLNKQRTGSSNAGSATGHESSADAWGEYDTPAPPTTAPPPPPVPHDSIPP SEQFEHLPVSEPSTNPWQPALDKQATGQAPPPIPPMQRQDSGNDVWSGPPAREAPQLP PVDSSQPVLINLEEPESPAWDEDDDEPAVHEMPADNVAENQQMHEDQHAWDDQTAGHP LQQHPVTTIQHIPPTLVDDDPIQQGDGWNMVDHDPLSIGSQQNGVITGSGEDIATYAG GEQEYAPPLPPRTSQEHPPAQPPRPVVDTAHNRSTSSITSPTAAARKQKKETYEIKRI TWHDVSAAENPRVSPILVQNANGPCPLLALVNALTLSTPAHVETALVETLRSREQVSL GLLLDAVFDELMSGRRGDAAQDLPDVSDLYSFLITLHTGMNVNPRFFPPPATRTSGDI RRSMTHVHPSEREALLPGTFEETREMKLYSTFSIPLIHGWLPERDSSAFTALDRSAKS YEDAQNLMFHEEELEDKLMREGLSFEEQATLEDIATIKAFFASNATQLTPYGLDRITT SVGPGSVAILFRNDHFSTLYRHPDTLQLLQLVTDMGYAGHEEVVWESLIDVNGENAEF FSGDFRLVGGASSTSPPAPTQSNDGWTTVTGRRNQNQPSHEPNLSTAGFDDNIPTTPR SPNTEQEDHDLALALQLQEEEEERHRSEIARRRRETELSQQYIEQQGQGQNIPVSQRG GSAPRGQGRGRGRGNPPPQTPQEARPAIPPRRNNPGGQVLNAAGQPVDPEAGVDAPPP SYEQAANQAAYNPPVDHPAHPSASPNGTANGRRMSAYTANSQGVASGSRNNRRQTGSG PTLIEQIPGRRATSGSMEAGTTSRDKDCVVM LY89DRAFT_724526 MHFLILGGSGRTGRLVITYALSHNHSVTALIRTPSSLADLASRF PNLTLVPGSPTSSADITTAINATSSPPTAVIITLASLRKSNSPFSASISSPTFMTDAN VAVLQAMREARPGIGRVVTLSAFGVGDSRGGLWAPFRWVVYSGGMRVGMEDHAGVERV LRRAEGVQWTLVRAAMLGDDEGFEGGVRVLGEKGEGAGLMEKVGRGRVAAFIVEKCLE GGEWVGRTPVIAD LY89DRAFT_316567 MADPEVGKILTQFHGPNARSPSSSGSYPASQFRASNTESTQPSP LNHLSTPTTVSTSEPSPNQPSASPAQPSPAVKRSRQRPTKSCERCRAKKLKCDRELPC SNCKKGGRDGKDCEYRFGSNEEEGTPKAKRPRTVVEKNGVQIATNGSFHYPTSQGNGG PAPSVVAEQTFPRPGWSTHGESIVGTPAWGSRQDPVPIPNQNASSTTTPLGRIDVKGL RSRYIGIGDKMAVLDHFEHSKCFIVKSFQDPEISPMMQELSTFQRHYTPRHKCKPDIM LPYGSEELMAEMLKALPSAFLFGTLQAKYVSNWETVFRILHIPTFMRECDELQAARQA EALTVLPDHIPVWVVPQILAVLAIGSRLKDPSERSVSGEELPDEAITKNCLMVQRWLD ELHGKITLNFPILQTRALLLLARHSNLSHTSELCRRSGDLVRFAMTMGLHKDPEECTE MSKAQKEMRRKLWFTIVELDMRFSLAAGMPASITTSTFNVRHLINVDDQELQDDMPNY PVSKGDDVWTNAMAQIALLASLRDRLNATNLLGGCLDLERDAPALLSQAKTLEQALRS LPDQFRGSTRAGNSNNKRMYRLFTSIMLDMAIRRPLVALYRTISTSPQSSRYPEARRG ALRCSLAILSHLDALDPAVADLSTVKSRDYLNLFHLLCRADIMHSAIIVCYEIRSFNS SPDSSTEINEAGPTSDDSFPQTKHSLTRVVENTLNSILQRLGEFGSDLKDILPLAVVL QSVRSDGSQEEIRESMIKGTERVLKACRTVMPSIEHAALLNSVARGNDSAQQAAATLL PASFRNNSGFDTSMAQPGQPYGGYGGNQTWGDFNVIPSDLNFADFDLGFGFTDWDMNQ YYL LY89DRAFT_316605 MVHFLQTLGLVLTTASVLTVASPLPQPASELNDAPTKVKRATCT FTAAAAAIASKKSCSQITLSNIAVPAGTTLDLTGLASGTEVIFSGTTTFGYEEWSGPL ISVSGSGITVNGASGSKIDGGGARWWDGEGSNGGKTKPKFFYAHSLTGSSIIENLSVL NTPVQAFSIDGANGLTLSHITIDNSAGDTGGGHNTDAFDVGDSTDVTIANAIVQNQDD CLAVNSGTSITFTGGTCSGGHGLSIGSVGGRSDNTVSDVTISSSTIKNSMNGVRIKTV SGATGSVKGVTYEDITLSGITQYGIVIEQDYENGSPTGTPTTGVPITVLTLDGVTGTV SSSGTNIYILCGSGSCSDWKWSGVSVTGGKKSSSCKNVPSPASC LY89DRAFT_658022 MRSLVIFSTLFTAVLAGSRTSAPSGCITVGSGGKYSKIQDAINS LSTSSSTAQCLFIESGTYNEQVSIAARNAPLTIYGYTTDTSSYTSNVVNIQHSSSQAS GAANDYDTATIQNNAANSKFYNVNIKNTYGAGSQALALAAYATEQGYYGVGFYGYQDT ILAQTGNQVYAKCYIQGAVDFFWGQHARAWVDGSDIRVNGVGAITANGRASSSDTSYY VINKSSVAAASGASISAGTVYLGRPYSEYARVCFQKTVLSNIINSAGWEVWQPSDQRT GDVTFQEYGNTGAGAAGTRASFSTKLSAAIEIATILGSGYTGWVDTSYLSS LY89DRAFT_316672 MSKFVLAVLSLVSFTSAAVVPGSTANAPYVAPPPAAKQAGLPGF VHLALTKKPAKALRKRQSPDPLQNDISGYSVEITLGTPPQIQWVDLDTGSNELWVNPL CSTSYYPAGCTANGVYNPGSSSTSHDLGTPFSISYGIGSVSGTYYTDNMTMGDASIRQ QQFGDAATSQQMMQGILGIAWGNGVDTNYNNVIDNLKAQGITQSRAFGLNLASIDSPT GAIIFGGIDIMKYSGPLQKQPIIPRNLAPDGYARYWFYMNQVSITPPGATAPIALTSP TYNQAMFPDSGSTFCQLPSALFTALLAYFPVGQVNQGSSTYTVSCNYRTQAGYINFAF GSVTVSVSYHEFIWFDGVQCWFAAQPSTQFYLLGDSFMRSAYIVYDQDNSNVFIAPAA NCGTSIIPISTGVNAVPSINGLCAPTYTPPIVTTSTPSPTPTSTPHPSTSSSSTIKSS SSFVTSSSKLTTSSPVPTSTGFTTSSSSTSSKPSSSSTLSSSSSKISSLSSSSLIPSP SSSTQKIISSSSTPISSSSNKGLSSISSPVSTKSSSIMISSTSSSIKLSSSSQVSNSG SQVSSTSSLSSSKASSCLASTVQITLTQSATSVTVYSVFTVVQIQSASTLTVSIPYTV IQTQSASTVYVTLTSTAAAPSVAPITITITAAAPSVAPIIITSLTTVTVAASSALPSI F LY89DRAFT_316684 MSNPAASSQPQAQAPVLLSPATADQAGGGVPSGPPPQTSFTPNA LQQFRVANFIEGIESGFFAGVLDSLNQDPTFNNASADGKSIANVTTQIAAQELVHKAT LEGLLGLAMQPAVPACATIFPVKDTNEYLAKANVVTSAAIGVIISIVQSLAASDGPNL LGPLSSILSVESQQDTFFRMTGSNTPSASPFNTGLSNTFAYNIALTFVDPRSCPQLLP LPILPSLNVTSPVMNATAPATPPTSIAFTVSGVPQGGNLFIGWVNQANPVVYSNVTVK NGVGMADIPPGMTGMAFAALTAQNTAMTVDALTNATLAGPAPILMS LY89DRAFT_690538 MMNRPPPLGETKHEMKAVTLLQDGTEKIVGFASWIICVGRGGSE EEKERLGTKEGWLQGEKEKEGMKEKSETEEGYGDPKIRRDVLGGIEEKLARLTGGKDY VKLDPLIVDPGYQRRGIGAMLFEDGLKVADNAALQVVLGGSVQGVNLYKKYGCVEMDS LKTNLWDYEGGEGLGVITHAILHRPARRSV LY89DRAFT_676349 MQLSSFRQSTANCMLQSQFSRHSFRTIPRLPGQVVSTAGSRPRK RDFAFLRRVPCLILVLPLSRIDSSQRQQRAQRPRDFHILIIFVGLFSVLFLIGFMAER RNLGNQNAGPNFKGVGPQDGEEKIPAISAFEIQTMNHFLEAAMSGIMPGGEKTSLPLF PQEGMKFLAQPYKDWAPEPFSKMPGPSTPFGGQMETSPPPMIRIMHIMSGLDSIMEDA FSLTPPETVGRSFKLMKTKIWFGIAPMSEAQWKSKGLDEQDNIEEALAVIELVIDVWT HLCTPKIQGDIRHVHNKMWAEIDVFQDALVAEAGSRGETIEYNFTQLWHEYIKSWLYT HLKTLQKVWKDRFIAVMQSGRQISETHGTIRIDHYAMMVLNKIQDIYLDADIYVRQRT EGFITADRRIDPRLAQIEAPKAQLNAAYAGIFAEMEGKMRGMMKQMMDARIAERHRVM PTPDFLEAPSLVTDREFVHKGLSYEIDAPLERKVEGWVRERMEDKVEEFGFVVYRLSY SGSEDEWSKAVKMIEDGINSGWEGIKDADKIKGKARLHWIDGREEMIAEGNMDGARKD FQTITSLPTFPSNLSKTVFLAVTPNALSSFDPSAAPPSDPLPPLFDTSSTTTEKNTLA SAGDFRPFLHAIDASYSPESSEASASNPTSTTQPKTERKFPKGYTGTFPILDQLVWSD LLGLHVAGGRVGLEDMWALSLQHPWGVYVGPTTGVRRREWREMREGMGVLLDKGKNGG CVTS LY89DRAFT_724533 MKGASFSPVKDIPSLAGKVFLITGGNVGIGKQCALDLAKHNPAQ IWIAARNSQTGQAAVTEIKTSSPGVSVQFLEMDLSSFGSVKNGAKKFISIASRLDVLI LNAGIMGGPAATTKEGYEIRFGTNHMGHALLFKLLTPILEKTASTPGSDVRILSLTST GYSHSHSTGIEFETLKSEQEHINIITKYCQSKLANLLYAQEMAKRYPQFTAVSLHPGE VKTELFTPDAGLLMRVIKTIYMPLVGVSVEEGAENSLWAATAKGVVTGEYYFPIGKAG TGTGFAKDDKLAKKLWEWTEKELKGQDI LY89DRAFT_658031 MGFEIVDEFRQPNKSQKKICKSRSKVKTACKTCKIRKVKCDEGK PACQRCVSTGRVCDGYGIWGGGGNSYNERSIGCKERISPPNTILATPMITPRASTHEQ DCFEWFTCQTVKKFPGLFGSPFWEILVCQASSSEPAVLHAVLAFSSAHKKEGLDSIRP GKSLTLPDTLEQFTLQQYSHAITHLQPHFATKTKDSVRVALVTCLIFIHMEFLRGHYR TGNFHLQNGLKLLAELQGQPNKGNGVVDLKPPLNFVDSCLTDTFIRLQSQAILLGQNS GDLYLVPHMDAESSDTMFYSITAARRSLERVLNEIYYLTEQSSREQHSQTGLTSEELV ESQRHIQPELLCWFSKYQVTQAKFYDQTSLREKFAYRVLHLYHTMATIMANTCISSTS QSTFDPQLHHFISIITQYIEVWKIVMSNPITIILPAHGLSTEISDSVAEMGGVLPLYY TALKCRNHRVRLQAIKLMRSIPHKEGMWDVKIAATIATQVMEIEEGDFYKNIEIDDNF ALSSLPMEQDLVLPVLPELYRLHEVQVVLPDSDSEKVALICRRKLKNGEWEIITRECE VLPLARVKKPGRPI LY89DRAFT_676352 MSTAQSASSADAAPSLDVLPDLIPDASPMSDPSLNSTPAQDSIR KEYFTSKADRALQHDLPFRPNITRSIIASQVEDQRKTYDYNRVTINGILSQELNPTHA MFNSVPFVPTSGGPCFANPAQSFWYRSTITQFSDLLEDASYSPTIKAQYMDFFNHFVV PALGPIPSVEAPWTPHLTHDASPFEPSWNVNGQEYFTPNHQIGFTFEPIGPLAGTGED PFNQLVPAFFVSSLASSNICPNLDLGWWYHFMIELFVPSGENQRAYELLSSRDAPPTC FLAFDLPTEDFAPVMKPYLFPQRRALLDGKTNTEVLLSAVMKLHSPTMSLLPAVKNIE TFLSSSKQDLIETNNKPSNLECDRDEMSLEMVSFDCIHTSENPRIKLYLKTHNTSFSN IKHIYTFGGERCSPETGKALELFKQFWECLTGLEGEWKSSSEQTASFLYFGLEIAHGR EIPDVKIYIPAWACGVSDQGVREGLKNFFLARGWGLGEVYEKMGKGLTHTYLSLAYDE EKGVNVTMSYSPVMERL LY89DRAFT_763445 MPLRNAKPSNVKVRRARKGVPTYELGDPEYEFVTHTANPLHRNR LPDCVVQPLTTVDVQYIVKQAKKKDIASTIKIGGHSYPGSSASDQGYIKLDLTNMNQP LVDGKHDGYVINGGRCPTVGVSGYILGGGICPFTRSFGMGCDTLLEATIVTADEIVHT VTRDDDPESSEGKLFWALCGAGQGSFGVVLELKMAVSKLKNKEGKVIAVRSTWFPKES DDVPAIKSAFYSAKWSTQMTIDTIWLCNTRDEAKIGVRFSAYYDGNGEDYLKEINQNI YNTSLKELFGERIVDRTSSDWLGNILPITWDEEAKRSKYVNQTFSIFGSFAFENRPSY GEKYKNGDGSSVEVSFIHPGTTAAKKPSTATAFPWRDVMQNLCRSIRAKLRPFSIFAE AAFVNFPNEATPKTAHESAYYGTNREKLREVKKMWDKNNFFGWDQGVGLPQPDLSIAA YIFKLKREIFVLFN LY89DRAFT_763453 MSFQKDQKVAIIGAGLAGMSLALALHKQSIPCTIYELRDSASHL TATGALMLCPNALKVLSEIGVYERIKNQGYMFEKLEYKDENYKITDEYYLGGEKLFGY KALRVYRDILLRETLGAITERGIQVQYGRKFSKVVKEDETGVEFAFEDGSTESATLLI GADGIHSKVRQYIHPNVGPIFSGMMAITSVVHVSDLRFPEPNYHLTTSIHSKYGAFVF APQNSSGTEILVGTQMRMPEQDQEGWRRIRQNKDELKSMFQAHMNEWPDLVQSAMEHM NPDKLSIWPYYVVPKLDTWSSPGKRVIILGDAAHAIPPTAGQGASQAFEDVYSLSLLL SKLSDKVKLDDALDFWQCYRMARVDDVLALTRKLDVKRLPTEDKAKVPEEVVWLFEPK IEEKMLKWVAEQENQ LY89DRAFT_741279 MTQPNDAFSESIGNITGLLNSSIADIDILLNKEAKEPIRTTPTE REAHAAKAADFEDALQRIKSLEGSLRYYRDDSVTVRKAFDNLKKDYQNMRADTGSNLG SGWGSKQEGHRDEIRKLKESLEDLRTKSGNLEKEHQKCSTESASQTKDTTTPDGQQET ADLRAEEHKEEVRTLKESLGALQIKYRNLEKNHKSCISELESKNLAAEDERLTEQTDV LLSKSSMNTKHNFFDEELITDNIVRIYDDIQTRIQRIVRKFYYPDPSVQFVPSTPDPY NLSGAGGRAQRMYHDLWLRGPDATGIINRARGIIFQYISEVLLSQRTYDLHDLERVTQ HTGLEHGLRLFEHHLMNSDDKDQVRTNHWRLLTMNFAKQIKIEHSQANNYALSGAPLA WVDESANQLKAIMDLTSIDYTKIKQAKDCDESYRELKNVCEIAYHFAILLRRSEHSMR IEIPKVGDQVRESDHIMVSREALLARHDGPGIQCAVSGTLVTYVFPSWRRLVNQEAKV VV LY89DRAFT_701518 MSGPKDQLVIAIDFGTTFSGIAYAFRKDAKPDVMSIMDWPGLEG FQQPKVPTILSYDAINKSSFTWGAQKHKNDILRGVKLLLDLDQPRPTYLAESVAKADL QELGKSAVDVAADYLGAMYKHAMTVISSKMPIEYLALCDQQFVLSVPAVWSDKAKNLT LRVGDAFVICDAGGGTADLISYEIARLLPRLELKELVPGTGGMAGSLGLNRRFEQTVK DLVGEVEYLRLRKTVGFEQAVDQFDKTRIFDPLIEDIQRLVKDQVRLVRMKQVSNVGT KPIKAIFLVGGFGSSAYLKASLEADHPDIQVIQPHGAWAAINTTNFARTWYINSGQDL KRDQTIRFPFYRSLAEGYHNYQLIFYDDLIQSEDEDAPVHPSAAATRTNCTLTADLRA VPLNTFTRNVGTDGITYHQVHYHLVIKIESAVMKVSLEINGQEMGTVDAKYE LY89DRAFT_676357 MEHRDREMANNSKTDMDNIHSFVRQQFAELEDSLKEEIRSVPEA VWKTAKMTDAGEAARNTFTNLFGLFHRQTDEGDSKAKTQLEVSAHANHELEVERKAIL RDSEDWRSGFEKSAEHYQNKLKEEIADIRFQKDKAVRRLKDAERENGELQKQVATFRS IIIKHGGSESGQLDDATIVARFVNLRTKIRGIVMRDCHFDKLDTATGHESLQKLHKRG LTIFDLKNQLQESLFREVCRVFFSVLAFGLEGSTLGDQVNYGLGRFEWELLSLSPGQG YQKDSLEWRLLTIKCAKLLGQPNPDVAAQRILDWLQPFLGMTEKPDQDTPSDSSSKLN RESTSKSTSESRVKQLCQDALDFALLIRTCRDRYQAERTIEREERSEQAWEGEMGSDN DPREIACMISPALVKYPGHDWKKRLVLEKSHVIVRKIVPGGCNELGVGSLLPHDCDRA EN LY89DRAFT_788408 MSDYEDDMDVDAPVGRDTITFSSDNTNSKGKRSAANLPVEAEDT LPWVEKYRPDTLEDVSGHQDILATINKFVDTNRLPHLLLYGPPGTGKTSTILALARRI YGAKNVRQMVLELNASDDRGIDVVREQIKTFASTKQIFTMNPTSNSSTSIAAYKLIIL DEADAMTSTAQMALRRIMEKYTANTRFCIIANYTHKLSPALLSRCTRFRFSPLKEVDI RVLVDKVIDEENVQITSDATDALVKLSKGDMRRALNVLQACHASSTPLHLKGTPKIAE KDIKRDLITETTIYECIASPHPEDITKIADTLMHTTDVTSCLQTINSLKSTQGLALAD IITALSEQLTAMRVPAPVMITWLDGLAEVEYRLSGGGGEAIQTGAVVGVVRNGAELFE TLK LY89DRAFT_724539 MPLTEIGLMGVKPNHPIMDDSHPSGKIFTNVYTSIYAAPNSPRE IYWGLELEDPLHVWAFFEWESLDAHKDFAKSFGAEAVQDFPKVLTHGEFSKHVEIRPT LSPLRAPVTQIVTAFLPAEMAGEARERVEGLVERVVRGLRGGGGGVQGVSFGWGVEDD FPVRGEVGGMGKVLVLLVGWESVERCQWFRETGAFKENLGLLRGLEGLVKLDVVHVKC RGLWGKKE LY89DRAFT_741284 MRSDILFSSALVVLLSSIAVAGPIDSQAEPQHDIKRNFLGLGAL FGGTDDSGGDVGAKGVVTSTLPTPSPAKATILTSTILESAAKATSTSTVQAPPPPAIT SCLPPSTVTVTETVTSISTVTVQPPAVAQTETSTSVVVAASAAPPPPSTGNTGAGSSN SGSSSSSSSSSGSSSGSSSGSNGANGVAPPVVSPAQPPPPEASPPSTGSGSTSGSSGS SESSGSAGSSGSSDSSGNGNTGSSSSSSSSSSSSSSSSSSSSVQVIIIQLIQPGTTTT IIEQQAGQMVTSTVTTPPLTVTSTVTLTQGASATALAGLSNGTATVISNTTVSSNSTS SISGQASLNGTASATNGTASAKKPKHPKVAGNSTESARPAGTGKAGKMPPPPKTAGNG TKPAPPMGTGKPANNPPKAAGNETKPAPPMGTGKAGNKDPPPPPAAANVTAAPPPPAD NGTKLPPQGAANVTAPPPPPPPNAGTAPPPAALNGTAPDAGKSAPPSPPVA LY89DRAFT_316877 MMAQQYGDMYSDYNPSNRSPGSQRGYAQNGLTLNRQPSRQFDNY GGSQLSGLYTAEDHAASQYESPPHRFDRMPSATLHSNYGQYDNQTWNYGGANGNSNLM GGTGRVKPSSRRAGLPPNWMDMPQQQNMNNYQLNNNQYNSPAIQQSHERISSPNGDSD ELIPTAIVIKNIPFAVKKEQLVALMTEMRLPLPYAFNYHFDSGIFRGLAFANFTTAEE TAQVISVMNHLELQGRKLRVEYKKMLPAQERERIERDKREKRGQLQEQHQPLAPNQVP HPLHPQASVTSLASNHHPTSPSPNSVRGKLDIDLNDPVTLGYYNELLVFKSSDRETLI FPSTVSPADRRIIHTLAHHMALEHRSEGQGETRCVQILKHRAAISPPSHQYHSYNDTQ RRGLARAATIDFSETRDNPGYHNHTLGRQGSGLLDIPGSPGVTGLGAAHNLRAAKSFA DLRSYTPSPAHSTASFPVGLTSNIQRYTDNYGHGSAASGTPNLTPTSANRDDAFASLS NGLSNMNLYNSSRANATGRIGQERENNTSNAGPIGSQRPINGNTFNEDTRNGTTSIER QPRGPGTDWGVSGGFSRTRQNGHVGRGSGELDLNSFENGWDDNRAQDSSDRNGASAST NQRY LY89DRAFT_676362 MRGGSSSASSSRSMANAKNRDPPRVSLPQCPAGHEEAFCKGLKT IQDQELKTTCAFGLAVRDHHAVENMAAESPESTEPKIDNRMSPEPCEYIDPRLIDKRT AAKLHDEYLTPRLIDRLISGELHEHANPTKDNESWPPVELPRHYSQEEIDSLNDRFPI APAVRAPMPPANNIEDIENQRWARLKVEGSSDLYEQLKLSKELRNELDASGILSYVQT HYKDDWKTVERLQIVQFPMNCVRFKIPNLRVEYTRLANGWLNLQKAHDAAHHRSIFIQ AGCLKLHETEGGKVQPNLPRRGIFQVDGEATKQSTVSSASLHEDCNMIHGPAVVQAVA SQDSEEDMDTDEDKEATSELERKGRKLGLRPMSKRDHAGIAALFESGDQNLS LY89DRAFT_741287 MPPINPRKRPAPGSSPAFQTSQMPQSYTAASQVSNPELLRWNQQ PDNAAYPDPAFNMNNYSTNGLSQAPFDQSIPASSTQLARRPINRQLVPTGQRGTFETS DTSWAQFGEDPMLDTTHVNGALEENDNIELLEERAQLAKRDAQSKRKQIPPFVQKLSS FLDKSENTDLIRWSDRGDSFVVLDEDEFAKTLIPELFKHNNYASFVRQLNMYGFHKRV GLSDNSMKASERKNKSPSEYYNPYFKRGHPNLLWLINKPKGGGNKGKKSRVKNEDDGD SDDDGKDVEEVYGNNVQASRALSAAPESGPLQRRDVAILQNQLAEIQKQQGAITNAIQ RLRKDHNQLYQQSIAFQNLHDRHENSINAILTFLATVYNRSLDGQGPQNIAQMFNAGI PHAEQQHQGSVVDIGDVTNQPQQNPGSMSPSPHRRTQRLLMAPPSAGGRATTASPAAS TPQTQGYSNTPQPVIEELFDTSPADTTQMTPDPPRQPQHNMMMNIINNTNSQSRTNNG AMEFPEMLSHFENSNGNSPLTSEQRNTMLNMMASTSSAAGNNNALVSPIPPQPPSLED LGYTAQEIEELNRLQAEQEARIQDIKNVVVPLSPSGRIPGLDNEDYFNGTESSDPNAA NLDLDQYLDNSAFYTGSSPAPHGDYSFDTFGEPPVGDSHFDLGMDGTNDGGRVETTNN SEAATPEDVMASPEATNGLRSPTKRRRKN LY89DRAFT_690550 MSSLYNLEPQPTASCILHTTAGDVSLELWAQQIPLTCRNFLQLC LDGYYDNTIFHRLVPGFIIQGGDPTGTGAGGESIYDGGQYAEAREEHGIWPMEERRGK NAGAHGVGFKDEFHSRIKFNRRGLLGMANEGPDSNGSQFFITLGDTPELQGKNTLFGR VEGDTIYNVARMGEMEVGDDDRPLYPPKITNVEVLVNPFKDMARRARTAPLVQQPKAT GDKKKKRKAGKQLLSFGGDEGDGEEAAPVVKKAKFDTRIVVDNENEEPPPPPKAKASA KTLEKKKIKAPSPESSPEPRSAPEPPISIPNRPAKAAARPASSSPEPEPVQKVSSLLD RTNAQIAELKASMKRNVQTAPVPEKKKSVLETMIPRTSVRGRKRHPGESAPTDQRTLD LLKAFQSRLDQAPPEKEVLKTEPVLENEDHKDDTAGDEEEATLCDLHFIVECQSCKKW DTQGDGDKEEDEDDIGWMSHALSFKEDKLGKDLSYRKKAEEELVVIDPREKAKTLKEE KRAQREAKAGGSGRAWDQARNDKLARSSALAGRGAK LY89DRAFT_676365 MASRVPLSSATGIAVKDIEARKIFIVAGIDAEIQYQQEITGMEV PRPPYVPSGRRTTEEGRSDSVSDCRNHSSPDLWSSADPDYNSDDDTISDVDSRNDLPK CSNRNCRYQSEEIIPGNLLSCPVCLLVALRAGIDMGGKGSKRSAEAKAKERELQRDQA SKQSATEEVMHSDSQDQTLGGAHGHPSAELGHARWSQSEIEVTMTLTELAGSSPEKSP SETPTPEASPKYDQYGCMTAVTVEYAPSIRAQAAATSKEPSSTGRALLAESNHNILHR SPQQSSLRTTPFRNSATGPQLRLSRNYRRRVILHKGSGYNDKEVKARAREFYSVGENL PELEHSDNDVPDENRPGFTRRRIERSPVDDYPIPVHDNMAIAPKENEYFRAISFRPTK QTPHEYLESRGQVMWDLEVQRLMHRHTEILQREGQGAEAVIDQPSLEQRSPAKHRDTG SGAHPRREQKRQRVSEEAKMY LY89DRAFT_598859 MNTSDKQGAPPSTVTDAVLVPSNEMPRDAQKVEELDFNKFAGRP ITVDDLMSGMNYMGFQASSIGEAVRIINGMRAWQDPETGDKTTIFLGYTSNLISSGLR GTLRYLAQHKHISAIVTTAGGIEEDLIKCLGDTYMGSFSTPGAELRSKGLNRIGNLIV PNNNYCLFEDWVVPILDKMLEEQEASKSTDEPINWTPSKVIQRLGKEINDERSVYYWA YKNDIPVFCPALTDGSLGDMLYFHTFKASPLQLKIDIVEDIRKINTIAVRAKRAGMII LGGGVVKHHVANACLMRNGAESAVYINTAQEFDGSDAGARPDEAVSWGKIKVGADSVK VYVEATACFPLIVAATFAKDASKP LY89DRAFT_317025 MASRPSAPYRPPSHNVGDSRRSPREPRDQSPGRYNDRNDRNDRD EYRGGSHTSERRRSIPDIRTNNNAFNSNRDNFREPMGREPTRDFPPRDTPRGPRNLID APTGPRASSYGSSEYRGDSRDVPYGHRDYRGDRGLSRGRGRGWRDDSRDRRDGPNFRR DDRHERGQSYRDDGRDRWGREPYNSSRRPTSPRGRGRSPTYVPREARDAPPGIEVDRA RRGSRDGPLSGGSPSSDSAPFSRGYSRPPRGNRGRGRPYYDDRRSRSPDPSRTRRTQP SATPPPQVPAFGSNVSVPGPVPGVAVPTAPRYERVIRRGHGYAPSSVNLAIDNSKKEE PVTTPQSVQKSPSVVPPNDREITSEKDENETSEKSEQQIQASSPDKDPKAIAQSDRKR KAIIGKRQPKPIIRDVSDVEDSGDDLDDGYFEEEMAKVQKQIEQIRDDNPLMPREDPE APFLTPFIEANVDEVPSSIKVLAPQPCIAPEVKQEQPQISEPLVSASILATEPSPTIL GPRPTSKGKSRSATPLPSEPIAKPVSRNEAIISAMEPRPATAKRDNREGAVIPAWDPT MFLQGPSKALGKEPSSATPTATLKDTTNHSYNQTDTPMLDDKSLPPTLPMDLDGSMGG PGNLSRSRADVLVLNGRAIELDNVAIRERTTMEDSFAPTNEPLPRANANSSRRSSATP SHSKREGSSDAIGYRPIIAPRPRKPVVDNFSDDEDDQDVTVREEELEKVRPFMKTPPI DSLPNFNCVPFHEDKAFLAKMDALWTDDKINAIIIKNRKLEEDRAALEQADARVLYQR KQEEYLQYARFSNDDLAVKSREKFAKSKAKTALEAAAPQSTSIPSSGAKPEGQRRSTS RWATEHDFERVLRESEQEAKEKKEREDRAARAKTASAKEATIPAQIWSQAEWDKTAYT DNTGTVPFDRSFARLEFGEPIDPLTEEECKIFEEIYMEYPKQFSKIAEHLPGRDYKVC IQHYYAVKHTLNLKERLKKQPKKKKGRKASGKNPKSNALMADLGISIRDEVEEGQDAD NGERRRPRRAAAPTWPIETPASENEVASPAPTPGRKSAAKGDTNGDAPPPKRKTKATR EKGSKQTKNNQLLAAAPSTSATGQPESPVPPTVPSAEWRNRPKLYSPRSSRQLKSLVM FFPPTQRSFLSLHFPNKNVLTLLHLKDLSNNRIVGAFNKRRATGVSPSRTSSRCC LY89DRAFT_741292 MMLLFAVIGLLSSASGSVFSSQSNSENGVLACEFDTDCTFAYLG DKSLGGEGTLKQRAEDIIMLTRTTIVYVTESKSTSHSPTGSFKVVTTPASNTPLVCNQ DNCLRQLIQESPTAASFCVTYTKLVSTVTTGLPDIVSQCKYDPTRVSSACSCLATMTF SSTIPSVTHTSQMSTSQSSSHVSEDTCTTSKKTSAPSRLSTSYVSNKTSWKSTKAATS STADKTTTGTFTSSSLVSTYSIFSTSISIPERTSSTASSTVSKETISLSSLPSSLAAS STASIKTVSTSSDSVSTTSTLAAPVVSTWVTLPPSDAMIACAASTECTSAGSLWDGCL TLENGLDVWDCLCTANHDEWFETVAACTACVMAALPPTINGSSTQWMTNPATGVPYVQ TLYCNITDPTVKTRYKSFQLGQLIAQTFESPIEFFNMTLVDQPIVLGQMDPPAISVSL SAANSATISLPTSSSSSQASFSNTSPTTTIQSVALSSSTSSGIKLQTTVQVSSSQVTA SSTAPSQTAQPESAFPISPQMEACEFSKTSCEQASMLFQECVHNEDLPANVTAWNCLC NTNFEQWNSTLMECSECISKALPPNITNAHWNASQVPMYIGLTIQSYCTLPVDQRAGS VSVMMRAGRVLTSAFQSPILWFNMTAIPAPLEFPSGNAPS LY89DRAFT_317088 MDREESPAHLQNPSLVNPNSDNFHETETTQSSMAPVILEEDSNI VSAAIITLGDDSSDEEDLEQLSIEDEIARVVDALLTDEDESSEPGSDEDTSSGSGSGT IYIGEQSQTVIPVAEIHIYTDMETDSDNETAAIDETRVQVQMQVNAAIIESMVDEELF IDFEDVQHNERRTENVQDGHADETRSESSLLDLEILDEWAEEDMESPFTPLSPRDSSY QPANQVYNRSNALDSKSEDNDQDSDEESFQSCESEDGKDSKMDIGNRNIWKELKRGQW VAKDLSTILDTKADRMIPMPTTYQARKEALMSLFLLLSKVVFFIDLGIRRERIFALIP EKFWLTHFTWTDTRELLQARDKEICINLLTRTKTVLEEWRLGYGFPRVPEIEQDVHQA RGQDQQIDREDRVQRLLRPKLHRSDRWLRHIFLDFVEYLPWEDVCDEFRELAVRKVVT MTELCFEQVISIRDELLDVKMRREIREKLVREQLKQVLTKIGTLGLDG LY89DRAFT_598603 MTGEFITKRPHKKSRGGCHTCKTKKVKCDEVQPKCTFCQKRNLT CTYPPRVTRSEPSSPSNPQSSERRNRGTSLSSDPGSTETSWELATSPSPLVMTSAGAL SAVDIRMMHQWSSMTSVSVAVGNAAHKTLQLTIPELAFENEYLMNGILGIASLHMQSL VPNPDQVRRQTDIYRAKAISGFRQALTRIVPFSREYEAALVTSILIVVLCSIDYDPGE GQLIVVNWFILYRGLSTVITMVTFPSVRSLSVGPIFRREISELKVVPVVPTVLMDMVR SIPTDDPDFDMLEDYCKILDAIGMLYASLQQDGLGDGLFIRVVAWPSFSSHGFSQCAS EYRPRALVILSYYLMFVKLGKELWWMKGVADRDIEGIKNIVGPKWQPFMLVPLQAMAM TDRNEIAKLMLG LY89DRAFT_628822 MAVLFSLPNEVMLNALCAQFPCRDQQIRSLATLLSVRAAPCRNL VLHGLAATGKSSIAKTVLEYLSKRQTNGYANGSTQHDDDELRYAVIKSAECIGGRHLF EQTISTVSKVIGRSENVGRCENLAQLAVELSKLVENWTASEQDSRQRLVLVFDGIDRQ RDAPPTLLPALARLVEIVPNLTTIFIVTSPRPNFLHLPGVPHLEFPAYTKPELLQILA LTEPSPVLPQGTKETKDVWTRYSSAIWDSLSKHSGRDILSFRSVCLRLWPRFIRPILD GTLNPSPFSRLMVANRSLFQNDSILVPALVSNPQSTALVPQSQSQSNLQGIAIQLPHI SRLLLVASYLASYNPPRTDSTFFMKAALAKRRKKGGGTALSRKPGTAKSRKIARKLLG PQAFVLERMLAIFLAIEEDAGKRKGKAALNGSADVLMAVTTLASLRLLVRMGGPNQDV LDVGTKYKIAIGWEVIRGVARSVGVEVEDYLAD LY89DRAFT_317150 MTERTAAKQAQMEDDAVSLTSTQESEYDPMKEFIVTRILAEKLQ GSKRLFLIEWTGYPLERATWEPKDHISPGILDAWGLRKKREKRGISPPFDLVEWQATQ NLIAKEREHRKKLRTVRRRSLGMAVPLSDSDANMADKDDSSDAEAMEDNEVEDVPATA TATSSTNRKAESPRKPTKKPMRFLVRGSEIQQLDDNSPGDSDAESPRTNVQLKVRDNT TVASSRSEVERSKAPKQVKASAKSETLSSSSSDEPLMLKKRQKMPSPQLSTPGQPISE LPALAQPHSGPTDVRESSMGSSNSRQPTPGRPQLVRSSSEQSSKNQFNQERPNSSSSN SLMSGVTPDSELSQLFMDDSPTQTEKRPRGRPRKRGNIATRGGATIVRRNVFESGTGT KATRGRKPGTNLLRVATDSRDPKMAQNMHLRRKLELQAREIAESAPDLSAIGGLFNPS DMSSVQPVRPATLRKSSSSLPTTKDQTDRGVDADLDDLFEEKSVTPLSTIRPPSTLSR QSTRPVCYFWDKAQKDSKSKGCSNGYLCEYHHEYRPGAIVAPPPLGFIEFSNKPSEDP VHYQSVCFFWDRAQTDSRWPTCDKGSSCTYLHEYKIGAPVAQPPPNYVFKSDNTSNAA AQKQSETMDDDFVASPPHPPWNKNALAAQSSNRSAQFARQREQQSQNSFNSTVTYSSA LPILPPRQAASTTASEAISTLMSTPLLPSVAKPVARLEERPLWDPLNPENAICHFYYQ NGKCMKGDKCKYYHSNDTRLPVIPSLDEQRRIQARITCKNWLNNNCHFTSTECWYLHE HTSTMPPKPDVRKPDAREAVVLPEKKSSALPPPSDSRKKSVKFVLEEEDKVFSEPEPI SPAKGAREVNSSHRSRASNETVCTYWLSGNCRYGFNCFNLHENRSRQYSDVEMRDRDD GFQDRSRRTESLKGYIHSSKTPPTPIDQDMSGMDAEDPFSTPLAPVVNSTTIPVASVV SNQGTSIEENPTPVLAPKVPRKKVSVAEYGRNQKLKKIGSRAKLVFFGYDESQPVNLD FGDMQHIEDSPWKRLFTDLDRVTFSQMCIAKDFKTMQEYLKRRGILAGSLVATDAEDQ QIQKTIEKIGEELVVRSAGLIASFSGFSILLFPASREEWRFLEASSNYPKEGRLKYFV FESNLPIDRPESSESKGMKLGEPYRRLMIKMIHGLDIKELLPRVEAGQNPWKFYLLFP STLKHTSTFLARWIKDCSAQSKIYDGQTEGSWDYFRNTHDVGVVLVHESVVANIHCLP HLFEVVSRKPFVFWCISDRDTPLPLFPSWQYEPDDSSLGRITATRLFPHGCAMLLTPS FLVAEPKRAYDFLKLFLNKSENSTPGSYKLVCAFNISQYILDLAISKASEKDAFEIEH RDNPAKDAMLNDAGLSFAHCDPRNKLHTLFARQDAKGWLESPSDSDYSDSEYNFHEDS VSPFVLVDRYIDPDDEPAMIEWFAGWSMRNLDMFRKFVVIGTGPSSARRAQRMKEFVV PVNAEVSQLELELESRVEFNDTAALSEPLNNLPESNFRPFSLSKKENLVPGQSKKSSE AKKTALNVAAKLTALSPYKTPLTTSSPAQTNSTNLTNPPIHQDQVGESTRHGIDTSLP TSQLEFCAATGGSASEAAIYLDRAQHNVNKAIYLYQQEKGGSLDLDSQISQLIATETN KPGPLRIATAEEDLARARELYRSTTSFNPNVMAFSAPESAGIPQYDGSGDARSPESNG ADDRPSSSGSTSTTNSGIATGENGRRFVPKSTRADKSVRKEIAVRPGYVPAEDIPRYV SPAVAANRAASRTASLRSSPAPANNSPARAVQDPAVQEPERMDLDSGVDAGSASGEET RMGQGWGGDVGKKKVAVKKEIRKYTFEETTGWYERYKEKNGGGWEHIFVAAHEEAIKK SGVVSAAPPSNK LY89DRAFT_690554 MANDEYDFLFKVVLIGDSGVGKSNLLSRFTRNEFNLDSKSTIGV EFATRSIQVDAKTIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDISKHQTYENVTR WLKELRDHADSNIVIMLVGNKSDLRHLRAVPTEEAKQFASENNLSFIETSALDASNVE LAFQNILTEIYRIVSQKALDNGDSAQASLGAGTNISLSKPADEGASKGGKCC LY89DRAFT_690555 MSSLPAPPSLDASDFLSIGDRLGGKKIDAAIDRARTSSIPAQGT QTGGFSPSYQHLSHIPLFPLYHASQTEALEKAQSQKERKLKYYQPPTKENPGTKLLFS AMSALGGGANPMSMKKWENRLKGVGAVTTQWTMEQSHGLVFTRWTAPGGEVWFIDMLE WKAAVGGSQIFVKVGREGVTYRVFGETVKGKVGKCWTKRFWKARECDGVVWVRGDGEE VQMEVVARIERPCAAMEARASFKIFDKQWVVPVVSGGAC LY89DRAFT_628827 MASVYKSLSKTNGHKEDGPANGVKKNKQRVLILSSRGITYRHRH LLNDLASLLPHGRKDAKLDTKSKLYQLNELAELYSCNNVFFFEARKGKDLYLWMSKAP NGPTVKMHMQNLHTMEELHFTGNCLKGSRPILSFDAKFDSEPHLRVLKELFLHIFGVP KGARKSKPFIDHVMGFTLADGKIWIRNYQINETEPSKVVPADSEDAPKSKEKSSGKSK ETEISLVEIGPRFVLTPIVIQEGSFGGPIIYENKEFVSPNQVRSEIRLKKAGRYNARA EQGIERLAKKGELGLRTSGGRAAKKDELDTAELFA LY89DRAFT_676376 MVDNKKQLTTFTIRNVEVRNLNSSSLRLDNAVAGVTVGVPDVVV LRQDVDEVLLSFELVLDFDVDSEDEAVDTEESFELEELEDDFVDDEERPELVDFREDR ELELVVFKEPDDEEERVELVVFREVDEEKESVELVNFTDVDEVELALDLLELVDFKEV DEDDKDRVLELVAFTADEELELVLFTLVVLLFNVLEALTPVKANELVLLALELVFTLE VLVLTTEVLVDTMEVDEAVQPPVILGTAFAPLPIATIFDPQFAACAIWMLKLS LY89DRAFT_741300 MTILNLSLAALSLAAVSSAVVLPRNDTGSKGSLVQKRPVQGSGV LKVPVTKQTSTGITKRQDFDPLQNIYNGYLIGLEIGTPLQSLQVQIDTGSYELWVDPD CANGNDPTYCETFNVYYPGNSSTASDEGESFDLLYGTGEATGEYLIDDIVIGSGSIPQ TQFGWATWSTFVNAGLMGIGLGYPWNLDYYGVIDQLYVYGVTASRAFSLDLASIDVAD GSIIFGGIDTMKYIGSLYTAPMIDGPDSPDGSWRYWIYMSYVGVTLPNTTTSIPCTTS TYEQGMFPDSGATLSQFPPNLFNAVIGHFPSAVQQSDGSYTVDCALRNQAGTIDFGFG TKMIHVNFYDWLWAANGLCYFGAQPNPDTFSLGDSFIRSAYCESSLFREEQK LY89DRAFT_741301 MLDPVSAIGVAAAVIQFVEFGGHLLSDAYEIYTSPSGQKAEHVT NATLTKDMGQLARQVDQKSRSLSQHGQQSDSEAQLLKLCGECKNISNDLLAALGILRL RGTSSFSFNRSEISRSFKVALRGMWSEKKIDKMGKELIRIKQQMMMAILVCVWDDSKR SQELFVGFSKRLDEIITTLDRNDPTEDKILSAEIISVANAKTNPILTELLQILWDPNW DLSATLTLPTPGNEEKCRREWSSFPAWLVSESKQIYWITGRPGSGKSTLTKFIIKDKF TIGKLKTWADGLELVIITFYSWNAGLDLQKSCEGLVRTLIYQTLLRCPNLTPIVAPRR WTLFKTLRSTMTSPRWTLTEITESFTSLLSECGKSIKLALIIDGLDEFESPPNQILEL IKKTNAHQGVKLCLASRPWIEFEEAFSHCPMLRMERLTKEDIEFFTRNELELNRGFKS LKEAFPEESDQLLQDIVGKAQGIFLWVSLVVHALLEGLTEGDKLADLQETVRGLPSDI SSLYSHIWSKVRSRNMANSSQIFRLFKSAMGPIDYLTLWLADEMKGLDTDMNSMSVDL KTELKGLMKRRLDSRTRGILEISPDEHAPDFDPYTALLQAEAIRLSDKATYFKPSWEY YEFWIKVLKCLAYASRVDSSPANGPKLVQILDKIDVEGAEVSINYDSIFRWLPVLHLK STPHWSVTQGPASRENSFVGFVSQFCITPYINAKILAGRRLNQFDSSSEKSISILENA VLGPGQSTNQKIVRKISEESSLEVLHIDTTRRLEFVKFLLANGATVRFNDEILMKMKA HSKGVRTDEKKYIDAVSKLPKNSAGGKGLLKSAMNVFRRGPSHK LY89DRAFT_317286 MQSPNLNDTFVVSSNAWTDLSSQLTEETLLNGQVVLGGIDSDSP LTGNDLPTLLYQATNVTRAMHSLAGYITTQMRANDSLLLQEAQQNVSLIAANQAVNGH VWTQQQFVTVRWAWLTLPALLLVLACIFLLIAFVETRKNRVGLWQSSPLAFFFHARLP DEAQMREWRAGELSTANQMQNAAKKLYMKIMKDEDGSIEVFSKSAALARDWESKR LY89DRAFT_317285 MAFVRSNNDDIVDGALRTPPDGGPHGSGDTHLFLEAENRGADKE GRKTGSTASHAEQKILSIGSLVNELACLGLVAASLFGIAAILWVYDGRPYPEWSMGRL GNVTLNTIISIISTAFRAALLAPVAQSISQFSWLWYTRPRSLEDAVYYDFASRGPFGS LRFLSKLHIRSLASIGAIIIFTALALDPFFQQSVKYNTRSAVDATQQAQSVAAYNYGT GFKGAAEVSDGYTSLPYNMRAAVYQGLLAGNLISIPDPPFNYPTGNCT LY89DRAFT_741303 MAGKISSPLAIELPTRRPHSLPWEREHTPIPSHLPRTVKSNLCD ICLAFEENCTRPWPLSQEKQITVYLFPHHASWEELEQSCQNGCELCTLFRNGYLEQYH SQMLRDIEHRADETDSEESEDNASEADPNSTNGPADTRILGRSKSSGPRLDSDNGRDS EDSIDETTDTISMDDHKSSPRSLDAPNEADSEHSTVEKHDTMIRDWHKSFPRSVEAAI ETGSEDNLNEKTDIKLIDHHKSSPHSPDGAKEADPEDKTSKVADNTLPDYYNTLRIRC QVPKSSSDPIRCCVISGRKPYSVWFNIGAKTGSKPAEEGGFRGRMCSATPDFDLAKEW IGNCHNHSVCTSRNAIPRENPMRLLQILNGSSQIRLIETVDSTKYEYVTLSYRWGRDD RRPPLTLQENLSQHMNGIPTEILPPTLKDAVLATVSLGYDYLWIDSLCIIQDEPQDFE QECPKMAAIYSGSAVTIAAPGAQDSFSGFLHRRKFLADPLYTPVELQYRDRKAEPQGT LKIWYPGSTSTPDHYGYLKPNFNGSLNSPNGDEPRPPSFLDDRGWIFQEWLLSPRVLY FGSFQMYFECCQSQRFETLLDQHVHIDHYSLSKTIPALRSHAEYHSWWRKLVERYSGC ALSVARDRLPAISGIAHSYQPPVSDKYLAGIWQGDLPGALLWYRLSDYTEKGNIADGN EIEYIAPSWSWASLMAPVRFIVSREEYGANDPDDGSDCDPWKVHIISASTTLTSRFSN PDPFGPVKEGHLRILGKLHTASVYKCRDGCLLVCFCKHISDRETWLTLRFLPDRPSRY ESLPRIGYRWDRIDRNRKTPEVFVLPVLMTRNQTFHSYVGLALEPVAGTDGEFQRVGL VKGTSPAKKGDIRDKIFSSGDEKELVIR LY89DRAFT_690560 MFRSALQNSALRASTRAAFRPAVSTGLRAGAARFASDSALHGKI HQVIGAVVDVKFDTDKLPPILNALETDNGGQKLILEVAQHLGENVVRTIAMDGTEGLV RGHRATDTGNPIMVPVGPGTLGRIMNVTGDPIDERGPIKFTKKLPIHADAPPFTEQST AAEVLVTGIKVVDLLAPYARGGKIGLFGGAGVGKTVFIQELINNIAKAHGGYSVFTGV GERTREGNDLYHEMQETQVIQLDGESKVALVFGQMNEPPGARARVALTGLTVAEYFRD EEGQDVLLFIDNIFRFTQAGSEVSALLGRIPSAVGYQPTLAVDMGLMQERITTTTKGS ITSVQAVYVPADDLTDPAPATTFAHLDATTVLSRGISELGIYPAVDPLDSKSRMLDPR VIGQDHYDTATKVQQMLQEYKSLQDIIAILGMDELSEADKLTVERARKLQRFLSQPFA VAQVFTGIEGVLVDLKDTIRSFKAIMNGEGDDLPEGAFYMVGDIDAARAKGEKILADL EKE LY89DRAFT_741305 MDNTNEKSENTMMGNGAANGLPNDGTGVVQLDPWLGPFKESLKK RYSKAQQWIKTIDETEGGLEKFSRGFEKFGFNIDKQNNISYREWAPNATQAFLIGEFN DWNRESHPMKKDPYGVFEVIIPAKNGEPAIAHNSKIKISMITPSGERIERIPAWITYV TQDLHISPVYDARFWNPPASQRYQFKHPRPKKPESVRVYEAHVGISSPELRVSTYKEF TQNMLPRIHHLGYNVIQLMAIMEHAYYASFGYQINSFFAASSRYGSPEELKELIDTAH GMGITVLLDVVHSHASKNVLDGLNEFDGTDSCYFHGGPKGKHELWDSRLFNYGSHEVL RFLLSNLRFWMDEYHFDGFRFDGVTSMLYTHHGIGTGFSGGYHEYFGPGVDEEAVAYL MIANEMLHDLYPEMITIAEDVSGMPALCLSLSLGGIGFDYRLAMAIPDMWIKILKEVK DDDWDLGNICFTLTNRRHGEKTIAYCESHDQALVGDKSIMMHLCDAEMYTNMSTMTDF TPVIERGMALHKMIRLITHGLGGEGYLNFEGNEFGHPEWLDFPRAGNNNSFWYARRQF NLTDDNLLRYRFLNEFDAKMQHTEAKYGWLHSPQAYISLKNESDKVIVFERAGLVWIF NFHPTKSYADYRIGIEQEGTYRVVLNSDAKDYGGFERIDSGTRFFTTPFAWNERKNFT QVYIPTRTAIVLALESTL LY89DRAFT_628840 MPAMNRTFARTSVPKPSLFQSSRHSLSTSTFRARESHFRRSDFQ SQGFTGTYEPGQPTVGPLGQASKHGAPRLTPSLLKEHLDKYVVGQDKAKKVTAVAIYN HYQRIRELQRQEDEEQARREQEARWELRERERTSHPVENEYPGHVETVDLNAPLRSPD PEPELGTRPLQDDSKTIIEKSNILLLGPSGVGKTYILSTLARVLEVPFATVDCSSLTQ AGYIGTDIESSIERLLLAASHSITKCETGIIFFDEVDKLAKPAIMTHGRDVSGEGVQQ GLLKMIEGTTVTVNAKSDRSSKSESNSRGADRIERGSRDGQQSTGKSEQYTIDTTNIL FVFAGAFVGLEKIISSRLSSGSSIGFGAQLKSPSPSNQKKKKQEPKILEAVTPTDLQT YGLIPELLGRIPITVALSPLSLPQLVSILTEPKNSLTKQFIALFHTYGIQLKFTTGAL HAIAERALPPTKGDAGKGGGGGGGIGARGLRSILEAVLGETMFWGPGSAIRFCLVDEK FVRRVGRGVVGEDGGQGEEQGEDEHKMPRCWSRGQGRAFEEAYEVEEEEWRVRHEGAG AGEGKGEDASFERLRRVGSSGM LY89DRAFT_658069 MLRTTAASLRKPSIVRSVAAQRRSAHAISNPTLANIEKRWEAMP PTEQAELWMALRDRMKVNWAELTVQEKKAAYYIAFGAHGPRAAPPPGEGWKVAGYTFL GIGASLALFTAIRFFAKGSPSTMNKEYQEATNEYLKAQNSEPISGISSEGYSGKGMVQ SAPKKKE LY89DRAFT_701533 MAPIKVGINGFGRIGRIVFRNAVEHDDIDIVAVNDPFIETHYAA YMLKYDSTHGQFKGEVEAVEGGLKVNGKTIKFYQKRDPTEIPWAETGAYYIVESTGVF TTTEKASAHLKGGAKKVVISAPSADAPMYVMGVNEKTYDGKADVISNASCTTNCLAPL AKVIHDEFGIVEGLMTTIHSYTATQKTVDGPSAKDWRGGRTAAQNIIPSSTGAAKAVG KVIPSLNGKLTGMSMRVPTSNVSVVDLTCRLEKGASYDEIKAVIKKHAEGDLKGILGY TEDDVVSTDLNGDNRSSIFDAKAGISLNNNFVKLVSWYDNEWGYSRRVLDLLAYIAKV DAGK LY89DRAFT_676386 MSQDYMDTVIQSNELPVSNGHSNGFLDPRVLNKLDDLDIPDSTD SREDSFESESKLDIAIPRRAYLPTGCCYDIRMKLHANADFSASPHHPEDPRRIESIME EFRVAGLIYTDGSTEQLMEILKESPEKYMYRIPARRATETEICTVHDAAHYDWVQSLS SMTSTQLRQLTADFDMGRKSLYVGNLTYEAALVSAGGAIETCKAVVTGVVKNAVAVIR PPGHHAEHDESLGFCIFNNVPIAAKVCMKEFPDLCRKVLILDWDVHHGNGIQNMFYDD PNVLYISLHVYSNGTFYPGQPENEDLPDGGLDKCGIGRGIGRNVNIGWADQGVGDGEY MTAFQKIVMPIAHEFDPDLVIISAGFDAAAGDELGGCFVSPACYSHMTHMLMGLANGK VAVCLEGGYNLRAISRSALAVAKTLMGEPPERIVPPPLNRVSADTINMVKRIQANYWE CMRPGVIPSAEIQVRSTERMSDVIRRAQKSQLYDEHNMIPLKIMRKGLTEQYENQVLV TPDLYKAKKVIMFIHDSPDVLAQPDLHDNKIYPHNAYVTDELKLYIDWAIQNGFGVVD VNFPKPIYKTNNFGKELDSYIPKPSENLLANQTKELLTYIWENWLELLGSVSITLVGV GDANIGIKQLLTANDDVYKKIPSVLSFIDSNLRSVRSETDPGLSAWYRQHSLLYIMPD HACFSDPIQSRKVAKKSYGTVRAANVEVGESGNPIGRMLRAHAEASKEWILSRVMDWE AENLQVDPDETEDEDESDITNPGLGIHGGAVDGDIDMAGMGGIVSSRMPVLS LY89DRAFT_690565 MANTSRSRRLRTPPRATRAKAAISYAEGSSDSELEAYFDAVASD HDESPVTPRPRNTRASQARRTPAPRKHKRNRTSYSEYTDRTSTPKRIRTARQSKPSSP KPATSSTIEFLKGSGVVPEWQNLPYHVFVRIFQYAAYPLYDEHTFQPQPSLQWLVKIA PLCRSFGEAVAEVLYKSPPLVPMGQAHGLMYLLQEDPSTHIYDYRKKIKSLQIDVGQV VAYSLPGSGHLDLFDLIKNLPQLKSLEFYHQLDMSPYRDLDSTIKWNYSDRIFKALEY FDTAANAVQGEKGSVCYLKSWRWSSRLAGKKFPIETLAAEHLKPYFQFLTKIAFVNYQ VPGLKKDEEDPKHEAALANAIKALPQVKHLIFESSTLVNAILLPLLPTKLEDLELINC WEVVAEDFAEFLVTHGRCLRSLTLNHNQSLNLGFLPILGEACPNLEVLHMNLTYFNLH ATYRDSEPQYEKLLLPDQVPVWPSTLRSIELIQLRKWEMEAAEMFFQSLLDSAATLPD LRKLTIQAIINIPWRTRASFRDTWLGSLDRVFKRVSKPPLPVFTLQAPTSTEEALAGP SDDGEESGKQVSKHSLRPSSSKSDDLLSAVDTRPMLAPPRRSLEAHQISHTRRSTRTR LATGTYAETSDSEPEPEPFKPTVSARETSRHNRLARELEILKQTAGSDSPLVSTSAAT SDSDSDAPLVKNKGKGMQKEVIQGMCDIVEVRIDNLRPTENQVTEADFLDEERSGDED WDGQDGDEDGYAW LY89DRAFT_628854 MSSVTSKGEGEAALSVYSLLYIPSRRKPFLRRNVRKTLQHLTMG AKLSAMTSSRGTKPRDDPMDVDDEEDEEPRPPKRRRIHPPESRDVSAAATDDAGPSRQ PLSQVMSNQRSRPSKPELVQPYDFYGKAKAPSLTSSLLKTPTTATATNIEANAETNNF RIDDITPATLADFKEALRVDVNEIIPSDEGIDDEPFNFTSNFDMRCTVRVAIFYRQHD DIQEGNTKPVEISRKDTECTLRVTIARNGKVSRDLVDLEPFYFLPSSFKVRRRKPRQP NGQWGGYEYYRGFADRYLLSISIHPVSSEEDWPTLNLSAGASEYKMDEFCLHTKINLF CHPERQRKAPLQLEHIEIIGAKIALSYALSFQVRWATPNVLTNAVLPTIKSDETPRAK VPLAPTPAPAQDEPASPSPRKEDETTIEESTASNRAKRHRNSVETYNLKTLSTLAQGK SPRKSKPKESRSEPEPDAGLTVTYCFGKAEAADTGIKQQTTVPGLACPFCETPNSMVE ELRLHLHADHGAFKFSLRRNSPRVQFFVELARSRSGPMLDTDRAKIYQLGKPRTLFDL DKYLLGDDLWTKTRLGPQHGHWPDHLLERANEPSMSSSPHGSRYSSPNTSNGTDDAMD FETYEAKPPVRRRKVVLVPETELPLYDPVTKRILRVGEEIPGSDDEKEEEWLHQKQRQ LVNDFVDVSMLEKEYINVWNPFINEEHLTSHAYMSDSILRFVELKKNWFAENKNMKVE FLRHMEIFITMGSADQECLHKCINILRKAEQDKARRDQQEDTIMKDVEVEKPVSKSRG LLTCICGTSTQPPNQVICTGDKCPARFFCRQCALSSGRPIKDKLKKWQCDECFSG LY89DRAFT_318152 MKWAVIVRGRANAHHDVKIGEWVYDCELNYIILSLFYCILLYFF FSTSGFIYSRRREGCRSLSAGGRWAASLVVAGLGAGITWLAKCASMTYDLTLIQIDNL ILHIPRPTPTFIITARHHDDSHPRLLLLLFAHTIKIAIDAEEAFGNWVVGAVVYAVAL PFGWGE LY89DRAFT_318143 MAKQADSGSAVAVKGLEAADKQIAMLKDRLLPYDPHIVTVPTIY PYSGGYDYENKGTPFEEYEVKQLQYVTLVGETDRGTAKPWPAAEWVDTIEARSPASMA ARSNTTTPNAAANAVKESKIPKTKISIADYKNMKKTGVKSSPNPTGAGKPAHSRNISA VSGGEPLSWDNSFEGVADVRQNGLATSSSAEKGAVKPVEKSAVHASGISNAKAHPPAS TNGHNHISDHARSKEFTSHPPTSRPPPEHIKHGLPPRPPSPPRSTPTYNLPDSKQQKR PLESADTSQPEKRSKIEHSRTPSNSQNNHSRTPSGSQNHLPRKPNTPLDSKPSPLKSQ TQVHSSPHAKKTPVTKPASKDTPKHSEKPLDLPPILSPLPADLGSSPASGFATKKTEG KKSAQGTPSKHGLGSDTIVVRRAPSPLKEIPQPSRAASETFELPPLLSPTLPDVVEQE LLRLQQKSAALNNEARLSSVEARHEKARRPDTPGVARKTTVPKVGHPPKKSHAESSKG PAEKSSLIVKLKYKKRRAEGIRRILGIRAKPSQDFVRLEKQRIAGQQKESRPLQDEDS DDADEPMAKTAAKAPASASKKRPEPVEPRSEPPAKRLKGPESIDVAKSKTALEPAFKS PALSQPNQKGLLSTPKKGDAMKSATMRKVNSSDGHAHTPQTSTSTPTSAEKPRVNGAS HLDSRDLCIYLKRKMDSILHLKDGKRDTVDEAAKKLGLSVALECVAVYMVTFAVSDRG NKRRSSKDWESVIQLWEFINGLAKNTVLQRLSFELGALCREELGRAYNERLTEKFDPE IAKVLLANSTKKDRNWYLAHHPPAAASGIPDPGPALKLDAPLGPWTSMYEARDYVFEI LEQFARKERTGWKPTEAIPGPAGQAQGPSPEQVEMMGKARALFTA LY89DRAFT_701536 MVKIDTIRASNAPFANQHHEGLVCVFAGATSGIGLATCQKMTTM LQSSTFYILGRSPERYTDLLDQMRELAPSNKIVYVETQVSLIASIDAACAQISSAEQK VDYLCMSPGGMPFQGAVCTLRLLSNLLPLLNRASQPRVLSILNGTREKKINEDDIGLE KAWGITAVVGHSTLLTSLAFDHLAAHDSQKHIVFIHSRTTYPSKKDGYFWWAFISVMQ IVSGWMIRNFGMAAKESGERFAFLLISDTLSPGSWRTTRLSDTVPENNILKEYHERGW GEKIWDFTIGVWEEALIKGTA LY89DRAFT_690570 MNYVPQVGKISVLCHLASLANSTQSSEVLAVIQAHEANAMKHGC ATLARCKEIGNTMKAQDLAAAQALRSLTDIMPAREVFDKLVQAYLRTFRTVLGILHVP SFCQEYNTFWCDPESASKEFVNTLLLVMCIGSTFSSKESGVSRELILQCMHIVSTWLS SPGSRLVGSLDRLRIQCLFFLACQARSVKFNILEGNLLKTAMQLGLHIDAEKHSFRPM SASDVESRRRLWATVLELELQSSMDCGTLLSIDGDDYDCASSLNIDDASLQTKHSVSP KPMDQLTQSSIQILLMRTMPTRLRIARFINSFRSGDSFEQALSLSANLLAELKTCTSL IEAYRMSSSPPTTFQTKLFDLLVYRLLLGLHHPFAVKAMSNPMYYYSRKLCRETAMSL LSPSLHSGDDDFHQLRLQRAGPFRNVYRQCALYMCRELMNPMEVDTPFSADPGGRFIQ EEARKAACKYIELARLRIEAGDKSIKCYVLVSGLLAWADATQAGQPVEPEISAALKRS LETCRTLLSSHIRTVSELHDMQLTLTTSIDEQFDWSQWDSSVGQNATDLSPQSWINFS ELGL LY89DRAFT_711308 MAEPIAIVGSACRFPGSASSPSQLWGLLKAPRDVIRDFPVDRFN FSGFYDQDGDRPGCTNVQGQSYLLQEDCRLFDNAFFRINNKEAHSMDPQQRILLETFF ETLEAAGWPLEKMEGSSTSVYVGVMTSDYNDIQMRDLESLPTYSATGLARSILANRLS YFFDLKGPSMTIDTACSSSMVALHQAVQGLRNGDAAQAIVAGSTLLLDHATYIAESKL HMLSPDSRSRMWDKGANGYARGEGCAAILLKPLSRAIEDNDDIECIIRESAVNSDGRT QGLTMPNSSAQANLIRQTYEKAGLDSLKDRCQYFECHGTGTLAGDVAEARAIQEAFFP SGADVVPPANKLYCGSIKTVIGHLEGCAGIAGILKGSLAIQNQEIPPNMHFKHLNPAI EPHYANICVPTSLVSWPDTNGKPLRASVNSFGFGGTNAHVILESYQRSSVAMPVDQER GNCESQTNLVGPFVFSAKSHSSLLGSLAQMADHIRRNPSLDLDALSWVLHSRRTGFVL RTAIVASDRQMLLDSLERQLILDEARSDSQGPGYDYHRSKILGVFTGQGAQWACMGRE LMVQSPTFRKSLGECEEALRALPDGPSWSLKQELSLEAPLSRISQGEISQPLCVAIQI SLVHLLRAAGVHLDAVVGHSSGEVSAAYAAGLLSVRDAMGISYYRGLVAHLAQGDSGQ TGAMIAVGMGLEDATAFCSQARFVGRIGVAASNSPSSVTLSGDSNAIHEAEVIFDQDK IFSRLPKVDKAYHSHHMICCAEAYSDLLKSLDVSLQAPQKDCVWYSSVDEHLNSLQGS LESLSGPYWVENMIRPVRFSQALEAVLSQGNSFAIALEVGPHPTLKGSVNETFKRVAD ASIQYAGCLERGKNDVESMSAILGLLWTHLGPSSVDLDGCRTAFNKPKNPQMPKALPS YCWDHEQIFWHESRLSREYRSSAQRTHELLGRLRQDTQYEMTWRNVFRQKDIPWLRGH RFQGQVVFPGAGYVSMVMQASEAFVRARPVKMLEIQDMNIAKALVIHEDDEGVEIIFT IRSRHNPSKMADDFILQADFTCYSCSDERTLDMCCDGVLVIHLGSSTSGYFPPSPIYV SFQVGFATFASTAEKAMGSTYLPAGIRRAFVDPAQRYRAPSGETSIGIDAHLVKSTSS ILELDINLYDETSNASGVRIDGLILKAIAEPQPSDDRQLFAKTFWDVDVACGLPDPSS SEATKEDFQYIDAVERTALFFMQDLCRKIHAEEIAGFKWHHQALFRGINTLLDPIREG RHAIVQKEWLNDTEETIREFANHFQDSVDLALLTVVGENLPSVVRGESEMLEHMLKDD LLGRLYTEGRGFAACNENIAEFMRKISHKHPRIKILEIGAGTGGTTRSVLDAIGSSYL SYTYTDISAGFFEKAARRFADHSPRMDFKTFNVEEDPVDQGFGKGPYDVIIAANVLHA TRRLAQTVQHVRSLLRPGGFLLAAEVTGTMLRETGLMGGLEGWWLGVEDGRFPCPGVS AKEWDDILLANGFSGVESIIYDFPDISRHNCSVFITRAFDERLELLNDPLALVGPIPE SRVLILGGQTMSVSKVVRQAGKLAKRWTPQITICPNIDALDPSSMISGMSLNDRRLEN LQELLGNAANVLWVANGRLVDNPYSNIMIGIGRSLALELPHVEMQFLDFDQHSSWDME MATRYLLRMVLLSSPQHPEIVVKGGSILIPRLVPDHDLNERLNAKRHFVLVDVELSVA LHSHSEKALFLCFGHIHGRPAFALSNTNSSTAIVSTHNSLELSLQCDYNAGSLVVVGS ALIASYILSNSPATGTILVHEPLRCIAEALATAAISTRRKLLFIKAMATTGHSNWNVL HPLAPTRVIRALIPDDSSTMWRFSDVHVDNILSNLPNTCVVKTFDPHSLRLAHQDGVI ADAIALPTQVEVVPSIIKICDTNVFLDKLNTERLSTVVEWQRTNLLKVIIPPIDLSKI FAADKTYFMVGMASELGQSLCRFMISCGACHIVLASRNANSDAKWPASIRSSSVDVRI VKMDVTDRAQVHETASMIRNTMPEIAGVANGAMVLEDSLFLNTTVASIEKQLKPKIDG IVYLDEEFAQDNLDFFIAFSSLGSEYGNAGQSIYHAANMFMSSLVAKRRRRGQSASVI NIGMITDVGYVAKSQRANTEIEQHLRSQFYTSLAETEFHHLFIQAILSGHPNSTNAEL TMGMETFVDHPNASARPQWFNNPRFSHMVVSPVSSDGSARRSTSAEQHRKRLELASST AEVSAAFEELFCKKIESMIKIPLASISIKAPLSDLGLDSLLAVEIRIWLLKDLGLDVP LLEILGRESIWSISSRAASRYIEQRTRSAEMMATSTNSESTVDVTEGDSSTATERSEI LESQVGCSQEYDTSDSTSLSSPRIAPSGLSSTLELDYTHTEFVSYPQASLHFLQNFLE DRTAFNVTAQYSIKGQLNVARFSRAIEKTLAFHEAYQTCFFTHPGNSDLHQGITLSGN LKDRFVHVDTATSEDVQLQFQTLVDTEWDLPKGPIFKAVLFTHATEFHTIIFGCHHII MDGMSWHIFLQDLERGYQLIPFATVPNSYREFSRLQIDALKSGALEDSIGYWMHELDP IPSVLPLFPFSLRRSRQSQPVYRNHTIKDGSQMCRATTMQFYLAVMQALFARLLDIEE LCIGVTDAGRGPGGNFSSTIGHFTNLLPMRFRMSKERPFEDLVQDTSRKVLSSLDHAQ IPIDVILERLGMPRSSAHTPLFQIAFNYRIGDLLQRKLGNCSLNLVRYVDAKTPYDLT FNITQTTPGAHLIEISSSTYLYSASTTEFIMNTYVNLLESLSLDPLQTLQSCTTCSKL QLERAPSLGRGPRIQHMWPETLTERFQQVCTDYPESLAIKHNQLVMTYAQLSRRVINP SIDTYAAMLGILHVGAIYVPLDPVLPVARQRAIMRVCKPDLLLFHCATTIAVAQCDVG DFVPRLNLSELPISVDETPATVALDHSDAFLLFTSGSTGTPKGVRLTQSGVMNYAASK SAFLGLGQVTVLQQSSIGFDMSIAQAFNAFANAGTLVVASSECRGDPMMIARLMMENS VHFTICTPSEYLMLATYAPDLLRACSSWRYACSGGEPVADGLVSAFQRLEIPGLTLTN CYGPTEVSCAVTLRSLPVQDESSMPYSVGKAIPNTSVYILGDSCEALPIGFPGEIYIG GRGVARGYLDIELKSTKFLPDPFATPEDVSQGWGFMYKTGDRGCLLEDGSLVLSGRIE GDTLIKLRGFRIELNEVANAILQASKGVLSDAIVTVRGQPEFLVAHIVLASGKNQTQA ELDRLCACLLLPQYMIPAMIIAIDHLPTTINGKIDRRAMSEFALPTRNREYGDDSNLT IAEGELRLIWKDILGDAAGAAHIRADTDFFVIGGSSLLLVRLQSALKERMGIGIPLHE LYRCSTLRAMAAVTNEERGRLVTQTIDWELETSIDPVPEHVLAANGSTNPVLTLRNQR RIVLTGATGFLGSEILTVLMDDNEVATIYCIAVPADARHRIPVDPKVVVHTGSLSSPN LGLSRSEMAILEGNIDQIIHADSQGHCLNNYASLRDANYLSTRFLTTMALPYRVPIHF ISSPRVILQSGTYTSPSISMAACPPPTDGSQGFTSSKWASECFLEKMANKTSLPVVIH RPCSVIGARAPHDDAMNAVIRYSILSRTVPDLPNIEGFFDFKDVATVAADIVRCPVPA AGISFKHHSSGVQVPFSQLARRMENLYGGKFALVSMAEWILTAIELGIEDLIVRYLEA NIAGGGKLVFPYMGESL LY89DRAFT_763533 MLLSNLGVIVCTLLVVVLWLYRHLLPKPIPGIPYNERSVKRMLG DLPDAFKWRQETGEMWSYIRNQALELNSPIFQMFMRSPIGKPEFDRSAFLSDIFGPLL PGNHVWMPSNDEFRSHRNLIKDTMSPPFLRNVVAPAIHSAIEDLLELWQQKLRLAQGR PFQADHDLIRGVVDVIMLATLGVQTGLSKSQSELLSETEKLDLSTDINVPPVFPAATE SRAYTAIRTLVDSIQIGMTSPAPRMHMNFALKFYPSLAAARKYTDQMMTGVLHTAWQK ASRSGQDDQVTSAVDLLIRLIRDELFGFYLAGHETTSTTLCWAVKHLIVYQEVQEKLF SALKSVHKRAVEEDRLPTAHEIVDAEVPYLDAFIEENHRLGTAIPTVIRRATRDAVVL GHVIPKGTDVFMIMNGPSFQSPALGIAEDKRSATSRDSKDRYGVWNDENVGKFLPERF LAEDEKGITKFNPFAGPVLPYGVGLRSCFGIKLGILELRVIITMIVWTFVLQKTPPAL SSLKGVDVNTHRAQQTYIRLKNR LY89DRAFT_598735 MIFTTSLLFSGLPIFALTLIVWIGHACFKYHQYERMRVQFGCSP FKHYPSWDRILGLDYVYDMVKAIKENRFLEFQKETYSASGAKVWRANFLGNRMIYSSE SENMKAVSTSHGDCFAVEPIRVGNGAITPFPGRGVSSSDGAKWQASRDLVKPYFDRAG YSNLQRLSQHVDRLLDKIPKDGSVIDMQPLFQRWFLDTSTDFLFGETVNSLDKPENQW PFRDMIAVMAGLRLRLQLSSFLFLHRDPEWLAACKRIHVFLDTYIEKAYKQLEDEKNG MQATYASGEARNDFLWTIARQVPDKLELRTQLTAVWIPSNETTSILMSNTLFALARHP EVVQKLRKEILKYGDKLLTFEGLRSIDYLRWIINESHRLYPVSLQTVRACVKNTSLPT GGGTHGKAPIFCAKGDIVHCNRYLMHRDPDFWGSDAEIFRPERWAEVRPLWNFVPFGG GPRICPAHVMVDTECSYTIFRILQNFKAIEPRDDEPYTAVMRVGPSNKNGCKLSFVPA LY89DRAFT_741320 MSYINTTNLIPIPLEIDNNHKDYSIAIAIIVLGIVASLVVFVRL AYRYSTKRLGIDDYAMIPAVMFYIAWTAMAAYFNLHSGIGKPIEEITYGEFIIFFKGI FVAAWMYPLMSASIRVSILLFYRRIFAKGYLFYSSTIWILLALQGAYVIAFEIIPGFS CHPIADAWDPVNRITNCSDLYINATEALYSTSLAFDVILLIFPIYAISRLQMPLKKRL GVFVIFILGAAAGIVAAYKLRIFVYETTHWEPTDPSWWNFEAAAYNLPQYNDYGKTFW IPSQVEPTVAMIGTSLPALLQLYASASLQFSKICSHHDRKRVQQWWLKCIRQRQSEI LY89DRAFT_788437 MSHFEETVVHHVRPIGWQNDPDQEIWILSDLEYMMPQVFLKFSF LYKIGEGVDEIAVIDGLKESLALTLSQYRPLTGVFKKDDGEVKIFRNRGDTVSFVVKR LYQNEKSVSLADLEAAGFPPLQVDRQVALENMGDASIDSMIKNNRIQTELQATWLQDG IILTIGYHHYCTDGAGFSKFVQQWARNSLALSKNTKPEPPAWDYVNLDRGRLNGKFVP PEKRIIPQPPNLSPTLVTVPFAQAPPQRPVILHFHKSSTDLLKRIASSSGGTFVQISS YDAITALLWRAYTRARLTIYDLIPDESTMLGQVVDLRSRFDPPLDSQLQANATLGVVT PGVSIHDVVADGKLGFLASLVRQAHIGATEEVALERSNMVAMLQDKTMAAWSGERVPR FGVAMSDMRSSKFYEADFGFGPPVAVRNVHQPGYPCVIQKLAPQSDQETMLEVQIPVD VSCFECFIQDPELLLYAEIVSG LY89DRAFT_658084 MAVSGTPHLPNYEREIDFLSEIDTTTDTEKQAPYSTAPVEDVKA ESPTPDTEWQNRGVVPPPDGGAEAWLQVVGSWCIIVTFGVYQAYYERGTLFTQTPFNI SWIGSIQSLIVFLLGAVVGAIYDKGYLKLLLAVGTFGVVFGHMMLSLCTEYWQVLLAQ GFVIGIGGACLFVPALAVTQPYFSSRLGLALGVVGTGSSLGCIVYGVVFARLIDRIGF AWTTRTIGFIALATLVVPLAISKKRKQPLPPQTSPTSKKSDFSGVLDGRYMLCVLGVF LGYAGCQVTFFYIAYFGQAQGWFVGNVALYLVVIINAGAIIGRLMPNWLSDKVGPINV VLPGSLLMGVLLLCNLAVVNAGGIICIALFFGVLSGIFVSLPPLLFMALTEDKSKMGA RMGIAYLFVGLSVLPGGPGAGGVLQHKGSSLHWHAAWTYAGVLQLAAFVVFCVLRVWQ GGLAFTVKI LY89DRAFT_318256 MEYGHTDLSSFWRGASGQIPQSRKSLLRRVIDFDYLSTLTKEER HGLLYAGHAFINAENASKGVPPVYPNTVMVHDVLQSYTNKQAQQHVDEFKELDNDRGR SVLADQGTEEMEEVLEGFAYFYKKWKFTSSIVSLKNCIEMNQVSLARVLLQYYKVSAD EDLGHNFNLLHLAAMRNRPIFVPILVACGVGINQKGGNVGFTPLHEAIRAGSSETTVA LLDAGADVEMYSDTFSNTAPFSPFELALECPNRSSKTVSLLLSRGAKYGHTTQSLASL ETACRHPDILLTLLNHDPSLSRLETRKRTLLHAAVAANRPRSVQILLERGADVNVRDI AGRTPLAALSSINKLGSYVLNANFYVGLPVKHFDHEMWNPKSFDETQSLLIEYGGEEP DDYIYTVGERNMMIAVARYLYCLEHFGTGMLAKLSLSSLVQGLMAGKGVLDPSTMISI MAETLKDQKELAINMLASVHLLATSTQHLPVDSEVLGQKFTAKFKEYLAGWLKFVNWD EMMDLGLAIVRYAQDPVEPQTPLPEGIDDATVQEIFQEIQQASQNGKVMSFKSPESRL VLSWFLNAPVPDDIDAALNCLTSPYVPELAKGRSISEPTRLAFEQLAAAQKALKPGGE WDGIGDFASSFNNFLESIGPASITAPPTQLLPFHHTGNEDMTYQPSFLSDLSPHSLIW VCLPTESRLSRQARIFTPVALIAIFCLLLLWRVRNWIRWLTFSRVVSAILIHFIRDLI VAYPSLIPDITRFAPIIAVTGWLAKGSVWQMLLECHLTYGLFKYIFSEDSKDDLVLNQ VRFRLRYGFPDAEPVIISHKSGAEWNYNFLDLYESMWGNYSLANFGIYDWVHRCDAVA ALIDQWAAEEEQGLETSKKFWGRGWWFYIESENRWKKFDFLSEGTLPDRGKTMKAFEE IERMVHVKGCPELYQNFRKLFPDITTRDKYRELEELLRDHPDILYVVQGIWID LY89DRAFT_741324 MDIGTALGIAGIAVTLPALAEATVQYGRFIIEKYRTYKHGEADH NIQTLLVRLHWDEIQATILFFASSCDRFEVTVKDLVEAHLQVLLKKFEVVILKLNKNV SGAGQVRRFRYALFGKQILEDLIQDLDKWQARFLQYLQLITFTGHRMVEPKSVAAEYA KQVPSLQSFSVLQDQTVIEDKESEPRKVVPSKKAIELRAEEYPTPQQRPLRGSTVYIS GWQEGGAPAQSVIVDRRYYEPSRDNLKYIRQIVSQTARILSESDVETTSILPCLGFVH RPELNRFDLILSIPKSLPSSTTKEFEPRSLRHMLTDPGYGGYTYHSLSKRLQLAKKLA SAVFYIHTSKLVHKNIRPENILMFCGPSEDAELMNKKDAFPYKLGQPVLVGFENVRQV EAEWASNRSGSLTWEEDIYQHPSRHGATAAKYYSVQHDVYSLGIVLIELALWRSFVVF DA LY89DRAFT_598914 NYTAISYTWGDASLLKMIWINGVPLEITTNLFEALLHVRDTKRQ LRIWADAICINQHDDEEKSFQVGMMGRIYATAHHTVIYVGLEPTSALSRTFRQYYPSE REAQQIAQKPWFGRLWCCKSWYCPRILGFSAALSVGNGTITFMLSKI LY89DRAFT_711310 MILWSPPLKRASMQYLQNTKGKQPFTYLCFLADSTGAPTIKKSL EEIMQLSGGVNPFDIPNGGTNYTDPRIKTLTDVRLVCAIRMQSGIPPGCIVIIPNKGP QLHLPAPIVTLGNSSDNVIVNMYCSDITIIKNTPPTPDGSPLRLTALERWVSHVVDPL SGVQIANPSPLQLSATTLNYLCATDGHPLPGASSFSWNWIEPQDVAQSSGVIAIKRNT IGLAMSVRANNSDTLFLSLLNSPLSTSGWIANPNWKSISFDAQNPPSSIQITNIYFSE TEQQQQYIMVDILRDPTSPLKDTARYIVDPFATSGVYWTPHTLPFDVEEGTYQSCMGR VSNAYADGIYTAGTAQGAAQLAYVPLFNLIGGAAPMPTPRNDDKTSHLYGKTDLYAIG QSTLYRWDPDQQLDDSSVGTALLNNSVFAGTGTIIALIHNQVTTIFGKNASNVFWYTT CYVEQLADPQSWSVPVPVLRDIEHITSYINVKDGGNTVFAAGGNKIQQLTQATNTTCK LWQAQPITLAASPQTSAMSFNSYTSTIQVKGADGLPVTASEIVLTMEARTPVYIDGLY YILSTTPVTVPVNTSGQVIIVQATESIAGATIVAKVGNTTQTIDPMGKTFAKLAQLSD KNALKNAQIQTNTTAGGIIGTPKTAALVSSSVSDSDLQSTSQSIQLLSDAYNKQQTYI SASSSLKMAVGPVLAALVVPQRSATITLGSYLAMAAGDLFNWLKTGVKKIINVIKNAA TGLWEFICKIGNDVYRAILNTVDDIVGAVEWVFDKIETGIETLVRYIEFLFDWDDIRR TKQVMHNLVKFYMTDMVSGIKTAQTEFDNCIAGAQKAVAEWSGIKYWTPLGDSASKPP SGNATDPSKDQTSGSQMLAGHFRNQADNISMPQGMPEISLVQNLVDDMITAMAAEGMV FTQTFDHLKALAAEFLTLSVADILKRLAGILVESVLETTQVVVDALLKVLYQLASTAI AVLDTKIHIPIISDILNAIGVPDISFLDVFTWIGAAGITIVFKIARGKAPFADDAPTR ALIQATDWNSFSAVFKQPSVKNAPEISQAAGAAIYSAGHAITGFIAFTGNFLFFGKAM TVSSDENPFGVPAAVMGVIAAAASGGADALVAKMPLDNTAVADLRKITTVATIMSKLI FSGPSQKYLATSRLSFLKANDPRKVGAVFNSLPVFPSLICSCYHFYELSQKPVGKERS LAIIGETSSMVQYIGRISYCVALFIDDPEVRIVPAGIMAGTFVVMSGLETAGATIF LY89DRAFT_788443 MVNEELIIDGQRGALDWPWPLLIDNEVKALGCTPVRITEVNAHL QLPSSLVSYLLLHIALCQRYHKRPPVEDIFGWLSLILVLFVEYNHLLPDARPLRSPLM AWTISFSQ LY89DRAFT_788444 MSITHLSTELLQKIYDYSDLQDLLSVARTSRRTYRVFLGRRMHH YEQGLHNSYSPLPSLLKLVLSNEPDKSRKPIGMEIRINMILGRIIQAGDKPKLTLEHM KKMVEYGKIADRWTELYPRLRWRFGSDNRRLLHPHEKERLRRAIYHHWTYTTLFHSRV FTQYSPDPPSPASHDDPRHRLLRTYSTVEHVQLSEFLAHVEQLVELDLYPSNSIVQDH YSHSLPPRALAKIAWGEGNEYRRLVRDIMKLSPADLLHLVENTTTKTERLDFLYAKEA CFGDVPATLNYALSTVSVERARHCWGLEGPALKMLVPSLQFASLRPSLPEPESDFGDD NLVFGIVDVVAREKLEVLEAHIACDAVETGEWDKYCGQIGLAPSAVVVEETNDEV LY89DRAFT_763570 MADRMFNELDYTYDQIGLSLVIDPATGIQQFAFHEDSIVVDIAR AAGGSGKYEEVKVAYAIFYGPECTYNEAAPLLPTFDQNPQVAELVGTLIVLKTLPTIH NLPKINTIIIKTSDTPRNNGFHDHITGTIWSWAAKEYLNSKKKPVGFGELYKEVHEKL LELNKQQIAVKFWKVDKENNAKVEDMARRALKA LY89DRAFT_658090 MKDEFDSRDIGQALRKVCIRGRAPGTRKVRPRRGLQTSRIAKRQ PVTIPKTTRTFNIEQHGAKAYNDPTSNLRRLESDPASLVVAVHGCCSANALSSARASY GVFVSEYALNLNRNGTVPDPFMQTSQSAELYATMKALDVVHDLIIAGEDLSHVVIKTI SSYLEKGLSSFIWKWASNGFKNTEGRPVVNGRAFRFLHERVVLLEKEYGTRVSFCLVR KDQNVQAGELAREALN LY89DRAFT_788446 MVTFEGYSAELHYNTLQDLSAAYDEFTVQQVQKAIDSKIGNLFV KHGVQSALGLTLLHRHFPISQSEKMVNYGAVACPWDTSTMSAEVARNIHSSFWRFTQP TVMAPYEFEYIMGASYENKLEEERFQVFLGEFGAFLEDHNLIRLLGVQMLDAIGEEPG LEFTADRANITLPLKVSGLDADDAIEALWVFDQSLKGGSIETTAYKTCRKKCVKKKTG HRKVHT LY89DRAFT_598580 MSASAQTLPPPEVAFRLPGLSSKKVLFSRKSQDPTYWHYPEDGG VYDDQWWYLIPGDGDHAGYYLLKSKYTGKVMFSRKSPDPRVGHIDGDGKYADNWFWLE FGTGDKVNNFRIRNHASDMVHVSRSGTDPEVINYAGDGTKYDDQYFSFLCELVNFDNI EYHINDAKILSSVVVAMGTETDCNETDTDQTIEFTFSKTESVSYTWDYTVGFIIDIGA GVEVEVPFVESGNVRVDVSNTHTFSTMHTTSEDTTFSGTVTTTAPPHTEVTATATMTK SAVSVPFTMYVIVASTNKTVAIEGTYNGTSFWNIQADFTQKSLPDSS LY89DRAFT_788448 MDPLTTLSLAGNIIQFVDFGTKLFSEARQLYNSSVGSLKSHDEI ELITNDVKALVKNLQQAFAADTRGVQNYSANYWENFRKICDEAVSVAEDLLELLKELK LVPSGKRLAWSSVQVALRSLWSEKKIAAYIKRLAALKDVLESRVLFSIRCAVRTSARF DCLDRQTQRIISAMLGQHTAMSKAVSGVIRDEITALTITLSQILSRREFKSEDDHLRT RQIILQQLCQREHQSYPSGGDIVAGIEMLQVSDTQENRLRDFLQRGFIRSLWYEEMTH RYEDIVEAHPETYEWAFHDPPQDAHSWSSLYDWLKTGRGVYWVSGKAGSGKSTLMKHL FDDPRMKKCLEAWAADTPLCITTYFFWNSGTRMQKSQLGFLRALLFQVLQKHPDLIPV VFPIDWATLYSKNARDTSKELGDLCFDLQWNPKSLIGGFRALASQKTIPLKICFLIDG LDEFLLLSLPLDSKR LY89DRAFT_690582 MVFHHDDSGSSSNHTCPDKSLADPPQVPFIGSMSFHQVAVIIAG ACTIISCLICFFLIFRHATRYAVPKEQKQVIRLIFIIPVFAVASFLSVAFNNASIYIQ PLTTLYESLALSAFFLLLLAYIQEDDDERQAFFETSGQMAAYRKTALAVFQFPVVEFL TFILTEITEATGTYCASSFKLYFASIWVSVITGISTGMAIMSVLRFYKSMKTRVDKRK PMAKLLAFKGIVGLTWLQNIVFSFLSSEGDLHPSSKLTYKDLTIAIPNLVVAFEMILF SLAFLHVFRTSEYNLKKGSTLGHGSYYGGVIGLGAVIQALNITDVVKAIIGAISGGRS GGRNYPATKVYASGGSVEYDRVELIPPQNTHYDSRPEAGPGYPGGNMNYDPRPEVAPG YAGGNMNYDPRPESAPEYAPYDGAQYAGKYPSPSASRYGQ LY89DRAFT_598617 MASTTTTVAGYLFARLHQLGVRSVYGVPGDYNLTLLDYLEPAGL HWAGNCNELNAGYAADGYSRVKGIGALITTFGVGELSAINAIAGAYAEKASVVHIVGT PSRASQDSHALIHHTLNDGDYRRFAQMHAHVTTAQVNLTDPRTCSAQIDFALEQCLLQ SRPVYIEVPADMVPIPIASARLDTDISIDHFAQPLNATAALALVLDRMYSCKSPMILV DGEIRAFGAIDELNQLVRLTDWPTWTTTFGKGLIDENLQNNLGIYKGKISQQHVKEYV QSCDLILSFGPHHSDSNTYQYSNIPNPAVTISFGATEIQSDATIIRDLPMKPFLNQLL TNLEISKLVSVSPPHSVEGNVVDSVDSPGDSETVTHCHFWKTMSSFLRPGDIVLGETG TSAHGSRELVLPQTARYFTAVTWLSIGYMLPAALGASMAQRELHEENSHQPDQSRTLL FVGDGSLQVSVQALSDVIRQKVDMIVFVINNDGYTIERCIHGRNRSYNDVAPWRYLLA PAFFGVDEEGEYRAHTYSVATWAELKNVLENDELKRGKGLHMVEVFMSKEDAPPTLLA LLEKQKEAEKERDSKVVE LY89DRAFT_318376 MSSRKAACDPCRQAKVACDHGQPVCRRCQRRNKASHCVYRVSPF RRVRIDGGPAEEPSEPRSLLQREERSENATPLRRSRYPNPGHLGSSSHVALFDQISYD SPTAANASSRADLAGPGQDDVGESIGSGIACTDLAQKLEGFLDQFRIASLADLVKFWL AKGVNLALAEPIVLQCTEGAEQFLAIRRSTGSNTYSSLVQDLLKSSAKPLVFGKTSTL SDYTHQFCGENMRLETLGIFLIAVIRASIDIPFFPPLYATEAERHHLRQLGTAIISCT LELCLSLDCLNDLQLIFQYEHWILMSYVYGDQSYGAWRKLGDVIASIYALGYHENIEA RSNTPPFLTELRKTVFARAYSGDKNVAIFLGRPPRMSTKFAYFQIPSARANPESGEFL QDREVRVQAWDPACEMNYRAETRWSALCAFIKEDILELLYSGRRNDPAKIRRTDEQWQ ALPAHFRLEGKLNDCITTSPFERDFLLSTRLNHLQVKFLLQFVLLDSLAQPDEVIVTI TQQMLSLVVEGILLRDQLANSGTGFIWKIVHYGLPAAGIILLAMLKQPDRLRWRRGRV WQDFTILVAEVQMGSFIREWEPDYALLSKATQTIQRFLDISQNDDIDTSDPAPALEET SSSDDWFSLLNPDPWHLEMNFWSNLGEHSFLSNMDPALQAMQ LY89DRAFT_318386 MARLTMDDVESLRAESEPMLMDIATYSLSDSYKSQHCNKKPQSK SMVDHFSIEASNFRGSALKRTAKQHFKSRLIPLGIGRPIDDFYPWESIALKESFTLPQ SSHTIGLNPNVNTIAKRQGLFNLSTGLNYSHSVGQQPLLRFITEHVEIIHNPPYRDWS VCLTCGSTSALEIALRMFCNRGNAVLTEEYTYPGFISSTQLLGVQTVGIRMDDNGLIP EELARTLRTWDDSTGSRPRVLYTIPSGQNPTGITQSLERKKAIYQVAEEYDLIIIEDD PYYFLQATHQTMPNTNAIESYISHLPPTFLPLDHSSRVIRLDSTSKILASGLRAGWVT SSNEIIEKFISYQEVGPLAMSGPTQLMLWNLLDAKWGHRGFMAWLEHLSRQYRARLDI VLEACEKYLPKDVCSWKPPDCGMFLWIQVAVEKHSEFRESGFKVADVEERIWSRACEN GVQVTKGSLFNAAASRPSRVSFRLTYAAADEDELDKGVKLFANAVREEVGLERVE LY89DRAFT_724581 MATNDAISPTEARQLVESIALGYGWISQTVRDATHPDALKAIYI LQENLGISVRTLAKNLYKSKARFVFELIQNAEDNAYKRAMSTGVVPYINFTVHPNKIV IDSNEDGFTTANVQAICKIGQSTKKREGAQQFIGEKGIGFKSVFMVASKVHIQSGPFS FSFEDSLGELDAPPDIDVADASSEQLAARRMGLVTPVWEPAEEALPDPLTRITLSLRK KLNYPELLAQFDALPDTFLLFLNQLGAITITRIDGKTTESTTFSRKLDESSRQATLSK AQRIGSKVLQPSLKHYHITRRTLTNLSPDERRDHNSAEVVLAFPLKDEEPIIADQETY AYLPMGNFGFSFLIQSDFITEGSREGVMEDKRRNNDILDGIAETFLDAVLQFCKHPTL QYKWPRYIPQTIPSPFWRRIKEKIHLLLKERAVLRGRSQGPLRLIRQLKLIAKDFKDE FGDPLVADLDEEMYLSAKYQEEDLAALDKLGLETLDFGDILARFKCDLGRGIDGSKFK SNRTTDDWHNRTAKLLLRPFVEKWKSWIPDKIRELPCIPLESGEWTAITNGAVYFPRT EGILIPTYLGLRILDEKSFKDPIREKLFVTLGVAFAKIQDIRALVLKRYDKAASSITF ETSLNDLQFLYSAHAPGSTVQLRRSTSLFVFNHLGRRIQDGEDLYFQSDEEYSFGKLM GSVLEKEPYNNGLAGSFIHPNYLKRIELPPAKRTGSHPTLKTWLQRSIGVLGHPRLAD SEDSTQLSPIFHHIIKECPEKMLGTLKAHWASYAAVMNDDLASEISEAVVPNTNIGSE PLRETFLHSETLRINCGKFVDVQKFPFLRLDNGTDIEGWKFLHAFHVGIEDNLDFWLQ VLYYCKSSKAEFRYEIYEVIQKKIWSSDSPEEDEQKVYNFVYHEELILAPSRLERLPS WTHQISCVWDAPDYLITSIPLLPYLSRFNTTALTAFFQETLNIPDPSWERLTDELDER RMEDLHDMQIDQDIYLRLQRMSADIDSEELESLRADFEKRALIYDMQGQRWTSPSACL WSKDAQVPGKSTISGQYPNLKDLFVGVLKVKIPDLRLLVQELKRVARSSPSIDDIKSL IWQINAFAPTIEDLAPLRESKIFPVKPSIRPVELRTTLEKFSIIDRQPWADAFEGKLD VLDFNLKEVRSLEPFLSSMQVGGYLSEVVIEKSSFEGSLPEPNPRRTRDLQRRAYALS RCATHFDSPRAKNDNQDLYQLLLKSKVYETDGITGSLEHTLLGVTIKVRETKLHIEES PEGLQIYIPKNSRDQEICYLRLLPTKLFNETMMVEVDTNSTAALNSGAVSIISAIFAS SDDVVDLVLEEAGIVPVSYPDQYEEESQQSLDYVGADQEQNAENDIETLSSETQSGTT SDGLDTPSAQSTPPSNAATSTYRASYQPNVPSQPTQPNNSPTAISQRNLSDRARRQFT PESSDVEYRRLLDNIIRAARNKRGAFPSRGAFNLDELLNALPVEAAEEVNTYDLPFGV RNENQLAHDMKIGAAGELYAFEILSRLETTLPKFDRGNWKSTIRKFVKVHEKYQSMEE WIGSETADITYEDKKGEFTQLLIANGYLDGSNWAAAKPKYFLEVKTTTKECATKLFLS KSQYRRMQRMKLGARASDEVYIIFRVFNLDKENIDMRLYVDPAGMEDRELKFTPESYS VVPLASS LY89DRAFT_701547 MVYLFIARLFLGYIATLGFRMASIRISAAIRLSYLQALVKQPIS VLDTLPPGQTAAIITITANILQLGISEKLSMFLQSGSLVITALAVAFYYNWLLTIFTS TGLLFIVVFYCFTIPHLVKGLKEVEEADKMSASFSSEVFEMIRMVAACGAESKMAKRY SDFVDESRRRGLLLSPVVAIQQAPAFFAIHATFALSFWYAIRLYLDSAITNVTTIIII LTSIMTIVTFIGGISGPLTAAAHAAGAAGILFTIIDAPQPQTRGIQGSDVSSEDIVLE NINFSYPMRPHVPVLDDLNLRFPAGKITAIVGASGSGKSTIVGLLERWYELDGHMSPE AITMFHNLGLLSVGGQNIREIDLTWWRSQIGLVQQEPFLFNTTIAENVEYGLIGTEWE YTNKHQKRKLVEQACKEAFADEFISRLPEGYNTVVGDAGIKLSGGQRQRLAIARSIVK QPQILILDEATSAVDIHSERIIQLALDRISKNRTTITIAHKLSTIMKADNIVVLQKGK VVQQGTHDQLMADRDGHYFGLANAQQLSLSEDFMGVLDPEKKDTTVMASESLGEDFEI APCSESKEPKPFLGNFALFVWEQNYQWRWYAVMLFGALGAGVSFPAHAFLFAKLISLF NLWGELLRLQTSFWCLMLFLLAIGVAVSYFVLGWSSNVVSFQIAATYRKEYFQNVLTK PVSYHDHEDNSVGALTSRMASDPIQLQQLLGINMAIVLISLFNIAGSIALALYFGWEL AILSICTSMPIILFSGYLRLRYEVMFERLNYAVFADSSKFATEAIGAFRTVSSLTLES EICRRYETLLRNHTNVAFKKARWSTLVFALSDSSALLCMAFILWYGGQLLASHEYTPF SYMVVYLAIVQGSSSAGQSLSFGPNVASAFAAANRIRSMRPSSHIDDAMPTEDFSLED EKTRSVKVELRNVSFRYPTRDVPVLTDLSMMIEAGQFAAIVGPSGCGKTSIISLLERF YAPQSGQIHINNRNISQLHISTYRSAISLVAQEASLFSGSIRENILLGIPSSEPSSCL SCVNKEERGLQMKLQRACIDAGIHEFITSLPEGYDTAVGPKGIALSGGQKQRLSIARA LIRSPSLLLLDEATSNLDSETERDIMSVFEGSLKGITRIVVAHRLATVQNADVIFVMG EGRVVERGNHQELLRMKGIYWQMCQGQAFDR LY89DRAFT_318429 MRFLCLCGAYGSADKFEVQLAPLVKELKSDDTAELHFVHGPVEA FPPEGFETFFGVGPYYRFIKPAEAEKGGSDLLDRIRNFPKGATAEDQMRELLCGGGGS GASSPVSMPDLDDIYHNDSAQEALDYLYRIIEEVGPFDGIIGYSEGATVAATLILHEQ MRFETEGREPTFKCALFFAGWPPLDANLSRIVLADESDLTITIPSCHVIGSLDPYLAG SIALYNICEMDNAYLFDHGKGHTLPRDRHTVKELGDIIRNMVSSI LY89DRAFT_318436 MRCADCILVNNVANLQIFSVLSNYPVLTIACSSRSFALSFSSFI LFSSLSQCEAAKSRYPIIV LY89DRAFT_788456 MRSPRSRAGFGTSISSVMTTSTASTPSSSPQEGTNPTNSTNGSN AEKLQENTDGVENGTPSPRTKLYTDAYTHGYTEGYIEGNGAPRNIPIAIVGMSCRLPG SVSTPDEFWELCSRARSGWSEIPKERFEAASFYHPTQGKTGCFNAVGGNFLKEDVGLF DAPFFNLTAQEATSMDPQQRILLECTFEALDNAGIPKHEIVGKDVGVFIGGSFSEYES QSFVDTDNIPMYQATGCAFAMQSNRISHFFDLRGPSFTLDTACSSSLVALHQACQSLR TGESKSAIVGGCHLNMLPEFWISMAKSRLFSSEGRSFSFDSRGTGYGRGEGCGFVILK PLDQALKDNDNIRSVIVASGISQDGKTPGITMPNGAAQESLMESVYKNAGISPTSTGY VEAHGTGTKVGDPIEAAALHKVFGEGRTSRNPLFIGSVKSNIGHLEAASGIISVIKTA LMLERSFILPNFGFKKPNEAIPFAKWNLKVPITQRPWPRDKRFASINNFGFGGTNAHL VMERAPIYRKNEEQPDVGAQPRKLFVLSANNKSALELSMKNLGIYLEQRPEIFQNDLM TNLAYTLGQRRSLMQWRIAISTTSSFDLIQALNSGKVTPVRETDAASRIGFIFTGQGA QYFNMGRELYFQYPVYASTMDACDEYLASIGAPFSLLDELNKDADVSLINEAHISQPA CTAIQLALTDLLRSWGISPTAVAGHSSGEIGAAYAAGILPLESCLAISYHRGMATKGL KKKHPHLKGSMMAVGATKEEIEPLLAQLKTKARIACFNSPTSLTISGEESAIDDLQKI LEEKQVFNRKLQVDMAYHSHHMDLIAQDYQRNLQALDAPKLTQINFYSSLHGKLVDAT MLEPSYWVENLTQSVRFSEAVTKMCKPDNGEAGVGIVIEIGPHSALAGPFKQILKACS AMDITYSSALARKKDAVEAALELASTLFVKGANLNMGAINLSKQAPEVLVDMPRYPWN HNTRYWHEGRLAKKHKNRTIPRHDLLGTLANYTTDAEPTWRNILHMDDLLPWLRHYQV QSLNLFPMAGFISIAVEAASQRAALRNVHHYDSFQLRSISVHHPLILMDEDIELTIQL RPQQESTLVSSDSWDEFRICSWTSSKGWAEHCKGLVAVKTSGITTIEESRRSEITTSE KAAVEKAKLYHSLSNLGVSYGLSFQGLSDCQASDNCSTATITNVGTAQEHAFQTDSVI HPTLLEQLIEMYWPVLGAGRASVKCDTVYLPSSIESMTISRNITELTKKPGDSLDAFC EGSFSRSAVVPIQFSMFATATNSDELLLAVNDLTVSPILERDIGSENDTPRELCYKLE WEPFAQLSDNITNGNTNATENGDPRHLNGLPNGTSEIVPNGVASPPSVVSDFPLGELI IVHGEGSFQKLLALELAANIEHLTGTRPALERLTTDLQPSGKFCLFISELEQNLLSSL TSDQFTALQKMLTSVEGVLWVVRRAYLSSSNPDANMITGLSRSIRSETLLKFATLDLD SEPVLCLSSTVQTILNVFTAVFGPKAEANCELEFAERKGALFTPRVINDVEMNEAVHK ARSFTLEPTPFIRKDRPLQMAIGTHGALETLHFVDQSVEDTLAEDGIELEVKAIGMNS RDIQLVMGVLPNSGFGVECSGIVTKIGSKVTNVAIGDHVACLSVSIGVYSTYTRTKSS FAIQIKDETSFEAAAAIPVAYSAAYYGLIDLGRLLQSERVLIHGAASAAGQAAICLAE MMGAEVFAMVGSTESRKSLLERFSMQEDHISSSHISSFGKIAKGGFDIVLNCTSADFD TSRDLWESLSNFGRFVQIKKTDGHASAILANTSLQGNRSFMSVDLISLALERPRIMER LLSDVSGLLEKGKIQTPGLSIYSISDLEAAFNALKDSTTDSKLIVSPQPSDQVKATPW NKGNKLLRSDCSYILIGGTGGLGRSMARWMVDQGARNIVLVSRTGSVTSKVKTLIDDL AAVGANILVKSCDVCNAESVNNLIHKDMLGMPEIKGVVHGAMVLKDVLFEKMTYEQYT TVIEAKVRGAWNFHNALLSTKLDFFVAISSAAGAVGNRGQAAYSAANTFLNAFVQHRL KLGLPASSLDLTAVSDVGYLAENIETAAEVSKNLGSDTICEAEVLALLEAAIDGRLSK SCNNHTITGMRLTTSTFWTNDAKFKHLRLAAATETDEPVQISFKAALKASTSLPEAEL VVQKALLNKLPSVLVLEAEDMDVTRSLSSYALDSLVAIEVRNFITREFEANLQVLELL GSGSIETLAKAICVKSKLVEFKEGAE LY89DRAFT_658117 MPHSTRASSQTRVQSFKFPSDNRTALRTSMEKFPDHIESLDGTS PYTTSNPTTANGYTASPVSDERWQPRRENHLKGASWANGSSSTGGRHGRQKSLSEAIR TIRTRRGSVSANVHEIGDALKAPVSPMLITLCIVWYTSSALTNTSSKSILNAFPKPAT LTLIQFAFVAFFCVLFSWLSTVFPILPKKIHALRHGIRSPSRDVIKTTLPLAIFQIGG HLLSATATTKIPVSLVHTIKGLSPLFTVVAYRLIFNIRYPAATYVSLIPLTLGVMLAC SAEFKGNLVGIFCALLAALIFVTQNIFSKRLFNEAALAEASGIQSRKLDKLNLLCYSS GLAFLLTTPYWFMSEGFTLLKDFLHDGSLDLSAGKPSMPAFDHGRLALEFVFNGTFHF GQNIIAFVLLSMVSPVTYSVASLIKRVFVVVIAIIWFQNPTTKIQGLGIGLTFFGLYL YDRAKEGNKADRKAKMMDVKEESILPLSILPVNGNRITPPASRYESPVRGYTNGYALP NMNRGDNDKKSDDLGPPSGRGGRVRGSSNAAWLPAGTRQEETWRPRELGAALNSNNGV GLGLSVN LY89DRAFT_690588 MAPSKPTKNQMRRAKKKEQKKAAQITPTPEPEKEPEPVPEAAPA EAEEEEDPLESDITSLEISEDDPNYEMFKSIMERFGASTEEDEAAKEANAGDKGEVFY DDDDDIPDEDEEADKEPKLSKKKRKERDKLSVAELKALVQKPELVEWTDTSASDPQLL VQIKSYRNVVPVPSHWSLKREYLSSKRGVEKPSFALPKFIQETGIAEMRDAVLEKQDS ATLKQKQRERVQPKMGKLDIDYQKLYEAFFRFQTKPELTRYGEVYYEGKEYETNLRHL RPGELSEDLKEALNIPPGAPPPWLINQQRFGPPPSYPSLKIPGLNAPPPPGGAWGFHP GGYGKPPVDEFNRPLYGGDIFGVLQPQVNNQAGEPIERTLWGELQAPEEESEEEESED EEEEGDEEDIGAGLQTPSGLETPGGLASTVPSEYPGDMSVGGDFDLRKTKRGTETEES SAPRSAYTVIPERQIRAEGFFGGDRAYDVRGAQNAHLPVLGQDDENRKRKKPGDVDVA LDPDSLSSEDGISKEEVKRRFEQQKKEEKGAWAFEEDLSDMIAQESRKRQKRDEEKRG EKRERSYRF LY89DRAFT_318524 MNVNAILVHDRPFPEDPHQQVSFAFTSLFTFVTIPSKTIPKHIF DVFMIRLLTHLGPRGSRARSKSLDRRDDRAPTGPSSSNWRARSPSPMARDSERSSGRT SGNTSRRSSPPIHPDRMHLTQSTAREPRARSPPTRERSPVTQLAFRERERERERDSAR STPREHSPVREPVPRSPPRGPAGFRAPSGPPTGPSSSRNFTSSAPRIPSGPSTPAAHF RSENAGPVVPPAGPRGYVPPIRGTYGIRGGRGSFGHDRATRPDASAWGAAPSRPAAHE PTIKPSAPVSRPNPTPASPSLSTASSPTIPTGLSAGIPTGPRAGVPSRPALQHSSSVY GRGNQTNVNSGPRPHPAMVGIPHIVPNGRIDPTASGIPNEISTRLKKLEEEEQVLRER YYAKQETLKQGLKGWDKLSREASGMALRTELSERHVRMLAGEGVGGAAF LY89DRAFT_318522 MSSSHFDSTPPSGPRAEQAKSHHYSSGPARGSRREDSREEYYRR SRSPPYRRDHQSPPRRGRSRDRDSRRDSYENNYRPRYNSRSPVRRSSARSPLEEKRSY DSYRSGNRPPLEERGANNSYSPPPQNRVPPLTSTRPPATVTAVIDQPSEPSKLTPPPI SDEMAPRADNMEESSVQKQQPQQPTPSEIADVNIDASLQDELSLEFGNKVLVLLNECT EMSSLKAQQHTEKQRLNRRTAEFEKFKAHHEKFPSSKESQTNAKTSAEKAYKLVSDKI RQKENSLAKTARETAAYFIPSILDKGRGNLDSSEVEKRLQETSDNFTKLFNEQKRLLA QQQKLSQSLEERLQKRDEEYSKLVKSHEDQRAASQQEINYLKSTLDSISNQVNGAVSS IQVIKDDVCGVKKDAATQAKGRDDANERLTDVENLARRVNDMNKMQTRLTKLESSSVF QDTFYGLEKKCEEVASICKTNSEELGKQAQSQNQSQNSLALRLTKVETSMVEKKDLDP IRERVKKLDLSANSPAPQVQPDYSMLIKKHIEPLDQRLAAFSGVIDGLKISKLAPSLT TSAPSLESSQISAFKAQVDKVETTLTASCDQHTSDINSLKAKVAHLETNKPKDSADKR LVNLEKRVGDQELLISKERASFNTRLNNLEQRPAAQVPTAADQAQQLSTTDASSLKAL EDRLELVEEQLSDVAPLAGELVNEAVKRMQGDLEQQQVNTNQLKASINALTDNHNNLI RQTSDVSNISLNVSQTLVDKGVFSRKEVVNGLLDTVESHEAAIDNLQARVDNINTSDL HHAMVSTLVEAHLEGSTVIGDFNARLGRLQSSLLVLQSVNPRVIGLESQVSELTTTIV GLSGSTERVQNMRKEIDSLVTEVSRAQSASKKAVDDLKGVQSEVEKDRDEAANRFVEV YNKIAILKEDVEKVEKANADIILKQKATPTPTPAPACPPPPPRHISPAGSFSSVGRGV TNSRPTSRPGSASSRRPSSAASDQAPSDRGRTVSESKKRPFKQSNGSLNLKPNGSNTD SPAAKRPRRRLEPLEFPQDDTQKDPTYHPSADFDDDDVAVPTISDTDDSDE LY89DRAFT_690591 MAAAIQTVSESKELRGLNLIAAHSHIRGLGVDPITLEPRPSSQG LVGQEKARKAAAVILQMVKEGKIAGRAVLIAGPPSTGKTAIAMGMSQALGVDVPFTML ASSEIFSLEMSKTEALEQAFRKSIGVRIKEESEMIEGEVVEIQTDRSVTGGTKQGKLT IKTTDMESVYDMGTKMIDAMTKERVMAGDIISIDKSSGKITKLGRSFAKSRDYDAMGV DTKFLQCPDGDLQKRKEVVHTVSLHEIDVINSRTQGFLALFSGDTGEIRSEVRDQINT KVAEWKEEGKAEIVPGVLFIDEVHMLDIECFSYINRALEDELAPIVIMASNRGNSRIR GTNYRSPHGLPLDFLDRVVIVSTHAYQKEEIQQILSIRAAEEEVDVTPDALALLTKIG QETGLRYASNLITTSQLIAAKRKAKQVSIEDVQRSFQLFYDSGRSVKFVSDFEKRLIG ESGEVNFSVTNGHGDAMELS LY89DRAFT_690592 MSSRYSAARPKRAGEQFARTHHGESEDGPSKKPKFDIRNPSALA PDAPEEDAVLEADVIGAGAATKRGAVNLDGYDSDSDNEGFDARAEERARGKKGEVNIA DAFEYGKDGKGKAGKSEMEEDADMFADLDDGNADGDEDETMPGKGKKGKAVRFMEADE IEGQVENSKSGGHVSGNFALDPKGKLSTHAMDDQESSDDEEEAALVAQEEGIDEEIGA GGLKRNAPKVDAFNMKAEQEEGRFDEAGNFVRKAADPDAKHDSWLEGIGKKDMKKAAE AHEKREAELRQKRLEDDALLLPDILRTLILRLEKGETVLEALARLGRGQTKTKKVPKW KLKKQKHNGSDAMDVETAKVAEDPEQVKIREAVDAITGAADQLLTRGQTEIYEQEREM LIRQYRRESGEDWVEPPAAELKEGPDGSRPAKMWEYRWIDGRDGDAKQGPYDGATMVA WQDAGYFGEGVEFRKAGDEGAWSRIMDFV LY89DRAFT_701554 MYQMLQRGGMRGPPKRFDEYYRCYPTVMLPGPEREDLNYGGKII MPASALEKLTRLHITYPMLFELINGRDERTSHCGVLEFIAEEGKIYLPHWMMQTLLLE TGDLVQIKSTDLPPASLVKLQAQDVNFLEISDPKAVLEKAFRQFATVTKGDIFSFKYN DTVYDVAVLEVQPSSEKMGVSMLETDVKVDFEAPIGYVDPPKTRASSGTSTPRSMAGL PAGGVLHSQGTMAQAINYDAIAPSSNAALAGAKAVSSNFLLGGQKLSSKKGAKAPTPK PSTPVAGTSSNVPAPTVARRTNGPQPLRLPPNKLFFGYEIKPVKTQADKDKENADAAQ PHFAGAGQILRDGKRKENSDKDKPAEPEKKPDPSAGRRLDGRKVT LY89DRAFT_690595 MMSRFQNRPSSCGHRRRVMIILIHFYAASSTEWEIYLSWWEIRI EEACADSDETRREYATAWLISAIEFFFSRKFQR LY89DRAFT_788466 MRATMDPEPASEESLRLLAVFANAFTKAIGEMGIISPEAAEEKT SAVVRALLDKPSQKTPEPPVVLSGDEEDEEPVRQRAPEATVEISDDEWPVRSIRKTRP VVEISVKGTKWDYSLPTEIKREDCRTRLGMR LY89DRAFT_763588 MANPSGSTGTQTNWPSSNTPMTAEEFEERKMSSKFARREKLLEQ EREKMELCQALLISIWKKENYEVWEMFYQVGFFGLQNLLTTEFAYACEEVITRIFPCD PDLILVPRDWQPQDCSFSLPRGWMYSYIIFATEFELHRDSKPTSSDTSTEGIPINFQK RHYARVPKHVRPEKYRRFLRTTSIFSGWRVTERSSISPAEKVDELFRMKLYEGSIEWA QRENAPLCVSLEIVYDALFFFGMAQIKIKRFCRGRILWRYGRLTRLPLELQLKIIESF LSIKDIDDCLRLAFPHLASLYVRTMAIRFQNWLHDLCSRDNMRYLTTQRLHDDRSRPE QTRLFNVYQVRSYDQDPDLCFLPSDHPSSLEPHVPGLQTAEARFLSFMIGAYWWLKRW THGSSEDPASFAAARERLDYEDARPLFHLVTLDSQLLRREDRTPFESTAPQLEGDMWG HDVIEQREETVYDAVLIEFGELTSICDDMEIFMRPELFLEQYSLSRHGEFQRRQRECL KDEDRVVYCNRADELIDIVCAQVREYEFWETWADVVLMFEAAVSEWEKAEFMHQRHIR MLARHHRANG LY89DRAFT_318706 MPSDTAIQELLYAILKQKCLKDIDWNLVAADPILTQTITNGHAA RMRYSRFKKQIERAHRASHPAPAPRPRNTTTGPKRSRVEKNESPKKVKRGSNAKAEIE HDEEESSREGTVDSTADVHPSMLVKRERGHHQAQIPQAEPGRESLMTPLASTPRYCME RSVSPSPSNNHQNPDNSFSDFATSFDAGLGANHLFGEVPFPSLGLEIGMGGVMGTGSF ESFSNPSYWEQSQGLGNSQSQEVVERGVVKMEPRWGEEYRHV LY89DRAFT_741353 MPSQWDAKADRDLLLAIIDEGALKSVLWPKIAQKMKDRGYTFSH EACRQHFQKIRKEAKSPSKSATSTPRKRSTNDTPTSSFKSSSQKTNTTTSSILDDEDF ETPTKKRKKNEHPIKEEVDFQQAYRFKAENEGEIIDIEREE LY89DRAFT_741354 MKHLHRVVLALFLSVWLALVLEVYFATKIPAKLNTAVLLILFCS SVKFLVCLLLLGQIIMEDWKIEREAAVRERRRAVLDVVLEDSD LY89DRAFT_318777 MTSAHTHSLQLALSLLSLSISHWRTATAMGGICNAGNGYRADTL PLDMSHTRIQRADCTPRFTGNISEQYKVIFLLSSIAAEYGKYVAQISWLILFPACVRY LFLVFVQISSHLMWNTSSTRLVHCTEETQRLHNQRDSPAQQHTLGQLR LY89DRAFT_724594 MDLDSDMEYQVVSESDDETGCCGKMKNSLSGIKSQFKVHEEENL NLQSIDKYFVTNNFVSIFVGDVEQPWVLPEKLLCDHSPFFQAALKGGFQESCTKEVRL REANPLGFPLFVQWILTRRLRCPEEHDLYVADVDHCLPLCSVYVLADMLDMKKLAMHA VDQVRRCMSLDTRLPSIEEVTYVYDNTMEGSSMQECFVDEMVVAFLSKDSFADTNREM LWIEAVGANRKFHQDVMDAIKEHTLLEVCSVKECSVHKDRNGVRARRLVLERRKQRQC KGLGIRHV LY89DRAFT_741356 MSTPASWSAIISIPYLCSESITDEFCSPCCPLELQTIHLLVGQT HPQCLTLRAPIPAGTTLRAFQAASTTYIDDDEFHIQLPSLEARVFGQLIRHMNNDPLE DLDTGRQNSETHISQWCILYVLAIQFGLPVLAAETRSWYEDCRRPYWQVAWLPLPAEI RYLAACLIRDCRAHLPWTQSDVNYVERPKSVLSDETIATTELAEEDDEPHEATGEVSA AETAEELERRRRSSSAHVDAWQDHT LY89DRAFT_741357 MGNNLKSLNSSSPERTSNSTLDFKATDSTASCLFKYDASFNVGP YGIQGHQIQDATTSTNLTAGETKFLVAYVKHMKSTPEVDWDSIARELDYEKVDSAKKF FSKLKIKLKQGTGSNTGGGGGTLSSSVLQPTPKGSKKRAAGQAAEGSSPTKNSGGKMM LY89DRAFT_724595 MAPENSGKNNLAAKAASSQLVFFGTILTNMKNKPEVDWDLVAAK TNYKSGAIARIRFNTIIRNINNTKRDAAPPKSSQPRLPPKNSLPDDSPPQMFKPRKRL PSSPTSSAPRSSPTESSPTESSSAKSPLVNSSPAKVSPARSPKSKAQPPSEEYNQKFV SPYKIIKTKPSGRKLTPKKLKQEAERVLFEDATLPKGNLVAKEEPEEDIVFKVELMDE DD LY89DRAFT_741360 MSDPKVTAKLFLSVITHNKNKADVDWSKVAADMGLGSEGSARVR YHQIKKRFLAAAENSTAEKTDANKDGKVGSGTNVTPSKIMKSTPTKRKKPVQDADMMG NHETHVSSDKSSNTFMSNPKGSDGLLDGFASSNATYFDPPLEDQEDANNHRHDAQEFI LY89DRAFT_690601 MSDTEMPTAPAAADAVEESKGKSDMPQADIDFIMVCIKNAMDGV LTVDAVKIAEALGYSNVRSVGNRVATIKKKYGLAMSVSRVSKGDDGASKSGPSTPAPK TPRKAVTAPKTPKTPKSTASKVTKTPASKKKAVAKKDESDEPEAASDDDDEEVADEEK VEKAPTKANTKAKAKAKAAPKGKKIQTPKKVIDDDAEMQEAEDEEELAVKATGDEMVE DGEEEDADEV LY89DRAFT_741362 MAPASNEEQFKFLISCIRYSNNGKVDFGQVARECKIVSKGAAAK RYERMMRAHGIAPNAATIKPPPSRSMKAERRESSTTSSTSLKKRKADAFLEDTTPVDD EETFGSIKPDPVNMKEQFIVKEEAEGQSMAQGQLSIDQAANLMQYYDTPATYAGSGLS AQSNYGGNGNGYGSSGGYTGSLNPTYAMQSQPSYDFSTPYNAGGMNSVPRSENQGLSY QPLVPYQGDDQGRSDSPVIVE LY89DRAFT_318840 MMEKLVLNIQFECHKAGIQLPWDKIVHRLNPGSSGPAATQMLNK MRDILICEGHLIPPAMGKRTMKVDPMVRGYVRDLTKQDPRAARILYWTEPYENAKYSL DWGTTLGSGQYRKLLKNPKVEEDSDDVEKPRLFQLPSSNANPRQRIPTGGGDIRRAKP TPRKSRAKIVSSDEEDEDEIEIKPEELDPDDDWSPEAGKMKKTKGGRRKVAVRTRITR LTESESEGESVHHSSSSGSPTPSYIVKLPIRVPESVGNTNRRQRAVARNTLGSEDEKD DAMNDHAMKMEEDAEHEVDSDGNEDFESGAGGDREMDSTTMSSGNNSQAYSGVYSSQA PMYRVCISRIACLCGTKR LY89DRAFT_690605 MQRALTSRTSAVARSSKLRPIASAGFNAQQLRFAHKELKFGVEA RASLLAGVETLAKAVATTLGPKGRNVLIESSYGSPKITKDGVTVARAISLKDKFENLG ARLIQDVASKTNETAGDGTTTATVLARAIFSETVKNVAAGCNPMDLRRGTQAAVDAVV DFLQKNKRDITTSEEIAQVATISANGDTHIGKLIASAMEKVGKEGVITVKEGKTMEDE LDVTEGMRFDRGFVSPYFITDTKAQKVEFEKPLILLSEKKISAVQDIIPALEASTQLR RPLVIIAEDIDGEALAVCILNKLRGQLQVAAVKAPGFGDNRKSILGDLGILTNATVFT DELDIKLEKATPDMFGSTGSITITKEDTIILNGDGSKDAISQRCEQIRGVMNDPTTSD YEKEKLQERLAKLSGGVAVIKVGGSSEVEVGEKKDRFVDALNATRAAVEEGILPGGGT ALLKAASQALNGLKPANFDQQLGVSIIRSAITKPARTIIENAGTEGSVVVGKLMDEFG ADFNKGYDSSKGEYVDMIAAGIVDPFKVVRTGLVDASGVASLLGTTEVAIVEAPEEKG PPAMGGMGGGMGGMGGMM LY89DRAFT_319046 MSQSGVQVDAECVSKFNELKLGKSIKYIIYKLSDDFGTIVVEET GSDKDWDNFREKLVNAKTKSKQGKEGKGPRYAVYDFEYELASGEGTRNKITFIAWSPD DAGIGPKMTYASSKDALKRALNGIAAEVQANDEDDIEYNTILAKFAKGK LY89DRAFT_598710 MTELSFAKTFLTTLDSRPTKVTADHVEDPKSYPGRGAYILPKFP RPMNKRQKTSTLPGSEPSLSVLLKSARNPPLEITLTSQALSTSILSLKEFVSAQSSIP VSKIRLLYNKKPVPDSKILKDLLGDGDVGTKMEFGIMVMGGVAVLKKADEEVEPKVVG EGVIGAGAEVLKTEEFWGDLKGFLVQRLKDESEGERVVGVFRKALQS LY89DRAFT_690611 MGVGRKLGKKQGMPEPLDEAHFTNLKRKAGMSVAESRAESQQYL KKRKTNGSGSKHKKEIGKKSSVNGKENGKKASANGKEKKKPFTNVLPPTPVKKSTKPE KVPLPDSDEDLDLDSGEEAGLLGDEFELSGDSEEEGIAGLRDDFLESGSEVYDSDDDH QHKAMFSEDEDESDAEEKLTAANIAGLTRKLDEQMAEEAADAQAELEEAALQTNIAGD RPHILEDSDEEGDGIKTNALLAPDLQLLRTRINDTVRVLDDFANLGEEERSRAEYTAQ LIKDICAYYGYSQYLAEKLFNLFPPKEAFAFFEANETHRPVVIRTNTLRTHRRDLAQS LINRGVTLEPVGKWSKIGLQIFESAVPLGATPEYLAGHYILQAASSFLPVMALAPQEN ERVLDMAAAPGGKTTHIAALMKNTGCIFANDSNKSRAKGLIGNIHRLGAKNVIVCNYD AREFPKVIGGFDRVLLDAPCSGTGVIAKDPSVKTNKTEKDFLMLPHLQKQLLLSAIDS VDYASKTGGYIVYSTCSVTVEENEQVVQYALNKRPNVKLVETGLVFGKEGFTAYMGKS FSPSMKMTRRYYPHAYNVDGFFVSKFKKSGPSPKGSTGGMNLSLKKAEDDEMDVDREP VPETEEEEAEEVAFGGWDEEEDEAYIERAKRNKLKRRGINPKAGVKSKSDGVKGNGNK SKDT LY89DRAFT_741367 MATKSKELEDTVLPAPIEVQDDDEIRKVQQDEDEAGKFLGEHDV GLIASLATDADYKALVRKIDKRLLPLLFGTYALQCIDKSCLGYASVFTLSSDLGLVGK EYSWLASLFYFGYLVCEYPTTLLSQKFPMGRFVGLAIVAWGCVLIATAGARNFAGMGV LRFVLGGFESLITPTFILINGMYYTRQEQVLRTGVWACANGFGSMVGGIIAFGMGHVN AGVPGWKWIFIMNGLITVFWGTLVTLLLPASPMRAGFLTENERVLAAVEALNPVKDPQ GLLLFLTVFCNEVLNGGFGAFGTLTIKSFGFTSLQSTLIYIPQGFINMVCILFGGWLA ARLPNARIYVCIGMLVPTFIGLLLQIVLPRSNVAGLLVGVYLFPPFATSLFICLSLPG VNSSGYSKRITLSSYAFFGYALGNISGPFMVKTGEAPAYRSVFIADIICIILQGIFLT LLRIYYVRENRRRDKLLAEGEEQNLPEDEFADKTDLELPGFRYVDLVLAKEEVQTCEL PTYITDIRSFSTWNIPRLASKNQIIPVVMLDVKDENQDPRFWSME LY89DRAFT_690613 MGNALSQPAPQAPTSKDRIIWHPLQIEEHPIDVAPKLKVIVVGA GIAGINAAILLPVKVPGIELVIYERESDIGGVWHQCTYPGVRCDVPSHVYQSTFSPSR DWSEHYAAGTEIKSYWHQVTTKYDTWKYMRCDHEVQSAIWIEEKTKWVVTIKHSNTEI VDEADCVIMAIGIFSHPTLPKYPGMEDYEGHLCHSSRWDANFDPTGKRVAVIGNGSSG LQLLPQLQKVAARIDHYARSPTWVGGSFGPKVSASENAVSHDLRSSFANPETYLRYRK GLESPSFTGFGAITKDGMNSKLNREKFHEEMKARLGDRTDLLEAIEPDFSPSCRRLTP GPGYLEALTQPNVDDITSTIERFTKNGIRTADGKERKVDAIICCTGSEKSFAPPFPVM REGIDLSSAWKPGRSIGFPNTYMGIAAPGFPNLFFVNGPTAAAATGTLPFATENQITL IARVLRKMQSQGIRTITPSFQATEDFRAYCEAYFPRTVLSENCSSWFNGGIKGGRVIA SWPGSGLHANVVRREPRWEDWEYTYRSSSENRFAYFGNGWTQKDVEVSQEGLEKSQVD MTPYLQLDSVTGRVDLRGYHETWFDV LY89DRAFT_690614 MSPATLPSEVTSFIISHLLVPDDPQPAGYIQKPTRIAMYATVSK EWQKSVERHTFSNLYLTPTRLQEFGRIVERKRQKYVRRINLDVVLDAYDEVAFGRYES DEDQERNNQVFTRTLQQLFKSLSSWEDDDVADLGVSLSVKVHSPSDLSCLGQMDARAR RRRRLIGGPKDLLNRRFDRSYLRFVCSQTAGDINHLLHLVPAISEMDINGSGPRHTWP ASCSTIASRTPQLRSLKLRLWDNERNDLELRKGARNEFANSIKSWPPSIQKVDLSYYN LPPQDQSFMPPNILSEPNSGDLISTNLGKFSQQLTELNLDDITIGADFFWNSSFDSLA PHWPHFTSLTVNYNPITPAGQWLRERDNFFRHKASPEHMNNLYTAAGKAASFMPALKE LWLSAEFGGYCPHWFSYKTSPTNACATWCSTPEFKPNESVLRIWRDVSRKNLGIDLEI KYINERICFPTNSSS LY89DRAFT_658145 MSPIICALFARLALVPTAFAATSTLLVSHFSGALFTLSLNAQGN NGSLAITSATGGCGTTPTWLQYYADTKKLYCWDESWQGYGTMAEYNVSSDGSLIVNGQ AKTSGNDVHGVLYGGPSGRSYMATVEYTPSTLTTYALPLKAGPDRPYTVPHQMETFYM ASPGPNDRQKDGPHPHQALLDPTGKFLIVPDLGADVIHIFAINAASGHLNACPDAQTA PGDGPRHGEWWSPKGNTSSPDGLRLYTVNELGNSVTGWSVTYGAGCLSLNRTQTISTF AGGKIPAATVYEGASYPAKAAEVHIVGNFLYAANRNDKLFGNQTDSLATYSIDPATGT VSWIEATSAHAFYPRSFNFNKAGTMVAVGGQTSSTISIIARDSVSGKLGPLIASMQVG TQGTYTNEDGLSSVVWVE LY89DRAFT_598934 MVITHDRIMGPTGSGKSSFINTITGRPVRETGGDLESTTEDAEC EHMVLNGVPVTLIDTPGFDDTYLTEAQVLRKISSYLIEMYEENQKLTGVLYLRDIHEK KMKGSEKRNIDLFFSLCGEKYLSNVRLVTTKWDDDCEAVDAVEGREAELREKFWAHME RLGCRYSKYFNTQASATGIVGQMLNLTPDYLQIQEELGEMHLSLGQTAAGQIIELGQK QRLSELRQRMKEVSRRMEQMRQSHNEALQQALEDQRADIEDEMEEMDEKNRILEQNYK SLLQKTQSPDTSFLLKQLMKRWDQKLPADITIKKVVGIGALGAVGVAGLVAATLD LY89DRAFT_319222 MEGSRNQHHHYNSVHVLCLSWIDDDLDVAPEVGRLGWVFTGLYG FDVHYWRIPSKRANETLDHELESFFETFDQPRSLLIIYYAGHGSLGQHNRRMTWHCNR RAEEPSVLWDGLQWRLENASCDVLLILDCCHCAPTSRRLRAGTKKWLLGACGFSREAA APGEQSFTRSLTDELEGLVGAPFSTTELHRRVENRLRHGIGLAGSNHREDPQHIPLGE GGREPESIRITSYHSFPINLIA LY89DRAFT_319229 MSSRAEQERSREEEVNERAEQERRHAEDENHTSPLGSDTWSNEP RYENIYRARLDFVLNKVSEAALQELVSSLRNGMRCTISRNYAAGNFNLVKKIVFEDDV QWIIRIRLPPLSYFMADTPRGEASYSETEVRGSRVICTERDLESLKSEFLTMKYLRAK TTIPIPRIYAYSLQNDNTIGFPYIIMDYIHGTTAFHLSAKFGNPLSIPARYLNHYYTQ VTKITRELASLQFALIGSLTGDPDSVTMDEVKIGPIAETGEGPYETAQDFYTNYPAAI AGALYSDPQAPDSGGCETIRRLPGLLQTCIEETCPQEVIYSLTNLEMGTHNILVNNSF DILAVIDWDTVIAALSAVRHQFPWCIGGDPGIPGIGPIKAFGEWEERLEMCRVFAAIS ERSIDEEVKDGKERLFSAAKFFSKEALAFRALAFFRIKQS LY89DRAFT_788483 MGTIYNRATRVIAWLAFEGATSSIEDRAFEDEIASAFPFIGLYG KEAKDSIAGTVSLISSTINEQGSLGYLKAGRDACRTTLKLFKQTYWTRLWIIQEIVLA RRILVCFNSHQLEWDDLVHFCFFPPNLGSGNSGNLSSYSVLCRLKDTTASNILEHRGA TSPLAPIDSASNDFGSSTVKNYHRTLFGLFERYGSSSCADIRDKVFGLRSLSLPCCQS SLPVDYSKSGAKIYASLLNHSFSPHRHEMMAVSKQSRKFYHKLREGSMLFGFDNVLPN IGPLNQLERPILPYIGMNSVVGLECRAVGLWTWMNGRDFGNVVVEEEVLLERVDGSLC TKTGFLEMNKWEQGSSQQKRGSNGVLFQINNLEFLLVPHRYPSQIQRIVSEDPKKGGA LEQWSRRGWNRRETPMWLNDPTFKGVCQLAFTTCFWCPLAEVSRFMPDLKSSMVNEPR DSGHLKQVEGVWPTPEILSFVKRFILSRG LY89DRAFT_741374 MTATLVQLAWAIASLALFALVEGRSSRGSRAVQPRAAAWSYVGC YVDQVGARTLAVNPGTTGGEAALTNEICTSTCQGLGYVFSGTEYAGECYCANTFTNGG GPAPDGSAGCDMACNGNSSEICGGPNRLSLWDYNNAIATVITSTSIVSSTAASSTFSA VPSTIAGWSAIGCYNDTVGSRTLATEIYSIPGASMTVDLCLAACKAASFTLAGLEYAG ECYCDSKIENYGAVQSSGCTMPCNGNAAEICGGPNRLSMYSLSGAGSGTSSASASGTS TATTSTSSAPVITSLPTGWKYEGCWIDQAFGRILGTTAASNSALTVESCVAECIALGF SIAGMEYYTQCYCGNALINQAALATADTDCNTACGGNSAEMCGGGDRMSIYSNKTTLV VTPVPHTQLTGLPGFWNYTGCLLDNAVIRTFPYQLEFLNNNTATNCLSQCQTFGYGAG GMEYGEQCFCGDAQNVIDANATLMAEIECNMVCSGNDSYICGGPSRISYYTWIGTPLT SWDFPTGISAGEYQFLIGGVIIPLVSQPGVNGKITFMEKFGTEPANNSTGTYELDLKL LNNFTAAWRPLHVKTDVFCSASLTLPDKVGRMINIGGWANDATYGIRLYWPDGEPGTW GVNDWQENVVELHLQAGRWYPSAMTMANGSILVVGGEEGSNGAPVPSLEVLPLPSGSG TVYCDYLNRTDPYNLYPYLAVLPSGGILVAYYNEARILNEVTLQTQKVLPNIPGAVND FLGGRTYPFEGTAVLMPQYAPYSAPLTVMICGGSVPGPEIALDNCVSLQPEVANANWT IERMPSKRVISSICALPDGTYMIMNGGQQGRAGFGLATEPNLNAVLYDPTKPLNNRMG VMANTTVIRLYHSEAVLMQDGRIIVSGSDPEDVRFPQEYRVEVFVPPYLLTGATPPSY TISSTDIAYGGTTTLTVFLPSGNFANMKIALMASVASTHGNSMGQRTIFPAYSCNGNK CTVTVPPNAHVCPPGWHMLFVLDNGVPGYAEWVRIGGDPAELGNWPPFDDFTVPGI LY89DRAFT_319727 MSYRSSLVIAEIPPQPISSTPGEASSIDVVSAPRQRRFKSARLI GEYEKPWIEAWKTGKKGKKLSGRTWDSIIFWVAIFVGFGLGAIMCYISYSAVSNFEYC LVFEDNFEKIDPDIWGYEIQRGGFGTGSFEWTTNDPRNAFVDAEGLHIVPTRTIDDTT ITQAQILNGYTLNLTTMGVCTSPDPYNSCSIYSNSTSGDIINPIRSARLTTKGKKTIT YGRVEVQAKMPRGDWLWPAIWMMPEDSVYGEWPASGEIDIAESRGNNGDTYAEVGGRD SLISALHWGPISAVDAFWRTDGKHNVRRTDYSDQYYTFGLEWSEKYLFTYINSRLLQV VFVSFEGGNMWNKGQFGQDIVNNSALHDPWSQTGRDNTPFDQAFYLILNVAVGGTNGF FPDQTGNKPWGDKSLSAPREFWNATDLWYPTWGNGTDRGLNVKSVKMWSQGACGSPAH LY89DRAFT_598683 MERPGSVVSTGSTGSRDRHLLVAKYLYRRCASAKWLQPPEDEDG LVDESSWIGVAVRNPDGNYSTEPPTADHDIYNVLQTLNCKCVFTMSSEITSALLDQVG PFQTELSVAPRGLKINIVQSIRHLASGKSVVTRKSYVCILREERMVLVWNDSVEGILT HGADIEGILVGIIWGSHIGTMTATSPYHSYSPPVAQTPGGNSALSSDPELSEKMGIIQ AAIYREENTDAHFDVEKDGATPPDRPFLLLHAVVVGVAMTLVVFVEMLCIAKLIEEFR WDSGMIRFALVAVVPIFAFFMVVIAGSLFQLFGPLTYVRGNSRFYSAKPPKRARYPDL ELPHITIQMPVYKEGLKGVIMPSVESLLKAIKHYEAQGGSASIYVNEDGMQVIAPDLA EARKAYYEMHGIGYCSRPAHTYKKKKWWQRSKTHPENREGFIRRGQFKKASNMNYCLD FSIRVEDELLRLISVLCNETDRTDEELTVEEENTLYQKALQTCIDQDEGRTIAGGNVR IGDIILIIDSDTRVPEDCLILGALEMHESPEVAILQHASGVMQVAHNVFENGITYFTN LIYVSIQFAVGNGDCAPFVGHNAFLRWKAIQSVSYQREEKTIFWSDVSEDFDMSLRLQ MNGFIVRLATYHEGGFKEGVSLTVYDELARWEKYAYGCNELVFNPLYKWWKGPFTRLF WRFLFSNIKMTSKITILAYIGTYYAIACAVPLALANYAMVGWFDDSLDQFYLTSWKIF VGMAVIFNVLSPLAFAMLRHRLGQKVFFWSVVETAKWTPMFVLFFGGISLHLTTAILC HFFSINMEWTATAKEVEASGFRVGLDKIFKDFKYMYLVIIPIIGGMIYLGTYAPRGWQ ITDFTAIVPLANQIGCHALLPVCLQISTSINSSFHFFY LY89DRAFT_690623 MEADKTHFNSIPWCADLLSNPNLIIEPMESRNLKPSTEDAFFAE TLQTKSTLSSGLIFYTRPSSSDRIHKLNALMTLGYAVNGYPKIAHGGLVATIMDESMG LLLHENKVRGALDGVGNTVTAYLNVSYVKAVPTPSTVLITAELKEVVGRKYFVHATVK DPGGEVLTRAEALFIGVKKPDEKL LY89DRAFT_676452 MTSIDDLARRLEQIDSTFDKDTQLKHWSFEKTENNSFGVTGSTD FTVFLSFGEWPELAKVPGTSEDDVETSFPNPLQNAFKQVLAAGEDPKGKTCFIDLATL NPATDFFTEGGDESVATALLNAVDKIDPEMKPVIRIVCGDWSDNAPETWGYENSAWRK MFEDIFWNEGKSRLQHNKNATLWVGYYRPILATTSGGEAYAPTEQWLNDRLDDVAKLV KELNELVTGLPQADKLLNITKNFDPKAYVATLLKSKYLQQHISWNHGKILAVNGKTMM TGGGNYWNEYTGPVHDIVDQQAKVTGEAAISAHQWSNYFWNYLNKIPKSDSQSFYRCA NLGEPPKWTEEEAPLSPAFSTNVPYGNKKVLTVSRIGDWHGKMKDVEYPVGIIDGLRD VLLNGVYLNFGTEGPQVQGTMVILMYAIMTEDGEALRHLTEAAKNYKRGVGVYIKDVA SEIANTFSSWFSSPSRPAAVSTTKGSFSPYHSLNVNPNAWASRFARVCAIANAKESVI LCQQRIACPPYGGDDSKKLLNDYMHLTEPNIWDGYLWPFDLLIAFGYALARTRNTKTG AAKITIVLATHRTKNEGGKRPDWEDGASIGDLRRKLKAVMRGMAYLNGVAGPLSGLFS NLAAAADVFTHVDPVPENLIDKVVDENFEVRRALGNNTYYYNHCKIVCVDDRLLYVGS DNAYPCYNEEHGAWIEDAAEVASWKNKFWNQMWARATVPDNEPEKKPTVPE LY89DRAFT_788489 MSSAFFTESTAANGVHLVSWTNWSYGSIRGATLTISRRDGGLLI AFLALFVTVTGGATFRTVSYGLHQLLSSNIQQDGLYHQRQAILRNSGMGLRGVWKLIC MQFAWRGKAKSIAIRVIPLLTQGLLNIGAFYVASVFSSQISTSTGSEVLLSSPQCGYI NPGLDDSFTDQFSGLLPYYVQLAVASTDYAQQCYQINVTAQDCSTFSKHSLPYHKSTG VACPFNQSICLQASDAIQFDTGPLDSHVDLGINAPQEDRFTYRSVLTCSPLKTQGYTS LQNGSDGSVESQVMNYYYGTSGTEDLSVPNPYTYFYPNYLDLNITTQSQNTQDYTNRD LLPRLVEQFCAPGNTDPASFYYRDQPVGALGCTQQFQFCNPNLSDGTGCTPLLGFYSN FANPNVTNGLWKTAAQDTSFTYFTSVIGVFGFASTNIDDVVASLGISALLARNSLGVG IQGPLPNNQWELEVEHWMQASLSLLQRTLLEQAAGPFTEDVYPWLAKPQDAQDQAQCR RQMIRSTDYTSFSILGLTLLFALGGIIIILSLILEPLVNCIQGRRGINLYKRMEWATN ETLQLQRLAHEEIGFGNWTETTSTVPVTKIGDLLAIMDVSDQDHPRLGRPILPEAETE KESKATAVHDEGVKVTPAITAASHGKAERTEGTTAIEHHEEDQMASAATVVGPDTLEG THSTAASHDHKIGSIPVTIHDQGSAMKPRNAEEQTSTNSGSILEEEATQN LY89DRAFT_788490 MTLGLHPNPIGAALRLQPGLCRFNSSTGECDQLACMRPLLVGTK RQPRGFGRLIRSGGRPADCLSCKITDTTPELTSTTTYKRNSTISATTLDSVIPPNARP PSRQQQVLRSEQHSPCANYQYIPNMEHTTTINSLSKMEAFVLLKKYGPEALLKAVSKN YVGLAQTISGVFTDHNARQTFEEQRKVYEAINKAIPELLKLIESKEIRVVQNAFNSTP AFVSVFQSTALIAIGLAILDLKNAINRVGSALEGIRSELTLGNVEKIQGWGEGDFGWH VHRFVQDEMAAFSDPEEHHFFYVWNPDPDWYPRFEEQNLSTPLGPNFGGYHTDLPTLC LRMSVDRTTLANTTTYGRDAVFHLLCPAFQPVVIEQKIVFHDTLFPLVITGQQHRGFK FVWFFIPTIPDGMTLRYIVVLEDKIPLSVKTGAIGFYGGGLGAFGCAIAATAFPPCAP VALSLGVGLAPFGFGSGAFSFLSTLHLMATTPGSQTLGDPIFFQPDNNE LY89DRAFT_319832 MVLSRSLNCLAVLTVITSVLATPASYEKRDVDITVLEDYDYIVV GSGAGGGPLAARLAIYGQKVLLLEAGDDEGTTPYESIPALYPAASEYAPMSWDFFSRH YPNDTREGLNLKATYETPEGTTYIGLDPPAGSTLKGIWYPRAATLGGCATHNAMITMY PFEEDWSLIQNLTGDASWAPENMVQYWQRIERNEYLLNTTNATALGHGFDGWLGTSEM PLSLVEADPQMLAMLNATNTVINGPPVSDLSDESQLESIFPLDMNTLYPDRDATQSLY RLPAAVSDGVRSSPRNFLLATANATFADGTRMYHLDIRTHALVTKIRFSEFTSGDEPR AIGVDFLDGESLYSADPRSGNSTGTPGAVNATKEVIISAGTFNTPQLLKLSGVGPRDE LESFNISVVADLPGVGANLLDHYEISTVVEFNTSFALLEDCTWLSTADDPCYIQYTTN ATDRGPYATTLVPAAALLKSSIAAGRRDTFVFGGPFNFRGYFQGYAELAVADTFHWTW SILKAHENDFAGTVTLKSTNPLDTPNIDFNFFDAGTTAGGADELDIRPLVEAIQWTRD IYGNLSSTYQDFVEDQPGASVTSTDEIKDYIKNQAWGHHAMGTARMKNSSDPTAVVDS HFRVWGIQGLRIVDASIFPQMPGFFPITSVYMIGEKAADVIVADNA LY89DRAFT_763672 MSQIESEKDRRCYNRAKLGDPMVSYIYNASEHVRRLTYDPLSIV VYISSVKSNFDAGIDVTNWAVFFDGSSRYNDRGRLPLQYTDSYGAARLFAVKKALLEL GQSLHVVDGITNLIFNWELNGWRDSMGKPVDNAALWEEVHQQVAEFQRRGVEVDFRRI EPQHDRPAFELARGAGDDESEGGKLEV LY89DRAFT_658167 MRALYERIRRLFHVATYGNQISHDLPLEIRRLRYDPYSIVLAIS GICQSQDETRARASFSVFFAKNSFRIIAARLPAYRNQTSNTSQLYAVVAALQGVEEML DSGHCISQAVLISDSRWLVDSLAVAVWQWEQNAWRNNRGRLMVNWELFRWLHGRISSL QARGCEVKFWTVRRRWNQEAIVLARSALR LY89DRAFT_711337 MKNLIALSLLLAQWVVSSSAASQQPLHDAALSSTSSLLELHKSL VEIPSISGSERNVSTFLIKYLESKNFTVKSQPVSETRENVLAYIGPSPKAMVLVTSHI DTVPPFWPYERKEDEIWGRAVESRLQSSEIAEGDVGLLFVVGEEKGGEGMKKVNDLRL SWESVIFGEPTELKLASGHKGGMGFTMKAKGKAGHSGYPELGKSATLMLVRALAALDG LDLPSSEKYGNTTVNIGRIEGGVAENVITEDASAIVSIKVAAGSPAEIKEVVEKAIYK SCPDIELAFTLGTGPISIDHDIDGFETAVMNYGTYISSLKGNHKRYLYGPGTILVAHS DHEHLSISDLEEAVEGYKTLILESLKT LY89DRAFT_628942 MSSPNISEKIESSPNTTNEKTALETTQSLSISPNHPDSNLHFVT RGKVISDDDGSDETITGYDAGQMRARALLSIGQEKKLFRKIDWHLMPLMSLIYLLKNL DAANAANARIMDKGTDRNILTQLGMTSNDFNFVTTLYYIPYIIAEAPSNLLVKKVLPS RWQSRIMVTWGIALCCHAAVKNKEGLYTARFFLGLFEAGLWPGMLLQMCYWYRPDELA RRILYVTVLGYFSTVIGGVLAFAFNGVTTGGLNGWQWLFLTEGIVTVVTGIVVFFALP DFPSGASWLSENEKAFIQARLPGNSPRSAEANFRFREILDVLKDKKIWLFTLCWAFFT IGTTGLTFYQPTVIANLGFTSIARSQLLNIPSAVVSVIVTIIFGLLSDAALVPQPLIP LSFLITLMACYAVLFTFPNTGGVYAATIIATGVSSSWYTVMWPWRVQTTERATGSAFA IAFVNSYGQIGGAVGSQIFNSKYAPHYATSFGVAMGMIGLAIVMNLVTWGFTYKIDNE TRRLKRVRVLAGKTNETVLDDVDIHAGEKPRSESGSSA LY89DRAFT_741386 MKAILAFAKLALVGFDLQRLIIMTDCRYLIEGVTTEFWQRAGTD HGEAPRYPLDNVLEWQELYRRIQSMEVRNIAEPHGACIYHWKFIAPTSPEAQDLVRRI GLKFPVADEPAGVFHPIRRLVTTGKDTVENFVLLFGPTWDGAYDVRKQWEEVRTTILL DPRSRAQMLGRPYEIEDLLWNPRPPTAHEEERLREATTLATDEALRTLEQMLTPELIL SQPTNSSIP LY89DRAFT_741387 MLLRLPRLDLPSEEDAFVLVHVSSAGPHPLDVKLIGTDNENAFA VSLNSSQTLKLRHKTSKLNEAQWDYVLSVILLGAAQGEEDPALLEDVEAVAKVEEDTL VVIIRRTIEGITQPLGSISLSKDDDEGEDIDLFEWCGVANKAKDTSNDELQSLRTTLG SKDEEIRKLQDSLAELTQLKNDNETQLLEKFSLLLNEKKLKIRDQQRLLACSNVDPAK LAEVEASREPVSLHSPGPSRKGKRKAKENIESDDSDAGFEKMEVDDAPAEESEGEEQA QTPDDSTADEAESDDEPPAPPIRRAAASTKGKETATIPADEDPILPPKRDLPFNGKPA AASKPEPTSSSSKTAAADDGSETDSDDDEL LY89DRAFT_658171 MNQLTLRPRKAVEPEPMENWDDDDLEIGGDDLTFRSASLATTSA SQHRDSISSRLSIRSDFDSNHGDEEKQVHVPGDDERSTIDAIATATKAGIPIPQNVPA SALLGGTIKRLGGRKIKKMIQEDWEDGDLELPMDGGLQIKRQDGSNFPDALRQVSGPT STQASPVKHLQPAPKFNISPRPDLRPKPASSNLLDRFRNEEEDDFFGDGGDTIKVVKS RQVPRLIPLITPPTPQKGEKSAKAEDDFEEDLQLPTDGEPLKLSTRREIPKTPASSQD DFDEWGEGGSLGTRNGGKRDRTSNRSSSASALSPSVSSSLTIESEDEGLDGLVLPTGP IPFEDILKRRQQDRSPDHQLEDKPASKAAEVKEDFLSGLEIGDGDVFDSSKLTLNRNI KLKTTRQTSPTRPKTAVSLIFTNNKPASAGPSRLPRPLIGHERAPSALEPVSESAKPL GSRYERPPSRTDSSRPNSVSRPKTPVERDRSGAESSMSHARKNPVPFLPAGASGSQSH HVTIKTSRHFRRHDSESSNASFELRPSSRAVSRSTMRSPSPRRRGAEALAKDAAVKRQ ITKPVRRRHFGDGFELDGFDDLPTSRDTEQRFMKEPVGRGPPKQSALRNKIHQETLPS RTTTPAPLTPYSARSRDELPRFARDTNASRMAREHSLAQRAPSAQGAPLAALTNQWKA KVAATTGLSNVHAQGLKPKKPRGPPQKPQLIKPLGNLNNAKSVKGMWYNPSTYRWEGN ENDLSPFDQVSTPSTASVPSHYFREKDHQIYREKENSTPRPALIQNVNSSQNVQVVGG MVFDPLRMCWLKMPTQPSRGKSDAGDTMDGFDAFDDEEDVFKDVPDLEDNPTKEAEEV GGRKSEGASGLKDDWLVGEEFDVGPEFVKRQREEEERWRRKCEKWVGAIVDRGGEEWR WSIRDVVNQ LY89DRAFT_763676 VDFVRLYFRTLTALFLRHNAPFTNHIALYTVDPFHKYNCNLLPP MPPNIPTKSPPKNWLDIKKLRLEWINTRMPLPGQDFLYRVAEEVLRRMGPDTYIVALA GIEDWGDVMENETFHTSIWHYNGAFDDCMEYGVAEVLHARDYETYKPIARKYFNFPGA MASSLTTCLLHERRECWKVLQKHPLFSYFKNAYHIKLCLVKFFNTRYTSLFADITGAH ITLDSNGVYGSTTSLRLPDEYPEFSDVSNDFYTAKFRLGYQTEEQSKKEKEEARLDWR KMTDDMCFRWSCGSEEKLFVLNAMIWLRLLHAANPNQQSLKEMLVLLFGEEDIEDEDD DVKAEEKDDDAISDVSSCGGVKDAGDEDKNVKVASESDVKTFLGYGDSRTRPATNSEG LTWDGILEGVKAHYVC LY89DRAFT_676463 MNCLCYHCGVIFESRAAREIHILHLSSLPPFPRREDFTLGPYYV PVPETPRLVGQPYVVSASPFNLSPTDSSAPKVQFKFTMDPSPPTTPIHSSSQGSASMD MSEYALTPDHQPRFVMDPSPPSTPSPARSCPPFPNPFMDTHTPSPRMFPLPGQYLPTV PTVSNWASHLLATGCTKLGKGCLNNCVSGAELEESSKQSRFPLTTRVTKRTAHVKGKK SKCWICQGMFVDLMKHEKKHEKKHEEEMHWECNVCLDLFPRKWILTKHEREAHVKHRR KEKWIL LY89DRAFT_676464 MASPSTTVQTCWWLQCDFTYTTEEEKSQHLEMHFKESIHSDGWR CLKCNESFTMKLALRRHNAAVHLGIKRHPCNKCEQSFRDAIGYKNHYRTAHGIVIPEY RSNVRKVGDSKEEMGGKRRAGEEEPESFV LY89DRAFT_741391 MRLTKLQWLWLGVVATIGIVLAYLVSILAYFVPQLSIFSGSLSP AHKPITTEAGPSSLIAVDLRYQRIANRWSYMLDVSLGTPPQNVSLQVDTGAGFTWVKS PPAVGSTSCDNFSCRKNPLYHQQRSSTRKDLKRSFDYIYGKGKHAAKGNRHKDHIHIG GVTIPQFMFGIANKIVEIDDGVAGLVPHDKDSLQDQLFRGGKIASRIFGIYLGGATNR KGSLVLGGIDPKKYTGSLSRHDVVEHDYFKIRLDSVGVTKTGTTSVPVSQTSINVLLD TGCNHSILPVPVVESILEQIGFPQQPPVPVLSKGYELPCAYLRNTSITIDFAFGKRTF RIPIKNFMYELEPNLCVLGIDAAPEFFVLGDTFLESVYVVRDEDDASIWLAPAADCGS SPIALEPTTRNLIDFGALAVNSGSSVPVQINAKSISFTKGECESN LY89DRAFT_570173 IVTFLNEAMGYIHSTSLRWSLQYENRLTFNSNLRLLSSSKRSKP NAWWCNIAFLVCIILSYATTSLIFLGYNTTLGRVLNDNDNNSSLENIIQVSGVALIIF GLSLLGQAGLSTWALRSTKIPTWSSNPLDTVYACTDETNPNQLVRRKDRCMKSVHDIT EDSKPVTPKERQGPACTAHPEVKWVLTLLWALVPLGAVWGGVIYAMILHKNPHGVKGD SWSFIPLFTGSTYSNGTCVAARCTQGTSVLNVGWTANNGTANSGMAGNVGSIFLIAGF QAGLTLALHCAELLVNLSRDEGIFRMAITPKGTDPRYNSIIAAFSSWQTITLFMFKAA VHWLFGLAINNDFKLGVNMYPPQIFYFTAFSFGVAVFASYVSLRRPVGPLPATFGHLQ TMADLIDEWEDRMFWGHKESGYPNYAGTSSKRLDMPRWHELYGG LY89DRAFT_724623 MCLLWLRSKMRSRGCGSQHGCPQGANLETLSEHPTVKVHSPKYP DLTASAETFQYGESRARPSIHEEGESTSNDRGANTIMSPILVQQLQADLAGERNRISQ LEQELATSKVREADVRKVWRRAANELDRIRVQKQSFPVITDDYLIEKETTPGSDCYKW LLRRQDSCSKVAQAVIWRVLVGEIFNQFQWAGNAAEQMRDMCKVLKPKPSMSDIDATS HYEQHRKFQMWSANTAGLLFETLDLEKDAGAQSQIDNHNDMLAEELLETIGLLMKGER EQFKLSLFEILDIAISLDKELSRQSAQVVWTFKEVPLDPSLMDLDERKISSENLDLEL IVQPGLKKREIWHQCILAA LY89DRAFT_658177 MRPRSRVEVVGGSDSDDGVNDVLVIGLDFGTTYSGVAWATYEGF NANEINVITTWPEQPDEAKAPTQLFYEDGKIMWGFGIPIDADPVQWFKLLLIKDIDLD EETRSSEFLLRGRKMLRENNKTAIDLIADYLRLLWKYVLEMITKDCGSSVVDALPFHV VLTVPAIWKGYAREGMEKAARVAGILDGRLAGPTNLTFAPEPEAAALQSLDAGEIYVV CDAGGGTVDLISYEIDSGVRPIVMHEAAEGTGGLCGGIFIDQAFEDICKARLGRKWDR LSKAGIKQIMKKEWESGVKLAFNHPSLNDYLVSIPAEAFGSSGDSLNDDSKEPIIKNG IIHFKSSHLCQAFSKPFLQIEELLDEQIKRIQSQGTPPTANSMQGIILVGGLGGSPYL HNGLKARYSPVGIDVLQSTVVKPRTAICRGAVYKGFMEGAGGKFDHQPNMISITSTIS RSSFGTIFRAKFEAGKHLEYEKVWDNNECEYKAENQMAWYLKRGETVSGKDPVRRSYY QILKNDFGRSFSITMHQCNALTAPSRLTPDVGFLCTIDCAVDTPFGALEDYTNPNGEI FKRLTYDIEMVPSGASVEFVVYINGKRQGAQNANIHFE LY89DRAFT_319997 MENPVIQQLRQELQVECLAREKAEQTLDVKTSELNTIRTNWTTT ARELDQLRVSTAPKSLILTDDHLRDKLALLRYQTRNLAILYFDGDLTQAAKANPTSLL DPYLKPTTPGTNTYEILLNSSNAAQKVVRAYIWRVLVGEVFGQFLWAGDIGQHMAVIG SLLRPSGDPPDPVAERKFALWSNDTTQILLDALGLRIEAEGHDVRYATPRVKTLVSLI LETISPFLREKEGQLQTTLLEIVDAAIKLDKEMCQQITRLTWVFGDMEAGTVVLAPGL KRRGRSDGKEFETEKVVLIKEISLEPGLKMGKVG LY89DRAFT_320009 MSSNAGIPIYGQLSDNHTSSLNAQYAPNNIPTPWPLLTLSVILS FLIGLLAIVPAMKSLDTQSAPALAPIPLQPTTAGASGSKTKTQLLHLPRHLRSARNRQ KLPR LY89DRAFT_320013 MIISSRYPISRIPLDRCRERQVSSFRFLWRLQPCLEKGRQRPWQ LDRVLQVFEGTCHGLGSLPATSIGATKQGRSLEKQRALGDQARIPTPDNSAPCLLICI NCPIGFLPVIQSHLSNAYHMLVHSKEKCCQALDSDIDILNTLSKNYQHYIPKVHLMCR KI LY89DRAFT_724626 MLPVEIGTFWLVAEAAPHPARLDSRINLDIAQNLCTMIRDATTA DTTRSPSRTKLTIDTILRSLGLAVFQSSKDGGRKKTALDKSRSQALSRCAVHIIPIIG AIVIISLNFKALYIGATLNAITALQFAAKLHEITMVASIACIVFSYLRYQLTLGDGLP LGATIAGLELTRISYLWSLGFWGALMSPNFSLKQKVQFVLVVVPAIVLVATVGPSSAV CMIPRTSYWPAGSTDIWINGTYDELYSTFVDASGVPSTCANTSIAAGLDGCPSNSWQG LLNYIPFFNATLGPPNSNWISTTTFGVDFLTIPISVGLAGVHSIRSLYITVDDVNQGG SSDPLATIQPAPLADALVLVGSMWATLNATLYGRWSSRLDSIHTMEILQPFVGMTCNW QEVPIEGNSDARTVTFPQGMYMNTTVGYIDYDGISRSDLWNTPGDISKPRLAFVDLPD PQFSNVSVGVVILEPRNPANNTQAIIPCILAAGWGQSKMILSTGNNLQRGTAAVQSTS FVEPFQESYPTNYCFPSFPLIHINITKDWAAYMNPFLPLQNTTVFGALSSLMNNGEIS TGTKQEKVNEEGIYTFLITSLLANALSNNSPSLSIQGNITVTPSGRANGNSWLFHNAN MFTIPSSPQTANWTKLRIDSFVQGLSLLRVCSGAFGVLRWCFRSQFFCLGYDC LY89DRAFT_658179 MAGTRKRKTNGDSPQTAKRQQKETTSHQNGTTGPARINGKKQIL LNAFDMSTVGHLSPGQWKNPKDRSAEKRGLQYWLDLAQILERGGINALFLADTYGGYD TYEGSLDNCIRRAAQWPVTDPTIPISAMAAVTKNLAFGITASTSFEPPFLLAKRFSTL DHLTGGRIGWNIVTSWKKSAFKAIGIDTPFEHDKRYLQADEYLRVLYKLWEGSWSKDA VVKDVENDTYVDPDLVRQINHHGEFYDLESRHIVDPSLQRTPFLFQAGTSPAGSAFAA KHAEAIFVTCHDPAVLAPKIANIRRLAALEGRDPASLKFFCTFTPILGLTDEEAEEKL EEVKKYASTIGGLVLVSGWTGIDFSRLPLGKEITKEDSLEAHKVTSTLQQFTTTSKTV PIWTPEVVAEKASIGGLGPLGVGSPATVADELERWIREADVDGFNLGCVTTPGTFEDV VDLLVPELRRRGIYPQEGEVKEGLTAREKVYGEGQKELRSDHVGSQYKYDVYVEDPPF VKKDEKVVNGGGKVGEHNLRGKGAKLGKV LY89DRAFT_763698 MAKELEDGDKIVPTIQGISSEATPDSLNSNEAADRIAFLSSFSP EEDKAIRRKVDFRFLWLIGLMYIIKNIDYTNAASVKVLQVGQPTNILKQLHMTADQYN WVQSIYFISYIVFEVPSNLLLKRATPRNWQFRIIFSWGVVLACHAAVKSKEGLYTARF FLGMMEAGMFPGIAAQLCSWYRSDEMGKPIMWMFGFQNCSGIVGSLLAYGISYLDKKG GLSAWQWVYLLEGIITILFSFVVFFVLPDYPKSPRSNKWLTPREQEYLELRLSENAPK TADSAFKISEILASLKNPRTYAFMLSQILINLGGYGLSWQLPTVATDLGFAGLPRNQL LNIPPAAASVLAIIFAGWFLRGAYLTRPAFIMIICAGALAFFIVLAATTNKYAVYIAC VFGTMFYSVYFIPFWAWRSGTLVGTTGTAFTLAFQSCVGQVGGVVGPQLFQSKYAYNG YKVPFAICATAIGCGWLASAWTWWLTRNVECDVRRIRRLRIKAQRDGKMFAEDDVRVF QERDFYNGLVKKEDGVVEV LY89DRAFT_711343 MILRSLSLIILQLSVATAYTTFETNCSHPQISVNFVSSVNARGT LDILWSCLFTILACTWTVLHLNVPEQREERDPGWLGDIKWAMKRAWTNAEWMMITVLA PEVLLGKYCGDLQAAIALTKDMKELAEKDKVPWTLTHSFLANMGGFAIRAHDQIRLDI ARSNDILYLRNNGLIPRLPYISLDEIGDRNKNDSLVRVIAITQISWTVVEALIRATRG LAISQLEIAVIAFAACAPKGVQTSIALLTYADKIPRRTIDALPPRSGYAYWLYETADF FFEHSEVLAGSRISNSNTLCDSVKDLYSMAGASIIFGGIHIAAWNFDFATKVEQILWW ISSLWCTVDFLAFFLGTSFLIYAVDVKLGWISSDTSDKVQKVFIFIYILLYFLARLYL LIEIFRTLCFLPPTAYYSTWATNIPNVG LY89DRAFT_711344 MHSKRQGRAWYHWYAKDDSPEERRLIIKLDLLIIPYAVIAYWIK YIDQSNLTNAYVSGLKEDLSFNSNQLVDLNSLYIAGAVIGQLPFTFIFPMFPMNYTIP ALEIGWGLFTLLQYRVQSFAELAAYRFLVGIFEAAFFPGVHYVLGSWYRGDELGRRGG IFYVGQMLGTLTAGLIQSGASAHLDGVRGMAGWRWMFIISALMTIPVAIAGVFVWPGT PAKPNKLFLTDTDLSLAVNRLKKQKADVEERVNQTRLQLLRHILTDWKIYILGLWDIF FWNAGSTSYGGYLLWIKSLKRYSTPKINQLGTTAPALGILYVLFVNFSSDLLWGPSGA ITFAHTWNFVAMTILAIWKVPEAAKWFAFNSAYTQVAMSSVLYGWCNDILRHNAVERS VILVFINLFAQSTTAWTGILVFPTVEAPRFLKGWTFCAVNSFVIIIFTWAVVRPLARR EERRGEYGVGQEVRECEDKSRSIESAARP LY89DRAFT_763712 MATNAKQRLESLSRHLGSTPSHNERPKFELEDHPGDAVRSLKVA VIGAGISGVIAGVLLPAKVPGIDLTIFEKNADVGGTWFENIYPGVRCDIPANVYQSSF APNTQWTEEFAQGAEIRAYWQNVVKKHGVYKYIQFKKKISQAEWDPTATQWVSVEERF DILVTAIGRFNAWKLPNYPGINEYEGHLRHSSNWDPSFDPKGKTVAVIGNGASGIQVV PELQKVVKHLDHYARSKTWIAGSFAGHERKAEPILFSAEQLKDLEDPEKYLTYRKGVE ETYWRRFAALFKDSDENKNAREEFKAIMKKRLEEKPELLDDILPEFSPHCRRLTPGPG YLEALSKDNVSFIRTPIKRFTKTGIETEDGIHRPVDAIICSTGANIDYATPFSVVSGG IDLSKAWKPDGEFGFPYSYLGLATPGFPNLFFIHGPNTSGASGTLPNSVENQVTHIAR LLRKISGEGIKTVVPKKGAADDFVEFCDAFFLKTVLSENCSSWANGGIPGGRIHGLWP GSAAHVNFIRRSPRWEDFEYQLRSPNGSKNRFAYWENGWTSKQLQPVADLTSYLKVPE KVDLKDLHESWWDV LY89DRAFT_724630 MNSTTASYQSSHSHEDGNSGTPPKQMGAIQNLKLNDGNEIPMLG YGLGTARYKPDPNSPDDPELVKTVVMAIKAGYYHLDGAEVYGNEKELGTAIKEAGIPR EKLYVTTKISGTKVQNTQEAFELSLKKLGLDYVDQYLIHAPYFAKSDADLQAKWADME AIHASGKTKSIGVSNFLKPELEAILKTAKVVPAINQIEYHPYLQHGDLVDFHRKHKIA TSAYGPLTAAVRAKPGPLDGTYDQLARKYGVTPGEIALRWVIDQGIVALTTSGSEQRL KGYQKVAQFKLTPKEVEEIAELGKQKHYRGFWKDKFAPDDRS LY89DRAFT_701579 MRLAQMAAHLSNGKPSNGSSYEGSTLADLPKSWTFTSSLPADPK FPTPAASHKTPRQDIEPRQVKGALYTWVRPEEAQEPELLGISLAGMRDLGIKEGEEKT EDFKQLVAGNKLMGWDAEKEEGGYPWAQCYGGWQFGSWAGQLGDGRAISLFETTNPTT KTRYELQLKGAGITPYSRFADGKAVLRSSIREFVVSEALNALRIPTTRALSLTLLPRS KVRRETIEPGAIVARFAQSWLRIGTFDILRARGERDMIRQLTTYIAENVFDGWESLPE RNPSEDGKDARPLKTGVGKDEIQGPAGLEENRVTRLYREIVRRNAKTVAAWQAYAFTN GVLNTDNTSIFGLSIDFGPFAFLDNFDPNYTPNHDDHMLRYSYRNQPTIIWWNLVRLG ESLGELIGVGANIDKEEFVEKGIRQEDADDLVSRAEALITRAGEEYKAVFLEEYKRLM TARLGLKTHKESDFENLFSELLDTMEELELDFNQFFRKLSNLKIGDLETEEQRKSAAG VFFHHEGVTGINNNEESARKRIGNWLEKWSTRVYEDWGPNGEDEARQVEMKKVNPKFI PKSWILDELIKRVEKGGEREILDRIMHMALNPFEESWGWDEKEEERFCGDVPKFDRAM QCSCSS LY89DRAFT_741405 MDETSSLVQADPITRLSPPMKDDVQLQQVKYNNFYSPHNNDFYT KFHYSKLDENSIRLLRIKPLNPDEDDSAPISCDLLNNVSLPANKDSYTTISYCAGDPK KVERVNVNGIDFNAFCNLGHALRQARHFWKDKYDKQELLLWADQICINQSDHEERSQQ VSLMGDIYALASQVLVCLSSKHDPAGGIDWFLRLSRIHLLEHKFSQESPPGYEIYDDN IRLREFFVAKWDNEDFHLGWDAFIRTVLTSPW LY89DRAFT_741406 MADLIMIYHGGAWGCNMSPPEFHRRSSQSGSCWGDCQVCLFSVA PTEFFEAVDRAVGLLEAKVEFESQPGQFADLLRNLRGAYLCEASDSRDLVYALFGFSA HSYGVYPEYGPGITIQGVFRQLACKVISYNRNLDILETAYRTRRPSRRLKRLPVDHEL PSWVPDWRNKQGFSILRRFEKQRPSFETKMIFSFQTDATARRHRILQVRGIFHEFLGR ETFGRRPQRFISDGLGEIRTMGSAKEGDEVWMLHGADILFIFRRPSGGKYLELVGEVV DLYVKVPPKPRLPTEELDKLVENNDPSVVLVNIC LY89DRAFT_690644 MALYLALAREEDIPLIVPIQFAAFHPTDTLHRLIYPAPHPVSPS VISSTIARHLKAWRTDPHVTWLVIKDPSIRILDPSSGQETDRIVAAAKWIIWPPGERE EGIRWPEVKVDWIFPSAPDGEKNFGPNGAPDDEEYVSWVVEQVYGRRGERVAGPAVLL DSCFVDPEYHKRGAGGMLVKWGVDKADELGVRGFVEASAKGRRLYESQGFREIEDVKL RGGEVRASWETYGEVEYWFMER LY89DRAFT_741408 MTEFIGARISLVSRSDIRYVGTLHEINSNDSTVALENVTSFGTE GRKANPEEEIPPSDSVYEYIVFRGSDVKDLRVEEKPAVNENKPPQVPNDPAILGSGSR SRPTPQSQAPPQQQRGPPGPQGQQQQQGPGAPPFGQHPQYPPHFYPPPGGFGRGGPPG PGAFPPMPYGGPPPGWYAPPNQGFPPAPFPPYGYQQQQFGAPGQFQQQQQQPPHQPPK PSPIGPGANKQASPAPPSGQAPGQAPGQANEKQAEPKISATPAAEMPAASVPKPPTPN NASKPTASQVQAAISQPANSQAAPPTAPKAAAPKAPAPALPKNRVVMPAVPLPSVAAP KAPLQSRTSNTALTEPAAKPVDPAASLRDATQAATAAVAAAMAKLPPLNQTNGSAVDN LTRKVNEMRVNDAARAPRNPGGTGFAPRGGRGPRGGGRNMNHKVEVPEADFDFASANA KFNKQDLVKEASASSPLGETESVASPTEVDTPSTGYNKATSFFDNISSEAKERAEGAG NRPGGREWRGEEQKKNVETFGQGSVDNGYRGGYRGGRGRGRGRGNYRGRGTYAGNGQP GQARGGYRSRGDAQASV LY89DRAFT_724634 MESTASGQSVEIFKELEEYPWDKDKEFQGGLAAILGPNPQPSQI HDLTLRAQCFYLSRKRSIPIDFNQYKEYLMSKPETSTMSASAPPPPPHNNHNMASSHL ADVEIDDPKAAPYPRSFAEIVELITSGKPIPGIKEIHTTVCPELATKPILPKRRKPWE KDVPQEQIDGKIGGLFGDHRDIHISQEYPDA LY89DRAFT_676481 MSASTGSPTMRRAAAGRSISQENAGSPDESLMARLDNTSASLKK SATALTSSKLGNQLANQTAPKMKFEHESSAAAEANPMDKLLAKLSEQQAVLANQREAL KSSEDNIALARTLDYIQATSSSVPITSANESQNVSAAPTAPTTSPPSISGEDPAAPNA EEVARLKAELEFAKGKIALMDEELAQTRITKHTIDQAIGGASEADFPLSSQVDDRLNH LPPVVRPQIQRDNSWAAQDDARSDTSDALSATGFNRARAIWNAGGKPGFPGMQAPMPA PMPSFEQPSAALASAQWMSRGFGQPFVDAGMQYPAAPPMNAFRNDRMMPEPDLLMPQL PRRNQPGGRFNNRSSASSYPYASSNSSFDGFTPSTTPYGSVGGLAPGVSAMGGAMTMN MNASMGMYGGYQAQSIGTPLSPHAPEFTSSGSTWKSETGVTEGTTYMPTSEPLNYRRL LDRTVSCNWKYIVDKIVCNNDQQASIFLQQKLKVGTTEQKYEIVEAIVAQAYPLMVNR FGNFLVQRCFEHGTPEQVIKIADAIRGNTLNLSMDAFGCHVVQKAFDSVPEDYKAIMV HELLRRIPETVIHRYACHVWQKLFELRWTESPPQIMKFVNEALRGMWHEVALGETGSL VVQNIFENCLEEDKRPCIEEVLASIDIVAHGQFGNWCIQHICEHGAPADRSRAIDHVI RYASEYSMDQFASKVVEKCLKIGGPDFLSRYLDRVCEGRHDRPRIPLIDIASDQYGNY LIQYILTHSNPQHRELVASHIRKHMVSLRGSKFGSRVGMLCTNPAIQTRPGPGVGTAI GVPAPRMGPQPNPRFGGAYR LY89DRAFT_676482 MAVPRSRILDLMKVQCRIFSTTFNPEGLRLGNKILRQRLKGPAL ASYYPRKVATIQDLQKLYPEFDEFIDEAEEDRLEGIKILKARGKGAPKKKRTAEESKK FKGKKR LY89DRAFT_724637 MQKLFNKAKRKHQESPGSGERSSKHKKHKNSHERENPFAKSYEK TSVDIPLRANSFDQGRKGQKIANLIRALNEALDEDGMDETLELIGEENLNRCIDLRTN LKETKLETDASAVISPPASQPQRMSMSHDYQNIPKTLSVLNLTPWKSSTIPTTLPPAP KILDPTLELSAFTHIGCSAGKPSDLSYERLEWIGDAYIQLTATLLIAQTFPSSNPGDC SRFREKLVKNVTLANYSHQYGFDKRAILPEHMSAGHEKTKIMGDIFEAYVAGVILSDP ENGVMRATDWLKEIWGRTIAKDIINEERNGMKFDSPLWRLRGNVDPVQDIKSAERVIL NPKEVLQKMLGSKGIKITYRDAAPERKSRETNLAEFTVGVYLDGWGEKDRMLGIGKGK GKKEAGFKAAEQAMENKKLMKVYTDRKKIFDAQMELEKKALEEQQESTSTSV LY89DRAFT_320142 MADSVDRVFVHALNTVKKIPKTGASRPPPADRLRLYGLYKQAME GDVDGVMERPGSVGEGEHEPEDVKKDRDKYDAWDAQRGISRTEAKRRYIEALIETMHK YASTTADARALVDELEFVWDQIKNNSASSSGSSPGRHGVPSYTTNTQPRQFQPPLSGN DGPMRVLSPMSQDDEAEQESERRLDVYDEYDDDEYNEDGKKDKKTKRWRRTVEQALVK MTAEIAALREQIATGREYQGKKRRSFGRWVAWFIWIVARHFLVDMVVLGIVLLWLRKR KDRRIEDLVREGLKIGREYVRKILPPR LY89DRAFT_598786 MPEGGCFCNKIRLSYTGELNAHALCHCLDCRKIGGSSYSNNLIV PEQNFKLHSGISFFFSIMLCKPKEISKTADSGKTIVSHFCPDCGTTLFRTGESFPGAV IIKAGVLDDPNFPSQNVPKAELFAPERIAWIPAIEGAAQLPGMPPA LY89DRAFT_788519 MSEPISRRTDEDESFSLAPSIFFPFPGFTEKNTVPIQSLEDTEK LQKIIENLGVQQERVRGNMMFLVKQRAERLASKAKEELSTLDSDGEEDEKDKEVKEKN IDAMFANMRVLAKKGAKSAEYEVRLDSNPPVQTDVTMAGTELTTPASPLGGNGDVNMV STRSSRQILGTLSAEMKILIDDNSSKMQRYDKHAKEVVNHYKQALERRNSRKGSGPAI SGAAFGGGGMMASPVEMRRLSTGMPILGSFVGGPQPVRVSETMEELARRGSK LY89DRAFT_320175 MLYAIKRTIEIVEDNLLSETSIKKLVIKLHFPYMVACLSEHVWD WEQNDYRNVEQQNRFLLKNIHDMIKENNREGRLEIKFWLVDIFENLDVLTMMALGDDS PSPQEPPPRSNVGIKFRSAALYWMYHAPSDFAAQQLCRRLGIALEFGTSDTFTGFTAP IRRLVITGEDRAENFARLFGDTWEEAIKTEWDEIRMDVLTRKKPYAGGLHRNESWNIM DEGAPYCRPRLPTAEDKDKIAKLDKKFHLTGDEVSRLHVGRDSGIDFERQIALYYELM GYSGE LY89DRAFT_690652 MPDASTTQSNSRIFDPNLYFLGPPDRVKTLEQPSDIIHHISSYD VGNGVTHLAVNNLKAKRRADRTVKVDRDSIVVSVDGAVKDGRAGFGAYFGKSSELNIS SLAPEKKNQTKELALLLGTAECLKISYELLILGPSSSPDSTPSESGSRKTKKKVVIIT DSVYLVKCMADWIFVWQNKGLQTTKGEMVGNHVEIKGLGEVCLEVERVGCEIRFWLVE EGGVEDARKLAEQVLADAKEEAG LY89DRAFT_788521 MTTSNDNARLFEPGHLRNGPRSAMKYYPASGLTFAKSETPSSPS TTVIVGVDGACPDNGNGPARGSWGIYFGRNSLYNTCGLIPETEKKTNNVAEIYAAISA VRLVSQKVVLGTREVKQLVIMSDSTWLVNSMTIWLQNWKQNGFQSSKKKPVINSELIR ELDDLIEDVETTLGLNVKFWHVKRKSNFGADRLANIALDNDADWEAFWRNQLEFDPKS LNLDFFTLRTNTVVTMGPRLLCLEYPVTGKGEWFSSLRAFLILQNSWKVSCLPGRTPE SKDLLRDEILKGFLGPNFRRRANLELEKHKQKSDAQMALLLNAAKKYHEDGDERKGKF VMLAEINNHMIMTIVSRSLEVSLPFAECNESFVNRAKQYLSDDDDVIKKLEVLISGTT KQAKRQGKKQGRKTRQVPKPNEIDSGAKLDWGDDWVEVERPEEHLT LY89DRAFT_763728 MGSIGFLDSDAPFCRRFDFESSGVTNINWSATPLQTASNAGNVP LVELLLDLGADVNSTACPGGKTALFAAVEAGHAEVVKILLARGAQVDAFGNHESEPHV TCLMAAVRRGDAMLVQMLLENGADPDLSKILSAVIDSGNNNALISLAQAGIDINQRIK VDFLKLKNQLRFTPASDGILGITAELICITPLGYAVAHGGWIKGAVPDIAMTMLDLDA DLNASLWEESFEYQSLLHFVISQWIGRRNSFYQGIKHVKGLVTPKLIEILLSRGALPG ASGHGLDSSNQATPLQLIASDEPKEGWPETFEVAETLLKAGADVNSTQCLSVLQPLEA AAFMGNFDLVKLLLSHGADVKSSALQEAIRGFFKYDAQPEVSMAVVNLLLEKKADINA PPSYDGMGMTALQWAVHGNFLPLVRRLINLGAEISAASPDATYGGTAIQIAAKDGHLE IAYLLIHHGADVNRASHNFPYEEEETSAIDLAAREGRLDMVQLLINEGADTHLPGSKR YRRAERLAEREGHVAVADLLKHYFEDATWD LY89DRAFT_676490 MRSLGLKHLARERAKDAGVPLLPGTGLLGDVNQVLDEADVIGYP VIVKSSAGGGGYLALSIFKDKRVFIEKFVENVRHVEVQIVGDGDGLCPEGANFGIFVP EKIRGSMRRAAIELASSVSYRGVGTVEFLYDIGTKAFYFMEVNTRLQVEHAVTETITG LDLVEAIIRIGAGTSSCLFTDSSEHFSIEVVAVEARIYGESPLQDFEPSPGQLLEVSF PEDVRVDTWIEAGTIVSSLYNPLLAKVTVCGKDRPEAIRKLAAALQNTVFSDIETNLD YLTQIVSSSDFQNGTYTTTTLDAFKFQADAFEVLDPGPSTTI LY89DRAFT_690653 MRNLLSFVFDISAFSFPSSSSLSSSSSSSSSSSSSSSSSSSSFS STISSSSLSSFSLSLCPSPSSLWRIASVLDIVLWVFEVGVMLMTLGKDGEKESKWKNR LY89DRAFT_690654 MLRQSLARSALRTSKQSCNASRTFATSSRRQAEVQLTVDGKQVS IEAGSALIQACEKAGVTIPRYCYHEKLMIAGNCRMCLVEVERAPKPVASCAWPVQPGM VVKTNSPLTHKAREGVMEFLLANHPLDCPICDQGGECDLQDQSMRYGADRGRFHEIGG KRATEDKNIGPLIKTSMNRCIHCTRCIRFANDVAGAPELGSTGRGNDMQIGTYLEKNL DSEMSGNVIDLCPVGALTSKPYAFRARPWELKHSESIDVLDGLGSNIRVDSRGLEVMR ILPRLNDDVNEEWINDKTRFACDGLKTQRLTTPLIRKDDKFFPATWEQALTEIGAAYK DFAPKGNEFKAIAGELIEVESMVAMKDLANKLGSENLALDQPSGNGPIAHGIDVRSNY LFNSKIWGVEEADAILIVGTNPRHEAAGLNARIRKQWMRSDLEIGVVGETWKSTFEFE HLGTDAAALKKALAGPFGKKLQAAKRPMIIVGSGVTDHADAKAIYEMIGAFVEKNAAN FLTEEWNGYNVLQRAASRAGAYEVGFTTPSTAVAETKPKFVWLLGADEFSAADIPKDA FVVYQGHHGDRGAQIADVVLPGAAYTEKAGTYVNTEGRVQMARAAVSLPGASRTDWKI IRAVSEFLGSPLPYDDVAALRDRMVEISPALASYDIVEPVALKHLSKVQLVDQNKGSK PSNEPLKKIVENFYFTDVISRSSPTMARCSAAKETGNPETNFMASGYSSEKPHGQIAY GS LY89DRAFT_628981 MNLFRLLGDLSHLVSIAILLHKMHQTNSCSGISFKSQALYFIVY VTRYLDLLWTFTDSAYNTTFKIMFLLSSGYTIYMMTTAYKPTHDPNLDTFRVQYLLGG SLVLAVIFPYKYTPYEILWAFSIWLESVAILPQLFLLQRTGEAETITTHYLFALGLYR TLYIPNWIYRYFVESHWDWISVLAGIVQTVLYSDFFWIYYTKVLKGKKFALPV LY89DRAFT_676494 MATDLHDQTLATDSQEDLVSVAFTYHGEPHTFAFTSDATIIDLS EEIADTLSIPPSNQKLMVSKLGLLKPPFKDPDLPLSSIVDKKITLMGSTVEEAGSIAQ AAEHASRRATRRSRPMQKVQAYKTHDWKKEQEEAQYTFTTLRPLPYLPNPSKSLQFLQ RLKDDIGIKAAMRKHKFTVPLLTEMNPIEHTQSDSEGTTRTLGLNRNAGEVIELRLRT DAYDGYRDYKTIRKTLCHELAHNVHGPHDRNFWDLCKQIEKEVDAADYRSRGHTVNNE EVYHGHDEEDEVDDHGGWTGGEFVLGASSAGGAESTGQASSQGMTRREILAKAAEERM KRAREQQGAGKSEQSGSGS LY89DRAFT_676495 MSSPNGPDSAISNLCSSFLAHNVETKIVEKLGEAQCNTCYDVWY IIAICVMVLLWIKFHSEGRKQAIQELRNMPSYKLHDRLEEMYREEVASEKEVVQYIDR YHRVSHEDDSVRMRRTITIVEDLSRTNLPAVNPSREERATSPTVNPRTRRRNNSPVNA NRRSRRSQTGSQSHTHSSLCNLFLHTNLSTSATESRSAPDLSLRQEEAARLVNPNTFR NSNNTSTAEISFESEDLETPPPYSPIIESVDDNDDDSDPEEYEIAHP LY89DRAFT_320275 MSPPTHYLNRTCIELATANFTFFDSAAGGNLSACSIKPPELDQK LENITMAQVCIATIKVMVIICGWLLMWQARSLTLGIYRKLRHLPSHQLHRELEDLYVA NLSKKDRKAFEDTGSPAGRYPNVAFSTDQFTRKRKIFIVEDLDEEPTKEEMWKYTKRH QFTGKKATEVPSLNIPEVDGESASVIELLNLDSVDDIVVPEPAVINEEHGAGQGAAPE ASSNPPPYHHSWTRYV LY89DRAFT_763737 MNKNQDRLLGAGWICLGCRTVFPTEEAFDEHDPYRCIFTGPGSL QSNPAPVSQEIVRTQRSPEPLPVCPQCLLSFPTTEELRVHIIRAHLVQLAKSAQEDEE GFTCPVCNFRAFVTDDERYEHIIVEHLGGEPSLLGQNLTDGELVCLLCQERLRNGADY RSHILSHLGGNALLKLPREAFTLSGWSFERDEEGSSRQVPNRLWRTLVADRGPHGREA PTWYRRACLECLQYTDSFGDLSLDQFKNLDCTPHRTTAMTQFLERVQQVVWNRKFFHA RGRDGSTLYGLCPPAAEANDIICIFFGCSVPVLLKKVKSESRDCYLLAGECYVHGMMD GEALTPKPEYPYSKTEGFLLV LY89DRAFT_676498 MNSIAQLAARSARLNGASALRQANPIARRAFTNGPTTSISRFQS ANKSGLIASRALLRNAASNIARGQSRGVVTETITVGAAIYSAGKLIGAGAAAAGLIGA GTGIGTVFGALITGVARNPALRGQLFSWFLNALEVDPLTHSRRWECGGGMIEKDSVSM SPALIIIDLQNDFVSPEGTLKKKHVPLELLLPKLKYICGIFKSQNWPIVAVRSEYSSE NRDTSYNESTEDHLAGTHAGKRKFCTPGSVGAELHPDAQALFEEYGATVFTKTMYSAF AGTSLHSHLQAQNVGAGPLYFAGVTANNCVLASLTAAFQLGYNVCALEDCIGATNEIL KDSAFRTISKYYGSVVSGSTLTPESIKDEAQTPHRILYWVSGSIPSWRVMMALSLKGL PYTRNRLQVMSTPKETRADEFLAINPRGKTPTLIDVDGTILIESLAILQYLETSYPTP PLEPPKEQKAAHTLMLQRFHESENLHNVFEDIELTFLKDWSRPDYKFRIYDAYTKTIQ ELGFWESYLSKTAFVAGDEMSLADCAFYPNVAYLVHRGLDLKREGFENLKRYVDAVGG MQCTKDALPVRWENRGKNLFFKVYQVVEEMGGVES LY89DRAFT_788532 MNRKKAQLREDLVKHYEEIISETQELIRFLRSQFNLLYNEWEMV ALGSSAFSDHWAGSGFVPPLEKLQVDGEKKELLISEMMTADRDRDGSLGRDAKTDRAY RKKAEDRLNGAESWKELRVAAERIADGFLNWESEGGKGGVDMYLKGLSR LY89DRAFT_658211 MGLFTSTGVGKESDKAAQILKSFVSKGKIPTEAIANAKGLAIFS AIRAGMYLAGSGGSGIVVARLADGTWSPPSAFSVRSGSIGLVYGVDVYDCVCVLNTDA AVEAYSKPEMNLGGTVAAAAGPIGGTADMNMKEYKPVWVYTKSRGLYGGLTVDGTVIK EKGDANAEFYGSKVTAAQILRGDVRAQVGGSKWPAGAKQLVEVLKLAEGKRADEGVLQ GISTEPTPGDLEE LY89DRAFT_690658 MAEADMEKEFQAAIDSGKIKGVIVCATDAESNFVYEKTLGERTL LSGERRPHQLDDVLFLASATKLHATIAALQCVEDGLLSLTDDLSTILPELAAQKIITG FSEDGETPLLEPRTRPITLEMLLSHSSGATYYFLDPMIARWRQKFAPKPETLAKSSVP VEDMFDYPLSFQPGTSWMYGPGLDWAGRVVERVSGKTLGERMHERIFDPLGITDAQFY PVAREDLRARLVDLNPEDPEVYGKAVMGGPNDMNKLGKGDFGGHGLFMTAPDYVKVLH SLLANDGKILKPETVNDMFENHLSPEAAAGHKAAVSEENPFGIFFRVGTAPGSKAGYG LGGHLTLEDVDGWYGERTLTWGGGQTFAWFVDRKNDLCGVGAIQATIPTDPEVAHTLK QTFRHDIYRKRAQWKKEQA LY89DRAFT_741433 MQTSLPLLAAVAFVLCQASVDVQVMSPKLQSRSLAFDLIDTPLR LTNVTQWIPPSPATTRDLDIAPRGPTGVSTYLEHEKRLNNGVMAGVWGGIAAGFANSL VGSCSIGGVLTFGGACAINLAFFFFSFAAANYFAWKATKRSLDEVVWTLDPQYIPTIN GSLRSTLAANAPQDKFTAIGNITTPGNFYHLHYFRSSNSNIRGLKFTRNVTLSHALSK NKRQEQGDWEDDEGSDGETDYSAYIYWGDPDESEFEYEEANYDQTGVEEGMAESYADY VVDNELTIVCADTYVGGYSDNEMVSLWYWSPTPGDEYEIEPDEVQTLMNLCNTLGNG LY89DRAFT_741434 MFIALLLFNLAAYTITAIATSLTSTPYVSYSNKLRANDCECPLH EQQQDWLLPAPAITKLCFIANLLLGLMSGALLVNAFLWWMTSVSEISVSVRNYALGCG IGAGIVGLVLVHILFIKKLVKSGGLLMAINTILGLTLMGLAFGTIGVSRAWSVDSSQN SLEKGGVELDLRGVFMQSLQEDRSGQAHSE LY89DRAFT_763744 MSQQTNNNDVDRAVPAPNTNLNRVTAQFPLPPFLLTTLQGISFA RAPGTILHPDALAASALPSIAEVAYTHNPHPHTEQEPGTRPASPASPSSPSSPAIPAT PATAATAATAAAEPPVVLFRRDPLIPTPLQQSRDHKCPHCLWSFASAWELRRHLLSIH GIAPETPEPNVRKHKCPVENCARSFDWLPELTRHRLSAHNLEPPPPTEEEIAKQKERE EELKEWVAGRGERREAAIRRLEAKGIFTCRLDNCRKSFSSEKGRAHHEKMARDGRGIK GHRKK LY89DRAFT_788535 MDSPQLEHPPNSETLGGNDITQPTFRRGISLAAVPGTTKGNLGF EYAEHSYLRDTTSLTLANGSIVTALHHPFTLTNGLRVSYGQINGLAGNFYGTFNPIGN GKSAEEQRDRFIAAFKTLDGPKDRQPKEARDILKNLDAEVAAINNALATYTSRPVRFP GYLLLARINWDHFGSDARIAYNAGHQEAINVALGGNLELAYSINAFADHYLEDSFSAG HLRTPRRFLHSFGGSDDLCSKYMHDEDNAIGLSVKNPAGEAWIAYGDKRGMDRQNNDN KKHYVKALQVSANEIYSAWKNKTKLSPPMYGAWAHAPTLESARAPQILAPLFRDSERR KNMERHRDFSYESFGYFSAFTMGPQLALSRWWSYPLTLDGPPGILNGSHVATTAIGPG ICNVYYQTAQGHILEDHFDETWKFVDGSSFKPKLWSPLAAI LY89DRAFT_676504 MKLTTLLTLPFLLTPLLAAPNPNPAPAVGSNFRGRPKPYKPSPP DTKYFHEPGGNDELGHYDIRYFKAVVSYEERGDTLLGLIRSYLTVFREKNIETWIAHG TLLGWWWNGKIMPWDWDLDTQVSASTLVWMGQNLNMTLHNFTVQTASGEEQQREYLLD VNPNHVDRMRGDGMNVIDARWIDVRNGLYIDITGLSETNPSQQPGVWSCKNYHHYKTR DIYPLRETEFEGVPATVPFGFDRILVQEYSPRALTKTMHEGHTWNPEQKEWIKNAPQG NLKLHPNSKAGRSHMPRSLLGDEAPRSGLGNLLRTL LY89DRAFT_690661 MPPPKVIVFGPTGAVGSAAALTASELGAPVILAMRDPSKPIPVL SDLEKAANFTRIYADLTKPDTVHAAISTTGAKHAFLYCAHGTPDHMKATVQALKAAGI EFVVFLSSYTVRGDLEAIPPAEAIPYVHAQVEIQLREVFGEKGFVAARPGSFASNTRQ YAKEVREGEVRMYRPGLKVDCIVPEDIGRVCGGILVRGPRDGEERAVYLYGPELLTQE GTVKVLEKVLGKEIKVRELDEGETYKMFMDRGTPEYFVKYMINLMKRSGTEEYQVYGY PVAKEELLNVEKYSGRKATTFEEWAEQNKESFVS LY89DRAFT_724653 MAHPKLYFSVELEFVVAWLYPGEPLIDPNETRVFSFPPSKALFN NTAASYGKGYLKYEDLSNRNGPMLESDRRRVMRTAFYQSLRSAFNAAGLPVRLISDED YGLISHLLPKEDASVWGFRKEESLRLPYGTDYHWMGAELVSPAYEFTPENLSSVGKAC KIITTKYLAEANDSTGLHVHVSFGVDKKWSFNSIRQALMFFWTFQPQFDSLHPNHRQG GKWAKAMRSKSKINSDHYAKTGRILTPNEGLLRIDRITDMEELLRAVSGEGAEHPDRY MAYNVIPIFESRNSDLSIAHPKTTLEFRQHEGTLDAERVTQWIELLCGVIMFLENIEP AILMELLRVVSEQEVWCRTGSKLRDPPNEEKFGPVLAESSFTITDLLEHIGLEDSASF YRSRLYKLEPLLQIPDPKPPVSQALRDCIRNLRRTNLASMSTESTEVKIPSTSGHEP LY89DRAFT_676507 MSPSETASLVLSAVSFAIQLYHLGDQISARFHSTSPDDAELREL SIAILDESDHSKVLSSILCDKGKFGLTDSIFESLDQNNKKILLAVLEQLSGVWTETNE FATAIFERAKIAKPQVTPSQLESGENNDLLPLRHRAEAERLKRQLEIATNLNRRLERR IQTFSWLYSVDGASPAQSIDRLDKIAKDSHAQRLGWSRPAELRQLVLGLRNPNIDRTS LPIPQALEIDGACISGLGTLRDGDLSGMMDRRKVLVEFLPSFQMSGDTSQEATKKGLK S LY89DRAFT_788539 MPKPSPHSKYSVLRTWALFSPLEKRNIAIYIGGMMLYKFGLEAF SGSIITLATNRYDNEAKLSNTAPQTFFKVGLLTGLNQAFQCVGSILIAPLMKHWPTKS VLSLAIVVFGLFSAILLIVDAGTGGRIKPLNWNQTHPSDDWSYYGKYNTNGIIPIYCV AGIAYGMVELIRRIIPRDIVGGDIQKLRRMNALVEIFYEIAGTGGAFCTALALIPKFG NNMAFMITPICFTLASITWSFISSLEFKKPPKSLLSGKPAYIKALSGFYLFGESIWVG AKIVLTSRKFIWLLPGYSFALYANRYLDDTVATLVARRYLGNSAWSQIMVGGSTFGEL FGALFIFVFTNSIPTPIPWLRLNALLLLIAWYLPFWWVPTGRVTDAWIVAATFIPISF GWAAGEVSLIAYIQALLHRKENETDNVSPLGAVMAFLYCTFIVIDAICSSVLGSYIDR VSAANTNDFARGKVAAKGYPDGYINARPAVFNVAGVQFMVIAGVLLLATLIPRGAWSF NPKELYGESLQDEESFETLEMVGEKSTSEVTGKDTDVEISSV LY89DRAFT_320509 MIKFHPESMDFPLGKKRFIPEVDEEPLVDACAMDYIWPPLVTTE FEGPIVAQIVQQLNIGANSLDDQAIKILVDNFLTTESSNISLLDKATNIPHFQEILLP TICNRSESEELDNPEALGSLLGIAFTGQQHLDWVLLKELTTDAIAVALNTGNLNDAQS ISLCIDTIKGTPAQLIEALSKSKSFCELFFLQEPDRKSDDRSREMFLALAATSHTHLH KCKIMLTGAGLVRFR LY89DRAFT_701593 MRFNTAAFVALASSSALALTPAEWRSQSIYQVLTDRFARTDGST TATCDTGDQVYCGGSFQGIINNLAYIQDMGFTAIWISPIVTNLVGDSSDGEAYHGYWA QDINTINTNFGTAADLQSLSTALHDRGMYLMVDIVTNHMGYLGCGTCVDYNVFTPFNS ESYYHPFCLIDYNNATSIQVCWEGDNTVSLPDLRTEDSDVLSVFETWVANLVSTYSID GFRVDSMQQVDQAFWPPFQSAAGGVHMLGEVFNGDPTYVCPYQEYMTGLLNYPAYYWI TQAFESTSGSISNLVNGINEMKSDCSDTTLLGSFLENHDNPRFPSLTSDISLTKNAIA FTILADGIPIIYEGQEQHYSGSGVPYNREAIWLSGYSTTSTFYTWIASLNQIRNQAIY LSSAYVTYKAYPIYSDTTTIAMRKGATNYQIISVFSNLGAGGSSYTLTLSSAETGFTA NQALVEVMSCTAYTTDSSGNLAVAMAGGLPRVFYPEAGLAGSGICSSSTATSTPTSTS TSTSATSTCTSPSSLPITFTELATTTYGQTIKLAGSLPALGSWDTSSAIPLSASEYTA SNPLWEGTVSLPAGAVVEYKFINVASDGTVTWEADPNHTLTVPAGCGAMGESVAGVWQ S LY89DRAFT_658230 MAAAPLSPPSSDDIFRYRYQHGTNLGSIFVLERWLTGSMYVDAI PGDAELDAINANLTTYGPDTTRAKWEAHWNNALSADDLNWLVNIAHANMLRLPIGWFT LGPAYCTGTAFDGAPAQVYVNAWAAVRTLVQRCHDVGIGVLIDLHALPGGANPDIHSG TSSGVAGLWENAANLNLASSCLVFIANEINTMPGVVGLQFCNEAVTGAAALGMFDWYG STTWQVNQVNKTVPVYISDAWALGAAIPFMKNMNPTTAGALNPMIIDTHRYYCFSDAD KALSPQQILATIPTELNEMDGMDGNVFNGGAACVLVGEYSCVLDPSTWAKTTTDQVTP LKQQFGTVQCQRWQSRAGGCSFWTYKMDWMDGGDWGFKQQTESGDIAAPPMMSLAVTD INARVSSADAQAQGLHDTALSGHTSYWNSTAPGQTFEFFRYDQGWWNGWSDARTFFLA RTTGLVPGGGGGSGGVDKIGFLDLWVRKRMFETGNTQSQAGFGWEWEQGCRKGVGDFE GVVGV LY89DRAFT_741446 MSGSSGYPAANNGEQLDSLFAQLRNQQTSKPPNLEPSYTYYNNN TNNNGYFQPASSQQHHDYHQASVTSAIPTPSEAGSQPHHSSAIMSPADTPQFRSSPAA SRPPNNDGASNLLNLLKFSQPSAASSSQQGPIGPPSTNVSRAAPASFSGSDILRQVGT ANHDDSRSSDLLATLLGQNKSQTTRQFAKPSEPPQSSFAAASSPPEQTQAYLLQLLKP KPPQSDEEPQIKHTKILTPPSKAVSEDDVGEVTQAMEDASLEMNMMGSAATENIPGFG KENVQDTTPKSSQGMFTYVNPFEQLAASSPRHRTPKNSTAAPAPAGSPIFQILKNPRQ DSSSDHKRKVEERSSMPSPAHTKRKIEPVSQASSDPPTPLPDGRTQVEALIGIGASET KESVQDAIDVVGDQVDRQVQEAIARAEQDEVQVTIEKDIEDMLDSKTEQEFKEAAQVT AEVIKNELDKEENSGALDDLPTPLAEEVKDIIEDVAQGHIADSWESADNEDSPVKDEE ETMIKVYNFPMRPWTSITVKQHGERRPSFSDDSFVDIARLKKEFDQIDRILVTASNNF IVYGMSKNGGLRIIRQEDGTDTKLFTETHDRIFSVVVSASSAEQKEAIIGTGISGTVY WALIKDGEGDHIEDSNPETHAFALPPIHSQETESPGGVLKTRARKSASHPDFFAVGRG KFINIIWPGVILKQSYLKNGKDRIVDTDRYLAKHSLKVNTGKAGKDFTFSEDDTTIVS LDKAGRVKFWDVRDLTAAHIMDKPSESQNIEIKEPLITFTTTPATEKSWPTSVMFVDK LRPFQRGGALRYLVVGMKQNHTLQLWDLALGKPVQEIHLPHSKESDAVCSVVYHAATG MIVVGHPTRNSIYFLHLSAPKYTLPKNLNQAEYMQRLVANDPDFKKPDSTAVMSGMRE YSFENKGQLRSLDILQTPNSANSSAEPPRTLFELYVMHSKGVTCLNVKPATLGWTSDN KVIYPVVADKVNMVTIDTLKEIPATIEASEPSNQVSMPTRIVPRPATKETSREPPKKS GHSEPAASTSKVEDKPEKKDLAISNGGMAQTSGPEKAEKKKRRKGTQNSEHAAAGPSH IGQPSKPIVLDPSSNVRNGNLNKAPVIVPQYELATASAPAREINDDTLKEIEARVSGE VKKLFGDSLETLYRNIKEDRRTQIAVADAKQDAMLRLVSSTLSDNIEATLGQIVNKGI QQSVLPAISDVVSKAVAEQLGSRLNAHIAQVLPKELKVALPDIVTHALNQPQLQKIFG ESVAKNMAFRVDEQLKTMLSTVVVPSFQNMAMSLVNDVQRQATEQIQAIEQQRHTDSI KIEQLTQLVAGLTNSITSMAQSQSDFQAEFLKLKAQSVSDRRQGSQTQAEASRVSRTS TSLTGPIDEKTPAEIEYENMLAGITTDMSTGDYESAVIKWLQTKREQEFFAAYFSKFS PDFVRELTPLLLLSLGATISIKLDDQLMNERIAWMETLLSAFQTHVSNGTIDDQVREL IPKIMGIYTQRVEHLFMRISQIAAKDPALKRLSQIVTSANRILETARPYDYNEELPAE LAYPPHASGSGRRAM LY89DRAFT_658236 MFCLRSWLPLLFIPTNASPIFIFLFFVCTYFLNRPCVYCSFLLL ILFASSCHWSDHCFFDFSSNWFEPRHSSGLTTELTNSTLSNETLDQVSIYMNAVNNTA TALAGAALEEAKKQLAVRTEWTGIGVEWLRSLLGRREWRVPCVDVYIRL LY89DRAFT_724660 MWASKDNLHPRHSHLKDHFPSSEIGAPPQIIHQGPESWTAGELR RNFLKSLRARYSRGMASLTRPVEFARFPKLPPELKLKIWKHAAREGRVIEVYTRRIRG KPKKSWTDSVTPAVLHVCHDSRKEALKHYQLLEVSSQHYYAEDGTESDAGEAGENWIW DGYEASNASLSDSTSLDPEPLGANKFDVHPTFRAYIGANDVLYLNLKHSWDFDAFVYF LYSIIHQGSQMSLRGGQVPCIAFNAQTFLGRQGDEYFGEIAEIVPLLTPHIYLVTEDV CCGADGVHRRADTILDKTPTFSDEMLVDDFAEAVEQTIVTYKHSHPDPIDRPLPKHAI PIQVRDGDNNDPKKNPGSKSVSLKRQSLISAKRMYGQYPSDMVMFRLGKDQGSHVRAS TSVRMQASIWVSLTMRDCKHRDSMQSRGY LY89DRAFT_676515 MRSSVQIRYCLLKAGVYCMIAELQMRQVGNLPPASSQASASTPT TTPIDTPVDAPTSTPAVVPQTTPDLPPSAAPTPAISSIVSDVPAPSPVQTTAQAQAPA SLTPSAETSPTDAPIPASTTSLPDNTPPPAQASSSISIPAAFTPAPQESAISSSITAF SIYESEAIPASPTSTQASTAQASSIAESNSNEQSTTSPTSTNKHLAPLAIAGITILVL GFVATIVSLASIQLRRRKRKSYIASQRLEEKLRPMTEDGPSPPNSSGRGLPWDSRRQN KASAVMGIEVGPRQPESEERPKSRGKGAGESGNNVPPSSFPMLGIGTGAPQGVNTQSP PGSGNSIKRTGTGGGAGAQQPLKSAMKKPRDRPKSRPLIIADGKEMNFGFNPLGSNPR SPT LY89DRAFT_690670 MTHPTASREKAMKAVEAIFERYLLIAKSTNFTGFDKHIMSTVKV VDATMGGTVTFELPLDERYGNLNGVMHGGAAGVIFDMCTTIALGPLAKPGFWDFLGGV TRTLSISYLKAIPIGTTIRVYSEVMQVGRTMALIRGTMTSLDRKTVYCTCEHHKVAVP TRKEHLEHVVEWDGLWAKDGEGKAKL LY89DRAFT_320600 MRFSTTVVVASLASGAFAAPASPTATDPADVYAAQATALTESPT SSVKGKAFDRYVSIWFENTDYDMAAADPNFQFFAKKGVTLSQNYAVTHPSEPNYMAAI GGDYFGLDGDPFTAVPSNVSSVVDLLEDKGISWGLYQEDMPYTGFEGFSWVNQKTGAN DYVRKHNPAILYNSVVNSVDRAAKVKNTTMFYEDLKADQLPQWMFITPNMTSDGHDTS VTVAGVWLRTFLEPLLTDANFMQNTLVLITFDENETYTISNRVFSILLGDSLDASLIG TTDSNYYNHYSEISTVEANWDLYTLGRWDVGANVFSAVAAKTGDADRTWYAPNSNGVP FADYYFNASYAGIFNSKTWAPQPVPDCHSRREGRTTLPAIKEAWEALQDENYYHGQLE IPDGAHPPVYPYSYYNRGERRKGK LY89DRAFT_320607 MLPYLFFALCLSLPITNCRDPTGFRDQRSQRSWKVLPFCACRFV VSGRCKPPCLCSRFDNLLKLEHLKKLQPQPNFLGSSLCMGDMQVREVSSPIDTHTIQI LPHQPAPIA LY89DRAFT_320608 MAEFKDYKDELSPRDSRSVDDVEIRERDEDYEDDQGERLLAEDY IEAAGDVEYKVEWHLRTGWAIAGSIFAALLVFVGLVLFTKFVIVKDKTNVEEAVGIGS FRRPASDYVLDPSWNFNAAPKVREYKWTIVDIVGNPDGVFREMITINGQFPGPMIECN EGDTIVVEVENQSINATSIHFHGLYQNGTNYMDGTSGITQCPIAPGRKFKYEFTISGQ SGTYYYHGHQAVQASDGLYGPLVVHGREEKKLQKISYASDRVVMLQDYYHDLSSGLLI TSLEPGSEASPIPNGALINGLNKRDCSVLPDRMCDNTTAVIPSFDIAANANHRLRFVN VGAFAWFQVSVDEHEFAITEADGTDLVPTYDNRMMISPAQRYSIVLHANTTSADSFWL RARMVTHCWKDPDLPAHGAAEVKAILQYTADAKSRNTLTVQPTSQPWSRGMEVQCKDM NTTSYVPASYEPAPAIADHSYYIRSNLEIGDWRLERGFFNTSTFRPNLQQPTLHRTID GLVSQNETFNTMRSTDGVNSKCYDLKNDFVIQHSGIKVVDLVIQNFDEGNHPMHLHGH KFWVLGQGHGMFPGYDTLGLKPEGKGVLEGHGGALDNLIRRDVATAEGFGWLVLRFVA DNPGVWAFHCHMAWHSEAGLVMQFVSRVDELADWKIPEENQKLCEAPVEDLEKGAAPK DSIWFGFGIGD LY89DRAFT_676519 MAGLDAWETFGVRKLLVLRYSSNSAQFLAISSEVSSPRKKRSNV NAAEATAGCIGAGIAIRNHAPRDEVIRGSGVRVQSTTFNRWTLKCIEAGDSQGRSVLC NRELPAKRLSDFGSMHVVVAVVERLLPPICCVSSRRRCVCPRQQEVRVAVRKMKKSSI DREEEGADKGTKRWTLVKRDSAFTSAAFYDSR LY89DRAFT_598857 MTPTPPSTTSSSAGGHSPDAQYRVVRKRNRVPLSCGPCRHRKLK CNRSHPCENCIRRGDAASCSYAAPGTRKKNQSQGATSPDDMQNRIDRLEGLVLSLMTN GAQSAGPAAAAAAISRSQSDSTGSASFPLELERDDDDMIKEEEEGGDSEVDGVTNSLG VLKVDADKGKSLYFGDSHWHLVLADIAEVKNYFSSHKKELEKNYEKIKQSKPDTARDG PAFLFSAHTPVTDVELRAELPSKSAVDKLVTRYFNSYDPAVHILHSPTFHKQLQLHWQ DPSKSSIVWLGLLYSILCLAMQSYHKIGDEPLEWKGRTLELASEYRLRTVQCLVNSDY TKSDIYTIETLLLYVHGEYNSRWDAEVGIWVIVGMVVRLSMRMGYHRDPSKFSGVTPY QAEMRRRIWSFIRQMDTMFSFQLALPSMIRTTDCDTALPRNIFEDEFGPDSKILPPAR PLTEPTPVSYMITKAQIAFEFGEILEELNAVNGKSVSYDDILKRDNHLRDLKMNMAPH LRLRPIEECTHDPATLLMQRFNIDIFWQKTMVVLHRKYIARARQNPRYGHSRRASVDA SMEILRHQAQLYRESQPGGRMRTMKWFISSLTKHDFLLAAMIVCLDLHYDSVTEALSE RPANYDPYFWTPAQKKDMLDTLENSQEIWKGSSDKSMEAFKASGILQVILDKLQRTRQ EQQPAGPSTAEVFAQFDDENLRPEHSAAMTLGMLSGGLTPNSAAMFNAMAQSPGGTRY NMDLPMGEPSSSGGTGMTPNYAMDQSNAFGNLISGASPFSVFGNAGSGQGMMDVPANL DWEAWDSYIQNGGAIDPAFQFYPTNIDQSQLSPDSQQPTQQQGDQSSGYGNNIFMGAN TPR LY89DRAFT_676521 MASLSLTLADIAGKAIDLQRQLALEPSPSNQLINQINDIHFLFD NVYRSYNKREQPTTATATDGATSSELVILSPTDTAHQAFAKGIHIKQSKHNPLPWMHL DVSLGKESKDKPTWRADARYRIQKNTNTSTVVKRRVRRHVVSQASSERSRVVGEVNDE DEEDDKVELDDTTSERNLEVTETYQEKVLNSPDDEVLPNFSTTFSSILAYRPKSATGS FIIREDRAFCGYGGFGIASQMIFRGCRLVITTAPELLNAKEYSKVLYDSDSL LY89DRAFT_763815 MADNSLMMADMIGKLMDMQQQLAHKQSSSRDLQQKINNLHLVVD NICRSFQKIGDLPTLRVDADDQTAVILAPTEKAHRSFLGSFFLKRAKHNPLPWMKHDS DQQQEEISQPTKQQAPKHKSESRVEKAKSMSNAKGMFAPRAPLSEKSTNAPATSQLFS KGPKSEAKNLHQRKFRSLARVGSSLLQDLQNLAAEKPAPTEDSFKFPTLAYRPRPALS NALFEPPGSSKTSYGKLAISDNFDAKENKGR LY89DRAFT_763817 MAPYLNVGGLNSTFAILQDVPPPSGTIRVLSRTRKTYNKKLIQS QVVPAVRQFITQHSKADLSAITGTLTAFFDSALKDYGARNAGEMAKAQAWVFITISKI NSYGKHYWHRDGICGLENGRVLSRYSMAMVGPPTEVICSSEPQLGLELHHAQKGLESL PRHPIATGQVYRFTTGQGDSPIHSTPVFKTGRIFVSVIYY LY89DRAFT_320909 MVSLSSLLLPLLASTVLAAPCLDDNEAIHIAKKWLDIWSSGTIT KESQLTTLVTPDIQSYDGTYGQATVGIDALFASCTYVDPLVKDVVQVPIFIFHSCDQI AARWTYNALSTGVGSTVKANTPIFFHGTELLHVDLQSRLIYNSTSSADWVLLATQLGQ TVNL LY89DRAFT_570283 VTPLHLATAGRDIDLVQRFLGKGARINSKTSPGGASPLTYAAEL GDIAMLRLLLGKGAIVDNRDDYGLTPMHSAANGGFTEVVIALLGKGANVGAEGSDGLQ ALHLAAGGGHSALTRTLIRRGASVNATTHDGFTPLHLAAATSGTADAVRTLVNEGADV DKRDSFGASPLDLAVSNSNLEAADILLNHGA LY89DRAFT_690675 MKYVLVSGGVISGIGKGIIASSSGLLLKTLGLKVTAVKIDPYLN IDAGTMGPKEHGECFVLKDGGESDLDLGNYERYLSLNLTKESNITTGKIYSHVLSEER KGTYLGKTVQVVPHITDAIQDSIERVAKVSADDSGEEPDVCIIELGGTVGDIESMPFV EALTQFRHRAGKGNFVNIHVSYVPLIHGEEKSKPTQHSIRGALQAGLIPDLIACRCER PLAKETILKIARSCHREVEQVLTVRDMQTVYQVPLLLEQQGLVTQLTSALQLDKLAIS SALVAKGADLWKLWNKTVLPKQHLEQVNIAIVGKYTEAQDAYLSVVKSLEHSAMRCNK ALHINWVDSEHLEDATQDSDPTKYHQAWLSVHTASGVVIPGGFGERGVEGMIKAAWWT RTKKVPMLGICLGMQVAVIEVARNVCGRKNATSEEFDQKAQDKVIMFMPEGSRTEMGG SMRLGSRPTHFQQGSEWSKLRALYGNADVVEERHRHRFEVNPDSVVELEKAGLNFIGK DDTGNRMEIVELKDHPWFVGVQFHPEYQSKVLDPSRPYLGFFAASAGCLNQITKELLQ QTLATNGLPNGVGEAIHF LY89DRAFT_320965 MAQVSQQYPEAERVHEDIDEAANTISRTAEASRELDVEVNEEFR GDCQEASHDEVKISSNDEDEEDDEEDEEDDDDDNEDDNHWIFQLEKFEAAVVQAVSPD YQLAQCLIPKLRDIFYREKSPLFGSWAIAFNQYAGHSGQGGESSTGRKVSDSTSTNSN GKRDVRHSDHGENTREKEEDENYDDSQSRNLKKPKADTVDNGTLKLACPFNKHNPHKY NAHYNTDIRTCVSPGFQGFRRLKEHLRRTHRSVQCERCFKIFKGGEETRIKALSLHRK GGCSETPSIPNEGVNDVQWIEIEKIKLSNKNKRSFEPESSDVEKWEATWKILFPDDPV PIPWCIPPTRNDLSFSSLSEQKREYTSCYDSMCQSQIRNSTLPHLFLIDDEILDLFKK IAFEAFTMWHTKHCLDRAAIETASQQSSSEQGSELPLPMEAAELLRVLQYALLDRLTT ETWTFYLTCREM LY89DRAFT_320947 MASSVMYYGSQGQNEMTYTSPQTMQPHVNSSYQPSTPLSLYGTS AATYSTGYHSTVPQFQPEQIALPLHNGPGNASPYRSNDHLGADDRNVSSTATFDPTYP MPTQQASPDARTHQNQTFGYGINSQLMPDAPVSDQYVERWNDGNNHQNSQH LY89DRAFT_763845 MAALNPGQFSNHYIELRPRADLDFRFGIFARADIAKNICILEEA PLCISLHNTEFNKCLAYEILTNSKKALFNNLRYYCCPDCSMSTTYKIWSSNALKYGED EASILYNVASYFNHDCTPNALVDTSCPRHAGGHVKIITRRDIRAGEEVTVSFIRKPGT TSQRRKELKDKWNFTCSCQTCTSNTKLTAKMLREQDKAVMATNLATHLYGVDCLPSAF DLQRSQQMNGFIENLKVRIAAQSQAFLDDFQAAEKDSGKHWKEITPAKLLAFIEQVAT YLRELFPNSISADFFKRTGDRAAMFNQKILTEEFLMEEAAANYEFVLEDAYMSGE LY89DRAFT_321005 MADAKTVDAQFTIGAQNHLAIDQDGAMVHADEKRQSIILEMEHD YEGKPTAEEFHTLRRVAGKIPYTAYGLCFAEFCERGSYYSSIGVISNFVNRKLPVGGN GYGAPPKGTQQTAGALGLGTVKATAVSQSFKMLVYCLPVIFGYIADTYTGRFRLICYG VAVFGVAHALMIASGAPSLLASGHAVAPYLISLYVLSIGAAMFKPCVSPVLLDQIEET KPTIKTLDDGERVIVDPESTTERVVLWFYLLINVGGFLGVPSSYLAKDVGWMVTFTLP MAIYVPLPFVLWYLHKKLILYPPGGSDLFNCFKVLGICLKKNFSRFGRHGFWEAAKPS VLAASGASTTVPWNDQFVEDVRRTFQATGMFCFFPIQYINDNGLGIAADALSTMLITN GQPNDLISNFNSLSIIIMAPVLNYGLYPYLRKHHIHFGPVARITTGLLMSAIGAVGYT VLNYYAYKIGPCGHYGSSSTCVDADGISLVAPISIWWMAIPYALGGISELFVNVPAYG LAYSRAPKNMRSLVTALNLFSTGIAYALGLAFAGLIKDPYLTWDLGAPAIIGFVATGV FYYLFRHIDKEEYVLSTNDDYHVQHHSATGSSVGQDERPVDKETKV LY89DRAFT_321054 MSTLVEIKSEDAFNKHCSALPASTLQIIYFKAEWAAPCKQMTTV LQTLASSYPTTEPPSTSWIAMDAEDVMDISDAFNVTAVPFLVLRRGEQVLETVSGSDA TKVRTAIEKHANTSSSGAGSKVYSSVAATGTTGTKNLSNYAPSAQDPATAPQYSSGEV KESKEELHKRLSTLVKAAPVMLFMKGTPSAPQCGFSRQTVAILRENSVKYGFFNILAD DEVRQGLKEFADWPTFPQLWVDGELVGGLDIVKEEIANDPDFFKAYSIPKAATATT LY89DRAFT_690679 MLNTTSAHKLSEPPQPWCYNCADESEAKKKSCNYYRTKLLRKPC SNCVKSKWNHCMDTPQVFEQRTRSKAIEQSIAAGLMSKSPPKKVQPSPEHSPSKVFKK KSKPKLKSSTPPKFPNLLGASGREHSRSPTSPLSFVRDTATHTSPRAHEQAGSTSDLE DLHGPDTITISCPRYTSLQKESTPARPSSVRTSQDSGSIYSPTSSPDSPSDDLQLNVK NDLPLRNKNDLPLDNEEEDNPTLIYKDNWPDVSRQAFCRWLIISKWKPEDYECYWPGH SSGLGLLMNPETPVEHMLRHLGYSHTEPGPLTPAHITGQACRRFEDAFGSVELIFCRM QIQQLVTGEFWKKESESICCTDKQVLTETLLDILTEAASRKASRYSSSGLPVAGH LY89DRAFT_658257 MASLNTSTNGPSIKSSYQGVVNSAAPSGAAANSPTYGQWAIFSV SAPLVNAFQQDSGGKESVLKVQDTGEGELSDLIDEFSEGRVQFAFLKVKDPNTTLPKY VLIGWCGEGVPERTKGYFTSHLAAVSKILHGYHVQITARSDRDLTPESIVQKVADASG AKYSSGSAPPPQSSGPPPPLASKPVFNPTTRSSGTSGFNPLASSRSTRNNANVDDDGW GADAPPVTRSQLEKVQPAYKPTKVNMAELTKQKPEPTRFNGGSQGDENTGADVVKGGY QPIGKVDIAALRAQAKSSGDDRPTIVKGAYEPVGKVDIAAIKARAQKPSDDGPRQLSP AVTGTSGTSDEPKSLADRSAAFSQSERLTTLPKPKIANRFGSSASNFTGTKAPTPGAF GLQSAPTTAAPAPVGAASRTFANEGGKTPQQIWQEKKARERGLSGAGNITPSMTSPIK SQTSGGGEWKSGYSGKSWAPVATNPTGRSGTGSIGQQRTGEEEQEQEAPSSPVGGISA IRDRFKGGAPMGAPSMTRSTTGDQSPPPPPINMSTRPVGGVAMPGLPSRPKYDDEEEE EQSRPNIPPPPSVPRSPTPEPEEEEERESSPVRLAMPVSRSKEPEMEAAEELHPPPAL PTSMGRNVPQEPEPEEEPEGHDTARGAGAAMAEASFGQQASTTNQGSAASGKRALISY DYEKAEDNELELTEGEYVTNIEMVDEDWWMGTNSKGESGLFPSNYVELVDEEEEAPQH EPEPTPAPAMAPRPSAPAAAQSGPTATALYDYEAAEDNELSFDEGAKITSLEFPDEDW WHGSFNGKSGLFPANYVQLDE LY89DRAFT_321228 MESPGSELSMDSTDSSPADSTSADSPPAQAISSMSEDRNPPTMD STTIIDNDDSASDISMSTDSDDEEEEEQDNAPSQAIQVSTAPASTVLESPEVPEETSK KRKFSISEDTANGLHNIPRLEVHKRLRADGAIQRHRMADNRLRKDRSLLPPEIWHHIF TFCPPRVLGILLQVNKSFNAYLDPSSAGPSLEPLSVSITQILTPASIWRASRSLYNLP SMPSPLLGMSELDMWKLACGSTCQSCDKKRQPNYSTPIDQWHLGPGEKSVIPIWSFGT RYCGLCLQKESMKEIDLLLSSSIPSPLLTALPFVFFTNELNVISPSTIESGQPPAGVL VAKHFSKSQIEAIKLKFEEVKALGLATAEEWLKGLDGQGQEKKSDAARWERWEAQGGL TRMRNLEAIEAASRLLRTASARTAAASSTTNGNFSVYQSNGSLPKPPHLPNNSTQHLP MAVHSIIASSGPPRFGSPIQNGFASYTSRQPQLPKHERTKEEVAELKLARKAEIERRC MLLNSPITPAVLAHMPSFQAAIQIIQPVTDSAWEVLEPRLLSQREEAEQREKDRLAQT RVVQEQERRVLDSQARSDSKDPLDREWDDFQAPLRARIGGYADEIIRDGWNGGEKVSY ETSPHFAADVLIYVRKRFYAEIAKDEAAIRATGREPEADPPNGPYTRRLILENMKWVF DTKVKPYTEQYRKELFLCNACDYASKYYGFEGVIQHFAAKHTSALSSGSVVVHWKSEW PEYPPFNPDPSSSSMKPYYPAVPSVSVPYSSAPPAMQPSYGYGGYQPAPVSAPTQVHP VQSYQDNSGSYYNNTQYGDQYSAPQNGSYAPSPVYQEPSTGYQASQYSVPHPQTNGYN DAPQGYPQQTYSGQYTSGSQGMYASPQIAPLYPTTAPDVTAQQVPYVPPPSEYGSSYN PPTTYPPANYNQPPQRTEEYTARLQDLVKNARNIWSSIGSLKEVPGSLKVYTIIFHIL QRFRSTFQEDPSLSILVDGLSNNKDMRPVRNVNGLVCKACSLGMIGSVPTAQKKHFSF PQLVNHFHSVHEQGVPHDTLGHIPDWTKDMVDLPDISKLSSVVNAPGMDDQKLRLFTD ALPEIIPVPEPRMEEPQNGFNGHYEDRAGPASYTELAPSQDNHEKYYATADRGRPTEP DSVPEDTGEYDPRNPGNMSFDQQPIYKAPRSSRPSGEFTRDHEPVQRYRRYEDEPRRE VYQSHSDRQYVERRPSSSYGIPVAEYERVLIREEAPIYLDRQPRYHDSGEVEYRVRRE PPIMRYDEVEMAPPGREYRVANTQAFQSNRDDIPLADGRASHTRPGEDAASQQSRIID VVAQISQHAQQARERQPVREEPLETGSEDGEVRVENGTRPAEARPTPTDEATNDAERF LNNFRPGESNEGTPKIIPEPERRRDDEPRPAWEADRNGSSRAYQAPLEPQRRGQEYDE EERYVPGRRLVNPAAEDEYGIHERAPLSRPSRTYAYDDRYVSSVPEQVRDRSPELVDR RYKLNNVVYRDERQGSSNGTHRTPSRYARYESVRLENDRARSRSPVYVKMGPQPGQYR ERSQGAAPPLRQEPIYRARTPQQQQAGEEIAYERPPPPRQEYYRVYADEREPRPRAPQ YAAAEPAYEYVRVQDPQGDYMIRRPVRREPEPVYYEDEVYARQPVYESRPARAEPQYE EYDPRQPAPGPGPAPAPVRQARYQ LY89DRAFT_741467 MVSSSSERRYDIIRDQLNAYALFLAENDLSQFDLDELYTMLRSI DRWGKHLYRFFGQYLSPPKNKVDFRYCHDEERERALHLKSILDGLQSSFRTHINAVSR PYLRPLTIRDMPNKILSKIFECVKGSKASTIVISMFPVVLATSRTCSLYVNASTTTAV TC LY89DRAFT_724676 MNSKALFQRLVSPSGWEEARSLNIGHPPAGLFIHGPIAELEVGV FLVDVRIKTQSLDDYSVLSPDSDSQQLAAAMQHLKSFDFITDCGREHALSTVEIAKLR EFFTAMCSSRLLENVRICLEGMTPQNTGSLWSCIPNFKSPKLRKLRLQSMGLHLTELA PWIDELLVSSSHPMLWLKMERFGLLSGKWADALDVLREKAVLIIELERPWNFEDGMTE SSWPTWHEVFKRPALSGFCKADDYVNGWIDQNPLRTIESDGE LY89DRAFT_658263 MASSTTLTPHDLTILQKIADPESSPSASLLIVSSLPKDPDYPDP SIYSRITSTERQIISQIQINDALDAYRTALSQLNDLITAYPNYASARNNRAQVLRRIH GDTILIKTASQTSSSEAELNTAAQTILSDLTLAISLLSPLTPYSPLSPIAAKTLAQAY TQRGALFHLTAKQYSQQGTELRIEGKEKGWSVVEFEEAASRDFVMGGRLGNVVAREMA VLANPTAKLCGEIVRGAMREEYVGGVS LY89DRAFT_690683 MAPSAIPPPVLTPTSLSFPTSTHTPGAVDGTPNFHGYDHITWYV GNAKQAASYYTTRLGFRTIAYRGLETGSRYIASHVVGNSNIRFVLTSAVKSYSALDGD EPITSEERSLLKDIYAHLEKHGDAVKDVAFEVDNVEGVYRQAVEQGAVSVQSPRTRSD TNGSVTSAIIRTYGDTTHTLISRDTYNGIFLPGYKSIPSSKLDPLQRLLPTVTLEAID HCVGNQDWGKMEEACAYYERCLSFHRFWSVDDSQISTEFSALNSIVMASPNNVVKMPI NEPAPGKKKSQIEEYVDFYGGAGVQHIALRTNDIIAAVTNMRARGVEFISVPETYYTT MRQRLKTDKRSWKLQEDFDTIQKLNILIDFDEGGYLLQLFTKPLMDRPTVFIEIIQRN EFDGFGAGNFKSLFEAIEREQAERGNL LY89DRAFT_788564 MFSAYQQSSAGERSNPTTVQAQSLQIEEQDDTTPKAGDKRLFSN RESRQIEGNNYTQYDEEEPIEERHSDKRHRNTDWPLPSSPNSPASARPPLRTRNAPNS PSNRRRAVSQQRPSKFVEGSMNDRISAIPPTPYLDPDDELLSEYDPIEPSRGRKLARP RKFTKRNASAAGTDHSETSRHSIFRFGKSIAASFNPSNWKIWNKGQQQHAGDEESAQM RVLRERQSKAERIYRELKESGQFRDSAVVFPGSASREQQKPGSAKHDSGVEFGDTEEA SKRNTGMSIEEKRKGRIFLEAPKLSESVYGGSPVSHMSGSQAHSNHSSPNKSSFHFKK PSLSNLKKTFASNSTTNLSEPGPHQARRIPSRKDLQKQQKLVKRVSDLEGKLNAARKQ LSDALGEPLPGEVSQVSLSSQGSHVLDDTMSPPVPPLHHTPMERVIRKPFVPGALASL PSERLLAGYLQNDEEDEEEGNGIGMAVTVNHRQQSDEKPKFVLKSEQSPAWLGRQLQR PGSASSNRLMRSVEAEKDEDTTPTVKKAARVKKSTKSDAATNVTSIYEPSTILFESGD SEYADFEPGEEEQEPETGEEPEEEQEKTPKPRTKRSPPSKKRKSKFEGEADDGGVYKP GDTEESDPESEVKKSKSTPRRQQTSKTSTPKSNQSQAHKITLQYPRKLQKVSPTQAKD PNTEAPPTRAPPPPPFEPHSQTSKKHPARTSSLKSTVKSPPPANRLSKSRPPPLPTTR QQSASPPPSSAFTGVGPELEYKKPSLQRQELDLGNSAHSAENSGVPPMPTMPKIVRLA SGEVIDISQSQTQILTPAIVARGKGKGSTVSTTSKVSTGSAGSKRRESKRLEKKRESI VAAAAEGEKKSFEWPDDVF LY89DRAFT_676539 MAGTTASIRRSPIPEIITRKTNDGRNIEYHLTVIQQPERARACG SGAKSSADRRPVDPPPVVELRIFSVNGADKEDITFSYSANFFLFATLEVARPMAHGRV QQAAASQQVPVLTGMPVSGMAYLDRPSEAGYFIFPDLSVRHEGLYRLSFNLYEEMRDR DGDAEAPSDKSRVLAGPGTPDASFDWRMEVKSAEFTVFSAKKFPGLAESTALSRTVAE QGCRVRIRRDVRMRRRESKNAGEYEDPAENDAFERGRAAAQDFEERRSRSLSGSPDNR PAYDTEQRRHYQDQYAPSYSASPVAGNAPIPQGGHLGFIGGPSAQYQAPSQPQFAPPQ PPAPQAYQPPQQPYHAQGQPPYRPQPAPAPPSHSSYAYDRNYPHSAYASNPPREQREL EPEYRRASIASYVPPSSQIPYPSVDSNYARPPYHGYAPRGNSPPPALAPLKLHSIEPK FDATSPPAPLSAVKTIAPPLPSPIFPRAQEAPLYSQYSAPLPAAEPARDIARNGKRPF DSVFSSAASTKPLHNGMRPSSSHGPSVEEDDEDDVPADLKMMYKRADGTSYSRPLPHL IE LY89DRAFT_676540 MSLAGTAASWSQTLNPRHGDAGEKNALPPDPVRAQSKLAAVNGP NDEYGRTWGVEGATGVGHWLQDRRSPAAAPWEKERAHPRKPKSPAHPSLPSAPVDLDQ PSASLGFPPSS LY89DRAFT_690686 MREVTPILCFELHTVSPLALFLPLYLIQILNSAIDHKIQYIPAY HSIDQPAVHERLPACLPISVRHG LY89DRAFT_763851 MNALRKQYQLKRFRERNIARKTDSSRTTDFATILLKILHERRGF GASDARDMVFAHVALCADGAFHVPKTLKADYGKTAKEVYEETAAYIMAHTSGFGILAY VEELEVRPHRERFATWCPDWTTNLIPKSMTREDQQYSLLLVPSLTESICQRIFPSIIA CYGFIMSPISSVTGTLSPIDDRFWIGSHFVTLDKDDWVEEQILSRWEEFLDPEINQGQ FVDVATERNSTRHSLTFKHLRHLCIDLRRGDPNNSCLNGRRFAKLVLPDSERGRSDSD YEDDGKVVRTLGALPFLKSEFLLVPDSARPGDVLCLLGADAKPFLLRPSFQNNLF LY89DRAFT_658271 MKPYQYQPLVQKDAIRLIGLQPSPDKEAKVRCKIVHTTLSACGY DIIDHYTALSYVWGNASKTVKIEVEGRDLDVTVNLDSALRHMRDAVRSRWVWADAICI NQQDNEEKGHQVGQMAEVYKMAHHTIIYLGHSNTDLDKWITIMTSQDVLQTLDQGRLK ASESHELDFFRTQTRLALQRDWFKRVWVLQELVFSKDP LY89DRAFT_741475 MSQLYQAFCAMRLSGDSFHWVSRRFIQYTQSNNSPHVSHETPQF DQNGVSSGLNETSLPSLNLTDLELLHNYTTSTAFTLHTDPALKTLWRINVAQLGFEND FVMRGILALSALHLARFRPERRDFYMSQAITQHQAGLRMTTGLISSITKENCSAIYLF SALTLFFTLASPRKPGDFLLVGENGIADWLYLVRGTNFIIESSEEFLFSGPLGPMFMA GRRRTELKERILAETPIQDDPLNELIILITETSPDRQKLPIYLSAINTLRKSFVFQNK QAPPGHETGDIFFWVFRIPDDYLELLRQHSQESLAILAYFCVVLKRLDAHWWMEGWST HLISKIWNLLDEEHRLWIRWPIEEIGWIPS LY89DRAFT_676543 MSVAGEKYYLYHYNPSRPAAIVFIACFAITTLLHTFQLLKKRTW YFIPFLIGGFFETLGYVGRALNAGQTYGNWTTGPYIMQSLLLLLAPALFAASIYMVLG RIIVLTDGEAHSPIRVKWLTKVFVAGDVISFLAQSGGGGMLAKAKSKSDTNTGEHVIT VGLGIQVLFFGLFIIVAGIFNYRLRAMPSLRSKQLTVPWQSYLFVLYGASLMIMIRSV FRIAEYVMGQDGFLLAHEYFLHIFDATLMFLTMVLFNVYHPSRIITKATLKGHNRDPE SQDSRYELRQESVAMGPKP LY89DRAFT_598770 MTSSQNGQNNQNSQNGDGHHALNDTPPRSATSTAPGSPRLPPVR QNSGSTTPRVRPPATTLNIPGMTRSRVSPDGKISQRDVGAKLVVVMVGLPARGKSYIT KKIARYLGWQQHEARIFNVGNRRRVAAGSGGTLSRPSSPPTSPRPSNVVRTTSLAGII DKPTQAAHILLNGVDPVEQQNELAKLPSADTMEQSATFFDPKNEAASKIREQVALSTC DELLDFLLNQGGSVGILDATNSTIERRQILFKHIKAREEKLGILFIESVCEDEKLLEA NMHLKLRGPDYKDKDPESSLADFKKRVKAYESAYVPLGEFEEKNGMQYIKMIDVGRKV IHYRLQGFLATGIASYLSTFNLSPRQIWITRHGQSSDNLSGKIGGDSELTEAGQHYAT TLYNFISSKRADWEHDQKRRALEGHPLPLMPGDKTPPYPELLGDLDEKNFCVWTSLLK RSVQTAEEFQNDDDYDVKNWEMLKELDAGDFEGLTYAEIESKFPAEYAKRKADKLSYI YPGVGGEGYLQVISRLRDMVRELERIKDHVLIIAHRSVCRVLMAYFMDLTRDDIADLD VPLGMLYTIEPKPYGIEFHAYRYNEGRNDVWTFEEVKDYKPTRATSHTA LY89DRAFT_321421 MPQTNNRAELTGILRALEIAPKDRDLEIITDSNYSINCVTVWYQ NWMKRDWKTSTGKDVENQDLIKDIRTKIEERDDQDVVTTFTWIKGHANDPGNEAADRL AVAGARLGRARK LY89DRAFT_763906 MASVIRSALRYLEVKHDGEQEIPDGLQSKWGNFDIFPVPVEQRR FNIWSYFSFWAIASMSVTAWAFGGNLLALGLSVGEGICCIVVAWTFVGLFAYLCGHPG SNMNLGFTALARTTFGLYGSYLPVMLLVFENVIFFGVNAYFGGLSAVVVISAMSSSFR VLKNTLPESAGITTQALPAACGVAIVFFGLFGWAINDNNGSVGKSLTSDLALSPTALA FGMLYGISTSAGSATAYSSRMSDWTRFSRTKNAPTVPLLLGAPILGSVTSILGILATN AVHNKNHVVEWNPLQLLLWLQKDKYSPACRAGTFFAGLALFYSLIINNLMGKIVPAGM DCAGLFPRWITTRRGSIILCIIGIIIQPWRFVTESTTFLKVLSSFGGMYSPSNDLFSQ NLTATVFVSPLTAILAADYWLVHRRKWNVPDIFKQDGIYWYTFGVNWRAIIAFTLSIV WSMPGFVSNVKSSHITTGWTRMYQMSYIVNIAIAVVVFCVLSLAFPQVGLRTAAAWGE APTTSVNSINDLEAMADKDGEPVEVEYA LY89DRAFT_598919 MRKPQRFITGHNSEGKAIVQQVDEGEWKKIDNDIVRYNVMWTTS TLPIDIKNDDDLAKEKTVLSLSLPNGTVLRMVDRSPGSVSAMHRTQSLDYGVVIEGEM DMILDSGEVVTLKRGDVCVQRQTLHQWRNSGKTWNRMLFILMDALPLEVGGKVFKGET GYEHVKEIGSRL LY89DRAFT_763918 DGYFDYNIAKFIGLATYSGAEIGECFETAHRIKDGDSKSWVQAW VSTARRVEAYGEEALKGNHKVSAREAFLRATTYYQAAFFFTLLSDPRKPEIYQKHTGC FQKAGKLFDPPFETLSIPFEGRSLFGYFIRGGSDSKPRPTVLIQMGADSSSEQIYFSG GGAAAVRLGYNALIFERPSQTGTYMRDNSLHYRYDWEVPVTAVVDYALTRPEVDPARI ALIAYSMGGYFGPRAVAFEKRIAACVVSGLVPAIMNLVSHWTSALTAANGNYNHAQEW LLFEHMPRYGLVNGIHDLDKLLKIWESMNLYGLEDRITCPLLVVQAAAEGEENTKIAK AFYDKLTNPMNKWRLTTETDGAEIYCQKGNAMLLHAIEFDWLDDVLG LY89DRAFT_763921 MATLARTACRRCREQKVAHQAYGMELRCSRELPKCDRCSRLNAV CNFPAPPDRKLLAASRAQSRKNRNREPCINSNDQENLLVPLSSPTYEEDKDSSFVVGK TEPSKVLQISVPVQTLLQDVYFSCMFNSTLVFHRPTFSNALKTGCVSRHVLLAVYASA TIFLHPTSSIAIRNSKLLQPLGDIRSLGRQWAIQAGKEVLQDIDQSTFESAQTCIAYK VACTLGLDINQPSLPSTSASSITGAIPRWLDAEVARRCFWAVWFTQLVNSDHRLVGTS YDDQIMNLPLPLGDVTSTEDIEHSSVTLSDILNQRPKLPFKKPASPSIMAELMVLVLN WAKIRDLVRTANTTPVKDWLADLFELEGRLSSWLAALPETFLYSKRKLYEQLVVNQQP VYIFVHALYHQCRLVLHISLVPHFSGLHFHKKFPVEITSLSARIALKSAQAISELGAD LLALDWDAAQIPSFVGYCFYVSSSIQITLLGSKDTSLAASARTNLISNLKLINSMKVY WKNLERLWARVNVLYEAQIARDRARINEGLEVSQDSLSDLDRLASEKRDTGQLAEALA DSVMDYTLRRLKPDVKVDNAAAQGLDKVSYPNNLSKLMEENSGPVEQISSTAQARLDD QIPQPRLNQASSGDGDIPYTSMSWAQMSIASTSTPLLQSDDSYDWWKLGLDDLQQPIL SYDELFSLDFGA LY89DRAFT_711382 MMYMSSVQLMLMGARLVLYDGSPFTPDPKNFIRLVGEQKITHLG ISPRYMQTLQMNGINPKDVTDLSHLKIVTSTGMVLSDALFEWFYDFGFAPSTQLCNIS GGTDIASAFGTANPILPVYVGGCQCISLGMAAEVFDSTIEGGQGVKGVPVEGGVPGEL VCTKAFPTMPVKLWGANSAERCWTHGDFIMIHPQTRQVIFLGRADGVLNPSGVRFGSA DIYSVVDANFSDIIADSICVGQRRPQDNDESVMLFLLMKPGKAFTPDLVRRVRAAIRK EHSPRHVPKYVVYI LY89DRAFT_629065 MPTLAVTNFNIVCSVLGGFITLFGLVSYLCKEKFYLSEALISLV AGVIFSPHATNFVKPLEYTGSQANLDSVTLYFSRLVLGVQLVLAGVQLPSRYLQKEWK PLSLLLGPIMTTMWIASSLLVWAMVPNLSFLHALAVGACVTPTDPVLSNSIVKGKFAD KNVPKDLQKIIVAESGANDGLGYPYLFLALYLIKYTGEGGLGQSGGAQKAIGLWFGET WGYTIILSVIYGFAVGWIAKELLHWAEEMKFVDRESFLVFAITLALFIVGTCGMIGSD DVLACFIAGNAFTWDDWFRLETLDDSLQPTIDMLLNLSVFIWFGAICPWAMFRVNDVI PLYRLCFLGILILLLRRLPIVFAMHKLIHQIEEKRQAIFVGFFGPIGVSAIFYLYISL EFLNEITVEGVQRADAAKLAEVITVVVWFLAICSIVVHGLSIPLGKLGFFLPRTLSRR FTSERNEDPDSFQVGTQLGSTNVAGNRRRSNNDPSNQSTPVGSRSTSRRPIYKIGGSV IRTGNLGAVSAAATTAAATPIDLEPGRPSTPVLPGRTIRFPDESHASSEQAKEFSAPS SGE LY89DRAFT_598930 MAYSTSEGADYPIVVDNVSEADTDWSPGLDLDDLRELQAAQQDP TKIVAKLPREASRMGYYATICLIFNRMIGTGIFNSPSVVFTNTQSIGLSLILWAIGGI MALAGVIVYIELGLTIPRWPLGSDGTKISTPRSGDVLNYFNYMLKRPLFLATCMFGII FIILENTAGTSVSFAQSILTAAHTKQTPGKIIAIALSANTFCCLLHAVSRKWGIILNT FLGTVKLLLLLFIIIIGFVWLNRDTANHNFDSKTAFIFDNSPKLPYRYAEAMLYIILP YGAFHQINYVRFTTLHQVISELREPRKTFPRASFIGVLTIVIIYTTLNILFAAIIPKS ELFKLPGGIDVGQRFFDLTLGHVVKNENHLITFYAIIKVISTGGNLIVVTFTTARVKQ EIAKEGILPYSLLFAENYDISWDRLRGRTSPSSERTPAATLALHWIITTILVVIPVLA IQPVPYSSTAAYSYLTTAFVYNINLVIFTVVAFGLLCLRFTPNVHWAQKSSLKYPLLS IIAALILLIVNIFPLIFLWVPDPSFPKATHTGGAVDWFAGQTFALCLLAFAFLYWVIF RAVISVRSRREGKVLHVRRKPIFKHDARGLTQVMEIVSLEWKREVGMRLDEVVDDEGG FVGSSTVSMPAWERASEVGKGAASVHEIGQQRTVRRKPVMSELLGSVE LY89DRAFT_598700 MSGRLDSDPDFEFFDSNLAGAASPGTFLNAEDFATDNSSFLASF GTPNPTNYKSPLPDQGSILDSRLQPTLSAPSTASPAGSYRDSSSESSGYKRKSSSDSS RSALTSGDIMMADDTDMNDWKVEDMMSGDATGFGGFDGTGTINPSTMNTNFEFSDKSM ENDFDFDSAASSPSPFGGIRPVEMESPEMPTIKYDTPRKHSPLLKTKTKNHNKANSQY SVTQSMNGLTTSGSREASPLSAMVTSQESSPTAFFNNSPSPGTAIEFVNGTMLGGVPQ NHNWAASLGGFQSHGLPPMQQNINPQAMPRMAPPQVFPPMGNHYKPILTIHPTPLKSR VETQIPIKMTLFPLPQGISKLHLPTYTISKPKLLCKPTPQRSPDTLELYTALVCTSAM QNPENMRRAFERAASGAVLKEESSEDSSSEEDDENKPLNGGDVKICAGCITRERKRAA RKKVKKVEEEESWHKDEAKRVVVFNTHEIKDWAAPTSQTPSESTGDRAEPFVPEGAMQ VDAPMRIACYCRHQNEKLGFQVIFTIKDHQDRLIAQEMSSSIMITDDHKTHTMPTLTT QTSTNSDGLIYAGSGSFPIDGTFDMGNSNTMTPFRQSHSSSDLQAMQRNFNMQFSAPP SVNASQTTSATMTPRNLSRQTSPTAQSGPSSKKRKASGSSKVPSGLAMTRLETAEGSM PQAPSNPMSASTSAAASPFTPNLTAFPLPTDQQFAPPTTIPAIPQQYNTGPPTPNSND QSFFNQGNRSQSMENLALQQMFSAPASAHPSRVPSPNGLRNTVQAYQQQQQAQIAQAV ANGLYGVPLSLNPHRPPTIHKLIPNEGPKAGGIEVTCLGSGFCQGLEVMFGDSKATTT TYWGETSLVCLLPPSVFAGTVPVTFKHQHQQQQMQPYANPPFPKQQAFFKYIDDDEQQ IIRTALSVLGHKMTGKMEDVRDLARRIVGDGPSSWGAPAGPSPTGGSGSQGTNFNAAT FGVDVEATLLRCLDLIDLDDSPNMPRLNLRRSSGQTMLHLACSLGLHRFVAALLARGA NPEPRDKGGFTPMHFAALHNHPQIVRRLMLSGADPTVRSLQGYTPSDMATSEEVLRAA RRIEHHSRTRSGGSLRSRTSSATSLRSVWEPTTPLPIDNHALSDDSDDDNEYEDEDAD AANDGAFWMRSKSRRPSAQQLPLEEIPDEKDPVLELPAGGLASPTATMMAFRDQLTTQ IAHLQQSMHSMHLNLPNLPQMPRFDMMPALPDYQAYLPTAPMVRRISNLVGNNRPESA KDQDYKWWDLFSSTVPSAPPAYEDIFPQGDADVKRSSAEQAAADTIADNKCAEMFDQV ETESEQAESSTMAQKRPLKLGTVRIGQKHTITREQQDQLRLAHAEKVKRLSRDRNLFF IWIPLLVIIILAMLYNRVPMWKSGASNFFSSMRYNAQDRIVEVV LY89DRAFT_629074 MAPIQYPTDPDALVKALRVPPPPGTPYSLPIPGSEKEGRTPVYR HWRFVDSPLLQTVDPKILTAHDAFESTVKKRPNARCLGSRPWDPVAKTFGKYEWMTYA ELASRRKNFGAGVVELHKKAGVTEEKYGVGLWCQNRPEWQISDLGCMSQSLFTVSIYD TLGPDTTEYIVNHATLTCVITSLPHIPTLLKLAPRIPSLKLIICLDQLDAGERPGNSK FAILNTLAADAGISIHYIEDVEALGAASGLPMKPPRPEDIITINYTSGTTGNPKGVVL THATAVAATTTALVTSDTLPSDVLISYLPLAHIYQRVAEHGALASGASIGYFRGDILG LVDDMKILRPTGFNSVPRLYNRFGSAIRAATLDAPGLKGTMGRHVINTKLASMKLPPG QATNKHMLWDRIFTPKLASAFGLQRCRGMVSGSAPLDPSLQQFLRAAFGNDIIQGYGL TETYATGLAQLEGDYSAGNCGAMSVNAEACLQSVPDMDYLVTDSPNPRGELLIRGKTR FREYYKNEAETAKAIIEDGWFRTGDIAEIDSMGRFKIIDRVKNVLKLAQGEYISPERI ENVYLANTNIISQAYVHGDSTQSFLVSVLGIDPIAFAPFASSVLKKTIKPEDVDAIKA AARDLRVRKAVVRELEKIGKKNKFNSYERVRNCHLELDPFTIENELLTPTLKLKRLQT AKRFRAEIDKMYADSLAEETPRAKL LY89DRAFT_724692 MADSNGATGTYANNITPDHSNHLDTTIESGTFDEKTGHLNSYPP PPRKQVEEEEDDEDMDALIDELESQDGHIDDEEADSEHAQPGAGRTIPEEQLQTDTST GLTEIEVLTRRKKYGMNQMKEEKENLILKFFGYFVGPIQFVMEAAAVLAAGLKDWVDF GVICALLLLNAAVGFIQEFQAGSIVDELKKTLALKAVVLRDGQLYEIEAPEVVPGDIL QIEEGTIIPADGRIVTDDAFLQVDQSAITGESLAVDKHKGDQCFASSGVKRGEAFMVI TATGDNTFVGRAAALVNKASGGTGHFTEVLNGIGTVLLILVIFTNLIVWVSSFYRSNP IVTILEYTLAITIIGVPVGLPAVVTTTMAVGAAYLAKKKAIVQKLSAIESLAGVEILC SDKTGTLTKNKLSLAEPFTVPGVEPDDLMLTACLAASRKKKGIDAIDKAFLKALRYYP RAKSVLSKYRVIEFHPFDPVSKKVQAVVESPQGERIVCVKGAPLFVLKTVEEDHPIPE DIDQAYKNKVAEFATRGFRSLGVARKRGEGQWEILGIMPCSDPPRHDTARTINEAKTL GLSIKMLTGDAVGIARETSRQLGLGTNVYNAERLGLGGGGDMPGSEVYDFVEAADGFA EVFPQHKYNVVEILQQRGYLVAMTGDGVNDAPSLKKADTGIAVEGASDAARSAADIVF LAPGLGAIIDALKTSRQIFHRMYAYVVYRIALSIHLEIFLGLWIAILNRSLNIELVVF IAIFADVATLAIAYDNAPFSKTPVKWNLPKLWGMSVLLGIVLAVGTWITLTTMIARGQ DGGIVQNFGTMDAVLFLEISLTENWLIFITRANGPFWSSLPSWQLAGAILVVDIIATF FTLFGWFLGGHQTSIVAVVRIWVFSFGIFCIMGGLYYLLQDSIGFDNLMHGKSLKKNQ KQRSLEDFVVSLQRVSTQHEKSA LY89DRAFT_690697 MSPKRKSAGEDSTTQAKKARPSTTATPSTPTAPTPSVKESPAIK DLSLDIPSHEKAFKAVYRQLKKSVRENWHDRYDDHNELQLELVTLLVAWQQEIFHCAI LPGERLLEAYKAMVVVDEWIQKERGNEFNVRVDWREGDASWSVDDVEGKKVYEGLPEN IQAKMWRDLLLKSFVTCEAATTVEIM LY89DRAFT_741492 SLDSGEADFFKLVDEDVAVAEADAKAEANIVYGFDSYRSAVILW LRRTGIEEHTRGLKKDEMHTSFAVPKNAESEPELFLMLELALSRFYTSAAPGQKIRAF DPKKEPNTLKTNFGY LY89DRAFT_321863 MLCVQGTAWKGQYSSFVNYLFDEFPKAHSIDYRKAHSIDYRHLL TTVSSLDWSKCFLDAAYNSTRNVLEIFLAHKADPNAVEKDSKAKYGTPLIAAVSGARP ENVKFLLDNNADVNANAKVGDYGTALIAICSKEIIHQGILELILGQSTINVNVVSSTG SYATALIAACAKGHLHIAKMLRVKECKLDMMTDYGTHPNALSAAVEAESIRSVVFLLD FGATAQHCNRKIWSPSYIPPWKENGANRNRSCIQVTKRELISSIVWGAGDEISQLREL KVRGFGSDSRDEIAKNMAKAFGFSTRIPAITNGFSWIIQESTANILEWSEAVLLWRRL IRLLDIIEDENLTVEDSELIPSEQKNDISRGEWYKALDFRPLQRVFSIESEGLFIEQG VFDEGDDQEFVTDDEEIAVDEREECLNSPGEEQWCHEGEVAANDERGEIPNNQEEQPG DGRAAALEKGQVAGGNNKEQADLGEQETKMGRFAKSFCCVCS LY89DRAFT_676558 MASPELSSRPLSLLALPPEIHAAILPHLQFLDLHTLRLVNHYFH ALVLPPSHTELLSAEKTPKFDFLACKKAPGGAQAHNRFCIECGRRPLPGVHRYMLGAR WEEHGVPYARCKRCKMIAKGPEDQAVPLCFSCHTQDLEKARAVEELKRVQAEAREREK RRSLRAERRRIWIERGFAPE LY89DRAFT_724696 MKWSLPHFILLCLPFTQAVPTFGAGQPDLGRREAQLNPRAGAAL PGQPNYTAIPNGQIWYDTSGNPIQAFGGGFLEVDTWYYWVGQVFSSSTGPPYSEALVN MYKSQDLLNWAYVGPVINVYTPDVNGTQQLTYCQVQRPKLLYNAATSKYVLWAHWEMT ASFGPSQLFVATADDVEGPYTLTAKGHHRPGAGNQDPSAMGDRVGGVTIDYSSTPKDS SVEGFAYVPNSGSDYPPKVQQYNGVSTSNPQAVSYLSQSDGYGEAEIDNYWTYELTGI TFNMTLKAVSVQMTPYDTSFYDKYSPDYNIDASSYIIRYPTANRSEVSTTVITIGDPG NQRTALVSPVIGPGLDESSSASTVLVHSGDAAFITCNTTNAVIYYTTDGSNPTINSTE YWSGTRISITGSNTTVKAICTLNNATSPTVSQTYTVVANTTSVPIFRPIVNVPSGTYE PEDPTFGYQSVKIYCPTYNTECYYTTDGLDPEPPMNGTNLGYRSRDMTVWQDPKDGSA YLFSASDNVYNRLWLLTDDFTDVVADQEYDTFTAVSREAPTVVRHYGAAGEYVYLTTS TQSGWNPNQAQYIRTSNLTAGFDLPRDSITGYRNGNSTWSSMEPVGDPSTYFSQPTFI LNLGTDADPVYVYVGDRYNTIAFFESTYVFMPLTINDTAPAVTGDTGTGLMHLQYTPS LQLSVANGSIAPFPWNLLSLNKPVEATPSVQLTAAQIAAGTYNFSAQAANDGVDYDVG PYDDVEEYYQPTGVPFFWQVDLEQNYNLAWIGLSFMSVGGSDCVNRYTVSGSTDDSSW IQLVDNTENLIPGYQAHILSGSYRYVKINDYSIWDVDHNKEADWEAGVYEISVYGSEP GSGTTTTTSTTSSTTATAKATAPTTTAVCEHNNCLRQFLQSTALVTSFCASYTNAVNT ATAGLPIYVSECQSNPSQISSACSCVETATA LY89DRAFT_763951 MIVFGILPSFTGRVKADTFALGAVATPFQLSGEHAANNIKVDWS LVAGASSYQIFQSSNAESGFRHTATVPGNTFDDYGLRVGETYYYQVQAFASNGTALGQ STEASAATFTVEDDYDSYDNTVLETFVPKSNLESGGLYYQYNYESDANGFLQFVQQTS TDGFNFAGNTTVLNRSEVCASVPGGYCILQSTNIVKNPTTGNFVMWAHLENNVDYTLA QVAVAEAVPGQPFTFIGTYQPEGQQSRDLTFFEDVDGSGYLISTANNNQDLNLYLLSS NWTTIISWPAILLAGQSREAPAMVHVDDYYYLFTSNTAGWYPSQGQYISASDLAGPWS ESRDIGNTANFGAQSGWIATFGTQMAMMADRWGAEWAPPEESNSQRMLPISFGNGYAT YGFYETIKYNVTSGGIVPVQSGKILSIGKPVSDSGLSTDAPGDAVTYAASKANDGIQT DEDNYFKSNFVPFWWQVDLQTNASISQIDMTTNLVKGSETYYGYTIMASWDGSYYIQL VDGSTNTAVGFVSNKISSTYKFRYIKVNVYRVVNVQNGGEADWARGVDEIMVYGTT LY89DRAFT_763953 MRPSKLIEALWKLFLAIQPAYGNALLARDTPPFHASASSPTPVS GSARVIPPLHIHYYNCPNHTVSTRELGGTSRLVSKNIEGVRCVPRTCREVPRLKDLHT YGTEVNDYLARWLDGVLKDPTQRAEMESSDSILEYFGQVHVYDGEFSCNLENGCKKGP DCDKILSHELDNPRGRTDEEIEREVKIVVLAALKMTTLNGIMKSTYAMGKNLGNLIFT MLSFSIGISQIPFAGDVLRYAQFIKQVIELEEEERAIQADKKLAKAPQDGVIPHLYAT DACAVNKKVDNFKSSAALKKHIEDSFDNQSDMKQAMYGYLITTAWKAGRFYLKCQDDG YLDARSCDNKYPDTLRYCPENGITCQPEGYTMFTEGFNHEIPIIGADKLGHCGFDMDK IFETTYEQYLQHGNVAPKAFDFSNHSGSSFPVYISRHAVVWDHEHHNERKNGNFPCTC GRNWWSSETQSFLKGIGMDKSSRAYASALASDILINTCNKPLEKLPPVTEWIAKCRLG VRHQRSHDNSIYEPAWSDTYDWWDLETDPKCDMLLGAIADRKWNEKEANEQICHHKLP EADALLWQYFRGIQRETRLESRCIQFRESNYWAKYNWDEQVLTRDKLNQLQM LY89DRAFT_629081 MASRGNPNTPSKSRRIASRAKVQRRNAVNKVTKNPRGTRKSTVL APTSGPAALLSGKKARKVEKAKNHARKRAIEKAMEQEGEVEMTDAPKTTKSKAAAKDG DGMELDAVS LY89DRAFT_741500 MAYSTTLSSLSDELFLRIISFLAPESLFSFATTHQRALNCSQRL LTQHQEWHLQFRIIHDRVPLSTPALLQRGMLDSQAEMWHLQRFESWGTRLEWSHWDTF DVNKYDHDLGGDGGLVETDDHTDLSEEYYNGGFGDRMEEVMKEQLYFDESEASKWMER IHAGSDEALKGLMIALAPSISTVIFVAHMPPLEKYPLKFLSKAISKVAASSPTPIWPP GFQSLTNISIASYTSLQHPHNNFYCPVSAIVPFFHLPALKALSLQVVGYPDDEEEWEL PIGCSSIETLKLDCCEMSQETIEQLVLACRTLRWIEFLVPRSLKNLLLHSSAKDSLER INGQSFKQWLADDRYEFGYSSGDERKFYFREDVMLRIDDGAERDDAFELENFMKFVYP PTLDEEQPTLWFVLQDLKNVVPSGVEKVRFALRSNRNPLGTEAVNISLRHQPHISNLL QRKILELVEDPEYEMLKEVCLFDLVGVPTQIDEWNDDDSSFTWDENVYQQIVARGVDL HRPSQDGALTRELEQEEIRAHQCKHQPLGVDQKYFAHMTDPRIGLPRHAGRVFPERAF E LY89DRAFT_788587 MTVEICTAFSKGNDYQYAGLEYYGECFCGASVNGPQVNESFCSD PCAGDTSEICGGTDYVSVYQDPTYPVENNSTISDYKPLGCYTDLTDTGRTLPWQQSQL NASSMTVESCLFACKDGGYAFAGVEFGQQCFCGVVLGNSTSKVDLSECNTPCTGNSSE SCGDAALLNLYVAADIESTEPCTGGTPPPSSTTSSTPTPTLCTSTVTVSSTPKYEYNC GEWCSTPIPPFTNPELCTSAVGACTVQVASCFLNAGFPASLRCFEFGAWCDKISTYCS SFCPGNSCSALSCKSKYPPQGYQSPTPTISTSVFTCSPTSKTTSTSSTTSCVPVPTTG NVCKQPNSPTKGYTSSSPVGNIPLPVLTCNNLYSDYVQHPFKLYTSSDTTQCKSYIPG SSGSSSVCQGCKDACDSQYSSCTTTYAQSCKGNSGSGGQDTYSSATTKCTDQWTDCYA ANSGVSGSGRCGSWNSGWSK LY89DRAFT_322174 MPIANMGGFRLNFVAADEQNLESIIRIEHGETQSTTLVLNAIQF AMMLRDGGITELPDLSDEDIQDKSKSDPFTKLVVLVQILWLVISIPTRAGMHYSTSQL EVLTLAFAVCSLLSYVFSWHKPQDVGTAFVLKGQPGFFHENETRERIIKLRQGQADSF RTAISAPGNRPKGDQLFSRIPNDSVSSLRQNLPLIIILLERGDHWLRSYLSHRLELYV SHNDRTLDLARIDTDCHLLSSVALLINGLSAGLAISEKQSGEAFASTLFDISKSMEAE RPKANRPFSQIPDDVKVSIARYARYGLIET LY89DRAFT_676565 MASTTPQPLNSPTSPIRSSDEPEILPSHRQDNFRPPQHASTFDG FERRVETGFRSLTRKGRKSTHTSLQDWAAKDEPYHRYVRELVAAGWSNLQDLDDYLGR PATQHNEVVSVLDITSATKHHYPDLKTNFELKNFLAVNKRPADTVRIYMAEYKKLPSA ELIEAFGSSLHLDRRFFQWSIHSKGHVFTPSQRHRAPYVTLGCGVLDASTARATDVEK FKVLVYIHDGEQTETGWTALFLFSSRTKINMSVELLNDPPPFPSSLPPIRPEFKSFRQ LYLDSFDYVNLDYAVKSPFILVSSLFGLNCFCWNQVITTIREEDARVGGISDTTIGHA EEITKTLSAIERGGSYKWHGKDEQPAQARKAELIEDYNHLVDQIKLLWETRDKMADIR KRNSDTRWTALTNAFTYL LY89DRAFT_741504 MASKMTPHPLEPLSIEECHRARDIVLGAHKQSILDFRSISLEEP PKAQLQPFLDLEHAGKLTPATPRPHRLARVNYDVIGRDKIAKYYETLIDVINGALVSN EVVEKPAHAALTLSEFETLTKAVAASSVFKEEVTKLNIPEGFEVVVEPWPYGGPDGEE EYCRYFQALCFANDTRVGNPDSNFYAYPLPIIPIMDARTKEIVRIDHLATGGEEDGLN YGTRSENFMNQYRSAEYVPELLPQGLRKDMKPINVVQPDGPSFKITNESLVEWQKWRF RVGFNFREGATLHNITYDGRSVMYRLSVSEMTVPYADPRAPFQRKQAFDFGDGGAGIC ANNLELGCDCLGHIKYFDAVNVANDGTATVSPNVVCLHEQDNGIGWKHSNWRTGRAVV TRYRELVVQFIITLANYEYIFAYKFDQSGGIMVEARATGIVSVVSIDAGKQSPYGNVV SPGVLAQNHQHIFAVRIDPAIDGANNTVLTEESHPVPTSAERNPRGNLFEVVQNPVKK SQWLDAAPQHNRVIKIINPSKINPVSGHPVGYKFTPIPSQVLLAQPDSIQARRAQFAK HHVWISKYKDGELFAGGRYTLQSQDEIGGVSDAVKRRDDVLNTDVVVWSCFGLTHNPR VEDWPVMPVDIFQLHLKPVDFFTENPSIDVPSSRNLSSTLVKKDGCCSKESSRL LY89DRAFT_599174 MSSPPPRKRVTQACQPCGLKKVKCDGVYPVCSPCQNKGLSCAYG VSKRRQVVTRVHPIHGPRNLQSRQSLSNMSPGGSSEHVQASSGYITSDSTAPELSYKS RITLGMISGAASTRLLQTYFNCLHPLWPILYKPLYSSSDYANPTNMMAPALVAAIFAI ASCVDGPQQYTTNTIVQKFPEPIQFFEEALNLLQLSDAGGTNRSLANALTPSITNCQV LTILSLQQHGVAEYSRAAILCGLASAMAIELRIHRPCESEDPIKREIQSRLWWNLYIL EKMMSTEMGRPVLLRSEESDCPFPSVAEADEFELMSAQSASQGTAAHLRSVPTKLRTI SGLHSTIKLSALIERISREIYGISARTVIRGNQNEGEAKRMQLWFALQGWEREMEGSP LKLDLSKELTSVPAAVTNYVIMWHATILLHRPFIARWSLNTGGTDSANPLDVCLQAAN NICLVLEKYFNRLLGLPCDMIFSVFTAASMLLYQSKQRQDASGEYRPRLRLCVHWLSV LGKSWKSAGARHQLLSDMFDLPKHLDDQWPNNTTEQPQPQTTDQQPRWTAVPQHAAGD VPISNAPTQSPEDWTFLRDFGDPTDEFYELDVQLRGLLDGGFDPAAFSFSG LY89DRAFT_711389 MALPHAPSRALLFALRNSTRAPLSKTPCLRLAGRQLRWESTEQK PPGNGKSFKGQLYESTAVRLARERQEQERFSEVRKDSSGGWYMATSFVLFTVGIGGYY LGTQKAQTPSSASTVPLSATIPPKHDISGSNMEAAWTDFVEIVGKDNVSTLETDLTHH SGSEWSTHIHDDTEKPFLVVYPGSTEEVSAIMKVCHRRKIPVTGYSGGTSLEGHFAPT RGGICVDFGHMDKILTLHKDDMDVVVQPAVGWELLNEELGKENLFFPPDPGPGAMIGG MVGTGCSGTNSYRYGTMREWVLSLTVVLADGTIIKTRQRPRKSSAGYDLTKMFIGSEG TLGLVTEATLKVTTKPNTTSVAVCSFASVRQAADCVAKVVNEGVPIAAIEILDGNQMK SINAAGMTEKSWTEAPTLFFKFAGTPSAVKEQIGMVQKLAKSTGSKTFEFAKNASEQA ELWSARKEALWSVMAMRENDTDHVWTGDVAVPISRLPDIIEETQADMAKSGLFAAIVG HVGDGNFHTILLYNDKQRKLAEELVHRMVVRAVEMEGTVTGEHGVGLVKRDYLNHELG ETTVDAMRKLKLTFDPLCILNADKVVRIQKPKPGEVQPW LY89DRAFT_690705 MVYDWENKEEICYRMYIEEKKSLEEIMDYMKEHHKFTPSKRAFQ TQFKRWDFPSKQNPAHKNAALVQRVKELWDCNTSQREMLRTLNDEGFDIKERELMRVR AKNRWLLRVPNGMKTKKRDSDQDVINQLQQALYPDGQMAEAEVEDEPVDDISMPDPPA RSTRGDSPPLSPEVMRKRQERLAKLQAESAERWATRKRRRRTRGWAGLPADPPGPPRF PSETTIDESKAFLSLDNRLYRDIRGRFQRICEEADIIKKTIAGPERWEAAKDRLVQES PHLQSVFWGNEENQEAKKLALDVVCSDVTKRMRTLERRMTIAEAKNSLGVNPEESRQL RNAFYQVLKADHFTSKLEAGEDHWKELKAQWINSSQLLQNILAPGESDPQHQEKVKAM EVLCRDVMKRLRDDQTKRDPTRKKKFDSTFTAPDSEMNPFGAEEPENTFQSEPDPSLL RAAALAQAQTQMQNQMVQDQSRAPSGSQSGMGRAQAQPQTRQPQMPSHPQSILDHNNM QIDPSLLLAAANDPSLMGRGMQNQFADPQYTDQQYAAQAAQAGFATNPSSFAVYFRLH PASDVQSNARLWVSTLSSITVDELRHLAVLKFPGTLVFRIEGVIKQPNGHEMTLPIDQ DEELEAYLAAISGVKPIFSVQLVTPWKNGGQM LY89DRAFT_322600 MITWRACRESKSWLLTGQYACNVGRNLEEYSSRNRTMILSISDI HCSWVSFATSSFYKMSQAGKVELVKPSTSSVVLKTLKSRTPSSLLVVTSIGVSRSLLP SPPSEKLHCPCNETYRLELPRSAPLSAFSLLLIFCYMFVLLFWDCTVRRYSFQHLASS NLAIPRQILKMALSSKKMQLNFFDAACTGSHTAIGQ LY89DRAFT_676570 MGREEVMPHDERYTAAEELWEKSWEDGAQVWQEEPEMAYDPSKI HKINYEGKYHKMNAFHQTHPSPQRTPLLFQAGSFKAGIQFGGKHAEAIFCANPTIEST KKYTAAVRAKAVEEGRDPPSIKFFLGIMPILGRTAEEAEMKFEAAKKNVSVNGGLARF CGFTNVDLSGYAIDEEFNFEGKHHENSIQGVIDNIKLIGTEKVFTPRVVAEMFALGGS GPRPVGTPEMVADFFEKWWREGDLDGFNLNYVSNPESFEDVVELLIPELQRRGIYWED YPVPGGAARENLHSNPGQKLLPKEHPGAKVRWDVAREAATKHKAAES LY89DRAFT_658327 MSKFFTWAELPAREDIYQERGTTKWGNHDLYPIVPKERTYGRGA FLLYWVTCGAGLSTFAIGSSYLAVGLTAGEACGAILIGACMSSCNALLCGRVGSEKHL GYTMMARVSFGLRGMWLPLFFQIMSNMVFFGLQAVYGGQAIGIMLSSIFPQFKNLKNT LPASAGTTTHDIIGFFLYIICYLPIVIWIKPHKLEKFMWPAFIGTVATVFGIMGWAVN VYGGSAGNLVAPAIALSASDRGFRFVQCISSVVGTYGGAADRFADWTRFSKKKDSYVL GSATAMPAVITMCALLGVLTASATRAHYGTAMWQPLTILSYIQKDTYTPGARAATFFA GLAIWSHQIFVNVTQNNVGAGMDLAGIFPRYISTQRGAVLLCILGVLVQPWRFLTQAT VFISVISSFGVFSSVCTAILVLDYWIIRKRAWKVPDLYQGGPEYIYWYFHGINLRAWF VYIVTVIPSLRKISPLPYTGLIADYCTAAGLVLSIMGRTKSGAV LY89DRAFT_676572 MRGSQSRISHQAIIILALPFVLFNFLDFSILSRTRRMDQDGLIS PPVVSSPEDHLSPSFLSKPVKRSRVSLACQRCKQRKQKCNGNQPSCSRCSRLNFECHY VIPSYPKPSQAKVYIKALEDRVAELETILTKGGDRTVSHDHLLEDLNGSEEGEIQPLL NAIRDLSLDIAGSYVGGASTMTLGRALESALAGKTDLLFQHESLRRDSTVRTESVASD TNSLQDMSTFQLSQINRDAAERMVNAYLGHLYTNFPIMYSFEVLDLHKRRCSLRNVYE ESILNLIYGLGGHFLEKTGDLSKAYHPERHYEIALNNRETILRYGDSRSLTYLLLLGQ HCLRMPKEPGAWTFTGLAMRMCIELGLHRRRRSAGPSLKAELNKRLFWSCYWWDREIA IAMGRPPSISDHDIDIDLPLDVDEANRDLNVLKAAAEVDRSVPAFPQTTMSCYIHLLR LKVIDSEIQHKIYRVDRVKSPETIYKTTDLILEKLYAWKAAIPPESTHWDPSDRQSFR GDEYRSYDSHMASYHKTIRVLLQPRLYEEKINKRYLALCAEACRAVCETYKRLHYRIP LIFTSVSLQTVFLAGLTLVYCMWQDTSNSNGFKSISALTDCSIILCVMTEKWSGGKKY RDLFEVVKKSVLDAIAEGKHIPRAAVTSMKDDMQDTLHGIQSDAVMKNIPDDLEQMIS DMAGQPMFWDDVDMGFEMGMDTASFLVTGDGDSWDDSGHGNWMNSGLEEFDQAVS LY89DRAFT_676573 MAPKRKIHLNFFEMAYNNAHMGLGMWKLPQDSQPTKDSLEYYIW LAKLAEKGKITGIFFADVYGVDDTFPGQFKAQFKAGANCAQMDPIVFVSAMASVTKSL CFGITGSTSYIKPFVLARTYSTLDHATKGRIAWNIVTSYSTSSAKANGNDKITAHDKR YEKAHEYLDLVRYHQYFVETQDVSLINEGCGKALGKTTPKFHRVTFLGNHHKTAAVGA CHPSPQRTPVLFQAGQSTAGKAFAAANAEAVFVGGGKPSDTAPYVKELRAAAASHGRD PNHVKVFPQMTPILGRTVEEAQAKYEKYKAMADWEGGIANPSQYLYVDLSAYPVDEPF DVNSVGKSDNAVHAIINTLKRYADQVVTPRILGENMAFCGFGPMPVGTPEMVADVMED WANNADIDGFNIAYVSNPESYEDLVELLVPVLQKRGLMWDDYTVPGGTYRENLLGTPG QPGVPEGHPARQFRYDNLKEKYADENGDITIDRRNAAQEPEVETIITTLNDTTLNEQP TLVKASA LY89DRAFT_658330 MEDDNGTYEYSKITQSGTIRLILLHPSDDLEAGLICSLIDARLQ ECDDDVVEHYIAISYVWGKAVENKSVLVDGKYLEITASLDQALRHVRDPSRILRIWAD GICINQGDVQDRNTQVAIMDSIYATARHTIIFLGPSYSEHELFLQKMEALSLSRESSD KQADSGSPQGMLEYPWFGRVWILQELALSPDPRVQIGRVRVRWNHFSKMIEGEAVTVQ SLSTRRKLFHEMCQIRGKLQGRMYMGAESEFKITILDVLQARRGLGVTDPKDMIYAHL GISESQLKSHITIDYSKSKAQVYEETARYI LY89DRAFT_711394 MGVNVRHSLLSALALSFPLTRAASSVNATAACQSISATGTEVLT LADALNPQWTDAQSHYWSAANADLQPACAVYPTSALAVSQIVSILQNNTGVPFAVKSG GHNPNVGYSSVEGGVLISMSNLSSTVLSEDTTTADIGPGARWVQVAEALDGTGVTVVS GRLGDVGVGGLTLGGGLSFLSAEYGLVCDNVINYEVVLANASIVNANASSNSDLYWAL KGGGNQFGIVTKFTMKTHPIGQIWGGIRSYAATEAEALLNATENFNENYDKDPKAAVI VTGEIAIDSLLEIFVVFFFYDGPTAPAGIFDEFNAIPFLTDGTETRSYYDLINSDDGT NLYGLRYLIRATTLPNLPNATGKALYNYHYNEWRNYVMTEGILHPGFIFSLAFQPMPY IIPAESVAAGGNALGMNPAEGDRMWMEYDISWLTALGDDDAHAMSMNITATIDEYAKT VYAGIKNTHFEAGNLEEEEYNPIFMNDAMYDQMPLQSYENDGYIKLKAIQQSVDPTGF FPSRTGGFKFT LY89DRAFT_690711 MGSTCSGIRRIRIRPGEVEHVAPPPYEPGRRTIVSSDQLHKDDA PELSAHPALRDQLVLSHESTTSQSRITPPHLSASTFVSSDVPIPPQAHIGSRPPAANN NLAPVLVVVSSIPDDETSITAATTPLWRWKNSQCQAWIAAVLSEYSGKSTEVAKELAA GFKGWGPNLYMKEWKQWNSWLGQDGQAIFALLMEVHGQEGAVPVTVEIAHYAVEDRKR AEREKDSKTERLAKVREAQSRRSRRD LY89DRAFT_741515 MEKSKEASVEKCRDGKEGQKSASANAKSYKGLLKSLARMRQQPN RHEELASKTSQWTWTNKQCREWITAVSVWYLGYDEVDGEKAAAKFQGFGPSLFATTIR NWEMIFGNKHKAHSVCMLIYNLQREKGAVPRSIGVHDPWKEVQ LY89DRAFT_322718 MCFGSKSRAEDLSAQQEDSNPNSRPLESIPAPSNSSKMPQENYA PPPGPPPSHTNVDSYSPPAGPPPNRQDDYAPPPGPPPSQQPQHDWQTAVPDTSLLPPP PSFFSGNQRSSTNNATEREAEQGEEWCKRNTMTAPITFPEAALEALAEGHIGVITPRN YQGTLDRPRPGIWAGKTKSSCPDSCITSTIPLYSVMAHFPMRTGKSKIIYYEVRIAKR NRREVSLAMGFGASPYPTFRLPGWHRGCLAVHGDDGSKYINDRWGGKDFTQPFKPGEN VGIGMSFTARDLNAPPSYDAAPSGVQTPINVEIFFTRNGMKDGGWNLHEEGDAEQDLP VIGLEGYNDIYAAIGTFEAVEFEILFNPSDWMFRP LY89DRAFT_690712 MPKRFANYPLAVEAPKVPYKKEDDANPVFSGRLLAIGAWLITKV QPAQKYLWHNAGFDGLRKVPHLRDYTPRYDPTVIPLTVSTPHDPLQIDPQFFPKQSQD LPGRYYSIMDYHEMYLSGQLTPLNVVEYLLPIIRRDVQSPSHHSIAFIDSKTDLIMDA AKASTERYKAGKHLGIMDGIPTAVKDEGDVAGYRTTGGRKRNDEFFKIATKSTRPAQL LQESGAIILGKLNMHELGADTTNNNPNWGTPRNPHNDQYYTGGSSGGSAYVVAAGLVP FALGADGGGSIRIPSSFCGVYGLKPSHNRLEDTGSTVTVTGPLAATMADLEMAYRTMA VPEPKHPISSLFAPPGPLTMELDPKKTRYIGLVDSEWIRADNKSSAWSNAMSHLERHG YKRVAIDLPYLPEGQLAHAMTILSEMTNGSRSDPMYPNNWFSGLNAANKVLLGVGDQT PAQDFLLAQQLRNMLMQHLAFLYKKYPGLLIITPTTPMSGWPIRKQADLTHGITDGNT SIRSMQFVWLANFCGNPAITVPYGYAEPVKGEGKIPLGLMAMAEWGGEDELLAWGRVM EKWLGLSNEGTDVIGGGGRVRPSNWVDILVNARKKVAT LY89DRAFT_322773 MSSLKRITALSDEISKNSKTVTDYLSEKGLEAASFDVDGLAEFP INPSDEEAFKARLALIAATRELHDLSLGPKEGLRYLAWDSANQLSLQAVYEFKLAEAV PLSGSISYQDLSEKVNVPMLNLRRVIRHAMTNHIFHEPEKGFVAHTRTSRLLLEDLPL SSWVGFMTNDLWLPIANVVKAMKKWPGSGESNETSVNLAYGTDTNWFDWLQEDKDLAK RYGLAMQAHGGGEGFAISHTVNGYPWGELGEATVVDMGGSQGYVSFAIAEQFPKLNFI VQDTAGMRVSSTIAKVPEHLTDRVQLTTHDFFTPQTVDAQVYFFRWIFHGFSEKYCIK ILQALTPALKTGAKIVINDGTLPEPGTAGYVEEKSMRTMDLFMQITVNAREREVDDWA ELFKQADERYKFLKAWKPEKSRMWFIEAEWTG LY89DRAFT_322725 MAEIDPVQKAEEPQQRSPRSPTESPTAPAQSTFQQTQADIVAHQ AQTTIEAAHDSEDAGYETDAESRASTSISSSVRDFAFENGRRYHKFREGTYQFPNDES EQEREDMKHAMVVNLCGGKLHYAPLENPQNIIDVGTGTGIWAIDMGDEYPSAQVLGID LSPIQPAWVPPNVRFMVDDAESRWLHPLDSFDFIHIRHMNSSIKKWPKLLTEAYDHIQ PGGWIELQELEFVTLCDDGTMKDDYTVYRFLTLIKEGLAVFGVDLLAMRRNAELLRDA GFVNVEEKVFKIPLGIWPRNKTMKLIGLYLRSVIYDGLQGIALGPFTRALKWTPEEVE LFLVDVRKSLMDASTHSYLPFHVVYGQKPLNA LY89DRAFT_724715 MKLLITITLCCLAVAVLAFRLQVPASSSLDAAPTSAPFKISVPE PGLKNEQRATLPAKQNKMQMRKRDISEVGLIGAIIGGLFGMKPPTSVEMAMISSLVIG ALGHWCIVDIMDIM LY89DRAFT_676582 MGGAQSTVGVGAGMAVGTGTSLVSDYLLKPVVEPVVKGALKFLG LERRWGPPNIKRDSISEAKHIWSYEMDHDFYILLIVGCAIFFGVGCALACRGRKRRVE RDSLLPLFEEMAEKDIGREECSMEDHSSELSATNFFALSQTPVPA LY89DRAFT_322913 MGIWLSTPFIVKTVKKGKNPPKAIDQADNSTTILKRFFDPSPPS TQFYSLDPTSFDPMTGADDRHAGIFSAHIGLFMLLFVVLLTALFIILLGTRKEKRVCL TGDPEKEGAFLDRDQSSKPNTVEPMSRSNAIRTMPETTQR LY89DRAFT_741523 MKKSSSDSESFIDRIRSWARTKAHGPSPLSKDSSRSTTLPLSNP PSNNTTQNRSTNLRDDTNNVPPFPSSDLHGIARQSSKPTANNVADNTSDDPPPPAPPP ETAGEGTVIEDDEPKKQNVGMRFWFTTKDILFSSPINILLVFVPVGIASYAAGLSPGI IFGMNAIAIIPLAGLLSHATESVAKRMGDTVGALMNVTFGNAVELIIFMYVYLRRDQD KKEREALLKALSYQSSPISSGEIRIVQASLLGSILANLLLILGMCFLIGGLRFREQIY NSTVTQMSACLLSLSVMSLLLPTAFHASFSTLQADKATSAVLKVSRGTSVILLLVYGM YLLFQLKSHAYMYESTPQHIIDEESAPGPVAQWMDSSSDDSSSSSSSDSDGSSGSNTT AKRIKRVMRGGRRRRKSSFGSKDTADIEASRTPSFGNSSMTPVHADHFTDEPTSTGHR FGAIDIAEDGDDEDPRRSRKSSISNHALTKKERRREKERKRREKKQRKKARRNGDDTI TEGVAENNGVFNEKSPEEADAPRRVDFAVATADPGSEYQTDTSQKRRFNIASIRPAIP KTLSQNVFNTPAVPSPAPPSGPIPRVRYGIRRTNSLPDRLNETMTPTPSNQRIQPTRV GSLAVAENKKKDGEEENISRTTAVVLLLVSTGLVAVCAEFMVDSINAVVSGNSALSET FIGLIILPIVGNAAEHVTAVTVASKNKMDLAIGVAVGSSIQIALFVTPFVVLLGWCMG KEMSLYFTLFETVSLFVSAFIVNFLVLDGRSNYLEGALLCAAYVIIAVAAFFYPAVSD QSNLGGNADAAKMMIRSLLHI LY89DRAFT_724718 MISLPLDIQVLILPFLTSTSLISLSQTNHHFRHLIDPQRKQFLD RLLELECLPEYGGEITINEHAKIIVPSEPVSYACTHCLKIIPHTRFDNHALLRLRFRK PPPESRVRQRLCDWTSGDAKARGLKRQADLRNDTLENWINQNFASDITVSRLQELYKI GTHRNRRLCNECKFTTGFWARNAGVRSQSWRGKSRSSNIGTANVPVVKGRQRRCHDST ERYFPGLFPVAADAEYPWRWKIYREENCDWWTLWSIRCPGCGTWQERAAFRKGSGYGV KATPADPDEFRQAGWDGPHFEDWRCNSCFVTSVGKEELGRQLFAFWKKLADFEITMFN QLLPVGWYAVDGIERATEGKHSWEQIVKQDSVSSQLLRRIPDGKEIAKMDVEQRRHYY GVLKRWLDGLDGPTAVLSEVMDRHWFRPWSKEYLILEKRIEDLGACTKILEADTDRLV RFALDGSASRV LY89DRAFT_724719 MATPMLLKGGTVLVHDEQDHVHALKTDLLIEGNTISKTEDDILA SSSTQIIDCTDKILTPGFIDTHHHVWQTLLKGRHGDDTLLDYFPKGNFTSSVHDSEDF FWGQLGGCLAMLDAGTTTVVDHAHLNYAPESSKAAIAADISSGIRSVFCYCPTPRTES WSPFKFSGHDMLPDWVMTTMKELASKAPFGDGRITLGLAFDALWMPKEVVVNLFTKAK DMGIKLITTHYVRSAIQAPHSPIEVLDSYGILDSAYLFSHTTNATPKDAALLLSSNSH ASTTPSTELQMLGGSTAAFHPTLNMTSQCGVGVDCHSNNSVSIVSEIRLLLQHVRAVY NQKFVDKGVVAKKVNHTVEEAFNLGTIGGARAAGLSDKVGSLKVGKFADILVWDATSP AMVCAAQHDPVQAVVLQSSPKDIEMVIVDGVIRKEGGRLRDVDLRPGREMWGGDGAKG DVVSWNDVSKELVKRRESVQSVIEGLDMEEARKGIIQGWYINEEVIVDSA LY89DRAFT_788610 MAYPHIIPSLNLCIRAAAPKVVKAIRDVQDDLASRTEIERLERH LRRLYCTLYEIESDSRRLWQQVPVQLSEVIQALGEFCRQQCEIICDWYRGVRENKGLR FFDWFAVKGKIDRTQKYSKRLTDCEDWYNIALKTFYLIASLQARPPSPLEYVTQQERL QASDEQHCRNELRKCLERTERARDRERSRYNRMMEAGVDLVALTEYAYDALNAPNTGP NSSTARHRSQARPRSHTRKHHRHSEPGLQPRKRTQPRSGLLNFFLGGSRVPSKSRTRR EPQRESVSTRHRRKGPVDPQNFAYVATEPERRTAYQESAYQESTYQQPAYQQPDEPHQ ASQQSRIVDLNRPPSPPPQSEPPPSDHSHDERRTYRSSRRFRDSTSEASGSAQESLPP RRSNREERRYPPVSYETQHHHHRSTRSRSASSNRSSQYQPPTSRRADDTSSYMFQNST EPGSSRPQRPHTHENIDPPIMYESQRRSTSTHSRPPPISDASSSRPESSRTRTSEDGS TPTSHYSRFVAESPRVRMAEVQASSARYVPKSINIRILDVNYQSRPPMTYSNPHERPE RKKRSRSRSTHRSRDSGYATRSRGSSETDRSHGTGRSSGESSRWRRSSGHSTGPSSTS SRRQPADEPEDYFRERRDRRRRGRD LY89DRAFT_690718 MSLTLEDEDPSSQLRASLLESITRSLSSRRPLLTHLNADTTWLL SIPYPSTNPSLRNRTFYHILIDPWLKGGQSDVAAFFSQQWHATPSSVQTITEVEDVIR RMEFTAKGKEKADMGVAESLEEGQGDVIVVDNHGLQEKQEGNVIDLVVVSHEFTDHMH KETLLEIPKTVPVLATVKAAGIIKSWKHFHVVGEVPRFSGDWRKCPHKTLPEWLDVSR VAKPGMDLLYYHSAIMIAFPKGYEGEGEAVIYTPHGITPADLAPVAEANPKIHTLALL HGLQDISLPRAQLNKGAHNGLKAQRLLGAKYWIGTHDELKKGGGIVSYFLRRKHITLK DAIEKEKEEHGEKLKGSDLETMKDVRFEDLTNGESLLLE LY89DRAFT_764016 MNSFGPSSKTTFISQTATYRIKSHLLYDTTTQKLSYITDEEATE LRKRKILWRIEIPPFARKVDIQIGFSKERYAGSVWLPGMVVLGRLLGRWESLYAIEGW EDV LY89DRAFT_564959 MSTSADASSKPANEPTKTPANSSQKTTLALPEAPHNAATQLDMS SGSTTVKLDHLGPVVVNVDGTMSRISNWDKMADIEKQNTLRIISKRNEQRLEAL LY89DRAFT_701638 MHLLTFLFGLITSESPSATFTNPVIYEDFADNDVSVGPDGEYYL SASNMHYSPGAPILRSSNLVNWELIGHSVPSLSWSAKYNMTDGTAYTGGTWASTMRYR NSTGLWYWIGCIDFWTTYVYTASVVSGPWALSGTINSCYYDCGLHIDDDDIMYVVHGN TNVNMSQLSTDGFHEVKTQQIYTTPSGYSGVEGNRMYKRNGSYYILDDSPGDAATWIW KSLTPWGPWTSKLLQKAINGPLPDGGSPCQGSLVETSTGNWYFMSFIWAYPAGRMPIL APITWGADDFPILMAVNGSWGASYPNPLPPSPTPSWLRTDTFSEPVLGVDWEWNHNPD PTKYSVNNSLTLSTATVTSNFYLARNTLTHRIHGPFPVGTVHLDFTNMADGDRAGLAA FRDNSSYIGIVRDSGVYTLEMVTGISQNSSANWATISNGSVVASTNISSTKEVWLRTS IDARSSGTKLAEFYYSLDGTNFTQLGGSASLVTDWEYFMGYRYGIFNYATKALGGSVL VKSFTSA LY89DRAFT_741531 MSGSNLLLWAVSIIIGLAVANPMFSTSSMEGTTNSLSKRTFVEG TGFTTAQPFSTFLTEYAAGIAMAQQIRSILSASQLTENYHYQLFIPYSYQSEAQAAEY LNEYLQGYMSSDPGCQNWTTTDWPIYWPRAHTNGSTRVTNLCPLFWTLPATSEVQCDQ RGMDEYESGAMTILREVGSLVGYFNLTQGGPPAYANAWGSNMCAAWANIDPDETISNT DSWMFVALGIYWSDKCGQTIQPDQVEHVTAGLPPGCLPGPTEGWQISSGSSCSGEIVR NSNGISSGPGGCNIPSTYGTNNLLSDNQDDCWLLPSGTTAIGITLEVTNATKGYYTDY VVWIFASSDCKGNISQHFEGPAAGQKLIAGDYTYQSACFEAPSGRLWESYSVWNISDY DSWNTPPLSQLVG LY89DRAFT_690720 MSSESNLEDYARDKEIGKQDGSDAETANSIVGETIAEKPRTEQP EGPQDAVSKPAAGPPPPPDGGVQAWLQVVGGFMLFFNTWGLLNTFGVFQTYYESGALF TKSSSDISWIGAIQSYMVLLIGFISGPVYDRGYLRMLLILGSFGVVFGHMMLSLCHNY WEVLLAQGFCVGMGAGCLFVPAVAILPPYFKRKLGLAVGLAASGSSFGGIIYPIVVYR LIDRIGFPWTVRVIGFIALGTLLIPVAVMKMRFKPPKARALIDWNAFTDVGYMFFVVA CLIGFMGLYVILFYLSYFAEDQHITDTQMAFYLVPIFNAASCFGRTVPNAISDKTGTF NLIAPGALILGILILCMIAVTTQAGIITIAVLSGLFSGVFIAMPPVCFVALTKDKSKI GTRIGMGYGMIGFGALAGGPGGGSILGQSDPLNWTGLWAFGGVTACVSGVMFFGLRFA KFGYKLNIKA LY89DRAFT_741533 MPVRQPRKRRRPALSCNECRRRKVKCDQKVPCTPCTKSKTAFCK YDPDATPKGREAHHTAPTNHTTSNLLPREMIDTASARNVNGIATTHIAPNTLYPNTDS QRTGTTSTQQAFNQNLEKGQSVQELNDRIQQLETMVTSLINPEQEKSSAENDVGIFPK LKGSTQKTRLFTTSHWMNTKKEFDQILALKKVAKQSHSSELNDALKKCKDLARAIKRQ RPSSSYTLPDFRSEVPVRATADLLVQLYFRTFESLHRILHRQSFFREYEQYWNNPATA SDSFIIKLLVIMSIGAIFAEEETTSMRFLGLQWINTAQVWLNSPLDKSRLNLASVEIQ CLLIIARQTHSIGGDLLWISVGSLIRTAMSIGLHRDPANFPKIPVLQAEIRRRIWATI IELAIQASLDCGMPPMISFEDFDCSPPSNYNDANLIEGIKTYPPKHPSSEMTESSLQI TLLKSLPTRLKIFTTINDVRTERAYEKVLALSTELTSYLHTHTPLHRSSSVTTTHKSL LDLHVRRFLIALHLPFAIHAKTDPRFYFSRKIALDTALLIFSYPSSADFEAMKLVSGG PFREAYSRASSAISLELISQIQEDSTSAFPSTAAFPMMEISNPRKGLHDVVSSIVDLS RRRLERGETNVKGFLFWCMVQAQTDAMERGVDVGNAIAGRAKAAAEEAYDILRKQVAY TPTPGSTSSLTPPELSVDWDALMQDTTMDFDIANTWLLDSWETI LY89DRAFT_323113 MTLLDGHSRTPHDTLEQFLSGIAMLCCLPCLCVVKIAEKTRSSE SSSRDRTGQRKEGKGVEDIEISGGLKIEK LY89DRAFT_323183 MKPSISLPTLLTLPFLFPLISSTCYLPDGTLAPGAYRCNNATSG ASTCCEIGSVCWSNGVCQKQTNGITDWIRLGCTDPTWSDPACLHACMDITKNAVGVRP CGGITGTSYCCDLTPGDPGNMSCCSTTADLFSVPAATVEATVLAVPVSTFVSSSSSSS SSSSSATSSATPVSTASLVSSTSSPPAASSTGSSGLSTGAQAGIGIGVSLGVISAAAL GFFFFWRRRKNSNGYNATSPKTSEQGYNSEPGQWTGTGYGGWNAFAEPKPSYGQQGQV WSSVTPAPAASAPPEELEDTELRSPAELSSPGHEYHELETPRTR LY89DRAFT_599346 MAPGDHQRGVPPVVSPRTSSNRNAAHAAASAADRSRRTQHNEAS SSPRQATAGDGSQDRIDRQRSNGNTQQPNGGSEDPAVAAANAAARARRRAQQASGEAI SSRPSGTREPRSSASASAVQRQAMAAAGNSGGQWSEGPSREASEVLNRVVISKPEVDL DRERERMAEAIPSSPASQRTPGGLSVVPSEGVDDTGRGGSRSRHDHAASTTREKSNQK FGDYFLGNTLGEGEFGKVKMGWKQEGGVQVAIKLIRRDSVGTNPTRLAKIYREISILR EISHPNIVHLHEMVETPKQIGLILEYASGGELFDYILNHRYLKDQAARRLFAQLVSGV GYLHKKGIVHRDLKLENLLLDRNRNIIITDFGFANTFNPDDELGDEIEYNLSSRDFVK RMELDKILEGGHRRGDLMQTSCGSPCYAAPELVVSDSLYTGRKVDVWSCGVILYAMLA GYLPFDDDPANPEGDNINLLYKYIVSTPLTFPEYVTPHARDLLRRILVPDPRKRADLF EVARHSWLSEYSHVVGFITSTTTTSKDVGSTTVASEEEESGPMIGRSASVRESSKASK QTAVGELGRKHGHVDPEAAETHPKPSKDNKRRTVQVEYVAPRSQTQRGEASAAPAAAP APVVSGSRTRARAESGGPTEVKSAQPTTRRQVSTEKPLPQDPPGAQYTQGRRPSGSSS RQQGMPPPTRPGREVPRSVSETNYMSAHAPPPVSTITRPTTGGSMTSTGSRSGSLALR DRGSYSQPAPPSVAGTNAQGRMSQPKNGTSYNISAPILDSEQSEFGLSSSSSHNQIPP KFARVAGFPEGSAAPEKGHKRSNTIGGIFSRTNSIFGSKRNTDQQEKPEKTKKYPPVS MSGAVPHSDSPRQSMDSRRSISFGFGKKRSGSITGSQTTTLQDKPRRFSLLPASFSLK AIGIGKEYGTPGAPPSNYGDYNRDAYTPPPQTARTGDSRNISGATATQPYAADGIYDR SRDSPRQERRPGNTSSPVQTRYNPQTVSNPRSGPNQEFLPPMNFRQGESALNTESESS LGETIQARRAAYPDGFNAYNDAPRRQTTGQSRSSARGVLQKNNRKFTEAYEQEANNGY GPTHSDHAGSSGAARKVMDFFRRRGRDRGQ LY89DRAFT_676598 MWQGDVCVLGGALLFAEIPSIGRTLIFLIEVAFDDILDSNISNT NQKPGTAQHDSTVVVAVIIIKTPSKLDEVRNCVNWTGPSVFLLTRQTLLSKEDSVNSA FSRLDSSTIFAFGAVGVPGRKEITSLQAPSTIDLSPALAATLLTGQHPTHTFTRRTCG GLQHPQQLLAVDLQSSIFNPTSTNSEPPPNLRAPYRLRICDNRSLLIVVVVAAAAVDS LSHARAE LY89DRAFT_599321 MSRAAEQAIKASYKALKEDFVSNLTGGEISEINYVTAVAPAAVI LWSALQSRQAFFKPYTPLAFAVDFLLNVSAILLATTLYANTPILLNLLLVAPVAIIYS LPKLPAPKKAKIPPKQAKDEAPNPLPKKPFLTTYRGAMLVITCLAILAVDFRIFPRRF AKVENWGTSLMDVGVGSFVFSAGVVAARPVLKEQMAGNSTPLGTRLYQSIRHSVPLLV LGVIRLYSVKGLDYAEHVTEYGVHWNFFFTLGFLPPFMALFQSAFQFIPSYAGLAILL GAAYQIALEFTDLKAFVLTGPRTNLFNKNREGIFSFFGYLAIFLAGQSTGMFILPRSL SAGATGIQQRKRLLLTLSAWSGIWILLFMATTSYKYGLSLSVSRRLANLPYFLWIAAF NCSQLTAFSLVETLFFPQVYKSGSSSAKEEEEMYRSSTSRVLEAFNRNGLAIFLVANL LTGLVNLTVPTLHVSNLAAMGILMVYAGVLTGLAVVLDVYGISIKM LY89DRAFT_788620 MAAMSVTSASPRRHHTELQPLATRPGTPVTPTQRRPSQFLGSMV RSTPAVPSPSIVAARAYYLQHATPIGPDTDALQRRRSLIEEQLLPRRHSVVSSTSNLA EQLENFTFSSESRRLQTVPEGEETLPKIKVEECDDVDMRESIESDEAEVQSKSDLMCG TPQEVLRQVPFQYTHDHLRDWGYAYLGKSATADAFVNAVGLRRSNLAPLQENVKSGSS DLVTIRARVTPTSRERKPFLIQRQFDIKELRSSIPAPKMTGEVLNGSSRQLRRSTRVR RQSVQPAAAETQRRKSLDIPRNEGIASLSKGPVPIHIEYALHYLPVLAAVMLSGHVRK GDTIDLPVPHPAAWHEVVTYIYTGSGTLTSAARENILYLAGHA LY89DRAFT_676601 MLPLLWENLAQILFWFLWLHRYVRFIVNTISHWCYKSVPIPADP KYSSKDVTVIIPTIHNNFEELKESMQSILACQPFELLLVTTSDKYDKLVSFAKTLEVS NIRIFHVPIANKRIQVCEAIPKVKTDIIVMADDDVTWPSTILPWLLAPFENKKIGGVG PCQRVKRLSTGDFSALQYNWLGAAYIERRNFEISATHGIDGGTSCMSGRTCAFRREIL QNPLFLVGFETERWGQYQLNADDDNFVTRWLVSNGWKTWIQYNRQCEIETTLENDHKF LYQCSRWARSNWRSNYTSLFTERHVWTQQPWSTYALHIATFTSLSIIFDPLIVYLTCK VFGWNAMIAQLIFMFVVVKTVKLVGLFKRNPMDIVYYPTSVVFGYFHGLIKLWALFTL RITSWGSRADGDTNDNERMSPRARRSESITLPPGNHPVLVRYKDEKDFFTTSEKQIDS DSDDFSESDLDFGTDASYDYNDSNDDTSSDSQAMKPRGR LY89DRAFT_323577 MKGTGTVYLSIELGHGTVTVVLWSFINSFLRCCCSCVVVVLVVP SKYHHHQNRLASFFPPSPRISTTSRRNCTHSSLLGLGKQFFPRHGVALGLVHFHDWIL LY89DRAFT_690729 MNGRDVSSTQSAQEKLRHHIEVEIRLQRRHMLLGPGLADGETDR RQTREPVLSLRSSVSPDPRTAQDCTCGPATESGGDTWTM LY89DRAFT_324490 MLLCSTVLLLLLLLPAAVCPAAVKLPSWSSMRSGSWYGSTTLFP LLTSAGRERGSCTCT LY89DRAFT_741539 MSSHHGERSKSRTKVKPILRKLTQSEKNSLDLDRPAAEQDGLGI YEYGAASRSSHDIGFVQTGRRGYHARSTSGTSQFSTATTGSGHRTGSFVHPFQQTPRP YTPPIAASYQNSLRESEVSHANSPTLEEDEDQLRQHPFRSPSNLSNRTTSITGATSSP ILTSPLPNLRIQTKPSSSRLALATSHTSLHNSTLSPDIASPTDTMSPVSAIRTSMDKG FRIRSRSEVDTGVRAETIQEARRKFQEKEDAKEEKAAREEIKALEKRQQKEARTYERS ARRSSASEHPRSKRARSKSDLTMQEKGGDVFVGRGYDSVPVQTPPFLGEGFEQPRRTN TAKAKTHSAWTKFVMWLKTRWIRLKK LY89DRAFT_599224 MSPSKPTIIVLHGSWHSPPHYERLTSLLTEAQYPPICPTQPTFD AKPPTKTLYDDADFVRSMLTELVEQEQKEVILVLHSYGGVLGTQAVTEDFSRSAREKK GLKGGVIHLLFMTGFVLHNGECLASPLGGSLPPFIPVEEDGSCNMLEPARRLYNDLPT AEQEHWVSLLRPHPEIASLTPLTNVGYKYVPSTYLFCENDQALPLEVQKSMVASVEGE VFGGKMGEVSCGAGHSPFLSMPEKVVEVIDGLW LY89DRAFT_741541 MAQTTTSQILVSVRPPRPTTTTTFQSSSARTTGTTSGLSSSSSS TSASIVSVTSTTSVPSTTVSETSSTLTQTGIASASLQHTPLPTSTPGVSVSPTTSTSI AAPNATFPSGFFEFLFLLWVGYFLLTSRRT LY89DRAFT_690733 MDILKLLSRSTKQTTRTRKDAPSTKLPSAGTSANPQLFYDAIPE ARGKKRKRGRETEQVQAQDEAESNVNFFEEKASVSKEKKSPAPKLATKSESKTDIVAE LLDEGECRQLLRSHRLKATLLPSNKPAKVIKKSKKKKKAVESTKKEEYKQIYPQPLVA FKDLRSTYGISGRMAENLVQQGYKIPTEVQMGSLPLLLRPKIALGESINEVEDANGEI HVDLLAVAPTGSGKTLAFLIPIVDQIMQRRRASEAKEHVLEAIVVAPTKELATQIVNE AKKLSPGTGVKVVGMRKGMRITKEDDQEQDESSGDEGEDEEDDDGEDAEKPRKRTSQP AVKADIMVSTPGLLFSALSTDLAQPASLPTTRTLVLDEADVLLDPLFRDQTLGVWNSC TNPELRVTLWSATMGSNIESLASSTIQSRRSRLDLAPTSHIIRLVVGLKDSAIPNITH RLVYAATEPGKLIALRQLLHPTAKSTDATQSLRPPFLVFTQTIPRAVALHSELLYDIP SEAGGSTRIAVLHAELSDTARSTIMTRFRNGEIWILITTDILSRGVDFRGINGVVNYD VPNSGAAYIHRVGRTGRAGREGGVAVTFYTKEDIPYVKAVANIIAASEKAAGKGESEA GMKRWLLDALPTPSKQEKKKLKLHGVEARRGGLGEKNKEGNVKGKWKSQISTKSGYER KLEHNRKGAIQGSRRRMLEAEKEEQNGEDSEWGGIDE LY89DRAFT_724737 MYIRSESHSSHIRTFNSIARLVSSALHGGFNRQACLPVVQGMLN SSISLANDTSFFWQDNSGHSWSDYLHPIVLVDICEEICGGSGMGWYPDTATRLLTWFV PGIFLVSSINLAPIGKKRFAMVAHLFGDPIHSYWSLLTKLEDWDECYDVARSLHLNTP ALESSSCFWQFLLPLHATPIDKKARNTAVIIAAIKELLPPNSNLTITSINQCSCLDIP PTMLNELAGSIISARISGNITTWFAISTYIFGIICAFVSQLGGSTGSGGPSGGRIAPA MLLSWLLPNILLNNEVGQLKREDCIRIVRRLEENARTLEGPHHQECQRNSYPLFRDWT ADANDADWAMFLNSQAWSGGVYSCQLQKPMLSRKVRSWFLVAVAVTSVCVSFSIAFGV LYSFPTFFSCRNVMFIGITFVWLMGPFLTRIIMTNAFFGHELRSRWRILVCKDIVIGL SVIILLVASSCGLGNSCRCWAGFNNKIKGVVLDPGQQFTENNDYIYPILIGACLFAQY LVFKTALYIGRSGLGIMTWSSKDRRASLPPRVMSQDVESVDIADSGSEGRAMLGDDSV HELVVYSNWD LY89DRAFT_741544 MPLVHNPNVPPEPGSRAGALIALQVFFVAVALLVYCLRVYTRAF ILRSIGHDDYIMGLAVILSIGLSINACISTTFGWGHHLSSIPPSNYSSILITIWIAEL LFTLSTSLAKISILFFYLRLAVTKTYRTIIHCSIGFIIIWAITFTLVVIFQCTPIPEY WNPTGTSCRDPEAALFIHGLTNSLTDVYIYILPMKMVWEVQLPKRQRMGLIGIFAAGF LVCVAGALRLYYSILTQKSTDTPWEGFNLWTWESIEINLGIVCSSAPCLKALITKMIP RMFGSVGGSKGTGNGDESGRRGIGMGYLESGRRERDRERGESEDELTGRDGNECVLVA KMDVHQSWLKV LY89DRAFT_629164 MLSSLLSIAFLLSQAFAVTQPANTTILGPYGHSPPVYPSPNTTG IGWEEALIKAKAFVAQLTLEEKSDMVTGTPGPCVGNIAPIDRLGFAGLCFQDGPLAIR VADYASVFPAGVSTAASFDRELMHERGLALGAEFRGKGAHVYLGPVAGPLGRSAYAGR NWEGFAADPYLTGVAMEETITGVQANGVQVSAKHFLAYEQETQRIPSVSDADGFDEIQ QSVSSNLDDRTMHELYLWPFANAVKAGSASFMCSYNRINGSYACQNSKTLNGLLKEEL GFQGYTVSDWGGTHSGLSSIEGGLDMNMPGGLGEYGEYLAPLSHFGGNVTAAVNNGTL DIARLDDMVTRIMTPYYFLGQDKDFPTVDPSSALLNTNFPLDTWLRNWTLTGPSSRDV RSNHSILIRKIGAASAVLLKNVNATLPFKAPKSIGVFGNDASEDTQGFYNQVDWEYGT ISVGGGSGTGRLTYLVTPLDALKEKAKETGAIIQQFLNNTLIATTDISTLTIPTPPEV CLVFLKNYAQEGADRTSLDTDWSGNEVVESVTSYCNNTIVITHASGINNLPFASNPNV TAIIAAHYPGQESGNALVDILYGTVNPSAKLPYTIALETDDYNGLPTTAVNSTDPYAW QAWFDEKLEIDYRYFDAQNMSVLYEFGFGLSYTTFELSDLAIAKLSNETITATAAPAT ILPGGNTGLWENLYNVTVTLTNTGDVAGAEVPQLYISLPSSAPAGTPPKQLRGFEKVF LEVGESASVSFRLMRRDISFWDVVRQDWVVPEGEFVVSVGFSSRDLRVRGGLSVL LY89DRAFT_690735 MVTRIITPYYFRLKAAHVPTIAICFLAFSVLILLLYSYAFSKIQ ASATLGSLHPDSLSLSALLSESSEGTMLLNLISSVSNDLQG LY89DRAFT_690736 MDASLRQLPAETLPIPLAAITFLVNEVIVESGEPREGSRADEGL APPGGVVYISAFQSCVLKILEIIFFFVGRVWEVKQDRCRDVLCVCMYDR LY89DRAFT_741548 MGKRGRESSLAHHRKKRKPDFPAWYGRVLSRHLYDDCDPEDFDE DLFELDDEEKQIVDNDLEPDECYCDGNSEDSEGGIKENPNCICQREDEERSVQGSDAE HYYEMKEVRQERKRELYKENTELEKEKSERIVFEQEKEDEINAAWKVFKKKAKKYKKK GKSIPLESLRT LY89DRAFT_658392 MLIDEIGVFVSSADSTLVLATTDTIASEFHSLGLGSWLSTAYLL SMCAFQPLTGKLSDIYGRRIVLVVGYALFGLGSILCGVGPTLPAVILGRVIQGLGASS MTLCVSLVITDLVPLLQVAFWRSYMNVVMTIGRSAGGPIGGLLADSIGWRWSFAGQAP LLFIAIIIVYFFLPNTKSAPIVETQDRKGRLKRIDFVGSLLLAAAIVLLLGALSLAGQ SLPPLDPIILGSVAGSVVLGAGFVVWEVRFAREPVFPPRLLVQRDIITPYAIVALLLG AQYGIIFFIPLYFRISLNESVALAGTRMFPAVLGNTLGGLFGGLLISRTGLYKRVTIA GCVLCTLSYGILILRWRGETGWLETMEIVPGGFGLGICLSSTFIALTSGLDKKKKEHE GVNGQSKDMASATSGFFVMIPLGLILGIAIASSVQVGMVRSLLEEGLSGFEGAAEIFE AVSTDTNRIALLEEPVRVVVVDCYVQSFRWGYGIPLAASAIATLLSLSIREYPLRK LY89DRAFT_788632 MAAVQPQAPPSQCEIEIPWPLCAVTLLVLALNTMAQPAGRLCGH HRLRRTYLISSPLMCIYDLLFVLIYPLYICTRYSVNISTGITLLLSERFSAPVPEEKF HALAQRTWLRWLFFVLGPLPLTILLVGSKGILWTQVIGSIFFVDWLVGEVLIYLSTTP HFSSQEHENVPDMPDLMAYTGYTFVGFTILAWTWLLWTPFLRLESLQQNPFLSLAINL LFICVVPGCIAWALALGPEFLGLGRFLERHMTLSRWMCLAFPDYDGYYFLDTGAWHYA VVFLANVVFGVLAYALYYDPRGTEFPSWFKWMLKT LY89DRAFT_324853 MTLHICVRILPRASCARQSSFHVQQCRSICLMTETFGKKSPASS SLIHKLVPFEKYTAPDKCIIDLHYAPPKKGNSRQPQRMSLKSAMDFNINPGWLLVLKQ STEFNERPQYKMEPVTTIELQTTALENAGKGSQGSRIFRLGAKTSQDAFRKLMDLMWH YLCRRQLVEVQVQYHRNEYERNKKQDLDPFEKMFDENLHWRADIILKAMPPGCGMVVD PQTDNETVVAWIMGPPYVDKQGRVYPPNNKTKVLYERRDKAIERKLILEKKSSNRLEK LHVAGSEEGTPALEVGIMGGKADENAVNWQSLKIETALSRRQLETALEKRRTYRKQIE GQLLRRAELREMRKLQRQTEQSGQ LY89DRAFT_741552 MAHLPHLPLSRDIKDFIVCREANIPKEDDLASFTAALKNVMIRQ TMATLRNTSSIDDALALGERVEMMQIRWDDFHLSQMMLENKPCYSTRYIRNARFHTYR LILDNASQLSDRFGVDVEVTKAFFEAKAVHLREFLDNPEPYENMGGAHLRFIWNGRNS GIWSFLLRASA LY89DRAFT_676612 MAPQIHLPNTIAPKTSSTSASLSQSATNRHAQSNSRSSGQEASP RSLIVTLKVPPKKKLIVILKVPAKSILITLKPRTFGTMGNTPESLQAEGSEGIARPAP SGSNEGQFRSQQAGPSQAGPSQSRQDPPAAEPIVERREIVPDPTTLSPHLPYSVIRAR PENDNVTVDDTGVPIEEVHAFIRRMLRLANILGPNPTYPRDSEIHQQTVDGIVHLTMN WQSVHELTTDRYSLEHCTLRGRNVGTAIFSRVQATNNAPVLEHILGFLEEQAELAEQS YAEASANPVEAADFQQWGYASWMGTQLMDQRFFEAARDALMDGVNAELERNEGNGGLA MAAADAGSSMNGGEGNSRTL LY89DRAFT_676613 MSLFVPESAAWFTTIILSDNMILETERQIPSMEQNIFRNPLTLQ PNHQSQTIESQEQERTRKMAKSQSQTMRVHTPTLSTASSPKTFAGLEEEEKDFEPAPA LSPTPTPTRNTRPSPDLDLIPQIQPEKFIPDHVEEWVDLLSYHGFLDGTYTDDVLKTD FTHRSIVIFTSWLGEEKSDASSLSRGHVVGAALFEASFKKRISIYQLVAHLEVIADTF RKLVEKEKDVKTEEVLEEGMFPIKKIMQWCFMLLDGMIVEIKGLMKNMS LY89DRAFT_324880 MVRPRRSSAASDESQGTARDQELGSMYDYLAKIILLGPSGSGKS CLLHRFVKNEWRVLSSQTIGVEFASKIIKVGTGARRKRIKLQLWDTAGTERFRSVSRS YYRGAAGAVLVYDITSHNTFTALSSFMNDARALASPNLTILLAGNKVDLADSGMLIDT GPSSLPPATPSSISSKSSQFPFDAGKSVTSSPATNLGLGSQLRATVAPDGREVSAEEA SRWASSNNIPVTMEVSALNGDNVEEVFNRLARMILTKIELGEIDPDDPMSGIQYGDGG WMGDGDGASVKSGMTIDEGGSRRRRKPKSRAGWGGTLGGAREWEEVFTLGTRRRGSKC C LY89DRAFT_690741 MKIEGRTFVVSGGASGLGRACVEDICKKGGYVAILDMNEELAEE LVKEIGGGKTKFFETNVLETESITAAVKGTLGWVKETGREVGGVIAAAGVSTPAKILD RNLNPFSLSDFDFVMNVNVRGTIDLVRQVLPHMARTEPADADGERGIVIMVASSAAFD GQPGQVAYSASKGAIRSLTLPMTRDLARYGIRVVTIAPSLFDSRMTAMMSDKVRASLT RVMEFPLRPGKPQEFSQLVTHSIENIMLNGVVLRLDGGMRMPSKM LY89DRAFT_741557 MKYSNATDPRDKIYGLLGLMHEADRKSILLQPDYSKSVVQVYGD AIRQMIRENEDDGATNLNEAFHSIERFEDEVMDFPSWIPRWDRPAMLKQVRTDPDPKV PVLKGLKVARVQHVFPLQRKSGNSEWMRGIWRTISILLDAGPHAETKEAVFLNTIAGA YDEEFIKNVEAASGDSLKLLLDMVFMGCGFDLEAYMDPKLVEDMMEVNLDACTVLAVS IREYPFSLFITEDNNLGIGAKAAKVGDTVCVLYGGKLPFLLRPAGS LY89DRAFT_538881 RLLKILPGLEHAVIKCELEVVDLESRPRFEALSYVWGNPNPPNT IECNNQPHSVTPNLALAIRRLRMPDGTRVVWIDAICVNQEDLNERSQQVQLMREIYSQ AWRVVVWLGEDEER LY89DRAFT_658401 MSGSFALFIKRVEWYKWTIPVQGSSFRASRASCQLCHHLWHLLD ERDRLRLAGPYIPRRQLPDALPWLWLYIWKHENGRYYLTLKEGDSSQPENSRNLCKAI KIREEKLNSQSASAHITIWTSDSFELAKTWLTDCKVGHKSNCSTGWEEDAPYLPARLL YVGENPTELHLQETGAPEFAVTDIKYLALSYCRSKLLATPGSQELTLNKKDEWKVHIN ENELPLTFQHAIHLARKLGFRYLWVDTICTIQDCPEDQKLASQYAGKVFTNAHCTIAS PSEANEGFDDNNLNWQSRSFQERLLSRRIIHLGPKLLFFECSTHIASEAIPAGQPFRE NRGFWQRRMSKPGNKPVPTLFDPIAGYRNSFHQLRDNRSKNLTPELEFFLGARWAELV YRFTSCRTTGPLDRRDAILGLVHTIQNGDRDFEYKQGLWRRHLFFDLLWSVESGASER FPGHMQRTRSWS LY89DRAFT_741560 MGGPAFGFSVGDFIAGAQILTQVIKAFKEAGGASSKYLREVSFL NSFKATLEHLERFVSSTTSQPIPSSTTATTLPSQTQASNLPQDISKLLQDIKAPWQEF KTFLDRYETSLGAESSRSRLAKVPRTVQFTVKDIGGKVEKLRNQVEQPLQAVNSLLAL HVIDTLSDLPNQLLSPSQRAQLIEAIKLADIPTSLSLQIEQLQRVASSYNMKQDQQLQ IVETLKTQLEERITGLQSVLEVVAEQSVDLNLGARERGADATERHEEQVEMTRGVSVG VERLRGVLESRADQLQSALKEQKQLVLALKSFLEDKSQSFLTAPDAESPSPTITTDTP KMTENSNTNSNHWPSTLSTAYFAALLLSSILSSVTTTALVTRTQNRTPLGAGAGRPVF LPVDHSLPGLGALNSIRIHPSLLKSPKPLENESASSGSGQVTPL LY89DRAFT_676618 MTKHTITPINKHWTFKQGDKKDSKFLPVAQFPTNVHLDLIANNI IPDPFIGKNENDVQWIGEVPWTYKTTFTSPTLTKEQRGDVKAVLAFDGLDTYATVVLN GREILKTESMFIPERVDVSTYLKLEGDNELEITFESAYLKGCAIVERYPDHHWGCWNG DTSRLAVRKAQYHWGWDWGPTLMTCGPWRPINLEIFSSRISDLYFTSDVDESLESAKL VAKADVEGGASEVLFVISLDGKEVASKTVKTSHGHATHAFEISDPKLWYPVRYGEQPL YNITSHLLHGGSEIDSQSKKFGLRRAKLVQRSMPEQPGTTFFFEINNIPIFCGGSDWI PADNFIPRISKEKYYDWVKLVADGNQFMIRVWGGGIFEEQAFYDACDELGILVWQDFM FGCGNYPGFPEYLALVKTEAEENVKLLRHHPSIVIWAGNNEDYQYQESEGLTYDPENK DAESWLKTDFPARYIYEKILVDACKELVPDTYYHFGSPWGGKNTTDPTMGDIHQWNVW HGSQEKYQNFDKLVGRFVSEFGMEAFPNIKTIESFLPLGKDDPDCFAQSSTVDFHNKA DGHERRIALYLVENFRYAPDPLEQFIYSTQLMQAECLASAYRLWKRQWKGPGKEYCGG ALVWQINDCWPVTSWAIVDFYLRPKHAYYTVKREMAPISLGVTRKEHLIPKDRHTRAY IDKKTNIEIWGSNLTLDDIRADVVVKAFDVISGEETYSKTVKSAFLLPENRSTEIIDF DVPVRHANSGEEARTVVAAYLYEEGSQIARYINWPEPLKYVHLQKPKRLVAELSKDGK TVDLSAEVPVKGVAVECEDEGVSFGDNLVDIVPGEVVSIAVKGAKKTTKITTRYLGMV LY89DRAFT_599037 MKLSSYFPLSSLSSALLIGTAISQSASVLDLSTAKWTLSSPQNE SIKVSGSVPSQSHLDLYAAGVIPDPYYGLGDFDLRWVTYLNWTYEADLSGLDTSNGLS TWLLFNGLDTFTSISLCGQHVASTNNQFRQYYFDVSSILSSCSSSPSLSINFGSSVNI TADIANEPGQEKWPFAVEGLFEFPNRQFMRKEQSDFGWDWGPAFAPAGIWQPAYVVQL PSSSIYVRNTLLDIYREGQLNNLPPDQSAPWIVNASLDILGTVPSTASMSIEIMDIDN TTVASGSLENILISDSTITGSVTVDGETCQLWWPNGLGAQNLYYFKITVTDGEQILAS VTKRSGFRTIVLNMTPISSAQLAQGIAPGNNWHFEINGHEFYAKGSNFIPPDAFWPRV TETKMRQLFESVVDGNQNMLRVWASGAYSPDFLYDIADEMGILLWSEFEFGDALYPVN EEFLENVREEANYNVRRVNHHPSLALWAGGNELENLELPEAEYYDPGNDKWRQEYEQL FLDVLLPSVYGNTKSISYIPSSTTNGYLVLNFSLPIPMIERYNNATPGSIYGDTDHYD YVSSVAFNLSSYPVGRFANEFGFHSMPSLQTWQQAVDPDELYFNSSTIVLRNHHYPAG GTFTDNYRNSSLGMGEMTVAVDQYYPAPNHADSLQNFSAWCHTTQIFQADFYRSQITY YRRGSGFRERQLGSLYWQLEDIWQAPTWAGIEYDGRWKVLHYVAKDIYQPVIIASYWN YTTGDLTAYVTSDLWTGASGTASLEWYGYDGSSLAPKVDIPFTVGALNTTMVYEVNTN YIPYNLTNAFLKMNITTAGTPVNTNDTQVYKHEYYFHALDLSQVKLQDPGLVLSYDEG SGNFTVEATTGVAAWVWLDIPAGTLANFDSNAFWLSPSDGKKEIGVTVKNDTSAGKWV EGVTVSSLWNNTLA LY89DRAFT_324978 MSQILWLAGLMSSRVDARNLVIRRKWGKLSAVTGSDLAAFPLGS NLFRNSVTFSSQNVKTSSIIRRLLRRIKIRGLYRLSSKKCSSECGCFLCVAEFKPMT LY89DRAFT_599306 MSMAVSRAVLRRSAKLPFGRTPARFESTASKASEAAKDTASKAS EKASDFQSRASEGLSRVSSAAGPAISGAAKGLSNALGRVGGRTGRLIAFVERQIPPTI YYARVGLELSKIVFQGQKMTPPPVSTFQSYFQRLVKSFRNPSALLNSTPNATPSNALQ SFRNINTAQLVSGAVIVAEVLGFFTVGEMIGRMKLVGYRGEHH LY89DRAFT_690745 MTPSATEILPVMAKVSKANIGVYTNPEHKLWVGEAEPSVESVEK GDSLKPGEVTVGIKSTGICGSDVHFWHAGCIGPMIVEGTHILGHESAGVILAVHPSVE HLKVGDRVAIEPNIICNECEPCLTGRYNGCEKVLFLSTPPVPGLLRRYVNHPAIWCHK IGDMSFEDGACLEPLSVSLAAMQRSGVKLGDPVLICGAGPIGLITLLCCQAAGACPLV ITDIDEGRLEFAKKLVPTVTIFKVERESAEASAKRIVEAFGDIEPAVALECTGVESSV SAAIWAVKFGGKVFVIGVGKNEMTMPFMRLSVREIDLQFQYRYCNTWPRAIRLVQSGI IDMKKLVTHRFQLEDAIKAFETAANPKTGAIKVQIKSED LY89DRAFT_599031 MPPIPQGPIKLAVLDDYQGIAAPHFECLKPAFDITIFRDTLLPY NHPDTPDHAKQELVARLKPFTVICSMRERTLFSKELLQQLPNLKFLPTTGLRNRGIDM EACKELGIHVTGAKGTGRSDSTKVAGKKRKGPDSTTQHCIALILGLARGLAWDDKVVK EEGWETSLATGLSGKTFSSLGLGRLGGTCAKIMYQSFGMRILCWSSSLTQEKADERAK ELGLDVEDEDGEKTFKVVSKEELFKEADVLSVHYVLSERSRGIVGKEELGWLKKSALL VNTSRGPVVDEDALMAVLEKGDIRGAALDVFDIEPLPLDSKWRTVKWGEEGRGKVLLS PHMGYVEEETMQIWHEEQAENVERWHKGEQLLNVLV LY89DRAFT_741566 MSKMSLTDCAFNAPASAARRHLKRRSQELNCALDSTRERIDHRP HKKRCCEGFLGSKHPTQFLTLWANRQSKPVYLKVRDCANADGSARWYAEVRVLQKRFV TPISSSNKVRARRQASDMACWWILYGAQLMKAGLMSLVSSDALVLHPILTVIWDEYCS SSELSRGDTEGLAVFPEPEILPTNTTRTQQTFQKLYPRVFMTPKRMMNKRRTLRSNGS YRVNRGKSTDYSTASNTFQNMAQSRNISKENVPFTFTLELPIRQR LY89DRAFT_690748 MNSWEYDDQEENIKEVATLAKTPRVQPKSQIPTKSIYQKPVVND EKEENKENAGAATFDLPFHPRGH LY89DRAFT_764047 MAPIDKTLRRRVFGAQFNKMDISPRLKRTYADAFFHLNKVEFSE SGICTIRTKIRKIDFDQAYYCAPFMLYEISRRMKYHCNFIVVLDRGQADLEIFEFDDR GHIILYKQIECARTFDNDQDARRELCNIALHWLLHEGPLERSQDRDGKGIPLDYYDTI EEDSGSEDELNSTQSLAADFLEGSAAVEVETIGPANIVELKKGQLGLEKDTASKLKRA ERTEYYDTMDLDEERFCS LY89DRAFT_325089 MFFPVIGAHSAAYLSALGLIVIQVGIGIILKSSQTNGQYAFSVS GSVTISEFFKCLLSISFILRECLMKISSIRRGHSLLPSSPRSASSEELSVESTEFRDI EDEKRAEATAPSFEQYQGSSVYKLFMLKMNEVSMENRFGFAKLALLYALINNTIFVAY KLADPGTIAITRAGVIFITAVVMVATLGTKISKIQWLAIIIQLCGLMTTQYRPDTGIS YPLFTYVVLMTQVFISAVAGVYNQALLKSEKASLHAQNAVLYAAGCIINIIIHVVIRF IKPAEPSFFKGYTNWSSFLVIISNVFMGIAITAVYKYANAVVKCLASAVATGILLYLS PILFGTAMSPLTIPGGLIVFISSWLYMDSPPPKDPHLDDAKDGLPEKRTLFSSSPQPL WRVAVLALASMITVLIISFMEVADFSNLNDNRAEDHSMIHSPFNSTVAYIRFNSWRLE RLELLDKYKPFFHSVHYSMPNITEGGPDFVNTTHDNWENQLVMYIPMARTMQHILDQP PDSPDAKIKGIIYYHFDVWIDPMDFSDEDFSKIWIARSRSDNGEGGGPTSVCMTHRSR FLAWPGMHADKNWHYSILLALQALKKADTDFKFDDEEWCTGWSDIYYIPRHLWPDYIF LSAFFGAFDSFHEMTIPTMVHILDQSRRKKPYTSIINWIGDCYGGCCNRGGDLHSFVN HRCGHALNYLGDSKIYMAQYDRLDRVAKTLGKPSMSPAWKKVPDSERDWTTFIKGLSQ SAITAYQGFSNKTPENAYQRKNMPKPIEFNSTGWEAPGVDPYTYWYRMKPPRPLNLTE IAEIDLKEQQLEALNNGPKEHKEGLVSNTTDLLLDAKSNKGL LY89DRAFT_701657 MHIRKYSKNEHSQVASGDALNIIIPIGGIGSRFSKEGYRYPKPL INIVGRPMILRLIDNLSLIPGDTLWMAANEEIDDEFRLGQLVMKTFPKLDFKFLRLKH QTRGASETLYVITQSMTEQHLTRRTISLDCDTIYWADILTQVRNLPLRHGAVFYFSDE GLKPIFSYIKTDAKQNGLIVDIQEKKAISNKANTGAYVFVSGEKLQEWAAKSIDANAK KLNVAEYYTSQLIGMMIFEGKLPFLGLPIGMKDFSCVGTPEQLQDLLLQLRIEGKENQ VQKRRFCFDLDMTLVGVPAVAGDYTTCPPIWKNIKLVQQLHKAGHYIIIQTARRMRTH SGNVGAILKDVGMLTFDQLAKYQIPYDDLHFGKPWADVYIDDLAVNANLDTEREIGWL LDSTDSILPSTAASPTKPRSVLAARDFNTVQFVGSKVLKSSKSEAILGELYFYSHMPS RLASIFPTIFAVDYLEETKTYTLEMEYRLGLTFSHMLVGRSITKGRLLTMLAGLHKIH TTIPSLEQQQIEISPALAEKFEEHSIEVTGAPNLYANYGTKLRSRYFANKERYDTLGP DAAKYFERLNEFLDTYEAEEKGVRTQIIHGDPVFSNVILSPDESTASFIDVRCQLSST LTTEGDLHYDLAKVLQSLMGYDHILFLSLDALPSSSSPLLEEADEKILENLRGIFWEW VEGKYGLGVHRKTLLRITASLVFSLIPLHKEELGGVFLRLCGKTLELASGSGAGTSHT SMGHSLL LY89DRAFT_325056 MLRSLAASPLPSTSMDASLEIVSYIIPTLIAIFTVPVIWRFAKS IRSAKPVKDDEIYQDEDGKATEESMKEYSTKRSFIVIFVGTGLGLASSFALSVVATIE SMNIKYVTIVWLLFWSWVLVLLQVLDVFRETRFVTRFHRGVRSSVSLFLTGILATIMI LSQQPDFPQNIAFYVTLGLQIFGTLTAGTTFLFIKRRPDVFAENGKVVERQLQTSIWT KYTYNWSSDILDLAATKLIEPEDLPAMDAHVRAKDVKKSFREIILKPTTSLWLQIFWA YRGALVYQWFMVILSSVVDAAPQLAMLQLLRYLEVREGFGFIDPRAWLCVGSLLLATA LETLVDYRITWQMWSELGVPIRSTLTTLIFEKMMKLKDCKEPPSAEKDEKDEKDKNPN GANGNPHGHGHGHGKPDPSKKDAAKKGKKTQSQQDIINMFAVDTNQVGVFGAVNQFYI MFASKFAVSIVFLWLLVGWESLLAGMFVIILFFPVNKYLAGRYGTVQKELMKARDKKT KVISEALQGIRQIKFSANEAEWTEKINDVRAEELILLWQSKLNNLNMMLGSDIAPVFL TVLALATYSYIHGDLLPSVAFTALGVFMQLEGILGMVPFLMMMGINAKVSCDRIDKFL QSDEKPENTYPGDSIKFDNVSVSFPSMSNDQEPDPEEDEEARQARENKFVLRDLTLQF PNNALSVILGPTGAGKSLLLAAILGEVDVLSGNITVPRAPPVSERFDSKATAADWIIP SAIAFVAQTPWIENASIKDNILFGLPHDETRYEKVLKACALADDLKLFDDGDLTEVGA QGISLSGGQKWRLTLARALYSRSGILILDDVFSALDAHVGKYLYDNALMGELADGRTR VLVTHHASLCLPRAKYAVCLSAKGVLEHAGLVKDLRETSAFKNIVQAEDEEASPKEQE ANADEVKADGDTNGTTPKADRKPPKKLIEDEARETGSVKRSVYMTYLKATGGYPFWGF VLIFYIIAQALNLSRSYWIKIWTSSYEHKNEMLHGVVSYALQTQFGGVNASSTLSDTA QYSQSDSGFSEMFSTPLVSKLFSSFQSSDASIGYPVSPAISTSFSPSSHAQSSQMSVT ALPIEVNNRSLTFYLVGYVVISMVSTVIDIGRFYVVYRGSLRASRKVFQDMTYRVLRT PLRWLDTVPTGRILNRFTADFASMDSQLSSNFAQVAASMLSIVGIMVSALLVSPYIII FALLLLFFCGRIALRYIRGARSIKRLESIQKSPMISHFTASLQGLSTIRAFGSSQVFE TRMHNLIDAFTTATWHNWLFNNWVGFRMSMVGSLFSTVVAAFIVATKGIDASLGGFAL AFALSYRRTVNMTLRLLAATELDMNAAERVFEYSGLAIEPEGGADVRASWPEKGELEI TDLEIGYAEGLPAILKGLNVHVEMNQRVGIVGRTGAGKSTLSLALFRFLEARRGSIVI DGIDISKIKLHDLRTRLAIIPQDPVLFSGTIRSNLDPFDQFSDFQVREALQRVHLIPS TDNTPVPEHDLSTESSATAVGSSATSVTAAEPANKENTNIFLSLTSPISSSGANLSQG QKQLLCLARAILSRPKLLLLDEATSAVDKKTDTLIQRSIREEFANTTLLVIAHRLSTV MDFDRILVMKDGVAAEYGTPKELLEKEDGVFKDMVAQSGEKTELESMVGSS LY89DRAFT_711421 MPILKISSDDDLGLSSEYLSFSTPNFNVKLVTASQTLASLSPKI NTSFDFSPFDLLSKRSANGNYHIGDIVVRYRSTGQSWTNINTATKRSPVISFKENDNA TLAISNLSPTLPSNIPLNITRAWGTTSSGDLSLTFHLHNTASHSLEIGGLGFPIEFNS IFTTRTAAETEAKCSLTDPNIGLSGGYLRVTPLAGAGASLVVTPIDANTTFEGWRFLT EDTNTALGYQSQTFEGFYSWEVKTLGYVEQEWNATTPWNEGTSKVLAAGEEVLYGLRF SVAENIREIEDAVKETGNPLAIGVPGYIIPSDMTAKLYLQYNSSVSTISTSPPNAINF TLLAPGIYTLTSSSSTFGRVRVLVTYTNNVTHSISYYLTAPLPLTISQLGNFLATKQH FTNASDPFHRGPSIITYDRSVNAPVLQDQRVWIAGLSDEGGAGSWLAAAMKISAQPSA AEVSILEDFVHLTVLGTLQPPNSSAVRKSVFWYQPNATSYSYSKAIDWSTWESWDIAD AYATDRTYDYVHVSALYWALYRVARYYPELTTRASWEWYLSQSYNTVVYALNPSNTGY TSVGLMGETVWGSLLSDLKNENLTSQANILNGLMKNRALVWASEEVPYGSEMAWDSTG QEGIYYWSNYFNLTSTANKTINSVLGYMPTVSHWGWNGNARRYWDFLYAGKLERIERQ IHHYGSGLNALPLLRHFQENPEDIYSLRVGYGGNMGPMTNIDQEGFASAAFHSWPDTL AWDAYSGDYGPNFLGHVLGAGTYVYEDPSLGLVAFGGEVESYENGMVVVLPKDAVRRM VYLAVFGTQVSVDAGNIEMVTYSEKTGSVEVQMAPSIASIPSMEAAKSTILRVEKMAQ VGSIGNAAVVTPGLGTARGGWMVDLSNSTVSVELRF LY89DRAFT_599204 LPLDSLYVAILIALAQRSRATASSHTPARPLKVSLFVSEHTKDT STNVQSHASSPPAWITSLKQYTATIADAYLQKFDDPYHFHASTLHIYQTLYHINILAT IF LY89DRAFT_325264 MTDKTPSPEQLQASSSKLKTQHEARSNGLVHSREVLKKRDVQSP QGYMESSYSPPSSALSLSYSASSASSLQRCSTPPPTTRTQVPCSELEGEEDDFCIANK PSIIPIPGYKSDNEVSHQCTTTDAAVDEKSTEKHNSMTVHSSKVTQTSASTMLHKEDP NRSSSPLHSERSTPDPDLDSISSYGSTHSEDESDDETLSNIHEDSATRAKYWKLHLDQ QSLINVSKSALSNLPPEIAGKTLTALGAVFQSWMTGIESYANPHISEVPSHSFPEVTG TQPQNNPPSYFQRTTCDERKRKSKPESDSDQSDSDQSADENKHPRKRKRNAPFACPYY ADDERYCRWTPHGDFSHCAKDPGFKEVHRVKLGFLQSSLDLI LY89DRAFT_325245 MAHSRSTQPCEIKDLQDFKPLTGQQILRLKKREHNGLGEEERWK NIYNICFPNADQIPSPCKSYARLLISRRMILIFREVVNANYELDHVCYYDRVLNDVRR SLIHEITHLRRDNNTIPIFADGETASQEVAQHVDNFIRPRIFRDITRNSELNFAPQVF VNSSSRVPECHTMTSASVMDGGGLESQGFRSGTHDSTFTSTFSSDHYLQNQNQSPTLT NGNENVEWPAPVPVPSNANMLPLAAAQYFCDFPEGQTQYGLGQGADQSLVQQNISDRF GEETMDDSMPQFGFGQGGLSQCELCGRSRNGNGNGNGNRVMDDSRFQFGQLQGEPSQC SSCGGNRNATGNGTWA LY89DRAFT_325395 MVRCVSVMLFRSLRTRINKFVARNGMNDASGIGIVDNMYTSLLF FFPSSLSLSPLISTHRLSSAIFPQCTTTTTLSSTHASTKTQQRPQCKPFQSQRHNHRM KSRPAMPSPVQSSPVQSSPAQRTRNATSKALQRILPQPNHPISQPHPAPLSKAKREKA KRRTDPSLTCLGKEKKQRHGNSHPQFSGSYLTSQGSGFEPRENIVSFTHAPDRAPTSG KHAKEKNKSRPAAIKFSFTRYRGTGSSASLFLFLSRRKSKQPQAFLRSSIPTHTSVPR PCYGIVANSSSSRQASKQAGCKYATGHP LY89DRAFT_599173 MPPSAPQSAQNYVENGRFYHAFRKGKYMFPCDEAEMDRMDIYHK FFAVARRGHLHNVTLTKNIHGGPRILDLGCGTGIWAIDVAETHFKDHAEVHGVDLSMI QPGLIPENVGFSQRDIESPWYGMDVDWDLIHMRMLNGSISSWPDIYSKIFRHLKPGFG WLEHVEIDMYPRCDDGTLPQDSHLVQWADYLLEATARAQRPLAYNTQTRAMLESAGFV EIQEQVIKVPLNPWPADPHMKDVGRWYNLGLTQGLEALSLGPLTRVNHWPVEDIERLI AAAKRDICSKRYHSYCNM LY89DRAFT_676634 MAVAVAVLLAGTDGTVAASQGSAVSVRLWGQSWVAALAWDPGSW ERDGAKPCVVVDCGGAVQCSALEVVLVLVVPGLKLEAPARLKTSSREEEDDLTRHNDV VGVGGGEDDAAATAGDVM LY89DRAFT_325364 MCARLSLFSSTLLSYQNPLPTPLSSSLSPLLGSLKHGACELISK SIVSSHSSSSLHVKQITHLTSDGEFFKIKKPIVGPGRTPNSTRTDWIPITWSRRGTSL AQKNHVRPPARTHTRGDIRLYMRLASSAESEIGGASYRIQQHHRNASFSYSGGCFLIQ RSLDTETLCYLAPILPCHLTLFWGGELRNWGSWRLLAWLLVFRRRIGRK LY89DRAFT_325311 MQQQMRGDLELLQLLLGQCVQYSTWYVGKAPQSPTLTQRHPSIH HQHQRGGSPHAGGLQARRELTLLYSAFSTGPRLLVGTRCALSTPAAFGRLYHRSQGPP GRWVRQGPQNNLLRICQGLGQQGGIRVLFSCCCCCCWACCTVLTGERGSVCEGGKGGV EEAISNWFYRRAMSCETERRGRVKRTQPWE LY89DRAFT_562602 KTLKRRCKEAGYYSCICCQKPYLTKTQANARWLWGIAHMFWTIW EWSQILYSDEVTFQVGGKKCKQRCIRNKKERCHPDCIQFQMHRGGTIPFMEDGNSAHG HKTTSNICATWRTSMGITLFPHPAVSPDMNPIEKCWRRIKQALHRRLRQPTTEVQMVV VVLEEWDKIPQEWINGLI LY89DRAFT_724759 MSSPISKSAIDELHTLIPDLKIITPDSPEYEANIDRWFFLSIKR AGAVVFPTSVADTSSILRFTQKHSIPIAAKGGGHGLRGESSTEEGNKIIARGGALWSE VYAEAEKFDLAPVGGICPDVGVGGFVLHGGYGFLSSAHGLGVDNMLEVEVVLADGSVV CASDSENQDLFWAMKGAGGCFGIVTEFVLKGHEQKNEVWTGKMIFPKTTLKVVVEMGN RILERENKGNAVMGHFWGYSTESEEVMLAIIPFYNGPQNEAEEFFAPLLELNPIVNKA KMVPFSQSGDSATVAGKWRKLSVARSMITPMNADFLEERLNEFEEFLQKVPDAREQSI VGFEIMGTRALTSVKQNETAFADRGNHTTVRIIPIYLNEDNYEVCKKWCFEMERKFQM EFEQRQKDPLLDETTRSSTGVYLNYDGFGLSAKTIFGVNYERLVELKKKYDPQNVFKN CVDLL LY89DRAFT_690757 MIQVIPNLHISNYPTTIPKTITHILTMCTKPLSRRLSKGVTTLH LPLHNQDDITPHIPQILTFIATALAVPNNHVLVHCREGVNRSSAAVIAYLCSKNGSNA ADAFMELYMRKTDICTRRRFLEQIDGWFGGGGEYKEDDVSREVCDRVEKLRLGWMLQG YFIRPTDWVWMQKGGPWEGKDDGVTAEDVTEIEEILLSIEPSEDRESAVVVEDVEAID KVLSKESQEVLLF LY89DRAFT_325509 MSDNRWINYGPRHQRSDDTSLNSVLDARLYAGKKIEYIWDSGYN WNHVTTVLGRADASDGMKLLSGKGKPPPRRRPYSVGPNTCSAVDIDGINRRLDLYTLD MNAST LY89DRAFT_788655 MLFKNMLLVAAGLAALGSAAPVAESVQAHEAEVAERDASIYGTA HTLKMAEDEYTKRDASLYGTAHTLKMAEDEYKA LY89DRAFT_711424 MPNKWLWTRSRREDEEKDCSSVETLLPSSTSSIDEERVSKEWAS RTRTPSCTSRRWCALNIILFIFSLSILANSLHEKHVGILDIHSLLKKTSYYSPVLDKL EIATFDLQADGALFESDHPSRWRNARKPDPEVEAAWEEFDSIRTFPITADEVRKLGKD PELAVKFPEEYGLGAEAYVAQLDIFHQIHCLNLLRHLASAEYDRDPEHGKHPFSIFTG FTCRIAQTYFDAIVEWQEEHALPLMMGRNITRPVEAKQIPAPDAYYEMFPEENVSIHD RR LY89DRAFT_724763 MVEKEKHIDANLPAAREVSSDEEIRHGEWTEEDERRIRWRMDVR MIPTVLMLYLMCFIDRSNIGNARIEGMATDLKLVGYRFNWALTIFYLAYIGTEIPSNL VLKLVGAQIVLPSIVIAFGLISLCHAFLTNFHGLLAARFFLGIAEGGTLPGIAYYLSC FYKRHELLLRVGIFIQGATLAGAFGGLLAAGLSEIPKWGVHSRPIYSWRNIFFFEGLF TVLVSGLAIFILPSSPDKCEFLTPKDRYIALERINREHKETSAEKTQFHHVKRGILNI DNVLCGLGYFSINVSVQSFSLFLPTILQALGWTALKTQFYSVPPYAVACVWSVLIFRL SDKYKIRGPFLLFGSVLAIIGYSLLATSRSNSVKYGAVFLASMGAFPGGPIFLAWGLN NAAGQSIRAVSSAYIVGIGSSGALLAVWTYLAKDAPLYRRGHYINIGASAVAGLIAVV AILYTRWENRMRAQGKRDGRLVGLSEGEKVELGYRHPEFRYTS LY89DRAFT_788658 MDLPDTTEEDRKDESLRAALEGAAATARAKIEQVVPSQMEHAQP TNMPGSQAFGLQVAGPAQLQPNLSNRMAHVYGNAVGFNLPGTAHGQGLSPNQVGYFQN GNTNYQVNNGLATPPQFPQHVSPAEFSGSVLPPTFNGATYHFAARPTQNVPAYPGHLQ NFNQPANLQANGAANQFPAPLQHNAPGPAGYFSVQFGGPYFHFKQPLVYLIGSISTRT NSAYLAMTRWSDCDRARKREFPHHITHAICVSRYPMTSKEIGSSNILPLWVKIDQDEP DWEKWKRQLNDIVNFAQGAIEQNGTLLIYDRRCKVEAPAVTMALLMIFYRVRLEVAKR FVEVQNPEIKLTDALWQHLLDWDHQQPFGPVIPGQFQNDQAKFLQAQQNESIVAQWGH APAPIVRNPWYAALLPGQPNASIPPQWGHAPVPMVQNPSNAALLPGQQNANFPPQLGH APVPTVPILSNAAENLIASAGQSERPYKSLYARPVPAPAPLDQQVSAPLDVPEDVGHP EPQEPAQDEKTSPELSNGSISGLEMGTEWQNNEDYEDFSTLS LY89DRAFT_629206 MAQPSTPTTGPPSIFGTSTDNRLAPANVLTPGSATSSNNTFLMP NSPVKGRLSMEGYRPKVTRTLGQRPACLVNASVTYCGNNQIYAFGGFDQYTDEVYNHV LKLDMISHQWSLVDNYGDIPGVRMGHTATLHQGDKLLIFGGENEHRTYLSDLIIFDLK TAHWTQPAVTGPIPKGRARHAAVLHEDKLFIIGGITGHNNYVLDDICYLDLKTFTWSR AWRFVGRFDHSAYLWGDRVWVFGGLSEDMDKIGDIWWLDLKGSPAFESLPSMGSMDRH SALTRMGGSPRPSYAMAPPAAVGSSGYAANSSSAQVNPPSFQLTSTPPIAPGTISSLK FVSGPNIPAQGSGMHFHVYTSGTLLDFVTPAATITPQECSLSSLELDTLRWQKLAEGR DIFKPGYRWHYCTMNEDGTKAWLLGCPTDPTATDLGPGGFEEYLSDIMEIDLRRYGII GNNLTPPPPVDTSRMLRSAERMAPQPSKGLGSDLAKLFNQAPESGSGTDFTITALKDG DWDDDELMSSSMLRNDEENQQTWLDSNATTSPPIHVHKLILQARWPHFARLYSAQMAE FHTKKMHIPEPYTVVKAFLYYLYTDSIQPEDCGALSDVAGLLVMSNIYNIPHLRLLCV NRLSKELDVEHACIIWHCAGIANEEWLRRRAANFCLMHWGRVVRTQGFMKLPRQALLE INQEIDTEGRVVGGDELEYVGGLGGSRFGFGGAARKASISSNQTQQMGSEVDENEEME MN LY89DRAFT_690759 MATAVSTPMKSHAGLFSTRTAGGRMPLTPSPRTRTAAMSNNNSS PFTPERKTLDNDSIRSSKSTYGGNLSSHFTKSASRTSHRDSPKSNIAKGAQTPRRALE LGVSDFSLTGTGAKTPSSSRSRKGPLRQKSTKTTLSYGDRFIPNRGASSAITTGGSGK LDHSDKQRPKTSGGEGSAALASAADDALAALNSLSLNDDEEPSTYTRPSPNTVAYTDS LASACGVSLNQRILAFKPAPPESSKPVDLRSQYNRPLKNANAASAQFRRRVATAPERV LDAPGLVDDYYLNLLDWSSGNQVAIGLERNVYVWSAESGTVNSLLETSPDTYVSSVKW SGDGAYVSVGLGTGEVQIWDVEEGTKLRSMHGHDTRVGVMGWNKHTLSTGARSGLVFN HDVRIAEHKIAELVSHTSEVCGLEWRADGAQLATGGNDNLVSIWDARSLGAPKFTKTN HKAAVKALSWCPWQPNVLATGGGSYDRHIHFWNTTTGARVNSIDTGSQVTSLRWSPHY REIVSTSGFPDNSISIWSYPTLVRNVEIPAHETRVLHSCLSPDGQMLATAAADESLKF WKVFEKKAGASSSASGSGASGKADMVKQMTIR LY89DRAFT_325582 MSNTQVGNIYQQIISDVVDTSRVDFEEGGVDEQVLEELRLGWQQ KLSQLGVATFPWDPKPEPTPQIANPPTVPSNAGNYQPMNNTPPVQQPQSGLTMPQPSN NGNAPRIKTEPGLENNGNNGAAPLPYSGPTPAQQRAAAHLHQSYGPRAAASINAIQGG RPQQQQQNGQQSMMPTMEQMQQVRQMQQAQQQQSAIPQGLTMPQGQMQGRPNITQDQY QRMLAATTQRQMQQATANGQNGVGGAQTDGAGDEVESLGIIKRFDADGREIAMGRVEI DGLIRQKIEAMGSAMEGGGLMLPLHKASTPAKRSRKVKRLAPALAQADGGDDEDDKDG IKDEELDEDAINSDLDDPDDGLNEEEDEDEGMGHIMLCMYDKVQRVKNKWKCVMKDGV LTVNGKEYVFHKASGEYEW LY89DRAFT_325774 MTTEDVKRGRAGKPKCRTGCRTCKRRRIKCDENRPGCHRCLKFG IDCDGYDAEVLPPQRRPKPAIVSNEGRILLPRNQLPIERALQTAQIFHQPTIMPEMDP DEDYQYFQFFRDNRFHEFDDGSWMRNMMAASHDQPTIQRLISSVAALCRATFFKSPGE DQTKHLQHAYRRYGQGVEGIRHLVSTFGLDSAKTLLQASLLIYVFEMLQGNIPEAVCF LQSTFEHLILPRLPNNSHIPYTHLEPITKYACMDSELLTSFARLDVQFCSHPDNPIPS RSTIIGIAYTTQPYEIPSTFTEICTARRYLEDMIQRARAGLPSTLARDLHKLRKDLPF RDEESLSDAETDHLHSQIKQWQSTFSPIYQRSLTHEGSHELIRASILSVQAANTSLIL SRFHPQTDPNIDPQLQLPDDERERQTCVEIIEMSRKAIRHEDFTRGFVVHEGFLRSMF VVGLLAADDDIKYEVLAVLEEMGERREGVWEAEKVKRAVKATLSRFEEGALVIMRELY ERAII LY89DRAFT_325736 MQQALGSKGSKVLSSLDITCHHMILGNPESSHSVNEDQCSLTLL FGSEGISSLFRTPEKPERSEHVPISARQLDVDFEKCAAQRLYFEPFIHAAQHLVIARC QISIGYLRYAYRPLFEACRSQHPHVVKYTQPDFLVMRVEYCAGLRSFSQEESDDHFTV GRWS LY89DRAFT_542427 MFQLWTSLWRVPIERGNTSTQRSKSTAPSPSPEPSYTAVFAPPP AISSFPPPVPVGVSFQDEREYQYFCHFRDITVVELANGFEPTLWSPYVLRACDNPSIR QLVVATAALSIAVKTPPLRLWNPSNDHHHQYALQKYGQALKGIREMVGGGQDSTRIAL ISALLIFCFESLHGDLGRAVTHVQSAVEMIIKRLSNLPQPHYFSR LY89DRAFT_690764 MAARKVLIVGASGGVGGALLKQLLSTSNPPSIRVSTRSLGKATF PSTVEVVQGDLEDPSSYPRLLKGIDRVFMYANSQAPLQQLLSAAKDGGVQYIVLLSSM TVEFAPESSIGKKHLTIEDAVKESGIAYTFLRPRNSASNSRLFWAPIVEKTAKLWITF PNSHTAPVSEHDIVSETVVFISIQFPSRETASSRSNRYLQLYL LY89DRAFT_599061 MAVEGDAENQTNVNEETPLLPEQQQQQQQQQQLDQQSNEEDDEE PKRTSQTSWYLWRIFWFIVAALVLAVFIKGWIDAGGDFDLKRTLMRALGGGLSGAAAM VLQVLLLMPLRTIMNYQYRFGTSFTAATRTLYQDGGLGRYYQGIGAALIQGPVSRFGD TAANAGILALLQSNSYLKNLPSPIKTIFASLCAAAFRMILTPIDTLKTTLQVQGASGT ALLRQRIKTNGIGSLWWGAIATAAATFVGHYPWFATYNFLMENIPEPPHHPLIVWLLR LAFIGFVASIVSDSVSNSLRVLKTYRQVNDSKVSYSEAARLVILEDGITGLLGRGLKT RILCNGLQGLLFSILWKLFLDL LY89DRAFT_690767 MDLSIKMGTTWLWSLMHSFHLVIHVLHYHLVMLSSALFSLALCS VVQAGSFDLTTPRIELDDRLQLEQFLNAEQATCPIRDNFSIQVTEHLHPGICAHDNHH PTQNTSSVQTADPISPWTIYPTCTTNTTKKFCVFTSQTFHANRGITILTTPSTARVLS RLPAFHTKTPHLSPLSENDHRDPPFVVSAIPGRGMGLIANRTIERGDLIKSHPAIAIF HNDAVTKGHALYPTHYAPLMHLAINQLPPPTRSLFLAMAAHNVTEEPYIERIYTNTFG EDFNNQEHSIVIPETARLNHDCRPNAMYYFDPRTLVHYTHAARRIYAGEEITITYVDP LQTRLKRRAAIKRSWGFECSCSLCSQGVQFVRESNRRIVRINELMKMFGDLDLKVEGK GKKGAKVGGSPEIAELLVGLFEQERLYASIVDAYRVAALAYAAKGMEWRAVMWAMRAV EAGLIHDGPRGAGVLDMKRLLTAPREHWSWGVKL LY89DRAFT_724773 MPSSSQSPNNQSVNATLSSNNHMTGTTNKSTEEIAQSDSLGSRP KRVKVYPELSNRIRAKRPKARTGCKTCKIRRVKCGEERPGCLKCQKVGVTCGGYEISQ PRTRTIVVNSAKPLLPRVTCKIPRFSLPSNKLFNGEVEYQYFLYFRDEVAFDISGPVP EEIWNYVILQATNETTALRNLTIALAALSKSKSRPESAITHHAFAISHYGKALYELRQ IIAAGDESAVRISLIASLLIFCFENIQGSYDQAVAQLRSALRMMRKRLWTDQFFYSRL RSIASMPGLEDDILDAFIQLDNGLRSMVTDPTEARTSLLGIRYLDDDFAMPRVFRDIK EAKNHLVHLQYKAMPYLSHMSDAFMYGDSYNHAPPRSSFDELMIHMQCWTRAFKPLLG DATRQGSLDFIAAAAQRTVGVATILALQRIFLGAGGASNPGLFVPEALEIVDLSRRIS ENQCFKKAFVLDCGVVASLFVVITICRTRHIREEAIEVLESCGERMEITWNAASMAKV GRELLEAEDKALLMHLSHQD LY89DRAFT_690769 MADLTSLPTEILRNIMSYVDKDIRHLLNCTLATRKLSEVAQPQL YKNIYLTYHNRNKKDNAKTAQRQARLLRSLAENPKLGALVRTFKTPQSPKYERPQQLA SDVDESVFISAAKNMINLTKAKLTPSPISDYILANLQSYPRLTDLEISGFKPEEHIWA LAPTSLTSLKWQVPFDWEDDAHPFSTAKFLLNVTEATCPNLRALDVTVRDSGKSLVFS KVDAGGAQIYHAPASPKSGLPQLRHFGFHYQDNRSPVTTKESLLSVFKTYHTSLTSVS VPVDCEYYNRTTLDYLLKIGSILPSLTSLALTEAHSIYFRGPDPNDKLSGSDFYEALT SGFVELGIELERFSAPCIGTPFSERLGRAFGAWKNLHFLQVGDIANGHAPFQEGGRLL DFANYAPQILAFITALPPKLLSLYIEINGRELTIEDDDDFSSIDSLGPEAFSTLRHLH PLDIHAWIYNADGDLIDIPEKGMFWRRLPSRETPCSIEGCTTKQLSTSRMDAIYQHRD LAVSHRVEVLEAKPGKLFEGIDAEEAWCGGLVRKPPAKRRGTQRGWQGEKGVDFSWPF YEGRISAYPMFRTRFWNL LY89DRAFT_563209 AEIKAIQEALILAPSEIDRENILFTNPPDATNITFQFVNVTHRA PTGGEIVLNSVDNFPALIGTKVSAAIGFVDACGLNVPHSHPRANEFLTVVDGTLIGGL MLEEIPDNTGVINATLNGTIPIPMVTATLTNFTRMLFPQGQVHFQFNPTCEPAVFAAA FDNNDSGRIQIANTFFSVGFDDVLETAVGNSESLGAAQLDALKGHIPDAFAELMNDCA KMCGIP LY89DRAFT_764088 MVSKKLVPLNFWLVLVQFFNTINADFTLYAYGTNISGLPVFYMN SLAYIGYQIPSDLGGTNITFTMNDTSLYPQKNTTAGGSGTITGNPKLYIDTSTDAIAQ PGFTTNDTDTTAATTTGFRFLGTDIYWKASDGTLLANFWATETDMDGVWSLVWNEPDD TLDNSTPVVIQNMAPAARI LY89DRAFT_764090 MLFSGLSCFALYLFASSTIAAPHEKREGLDKRQSCTNSATDRSC WGDYDLSTNWYDTVPDTGVIREYWLELVNTTLAPDGVERVVLTVNGTLPGPTIEADWG DTVVVHVTNGLTNNGTTIHFHGIRQNNTIQNDGVASVTQCPVAPGDSYTYTWRATQYG YSWYHSHYSLQAWDGVFGAIHINGPTSANYDVDLGNLFIQDWSHTTASSLFEYDETVG PPTLTTGLINGTNMYGTLGSYYEQTFVSDTSYKFGIVNVAIDTFFKFSIDNHTMTVVA MDFVPIEPFETTILSMGIAQRYDVIINATEPVGNYWMRAIPQVTCSSNDNVDGILGII RYDSTSTADPTTTGYTYTDSCDDIPLASLVPYLPLDVGDADITEDLDITVAESASGII YWYVSNSTFDLDWSDPTLMQVYDNATTFGVSENVYEVAAQGQWTYVILEQTSGAAHPI HLHGHDFYVLASGVGTYASAAPTLITTNPPRRDVTMLPPEGYLVIAFLADNPGTWLMH CHIGWHQSLGLDLQFVAQRSQIDALVDGAVLNDTCGGWDAYLTLTDLRQDDDGV LY89DRAFT_629224 MFNDITAPIAIVGVSYRAPSVGRKDLWEYLAEAKSAWTKMPADR FSHEAYYSGAEGVSRAGCFQTEGAHFVPDDIFRFDAAFFNMRAEEAQNSDPQHRMMLE CALEAAESAGQSLLDLAGKKIGVFVGCTGGDYAQRLSEDLYKTSTFSATGLAPCMLAN RLSYFFDVTGPSVALDAACASSTYAAHQACQAIRNGECEAAFVGSAMLSLSPSLWITL EKMGALSPDGRSYSYDEKAAGFGRGEGAACLLIKRLDHAIRDGDPIHTLIRSSSCNHS GRSEGITMPSGKAQQVLLREVHDVVGLNPVHTPVVEGHGTGTQAGDPIEAGGFANVLA KDRTQANPLYIGSIKSNFGHLEGASGILGMIKAVLMLQKGIILPTAGFEKFNQKIQGR EKLRVLTSPTPWPAGEPKRVIVTNFGFGGSNSAVLLECAPSSAVLANGLANGLANGLV TNGIKLEKRLFVLSAKTATSLTSYLAAFQKFLEKAPQTSEFAKDLSYTLGQRRTHHPY RVAATADSVESLREKLLTTKPNKVSDRVIAFVFTGQGAQWAQMDAGLSHYKAFTTAIS QAEAHLQSLGASWSLTVELAKPASLSRVNDPEISQPACTAIQLALVTLLKSWGITATT VTGHSSGEIGAAFAAGLISFKAAIAIAYFRGQAGAQLVREQRQKGGMLALGIGEEDAN KLIEQHAKGYATVAAINSPQSVTVSGNVSSIENLHKAADGQGLFVRRLKIEVAYHSKH MEAVAASYLEFIKPFCEEDAPFINKDNISEVFVSSVTGKVVSPSTLHASYWVKNLLQC VRFADAIGTIFTPQKDGKKSPNVIVEIGPHPALKNPIKQTVDILRQQGDGRPIPFTYL STLARDTDGVEALLDLAGSFFTMGPTVKLEEVNQTNRKNSHVLIDLPAYAWDKSVAYE MKTKNSEDKMHPGEPYHTLLGRKVPSNGANERVYRQVFTLDEVPWIRDHNVAGTVVFP MTGYLSCAIEAVRRTVSSPPAAIVLRDVNVVRGLEIGEEETVDMSTKIKPAATGTGGF SNTAWSFEVATWGEQNGWTVHCYGQIEPETTEMTTGSPTLKDSLPLINSKDMVEHDPQ LEYTLAAEAGATRYGPTFQSTVRFFEGKSFTVIETQLRDLSPNLPTSYGSSVSVDPPT LDGFLQGGGPLQLVDGKRPTQMPNYISRLRVSNNMPNAPNSRFTVVTRLLDYDYKGGR MYISIAVYEQGSYKPVFECESMTFRTISSGESEDLATALPGNWCWEVLPSFDLLPHGE LNKMFSVGSLGKDLKERRDILYKTACYYMNKALKETAHEDYSKLPHHYSHFCRWARRT IDRENFDLSGDQSALLKQAYHFDAPGELLCKVGEQLVPILHGEVQPLEIMLKDGLLTR YYEADQDNARCSKMLGDWVVHLSDLEPDLRILEIGGGTGGTTMHVLEALSRDRDELAI LNYTFTDISSGFFEGARTKLAKWSQKMTYKKLDISQDPVQQGFRLKDYDLVIASNVLH ATPNMIDTMINVRKLLKPKGKILLLEGRTHPPPTLPFALLPGWWYAEDDYRDHEEGPL ITVDNWNRLLKDTGFSGLEAYIQDYAGPPDQMLSVMCSINTGKQAGNQQITVCGPFVD NEEVEFAQTVADAIAKKLECPTKVKPLAEIDPADDPYCVFIDSPRNSQLANVTQEKFD ALQKLLLHNTGMLWVIPEGGPPESTQIKGMLATIRLELEPKNLLWFDRVPLTSEGALA ITRLAGKLRDPESIAGEDLDFVWNNGSIHLLRIRQMMEVKEQYAAEHNVRTRKVQNIW EGNHALEMTVDLAGSPDSIYFRRTDVLSQEVGEEEVVVKVEAAGVSFRDLLLVLGSIP WTTPGFEGVGVVEKTGSKVSGLKAGDRVFYGTLGGGSLATYIKMPSWCAYKIPEGISS VDAASIPVAYITAYVALIRIARLKKGESVLIHAASGAVGQACVVLAQHLGAEIFATTS TPTKREFLEKTFGIPKENIFSSRTPKFRDGIMCATNNKGVDVVVNSLTGNLLQETWAL IADFGHFVEIGKRDFLANSHLGMKPFDRNVTFSGVDLRTFWDKKPEYLKDCMSEVVDL LHRKIVVPIRPVTTLPVSQFTTGLRKLQSGQNLGKIVVTFGPDERVLAESALSPQEMK LSRNATYLITGGTRGIGLYLASWLIENGAYNVIVLGRSGATGAEVQKFLKQHKGTDVI VRALACDISSKKGLQNVLASIKDLPPVRGVIHSALFLNDAFFENATYEDWKNINGPRV QGAWNLDELLPDLDFFVALSSFLGDTGNVGQSIYGGTASFYDAFSKSRNARGQNTVSI ALPVVVDVGYVADRDLTASLKATLGGTLTMADICTIVKNAIIGQYNPMKFHSDGKAIA FKLYLGGESVVNLPWKYFHPVKIRELLNAEQHKKETSSGGGTNNYSSWTSASDPLTGL IEALITKVSVMTMIERDEVDPDAPLIHFGLDSLVSVELRNWIRRETSVELALTTITHA ANLRALAMDILAKRERGLKVVNGT LY89DRAFT_325938 MVLSTGKCIRISPHRNEYAMPLHSRHWLARALLHYLIFPFLTYD HKFRVKSVRFFNGWGASEACTVAELTPECPYPQNIGTPVNCAVKIIKPQSPQNIVPIG ARRENLIEGSGVARGYLNVNAKSVASFISPPSLASSCERVATRFYYPGDPDAFAEIAY LVGLLEWFAVFRGQRSTIITLSLFMIAISTAISLATADTDLSGIQQTLQESILDSAAV EGSRTPLPSI LY89DRAFT_690770 MASEPVQDPVTAGAPVADPIVAPMEVGDDEDNDSAYDPEDGNAS DTTSLSSSVSKYRFENGRRYHGYKDGLYMQPNDEQQLSVQDMGHHMMLILLENELHLA PISENPQRILDVGTGTGIWAIDMADQHKSAQVVGTDLSPVQPAFVPPNCKFEIDDASV LWTFTPGSMDFVFFRFMLGSFSDWTEVYREAFKTIKPGGWIEHHEVAPDPKSEDGTVT PGSPFHRWGDLIFEAGDKLGKTYRTAYNTEAWIEEAGFINVVEKKYKMPIGRWPADAK LKEIGMWFRAYFEDGMEGYAMALLTRVLEWDFIEAQAFFGQLRAAFKDKKTHAYCNLT VVYGQKPLAT LY89DRAFT_690772 MSILASGVPVQEYAKAVRRTHPPQSAYAPYPSPSTMVPYAPSNY QYAATQAPYQQPSASTSGQYITASTTTTTSNVDQPGYMYTPLGYFLIYPSVCSIKMHG LFR LY89DRAFT_741600 MKGGSGPCLEYSSGWIIGRYKLRGIPCPKHEKVAAALEIIVAEV SLDSTITRPSSEPTAPTNGADHKYDEERRYQQRLDRRDKVEVIKDEKAQRQREELGKQ PLPEYFVSPNISPLGSKQIGLKREFD LY89DRAFT_764097 MADSSETHSLSKEGASEYAPQADMTAGQSSTTGASKNLHSAPIS PPDYGTQDGYEMQSLPRLVNQSPAALPVPPPQVQAYEEPFNFNFYRPKKDIRTYLLSL VFLLALALVAVATVFGVKFANLKQQMKDQPKAQNTTLTVYSTDSTSFLSTYTSTASTT LSTTASTTLSKTLLTTLVESFTLPPVTTVSTQTDSTTLLSTVSTTESTTYSTTDSITL SMTLTQSVTLPPVTAVSTLVQQTTIVQISTLQTTLPTATTQLSTVTATSTLSTIQPSA VSACWVLLDDICNNSTQVPPDLTNDGFGNCSTIYRFFYCGLINHLEAIGDLILPADSS PICGGMKEFCNSNEANSTEALVEPPAPPEIRIILASTGIEQVSGGTNTTTLAKLAEHT SI LY89DRAFT_690774 MRLSTVLSMVLNAMNNASTVVLASDISRTITFGRDLYLKVLGLG AYTAAVVFDDALGETPLFTRFMTLSKSDFTLLTAFLFTRLLLGSSIQSIVVAITAQWD LRMDFQRVGIRGFDMKRLIPELKPGEENLVKAAWNLSSVMLGLWVMVTIIRGFF LY89DRAFT_741603 MVLDPLTAFSVAGTVIQFVDFGLELFQRSEELYRSVRGTLSVNE ELDQTIYQILKLVEKLLQSLGPDGALGCQTNDEYTLAGLCDACKAVAREMISRLNDLK VKGRARAWKSLRAAIVHAWNRDAMMALQKKLEGIKALIESYVLVSVRENVINLSIETS ERFAALDSRSQLIIDSLLERRKEFSEELQDQTLAIAQLLSRSEIAILDHLEESKARIL GTVQEAQQITSLNGVANDQLLRSKNAETLCRDMTEKSLLEGLQFSTMMQRQEEVTEAY RKTFEWIFQDLSRTFRPWSSFINWLKYEDGIYWINGKAGSGKSTLVRYICDERRTETL LKAWAGPIPLTIASFFFWNSGTRDQRSQSGFLRAILFKVLHQHPELMPIVLPWQWAKR YSRLASDKPILADQIRDDWSISRLKQAFAKLCEQRIVKIKVCIFLDGLDEYEGDHELI AEFFHEITTSSPNIKICVSSRPLLVIGDIFSGLPSLRLQDLTFQDIKFYVNDNLSKHR RFRQLLEKEPIKTPLLVKDIVGRADGVFLWVRLVVESLREGLGNPDEIADLRERLDEL PRDLEQLYNHMWGRVRGVYRPRASRIFQIVRAARNQGAVIRYKDDEYEPLTAIALSFA EEESTSINGNPSIRILDPAYLKERCEKMDAVLKTRCAGLLEIHASESTLYESWGGTI LY89DRAFT_690776 MSPNNFAAVLPVAQGTITVGPVDYPTLPPGGVILRNTVVAFNPV DWKIARNGNRPIEYPAVLGYSYGGVVEAVDEAVSRVKKGDYVFTHNPKAGGFQRFSAA DATFVGKVDEKSVEETAAVVLNLRTIIGAAVEAGLDRPKDTTTANPSNGKKALVYGGS SSLGALAIQYLVQAGYTVISTSSPANHALVSGFRAAVVVDHTKSADDVVAALKKHGPY DFAFDAISTAPATAINAAVLGAQSGDIVLYSVGPPPADVDIPKNVTRVVKGWPSSLAA LDPSFDEWVFVKYIPEAVAAGTLKSVPLELIHGGLGAVDGALRKLEQGVSAQKLILYQ WEDAPKSEL LY89DRAFT_690777 MTFPDSPSKVTSSAITPALPDNVCIFSPADPNAASALLNGRIFT RLTASIQTEPSKLSAALRNLLRPEACAVFCLIHRNAILIFDSNGDGEDLQNLHHEHFR LVCLALKDADIGLDVSGCILDAPNVLQAGFQLDKMNHGSVLMIDLMDEENDDDPDSDD LY89DRAFT_764099 MASSSDIPTQVNKDKSEVSTDQDILWPYLQKALISRNLTGTPVS LFNDNLERGIQNSSPDLPAAWPTLKRDATNQILLFPGSFNPPHQGDLATIRYFSDHCD QLGIVAMFIFADPDEIIGTKEKKWGKIILPQKLHNRMFAQVPELSPLIEDGWLHLLVG DMEGHIQVLRTMTGLISEAGFDVKLVGFFGGDKLSVESPPHLPPGDLTAWGPIDDFLI TNARRPVVFYDPGQLALHNLPGCTEWERAASTNEVAVAEEQRLGELWFCKALTVPGEP YIQFRASHSSASNGISSTRIRKIMVHASVEEIYELLKDEVLSIEVMIEWLQTNMS LY89DRAFT_764104 MTELPADDHGGLESKAPSAWKRMAAKLGLNLPMVLLMCKGAIAP TIGIAIYQNSSVAAHYSTIGYLIPIMSILTVPIMPRARFLQNLIITSLLVCLAAALSL LAMWVGVKARENTTHAAESSKSTGPVPGALISPYNAAASVNMAVWFFFMVWLANTFRA YRPQYFLPSILFGIFINVTGSYGPLFSLMVECEALVSRLLETFFSGFAISFAVNLFIV PFTSRNLVSMFVTHEIQGFKAVFQAHTQYMLSLPSRDWYGSKQGSVESEASKDDDPFT RPTQWPEADALKKAATMVTELQVKMIAELRYAKREADWSKLSPDDYGTLVRLCKNILL PILGMESLTEVTDRLEKRGGWSSIRSPNDNALSEKEFGTLEAKEKEQWHVIFEQLHEP ILELQKTMAEGLDHSLYQLELVKKPKVAVRGDVEASAEDNGPGRKGFAKRLETGIEQF LKQREGPLREWCAEKGMDHSTERDSMKPNHYPLHQRHQSQLYLILDLEYSFLMAARAI LDLVKFADSKVEDGTMAQNRLILPSWRRIKKWIIASYTREDSNLDYHSYSTRSGTPTV YLHDALQSDQDPEHLPPVTLWERSTEKLRGITHFISSAESAFGFRVAAATMCIMIVVY LRNSQQFFIEQRLVWGSIMVAISMTQTAGSGIYGQFVRFGGTALAMVASYIDWYIVNQ HTAGIIVFLGITMFLYHYPMIRWQDEPVVPMIGMVTVVLIVGYELQVKQIGVALSTSN GQVFHPLYELAPYRLAAVLGGVGVAFFFTYFPSTITSRNQLRTDLGSSIYLLSNYYSC VYQTVSLRIRSAEGDPDDKQSPGRKLEKARTRLFAKELILLQSMKHHTAFAAWEPSFG GRFPRTSYDKLINHTQNIIHFTTMISYVTETFSSLPSRTTQPQNSTSSSEEWLHDFKL LVSTLRLTSQNVTSLLSIIAAAISSGKPLPPYLKAPEPVHIGQMLIGLDPDILSTRHV FEPAYAAFAVMQVAITMLGDDLVGMLDEAKKLVGEVNYGVDVLGIQSLEPSADSSQVK VGVKQD LY89DRAFT_599216 TSMSWHGILQMNSGNMDGTNGVIECLIPLGGQRTYTFLLTQLVS LSLLRAIRQWSSQPHRYCRPSDFKLRSRSRSHAYQ LY89DRAFT_676666 MDLLYPAGVDMQLCGCGVVYLVAMFLIRQNIRLTWLEPKLGPGM VNKRAQVEAGGVISGDGKNRETVTEQVVKEVAGVSLSSTMFSVVTSTLGWKYKRRPPG TVCSIFTHRDKVKPKTACFDAMQIAGRGAPTTT LY89DRAFT_326022 MLAIHQRPLSPSRKPLFLSLLTICCIFLTYKIHTPKTWYTLSPL PPSPPTIPQNLWYKLGPSGLNNQTHQWTQSCITPNPSHTPSFLIDTTAETWIRQTFAH RPEIVEVYTALAIPVLKADMLRYLLLFVEGGIWSDLDVSCDGVPIRDWVPVQYRERAD LVAGWEFDVGWGENVVRELATWIIMARPGARHLLVAVDDILHSIKEKSKEFNVEVGAL TTGMVGDVVDFTGPRRFTRSVMKSLEVRMGEGVDMGSTSNLEEPRLVGDVLVMPGFAF AARSNRYKEDYVQRTPKLVTHHFAGTWKNEYGGEGG LY89DRAFT_741608 MHQQLLPALLILPVITLGVEFINPPPFQSTNDFSLNTIYIEGSL VNIEWTAGPPGNDTSLSLWQFDGTQYLRLGDQSVGSSNTSTTSAAIGSIPASPSTLPA PAAPTASEQATPTPSSSPESSSGLGAGTKAGIGVGASAAGILAVGAAAFLLLRRKRKQ DVTAPSEEQQKSQVQELEETRIFELQEDPGPRDGTTVEA LY89DRAFT_326042 MFSRNPNKSQTESFSKSRRSTKRSSFREHAISKNRSERPRKPPT EETNPLSTNLTSSIITLVVGREQRLFAAHEDVLCTSPFFQAACRTQFLTTENKRISLP DEEPEIFSSVLEYLYKGDYYPKLVHNKRRNAWELETGEGGQSVESTVYHHGVDGELLK DTVIYCTAEKYGLEELKRVALRKQGLQSGIQCSTILTSARYAYANTPDTDSKLRAHYL ALIIRSRGTFKRSGTMQMEMQAGGSQLFFDLFVALCNHVDDVASIAGSPRSVRTHH LY89DRAFT_326031 MDVVFQDFRQAYEEGNGYDLSMSLSPIAPDSQPDRLVNFYRSTN FAHVQRDFKYRILHDATSPFKLPADEGNGWVEVYHTYWKAVGEIVNAEAADGNASYVK VYEAWKEMTNVLIRGYTNCNFEAWTVPCLYVAGKYLRVFAIKADEGASSANGASSAKN FQDDDFNPEAEKNEKLEDAARVLNKVFQTCLSDRAPLEESRKWGIYNIINLLFKTYFR LNSISLSKNILNAIQAYRGDMPSLDAFPKAHRVTFKYYVGVIKFLEESYEEAEKHLTE AWLLCHKDSIKNKELILTYLIPCHLLTTHTLPSLALLKPYPRLQKLFLPLSRCIKKGD LSGFDAALVAGEDEFTNRRIYLTLERGRDIALRNLLRKVFIAGGFEEAKDANTALVRR TRIPISEFAAAISLGSHEVMDTDEVECLIANMIYKNLMKGYIARERAIIVLSKGGAFP GTGV LY89DRAFT_690780 MSRLTEYQVIGRHLPSEANPTPKLYRMRIFAPNTVVAKSRFWYF LMKLRKVKKANGEIVSLNVIHEKRPLKVKNFGIWIRYDSRSGTHNMYKEYREMSRTDA VEAMYQDMAARHRSRFRSIHILKVVELEKGDDVKRPYIKQLLTKNLKFPLPHRVSKAA GTKLFAESRPSTFY LY89DRAFT_326117 MSVRTDQWSILASPLRHNDVISLICEFESKTLYCHPTACSTIMF RSIFFSSLAFGILANAASCDSNTTSSVHHKQHLALPFESRGQAGVVSITVQPNDDVEH AFGLDLLYPGTAILPNFIGFPVVHADMTYPIPSSPYSGYGTLFGWIQFIRQDDDGVIG NWTVDHYPFAQDIDTPFGYWGYNPSTFDAPAILLDEGNNTSIVWTAQAFLTILPDAGG SKNVSVIPGGAFTWGFDINVDANSTTEREIVIRRVEQLDVSGWSGRVALLREQYPEWT FQDVE LY89DRAFT_724788 MSAQIPGEESSQQAMQAQAPTPIPLRALTTLLNYERCISDPKFR GAKLLNSTFADPKLIDTRDPDRYVKLPGSKLASVKQVHFHVFRRESDGVSGTPSTNDI ICPDALPEVHGLNFTKRELIYHESHEGDGCYEAVSLFQYFFEICPADQKLLIQIKTSS VLVDPFLREIAEHKMRGPKLQVIVSGMEEERISGHDNDRPHAVVVFPAPGGVGFVVDM TSMQFGEAGRGLFGEPYFMGTAEEFYIAMLEVYRTPRLQACAKRVWNRWENRENEGWC EYCGVGASEKVLQRCGGCKKRKVRYCRKEHQTADWKLHKYTCEKVNK LY89DRAFT_764129 MSPNKGGRPRNAWTSSRMRKLVRLYTLTTLNMHEIVSVLKAHEF DPSSRDVQKKLRELFPRDYTKCHKSFRPPKDRPIQFRLEKLRAQRREGALSDLNQCDE GRSLITNPSGAPNDPETFEGVGTAFRGYSGSGELAAPYTFIQVTVGCGTQSTRTDAQA QQTSTTVAQEDLSSTGSQSRLSLISIKSLKERVKRSSSFIQHVHSVLRFSSTNSWRSS LSFRSSVVSLDYSSWVHSFTEHPIAGPQMSEQQTLASSSSGLREAETERMMAPHCYST ENDKPAWHEELWMDIRNRHVCSEDERLSLRHRPCYCGRQESHLRALHGQDVLDANTRD FFGNTPLHFAAISWNRDCNLFIKIIDNGTDARSVNTSGETFLHTLFHSITIRDLLAWT DLLIYLEKLSFPFSAQDYHGRTVMHVLFDSDYRKLKKLPSKQVHALFEAVKIMKPRLD IMDNLGHTISDYLYDPPPNHMLPGGKAKATSITDINFIGSLSKLQHNLESWTDWLGNT GTYSWLDSDGNTPLHALIKTFPAADNETVLEGIIRKLSRAGTCIHMRDRTGETALAIA VRRGFRPVITTLVDLGASIHCRDYVGTGVLVRARKHLRKALKKEDMKLYSRILSVVTL LVDLGAVKYPIGVEWAVPSSLLARRPRLGHILALNSRGDRIMREAGL LY89DRAFT_629253 MSFKSFMCKLVLAFNINVVVYATTINSSAPIVDLGYAVHKAVVN TTGAYYNFSNIRYAEPPVGQFRFRAAIPPTTINRTVQEAPPDQICYQGGASAWQALTG PFLASIIAGPNASLAGGIDHSAAANISIPAGIPTTGTPIQSEDCLFLDVMAPEVIFNA ANTSAKGAPVMLMIHGGGFTGGWKDGVGNPAGLLAEGMRDGRTGFVYVQINYRLGFFG FPPKSINDKDTQSNAGLLDQRLAITWVQNYIHLFGGNPNDITLMGESAGASSIMAHIT SYGGNGTVPFQQALMQSPAWRPASTAAFYQDLYQEVLQLANATSYAQIRTMNSSQLED INNAIVAVAPYASFSFGTNIDGDYIPDAPTVLLAQGKFHKNLTIMVTHNSNEGLLFTD PTVNSQSAFIANLHGLAIGASNASINHLATVTYPPVFDGSQPYTTETERTSIAMREGI VECNAFALNKAFNNNTRAYTFGEFPGLHAQDLEYIFWNGDSVGTFGDPINSTAVKIIQ SATVQFVLTGNPNVPDYMGAALPELPLYGEGAEILFLNGTTVQDGRDSAANQRCDYWE TGLYED LY89DRAFT_741617 MVGKRGITSADQKNSTYLSKDVEDRPPQDRKYRFRDQGHLDPDD QRRVQFKRQLLESVESSEYLQEYRKSEVELKGIKNKKLRAFYAEQNERLDDWLEVNAI VKALSDDILESFDPRDDNGDGIAEGGGALQDTEGCVEPFLPEEEQEKRRIGRRNAKWA ININVIANIILLIAKGIAALSSSSLSLIASLADSALDLLCTAIIFTTHKLVGWRLSKL RRKFPVGRRRLEPLGILVFSIIMIISFIQILQESVQKLMSKGPHEATQLPPVAIGALA GTIVLKGIIGLGCMRIKTSQVQALAQDCKTDVIFNSLSLIFPAVGHAANIWWLDPAGA GILSLFIIWDWGCTCFENVFRLTGSAVDDRVYAKLTFLAYRFSPLVNGYKSIQAYHAG DGVWVEVDILLDEKTPLEVAHDIAETLQYCCEGLPEVDRAFITCDYTTWGPTGHALEN YQ LY89DRAFT_326182 MGPMKENPAKRKATEEPSTPSDSKRLKSSTSDSPEPPPQKSHVV QFPEKPAVIEERTGEIEFRVVNNDNKRESLIILTGLKNIFQKQLPKMPKDYIARLVYD RTHLSMAIVKHPLEVVGGITYRPFKGRKFAEIVFCAISSDQQVKGYGAHLMSHLKDYV KATSDVMHFLTYADNYAIGYFKKQGFTKEITLERSLWMGYIKDYEGGTIMQCSMLPRV RYLQAGRMLLKQKEAVHAKIRAFSKSHEVHAPPKEWANGVCKIDPMSIPAIKASGWSS DMDELARQPRHGPNYNQLLHLLNDMQNHASAWPFQHPVNREEVADYYEVIKEPMDLST MEAKLDLDNYQIPEDFVRDAKLIFDNCRKYNNETTPYAKCANKLEKYMWQQIKAIPEW SHLEG LY89DRAFT_599093 MEPSLHQVWESASGNPFEPTIGKGSQFLVGFTLLTAGLLLSGLF GLNRSLLNIPLIGIPASLTIAFGAVYMICAVGVYV LY89DRAFT_326242 MSTVGDDNVVPIFKAVSSSARQLFQLLNCIRFAAKVHVQISAEG LRFAVEESRVMQGIVFLDKALFTTFHCVIPETLDEEPDVIDLPNFQISLSALLETLQI FGAADVTSSRFAKPDNDGYNSNIRPGRQNAFSNQALGMAGICRLSYAGIGSPFSIILE ESGVTTTCNLNTYEPENPQEIPFQRDAMQVKIIMQARWLFDAISELSTTSPMRLDLIA FPEAPYLSLSAVGPLGSASVEFAKGRELLETFSVAEKWTQSYKFEMIKAAAEAMRLAS KVSVRGDEQGVLSLQFMVEVEGGGISFVDFRFVPFLREDDEDNEDAEDDEDEYENEDD EFE LY89DRAFT_690787 MPLPLTPEQTALITAATKIIDSVPRFSPTRKPTDHTCASAALSS SGRIFTGINTTHFTGGPCAEIIAFGNAVQAGVGSSFSPGTSDEKLVVVVAVLSDGRGV ISPCGKCRQIMWDMHAGIRVIVKDERGGGELVTVSVEELLPYAFTWVWKPKADEVVVK E LY89DRAFT_690788 MTSSSFNQFALLPWELRHQVWEGLLPANDDPLLRALTRAIASHI LDFPRDKTFYPVHQPSNGANHEDIFALIKNCGSASPYAALGGCAESRAVAFKHLRPLL ENSKELKYWLTKRHSYYSPKMVQDRKTQSLFYECKGITDKGKSRDKPPVVKIRQSMFY QCKDIREEWKEGYRLNHLIFWGKAEDEKPREKQAWEMVDYKELDEERNEDLDEEEDFG LY89DRAFT_741623 MAEPTIDSSSTFHCFPQLPVELRLPIWELARDALPGRVIELIEE LVTFDGFEGRWLNDMDAERFVISRSGEEGCRSTPEQTFFTVRDSSVSLLSVSRESRHC TLNNYYNLFRDGVNGSVPSVPFDFDKDVLYLRAASHCTSHFLVNEPKLLAGNVKFLAS IFVMPSLMDTLCLQNVGELGSVETIFILMEAAWEGDLAIYKQYWTDLLPQYHLSPIKD SDGLASLIRDPDWKIDHQKCWRSEDTSESCKRATSR LY89DRAFT_764135 MHYTTLLAPLLLAAPVLGQGFTCNNGEIFDNYCCEGGSFIGPVI SSFSSSLSSAGSSRSSSLSDAASSRSSSLGAAASSRESAQSSIQASESSRFSNSGIQT ATTDMAAAITARAVLKRAITTEVSSGLTCVGDSVSMDTENVQSGSSSDGTTSTSTGTG AGAATTTSSSSAGAAFMTQAPMLAVGAAAAFAYGAM LY89DRAFT_629265 MYAGLKGKKRKRAPTPDPPAAGPGPSAFPPDSSSTTTVPLPPTN NSPPANPNAHYAPKPRKWQKSSSIVIESRVIDTRTPIPEWYTAISEKGDHDPGNWSEV GSIKQLIRYCIDARARGDFSMERISVSNVRDQIHKMEFFPGVTLLAVKSSRVLEERGL RVIFGGEYDGLFPWDVRADARALYGKWWKGDVDGDLLTGIVVRRNTHSSGAVRTSKML RKGYEKRGANEVGDNGLINGQWWPDRRCLWRDGAHGSLEAGIHGETDKGAYAIVVSSN YYADQDSGEILEYCGTESQTAIPTRYTKMMQEAFALQQPIRVIRAAKKGSDYAPKMGY RYDGLYIITSEEVLDAERYMTRFTLKRKEGQDPIRYQGVEARPTKYEMHEHMKIKELL QG LY89DRAFT_690790 MFEEALSRRRKAYGENDRASFVTGKLLLGYGNVRAQQNLQDESF ELHQQCLLHYKSTVGNHHHRTGDGCVKLADHYVRLKRYNTALYVWFWRC LY89DRAFT_724797 MVGGFGSKTVLMDWSLVKTNTKSQRQCGVRADHDHICCIKSNSH KMVVVTEFVRHIAQQTRDMIEKETRQGKSRLGHSFDVSLIDHLIVDKPAFQVQEAMPA RAQSIPRQTHGLNSQDFAHNDSTVSIFDITLSLADFSVKKNNNPLPCHIMPLSKNHGP FFGRKAILEALDKALAPTSYLVGENPDVDLSNLKTVVICGPGGIGKTQLASQFAHRHT KYFDAIFWVHADESSKIAHDFTQIAFSLGLVADENSMEARDQVLTRELVLGSPASVLI TSRDPIFKTHTYAGEGLQSDITLPSSDEDEAMEYLLKLTHREKELKSTSPERLLLNSG RNRSNYNHTTATVWALQDLEEKEGYPRDEMEYQDARTELLTSSLITRDRNEKKIFMHR LIQDAARASVTDEQLNRAFGFTLCQLSAAWPY LY89DRAFT_676684 MTLRVNDGFRILPQSGHTLCLGDRRYPVRSTPEASLLRDASFQA YNGPALATVFVHDEDKRIITEQWIKRQITDYQIADDVFHNAFLAGIIVVGDSELDAGA QAFLKGAGMQWLHVMASSTTGYKLQPGPYALLDDHLRNVYRAYRDTSGAFFCTLERSG DGRPFHEIYPAKQETAPCIQRLVDLGALLVAKTKLTSFATWEEPVESIDWPAPWNPRA DGFLSAGGSSNGSGAAISTYDWLDIAIGSDTTGSVMRPALWNGCFAMRPSHGVLSTEG FFSCIKYQWQTPSAVWTDTEQQCDLALKFVHDMEFHLNLKHKQVSFKGKWELEPPIEA EGKSLDAYMLDATEDMWYDDYHAFDDFRERYYSKYQKPPYVSPPTHKAWESCKPITKS QRDEAVRRLGIFRTWFRKSIINAEYDNAMVVLPIENVYPRYRDEPHNFQRPPVGVHNV LLASLNGAPELVVPVGHVPYYSKITQREEQLPYVVGLMTLPVKGRILKKAQFLVGIVL LLARIDF LY89DRAFT_764144 MGFKNFHCVPEGYRPDRKDPRASRCLQCTYRALLWGGMFGAMYA SRRPRGLKKLIISGGPASIPLLEKGFEVLIAALPDDVRDTLEDCNRRGDYQSLEFEKA AAVFYARHVCRLDPPPPEVQCAFKNLKDDPTAYLTLQGPSEFVIIGSFKDWEGWKEGR KIDVPMLLLNGKYDEATDLCMEPWFRTIPRVRWLMLENSSHIGHFKERERYMQVCGGF LLSDS LY89DRAFT_764148 MLVQFPALAVVFAIQALGLALPNIGERLPAALNLERRLSSKKRP GYPVNQPRSTPNTCNKLDALYLIFVAPVYSAKASSFCSPYIHHSTTLHATVTPTVTVS TTLTSTDATITSVITDSVTATQTTQTTTTFTEPNQKKRAATTTSFGALVSAFAASEIS SVCSCLFTATTSSTTATSTAPATTLSKTSTDLVTASTSVTTFVTTTTTTTIPVETDFT TPTYCSSSSLNGGGTYGAIYIPQCGESYAGLTYSNGESSYYDTFPTYTACLQQCDNDD NCLYYSFFETETTDNCLLIDSPNINNRYLTPNPDPSVNSGYYEMPG LY89DRAFT_690792 MSNITSEYPHGGLAIVGGGIAGVTLALGLVARGIPCKIYEQGHS FAEIGAGVAFAPNSIRAMRACDANVYTAFKAVATHNTWESKRADRELGRKGLYFDFLE GFHENDKLLFSLYNDEGANSVHRAHFLDEMVKFLPKDIAAFRKRVERVEESAGQGPVT LHFADGTSTTADAVVGADGVKSHVRQSLLGAEKPESHAGYTYKYAYRGLIPMDKAVAE LGEEAAVNSRMYLGPDRHVLTFPIDKGETMNVVAFTTTSQNWPDIHKSTRAATKQDAL NDFSGWGPTVTHILSLLNEDVDIWAIFDMKDHPAPTYAKGKVAIIGDAAHATSPHHGS GAGFAIEDSAVLAELLADPAAKRPSGLRVAFQAYDQCRRQRSQWLVASSRRTGELYEW QAQGVGYDIEKIGAEVHERFEKIWQGDIKQMIANAQAAFQRLLPYSEL LY89DRAFT_658493 MARYVNNLGSAPEEGIIHKLIHVPGLMFNTIISTMVLIGLETNW SISGSWKIYHFITTSPTTTQQVVTVTAGLLATIHANAITKLINHATRITLLKQPTSLH RLKWWYTLSTISLDLSVRWAEKPVVLGSWLALSHGLSFLWAGAIAPVPAMINDMQSSI TLPQYTGQSSRYWAQNGFYPNQFNASLIDPKGTFTYLPTWDRLGYLIVDGSSATSTDG QTQVHSKNDNSNYTYVGRSYGVGSSVGLSDEALQNTTLKYQYTENGYHAAVDCIYNAS SQLRLLLAQPGCGGLIVGGIGGDQDIVAAGWWASTESGLQFDGLPNPNLYIGKTRPVL SQSYTALTAGSGNPNLNNVQCSISMVPTAFIVNVDTHNRKLSVAPQNNSNMEDIEPTG FIADSAAAVLAIMASSDTSFKTSVIGSILIRNVNNKILQQGLSDQSQLQDVATLQGIA ESIETLIDDSLLATASAQLMIAKDVYTVTPSVWRNGLWIGEKSVVIALVLVNAFIIAL FIEEALRTRIWRALPKFDYSKVENIMVATSLGGSALGDAVLSKSSWVGGDLTEAAEDV RVMVSYADHVQLAMAGEETDEQRSEDRIELIPRKDLKGYRTGGRGDDE LY89DRAFT_599335 LEMVSFQDLPSIALLHICDYLDRDHASSLVAFACANKHCYSTAI ILLFRSIRLSTSSQLAAEVQRCHDMLHRSASTGFVRRLSIDGPWPQRNRDGIEQTRPK IFGEDRGYERRLIDTVSTYRTIADKTSAMAVYEANDAWKPLAALVRQLPALQDLIYKR PSQFQPCLLETLHQHLPHCRLHIDPFKLRSLNEHLTDPYEVVLATSPSLYSIGVDCDM QWGAITGTVQNFNYEAVQSMVACLAPNLKEIRIFNASWGATLNGFPEGEPWKGFTPNR RAEISSTKIGSLRSLCIGVGDKLIGTHLIKTWNAHTDFSVLESMNLEAEVDQAVLEFL AASSGFPSLTDLVLNLTYDYMSPSQKTELYNLASRFLLSLQPLSRLTLSGGLSRVSLE SILEHHGAALRSLDLKGSPESDKILSPEVIARIGPQCPLLRDLTLKVRRSKGDAIEWA ARGGLGSYQALGSFVNLKYLSLALDASNHAILGAESLAGLDLEDDDVPYPETPTDPSF DEFDQRFFQESYSGYRAPRKGHIRDAFINSAVDGVLTEAIFWAISCGKAEGSLPLEEL RLRVFGGGDFGGGLFPQSVSVIIAELGRSWFCKRCVRDDRRQQLITKELTQRKQETWQ TQTGQPTPFAQSIFRRIWPARGGDWRDEWCSLTLQTLNT LY89DRAFT_741634 MASVKQGVSASALKFTYDCYLPASQPLRKPQGPLPLQTTPEANL EWASVFVEHAGHLIKAREQDHEKLANNYHSAKHTIENQNADIKKLTKDNKDLHDKIER LQADLACETSAHKRVHVEFDAFKAEAKKEREALTKELAATRKEVMDGKAHDVLDEKAL ENLRKSNQKEREKNAALQAERDEKIKQLLKTKADLEAAENAQKVAGKKIDTLNGEITH LKQDVKYRDIKIENCESDLAAKKAELSKVEEELSASRGQVTGLTDSLAKQAKTIEDIE TQLKNQKIVNTKLQAKNDKYAQHIEEDKKKLAKRIEEDERRKAETEAEKASLNDLSAE DDEVSVEQPATNGNGVKDVKPVNGAQVTVSQVAPL LY89DRAFT_629273 MIDFSAPAYPFITEDLYIPLSSNVAPALPNSMAMKTAVNVSEIE LDSPTNNFDSLTMLSPIPSPHPGTVVEHPHHHHHHEPTHLPKPTKPTGYFPRSSSPTG SVRSSFTSSHRHVSRSTRKSIGFTHSHRSEVSRELTSYAESEFHALMELMSGISRRSS SLKEVWTKIISERESCFMEMDRMQEQFEEYTETIERRERESQQHSHEHEDRKKEVSKL RLEITAALTSVSEYKKKLVERDTELGDARREIAEYKDNFKYLKEEHEETKTTLEETQL KLVVCEEARRHAEEDAKKHHGELRSLKQQFVELQTSHSEITSKYESTHTEMLSLKQSN TTLRKEKHEWMHEKGELEENLRKCNHRHDELKRKVKELTESYEKKVREVHELQETVTK TKYENEELHQRIKELRRELDEEHGRWEDAEDRCGKWKLKWEHCEREIVTIREEVRIIE IEQTKLHETITKKTEELRHIIIEKERIEEDYHSVCKKAEDSHRQVLLLQESLRRTEST VKEKTELIHTLHERIERIEREREEARHKCSDLSIELSELQTSIVSLKLQIEAVTEERE SYCEKLRECETRYEEIRESFTEYEEGSSGTEYEITNLRSMLREVREQKEKAITMRSAA DRERDEAISRYEEKCREIERLEESFSHQFHSHGRSGGRTTTRHIFKESRSESRAHDFE EDE LY89DRAFT_599332 MQALKENRPAVMWSVLISMTIIMEGYDTILMGNFFAYPSFKEKF GSYYGGTEGWQVNAPWQTGLGMASTVGCIFGGLLNGYLASKYGYRWVMIIALGFINVF IFIVFFATGDVMLLIGEFLCGLSWGVFATLAPAYASEVCPTNLRGYLTTYVNLCWATG QFIASGVLRGCLPIAGATSWKIPLAVQWIWPIPLMVVCWLAPESPWWLVRNDRIEDAQ RSITRLSNNKTEHQIHAQVAMMLHTAKTESEVTRGATYADCFKGVNLRRTEIVCVAFC GQILSGSTFSYTPTYFFTTAGMDSADAFDLGLGATAVAFIGVILSWWLITWFGRRAIY VTGMACLTVILMLIGILNVSAGKHALWPSGGLCVLWLFIYSLTVGPIAYSIISETSST RLRPLSVVLARTTYQIVNVVSQVLEPYMMNPTAWNLLGKTGFVWGSTAFVMVVWSYFR LPEMKGRTYEELDILFTNKVSARNFSKTKVDAYASSSREEFVHADVKE LY89DRAFT_629279 MNVKLFGDLATEAPKAGDTAFVFTVIKALQKANAFLGMVLYERT AQRFQTPTYRVINNVNCAIIPFNREMYTPLVEDAFRKALKHLAELAGSNTVPVAIVYH QDERLLELQPKSIPFAITHHAPLVDDVSRFFPGPKDILDAFGTDTKDPRKVTELRAMQ TGGLRALQRGENGFVLAMSDLQGEHFKRSGVRPENIIDFPPPLQAMLTAHAPQANDEQ LPNVDRKWFVFTAVARLTFFKNAELLVDAAVILLEKDKKLDLSVMIAGGDSSKPHVAI RDSLLTRVPPHLFDHFHIIERIPQRELYQYFDNSHVQETGIFVCCSRYETLGFTPLEA ALSGVTTVITNTKQIEAMRYFPEEVRFEANAKALADLLEALFEEDDLAAV LY89DRAFT_568021 QIRLSEIKSHDGSSPRPWVTRGRSVYDITDWIGVHPGGEVILRA AGGSIDAYWDIFSIHKKQDVYDILEQYKIGEIDEQDLIDGKLPSEAIDDPFTTDPARH PELRTLTAKPCNAETPGKGLAEFLTPNEMFYVRNHMWVPVVEDGKHELTIELPDGEEK SYTLKDLKERFPMHKVTATLQCAGNRRKDMTDHAKATNGLQWTAGAISTAEWEGVKLK DVLADAGLKPESLPEDAKHAQFTGLEAYGASIPMTKAVDPHGDVLLAFKMNGKDLPRD HGYPLRVIVPGNVAARSVKWLRKIVISDEESLSQWQRRDYKCFGPNDTKPDWSKAKSI QEMPITSAITSISNPSSPPSDSKHDNPISVEGYAYSGGGREIVRVDVSTDGGKTWDQA ELVDDQMSGARAWCWKRWRYSGLKRNSGKTTVLVKATDEAYNTQPESYEAIYNTRGNL ATAWHRVEI LY89DRAFT_788704 MMDGYAKVAQLMASQEEFAIFRRFRALNIKRLLYLQAEIMHLEA EVSQLAKRDSTHEGRRFHTRDWWSLSQGGEGDDLEQWEKFLELSEKLDQYNDELLKQA SLAKLEQPRKYDLTFLRSWFQRPGMGSFPLLGIDRGAWDTDNENDLVAIKPRAPPDMF SRWFTEDVVPHYHHIFGKTFKTPLPEHVGTGIYHYKESSLEKLISTLATVIASLLPIC SVVALYIVQSNSIRLGMIAAFSACFSLALAVMTNARRIEVFAATIFLRFAAVNVVFLT NGPSYPM LY89DRAFT_724806 MSCDQVTIYKYGGRAQPAEGNNSIVVARHFFPKSRSLQRYRSMS SEPSMSGTFNNSNPQWTQEQIREFKRRKLEEKRALVLANILGGVARDPEQEEYEEYLQ NCQARGAVPTSSYGTSECQDSGDIQYKSAQILDPTRGRGEEVTVIEDSACRVNFISPR IARLCNITHYPTPPIEHGTMTGRFVADRWAEVTWLGNNGNSGSDWFYIAPEEAPLELE ILVGTQFMKDHPNVFPSRKLLAPSMLTVQTKLRKEERAQVQADKVVADAYAVELEKRK QEKARQVQQKPKQSGSSSRSSRTRKS LY89DRAFT_741641 MPNSFFTQLGALAVIGYIVLRYLSPTRRTLSLGPNQLSILANVK DLPPPDVLESQHWLKHKDLHGPISSVTVLGMTLVLIHDKKVAHGLLEENASETSGRLS MVFTNQMCGFEYIVLCQGYNSTFRHHRKLLREFGIKVSAAQFRDIQEVEVNRQLVHAL NEPGKLLEHYRTQVRIFGK LY89DRAFT_539759 MKGPQVDQLVFECMFPKPKSTEPQNFQQLLQRNLVPEVRLETQA FYGHLSSQEAKYPGLDYSYQPHRVRLSRYTWHRRLFRAFDNLGLTKSEIASLTKWEGT RWAKERFEREQGIVIRDTTSDGIEDWVPPELRIPLAPHAQRADIEEMEDIQGDGETEE NDNENDMEEDGEESDAEITSVGVELNERLRAAVAQREAGNTTIPIDEEWEQWLKDAVE AGSLPYLPSDLSPNANIPGTRPA LY89DRAFT_326665 MCHRKKECCDHSLLLFPFLLNNKKPKLCVGSPRSQKKSLCWIGG WCSSCCSLPNKFRAFDLLFLLIKHQPMDQPSSPILSWAPFSGECLNEITLPEIYLQRG TGLSKKAREGSNFSQQRERADTVTLYRIPEMHTRSQIHIHSTHNHIQIRDLAKSAASH RLPHLSRSSSSHQQSSHHHHHPSIFVPYLTLPILPSLSFPIPVRSTCNTTHTTSCYGL TRRTPHPRPSC LY89DRAFT_764166 MSTFKGVIDEFPDIQVDFFRARPNRRLPLRCFLSHVHSDHLDGL LSFKSPFIHCSPATKEILLRLERKKQRSMFASGITEAREKQYKHLKDLLKPIPLETPT KIELTPGNEIQITLFDANHCVGAVMFLFEGNGKAVLYTGDIRSEPWFINNLTRNPFMV EYSTGLKSLDCIYLDTSNTKRIDFPTKAEGLKELLQKISKYPKETVFHFSSWTFGYEE VWMALSKALNSPANAKLRQIHADSYKYRLYESLCGNAAPSTKSQSVQEKSVKYNLPAI ASEGPVLIGYNCGNTIVSGCLTKDPNVRLHTCEKGTCQSIDSNENVVWIRPIVTRTKD GAELAEIGIGGGGEDLTQSLELAVDEDDFEQTIKMLDAAKDPHMTDVKQILVAGWRLE RKFLVLNGTGLDAEEDSWTLTKIVTALLQLVREPRGLSKARSTESQGSSNELPKVITF PYSRHSSYDELCDLVRALKPRDVYPCTVDEQHWDEANLSISNLFGRLCSSRNFRHEKE MDQWRANRVENLASQQTHTTTTSQSSQFVTSSPEPIRTIQNGTQPEYRLQENPFAQVG FVHREGNENLYWFENMATSPSQILAISPNGESQGLRRKAIGEQLEGSEVKRVRRSYDG SDSSPEASAEDDNVPTPESCFDEEDSTSSLERLSYVEEPEHLYDKFDVADEVWRCRSC DHEVLGGPGGFCTGKDCTESEARSASHYMEVLDPEAPPLPEIARNEDANQLLEGDRLK EVVGSCLDYDSSAYDSYDSEKHFSEEYDQNSFIDDAESEEDEGRERSRKDDSSGDDGP DYENMFNQLQAQHTKLINDFSELDNSFRDFRRDVGVFSSDDDDDELDEEVDDEGLYVV DVRVPDPVTTDIVVFHAQEQSQESEDLAVIGPTSK LY89DRAFT_764181 MLLLKAFSAIVLLASTAAAGLVVANDDQDMSNLVVNGIPYSTRV KYMRLTNEALYNQSGPCPFASFGAIIVNHTADEIVCQGANFRTGDPTIHGEISAINAC TAVFAARNMTPTEIYAAWGDLSLYTNAESCPMCASAIRWSGFKEYIYGTTIQHNYNVG WGVMTLSSYDVFQQSRQLPGYQTSMLGQILTNETDPLFSWQYNESYPCPNGCHKEYSP TDGYQVRCAGCYGYEEICGGAWALRLAMKSTRFELLNFHFPVLIFSSQLNYQNSSNLQ TSTLHPSIISSPHPLSTQPPTPNRQSTHPPPLLSIQTHSPTSPISPLSPTSSASHPPR T LY89DRAFT_326710 MKSYATQQQAIGEMALSKGITLEEVHKYFASSLTSSIRETPGPS DDWHLSRAEHRVWKHFFPGEPFPGKIQLPILVTSTMAKSATEIEPGTKAEPVIKTEPL VDTTNRRSTRIVTPSNSRTDQDWVHDRYDSDIEERLPRGEDLYRPGYTDKAKPNDSSL RLPREADYYRPKPVENPRTCENFGRLSRPDLDMNTDMGTVIRSGSSLGYVSMRNLIET KYRARGIPESRFTRIWHETATRVAMKYSHESSDWQRWAKEKRVWDRLFESRFPGIEPA RQEVMALSSGLPENPPRSGTYQPQEKVMEQLAMRQGIRHEFFQFLQQARDQARANHGG CSNEIRHFYADRMTWMKFFRDHPFQGVEPEEGHGAMCKAKQAKGFSPPTGPSSRSQQV FRATPAVSYQSGTTPGTLQQKYRLALVPASTTQIGRQLDLNARPSVPPLNMLLALDGV RKEYNRPKDDGAGLIMTSQGPTFCDARKVVEVWRFFTKEGCKPLAAIGEELKCALHFM KSDEERQLLLWATPAVGCGDNITALNRGQAFLQGVSYDTSSSDI LY89DRAFT_711453 MPPPPGQKGTGKKGRDARQSRSRNSTPILGTTDSTTAFLELPIQ SFRTSDDLNETYTGTIPSSKDIEALLERLNKLIDVVETRGTVCDRGMRLLSQERKDRL EEIESERRDEERKERLKRDAADEEDRKASKIKKRKEAREERPLTHGAHGLAPQDGSNL DRSSPMRDKKPVRKMSRDNDSASSSLSPVAQATPMATGMDIDDKEDKDDDDSSSDEHQ PPPAAPIQHLQIFGEDPSTYPDPIVYEIREVTKDMTVDQKKEIYSVEHFPESNLEDLI PGSPPDKDFSNAKPTNQVQANTFMTYLEPYFRPFTEEDLAFLRERGDRGAPFTIPRRG RKHYTEIWAEEDGALSIDAAQHSRDKLPANQARGNIENLTDDVAETDQISAGPILSRL LATLRPEHRAPPTEEKPTTNGLTNGEANINGEANNDIAELNAILGVDTPAPVPPATFM TESNSEAWKKATHPKLDHAQVDERIKQELRHIGFLPPDTEPDYDAHYDDEIAARLRYL QARLKEVSYQNGARKARLSELTKERMAHQEFTTILEDLDGQVQTAYLKRTRTLGKSKK AKRPGGAGGGSHFVAGGTGGMARPGIGDMTKTLMERRSKWINTIGGVLDKDANEVRRN KDPGSSIFKPEQMAELVRKEKESWYEEAEED LY89DRAFT_676705 MSVNGVETFVLLFPNEEWSNTATDSQLDTVVSQLRPNISFYDRI DDNLTTLSTQNADKDGTISGFLYVPDIDKSDDCFELSKEYLPSNVTRQANLPPTDFTL VALAPWINAECTLAWMRAAHEDPARAFLFYLPDNSTDQPPDASSAVWNLQDGGTWKNI YQYPVYIIPGAVGFELMHNLALYSGNMTSVPWGHTISELPGIDPRDYVRMYTQLYVGN DSGLPSLWVFLLAVVGVLLFVLGATSATMHLIQRARRKSLERRVASGEVNLEALGIKR LTIPQPFIERLPLFTYSAEPDISYPTSPQYKAMMEDAKDIPSSPTTVSQRHRSLTAET DDPPQVVVVDDNTSNPDSVLIHKFLPYSQPTCPICLEDYESGVSEIRELPCGHIFHPE CIDIFLANNSSLCPLCKKSAFPVGYCPTKITNAMVRREKNLRRLRSRVTLDPDGSDLE ANQTRGRLHEIGSSIKKTILNRKEDAPPIPLEPQPVLMTSALTELPQDQHLHTSFGSS RSRAEFVEQRIRDLTARQVPIRDPDVIQDRRVPQCKLHPFVYPNLIDKYQGEKR LY89DRAFT_658518 MHLVPKELDKLVISQLGLLAQRRLARGVKLNHSEACALIANNLQ ELIRDGNHTVADLMSIGSTILGRRHVLPSVVSTLTEIQVEGTFPTGTYLVTVHHPIST DDGDLAKALYGSFLPVPDKETFPMWKEEEYERTKMPGAVVVKKGKVVLNEGRKRIRIK VTSKGDRPIQIGSHYHFIETNPQLEFDRIKAYGYRLDIAAGTSVRYEPGDTKTVTLVE IAGHKVIRGGNHVATGKVDLSRAEDIVSKLQQGGFAHTPDPAADAAGISPFEMDREAY ATMFGPTTGDVVRLGFTDLWVKVEKDLTSYGDECKFGGGKTLREGMGQATGVSDEVSL DMVIVNALIVDWTGIYKADIGVKNGMIVGIGKAGNPDVMDGVTEGMIVGSCTDVIAGE GKIITAGGFDTHIHFICPQQVYEAITSGITSMLGGGTGPSAGTSATTCTPGKNYMRAM LQACDTLPVNLGITGKGNDSSPLALREQVIAGACGLKLHEDWGTTPSAIDSCLTVCDE LDIQCLIHTDTLNESGFVESTIAAFKDRAIHTYHTEGAGGGHAPDIISVVEHANVLPS STNPTRPYTRNTLDEHLDMLMVCHHLSKNIPEDVAFAESRIRAETIAAEDVLHDLGAI SMMSSDSQAMGRCGEVILRTWNTAHKNKVQRGTLKEDEGTGADNFRVKRYVSKYTINP AIAQGMGHIIGSVEVGKLADLVVWDPAWFGTKPMTVIKSGLISWAQMGDPNASIPTVQ PVIARPMFAPLVPKTSILFVSQTSITSGTIAKYGLKKRVEAVKNCRKIGKRDMKFNDV MPRMKVDPENYRVEADGVHATCEAATSLPLSQVAFVY LY89DRAFT_599289 IMAEAFGIAAGVIGVVSLSIQLADGVQKACDFCESIEDGPEDIE RISAELRLLSNCLQLISHEHQSGMADPSQDSLVLSALRIAKKDINELSVFVTELTSKI NPGQGKVKRKWGRVKIALSAAKIAKMKTHVESAKSTLNMLQASRTQ LY89DRAFT_788713 MSTPAAKRRRIETASQTLSKPFRSPFKTPLKSASPSTSSVPLTS KTTNSLLSHPPKTSSLPAPRAKKTYTSPVAAAALNADPDIAPLLRAQRELERELRELK EEVDTAEQARKIEAESKEGEVDGELVVLIGKWRGASRLAAEELFGKVSERVNRMGGPR AWKEMQKRQHEFQNNWDQEEENNNDDDDDDDHEDEDGERKDVEKRDIYAEYGIDPETE NEKSQRPNGLGDTGELPGQEDEFTMGMMLRTLNVDLDVIGYDKQQQRWVD LY89DRAFT_711455 MYQLTSTSVFKAPSPPGQEVYIYEILPCAAGLAAISSDDSLRLL DPLSLTLGSVKGNLHSESGKKRDPAVEGVTCLTGIEGESVVVTAGRDGWVRVVDSRSG EGVGGVRVAQNAPILSLACSSPYALAAGTELTNHQASILIWDTRNLETPIVQYVESHS DDVTELQFHPTRPQILLSGSTDGLVNIYNITITDEEEALHQTINHSASIHHANFLSDV DIFALSHDEKFSMYELVTNPEEGVEEHPPVHFGDLREKLGGEYVANVMRRPDGGAVAG IGTHSQESFDLVQLKNGPWTFQPETKVTLPGAHGSEIVRSYCFLDSHQTVLTCVFLQI SIPIYAQISSDDDVGTPVSVDTSAQPSVAAQSPTPQRPLGEPNDGSRRIDGTEQVDKA LFFLHRYERLNPRPARKPSGIIGTTGQYILALLPRLSIQGPSGTEEDVSKQLPSQVAE AVRLLEEAAQLNNPDAIFLLAEMNFYGNFTHPKNFPESFRRYHQLASLNGNSSAQHML GFMYATGIGGAVERDQAKSLLYHTFAAKGGSVKSEMTLAYRYHTGVGVAQNCDVSVKH YKAAADQAVKWFRSGPPGGMAWVPDSYRYADDDGGVYGEGASYSSAGINRNKAGVNSD AHAALDDVLEYLDLMSRKGDFKATFSLGRIHYDGQKGLPRDMQSAKWYFMKVAKLYWG RDGRIIEQEKPGLEKVATKAAGYIGRMFMRGEGVEQSFERAQIWFQRGIKSGDAGSQY GMGVMHLEGLGVPKNSAKATEFFKASAELDYSPAQVALGALYLDQGTPNDIQVASRYF ELAARYGHIESYYYLAEIIDQGLGRDRSCGLAAAYYKNVAEKAEPLLSSFAEANEAYE SGDYEIALIDNMFAAEQGYERAQANVAYILDQQKFRWSFPTWLSLTASRRPALLQNAA LALIYWTRSAKQSNIDSLVKMGDYYLAGVGTQADMEKAASCYTAASEYHQSAQALYNL AWMHENGVGLNQDFHLAKRYYDLALETNEEAYLPVTLSLFKLRMRSAWNTLTHGRVNS IQDEPAPKKQWSLSEWISNFLKDDPMLYDDNGFDDNYLPETDAMPGGDADGLYDDILD DGLLETFVIIGLAAALLFLIYYRQQHQLAHRRQEEAANGQNGAAPAGQQEDRGLFPQP GDPEFNQWVAGGIGH LY89DRAFT_788716 MVKPLTFKGDKKAKKRKRVDTEEKFGNGETSTSRELTTTQKDED PAVEDDSWVTAEATGDVVGPIVLVVPSEPPSCIACDAGGKVFASQLENIIDDDSSTAE PHDVRQVWIANRVAGTETFSFKGHHGKYLSCDKFGMLSANTEAVSPLESFLAIPTADT PGTFQIQNLRETFLTIKPSTSAKSNALPEIRGDGEAITFNTTFRIRMQARFKPKLKAS KEEKAREKISRKELEEAVGRRLEDDEVRKLKKARREGDYHEALLLIKVKNKHDKYS LY89DRAFT_701699 MSSAAVTVSLEDLKNGNVSFSTLEEAFGPDSLGIIIVKDVPSDF VQLRHRLLTYSSYLGNLPSFRLAKLENPAAKYLTGWSLGKETLKNGQIDTLKGSFYAN CAFYVDSSLDCAKPTSEFSPENFPEYLSPNLWPTLPGFKETFEDLCRLIIDTAVLVAK ACDRYAEKEIPEYKSGYLEHVVKTSTTTKARLLHYFPADPKTEESKTDDDWCATHLDH GCLTGLTSAMFINESRQIPAIPITYSYNPQFLPTLAELDASPDPTAGLYIQSRSGETV QVKIPRDCIAFQTGEALERITKGKFKAVPHFVKGVRPGVGEEGGRIARNTLAVFTQPN LGEVVDLEQGITFGEFARGIVEKNTTK LY89DRAFT_690808 MGEQDTSMMAPNHDAFETIFNGYKKHFDGTRTSTELTMLELLRK SHPNFHITCCGTHKCDLLGFAAAGHATSTLDKGSNDELYDATRSYKSPGPRMFFAGKP GLLRDNTRFGRYKYVWNDQEFLLYEVEYTAPFKPATKIFYLLSPRTLSSASEEGTSSA TDALLLAVGSWSTDLHKEIYVFDDGRWSKDASLYTSVRLSSWDDVILSPIMKTSLISD VQGFFDNRPLYKSLSVPWKRGIILHGLPGNGKTISIKALIAALEAREDPVPSLYVKSF DACQGQKFSIRQIFTHARIMAPCLLIFEDLDSLITPKTRSYFLNEVDGLESNDGILML GSTNHLESLDPAISKRPSRFDRKYHFELPGRAERTAYCEFWRRKVGESELVRWEEGVS GVVAGWSEGFSFAYLKELFVVALLTVARGGDVSSDAQETPDLKTEDENHLKEEKATPV AAADGEKAETKKEEKKKRTLPEVEVPEHLKDNQFLKAIKAQLQILLDEMDSTKEEDWP SDKPKGSGGLRGLVRRRMARGGDSDDD LY89DRAFT_788719 MLLKNTLAAIVSAAAVVAALPATAQPLSKRSVSFNWGSEKVRGL NIGGWLLLEPWITPSIFQGLNQSLGIVDEFTLTQQLGTEAAYNILKPHWDSWCTFADF QKIANAGFNTVRIPVGYWAYALEDGETYTQGAAPYIDAAVDWARGTGLKIWIDLHGAP GSQNGFDNSGHRISTPGWQQGDTVAQTLSILNTITAKYAQPSYQDVIVAIELLNEPLS SELNLDTLKQYYRDGYDQVRAISDTPVMLHDGFVSPSTWNGFLSVSDNNSQNVAVDHH EYQVFTDALVALVPWQHRQLVCNNAPTYASGADKWVVVGEWTAAMTDCAPALNGYGIG ARYDGTYPGSSYVGTCADKSNIAMWNETFKGDMRGYIEAQLEVFESHTQGWVFWNFKT ESAHEWDAFVLLDNGLFPQPLGSYEFSAICTS LY89DRAFT_724820 MESQRLVVKEKKSFRERYKPPPVPPPDRLPRFSESQSAKTFSRH KSGKSTQIVTAHEALTSTTPRPRINKMESRIPAPAASSVGTPRNLRLNKELPATPPMV PVIAVNMIQTTPKASGIPRVVPKTSTPKIATPKTGENAFKPRDFDGDSILALPDEQRR SIKLGLSGPTVRFSKDCDELVMGPDGKQQGKEKDKHKSKSQAEVVFQFRSTRTSDTAL TTSRLARPKPSPHQLPTSSSSDTNTKKEPNLKSRMTAMLHRRSVAPATPSAPNATALF EQGLAQLGTSLDAFRVAAAAAADSNQASQFTTRVQAILLGVTRTNEARVARLEVLTHL EALEVAEVVAVKEAVEAIDELVKKL LY89DRAFT_741658 MLMLATLRKLSVEINSIVSNNDEIIGDFLVFDSLRPLDTGLFGT PRQLKQNIARLTKVINNAVEKIAAEGSKEDLPIQDAALKDLVSPIISLELLIKMARSF QRSIERLLQELIDYGVTNDTEWTPDLANSLPFLGILIKEVQRKHNPSYQPGRTALADV ILPGGYRIPKDSVMIISIPHIHNDPTIWDDPAKSDPDRWNTDKAKNRHKAAYVPFAAG PRMCIGFNFALEEVRVIISMLIYRYQFEKVGQDPVDYDPAFQLIRPVNFYVRAKKRTS WPGKSKGVMT LY89DRAFT_629321 MADIKTISKDEVAKHRQKDDLWLVIHHNGYDVSKYLEDHPGGAY ALLEVAGQDSTAAFEDVGHSADARETMESFLIGKLEGAPEEDDYQASKLPIPKPNIKP GDNDFHSESPVLAIGRTILKVAVAGVTVYLAYEASARSPVVSWIAHHEGGFWKGALLS TMATLSVVTGAGLYFEQAMNAQSRTPYSYPAHFKPSIHIAKKPITKVKGWLKPEEYQK LPLAQKDKLSSNAFRFVFKLPNDDTILGLPIGQHIGIRADIEGKTVSRSYTPVSNNSD PGILKLVIKCYLDGLLTGKYLQNLQVGDLVEIRGPKGAMRYRKGMVAGGTGITPMFQA SHLLIRAICEDPTDTTHVSLLYGNNSEADILLRQELDEFQRRYPENLNVTHVLSHPSE SWNGAKGFVSKDMLEEKFPQPKKESKALLCEPPKLVDAMKKSLIDLGWNEPRAVSYLP DQVFCF LY89DRAFT_741660 MAPTLSSIKGRPVAVLGGGVLGRRIACVWAAGGWDVIIRDPSSE QRNAALHYIDNNVSSYARTTDATPGRTSAFERLPESVKEAWTVIEAVPENLSLKINTF AELEKYAPKDAILVSNSSSYKSSEMLEKVGEETKKRIMNTHYMMPPDNRIVELMTDGQ TEEELIPFYAERLREVGMHPIVAKKESTGFVFNRVWAAIKRECLSILAEDVSDAEQLD QVFMDMFHSPAGPCAMMDAVGLDTVAFIEEHYIKERGLNGDKTVDFLKKNFLDKGKLG AKSGKGGLLPPGHTTKTTGEKRSNHDQLSAPSLYFLNIGLNSLSDTLHSGRIVVGSPD GRNLRTIVSGQTLPDGLDISLKTGRIYWTNMGVPSSNDGIVQSCKLDGSDVKTVIPRG NVHTPKQLIIDQRNDKLYFCDREGLRVMRCNFDGSDHEILIQNGDFNNENDAADQMNW CVGISVNQKEGKFYWTQKGLSKGGKGRIFRASIEMPKGENASSRSDIETLFTGLPEPI DLEIDEDTQTLFWTDRGDPPMGNSLNSVKLENLRSLKDGDKNPNYEVLTRQLHEAIGL KLDQVNKHVYLTDLGGSVYRVGMDGKNKKKVYDEEAAFSGIGLAHV LY89DRAFT_658541 MDPAEFGISTLPLQHEPYAAISSETLAGTNVGKVAVVTGAGRGI GAAIAEAIAKTGANIALLDLSVESQAETKSECEKAGVKALAYSCDVADLEITQKVFQQ IESDLGSIDILVNCAGIFEQRPLAMASFESIWRQVEVNFKGPLITTHLVLSGMMKRRQ GCIINIASRSGTVDVPMCLAYNTSKAALIRMTHTLQREMELDGLDEEIQFYGLHPGGV LTAMGSSATAADVTEKYGIVKDEAFYKHLFKDAPALCGQTCAFLSTGKGKELRGLYLD SRQDVTKLLAAGREFLKKEELNRLGVKFLDGYCNEP LY89DRAFT_724823 MASNYSDLKISQLKDLLRARHLALWGLKSELVLRLQQSDTAAAR RIRGNGVQPSLQHGGISSSPAANSPMNHQNMMQRQQTLPGFVPSSSGRVGNADQPPYQ QPLPQSHSQPSYAPLSIPRPSSAQPSYEQPSNPRLSYAQPSYPQASSAPQPSYAPQPS YAPQPSYAQPSNSRPSYQQPSSAQLEPSYEQPSHDQGPRASRPQSDDATSSQRLPRSS PQPSPSNAPQYPPPPSPGAMRQANPYYFPKTPTKGKHQSDIEDPEPTATPSRIRYLAA KKPQQRYSENISLSPLVAGPVQAGRSSFTKSELQSTRSLANNEHSSFQPPGPPVTPHY GHMTKPESTNTPQSSFAGTGASSQTQARQSLAPLSSYRPTQAVPKSRTNQKLIPLLTF AELERKYFNLPGRNFVNFNDIVAKRTKWLADLGITDAVFQIMPLSLDQELTIQKAYDE KTVEEREAIEAKAKAKAQYLVSFLD LY89DRAFT_326940 MLGGDKERKCECGEENCTCTKDKDEKNDKPASADTGKNEKTAVA ETPVAAKGEQATLTQRKAAPPAPPAPAAK LY89DRAFT_676720 MKLSTILAVALFGISTYADTMSLIDALKYRANATKFADFLLANP DILAIYNSTTVQTVFAPTDDNFVTPLRRRDTSSQQQQLQYQYTNQLTGLEDLAPSNLP GGVIHTGLPAPQAGGTQATVSEKVAANTTTRRRNVPSTGIKILSGLGNSVNILTGDIP YCNGLIQSTDGFFTIPSPLSSTLNSTGLSTLSSLLSSANLTNTFDSSSSITSTSYTSL AGETLTITVNNGVYYVNGARIVKSDVIVGNGVAHTIDQVLVPAAPAIVYTGGSGGLRA GSLGLGLAAGVVVLGLLIL LY89DRAFT_741665 MPAIIQDVLSLVAPSSVYTVLQRFTMPTAAGPKAVIALTFESSK ILQSLYTLMLKMIVIQIWYLIVLIGISLSASPKKQRSHNISIANVIIWNAQAAPLDIV KLMFGYIAHISLYALTWTVLAAFAWAASIALSLLVSPDLIIGMAAPVAADAIYVPEYS PYKNTSSYSLRVEQISTPANLRAIGLADNLTTSANVSVGTPTTSVDGYGETIYQLDYS YQLSDVDFGLQHASGLLLAVNGSCITDYTWFAAKVDNPFIVTDVYVLFGNDSNTGNAS TADGGPPLATFYLDPAGPQAPIGSNISYAIIISSLGRKSFTPSSDPWYQTAQLPDDPA GAGYIVSRGKPVLSCWQTDIWSYGNESRSINDLEQLGVLPHSLVLIFQHFLSVPRIFN LAQSLGTTALKSATGSQGYYFDAQTSNIHDDLQRLVLGAYEATKNTLQETTKFSRQYT DISNLVLDDNGNVLPGTDEFVIYTGEAAALSIPMLIAVPIIAVLLLALNFLLTTSLPC YALPWGYVDALRAAVIYSALDNDPNNDLWDRSTPAPIYKVDPSKKGIVHEAHVRPGYN PDKRTLSWLGSGHGTPAMSPVFEKKAGDASIVPPAASPSSQKQD LY89DRAFT_326955 MGSRNSTHPCPTLLIGDFGHAERITPLLNNSVTQANLDLKQRFT STKGTQDYNTPEQFHRDWEARNWFDSDIAGNFNGFTYNLFGVGQIMYQIVTCLYDPPD IGDPFLPSYPISGAPALGRTFGPDLNAYAGEYSKVLTDLIYECLYENPTHRPTLETLK YEITRAYNLASQDDDNEIEPWKNFTAPTPLDQPVNIPADKGPRKRVQPTDPPSNFFAV KTRIFICQGVLKNGLQCGNRLRGKAGDARPRCAQCIRRRR LY89DRAFT_788728 MAGLYLYGIPLDSAEFERFRDDYNYWLRYNGRVDLDDEGIRDAY RRDNFVTANAPGGRVATAAKPVRPIDVPMLGGRDFAEGVWLAQRVPEIMNYSFPAVNW KGVRVLGRGGMGTVGHWSYDKTQANLPLTCDVAVKEIMDPRYDLTDEANLMLKIPENS EHALRLVKDPRFADLGLEGLPNAWMGVTKRLIMEYYTQGTLLDLLKRRIATQTKFSEY TLWLIFECLIDGISLLEYGEELGFNAVSKQAVIPPLALDWKQGIVHFDLKPSNILLEK TRSHAYTPICKIGDLGLAQVIDVNITLSEEKKKMYRSDGTPGYYTPEQFSLRWNALDW DTSGVAGLYGYATNVWQVGAIMWELAMFRRLNQVSNFLPAGTINGVAPLGETYGRDIE TSTYSNGLQELILACLYEKPGFRPTLPFLKTKIIGALEPLDSQGVEPEPWASFRAPDP VERNPPVVPPVDPAPIIPPAPPPVVAPIVPPLVAPAPAPAPAPVRNVIYRCTFILADG SQCKNQRKLPFGAPNPRCTTHANLVRYP LY89DRAFT_599386 MAPSTIKLNTGHAMPQVGFGLWKVDNATCADTVYNAIKVGYRLF DGACVADYGNEKEAGEGVARAIKDGLVKREDLFLVSKLWNSFHDGDQVEPICRKQLAD WGIDYFDLFIVHFPVALKYVDPSVRYPPGWAVDGKDDVQLSNASIQETWTAMEDIHKK GLAKSIGISNFQGSLILDLLRYAKIRPATLQIEHHPYLVQPTLLKLAESEGIAVTAYS SFGPQSFIELEWQKAKDTPVLFEHPVVTKIADRTKKTPAQVLLRWATQRGLAVVPKSN NPERLQQNLKVTDFDLEQADLDAISGLDRHLRFNNPTDVSPPFAHF LY89DRAFT_599379 MPSEKETTPPLHISISGSDAEGHETPKTPRSSSGWDGKLRVEKK LELANPEALSDPEYSDDENVVPGETIDADEDLLDDYPLDTEEIDCVHARISSISSLKL ERFTSVTRLCLRQNSITDIEALSCLASTLTDLDLYDNLIAHIRNLESLVKLTNLDLSF NKIKHIKHISHLVNLTDLYFVQNKIGTIENLEGLTKLRNLELAANRIREIKGLETLVG LEELWFGKNKITEMKGLDSLQNLKIISIQSNRIREISGLENLPQLEELYISHNALTTF EGLEHVTSLRVLDVSNNQISSLKGIEHLKELEELWASYNKIADFAEVETVLGDKKKLN TVYFEGNPLQLRGPTLYRNKVRLSLPQVMQIDASKSCSCLLVRGQS LY89DRAFT_701709 MVVFQNKIIYMPGLPPNARREKIEDYKNQCGGIQWREERTVAAD GTRISLCVANVNCELDTDLPVKTVYVLYFQGNASSIPPRLPFLSPVLRLLSDRATFPA RYTMVCCSYRGYWTSKGRPSENGIAMDAVAALKWIREPHGEEAPKPPAESIPIVFWGQ SIGAGVATGLAAQAHIFSRSNFLLKAVILETPFLSIRAMLETLYPQKWLPYRYLWPFL RNHLDSWAGLGLMRRSFREIGLDPPSILILEAGRDELVPKEHATALERRCLEVGLGVK RRVISNALHTEVMARSEGRLAVCLSKRTRRTRTYIRRIPKSVP LY89DRAFT_741672 MEYGGAEATIDSVAGAATVDESLQYRAILHFDLKAGNIFLAERD ENHKETPVAKVGDFGGSYPVTASGTKDYSKRMEMRRVLGTSRFYAPEQFSNR LY89DRAFT_690816 MFQLAVITRFGIGYEPFLPSFNIAGAPPKGVSYGLNLEPFPYSE SLKGLIFSCLYEDPRFRPSPLELRTEVARGLKVAIANGGTVDPWDYFHPPVVAPAPPA EPPVVPPPAVPPPAVAPEPPPPIVTPAPMPLIPSTCQHIFKQGDNSGKKCGASCMTFR DVINAGNQRCQRHPRDRFP LY89DRAFT_676729 MAVLQRAQVSSIMKARMRARSRKLTTRQRSMDDFGLQFSTWINT HANGKADQCGRSRFMEEKPQLAKVIQRTKRNDTTELPERQQGNTPTSSPKLDIVYLST DLCPGGDHYSRSVITGSTAAERIIQCTSNLQASWSWLSIENVTMPGRYTNYVLEVVST SLRSPPEEQGEKEQTKTQYLRTEVPYQNRKCNHPSVHFQITSANLPTSDHHGRRVIYS VYTDMIADGEHISEMHDPSISKPP LY89DRAFT_741674 MSNQICNTADDDTLAITRELPMIGKFTFHDIILITELVCVVITF VLSFYQILRHALAYTRPTEQKYILRILFMVPLYSFANLLSTEFLPYATYFLILSSSYA AIAVASYFSLLCHYLAPDGNSTSLFSNITPRPWQNCFPLPLRWMRDHLGGQTYFLATP NCGLKWFKSLTLNALSATIAMYCLDQFTWQMKQKLSVHKPTLKLCLFWHSSLLLGSLQ RPQSDLRVGIPSLMLCCELLFTSMLHMHAFSWKEYDEQSVKGKHQGGLLGGRAVLEAL NMIDIVRACGAAMEWLLKKKKTDWAPERNEDDMSLSTAPLSEEKY LY89DRAFT_658553 MSSNATLPDLAKAGISLPNTPLIRGALGHLKKYTSPTTVNHCIR SAYFAILLSRKIPRSSSEPLDVELIVFSTIMHDLGWATDKTLLSKDKRVEVDGANMAR SYLEEVKLSNGWDKHRTQLMWDAIALHATPSFALHKEAEVKIAHIGIMADFWGPNYPG YPITIEENKEIVDAFPRLGFKEQFLDIMCGLCALKPGTTYDNFVADYGVEFGLDGKGT DKEDFAKKRFALSSPQIFYPAIGSYEQDGD LY89DRAFT_711466 MPIITPAKLLQRNKKYAENHQAFPTMAEVVENGGVFETTLVLTC VDFRVNPDHFLQTKPEDQILVARSPAGRVDDSFVKEVMLFEAFLGLTSVLVIHHTDCG ASHFKDANIRKFHKDRLPDHLEIDNMVFGAFDNLGQSVRDDIRFLKTSPYIPNKLADK CHGFIYDIKTGLLTPVEYDDSA LY89DRAFT_599099 MEPNPDISDDSALLQKAKTRVLLLFDELPEWARDNEYILSGWRP ETNSYWESLMSMGYIHNESGNIYTHFFAALWMVVLGSWWSGYATERYPATNSDDAIVF FLFFLGGTVCYLLSTAYHVFSNHSHSTHLFCLKLDYLGILTVTAGCFPPGLWYTFPCA SRQVKFTWIAVDLTAQFCAAPLALFSKSFQAPKMRPLRGFVFSVMASSAFYPIIVKIF QVGWLRANAEYGASLYGLTILIYLCSVTTYALRVTEAWKPGRFDIWGHSHQIFHVGMA IGLTVHFLAFFRAVNQFYAVKHGQCPE LY89DRAFT_690819 MIPDTFKHDSPGKTPSGGTMFTLFNRLPMELRLKIWEAALPGPR VVNIREKRLKRSRQAEETFPGLATFSRDNLVGLTSDSKAHLCYSLVQNPLISL LY89DRAFT_741678 MHGEAGLGNAIAYNVTASRWTSEDPSTPSSLSATGTTSSTDFYE VVDTAIIIAKGKRSSTLQTYHHAGAMMCMWSGIRYMSPPIWAWVAYNSGIHTMMYTYY TLTALSVPIPQTLKKSLTTMQIIQFLVGASYAAIHSFIAYIIPIQVPSPKGSPAALKH HTAYQTVSCINTSGETFAIWFNVLYLTPLTMLFVRFFVKSYFRSPKDGKRSSSKKVE LY89DRAFT_658558 MAVSDKFYAIVAGVGPGTGRSAAIRFSEAYPVVLLARSPESYKD VVAEINKGGRKAIGITADATDEAALKAAFETIKKEIPGLKLAAAIYNIRPNSRPSRKP FLELTSEDLDVSLKGNIHGLFNFGQSVIPLLLESVEGSPHPPSLIVTGATASIRGSKL WSVIAAGKSGQRILAQSLAREFGPQGVHVAHAIIDGGIDVPDATYYPRNEGTPETKIS PYAIAESYWFLHTQHKSAFSQEIDLRPFIEKF LY89DRAFT_676737 MPPQYRSKKRRTNRTSKTERGLSLQSVLAKPSAQPLDKAASHIS PPPHLRAYSESQDIAPYQSLPRGAAETLLARDQRNGMIGDPPLMSTDPPDVPPTRRHS RRQGLKVTFAEDVILGDPPLVNDTPITPARKVLPTRSVLESPTWEVNTPEEIESFRST RKRQFGQYMENSETGTALEDDSWSRGTSPSRESHGNLGQQHHTAPGSAQPTSTPANVD RQQQSRTNINSITDYFSCSLSFLFREALRRSLNTKPSAEFVDRLVFKDELAASTYVAT ETRRGWLVHSLYDQDIQEQITAWNTLVDSGGLNGLDKNVLVKLQSDIGDAIKIMVTQK RVAELALMRLREAALNRKVGLDSPDRLTGLAALRGSFWWKKLGLDNGDGIDQWVW LY89DRAFT_327215 MSLYANPNGNANPNGNAPAEPQVPKASQACMSCRKQKRKCSKTL PACGLCERMNRHCDYSDASPPPTSEDFMALQRQVSKLESILKGEGGVMNQPSPYQTSA SGLASSDGLPQAPVYTPLQDIPWQGLQNRFPVIAFLDNGSFKSGGINVPRPSVEIPVD ALEMLGNGDSVSVTINEYFSTVHKWLPIVSEKRLTRNMQNPMWEGGPDLALLFLCMKL ITSKPQDGLDCAHNPIYLSAKRFVGLMEATGTASLLVLQANLLVTWYEYSQAVYPAAW MSAGWCLRYGNMLGINGHEEAAQLLGPLNTWTEREERRRTWWGVLLTDRIVSLGSQGH IMNSQEPTAGDFLPADDTAWENGELQAMEKKVSNPLEDPVAPFPRLCQAFVQLGKVLK HNHVSKPADPHEPQKPRFIEASILYSEISLLARTLLVEANNSKDFLAFAAPLALTYSA LCTLCESYSCPKGCKADTAEEQAMQAQAIDGLKWVSLSIMEFSDRITKESPMPHDLDR VSPIIMDALYSAACNYAWLFRENGDAASQAALESIRLSLRRLQTRWRNAAEYLRILEA QEFVGFAGRNRGS LY89DRAFT_327211 MQSYLAYKRFGKNARDQYERDRKRAEALSRGNAENDVQAQGEPL GQRQSSIYSSHTEIPSPPGDQSPDTRDPEKGEQPSEDGGEIDHAPTMETIHTTHSFGT RMGHAMTGIEVRELSKEMTRRRTRASGRTNADDKQPEREKVFVVGYESETDPMDPHNW SYTTRILATIMIAWIGFIVGFASAVDSAALTQAAKEFGVAEVTESLATGLFLVGFGFG ALFAGPISETVGRNPVYIVTLAIYMIWIMASALAPNIGAQLVFRFLAGFFGSTPLTCA GGSISDLWNPMERVYAFPVFANAAFMGPIFGPVVGGFVGQSTLVSWRWCEWITLIISG AILALILLFQPETFAPILLYWKASHLRKITGDSRYVAEVEIRADPFFTRLLHALYRPF LLTIREPIVVLFALYLTIVYIILFTFLDGYTYIFGDTYGFSEGITGLAFLGIAIGLCG ASVLVPLIHHWAKRDLAALQAAHGPSAKLPPEKRLWFAMFGAPAVPISLFWMGWTNYP SISYWSGLLASVLFGYGILCIFISSYQYIIDSYEMYAASALASLTLIRYVAAGCMVEV GIPFYENLGVHWTLTILGAISALMVPVPYAFYRYGPKIRSWSKYAQNNNEE LY89DRAFT_711471 MFARQAFRAAQPLKTQTRRYATEPSTGGSNTLLYAGIAAALAGG GYYFYNQGDNAAKVKGAAKDAEAKAKQDVGAAKGKVEGAVGKSAFTGGDQGFISLKLE SVENINHNTKKFRFALPEEDQVSGLKVASALLTKFKGPEMEKPAIRPYTPVNDEDEQG YLDLLVKKYPNGAMSSHMHDMEPGQRLDFKGPIPKYPWETNKHKHIALIAGGTGITPM YQVARAIFNNPEDKTKVTLIFANVTEEDILLKKEWEHLENTYPQRFRAFYVLDKPPKE WKGNSGYITKELLKTVLPEPKEENIKVFVCGPPPMYKAISGVKKSPSDQGELDGSILE QLGYSKDQVYKF LY89DRAFT_676741 MAEMYDHTIHSRNTFHNGLPTPDSELENNKHRRPSLYATPVPHS NIAMLCHTPDELVQAIQQFTKQDDTVIPGLEAMQEHYSLAFQNQQNDHRCPAEDLDQL SFYFNIFDRLFFFSSLQNLCAIRLKSIEGHHAGQLDSHRSNWRTEVPPTHACLITISD LTTTKPPLPYQKRMKKYLGTLLHEMLHAYFEVYGCMCTEVCTWRYNTLVGIHGSSWQD AALAIENATCRLVGERIDLGRVDSLAMNWIAAKSMPVEGTLDLASWGMTYAEVRTAYD YYVELYHK LY89DRAFT_327299 MRSSAISITHTMVGKPQQLPRPRAMSACHLSSEDLPKSSKERYT NWFRTKPLSLTHEQAIELINDANLAPEKLRILTYRFTWRLQALECGTMSNQVLLEQFL PIFDMIFFFDLLQPELCQLRFIAKGAPKWIANFEKKSGRMNAYTNRCERKESSIISRI TFFEKDLADDKTQTLAHLGTLAHEMLHSFFHIYVCRCEEKCKAHLKEKNGLTGHGLPW HRCALTIETALQKALDPSIHLSRTLVMAKELNQRNESTSTMPLGELGLVVSEVEHRIA QYKSMVKTKVKEVKGDGPESDDSEGTASDGTVC LY89DRAFT_676743 MTVPSWSWHRCNCSRDCQQDSEKARGLHGHGPAWQNSARAIENA SQRFLQDHLDMGRARNLISDWLKEGYMPSEGSLNVGEWGFTYTELSRTFQRKKARRQR KQEKMVDQGKIQSN LY89DRAFT_676744 MATISSIDGQLTTLLISLKGTCILANLESFAVLGCDKTMSNSKN RLCNSSTSDKSSSVKLSMRASRSRSTLVGYDDELEYKLDTAHGSNTKVKKLTWPDHLL GVFCIKRGSRVSSTMLTMPIRPEEDQGNQPSPWILSVYVLSRQKDESRHFIPKEAKCT IDTGNLQGNLVSRAFVTDVLGYSESHFQPLTKAEEVGGTGVTGHKLIPQGAISLTWYH SNSTRVFRDMRFLISEHPMYDLIIGSQSIHQNRILDVPNLGDDPHLVSNPVLGGKLAS IQLLIPALIDNFTAEELEKCRDRMTHLKGEYSVIHRQAQKKKNDTKLQETCKEVKAKL DAAEKAFDVEHRRFQIHRYWVFWAKHPEGKGRKKAHQDQLDKWQAEWHEAFPGEGNIP AENLTSLQGS LY89DRAFT_741686 MSPTTTAFSSPSPSPAERWNHPNKNSSEDDVNKPVPGWPSLAKT VADTRGFAAFPSFTDLNVKSLLYYQAQLIRLRKELHKTEFEDYFHGADPERNFADDLD WMFDVDDSRQSGPEQKNSEQTESEEKGSGQDDSEQQDSDEEDSQHRSLEQLRILEKIR TILDKYNNALIQFSQVSAFPDADSSNVDCLRKFARKVCDPTAIIGTGSQTWGTLRAEK GTSGTRETFWPLFWGLFVGFFKTQEVKRDPVKNEFQEHLIVPRVGSKPDGLTTWVTYS FIPLFHHVWQGWGSPTWAGLWTRVTGPFSSCHLPKCWQDASPPTDIAASRGETEPKDE KNLAGVTAYSKSWIFRVTSILTTIVACLLPVIAIVVLSRVHSMGMILGLIALFNSIFA FGLVLISTGSSRVDIFTATAAFSAVMVVFVQNKIGQN LY89DRAFT_599296 MGSTTSNNSFLDQHRIKGILLYGPPGTGKTHLSRAIAKESGATM LSVDSATLVNKYIGEKEKHIKAAFSLASRLSPCLIFLDEVDALFYDRRTAEKSWERSA ITQFLLELDGLVNNDKAPFVIVATNRPWDLDAAFLRRLPQKVPMMLPDVEARSKILKV IVKSEDLDPLVDIEALARETDGCSGSDLRNLCSETALMWAIEQSKKRRGKEISSLDFE KMRLNSKHFTKALQKIRPSVSKECLLEFEEFINRFNPPLNQVWF LY89DRAFT_764265 SSQTHEYLHQRASDITLEDYEYVIVGSGPGGSPLAARLALAGYK VLLIDAGGDYGNATILQVPALHPFASEYEPITWDFFVQHYADLEQQKMDSKMTYKLPD GSYYVGLNPPEDATPLGILYPRAGTLGGCSEHNALIMIYPHEIDWQYIQNITGDDSWS PANMRTYYERLENCHYLPNSVIGHGFDGWMPTTVTNPELIVQDQKVLSLVLAAATTMG QNWGLLGKIITTVAGLAEILLLDINNPSPERYNTEALYQVPLSMNFPEYSRASPREWV LSIANAKNADGSRKYHLDLQINTLVTKVTFNTTGPTPRATGVEFLVGQSLYRADPRSN ASTPNGTPRSVKATREVIVSGGAFNTPQILKLSGIGPAAELNSFGILVIKDLPGVGTN LQDRYEIGVVGKSSSNFSLLQDCTYLQGYDPCLVQWEDVPLLKGAYSTNGIALAYPKH SSIAVDVPDLYLGGVPAYFKGYFPKYAEFATADKSIWTWLTLKAHSRNNAGTVQLRSA DPRDTPNITFNSFNGAGGEEDLQAVYEGMQFGIEAFENLIPLDGGFERVWPTPNITSE ADLKEFARNEAWGHHASCTCPIGADDDEMAVLDADFRVRGVDGLRVVDASVFPKIPGF YIVLPIYMISEKAADVIIAAAGAS LY89DRAFT_690831 MQFLAITIASLALGSQVLAAPLTVNGVVTEVVSLPGQAVSTVES VVKRAIDVLSTVNSVESEALALPSTVTTEVSGVVGTVAKRYNNQSMVLTVLSSVSTLK TSVQSDLSTISSTLVSDVTTSIVPTVHFSLLNIMSAVNGTSATVVSSVMSLALPLAAG EVEAVISTIGEVESMVSDIKSTLETLVGSVEADVMGLIAPEVSAVLTLVMPLVSPLTA FAFSVAGTVTGTDAASLLTGAASSLTGVAGDLLSPVGAVLSAL LY89DRAFT_571499 AGELTSEELERIVTIIQNPTQYKIPAWFLNRQRDIVDGKDSQIL ANGVDSKLRDDLERLKKIRAHRGLRHYWGLRVRGQHSKTTGRRGRTVGVSKKKGG LY89DRAFT_562921 ELQLSCSVKTLKRRCKEAGYYSCICCQKPYLTKTQANARWLWGI AHMFWTIWEWSQILYSDEVTFQVGGKKCKQRCIRNKKERCYPDCIQFQMHRGGTIPVH FFGAVGYGYKSPLINIHGTGKSGAFTQTDYLAQVLKPYIQDFLAAFAAVLGPGKTPQF MEDGNSAHGHKTTSNICATWRTSMGITLFPHPAVSPDMNPIEKCWRRIKQALHRRLRQ PTTEVQMVVAVLEEWDKIPQEWINGLI LY89DRAFT_788748 MTVANGMGTAIFPAHFYNALRQKQNGIAPWPIMEELIAIHGENR LFLGSKPTTIMDSYKQIVLTLGHSVADFSANLRKRKLRPSKNGPRGLKESSPLSEIFR QNWTVEGNVVIDKSALTLHRVEALLTEQALDEEIAGNPVSKRVRHKMAVSKQLSPLDL LDALMVSIPRELPKLQYDYFELHRQSIGVLRKVCAEMDEDFKRYIGEGYLENESQLPF LGPYEHGDKSPCVQAVEPRN LY89DRAFT_741693 MLSEFLEGTYKKYKNDTSTFLKWLYETGTKCGYVPDAPTTAKKQ VEITPQKSARLKGKARKEAKAAKLVATSMPDTSAGVLSHEVPRRDLMPLAQAVLYSQD SSIKVPVKILQVGLRAVSTRKKLTESFSKNTEASDVETLESNYSHSYFNDLMERVILT LQPRFATMAGTNIKEKDPTQNNETIADLSAEPDYKFYEAEEDAREAKAFAFHCLFTDL NKLRSYVERVWRDYREDKLDLITASVVTNTAVQLAIRTQDEFVASFPGTGSYKDTWVT LYTEMGVKLFKEGHTFGMFNVSEDVEDWAFRRAYSILSEFTKVLNPKSIPMVKRGFYG VYHPQADRTRMSRIEKQQEDVQVLLEILPEFCFLAKFDIAMLGQDEVTRGLRQFALTK AIPIWLVFAVEIFLNIHHILRETVDSGFQVLTLTATRFTQTLNRYFELSDGFTKPKSW PVQNEQLFHILKDLMGIQIFNDAILP LY89DRAFT_701718 MASLLQRIAAAGKTYEGNEAGSREDLINLSRARSFWAESAMSAH IRLAVDVQLFQHLRDFGDIGLSAQTLAEKTGLDVLLLGRLIETSSFHATALSNGLSEE IYQHSISFGYDAARPSFNAFPEYFKKIGYKQPTSLTDGPFQDAHRTQLPFFDWLVATP PNLQHFGSFMAAYRAGKANWYDPGFYPVADRLIHGFDSSMSDILLVDVGGGEGHDMTL FAAQHTFHPGRIVLQDREPVIASVVETLKADSPFEAQAHDFFTTQPIKGARAYSLHSI LHDWGDDDGVRILENLKPALRPGYSRVLLNEIVLSEENPTLAAASMDMMMLAHFAVRE RTEADWKAILERVGLKFVKIYTYPGVAESVIEAELAS LY89DRAFT_690832 MFSFSYVFALANFMSSMVTCTLFEQQRLFSSIDVTSKPSIPGGS TFEYCSESDPENDIFIIEKIKWDPNPPTISREQKLLVFGTFSQRIPSSANGTGTVYIP EWHNPESPASNYTETSPFCTWPNFVYQTDEARGLHKVCDGAVGANFSAPEAGSAILVS EDILFWPLPEGEYGLKLDMLMLKDEEGEGGERIFCFEGKVDLSY LY89DRAFT_676752 MGDRSRLIGGSALHDDDPNLDEDGQKEDINTRELGYAKDPDTTP PLSSRPSLLSVADLKRKAEEEEQKAQRQAGWERVDKAIEKAEFEEREYWDQFTQAQEI QKKEEASKTSSALSRGKTFHQREKEKAAAESRAGTRRASKTPSFELPDLLVALASHML YSLFASGESRSTPEPTSHSFDSATDLWRPGGDGFGKKATVAVKRKSNQNKGRGGKASG NKAPGVHKRVSDLGGSVEKESSSLPEESGDTASSLPELNFSSPDKSAALQENNEVTSS MLGPRASSPTPSQSSTEDCFDDNSKKFGTWARANPQQSEDEPRLFPSPEHDFECAEAG ESEPEGKSNDLASAEGKPEDGGKESDFETFSQL LY89DRAFT_724851 MAGKAHQSLNVMKKPLGLFSKDPMTGFYRTGFCDVGPEDAGNHS VAATLTDPFLDFTASRGNDLRGVGLTAGCKWCLCASRWKEAMLFAKEKGEGGGKEGEG KGDVGKGDVVPKVHLHATHERALDVVGMEDLKKYAAEPEVGNASNVAQSRKGGMGGAV KERTELAGKEGMTSRE LY89DRAFT_327913 MLTNGKEVLGRKQDAGSSLLLLPPSPIFLYLTRYLSGGFRHSAQ MQARTRGGTGTSRETIPSLFICEEWKTDHLSGMSTPYFPINQTTRYKI LY89DRAFT_328130 MPLLVVPESGFTLESSPGGSSKAGSVVPDVFGLTLTDGMIEEMI KCVQNGKPIQLSLGEHPSFSYGTKTQHLASSHDPFTYDLYQSTNSSDSDSSDSEMAPS HFIPKKPALLANMGPRNLLFPSTSKIAVVKPTYRTGVHPKTSGTVAGGDPALAQLTNH NAAIESNKQANTVKFIKDGSLGMPGRKGAPAKGATNKNKFLSQSRLTSDATRSIPSSP SLNASGSPSLGATSVPFSTQQFEQAKAARKPVIHLLAVEPMTEKALREKLPNITKEEL KQVLEKVGDRVESNNSKWELNKKYYRELDVWSFDYDPISDRQRAIDNAVRQYDKLRIN ASEPEWDRLLIKAERGTGKCLSKLQVSIAQGTAGRAPKIKVQKADGSDTPNGEEEDLF GDKTVSKVKGESTTRSTSQPPATKTKKISEKEAQAKRLLSKNPSKAAAKAAPKAAPAK KQAAAPKAGTKVLSSEFVEESDDELPPPAPKPKPKAPMKRPREEEEVETSDSSVPLSK KAKKETPVTNHRVSDASQTSRTTTSSTSSYNSGYNSTKNKNTSPHKSSPLASSPPTNA SEFENSSGDRTSSSASPAHPSLKNSHSPIHKRHQKSSSVASSVSSSGSTRLRPEVADL ARKFKMYYPKYEVLYKEITAMGASGQRDHSKEKDLLEMHARLEDMKKSIQAGIISESD SQ LY89DRAFT_327894 MKPFLHPLLSYPILITLILSHHPPIPQNPISKSYVNLKYSQQTK PPKKIHRSNPNQKDRGIGSNPPSHPIYTLPNEPTYIPRYIHTYRSMQGTRVPLRLSLA RSSGLFNSSGDGGGGAG LY89DRAFT_690837 MATTKTEAKEKLDAQVKSVDMSDEMQMEAIEVAQEAMSKYSIEK DIAMHIKKTFDERKGPTWHCIVGRNFGSFVTHETKHFIYFYLGHCAILLFKTQ LY89DRAFT_724854 MESSRIFIKGLPPNITEEEFKKHFAKTSALTDAKLIPHRRIGYI GYKTPEDASKAVKYFNRSFIRMSKIGVELARPISDSTLPVSRKAQREHDRENAKTHRK DQAEATPTILTTATKRKRSEADESDSKLKEFLEVMQPASKSKTWKPQEDETSMEPPTK VQAVELPEAESDGEYEAVPKKARKQSPPRPVAQPDIISAPPPAANDQNDFAVIEPTAP DATDDDWLRSRTNRLLDLMDPEEITGPHSAQPGTSSPDVDVEMTVDVPDEPIAEAEMD LEEPEPAEDKPDPTVEAIRATGRLFVRNLSYTATEDDLREHFAAHGTLEEVHLPVDSS GKSKGFVLVQYADPDVAAEAFHNLDGEPFQGRLLHILPATAKRENKLDEFSIAKLPLK KQKQIKKKAEASATTFNWNSLFMNQDAVNEAVAHRLGVSKSEMLDPTSADSGVKQAIA ETSAIQETKGYFAKHGIDLDAFKRRERGDTSILVKNFPYGMTLDELRKMFEDFGQVLR VLMPPIGTIAIVEFAQPTHARAAFGSLAYRRFKDSVLFLEKGPKDLFTTESSGAVMIS DVKGGDSGDKKLSTSDLLEREHAGEMIDTSTLFVRNLNFTTANDRLTEVFKPLDGFIS ARVKTKTDPKKPGQILSMGFGFLEFKTKDQAQAALKAMDGYVLDGHKLSIKASHKGLD AAEERRREDNAKKSAGKRTKIIIKNLPFQATKKDVRALFGAYGQLRSVRVPQKFDHTT RGFAFANFITSREAENALEALKDTHLLGRRLVLEFAAEDAVDAEEEIAKMEEKVGSQV NKVAIHKLTGGGRKKFNIGDDGEAGED LY89DRAFT_658590 MELRRHILPSNYPSQDISLDDSSSRDRQPLADSAGNAQLHTLAS IGLNHDSKGFLPRLDRPLYAIPTVPSQPARLPIGNTLELRRQHTRRRRHMRYSRNPIV DSPQYQAYRARQNRDGNADDLKWPAILELAFLDVHRLFPPKHGPRNGFEDSFKNDPCL KALSEGRLPNKSYDQYDNINHLIASSHEPVRPVEFWLLMSSILNFRAGDMNNEAAVAH KFTNIGAQKHRDKLESVLGWRQKFPQLYQLDSIGELNCDIIHMDATLSLLATEPPPGS ELVSQSILSILGRQPDHCAWRMVTTLHKPPELYRDPLTDERLENEASSVDVISKTDVE TRIKASFPIFHWAHAFSCLVGIQAQYDEHQRSLQSYGTSSEPSSRPAREYVEQVSMYQ EVQNAAGPGMPFIRRAVIIWTFHQAREGEGNGTNWRYLDPPPCRRMCMSPSPHPSHHV SSAMNENFNSWADGPLHLQQSMLDPFVQGLATPPHTAGLQPPFGASPYPFSGSSYDIP VENLSFGSTSTVDSENTLVDPDASSNIDHFLSNVNMADYSQDASTWQLPTTENFDADP AWANYTVPSSTPAGGWDEAKNAPWPDLPDTKHMNRTDDASSKHDWTEPGSPSKHMSYI EQNIEQKLLPWIEGHRNGDTETTEPVLQDLITEPLQEVDINAATQTPKPTTEEWIDPD DEFDYAGLVERLK LY89DRAFT_764330 MSTCYYRAHRILTRSSTTTWKPFAHHLGEVERKFLDNITDIDED QINQFRNSGLSNLTTQCNSMDPESYQVFHRYFNVFNRMFFFHSFNRRNCTIRMIHRDG DDSMEWEANKDSLEEVYGHTLREPYGRPHREIVHCPITIFQRPWDTPESRLKSYIETL LHEMVDAFIVTYTCGCEICQELFAQEYGQRGHGETWHAMATALEETVTDRLGIDVSLG IPAAMSDEWYRTNADLDGVDLRRLDRSSIEKLIEQCWFYNGDPSGENDDDNDDNEESD ASEDSSEEEYIYD LY89DRAFT_690841 MASPTKIFPPEKIRAVVEDVASLLKERKETVSVAETAAGGIISA SLLSTPGASGFYKGGLTLYTLESRIAFAGWTQENITSYAGPTTDVVAGLARNVRAKLG STYTVCESGTAGPTGGKTANRTPGYVALAVATERGVFERELSTGLGGDREANMVQFAV EALGLLKDVIKGDAKL LY89DRAFT_690843 MGSRHLPLPPLYWGRERGDRPRYDSVTDRYGRPSDFYVGFEDLS IDARGHTSDVRVVDVRTIDPRYLDTRTSPSGRGYQGHLESNFPNHRDREPRLRSAMSS RKSSVSFGRGMKKLSRVLTKSEEYFSQFIGDFDQDMNSTEKYATLEIQEQLWRLKVAG KRDKRTIKDDQNHQEEDGEKPKKKFEEKRKDLIHALQLALTVRLDEDRDTSKAKARIE TANRLQDKIRVANDQISELLDKSTKDRDHCGALLNEIRLLKNLIDPEMDGNKDLFKVT DGEPDGASDAEEEGRGSGREAWEN LY89DRAFT_599384 MCFVFTCGEHEFSSLVQGYENVICQCHNCGNYSAKVVKRNPWFT FCFIPVIPLSVHGYEDVVCSICNFAQPLQNRQDIIAQANGGGMPLQHQPPPGAPQGWG GGPPGGGQPKPPQNMQYG LY89DRAFT_328236 MAIAAPLSVGWGYGIVLGLGSVFAFGMILVTWILRRYNHELQTS EMFSTAGRTVKSGLVASAVVSSWTWAATLLQSSGIAYRYGVSGPFWYASGATVQILLF ATIAIELKRRAPNAHTFLEVIKARYGTPAHITFIVFGLMTNILVTAMLLTGGSAVVTA LTGMPTPAACFLLPLGVILYTMFGGIKATFLTDWVHTFMLLIIILIFAFTTYATSSTL GSPGAVFDLLVKAAADHPVDGNAGGSYLTMKSTQGAIFFVINIVGNFGTVFLDNGYYN KAIAASPVHALPGYIMGGISWFAIPWLCATTMGLAGLALEGNPAFPTYPDRMSSADVS AGLVLPYAAVALLGSGGAVCTLLIVFMAVTSASSAELIAVSSIFTYDIYQTYFEPTAA GKKLIYMSHCMVVGFGLFMASFSVGLYYAGISMGYLYVMMGVIISSAVIPATLTLMWA GHNKWAATLTPWFGLACALIAWLVTASKTCGALDVSCTGSNNPMLAGNVMALLSPLIF TPLFTLIFGLDHYDWASMAAIRKGDDHDMAAAAHMDLELIPGEREESAAEYEAEQAKL LRASKIAKAMTVLLTISLLVLWPMPMYGTGYIFSKKFFTGWVVVGIMWLMGSLLCVGV FPVFEGRKDLAYTIRAIWLDVTGKKHPSSFHTPQATFVEGKVGGDESPVNREKEVGGG VKEG LY89DRAFT_328516 MDDVQSLISISSQKPKDLGYPWETGQTGEYVKIDDGLEKPFYLP FDLCSTPKDFIGILTHKYKSKQLPGLPQVQRGHFGALNWSESTYITLDNWESMVREGG GVKIAFIMSTWHGFPLGKCMRCYKPRGKNTAGPRQTKCFSCGLEVRRVEPDAIPFEAT DVSVGFGPDQYRKYQITSRKKTSPGTTPPATSAPETAKHNTFSDNPPIDFVCKRLIVK WEPIFNFVHRYLHCRCVIRLSTPSHIEKEQTLLTFAQCPRHNETGATHLDYGDLDYHS DKYFDRFGGYRSFQAMIYAFAPNIYEILGREKFLAGEQIDAAFDALNAFRRGDEMRLR KAFLEYVYEVNDELERNGFPLPESAVHGVKDERRRREEAHAVGNKELGFPEFSKDLRK EFQRDIREVPMMKEVDEILDGKWSGKPHWMIED LY89DRAFT_540583 MAEVLGVVASGISVASLAIQILDNINRVTNFYASIKEAPTDIQR VLLELQLLSSIVSGIQIVFEKRSLPENNEATTRKSLDLVRHDIKKLSDLTLTLERKLN SEKRTVRTWARVQTVLSEKKIAVLRDHLDRAKGTLQLLQ LY89DRAFT_690846 MVVLSTFPPSRSLVPLPISHPRHHPVAESTRTVLDRHQGPRHVW KSRFVGWLSMVMVMVMVMVSPFCYSRFRRDVNPIRVLFTVRPHRRCVVTLLFWNSF LY89DRAFT_328300 MAFIFTMRSTGSSMHRRDPASGSSLPAISEDSASFLEPRSVPAI PDRAITRPSTRIWALGSPPVHTFEEPPPYNQFDGEGVLGPRGEKLSNVRRGVKENKWI AKRGGWKRLALIALIVIACIVGLAVGLAVGLKHHSSSKDTNSGNSSSPSGGASGTVGG PGNPASNTTFPAGSYRFDTFLDTVSTDCTNNSATWLCYPYSTYAQNAGASAATFEWII YPDNNSPNYTISSTDNYFSIVFSNLTLSLMNSGASDEHYFFQTMMSKPTKPAVQLGSQ NVASTCYFNQTTFQAYLYTKMPKSYPPSTTNTTDPSGAFIEWPYAVKVEQVAGAGPNV PTCVGPSGQVLGDFSVADETQLCDCLYLNTGT LY89DRAFT_561480 MPPFNILIVGGGLGGLATAVSLSQAGHHVTVLESTSKLQTIGGG ITIPSNSMRCWDYLGLKKRLHEAAEAKGPERRRFLRYNGEFVCDTGSREKLYKYGSIV VHRAPLQQLLLEAATEAGAKVQVNTRVIDIDESDESPVAITKDGQRLEADLIIGADGA KSVMRTLLHPNIQLRSSYNIYRAVILGATFKDDPEVAHLLDHSTIWWGPHRAVVGIPV QNGEYYSLECCHPGDTGTAGDWNKKGDVDQMKETFSDFEPHVTKMMRLVKPDDLLVWK LNSLPELDTWSFKSGKVTLLGDSAHAMLPFSGQGHAMAIEDSVCLADCLARTAFTSDI PTALKMFETIRKPRTKLISDFGESMARTWQLPDGEEQRKRDEIYRKTPYFSTSNWDGK HIDE LY89DRAFT_741710 MNTGREKRKAAVEAERITKRIALELAAQTPRSPHTLPATASNPR TPSPTPDEQLARELDERTGYDGGGGMASAPRRNTSGSASASGSGSSSHMSLIEQVQQL QRQQREVKEAKASVQGQGKRPTPSKIVKLHVSPERLLEISLSPPRPSADVMLRGTPAP TALPYPRATGRPSEQQITPRAQMGSSPPQATGFEAPTALPCYREPGRLHSSPPEQQVR PRSQTQTGSSPPQAAGFQSPSLPQSHSASGRRQGQHSSPPSGGSRREPGQLHSSPPEV KLSRARAQSVSTTPQTSRHSPPFILRTPPGFPVGFNDPRTNPTVAAANRSPFPQPPPP PPTSTKLDQSRITTGFPQTEIDRVHPDPRKKKASSSKPQQATRQWAEHPQAASQAQQR ALSGVNSFIAEVQSRNSSSNPQQASRQWAEHPQTASQAQQRAQFQANSSMANFQSRNS SSNPQQGSRQWALHQPAMPLAQQQVMLDVANMSTADLTRIAANAAATARAEGRELAPN PTMDQLVEIAKNSRFLIQGRVPAAQASTRTTNLAHNPTGREVSEKGKLVAAHLNAVGQ SPVRVLAMPAYPQESHAERAIRTFFANVHAIPNNGQNIGVRLSHIQNANGQGPLLRRR TLTNEPTQKVLDRTDRLINVLKNQKQQASLQGAAIAPQVQQVEHVQEKDNKQNTPSAN DKESWEAFMNDAWVEEDPDQPTLDELMELTGYRPLTPGPGFGPPPPEEQIDADDEKLR RYILLAATCKNVHTAGKETRESAKKPKPAERPQLVLEFSKSALARIPCAKTSPRFINE VPMFANWNQSQLIEQMDNNGCTFCLFDGDFGVYDSRVLLPAEASRGYCYECHIETAHA TNKQCFDAEVPKKSKDLFDFLTEVIHDEDDEVFGWVNQPESQSALNEPTRRSLPPLKE LCMELGYRDQANSFSSDPGRQKALNMLHPKTAFENAMKAAKLRNSTSPSLVQGGPVQA PIQSQGSSIGDRSSTISVDPRYIHRPGPMSPNGSVGPSQGFANPLGMAGVVAIKSPQA SNVGDRIGTSPVGTRPNPRQGPMTPNGSVGPSQGFGAVPPMTSSPRPPQASNIGDRAG TPAVGTGSNPGQDPMPRNGSVSSGTGFANPLGMTGILKTKPPRPSPLSTVTEMSPMKN AASGLGIRSSSTIKQVAGIPGPSNSQSASGSGSQSNNFVGGTSGDVDTEMVLTPLTGE TFNCIYIPASPTPSQQDSFGAPTPQLKNGPFPEVAPQPRRRRATLSAIDGMDISEHTT PGFCRANPYRQVDVLKAKICGNCRYEKFKICEHRHLSWKLMIEKNPARDTGDKYRRHR SCMVCPGQAMYTCAGCPLRLCPDCQTLMETQCKGWLNNLFYFYGRTHLRNDAFLLRSD GFGY LY89DRAFT_764342 MADKSSNETHEEVTDSGIPASVIAQAEAAIIGEFEDEPSLSKRP SRVPKPDYSQYQGVYRSAAGESSSKTGNLQVPNILHSDTHDEAPPAYGDQHDQIDVSQ DGFNTQAQITNDGRINININQKTRKLSDLLVPALRNQLSLVAHEEEHPLPPGYIPPAL GGLPGQTPPPKLNVVIHVVGSRGDVQPFVALGKVLKSTYGHRVRLATHPTFKTFVEEN GLEFFSIGGDPAELMAFMVKNPGLMPGFDSLKSGDVGKRRRGMEEIVLGCWRSCIEAG DGLGAPPKKDDANTSIFEAGINMDANPGDRPFIADAIIANPPSFAHVHIAEKMGIPLH MMFTMPWSPTQSFPHPLANIQSTNADVNMTNFVSYALVEMMTWQGLGDVINRFRERAL GLEPISLIWAPGMLSRLRIPYTYCWSPALIPKPRDWGQHISISGFYFLSLASSYTPDP ELAAYLAAGPPPVYIGFGSIVVDDPDAMTKLIFEAVKKAGVRALVSKGWGGLGADDLG IPDGVFMLGNVPHDWLFQHVSCVVHHGGAGTTAAGIATGKPTIVVPFFGDQPFWGAMV ARAGAGPLPIPYKQLTSEKLAAAILEAIKPETLERAKELGEKIKEEQGCDVGGKSFHD LLDVDSLRCSLAPSRVAVWRIKRTKTRLSALAANVLSSEGLVDFADLKLYRSREYDTE EGPWDPISGGASALLGTIASLGMGVADFPIEIFKKVRTVRTETMNSKSTKSSGNTVKS PNGSGGETPSVSEAASRSASQVDLGLNDSEPKSSMDSQYEGTAKTSYESTNRSSSDTS LTETPLTSTTSFGSQGEHRTNSMRAALSGALHRSGSRSGSRERSSLSRSNSKDRKPGS RSSSPFGHRRRETKEFDPSQLTLENAQRSAKGMARIVGAGLKSPMDFTLGIARGFHNA PKLYGDDTVRQQEKVTDFQSGIKAATKEFGYGMFDGITGLVTQPLRGAEKEGAAGLLK GIGKGIGGLVLKPGAAIWGIPGYTFMGVHKEIRKIFGSSVLNYMIAARTAQGYEETRN STPEERQDIINRWRMHKDEYMSSKMKIVESGGPEGQESGRLTPKGFMQTRHLSFEERK RLHEERKVHREEERKRLEAEEGSHRHCPFCRRTTSHSHTPRPVQTTPMVLQNPHPDQD EQFEHAIHASVAATSRGNAEEDNTIERAIRASVRELKLAQGSTLSDQEALERAIQASI TEAARHRQREASDDSQISQSSVETVTLTQEEAEHQAALEKAIQASLNAYQLPTTDGGS EEEDENMKLALQLSKEDPLSAQHEKELELAIQKSKEDPSDKDLELAIQKSKEEKSEEE VVLAYVKKQSLLEEEHRAKVEGKKREEVSGGEQMSPADEEALRRAIEESMKGSEGAGS AAAG LY89DRAFT_690849 MSSPRPSSPVNSPAGALGSSTGRPSSPTPPGGPKTAIRRRAAAD QKDKVANARPSSTRAAGAGGSSSTMLKLYTDESPGLKVDPVVVLVLSLVFIFSVVALH IIAKVTRKFSS LY89DRAFT_676767 MSNETVPPTEQDLSRSASAKGTKPPALDTSTFTNTPHPPSSSTQ ATSTAQNTINTEDSETPVLSGSQSEKDYLRATEEAPEVPKKNRGLLYVPSRSSSQKIQ PSPTSTGLSGVTASDQRDSIGDGSKESKGSILGRRRDGSATSSKMSITQQGQTDGSTG NTNVTNSAPTSKQPKKKGFLSFLCCGVPDSANPTDPSDSVVPANKISKVPSGRPTTAS KPEQATSTPANNTQPQTEKEALRQDATKSEPEQNGSDMTGLASGRIQDSGASIPAAAN GDLNKSSGDVRDQPLPDLPKEVESHGVVPTGEVNPAVVVQTPSSESASLPAQALPAEE KDAEGDTKMEDSQPVPADKEETPAPAPQRDEIPKPTLPPPPPVPQVQAGPSEVPAAPE AEPKQQWLLPPIAPRFKGKKCLVLDLDETLILHQADFTIPVEIEGQYHNVYVIKRPGV DQFMKRVGELYEVVVFTASVSKYGDPLLDQLDIHHVVHHRLFRESCYNHQGNYVKDLS QVGRDLRETIIIDNSPTSYIFHPQHAVPISSWFSDAHDNELLDLIPVLEDLAGSQVRD VSLVLDVAL LY89DRAFT_724864 MASQSTLRHSADPIANLYQQYMKLFRDRLKGTSRSTRLVGTLVL ALSIIVSGAGGRRWWNKQKAEKEQGRRLLRRNSGLKNKDGSRIIFVPYKDSTSKVTIH PTKSLTFDAHRRLFLNPPRASGLSDGVTPQIPPPQTKPGLNLAFLHQFLSLLSIMIPR WTSKETGLLLSHGMFLMLRTYLSLVVARLDGEIVRDLVAGNGKSFVWGIIKWCGIGSF ASYTNAMIKFLQSKVSIAFRTRLTRYIHDLYLNDSLNYYKLSNLDGGIGQGADQFITQ DLTHFCASAASLYSSLGKPFVDLLVFNYQLYRSLGPLALTGLMSNYFLTATILRKLSP PFGKLKAVEGRKEGDFRGLHARLIANAEEVAFYGGASMEKNFLDREFKGLKRFMEDIY TLKIRYNILEDFVLKYSWSAYGYLLSSLPVFLPAWGGLGGIQELADPSVTGGRERDRM KSFITNKRLMLSLADAGGRMMYSIKDLSELAGYTSRVYTLISTLHRVHANAYFPQRGT HAELFSLSDVQGTIQKGFDGVRLENVPIAAPSIFPHGGEELIDSLSMIVHSGEHLLIS GPNGVGKSAVARIVAGLWPVYRGLVSRPRTVGTDGIMFLPQRPYLSVGTLRDQVIYPD GEIEMRDRGRRDIELKRILEEARLGYLPDREGGWDTRKEWKDVLSGGEKQRMAIARLL YHEPRYAFIDEGTSAVSSDVEGLLYENCKEKGITLITISTRASLKKYHTFNLTLGMGD DGTEWEWERIGTEKEKMGVERELHELRERLAKVKDWESRQAEINEELAKVWVGGEVLE SPTYTEQNTADPNDIPS LY89DRAFT_724865 MRFSLSTVLPLAMAISASAQGTTSVYTEPKTGIAFETYMDPTGY AFGIALPTTPSTDFVGLLVGKGKGWAGVSLGGSMINKLLIAAWPSGTSLISTFRETPR IGNPPEANGSYTMTPIAAGTYTNATHWSYAFLCKGCILADGTTFASNASTDVLGWAFA TAAPTMPAAHGSALPKHSTQGNYGMSFSAARTAKYATYAALAGAPATTPRAFNA LY89DRAFT_741715 MVHSVRRLLPILLVPWLVDAKTLTAKIKTPINNTLEYGVATECK TCPYSLCTNKAYYDYGTTVTLLCWTVGTQIDNDTTWLETSDGCYVTQDDLMAYNGTYE TDLSYCGEDSEEENLTFDTTVVQYDSECNICPDNLDCATVMYLSPDTEIIVTCWTDAG AAVIGDTTWLKTTDNCYVNEQGLRDPANKDILDNCGPIGFLQLNDTGSRKRESLPDRD PAPDLSSPPGTGIDLGSQYLVNLTVGEDYAYCYNCPNTTTCDVVTRYRFKQEVWMQCY YTAPVEVANETYWYETTDFCYVREVDFFQSLFDQYRFPDCSLFDQDTTTTSR LY89DRAFT_599151 MEETRTKSTCALVVIDVQNEFLSTKGNFPISNDCKPNLVKNLKN LIPQFRQSGGHVIWVQAIYKNRTEEPARMKEQTKGNGILGNNEWLTVATHVFPTPCCE AGSFGAQIYPEVFTLAAPDDAVVTKGGYSAFNESTALLEALRERKVTNVYFCGVASGT CVLATVLDAVKLGDLQVHVVPDCMGWRRYNTHEAAIARFQELKVNLINSSEVELTTSS PWTPPYTTKSDET LY89DRAFT_676772 MAKRIWSWRKEQLIPFCCHLDLVLRNYIVCNYDITTIIIAQAHV HHPYRYSSFQFRIAMKFHLPSKTQSTTIHQTEINITEAKPTVKTAWSELRQQIICKME HQAKEIVRNETRRRLKSILKRWEHVAWEDKRWLVANLMTTDEDIYTRIWRGAIEVDER ARFAEREERRRLANGVQAMRWHL LY89DRAFT_690853 MSEPYANCTSVSPECPVDGTIYGYTPNLAANAFFCAFFGLFLAA NVLLPFKYKTWTFGIIVCFGAFAETVGYVGRIIMHSNPWNNAGFEMQICCLIIAPSFF AAALYLTLKDMVRAIGPQFSPIKPPLYPWIFISCDLLSLILQGAGGGTAASANTPSTS ADGGHIMLAGIVFQVATFTFLYTLLILFIRNLRANHHTMTEAQLSVLHSKNFRVFAWG IFIASAGIYVRCIYRIAELAGGWKNKIMQDEVSFYVLDGAMCCIAVAALTFAYPGIWF KPIVEAPLQEKKLASDSDDGVVRGAQV LY89DRAFT_328663 MQESWSRFLSFALLFVFALQAEALPKKKATSGTGTNVAAAAAPS ASGGITTATDGSTILDKTVQINGLSIRYKISAPADQFTAASGVTGASATANTTGTAGL NVLLHGDGGQSFFDFPNQAVQNNLMGVVVLAPNKNLFWGGGSGLQRTDGVAHAAAVNS LIQNQLSQDVAFDPGNVFFTGVSGGSLLLSGFFVPAFGAQYKTGVVLNCGALVPQVNV TDANTLATSMKIHFQSTKDELALLQPAIPKAIAAYEKIATTVGMSAAQIGTMQTVDNT PNGGGHCGFDGTGFVKGVQLMSTNFANIMGQNASGEVSGIGNVLTTVFGNENVVFTSA GQAQKI LY89DRAFT_328662 MVRLGLYCAAGSFSSMLYSRSWLYPSTYPRHDHLLVLKSINFYS VWDVSTNVFEVVEYYLWGCRNELCGTLCSKLHLKHPSSQWVLISQKQVGSTSNKTPCF VKNIQSIFMCQSTTRLVPPSQTPMHHH LY89DRAFT_741720 MVSFTSSLFAVAAALSLVSAAPAADVSARTAPAFVAVTLYGAAG ASYTTDVYFDEDATYTYNDLSISSVSFDHKLAYCTFYGIDEETAPPVISNGGQVGPPQ TIISISCECLE LY89DRAFT_690858 MVHFSSLILLSAITSVFAAPAPAPTAAPDLAKRATTCTFSGSAG ASSASKSKTSCSTIILSALAVPSGTTLDLTDLNEGTTVIFEGITTFGYEEWSGPLVSV SGTDITVTQTSGAYLDGGGAQWWDGEGSNGGKTKPKFFYAHNMISSTISDIYIYNPPV QVFSIDDSTDLTMTDITINGEDGDSLGANTDGFDIGDSTSITITGANVYNQDDCVAVN SGTTIVFSGGVCSGGHGLSIGSVGGRTDNTVSGVTFENSEVKDSQNGVRIKTISGDTG SVSGVTYSGITLSGITDYGIVVRQDYDGTTGDPTNGITIKDFVLDGVTGTVESSGTNI WILCGSGSCTDWTWTDVDVTGGATSTDCTNVPSGASCSD LY89DRAFT_328728 MGRTAPLVSLLAASSILFNGVSATLPTIAIKGSKFFYSNNGTQF FIKGVAYQSGIASAGGGSTSGDNSTGYNDPLADSTSCARDIPYLQKLGANTIRTYAID PTQDHSACMAALDAAGIYVISDLGQPDLSINREDPQWDTELYNRYTAVVDSLSSYSNV IGFFAGNEVTNNLSYTGASAFVKAAVRDTKAYIAAKKYHAMGVGYAADDDASVRANVA AYFNCGPTEDQIDFWGYNIYEWCGDSNYELSGYAERTAEFANYSVPAFFAEYGCNTQG GGAAGREFTEVAALYGANMSSVFSGGFVYEYFEEENDYGLVSVSGNSVSTLADFNAWS TQIHAVSPTAVQSASYTPTNTAGQACPTVDANWQAATVLPPTPNQAVCSCMMASLTCT VASSVNTSDIGNLFNQVCGYNGGKPCAGIVRNTTTGTYGAYSMCNSTEQLANAFNAYY LQENSASTACDFAGAAQVVKAAGAASSCSSLIASATASNAGGATGTGAASSTSKKSDA SGMIVGASFGAYKYFIGFTMLAVASGAGMILL LY89DRAFT_658633 MLSKNFVLGLALATSVVQASVDCNTTQDAMLGAAASESSVCTSI ATDVLKDGGNAADAIIASQFCVGVIGMYHSGVGGGGFMTVRAPNGSYEFIDFREMAPA VAFAYMYSPPYGTSAESLSGGLANTMTRKRYANTLESIAEYGPDAFYKGAIANATITA LQKQNGTMALSDLANYTVQIRQVSNITYRGFKIFSGSAPSSGAVVASVLKIVEGYDMS TPSNINLSTHYLDEGMRFAYGQRTLLGDPSFLPNLTTYQKGMYSASTAAEIRSKIEEY HTLNVSAYDPSNYEILQDDGTSASVTSDKSGLTIAMTSTVNTLFGSTLMVPETGVIMN NEMNDFSIPNTTNAFGYAPAPANFIAPFKRPLSSISPTIVEYPSGDVYISHASAGGSR IITEVIQHLWHVLDQNMTSAESLRQPRMHDQLSPNLVSFEYGSETFGIVGYSNQTTSY LAEVDGAAIGFVAVGSTTAQALRVLPNGTFEAAGEPRQLNSAGFAV LY89DRAFT_328752 MNSVLSLDRGYVTGLSQQRQFELLHLFRRSIESLERSAPSLIFL ETITLYGAYPFFYHLIGDQDLQYSTMATETKTRLLRTACRWDSWEIFRNIKGHEPPGK LQFISHLLEAGAEPWLGTAFSSTSRHLFANYRLYQDNEAFCKIVQELLQIFLNSSANL QTTILFSCWRETEPAGQDKLHIFENI LY89DRAFT_558574 VLVLSHFFWIPGAPMQRSIRGMLSSLVHQLLTRNRPMIPVLLEE DETLQHKDSIYDWSKEELQRTLLKVLSNRAYASCLFIDGLDEVDPVEGQAALVQVLEK IRAQTNVNLCVSSRPESILQESLKNYPKLRLQDLTNSDIRHMVEERLSPFKDRLAKMK IDERGAVRLDILVSKITGKADGVFLWATLVTKSLERGIANGDDWATLNERLDVLPRAM NELYSHMWSRLNEDEKLYREQAATYFAFFL LY89DRAFT_690861 MPDSAKPVVNMGLKLFPPTPKERPTNPSRKPSTRRHAIPQDSSS GVERSESPNFALSSEMDGRQSALDGRQSAVGGRQTPSTQHADNGSIMAPPQAHLPSEL PPRSHTSFSEAPTLVRSNSNSSHISWPNRINSGPPREEPVMRSIFPRYNPEIALEHQA YFPTQQSPTHIPRTVINRRPYSPSIGGRSPLQSPGIVGAVSPGLFPRGIQDEPVMEPS STNELKELWKVVNGWKVSSSEGRTFCLKMTSDVEEPIHTLSSATQPFYSLKLMPTSTS AQMTLLRFDPGKSTKSTSPKPGSSSKAKEGSEVMSTTLEETARRLPPNDGLVALLYPK AASDLVIDLANKPARADAEQVIASAERECGRLVWDEDSGKYYLVHPAMSTPFIIIISS SPAWSKVEYTLEHPELPRNLVRLVRDSAGVGHLEIDTAVAARIDCFYIVDVAICAIML VAVTEEKTRNLERFEAPPSIAPQSPASPNRLSSTWTKGKSKRKNKERDIKFEEFEMDL ESQDSVKGKKEKEKDKEEKVPGLFGMIWMLIKCFIWVLTTIVKVLAKIIIFLSKCLTR KKT LY89DRAFT_328829 MSSMRNAVQRRNHKERGQPEERQRLGLLEKHKDYSARARDFNEK KRKLKALRQKVLDKNPDEFYYGMMSRKGPSATGKNRTGTVNGDRGNEVLSQEAVRLYK TQDLGYVRTMRNKATKEVEALERITKGIKGEGKKIVFVDDEEEQERVAEDANMEDDSA DEELSTEEQDRRTMQHRELEKAEAKLTIARERLIALTDAEQALEIQRAKMAKSPTVGG INKQGVKYKVRERKR LY89DRAFT_629423 MAAMAPAPTQEEEVPVLPMPSRNPLPLSSAQEAQVRELYHARVR GYCAAEIKLFADCALGRTFTAPFKCREQNKAMNTCMIAHATQSEQDAAREEWFGLRLK RQKDREAKESRRKEQEKFHKEWWGLPIEDRDGEKGREVMRKAERVGGFPKRDEDQMSK DRHR LY89DRAFT_741728 MTKLIPIRIVMDFPSQFSSSASIQATNLSSTSRKLFLPSIKNLI SIQSQSFSITWTRPSALPEYSQITTSSRNATPNQNIIAIDTSVEGCHDEFQPKNALRA SLAIPYKDESTVAIEHMHKVANHPTVLHNIPRPVTPDPTPAHMTPAPIRYPETLGTLV KIGESEGKGLGVFAAKDLLPGTILLCELPLLEMSKIDEDEVVEATVNLLSPEKKKIFM SFSAYTENKTDERALMGRIMDCNCFSIGGDTAIGIFETSSRINHSCVPNSSYGWRDSI GRLVVYNLFKLLEGEELTIDYGHKTRSLRANYGFECDCGGCTDRSLRMTPRYDVGSGT AYCVDHDYRNGNGVFNAN LY89DRAFT_599142 MRMESRRQQGQIAQVQSVRFVKPRTRIKTIATYCIVGYVCWNVY SRVILDPLDRAVVETLDSLPEEEDEEEEKPFFIPFPGTTRQLKPVPYKGSDPEWQEFI KFSKDQKLAQKVREELADFVQQVASKHPLLKIRCGTGFKLRRFWLDVDFPQHAPPQFE RSGIEISDEYIAIAKQPVDSLVVFRIRQALWPTALFQSTWSFLKVMVNDDAKYIAQKL GFKPTKPPPSIEQIVTKHQQMFKGPPQLPTKDGPSPTTQPPVLGDGAQTITSPPGRGD KSPLAGQKPEEVDIGSAGMALHAHFFRPIMAFKAKLAQTWRPAPNFPPRGSILISGLV ELDTPKAWLVFDVKAAWDPKTRTYDPRSMHVQLRRMQLKKQGPVGGR LY89DRAFT_741730 MKLSQSLWLSAFVGAASALSDASVYIFQGDEWPNTSTPPSLTPE QARLVFAQRLGASRYHRLADATESTLAYINKFGGHGGSLFHDAGKDKAAELVLIVEGV SSITAEPLLEAWTSITPAFTISTPPSLRANKDLVDDLHAQSRPEGKTCPFEDAINPFN ADCWNGVSKILHFDLGNVKNELKINELMSAQERLTRFAKKEEMNVVIVLMPETSRSLK SKVNPYGTYDKVSQAPIARRDKSSEEPMSEGPSIITTPLHYSKQVQTSNASGNASFKP ITGIPPLCHSSLDSCISATNNCSGHGECYRKYGGSGEGKGGCFTCFCKPTLKTIPFPG GQKNGTTLQYWGGSACHKQDISDSFWLIFIFTVVIIGVTGWAIGMIFSIGEEKLPGVI GAGVSGKTK LY89DRAFT_701741 MRTTSQLKVAPATNKPNDVPKFTKQPKSRPPSGFREHILRSPLP YYSGPYSVGMMDIEVPAREPRYFSEIKRDGKHLLELETVLFSVFYPSGFGSGQGNSPE GKKNWGRPTWLPRPRVEVAKGYGKFAGIPSWLTVPWFGLTTAFTKLPAFRNAKLAEHW PPDKNSREAGYKIKNSAGAPPPEEPERPCFPLLIFSHGLGGTRTTYSSVCGEFASYGF VVVALEHRDGSGPRTFVNLPENGVNTVEDKVDLDNKDRKRGYSRMDYVFPKDNARDTM PGNKQGVDGELRAAQIQLRLAEIEEAYHVMTLIHDGEGERVAASSLRNKADVGGSSRG LQGIDWQSWSNRFHLKQVTVLGHSFGAATVVEVLRQKDRFKNVGQGIIYDIWGAAIQP PEDDPGHRIHTPILGINSEAFMYWPDNFEAVMALCKEAKEQDQLAWLMTIRGTIHVSQ SDFSLLYPRVASLLLKMTVNPRRAIDLNINASLEFLQLVMPDRISSSLRVTNEHLLEV STLDKLPEDHRPSDKFVAVRLRIPHELRIRLTPQWVRRHARNKTRQDAKKKLPRDPQG NILEGLEDLELGEEVWMHVAPTKDELARHGLQPSRELETHQEDGMVEASGPEGRTEHE DHHRGIEQRMMERG LY89DRAFT_328852 MGSTELRGRSGLARKNTFQRMLDLEQKNKVNRMQASQHVPQLVH TSTALGRESISSISNREFRPPTLQVTGRAMTTPALSIALPTPMSNTNGNGTTRKYVIS QSGDLIPQELDDSEDDSDTSSICHSPGWDDLSGKKKKQEKKAKEQRRREREKAEKEAK AAQKAMAKLTKAAPANSSKKLSRMSITIDRSNTAPILPTVQDVQPPVEEPSPSRQSTT TRPRRGSIEAGLKSFISTRSGISVPWKTSQNMSGQSPNHEFIPSRSISKATTGGFIGG LKLRQSEEAATQDIIRRLKTGAGDENKGLLSTDTLLKSSTNLSSAASITESIRPISIY EESIRTPQQWDEIYSQAAHLARGPRPVELADDTPIMERNIKKNRKKHPPTSRYFPLES EDSNSSKRESSTASTRTSAHSGAPSSDDRNGQGQTSSAERPATGGHNSSLGETRGRSD SYVGHQRKQSREQSLAGFQDEVQLSDTNGDSKRPGSSKSSFFRRSSLPRESNREAPST IRPGTRDSSVDTHVKEAVITNPFLNEEYVVPQLHLGEFTASPLDEKPVNHKKTSSKSS QSFPGPKGLKVTTKPTFFKLPTENLEEKSQVVPPSPTGSFVSAVETQATLRPKASQRA LTTGTIVKSSTKPKHIVEEYRPPTIVHDFAVRSKSPPSASPDTIRARPSSRKGSQNAT PITSNSNSSTTSHTRSITDSSEEYSTLDDFSNITTPSISRPHSEKDYSRSMDELNRKT NTKLMKRLSREQGVIHNGLNGHPVMTGAIPFDDGASQRDSWCRTAMPMDSSEGEDRMK TPTGKKRSTDFVVSKPESTLPKVSDGPPPKTSDGLSNSNCSGIQRRPSLARSISTPDL QQDLSFLPPLKHQALTKPQKKSRGFLGRRTKESKQASVSSDTEKSPTSLVRPPPPITL SKDSNTTVTKSEGSSPTSPQPPSQYLQNARLNIGGPRSPKFPHSGNPHLSSNNNNAEP VAKMFVVCCSCKYFHDMPSKIYECMAKPDNVVTDTKLGVSGVISTSVKCPWCGHGMST SCCAGYAAVVYLREKFH LY89DRAFT_328989 MSALVANASPLKAEIRLAQAVSEFEADLSSDQKAMFRSYKAQTC SSPPTPDDVMLLTALIDRRASGKVVGGRCFGPRFCNVLQAVQQFAALGDILIGGSQNL IACGVWSLVRMTLLMFVTSNSYLEKLSQLFMTVGRSAPRYQMMALLYPRSKILQSSLS EYFIVVVRLCHSLLKFTKKSSLGQVFSSLSDSDIKTAETQLIAWATSIKEEVVLLSSK KIEEEAQENSRFRAVSSRFSETASHRKRLERNRRVLNACSTYDYETTWKQIRKLGNTT LLDQNAEYQNWKIPDTSATSSTFICMGKLGSGKSVLLANAVDDICLHVQEKRIGVAYF FCRHDILESLQARVVFGSLARQLLRSFPDLSATEDLLDENAPVLGIDDIYILLQRTIS PNFKAYFILDGLDECSHAEREELVYQLRRLQKIFTLFICLSYRLEAGNNSKLQFLINP TIISIPDANPDIEDYIVAELENYLKSGTLSIGDPALILEIRDALLDGAQGMFLWVNLQ LKSLCVEQTDEAIRQALSNLPKDLPETFSRILDRSKDQGGSYQQRILELVSIAQRPLT TEELREALSVVPGDTNWNSTRLINDFGSTLLYCGSLIMVDEEELTVRVIHHSAKKFLL SGRGTASAIPFSFKAAHTRMADIVITYLNYGIFETQLSSIVVPQINIASTPSNIVRSS MSSSSVVRSLALQLLVPRNQLNQDIGKVLAGASKHFKARPIDEHHFYAYAKLFWFYHT WTVRAESGAPMCDLLRRIEENALGSTVNILPKDYGKPLLWATRCGYTNIVEDLLLDER LVDIGEKDPALLWVAIVNGNDAIIKLLLDSRKVGPEVINGSRGSTDWASPIWWAMTVI NEGMLDMLLRCDKTDLSITNDAGETLLWWALKGRQLTAMKQLLDSGRIDPNETGQDGE TPLWWSISHQEYQPIALLLKNSKVNANIRNADGYTPLAQAAIRGDDFMVQLLLSSGTV NGNVESSDEPYPTELLYNESRSRPVEAKKYLPYHHTNKVNVNAANAQGLTPLSCAASR GHREIVELLLNTCNCKTGAKDHDGNTAYKLAHQNGHGGVCNILLSYVEEGFVSKKSTR LTTIEEERPKRPKRALAESQVILHKSDRYARYSSRSPESFDSGYEERFGEQRGGRREP LPPYPIQIGGRLGHPRNGTADSTSQSPTRSRSVRRRPSDARPRTPRRSELRE LY89DRAFT_599245 MGAKARSEDPENTLGYVSEPGSKYNFSNEQARNALKQEEFWIGI VIQANATTAMNYAYNEGNTSYDPTGSVHVIYEEGRNALAIDELAYPAMLTFMNSFVLQ FAKEKQNSLLTANAGNAAALERQAQSPIPIGFSVYNTAPYVPSTAEAATEIGTIYLII ISFLSVLMFNALNEAMMGKISLLHYYIYRLSLYPILYFFLSLLYLALSCAWQIRFDRF YGASGYVIYWMLSWVSMMAFGLAVENVNNVLGPPFTPVFFVFWVITNVATGFYPVELL SNFYLWGLAWPLRHNLIGSKAILFGTKNLLGLNFGVIIAWVAVSIALQPFTIWVQMRK KKGEVEAHKREVLERVYGKNGDEKSRG LY89DRAFT_658659 MTSQEPATSKPTRRPYHGSCHCGITKYFAYITLPPPMITAIPPT STSTTRIRKCNCSTCHKMSFFHVRLLDSPNDFLLLSPLDPVTELKDYTCFDHRIHWYF CPNCAIRCFAFSGESEVVDIEIEGQGKKTVWKPKAEGWVEGGSGYLSINAATLEPNQE GLNLSEWTEKGWIAYLDMRGDEVARLGKPHDGGIY LY89DRAFT_724883 MAYYLKNGGTPRRKAVPLDNHFQPVAPQKLSKTHQVPGSIPGLA SSSSPAGTSQLPLPPPPHQRLAPTSVFGPVLSPGDPMNLTPDYEGFGHLGSPHAAWVY QQINYPAICSAFGPPPLSEIPAYAFWPAPFVVPAAYRFGVVPPTFAAAAPASTSNSTT SHSSYRARY LY89DRAFT_658660 MAAQNILLAVAILLLAKLFMNWYKYCSIPGPLLAGTSDLWRAYY QYRGQLRSKLLTLHKIHGPIVRYGVDAVSISDPSAISIIYGSRAGFVTVSTAESYKVL VGISNGKEVASLVSTADEAKHGALRRSVSKAFTPAAVLDYEVYIDQTIPDLLQALERH ETVDLSEMMLLYSMDSATRVSFGETLGCLESESDVGGTIQLIRDRFNHWGWWSSIPTL ERLVYRNPYSMRVQRTPSSMAAKAVSLLKSRADTTEDKTHSDLLQKFIQAGHDSPETL DMTGIVGLLMSTISGAGDTTATTMTAWLFNLMKSPEVMRKLRAEIVEAKLSVPPSFAE VNKLPYLNAVLKESMRVYPTPTFPMERKVPSGGVSIAGTFFPEGITVGCMPSAIHMNP TAFGEDAEVFRPERWLEADEDSLRSMESAHLGFSRGRRACLGQHIAVMQMKKVISSLI VTFGVSVLPLGRLICTFYRYEEYFSVSIRTL LY89DRAFT_788789 MGSSQSTLDRSIKRALGKDRDLYAFPGNPFYALRDVKLYNQTYP VKPAAVVYPKTAAQVAAVIKCAVDAGRKVQARSGGHSYANYCLGGIDGAVVIDLKHFQ HFSMDPETFIATAGGGTLLGNLTKKMHDAGHRAMAHGTCPQVGLGGHATIGGLGPSSR LWGAALDHIEEIEVVLADSSIKRASATQNSDIFWAVKGAGASFGVVTEFVLRTEKEPG EAVHFSYSFTIGTYASMGVVFKAWQKFVANPSLSRKFASEAIITEVGLIISGTYYGSK KEYDALEMDDRFPGHQKAKTIVFRDWLGLVAHWGEEVALLLGGGLSGPLYTKSMTFNG CDLIPDSVIDSLFSYLDQVEKGTLIWFIIFDLAGGAVNDIAQDATAYAHRDALFYLQS YAVGVGKVAEKTKNFLRGVNTLLKDGTPGGDEFGAYAGYVDPELPDGPLAYWRTNLPR LEQIKSMVDPDDVFHNPQSVEPAGSRETPSPNGVLKKAKPKSRKRIFCF LY89DRAFT_701749 MALDEFDTTPRQDTPALSRHKKSFVAVANLKHISRDLTTSQGIK IENHVGFTQVPVGLAGPLTINGTYQKCKEVYGPLATVEPTLVAACSRGCKLFSTCGGI STSALTEGLSRVPVFVFSTISAAVAFYHRVPDLLGEFKVSAEKTSRHAVLLSLTPHII GTGVHVKFMYSCGDAAGQNMVTLGTHATCTDFLSSESRPVELIDFQLEGNISSDKKLS WGSVHEPRGVSVMAWSTITNDASLKIMGSSTKRLKTVLTRIEEGGLRNGNLGQCLNTA NVVTAMFIACGQDAASVLESGWSQLTAEVDDETGDLTLSLFFPSLLVGTVGGGTGYAT QKEALDLIGCSGEGKKWAFAETVAAFALALEASTLAALANDTFAASHKTLARDGKLSK L LY89DRAFT_676796 MFYAKPLVWTPPGGPNKQVIVVSNQNNIRILDGLTGTVINQRTL DPPFQSVDTGNCGDIPNTVGITGTPIIDPATDIMYLFSKGYKGAATGPAGTLQGQYKF YAIQLPGLTDVFPAIIIDGHYADNDHTRYFVGGTVLNRQGLAMLGNTIIGGFGGHCDN FNYTGINGAGGAGDNGKGISANGKIPISTLQQATVDMGLSSSGVFAQNDYFEPYEYAS LDGGDTDFGSSGAALLSPYFSGGGVSQVVVAGGKSGKIYFMDANNLGGFATGDWRFRW SYCPTSQAGAGAYLYADKFTTGANGVPQFVLAGQSAIQFAGVGAPTVTSLNGQTGSAI VWLADVNNGLVAYNAIPVDGVLQPISTVSTGRLQKYQRPAFGNARVYTTETNQIYGID ASTTPAITCASTSVGFGSVVAGLTKVINVKCTAGQTALTMNGCSTNLDIFQCSGVPAT LAAGASFTMAVTLNLTSAAIELTRTANCIDVAPGAVAGSLSLMKPSGVIPYASLPMTA TVTATGGYIDLSAVQIDFSRLSIGTSSTASLLVKNDGAGAMSFTGFAYQNAYGAPYQN VSTSGSPVVVGSGFTAIGLPVNGATIASKSNITISLTFTPTVTGSSASILTFWSNGGW KEVMLVGTASACTVSCSTLSQSSSTTTILSSSSSAISSSMLSSSSSVITSSLTSSSLS TIASSTIPTTLLSNTLSTSALSSTSSLVVSSTSSTLSSTSGPSSNIATTTSLTTLATS VSSSSVSSATGYAYMGCFVDTASAHALPLLLANNSITPQVCESLVSSLAMKPTPTIYP FYYVEYHRECYAGSSFSFGTQQVTSLYGAHACTDVCSGSIGATSTGTAFCGGSRQFNL YATKSSVPFVVATTQSK LY89DRAFT_629452 MYTQTLLVALLTAVVEARFGQEQGNGAIAAIGALTDLGSPGQAA TLAGNSIQFLLAAANPCGKLTQADSIVSELGTSDAAIAAARGLVAAEQNFNPFVVDIP SICSDPTLPTTAALRGVVPLIDPAVGGEALENANSNTSIITPFNADGLSVAQVMVAQG FSNLTAVALDGTKQAASALGTSASASSNSTSVVSSASSNSSVASVSVAASSSSVIACG AVATTFVTMTGAAASTTASSAAESTDTAAAAASSSTASAGTCTGSGSTLLCSSPAVGA GAVTNAVTGNFDGFVASTNTSLDFGLCVPTMKFEAGLDGRATTAFTFQAIDPLVNKGQ EEALNPSIITNRIHDQLTNVCGANQAAKDAAAAAQTMIAALGTRDNSTADAWNTALGF AGTNINPDNAPVTGLVGHT LY89DRAFT_599295 MTSEQPPTSETDGGPRKRRRIQLACGTCRSRKTKCDGTRPICSP CRTRGVEASCSYDNATLPSQRFVMNLSRFIQISHNEPTSSDTARSPNQHLKLSNPEPA FDALGTATSPSSHAEVRYGNSSTIAFSSSLRKATCEGQHSSDSSFEGRDGGIHGLEIT KQPDQGAFLLPPRHIADDFLRCYWEFMHPLFPIWHRPSFDRWYQRIWEPQDAPVDDNN LDRMIMYSTLNVMLALGCRFSTIVPPRDRIASADDIYKRSRKLLTFDILDSMHLTLVQ LLLLMGTYLQSARHADRFWNVIGLAIRVAQGLGLHTEQSPSEVKSQRDIEMRRRVWHT CVLLDRLSAMSFGCPTMVAQAKSSTAIPAMIDDDFLLEYGIGTQPSQLPSRMALFVYS LRLFDILDEILTTCYPIRPSTSSQPDDQHTPHLMNDILRLNGALDVLYEDIPSYLKPE DSARSVDPHPEHVTLQKNVFRSRFLYVRILLFRPILLKEVQRKRKGRSRLSERFKPTL QESLETRSCTLCIETALTLVTHISETKESLYGSSAWHSVYLTFAAAMILVAGRLCDFN SSEISQDSIKRSWSIAFDILTHYQAQVPAASRAFSVLEASIRQNGKSNFTSTQSQMHE KEVPSFTTQMNEIPNHTPELLSARQTEATSPDSLGSTKLSQNAVLQLYPGTNAPILDQ NCPLPVSDTVSALPFQVHGMDNFGPIDDLWFTQNFVESNWFDAAKDWDQGGLFF LY89DRAFT_329411 MNMELSPIVPAPYGRACMECTRSKGKCVRRRGEDRCERCYKLSK ACQSSESIRKRNTKKHETSRIVQLEEKVDGLVSLLQTVSQTGPNKDSGSSSILSLSVG AEHSSPGQSLNQHLQSSSTNAHPHHVSIPGTTTFGSPRAGSGAASLSLATNVDSSLSE AEDCLVIFRTHMLEYFAFLHIPHDLSAQQLQQQRPFLTLCIVAVACKSTAQKMTLGKD IKLELAQRMILDNGEGVNLDLLLGLLTFLFWGHDQLLNDTPKSLSLFAQFAVALAFDL RLNKLPLNETNMLPTTKTLESSMDSNKTRNIDEQRAVPACFVLSSIVSSYFSRNDAMT WTPYMEECLEIVSSQHSRSDQMFAYQVRMQLLSHQTEVANDSWEKTTLPPAFYFTSFQ SKLDELLEDVPAELHENNIFLASMFSTKLSIYTTAIRKAPFTVDSAGFQRLEHLYTSL NTVKAALDNFFAIPLSCYLRLSFPFFAQLSQSLVGLYRLSTLDDPAWDLTFVRSTVDL LDVLDRIISNLEQANIDSGDELEENVCGKTIRILKSVNSWFTMKFAESAKDSGDTDFH ANGENGIFEDSFLQGIDDVWMRDVFHLYDGSGYL LY89DRAFT_599185 MQIQAPPAQSYSPPPYEAPPVATRRAHRPHTNQVIEAGHIRARD EQEMQNMLQNVQMAFKIYNSDIDPEHDSDYYCNCLIHQYKRAKMNRVGVQEMWSKAVM YPGEKSYHDSYQMTLFSNNPYRYRIVSPYGYNNNSYYSTPRPNPRYHAQAVQQTIQLN AALNAEAQAAINAKEPNFNIWEVDQLASSMSNLTMDQSSSTLGDDKKRPMEMGSDEKR QSVAGSFTNGSDKSAKPSRFSGLKKALAIKSPEEKAVIKTEKKASRGREIRNAILAEE RGRWPDDEWRQIVAAYQDKVGMTRKIADLRVRHPTQYLHLLRAGYFEPIPVAWANLNS NPLKFSIEAAAGWRGITPTWRGYEDTAEERLYWVLNHREGSVGMRMKPDVISEMNMAR ARMASAVEPPPIYFSPDDTCHVQHTSEGYSKQVMPAPFRPFDAPEVPTDDTMILLDVS GSMDFDPVRPNYDQYMITGYSRSTQPKNKDVAKAIIRRFTDAMANHDHNFSGYQLTTF SSKANYIGVVNHQNLDKMWRNVRLGGGTRVMTGWQKVKELHFQKHSESATHHPVYGWQ AGPQTPMLRLLLLLDGEATDMDEFELDLLGLSWVHVTIFLIGVDGCPHHHRHANELQR ISEVNHHVAFVDAQGNTPERFVTHELLKRHLGYEISMSEFVEMEQPPPYSG LY89DRAFT_711505 MSLPIPAALQRILASAKVDYVQLGSSGLKVSFPILGTMGIGSKA WMAWAMEEDEGLELLKAAWERGLTTWDTANVYSNGVNEEVVGKAIKKFGIPRQKLTIL VKVMGIVPEEAGIFNWPFEAQLQKSKDYVNQGGLSRGAIFKAVDASLKRFGTDYIDLL QIHRYDPTVFPEETMKALHDLVQAGKVHYIGASSMWTYQFARMQFIAEKNGWTKFVSM QNTYSLCYREEEREMNKFCKETGVGIINWGALYSGLLAKPWGVETQRSKASVAMSGGV TAADEAIIKRCQEVAEKKDCKMVQVALAWLRAKGCIPIVGLNSTSLERLDEACEVRDV HLTSEEVEYLDAPYVPKAVSGHL LY89DRAFT_658682 MPFPSRGCRTCKQRRVKCDEARPICNRCQRAKIVCHTVKDEGSF VFLDENVYAAGRKKRPRGPNVNFGTTTSQGVLSPQMSTQFSQNCSVPRTLSIPVGEQA LTYYFHHYVDAPQSLSGIMDGHVKGAMIDGCYSQPGSILSLAIFAISHATFGRARKSH ASLAVASTKYSKALTKTNLALRNDSKAMNDKVLLAMMLLSYYENSVTGKISTSPSQSI QTVASQSFYHLEGAMAILKLRRQQQQRTEHSAELDKLVRRQLLRSILLRSIPVPPWLR DGSQYGEQGFVLEFDVCMVRAAELRHQASTIQAESAAESFPERFTRKVKLRSLLSEAQ ALDEDLVSWSSELSPEDRYITHIIQTDRTHEVNKTFDNTVHVYATVWHAGMWNRYRAV RLAVNDVIFKTLLELKDPDLNYAEEAAKSRTNKLADDLCASISYMLGEVVLRHDITIV SKTPTSLSFSVKASTASFLCWPLAMVAMMPGLSCSHQFYLRSRLLDVSEIVDDGLLKT VAEGFPNKVSHGIAKLELGDCYTDKG LY89DRAFT_599164 MFSSYISLVFQALATAVLCLVIYRRLLHPLHIYPGPFIASFTNI WKFYHYLNGNLHIVEQDLHQRYGPIIRTGPNSLSFSTPEAFESIYGFNHGFEKGDFYA FARDPATGVSNIFSARTHAEHRERRRKVIASTTSHVGSYHPVIARHVQHFLSKLSSSS AQGHVVNIAELVHALTFNALAEVIYGPSLASQPYTETTNGEGILPAFRAISKFGWGVS HIPLLAWLMSTRPMVKMTRRPTFNKQGVPTGIGALAARAKVLLLEDPTLVTGVDQPSI AKNMLAVETGDSRHMGPVEVYSECFNLLFAGPGSTTAAVTSVLERLGSEEGRSWQEKI RSELLHKADESNESESLYAVIRESMRHSTPFPTAFPREVRPGAEQAIAGTELPLPIGT IVGANSWIVSHDTSTWGIDAGQWNPYRWLDVKENAGKRLLEDNFIVFSKGPRGCIGKD IAMLLITQAVAGIVSTWRIEGAGKMTGGAWLEMQIESCGLKLTKI LY89DRAFT_764479 MLTTYTILGGTGATGSELISYLLNRQPFVHLNIYVRSSSKLYTL FPDLQTSPNITIFTGSIDETPVLASCIRSATAIFCTIAQNKNEPDCSLSQRTAHAVIL ALTQLRSESAHPATYTAPPLVWPSSAALNPAFEKRIPAALRAVALRAAFHVLQDLRLA AEYLGGFEWSPVSFWQPRPIVKDEARGVSLTAEKRFDLISYADVARGIVKIVEEGDGM WIGKEVGFVALGGKKVKNLPPSTFIWILAGLLGYCVPSLWLAGRKIGL LY89DRAFT_599673 MNLFYVKVGQKSWHPAFLRDACTCPLCVDPSSKQKNFQTTDIPK EIEAKSVKEKSNGDVTITWTKDVPGFKSSHVTTIPATFFATYNSRASLEKARYLSPAF TWTKQKITERLQFIDFHEYMHDEARLRHALRFLTAFGILLVRGVPDNENAVEDLTSRI GTLRDTFYGRTWDVKSIPDAKNVAYTQQHLGLHMDLLYMQNPPGLQFLHCLKNTAPGG NSLFSDALSAAHSLPDPIFETLSSQNIAFEYRNDGQHYYLERPVLEVGNRQQRTVDFY RTKYYRPEVLNINWSPPFQATLPFPTKIQGHPLPNVLRALRHFAKKVQHPAAIYEYRL NEGECIIFNNRRILHGRTAFDATQGERWLKGAYVDNDVFQSRHRVLCEQAENEVDFDN IDSYTYHVHPEEARMAQEKQQAYTLKMEESDD LY89DRAFT_329733 MSRSGEHLKDEGSKTQVVIAGAVAGLIARFVIAPLDVVKIRLQL QTHSLSDPLSQQDLKGSPIYKGTLPTLRHILRDEGITGLWKGNIPAELMYVAYSAIQF TTYRSVTLGLQSAFGEHRLPAAAESFIAGASAGAVSTTATYPLDLLRTRFAAQGRERI YTSLAASIREIAKNEGPRGFFQGLGAGVGQIIPYMGIFFATYETLRKPMSSLQLPFGS GEAMAGVLASLVSKTAVFPLDLVRKRLQVQGPTRAKYVHKNIPVYKGVIRTLQSILKK EGTRGLYRGLTVSLFKAAPASAVTMWTYERVLRVMMDMEVAKTE LY89DRAFT_690880 MKAPILPPKTEQLLGHLLASLPAASISPSPPTALLPLLSPILRQ RVQLLSASNQEPWLPLLCYDSTKASKLEEVVKNERFEPHPVSGEVEIDWDYDVDLRYK RTDEETLQSLASLHELDLSVKLVWCVNDEIGGGDGWRIGEVAVYEPSVDSTWGQSNIS QAEEAYENYSTPLLSSHNRSNGTNGLLTPDADAMDEDEDDDDDYWAQYDNTPARTPAP KDSGIAPMTSLSDERAHHDDDEASYYAQYSSVQPAMDDHDPDEAEQNGTVESSLGRDE IAQELQQSLATEHEHSSASAWSQLQEPNDDGMFTSDEILHPRPGSSTGSSGSDTVAKL EKGAAASAALEQSEVGIKQHIGTSVKSLYRLAKVAGIERGEFERIVRTELDCLGLMDE DL LY89DRAFT_329702 MSGQQGSVRKRKDNSIPPKAKDASAAGTTTPRDADLDALVKAKL DNKSAGVEWDFRIALGVITLLAFITRFWGISHPNEVVFDEVHFGKFASYYLQRTYFFD VHPPFGKLLFALMGWFVGYDGSFHFENIGDSYIANKVPYVAYRAMPALLGALTVPVVF DIMWESGYTLPACILSACLVLFDNAHIGQTRLILLDATLVFAMACTLLCYVKFYKERH EPFGRKWWKWLLLTGFALSCVISTKYVGVFSFVTIGSAVAIDLWDLLDVNRRQGSLSL PDFAKHFAARAIGLIVIPFFFYLFWFQVHFAILTRSGPGDDFMSPEFQETLSDNVMLA NAVNIDYYDNIQIKHKETHGYLHSHPDRYPLRYDDGRVSSQGQQVTGYPYADANNHWQ VIPLVNDDVLGHHVRNNELVRLRHVVTNTMLLSHDVASPYYPTNQEFTTVPMEEALTD RFNDTLFEIRIENGKPGQEFKSLSGQFKLIHNPSKVAMWTHTTPLPDWAYKQQEINGN KNLAQSSNVWFVDEIPSIPADSPRLVKTPRKVKSVPFLKKWFELQRAMFYHNNALTSS HPYASDPYMWPFLLRGVSFWTQNDTRQQIYFLGNPIGWWIASSLLAVFAGILAADQLS LRRGVDALDDRTRSRLYNSTGFFFLAWLMHYAPFYLMGRQLFLHHYLPAHLASALVTG ALLEFIFNVEPVIDDSVFIKGAKKPAPKKHLPAREKLAGQNLMTSWAALGVLLALVIG GWYFFLPLTYGYPGLTVPQVQARKWLGYDLHFAK LY89DRAFT_690882 MPPVGPYSQAIKTPHAIYCSGQLPADAEGNLVEGSMGEKTAAVL KALSAVLAEGGSSLDKIVKAQIFLTDMADFAEMNVEYEKWIKHKAARSCVAVKQLPRG VNIEIECVALP LY89DRAFT_741759 MNDTYGAGLQDPAPSTSVEHNQNAGHFSASDAPEATFNCTEAKL LLNQYAMIQEKWDNMRKDFLKAADERLTCALCHEFHGYDHDWKELFSGLVEMDFGAET LSGEIDGTKKGITLETVNAWLKKWDLMEEMYDKECKGSGCRQWEEGFVQTQQPEIDNK PDRIRRVNCDWMIQGTQAATSTDTSGWNTVDRSNGSRGSDRAQGGRGGGGGARGGRSG GAIGARDGGTRLCFNYNQPGHDRAIPKHFARHLGQTDDLVNMISILSIKLLPLLHTLL DFEDIETEDKENSDTFRPSPEVQTTPAPSTFAQVFQKSSELHGGYETRGLTELYKQWN AEIIKMMEVYDNRQKCQNCHESHECQNTAVQWIKWHSMMLRLKVESVDEAAFKILAIL PRIIESEYHQRVSGTACRKSKQMRAKRSGERDAGNDRIDPETETEKCGEAYVKDAAGM KDI LY89DRAFT_561468 KPAAIFLVGDSTTAAPSGSGGGWGNGFLGTLVGGAIGTNFGHNG ATTVSFVSGGDWTKVLASITKYKSTFLPFVTIQFGHNDQKSSANISIAEFSTNLKAMA QDVMAAGGTPILVTSLSRRNYDASGLIKPDLANVVNATLSVAMANWCPFIDLNKASMK YLNAIGEANAMMYDRVAGDSTHLNAAGDLLFGNMVSWFLSSSDIGVQVEAFLGPNATI VKAIEKGTFVLP LY89DRAFT_741761 MCVWSSIAYGCGHHGVSHQMCKVKEDARDTGFIHSVQCELIETA LEQPRSCPACGGRVEVAVVTPSKQDSHLLEKDSRNTLPSQTGKTLPGAHTQDSKPLPP RLPTLAGILLKKRKIKIWQGTSQATGLEWARRTEQDDLPKDLLPADGRVIGEDGQLWL TAAAHLKQQKRGKVPLFGFGKNHLHERDQPDTLREAYRAGETGQQASSGLRAKPALPL TSKAGQESVSAQEEAELFFNVKEQDKRQNYPSCLVPGGPSDLSQKISYSQLSALYEVA STNTPRETTARNTTEPVPAQGKAAKSSQNNTTSTYKAYDAGKQQAAASVAPTTSVVMI NAAKPAADQSKRFAPRLPNSKRISPLHFEGTVLGAFRNFSR LY89DRAFT_629482 MAGHNNQEAILPLEQEDPSRHSEGSESSASTTSLVFERIGERVE VEEKSMNGNGRRMVTPKFPPRGDSLPYADDENDPLDDEEKDDYDLESGPFLQKATDKT VDKKFRRLLWVVGGVFIGAWLLALVLYLGHKSYQHPSDTPHDPAATAVRGSGKKVTLD EVMGGAWRVQKQGISWIPGANGEDGLLLERGMSGKDYLVVEDIRGSTPEAGTLESKTL MKQGYFQVGDRGLTPSSVFPSKDLKKVLIATDVQSNWRHSFYAKYWIFDVDSQSAQAL DPANPDAKIQLASWSPQSDAVVFTRDQNLYLRKLSSKTVTQITTDGGSNLFYGVPDWV YEEEVFGGNSATWWAEDGKYIAFLRTNESEVPEYPIQYFVSRPSGTQPLPGEENYPEV REIKYPKAGAPNPKVNLQFYDVAKGDIFEVKIEGSFAPDDLLITEVVWAGSTGKALIR ETNRESDILRVVLVDTVTRTGKTVRTEDVQKLDGGWFEVSENTRYVPADPANGRPEDG YIDTVIHENYDHLGYFTPLDNPTPVLLTSGNWEVVNAPSAVDLKNNLVYFVSTQESSI QRHVYNVYLNGSDPMPLTDTSSEGYYGVSFSSGAGYALLNYDGPNIPWQKVISTPSNT QKYENVIEENHALADMAKKHELPIKIYSTVNIDGYDLNVVERRPPHFNEKKQYPVLFY LYGGPGSQTVTKSFTVDFQSYIASNLGYIVVTVDGRGTGFIGRKARTIIRGNLGYYEA YDQIATAKIWAAKKYVDASRMAIWGWSYGGFMTLKTLEQDAGQTFHYGMAVAPVTDWR FYDSIYTERYMHTPQHNPQGYDNSTINNMTALHQNVRFLLMHGVADDNVHMQNSLTLL DKLDLAGVENYDVHVFPDSDHGIYFHNANRIVYDKLNNWLINAFNGEWLRTANAVPLS SSEKRKRTGIEA LY89DRAFT_329901 MRTTSGPTFTDHVLRAPVRFPGSLWHLWSTSISVGQLALLIFGG MMVSLAIVCASRDLAPISRLHLCCNQVFCDGTL LY89DRAFT_599783 MQLTNLFFTLLLSTAVVAKGNKTTKAVTDKSLCKEMASLEKTVA LAANTTKLDEKTKGNATKAAEIQSKASDAATTLATMQSNTTLVSTCAVISAAEDTEDD CDTMTTLAKLVSLAANTTKVDEKTKGNATKAAELQTKASAAATKLATLQSNTTLVDAC SSIKSAKEASKSDTKAAKAAASSSAASAASASSTAKSGAGMVTAQTGVLSTIIIVAAG MMML LY89DRAFT_329919 MPGFSQTTGPTALIALSLRKIATQITELMAYLRIPAAAFWGCSS GGLAALAILKFYPERVRNIVIHEVPFGAPDFVYALKEQSDEAVVSSCRVLFRDAMVGD PEAWDALGEEYHERLEKNYVTWIRHYAGVLENENWEAVVKDNTKRISWSVGGQSHMAF FFENVVLASKCGIDVQLLDCKHFPQVTLPDKLAQWIKSCCEKAEANCCFNETWD LY89DRAFT_788806 MEGQFERTVFTPKTYVKPLPSFASPSEYSDSSRSSDIVPIIDPR AAPQADVPFSGWASKHLSPYQDLKILSCEICTPAKRRSWWSIVFLAVCAKRGCERCTL RLLGIFKIIQRDRIGPDLVHTQVHFQDSIITLRHHPEVPGLEKQVSWVPFFPWNRQSI KVELYASPDRPTLGWEPVFGVGRDVMECRKKSYTRLVFKWLVACLVNHPLCGYDLQPL PPLIIDVGPEGSTSLRLCGSLGVRKPYVVLSYCYRNWWRAPTTTRESLRRHVVDIPFH GLPPVFQDAVQVVRNLGLRYLWTDSLCMVQDDEHNLSNDIRDLASVYKNAVFVLGAYR SHHVKNGSDEVGLLPTVYDPLRGYQFADVENIDGSITQVSARLLRNPHGLYCGVSRST KYGVWSRPWALQEQLLSSRMIHFTEGELIWECNSLVGCECMELDRPGRMKSALHKKAW YNKLLRQPMCDEFYKFWWYMLSQYSGRTFTCGEEVLLAISGLASQMQKHGAGQYIAGL WKNDLPQNLLWDRHSPIIQPPKDYIAPSWSWASRITYPHEIHADNPNYGELGPAYQIV NAVHKEDLAGVYAEVLDVKAIPKSGNPFGSVRSGHITLSGPLIKAKFREHPLVYNKGT KRAKSSMICPLFPDGTPVWTCPDFDPMPFEVEDELLLLLVAKERGKSRKRRYMRYKGL MLVKSKTGPYHERIGTWEVPVLNEYNDIEASDFFQGAKEGIVRIV LY89DRAFT_690887 MSAENTKMSQATRDILMKVRKMVPPMLEKFHKGQMGRIAVIGGS EDYTGAPYFSAMASARLGADMSHVICEPQAAQVIKTYSPNLMVHPLMRQTTHASSGES SDAIAKTVIDMLPRLHVLVIGPGLGRDQLMQDTCAKVIEAAKKNKMPFVLDADGLNLA QTRPELVQGYKECILTPNVVEFGRLCKSKGIDTSGLDDGKAAEKLSNAFGGVTIIQKG AKDYISNGDKTLVSDIEGGLKRSGGQGDTLTGSLGTFLCWRKAYLDKLWDHEGDLDSA ELLALAAFGGSSVTRECSRLAFAKKGRSLQASDLTEEVFIAFTNLFESPAPKL LY89DRAFT_741766 MFSLTRAIQLASLLAIPAAAHTKSYVSTLSNYSQSLFTESMSWM DGYYDPSAGYLFDESSATALRHETRSSAWYAIGLLARNEGKDVEYAMDIITNVINGQF KDPADQWYGDYQQEPEEPTVGTSYYPEKLYNSWDPNWRGFISTAFIVGLEEFGHLITP SVTNLLLESLYNATKGDEYRVGGVDGDNLYPAYTNPSLMRAFTAGWTGRKLHDSNMTT AGENYATAVLKLFNRANTLSEFNSGTYTGVSLFALSLWSKYLPEDSIMKQNGPRMMQY TWEAVSQLWHPQLKNIAGPWDRSYGFDMNRYLSLMALHLWNVIGKDKSSIIPKTPALS HIADFAYGPLFAILGEFQNSMIPSDVVTKLSNFSGEHTFTSSAFSPPFDIYPRNITAW LAPNISIGAETFNETVIGGPATNPDTFNPAVIQWDTGNGVGWCNLFATESYVTAVASA NTLNLTYPLGDANSIFSFIVSPFKAKKDVTSWEDVQGLSVHVSGNVNMSYTVGFAGSY GGAYTVINDFEFWNFTYTMPANFTGIPAITLELELN LY89DRAFT_711515 MYFRNKLTLVIRCYYYILAYVDRRPSEIAYPTGLKIFLIVFALS LAVFCVALDNTIIATAIPRITDEFHALQNIGWYGSAYLLTTCAFQLFYGKLYSCFPIK MIFLMALSIFELGSVVCATSPSSLALITGRAIAGFGCAGVFTGGLIIVAHSAPVHKRP VYQGICGAIYGLASVVGPLIGGVFTDKLTWRWCFYINLPLGAVSALIVIFFLTLHSES PSNYKDLGFLDIIWRLDPIGTLLFVPSIICGLLALQWGGTSYAWDDGRIIGLFVLGAL LLTAFVAVQLWLGENATVPSRILFQRTIGFGSVFGFLLGGSFFLLLYFLPVWFQAIKG VDALHSGIDSIPLVLSMSFGIMVSGGLVSKFGFYMPYVCASFVVTSIATGLLTTLTPS SSSAEWIGYQVLLGFGCGLAFQLPQIAAQAVLPLKDAAQGVSIIFFAQTLGGALFVSI GNTVLDNKLVQYIRMIHMPTVDPSVIVKLGAIELRSYVPAQYVEQVVEAYNHALADTF RISLILATLAAVGLAGMEWKSVHSHVEGEASDVSAT LY89DRAFT_741768 MASSKPTIVFLHGSWHNPNHFRPVRDVFERDGYPTECPLQPTYN AKAAPPVVAIEDDVKVVQALLTHLLNDGKEVIAVLHSFGGVLGTEAIRESFGRKSRQE KGLAGGVLGLLYMCAFVIPVGSSLASAFGGNLPPFIKIRDDGLCMVEEGEIFYNDLSE KDILHWKSEMAPVPLITQTTPITYAAYKHFPVTYLYCAKDQGMPLALQKMMVQNSGVT FKEEECEASHSPFLSMPQTVLDVVKRMSL LY89DRAFT_676821 MTFEEYSKLATRDFEVLVADSDCRHEILCPRCYTGRAEDREALP RRFSGTSSFQICVYILLISANLGALALWFKTTPQMGDCVRPVLAYSPAKADDAIHYEK RRLVTEIDANVFSGPPRPAHDEAWAHLIEPIAIKISKQQLDLIGEDSIEFADKSGYLA ETSLYHELHCIGRSALCTLSDQEYSDHCLEYWREAAMCRGDTYLTPFFWRDGQPVSRV WSDRDHDKELSFPQIEAFFKAKKNKIFLANEFTYAITSPVVKISLIVFYRRIFIFNPF RRASDILITMCVLWGLATILGSATQCRPLSKSWNPTIEGKCFNVRQIRLRHTRSKYLP CYLYSLSTDENGMESQTTLARAAGSDGYILAGSIYRIYTITLMDDNDIPFWTLVEPSI GLVCGCLPTIRGLFPAYRVSRSTKGTAASNNLPEPHRATNSYNDQLQYIKMVSITEIL GNPSNDDDKAHGGEIRVNTVVSIV LY89DRAFT_690889 MASNGNGTTVAKHQGKRTVIVTGSARGIGKAIALRLAEDGFDVC INDISANKSGIESTVKEIQALGRQSYGHVADVSKLSEVEALIEASVKNLGPLNVMIAN AGIAQVKALLDLTEDDVRRMFEVNVFGVFNCYSAAAKQMIKQGSGGKIIGAASIVAYK PFVMLSHYSASKWAVRGFTQAFAMEMAEHKITVNGYAPGIVGTAMWDLIDEELGKKTS VKKGDTIKKYTDDLIALGRTSVPEDVGKTVSFLASPDSDYMTGQTLIIDGGIIFN LY89DRAFT_741771 MSTVNALKTISRFALRKAQVPSTSLHLLTKAAFFHRKAPYNARF TEETVAIHRIELIDDKTATLIHQPNREGKPDEVTLRLANQSVIDQSTDWLASLVTLKI AILLHELGDTLVKKHALCPHRTDGKCCEAVLETAFKIRTAAEELITGHDTTAEESGSS SDED LY89DRAFT_676824 MASGAMSTASTKFNWADDAIEEAELAARASCPMTPPSSCEPSPA LRPAAKVVAPATVVSVETASNENQQIETLVTEQGEVPATKKKIDPRYDVRVIDNICVP SSAEVDEKQAFAKVVACLVSSITPSPPVVSSDMVSIRRPAAQDPVAPSGLNPTAPAFQ YPQPAIRAQPQKPFQPNSPEELAYWLTLHPRYGMPHQPHIETKPPMFVKI LY89DRAFT_676825 MFTARQVNSQELNHYDGPSTSQTIDADAEKTTTTNDPAEEVRNR EDFPEEIEHPTPTRPQVKLPGYWESIDVTTIEGRGEGGLEDGEIDDAAQLDGTDLGDV ELEDLLELEEDGADVEDLYEGLDQAIPESFASSSSNETAEPPPKRPSLNRAFHLNSTA YPVPAFPPGLPLSDPYVKRVDTWITAGECALYQHPLLHKYRAGLSTTMGALDANKDGY ADRDCHPDDVCQKEYFRADVCVVDLDENGLADGV LY89DRAFT_741776 MQTKQLKLDWADDVIEAVASGELLDIKTTNIVEREPIKKATRRC QLNTILQKQVTIDPKLGSRWTSRGGFEQVGRPEYDSELENGLKETESEQSWLESVMPQ DNRTPGDKVQQEANDDALRLGLQNIERLRESLEVRSPAVVQESRLRSHFPQRVFGHVE KGSSSKLKGRRAVEYNDLWVETASNKSKRSLWDLGITIDEILITVGEINLLDDKRLGK HRCRPSLLRISWTWSL LY89DRAFT_676827 MAKAVHIRSLSQKHAHPHIESRGSTPQMERGCSGVEIDLKQSSF ILELLTQNPSTQWSPSSSTWTPTYLALISTASHKKQTSPHAIQNRNDNSIINVLLSVV AIESFHSYSCDLKTHLISRQISKRNFGPEESFGHSFKSINMIYEPDEPLPSPVSRMIS RARELVASQYPPLPSQDSQSITPGSRNTDATSLTPSLNEVAHMGGWAYPTGHGAGHTL PEYPLPNGITSVGESNYATGGTRPLLNGGGGGAIATHPILNQVTYSGDANYVAGTGAG SSLKACDGSDSQAGEFDNEYRFGYMDGYASVTGACVVPDEISDIMSYQSGWAAGFAES FNTLALPGNVFQDPDTGGYLAATTTGSLNHQQQAFSHSDFSTSYYPDLDSSMLMPNGG TELAHAAAEEFPFRVGLPGPSGIVGRAEMTSTSGNSLIDTDLLERGEEYEERLRLEVS VPHTIHNGFVQMPTPNSTAYSMTPAQDNMDSPALANHQVQAQVPAPTSTAYSMSPDHY NMDSLVLATHDDPTNHGQAQVHIPSSNGYDMNPAPMASSALVQQSVSSQDTSGALRVK PKKNTPVRYVPYVGPDGETRPTEPGNRKLLKHELKLLESFYLIIEPLNAPMRGHRQLE SWCWSWSIVKYNSTIHNEWCVYLVANSTAEEEAMVGESHKEHERAKANWKKDFAAYRA LRRKEKKKEKSGKA LY89DRAFT_676828 MGAQGQVRPTLPGLRKLLPHEIELLKSFYPTVETKVPAKVRPQS DEDFQKWCWGWSAGLKFNRDVHNQWCNFVTLLSSPMQVALRNESLTDHQSKEQEYDKA FGRWRAYQRKVAIAKKAEREAAASEQRQSDLAKEVGLTTQHNAEQGEYNEDFLQAEND VEAGAEE LY89DRAFT_741779 MADKKYSPSGDTPSNNTSYYPDTSHDLSQTYAPTPAISQPPSST FRAQPEAGAFMSLNRNQPFSTISDPRVYQGNVTSSRPPQSPRSLHQNIPRPSNQLSTN EGRSGMMSQTSEPYAASLSHPTQVASDTSMSTTPNRSLPATLQRPTAPRPRELLEHEI RHIILFYQQVYPSAGVPSTLVAKRWWYAKKDDLTFYKQFNDFRKENETPEEKSKRVQA NKEDSDADDQYRLDTRVYQSKMQKAGRVDPVKKAAKQAQLEQWGETEEERREGWRLAK LQGGAPEQVRRIQPSSRKRKRGDDGNSNDEEA LY89DRAFT_676830 MSTTTTVLDENYWCGNNKINGNFGTNTVSSEDSIVGDFDTIPLI DVAGIFNPDISERKKVAAQLYDACTRVGFFYIENHGISQDLVNAVFDCGKEFFALSFD EKMEIYINNMPNYRGYTPLGGSGSSGPDGQGNANEAFDWGHDAKLNDDPNDEFIDTHM RGDNPWPRQLPHFEAVLSGYYRTLRAFARILARNVALSLDLDESYFEPVLTHPGCSAL VAHYPPQKSGSRIFG LY89DRAFT_676831 MSTTPYSAEEMEAIRICQRSGLSRIVPENEVYKGGEEGTRWWVK LQMFDPVTLPEDFTQIPKRCFLSDRVVGYLWANPGSIGVELRHRYWPGDFARAGRLNT FKEVRAHVGLPAVTTEGEIVAVRKGTSSKYSLLRGSMELDELNRPPYNLSGPPLHYIP YSYQELNGAQNRVNHSLVLSIFQDIAAPKTFANGTINLKRKIRCERPTEELASPDASL STGSRALRSARRLTQRLNAIQEQIESSIGVVETPPVLHGTRIPSIPSPGVAEQSPARY ESTNKFQSSHSPSNYQQGGTRISVGLDSHRPSSARHESAPPDNRSEHSDEEVGPSFNP QRRSMSHNSRDPPSMLTYKPLYVTQDANSWSTSTLKEFLKTEYGVSQQGGCLFGANKI SGRDFIQLQEEAIRNLVFFIPGDLEAVLQAHRSLSIGRTQRIVDNLQVRSSGATSDDT SPKVPLDREQDEERWLKRTEREFMQQMQVKPQGNEVLQTEEDSRSVKDDHSPFRANDT PLSVYEQTNGEESDIYGASPIQKHKRAAEIVSNTPPVPSTLGDDDYDDNRSSSNAPSS PAPGIEETPSPRHDLDSALTPSGSPASPSPALIARAPFADILVESPFSQNELKRPASP FLIKSQHPRSLSLESQWTTQDSNKKRRRR LY89DRAFT_741782 MQTPSETEDTFGFTAAQHWLHNTTISTKALQNDISSILPILPVM VSSAQMPFDDTRRENSYHSLEGFTALITSPTCFSHAFLSPTQLQEVPNSCFSAQSCPG SYQSVDILGFTLKYDFSVQLQHFHDFVQCYLGCHPFTFRLASDEEIAEEILCWGTDQC SKFAAHIERRSYAELRNPHLRLKREARNAQRQLARMRAEEALAEQTIREPQIEDMRTL GECFRDGQNAGDEMELGEKGKESLEFVSGGKRACHVGCEEGTRKRAAIC LY89DRAFT_676833 MAPLTLPSKKLDRAYEAVVKPIRNSPHQFPNEFPAKVTDTDEDR EEYLKMSFFYHMNRPHTPHRPQLSYPEVILRLKDVLEEVLNQERGYKMAISTLDGSDE ESSDVDEEEELEDEEGGNRDDEAMVEDIEEHQDHKDLVPDGSEGDESDHDENSSGSEV EEDENDESYENSIVDEATMVEDDEEHQEDEEVGNNKTGNNDRNSSIGESSMGAGRKDK NRKAKGGPNKVNNIKKGNSLRPKPDGVPALVKRFTSSLEEAAKALGITKKAAAARAVN LATFPHCTKCKGRKVGCNRRLWGHCQNCRNDEQCKPELERYRTARGIFGQMSKEMVYE I LY89DRAFT_741784 MEPINIFDTFAGNSQFCNLASLEKIKPYKYEGFVNPTFSHKTTM SEQYRLIEEAESNSIAMKASEQSDLDENANHHPSTSQVIPANTNWFGVNDEAFREANG KCFNGIPYSATNEFYTDVPHRALRNVYEESSFPGTYAYIMKKYKDQFTDKDLQSVLSR IEYMLSVLWLAANHPSNPLDRAEGREWLSLLQKRVVAGGGSAYSLKAMELMRAAKELD KTREDELHVSQPVLEDGYMHEGLSDEETDAPQSVVGDDNTDGSVSDGSGSDGSVYDEE LVVPQPINDNNLQGGFSGEDQPIDKKTKAVNRKKISGKEAVANDLEDASKPEEGGHVC EGHEHGGDSDGENLVNTPKVSKVKKGKSCGRGKGILYDGKIYMSNDKRPATLRWWMRR CLECIRRGRWCIRIHGNGDRCQRRPAGVACIAKDWQPDVNGRPFLYGRDKSNEPKRTK VVKKYQKAKNTKVTLRYKLAKKN LY89DRAFT_741785 MYASSSFTGVVLEAPPNFPPPKPGSFEDIHGLGQAYDRALHFCK SDGLENWDMSRFGFYDDPELDRIEVYRRTFENFVTYFPEFLLLPFQDVGLNAEFMICA LWLVHHDPTGKQFKYYRLPYWLYSLQVTIFPDAPCPFSVLPDIESERVLDLADNHEDH QENQDLIGGESDDDNMGGSSEAESSIRRESRSNLNNNIDANSTGAKGPNTAATNNSNT DLPNSANNGKSVSNTGPVLVDQAELPRCEPCRAAKRICDHKWPCSSCVVKFGANARVK CEAEIPIGLPDSLGTKKGYYGHKTGIMVEREISTGRFYRIPKGASFIMPGGCYHAI LY89DRAFT_741786 MCNITFSQELWALIITFSKYHFDRQDPEFLRAIEDCKMEYPLWQ STSLDKSNRFEVRRFVERTSELPLKFPLEVIMAYPEQRFKFYQEILYWINRGPNHLRK DHSIAWVHIMQEEIPEVVVVGEELVGDDNDDEDSEWEGEVLQEHLGPEGIEEYTKMAL NEGHTDNEHHWYDLRPEDLNRSNSHHEEGSVTHEEEVEEYIMTVSRGKAMNTPEPVGN YRSPRVESTVDLSDEESLFEDEGISQEDELEVDRNPAKRAATSIAPELATLDDFPHLE KPEGPSDEGYSLEVGESSQEEEEEEEDEYVVPAKRRKTKTGPKPATPNSTAHNHSSTA HEDASASHDHSSPTTGPPPRAIRIQTSRGGAWALPAPSPTAGIPSRAELSKAELRERM KFPRCTRCIAAGKWCDRLIGTNSKCNQCLVARDPNVVCEAEKIKASKCHPGAIHGRDK SGEPKRENTTTKGKKRKA LY89DRAFT_676837 MDGRRLDFQSVTAVLPRLNEIHCWKHDPQIDFTLPGQQQIHCGN LVFSQQQMHSNYFTGGCFLVEVELNFKKCTDCREEEHSRHDSVRNGVCLPFSLALALD FLLLSAQAKLSPKYASQEATSGRDTSHQFKNHSAQGNIRDSVEHTKAFEAVLGRVRLS MTRKDEPKRLFMPTVLDGIDFR LY89DRAFT_676838 MTFASEFEILCSELSILWLRFKLWGQSIGLDLDLFNDIQSTLSS LPDVQSTIVQGVGNIVHLMTEIESIRYKYEFRPPLISDATQPNEKALLRSTISLSALS NTRAIKSLQQRIRDNQRQKSYFTIVKWTICDAKKFEDKVKQLKILIDGLEDVSLAAKV THQLPAAVTSEDPDPPPYSVTLSQQSASSSNTQATIPSPDQISPPIHSQPSMPSPDSI LLHDPEVAAHYTAMLQHCALPSGPQNQPRCRARDKMTRLSDRQFKELRTDVYDELFRR QFEGSTPSSLQKDIRYHPKRNQAREKLSTLPSHRFKDLVVDVLFELERRFPALQGSTS PGVSVLLMSSFSAPSLELCGTQRSSIPPPPLQDRATHPSTLPPTTPSPDPSPLLSRYS QRPSEISEQQSQIEGGGGAASRGSAEIFKSFRVSMTDPTWKVLPAALRKYNIEASADE YSLYVMYGDVERLMGLDEQPLVVFKELDRKGLKPMFMLRKLKGCEVIEPGKSRVVDSD AVL LY89DRAFT_724910 MSLQAVYKQFLAAPNSALLASNASLNYITTLVTINGSSEIIKHL NNQTRELKKKEEKYLGVVEGGDALAVEVHTTLEFLMGGGAYLPSLDDNFLADRVVTFP IIHIVSFDADGKIQQIRLSWDQGSLLKLIDVIGKTGRNWPIRDGKDQIKLIANSVKST GKALADAPPPVEESAYNRSRGNSTNVTRDPHASLSLFAPRSTTIEESLPSVVAPKSSA KPPPRDYNELFGGQDSHVEAPEERSESPSKAIAPKLGAGKNYGPSRLFDTESAAETMS GANKEKMYRPNAARYQHFDFGDGEEVEQKKPVIDANKHSSQWNFDDFMTPQKAVPSKV LRTNDVRHWGNSDDEVVDSPIKVKKVDKPRKDAETHFEFVDDGTPDGERRAPGRPRGQ GQNNGLGLYKDNLFDEETGAAAVGNDPVPNLANVKDRRKDFDPHFTMTDDSPASKPAA QAVPENKAKVVKMMDANWDTYDQSPSQKENGTIPNKGITIAGDGMGGKKGPLSEATNI MSHRNDNPKGINTAGDGMGGKKGSGRTWGFGDDSDGEESGGVNKPGKFRTGKTQGKAQ ATGGDFWDF LY89DRAFT_658720 MSSTITTPSVELQQWPRQQPHQLDQFYVDPEPSHLSQNEASQHN RDVQQYLEPVDRGAAAWRLLAAAFVFEALFWGFPLSFGVFQNYYSSLPQFANDPYIPI VGTVASGISYLGAPLVIPLIKRYSRYQRQMIFAGWPLCLGGLAAGSFSTTLGALIFTQ GVMYGVGFLIFYYPILSFVNEFWIERRGMAYGILCASSGISGIMMPFAVEAMLHRYGY PTTLRAIAIGLAVLTGPLLPLLKGRLPPSVHSTAGRTDWSCLKKPLFWVYCASNLMQG LGYFFPSLYLPSYAVSIGLNLSQGALLLALLSISQVMGQISFGYLSDHRLNLNLLIMI STIVSAIASLTLWGLARSLAVLIVFSLVYGFFGAGYVAMWARMGSAVSNEPTAALATF SLFCAGKGIGNVVAGPISAGLILPFTAKESYGAMKYKAVVLFMGSCMIGSTVSVAAWY VRQKRWLRAS LY89DRAFT_764528 MDPATILAITGACVGITRNAASFVTGLNDLIVRFRDVELDLSAI EAQTGVLGLVSERLRLWINIYQDELSDIEWTRLWECVYKLRATSATGVQRRKLSIWKR GQALFAQPQLQRYARALEQQGNAVNAFLQVFQRPAALQNKRTSIIIDGAISSASKTSA EIMAWLQQVDTDEGTGSDRDSGFFQDTASNASSNRSSTTVSINTESLLTHPSQLTPLH IPHLSDGIYDRDHRQRGHITRRAFPRPYTTHRRVPDPRSNSSNLNQNVRHRAAPEDPG GDDSPPSSDSDDSDNVTTVSYMRSSDLDDSDSVTTYSAYGIRDQLQRMSIASSPFHLN QQPADPMAENIHSDLVRLQEIMLELRRNNNSIPTALEAQIERLFNQIRDNLRVFGNED EQILRGSNIHSRNASGETALHIAAKKRPVDIAAIRRFLNLGASALLKDYQLNTPLLSL VSTKYDWNDDSGDAVSLLLNAGVDINARPNNGWTALSRVTDDMDTRTFGILLESGADV NTTTPDLMTPLHRAAERPSLYGVELLLSRSADPNAISQGGETPLSLVCQLSCAHERAQ ATIVEKLLTAGARLDAGPAGAKRPLDAVIKALKSKELTLKLFRWNSGDMPSFIWARSA VENSMYTILVLLEHLNTVTLHYTTRTAVQSMYVFRPMSNLESIPISDLRRRFKQRIGE IVVLSNRQLQLYDDLNDGPQWDTTGHGQMSRCSIRRS LY89DRAFT_764530 MERITIAELFTCGHVGLSSVVPSSRSSLLSRKLWSRVRSVAATQ DISDSQQIERNVACSKCQDQERRRQAEIDRQNLFCESNFWITIHKAHEETQGNTFPAD ECSDCAHQRQLYSLLCEGTDGTESSNSDSLFTSPSATSKIISDQSSTSSLNSEEGRQI DEFSGMSSYSTTLSESWESFLSDPRNVLVLEPSSPPRRVVDPGTVEGQAEVSNTSYRG RQIIDPGTEEGTERLERIRTHFKIPEGVPLSELPEHIPTERDIINPLSIDLTPEMLGF RALLQEQETLDAEYVEEASNYDLPGSPDIQGEEDATETNSNSADDDAIDALFDDIYSS YGGKEEDSAPVDTLSPTTTLESTALEPDTLASASTSPELVSVEETTPERNIEESSTTP EPVATLATAPLRPITPIKRTSTVKPTSTRKPAIPKPTAVPIRKPAAVKAGPPKAAWK LY89DRAFT_764533 MHLTSFFAFALLSLLRGASASIYNITFPATVEVHKQFNVTLLTE GNIQTSQDVVVVFGLQPGSGLEGSLGYYKLTTHSLGPAVSNVEYPIVFKAILPPNFPS TNAKYPVTATVFSLLGTYNTPSFATFSDTVSTTGY LY89DRAFT_676844 MGTTTCTMIHVTICRALVYIGSLELVRCRPCDEKEEEERAITTT PSSSLGGCYRAHQACHQICSAAPSKPPPAPAQPKTTKRGTKKVVKKEEKVVAGLFKSA PPPPEAPPAAIPKPTKRASKRKAPEEHESVVAGPSEPAQPPSKKRKADPKGKGKAVEL PGEGEKQPGEMSTVKADSVLTSPSAPVSALKTRSGRALSNKGKGKEVAAPPMKPYAEA AASAMASSVSADENGEKNEVRPSSSRFPVLGADEAPSPTLQVGPVFGTGNLQGAANHS SVPELQQSREGSPVLERGGDDTVTKYVHQHWPVLPPQPKLPPAYVFPSHFTNAQYATE IPAAIPGGQVWDHNTNRHVNVDLDLISKY LY89DRAFT_330108 MVSFTLSTIFLGLAALSSALPSSKREYSKTKFAFSLQAEGYPAL SLNAVQSGTLGNLDLVFQRPSAYPGTPAYFNGTILDFDLSDPNLPSSYGMYFTNVGDN YGVTVPVTAIYAGGKEGFSILNDGTLAAPMDAALEHFFACNGTVNGEDILQLKWGVFE SNGESPAGCVAATLNQVFNVKA LY89DRAFT_764536 MASPSRFGPDTVWLVTGASSGLGAAFAKHILVQGHNIVATARNV SSLSYLPSSSKVLKLSLDVTSIPSIKAAFASAIETFGHVDIVVNNAGYLLIGDTENAT DEEARKEIDTNFWGVVDMSKEAVRIMRDVNGKRNDGKPKGGLVIQVSSLGGQVAVAGN AFYHASKFGLEGFTEAFSKEIPPDWNINFLILEPGGVKSNFGTSSLKMIARHPAYADE KYPTRQLEKFMLEGDMDKQTSDPARVVEVVFDVVAGKIKATLQSRLKDMDDWKEVSES TMTEEVKEAVRKGNEKLGL LY89DRAFT_701770 MKLFNLIANLAVGVSVISAAAITQVSNYGGTATSKAQMWVYVPD TVKSDALVVVIHSCQSTAQSYSRNSKIPSPNSGTCWDVSSKSSLSHNGGGDSNAIANM ITYALDKYMLNATRVFVTGGSSGAMMSNVLAATYPEMISAVSLYSGVAAGCFVGSGVD QWNNACSGGQSTATPEKWGDTARAMYPGYNGTRPRMQIWHGSADTTLAPQNYQEELKQ WTNIFGVSMTATDEKKDTPDKGYTMSDYGPNVEGIYAQGVGHSVPSHLAASEAWFALP LY89DRAFT_599858 MIQVFVFVAILLGLVSAHGGTSNYTVDCIWYRGYSPDTPAANQD GQPWLVGRKWITIDPIFQPDNMSLPCNYPGDPAPSSIPITAGDNITAVYYYWLHTVGP MVLWMTDCGDSCSTLNLTTANWFKIAERGLISGTIQTGMWGQREFQNWDGTPNLWTET IPQDLKPGEYIIRHEIIALHIANRPQFYPECAHLVVTGNGTALPEKEYYAKIPGVWSM DQPEINIDVYAANVSNLTTYTIPGPPVWLGAKRSGVDRVLDGFKGP LY89DRAFT_788816 MCITTITYLCHHRSEENIPCQAYQQRVREAQKSHRNPSFWKSLL CGAPSSSTRSVRCTQKPGKRSVYSKCPKCVDREQQERARVDRETEIRAMFARDAHNER EKQRLQERRRSDRKAAFRCSKCTAERRWPDQMSRAANEGLCCARGIDEFAELEKANGY RAQAPSKKTVADKPRKAPVPTREQRFPELSYMTSMEKVREDAKVAANTYGWDRRRQGS ADLEPKLVSSYVNASGANPNILASAPPPAQKQPHFEELGIDYTVWRKMQDVKLGAHGR IPPAPDKPLPVRPLATKYPGQIPRKPLASRSDSSRLMVNTNVSLPSSRGSRGPRAPPS PVSPVSPRSKPKPKLQRSSASIMNGELYDMLDDSLAEWKQEPMPEPRYRY LY89DRAFT_330263 MVAFLHHLAAKVVSGLQSALSQTSSLFQGVASTISSGAKYVASQ TTTLFQGVASAISSSAKYLINQISSLAPSPTTTQIQTIFAADNTAFSLLQGVQTDWVN WVNANSTNGPAEFGSVNFEGTIYYNFDILSAQIGAWAAANAATVIPAVAATKASQTVE TTTALANVFWTSAQAALPIVMVWLPIGRT LY89DRAFT_676851 MSLDLEKQLCFYGAYHHNTVNIGIHMACVPLILATSLLLATNSP NLIPLPEWLTIPYLPPNAGTIGAVLYSGFYMLLEPFAGTCLLPIIISWTAYANHLTTA HTSSANQFAIAVFIVSWILQFVGHGVYEGRAPALLDNLLQALVLAPFFVFMEFLFKVF GYRPELQKRVNLAVEKEIKKFKAEKPNGAVKNGKAQ LY89DRAFT_599800 MFFLYNLERQVTLHPSYFGRNMHELVTGKLLKDVEGTCTGRYFI ITIMDAFNISEGRILPGSGLAEFTVGYRAVVWRPFKGETVDAIVTSVNQVGFFADAGP LPLFVSAHLIPPDIKFDPNATPPQFTNNEDSVIEVGTHVRVKLIGTRAEVGGMYAIAS IKEDYLGY LY89DRAFT_330138 MAAHSLPSSSTTTCSQISQNDNKHWLIQSPSENWFLYSILYNSF HKQILHPAYYSELLVIPTFYSSQHTPGDCVSTFAANLLRSRTFSMKPVDSSNTNNSQR QSTNIRTTFKRCQQSFKSRAHAYFHRRRFSNLKFVRKARETPGQSLENPIPLSEHVIT PRFTMASPSYVMTSSVFQPKRQSPNAHLQVLRNLTQYNKRFSPYFSPALGNASDSWIR HRAKSYRKTRILEQEMKFAQKFVNDTNRLSREDQNEVGSLRRKYRIQIDKMESYNQQW VIDSIQTDKVLEAMKQDLEEIYEPDEKRDITEALEYLLNKEGRSLEELKPLKDAVQGS AFWPAGYDSDEETCSDTSEQETQLHKSNSTSRFYIEDEISDDDDDDDEDEHLYSFPLP KTISTTQQTATMLDETSVAEMLKPDNFNWADEEEDDDEELSFSTTQQSETAEEKFEYL VNHVYDTLRNDLEHLRFRFLRLQTFSPEWIAQQMAPVTFNPVNHKCSWLVRPMAMSKK NLNPPLTGIPTIRLTTPAGQLCELEERDYCFEGPEWAAHFEKRRVSAAETREQLRAME TFDERISRMEAEEYWEQVQARDYERDCEERRRQYAAIEAMDDEEEEAESSTEEDSAEG PFFPVALDNGEGSQLSISQTFADESPLDDTLGDVPSEKEEESVTASSQSQINTTAADY QPTEATENISRATTPDMLDEAVFDDISVSSSVSSLPENDEDDCAEDLFENNKPTPAAL TFDRYEAVSKLNTLKKLIAIRVQQLTRFARQLEENEAAEYEIAHFEAKRAKKKSGYIE RLQYALASLDLRARLEDSMIRHQDSTSISYTNTVPNSGPAELRIVGPLLTTILAHPWI LEHAPLLIQNNKHYRSHKAKDPYQGLRSRMKTIYSLSDVRYRSSSFDYLWTRQYVKRT VEVEKDENLAALEFITMDGIWEAPYDNRIRRAETAGREAKAEELIEARFPAKAAWIAK RRVEGKFVALDMVGGSGVAAGYRRGLKIWKGMKSFLGFGGVKAVEQEDEEVRDDEVEW LY89DRAFT_676854 MDPASIAGLVGTCVAIGSSATGFIKGLNDLKTRYKDVQINSHAL ITQTAAVRSAVSSIQRWLARNGSHLGRKERKSVRDSLEACGIIIHSMLEGVREVLGER SRGSTRWKFWDRVKFLWEQDQMDQYAVRLDHQVAALSLHLQALHLPEGRAIIRNGLAA ASSIAESLHSGSAASLIRSNQPLVDREREPFALGALTAKNLAVVEQEDSESPGSGNAG PSNEVDEATLQHGKKEDKGKQREVAPESILLGRAKSQDTSDIRSALDEIRTVIADSAA GESSKKRVKTTLERLTDLMKNIGRMLRNPTNRSTSEISDLDQEANDLFAELAEILRNL EDDAEEAIFSALAKKDLAEVNRLLENEADVQAVNEFGQSALHVAVQERIFAREDKIVV VEALVRKGGQLEALDLKGRTVMHAIDFENSFVDANGVLDMVDCLLKLGADPEANLEKE GTILHRATYWSHLATTELLLIHGVDIEARSQPWLETPLHLACISQRPATTIILKRLLK AGADTSARTKEGKSALSLACSGLVKKEKALKDLEVPEERLMSAIYRPCLYLRNYLNKI LTLLEYTDTDRISIDSRDVRKTFVFRNIQNWDRLSRDYLRYELEDQRVFALLSSDEEL YHAVRDFYQGGKPKTVINSTELLDSGGKNASSVPQSTK LY89DRAFT_764549 MPEAIRTGDWLRRWAQVIRNSRRCDTTRGWPEWGGVDLWTKDGL ARGLLQGHSGGINCLTFSPDSHLIASASSDKTVRVWNAATGTVWHILEGHSDNIRNVR FSSDGEFLASCSADKTAIIWHAGTGALCSKLEGHSDTVNDIAFSPADDNMVASCSADM KVGLWDVSTRVCSRFLTGHSDTVNSIAFSTDGELLVSGSADTTIRLWKTAGSARGILK GHLLPVNSAAISPDNKLVVSCSDDETVRIWDAETELVKSVLLTGTAFRSVCFSSCGRY IETDRGLLDLDLFSPVSPANSLVLQKPDFTAFVAKDWLKTTGKNTLWLPEEYHATSVA TYSGVVVLGHSTGCVSFICF LY89DRAFT_764561 MQALVVGLFYRLYKLSYFTTRSFSSSKIIQKSTAKGHSAAFTKE FFQAIGLSPIFGAANPLDLNPIETLWDELKHYIQNNYSKMHNSYPGLRRVVQEAWESI IYARIQDLIQEMGDRCIAVILADGGRTKD LY89DRAFT_690897 MTPMLLETPPPTEAIPPKNIPICSDSSVVPSEHASTRTKELWWL HGHPHSKRYSDPKLVSLAALVRHDGDVYKGQRGFLYPTSTELNCSYNSMTWDEFDQVT ESIALVYAQQLRKELEEANATRKQPTIALLGRGITVEYLCTQLALQKLGVRVLLLAES NALDALHHLLESCQALAVITDSRNSEADTNGIKKLEMVEVLPKGLSNRKDVDAMKFQD FGDVWERHAFIIHSSGSTGMPKPIVHTNRSMMLIARMYRLFQEFDVENWFLLFPLYHI AGISVALSNLPNGQILSFPPLSWPPSSSAIFSAWKTLESLGHPVDTVHCAPTLIENMY EYIQDHGGDFTPLTSLKLLQPGGAALSDSIVKALTSNGVNVKTTYGSTEIGPPFRTIP HTRDNPRCYTFRNLYPDSKFLKMEEVGEGLYECVVYKGFELAAKLWEGKPDDEPYRTN DLFIQDPPGSGFFVLQGRKDDILVHSNGENSTAGPLQLDIQSSSKIINKVIALGHSRP SVGLLVELHPEYNSSDPAVLDQVWNAIKVVNERFPKHSRIMRSMICVLPQGCTLPVTP KGNVKRKEVERIYATEIETLYSEDVVPLLANSTSQQPLSEFLRNILASLSDVPVSEIN DYTSFYDLGIDSRLALSLRTSLSSHLSRPVSLSTIFENPSITKLVAVLAHPSTSDHTD EVAASTNSAADITNEILARLTKELTSWPPRDTSKTWPKTENEVVLLTGASGSLGTALL ETLSSSPKVGKIYAMVRGPNNVSKLGASLKKRALRTEMLDDGKVEVLNFSMQDPLLGL DVDVYARLAEEVTIVVQNAWKMDFNLGVEEFEGDCLRNTLSLLRLAHAGRPKTFAFTS SISTCMGPSSPPSVPENPISADPTIALSTGYAQSKFIIERLTQSATTKLGIKVNLLRV GQLCGHTKTGYWNTDEMWPIMFATSAHTTVSAIPDFKDKVVDWVPVDVAAATVMDVLL ADEEGREEYTVHNIVNPKPVSWSALVDMMKVAVGKQIVVVGMREWVRRLSVLAEKGVS PDEVPGLRLLPFFEGMVVEEEGEEKTFETGKTRETSENLRVCEAFNQQWVDGNLRVWR ELGFL LY89DRAFT_330403 MLDLCCPNKGMARPQNDHCCWSYWTNLRSTEKIPTWPISWLWPA LIGPEQVISCSLIDSSSRNPGISSIVYRLSIVCLSSTIYRLSSIIYHLSSIIYHLSSI IYHLSSIIYHLSSIIYHLSSTKDDPSVKASQPLIDDTTTYQPTVAVWPSCLDSCPQIN DQLPTNGSESQQSGTIVLCPACSSWPQCARSAPRSKPLQPESVSKGQQRGRISGTASR QTPSTTPRCEVTARQPAS LY89DRAFT_330407 MAPKVFERFRLRTEPGSYASASGGLSSNKDLDPVPFESEERKWT WPSLLGFWIAEAFSISMYQVASSSITKGLSPGLSITAVLVGHLLVCIPAMLNGYVGCI YGINFPVLMRSTFGVYGAYFAVFVRGVVACIWFGTQSFQGGQCIQTMLTAIWPSFNHF PNHIPASAHVTSAQMLCFFLFIIIQLPLLYLHVSKLRYLFMAKTIIMPVFGLTLFIWA LVAAKGFGPTFSKGTHITDGTSVAVVFFQCVTTAIGPKATLALNMPDFTRYARYPKQV FWTQAVGLCILVTLCGILGVTVTSATQSVYGITTWNPLQVSVLWDNRAAQFFSALCWA FAVIGTNISANSVSFSNDLSLWFPRWINARRGAYVCCIISVAATPWNIQYSAASFSAF LGGYAMFLGPIAGIMIADFWVLRKRHLSLAALYRHPDIYSFWHGFNVRAFVAFVVGIA PNLAGLAKATGQKGVPKGASYVYSLSWVVGTVVAFVVYLVLGRVWRMEEKWSGDDNER EVVDSVSWGQGSDEEQVKAVGDEKSRNF LY89DRAFT_690899 MDNFAQPSLSPTDFFNGLDIAQQPLQPGPRSYKSRKYRPCDFCR SRQVACKIDIAPPCALCSSHGKACTFVERPKKKRRPNSTSNGESSGNSAPQQFDQSIP QLSPGFLAQYNHEQAFNGLNGDALDPSSPNQLHLGQAQDASPIYNMDPWLQSGIRIRP LDSQSTRSARFIGETGESNPYLLRHYRYNENDECTISKLTYRRIKTSLNQNALPGEKG EPPVVFMLADDSLAQKGEPRVEDDVLAKARSDIAGMFNEQEALRLIGLFFRFVYPAFP ILSRSEMYPNGSLSPSILHILPMSLLAAMYATALPFMLYDDLLATTLVHSPPPAHQLF RISWISVTQELHTPRLATIQACLLLLQRAPTNRYTTDTPWKNSLVGWTVSLAQTLGLS KECSDWTSIPTWEMTLRKRLWYGVFIMDKWASLGAGMPSHIRIDDFDVLPLTDADLEP PTIDPHSNSIPGFLDPETDSNHFRLLSELTMILSDIMDSYYSLRATQRTSKDFTLSLE IARPLRSRLKIWNDSLPPALALRQPERVDSRGMTRLSANPSLSLAYIVATMTLFRALL RPLENLTSVEEEDHGIVGSRLAVRAGAKECAKEVVEFVENLGRGALDAFWHSWSRANF AIASSFLMQLLVTSETEAESAEINDLVARWRWAMRIGSGSTGNGLMSLGLLRLDGLLL ENGTVQNGA LY89DRAFT_690901 MAELPSVTGTSTKPINILLINPNGTSSMTEACLRSLEYTLPPHC TVTGFTSPHPAPSAIEGHLDGVLSAAAAIRAVVPIASKYDAFLVACFSAHPLINALRE ELSGPVIGIMEASLYAARMLGGRLGIVATAYRSKIMHEDAIRYYGLEGFSVGAETTNL GVLELERLPRDKVLGLVGEAARRLVQEKGADCIALGCAGMTEMKLKCEEVVGTKDATA QVIDGVAVGVQFLIGLVRESHVTAKGGVFRSSAEGRKARGQDWL LY89DRAFT_788825 MPRTLKVAAAQMGPIHLTSKRPETLSRMLALLRTASKLGAQLVL FPETAFTTFFPRHLINSQEELDSYFEHEDNVPESANTKPLFDEAKTLGIDISIGFAER TADGKGYNTSIYFSAKKGEVIAKYRKVHLPGTKEPFANPDAVNQLEKRYFEPGNLGFK AFRTPDLIPGAAKKESGIKEHGKGDPIMGMMICNDRRWAEGWRCYGLQGVEIVLCGYN TAGFAPDLWGTRKPMIPEEAEKDAVFHHKLVMQGNSYMNSCFSISAAKAGLEDAKYDL IGGSCITSPEGHVLAEAKTKDDEVVFAEIDLEDCRQGKEKTFDFDRHRRVDQYGPITI QTGVVEPELL LY89DRAFT_690902 MGFDLIVTNGIIVTASDVLSHGIEIGIKDGKISCLGTCLPRESS TEIIDAKGAYITPGGVDSHVHFAQDNSPTGDNWETGSRSAIGGGTTTVLAFASQRKTE DSVLPCVEEYHKRAKDQSYCDYGFHLILTNPTPSIMEAEMPLLISQGITSVKLYMTYE PLKLGDSQLLNVLMSARALGFTTMVHAENSDIISLITDRLEAAGHTDPFFHAISRPQI AENEATYRIISLAELTDTPILIVHMSSEIAMKHVRKAQTRMLPIHAETCPQYLFLLSE RLKGTHKDKFEGAKCVCSPPLRHDPKDLEAMWRGIANGTFTTFSSDHAPSTYDHAGGK KLGMKDGVMRYRNIPNGVPGVETRLPLLFSFAGREKDSKLSLQRFVQLTSSDPAKLYG LEGTKGSIAPGYDADLVIWYPETEMKEGVVIEQKNLHHGVDYTPYEGMTVKNWPRFTI LRGKTVWDRENGGIMGKMNAGKFLQRGKGRIVAGKTGQEVNGMLTGERNYWY LY89DRAFT_764570 MANSQTTQLVIAIIITIICPFAGTFIVAGCGPDGFITLVLCLCG YLPGIIHALYVVITWNKRAEARGKGIKHEKEAPIIFSERVQMGLPMSCCDTERKPRQG RQRITDGPPATAAIAEV LY89DRAFT_599683 MLASAQSVQPSNATLPTSESGSETVLSLTGGPIFTGQTYNIAPL TAEVGKGQTPTAVANVKISGTFKQADYSSTGGVDGSDIAFISCDPNGSNIDPSTVVGT VGDQKPGAIVLYSLGAEECTLNGSYSFTSIFTMISSKDSNTLMTTVGLYPSQTSILTA NISVNSTTTSQSSSSSSSANEGGPAPTTAVAMSILYSITGIITLLFLVIIATGAVRAH RHPERYGPRTGFPGRPRQSRAKGLARAMLETLPIVKFGDPEPVKPGTNDIEMGGDQTT TTTTTHTAPEVVATSGNTERAKSPTTVATAVESGMGPAQAESVKSGEENAAPKEGELG CSICTEDFTTGEDVRVLPCNHKYHPACIDPWLLNVSGTCPLCRHDLRPATSATAENET ETAGDNAEGAEGGELPPPLAIDGETTTAENESTHQRSRASRLLDPNRLRHAPADERIA ALRRLREQSQTEGEGEHADSEEPSRRARLTGRLRDAFRIKTRTQDTPQ LY89DRAFT_330528 MSSSPPSPRPVRLPWLQLALRALTALVAVMAIIIAIVVSASRHR TWYTVFVSAFVALFIDTAETIALASRYVGKLRDPVPRIHPVILIALDFCALCMLIWSF FALFLDAWGPHKNTSLKPYNASPFNIVETWFAVAIGAIHAILLVFDCVDCCSIRESAS PVYEYEPRPRPRPRQRATTRSRDDLFDMDW LY89DRAFT_330529 MAKNRQSCGLTDSRNGPSRGFRADRSNMGQLSLSHLTVPFLRTD RPTLSGEKLLGTPASAMDVPTLSADRLTLLTNESTPLADRLTDSSSGQTDSLTGHVDS LQRIDQLTRRTDSTMAVLAQILDVRLLYFILRCATKLTEGLLEV LY89DRAFT_330611 MPSATDPKDLKLLLNPARRKALTSLIAAIVSHMRTRIEQSFDSS PQVEPAPLFVESTFSRDLSPSPSPQPSDSEKRLEARLEKNLSNSGLHDLKKNALFYFD AWAAEVRGQYRKTCDGPEDPRSDQRRKEWMAARTPAPPPYSATPTDVKDVAAEVEAEA REVQEAKDVSTLQSLYHPIPTRLTTIPKEDRVCVISCMVLLLLSLGHYSAHSRVLLCY LTSAFAIPLEVLTKEETDIAKTLILASKALTADAETKKRQAENASSRRWKVGLASVTG AAVIGLTGGLAAPVVAGAIGGLMGGVGLGGLASFLGIFAMNGALVGSLFGAFGGKMTG EMVDTYAKEVSDFKFLPIASEWGEFGTKEEAEADARRLRVTIGINGWLNTQDDVVKPW RVLGQDSEVFALRYEMDALLSLGLSLQNMVSSYAWSYVKLEILKRTVLATLWSAIWPV YLLKMATSIDNPFAIARNRSEKAGRVLADALINRAQGERPVTLIGYSLGSRVIYSCLK SLAERQAFGLVENVIFIGSPIPSNSNNWRVMRSVVSGKMFNIYSENDYILAFLYRATS IQFGVAGLQEIGDVEGIENMNLSKEVSGHLRYPELIGKIMKRTGIEHVLIEGTEIESD STEIQLLDVENPDTIEQKDTKSPHQMDLLGLMDTPLSELEGDNGMGDLTRSMGGLDMS SEISAPGPSFPTFSMNSQPLRPDGAHRSMSDPSTQLGHPTPPGLQSRSTDTDILNITQ SLNSVHVSDPPSYSRNPAPKQDIGQYDSDSDDGGILMVDNASDGDLAYVPSVPMSDDW NPDPRDTGKVPAFEEQKSLGSFPRHKEPGSQGSRTSGSSGTGSRSEPGGERIGSGNGQ GRVSAADLGLY LY89DRAFT_599817 MSSMQTAGDIPLQIISENSSSERRISPSWTLGQFKAKLEPVTGI PPLSQRLILKRGSQQSIPLKAADEEKTQLGSFPLAPYAEIHVTDIRPPGMRQNFTDVT LVPKYEMPPSEYEQKTDSVLAWKKAKKLGRFDPNAPSIEQAKFEALETEVKQRGIEVG KRCRVGGEDAKRGKVMYVGEVEEIPGGAGKWIGVKLDEPVGRNDGSLGGKRYWGKEGD PKFGVFVRPERVETGDFPALDDLDDLEEI LY89DRAFT_676867 MSREWKKKPAPTEQYVKMDVCLCLRSFVVRVQGTLFELVISQAL HGCKLYGLSGGCAMQIECTLTHGGGVSRGNVSVEERLLGRGHEFMHEHERGAMLAYLP PWALGFMAQWWERGGGESRIPRLKPAVVVLKLFLYNLRLLCDPSRPFWAYVSCRLSSP NCDFMGRWFQAATQHDNQTHQILDCQLGPTNWRSKVQGCHSRTSGIKVYDLHGLQRLT EAAMNYDLRCSARLTELSMLSQATEFTGWWLKTNTMPDLDSWGCIVPVFNNGAWCLNK AI LY89DRAFT_330606 MPPHPAESPAKKQSKWSAEEDSLIIELRGSGMKWEDISKRLPGR SAISCRLHYQNYLERRSEWDEERKNKLARLYERFKPEMWSKVAEEMAVPWRAAEAMHW QLGEQDMARRAGVVPFSLSSVTLDAPPPGHRSSPSRGHAHSQSQSSSMSASASGSGSS AQRFNRPATSHSGSSTGRGGGQGSAGTGSARTIAARRESTPRSVLPASPSDGIALAAI GSGGMGMGMGMGMGRGGQLLPSVAEMTTGVSPYNTPAYAMSMPMSGGGYSSPGPQLPG IGMMGPGPGMSRGDGKRRASPDAGPRESTRRRQ LY89DRAFT_658762 MSSELDTAPEKYEEQHVHEVYEQIASHFSSTRYKPWPIVEAFLQ SVSPGSIGIDVGCGNGKYLTVNRDVFIVGSDRSSNLVKIASQHQPHTAVVADTLSLPH RAGRFDFAISIAVIHHLSTPERRREAIKAILECLKDDGKALIYVWALEQKNSRRGWDE GHEQDVMVPWVMKSGKKNPNEDGSTEKTFQRYYHLYRSGELADDIVAIGGEMIEFGYE KDNWWAVCRRSTKSREG LY89DRAFT_741817 MSPRTRTSIKRSREAAGLTTTAADADEPSAKVQKVSAEPELKGV DVTASPRSVKDKIKLKLRTRYTPAYNLRRRRRLASVVRLDWNGMPASAQQGMRNLTGV LCYRSALLQSLLHQPQFCLWLTSYHEPQHCIWAEETPCVACVLRNLAKAYWSGESVDA AYVAVDKAFKANNWQNGMGGQQDPEEQLGWLVQKFSEQLPVAHFAVFESLTHFVLDSS TKCIACGHISSKPGDIEGSLAIDLLPRIKGGDLAAYVQQYLSYNVTDYKCDFCGDKAT DKKRSRKIAHSPDFFAVQLKRFDWQGKKDSYPIPFNRRLDLESVRAPNNETKSKYDLS AVVLHSGTAKGGHYISMSRGPDGQWWKFDDRSKLPLTEAAVLASANKKSGGFTPYLLF YQRVR LY89DRAFT_658765 MAPYTIKWGILATGGIAQTFTKDLLTNPATRDVHDVHHSVVAAA SSSSASRAEEFLKDVKAPAGAKAYGSYAELVKDPNVEIIYVATPHSHHFQNTMLCLEA GKHVLCEKAFTVNAAQAKKLVETAKAKKLFLMEAVWTRYFPLSIKIRELVKDGAIGKI HRVTADLSLAQAKDDGGLKFPPENRMVNMDLAGGCLLDLGIYSLTWVFQILYHCQAQA QREKPKVLAAIQKYELTGADENTSLLLQWPGQKTMGIATTCMRVATTPDGEGSPAAGP ACRIQGTKGEIQVIGPLYRPQKYRIIMVGGETGKLEEVDCPIPTDKDRDGWGHGMFWE ADEAARCIRDGKLESDGLNWEESVVIMETMDEARKQGGLKYPELIETDVYDPKSPLNG K LY89DRAFT_599782 MVFRNHPEIQIPKMVYGTAWKKDRSATLVYQALKAGFRAIDTAA QPRHYNEKGVAEGIARAIAEGVVKREDLYIQTKFTAPSGQDPNNLPYSASDPIPQQVE TSIASSLSNFTFPNQGEPYIDCLVLHSPFRNMKDTLVAWQTFESFVPTKVRSLGISNT TLPILQSLVSSAAIVPSVCQNRFYPGTEWEVPLRSFCREHGIIFQSFWTLTGNPQLVS CKPVLEMADKLRGKREMGGDDRALALYCLVLGLKGVSILNGTKDEGRMKRDLLTVDAV GGLIEGEWKEMWKRWLNDFKEVIGEK LY89DRAFT_711535 MLFNTLAVSSVLLAVVHAAAEPAPYKLGSMSLNNAFGLFKRQAG YQPTQTYCGPGADCAASCGADYIQCASSDGDLHCYDPTIKETCCPDGSGNSCSDGYYC TSDASGNTWCCPDGMDLAACAAAYSLTGSLVSETATSTPSSAPSTTPASVSASASASA SASGSSSSSAAAPTTTSSSAAGVSSTTSKVAHTTATLNSTDTTTSSSLPLQVTGGADA NVVPGLMLLAGALLAL LY89DRAFT_629552 MANTILVLGATGKQGGAVINALLASPDAKAITICAVTRKPESAA AKALVLKAPDQIKLMIGDLKDCPSIFANAPLPIKRVFFVSIPDMGLMTDGTGEEVTGK ALIDASLEHGVRHFVFTSVDRHGSDSDTNDTDVPHFWRKANIEKYLQETSRGSQMTWT ILRPTAFMDNISPGFAGNVFPTAWRVGLPPETRLQFIALADIGHFGAKALLNPKTFAG RAISLAGDELTFEEANAIFHTQLGYDIPTTYNFVGSALLWAIKEVGLMFKFWEQVGYA ADIASMRKEYPGLLGFRDWLKTSSWVPKVAN LY89DRAFT_788838 MSGILNTITGGLLGISINVPSALPSSAALAACAAIASGTQVLTE VWPTNEYTPQYTYAQNHYWSSANTDNVPACVVLPQNAQDVSEVVKILLAYPTVAFAVK SGGHNANFGFSSTGGVLISMTNNNSTTLSSNNQKATISPGATWAQAVSDLEPFGVTAV GGRVGDVGVGGLLLGCGLSFLSAQYGLPCDNVLDYEVVLSNSSIIHANSTSNSDLFGA LKGGGNLFGIVTKFTVKVVPIGTVWGGYRTYSSSYASQLLAATQDFTENFPGPAAALF TTFEILADNLDQFITVFYFYNGPVVPPGVFDKFLPIPATSDMTTSQSYSSLLSQNAGI ASQYGFRYSLRGATIPNLPSTNGTDLMMANYNNWISYVLSQGLLQQSTYIFTLIYQPM MISIPAASAVVNPLGNLLNLSTTYGDQMWMAGTVAWLESEMDTTAHSMLTDIMNDVAS YARTKYPGAEASNFEAGGNIQHEYAPAIFMNDAMYDQQVFKGYGSDTYQRLKVVQRKY DPIGFFPGRTSGFNLTG LY89DRAFT_788839 MSYEIPEEQIAEDFAAALADLSMNSRYEISNLTLIARENTASAL VISEALKTHIKQTSPSKKLPAFYVLDSIIKNVGTPYTLFFGRQLYSTFMESYALVDNN VRSKMVEMLKTWKEPVPGSMDTRPVFPPEVTRPIENALIKARTSFLQAHQEQTRNQQS AMGRGRAQSTPYRETPTPPSNYRPPTQSAQPAPGYTANYTPQQYPASMNVQQYSSQQA PAPQPPYTTQLPPNGQQYPSQQNGYQPHGLPPRPPNQQYPQALPNSSSWQQQRYPTPP QPSYQSQVQAVGYTAPASSLAALNSDIENLINETKAEWSRNPHEVNFQPKIKALLDLQ TVLRSQTLPPDQISLIQNQVDQLKQAPQSAPIMQAAPAPLPPTPVVAPPPVQQPTLSS LLGPGALAALLARQSATPQPSSAVPATIRSPPQNNSHPFQPPSSVAPATTPVPDPASL LGRLRAAGMLPGLAASTAPTPLNSSTSVAPPPFLNTPPSAARTPLATIPNDVILKPAS LKIPRPHLIASLYEQLGAPCTQCGRRFQSDQEGKKKKAAHMDWHFHVRQRMDEAESRG QHRSWYVDELDWIRSREVEGESGTTTADSSQSSNSAAAAKNPKLQFIPAPDDPALAAV PCPICQEKFEMKWLDEAQEFVWMDAKKVGDRIYHASCFAEATKDVNTKAKRGTPEPVL GKRKAEDDGFAFRAKIKSEPVA LY89DRAFT_711538 MKFTILTILSFVAIAFAAQLPQKNIIVSYDDNVPDSVISQAMDA IKTAGGIITHEYRLIKGFAAKAPAKVLDTVQTWGNDYHAVIEEDQMVTIVGGES LY89DRAFT_788841 MKFTPAAEAALLKWANLFPLDSRVESVADLSDGYALSRMLEDID PQYAVPIRDFETVKNSNSPSKWLIKKKNLGAVSKHLFRYIQEQCQSLNSMALEDPIDF NAIAEYNDEQNTYKLLAVFLMAAVKGARIAYYVETIMTKLDKVTQAEIASIIQTMEET APSSNTPPSGSSHRHADHDLALEEEIATLRKDLDESMKKNADVFSRLDRLTVNNDNLQ NEIKQRDATIEALESASRGDQSDYIVNLQTQIQEQNQLIERQETQADIDKEIKESYHK ELLSLRPTREKLVEAEDRLKELTQANLELERKANQVDHFKRKLERHVAIENENLKLRD QIEVLEANQVDFDKVHDENAKVKNTLQEYQRKFESYELQVVEISNQKHLLEGELRLQT LRIEQIAERQQHDEKFISDLQEQLRTGPASPNSPTTATGGLNLEQELEQSDDPTRNYT LEISRLRSEIKALKEGDRRTDNANIRVDLAESERVRKRIEDNFRDLTERNAITQEQLS AVISNSKGELLVQPTNQLSIIGPLHILTEDFHRNEALAHTRKLYLEASQELSTLKTKL SVVQNDLASRDREIMTAKADLSAIDRDELDAIQTLRTTNGIITTSLENDLLVLRKQHQ DLTTDFEVQKSQLLDTLLSKDRLMHDLASLKERTGLSDQETVESAKARSTKETELAEQ ITKKDALIEDLQRKLKSAEDATPDAQKAANETMIKNLARENALIATAWYDLTSRLQSN HVVLQRRQDAPRSWLNKQRQMVQATGGRR LY89DRAFT_330822 MCQVPKMLLPVTIANLLHQQNPHKRSVSTSAVSRHDSIRASAQQ HIRRSMSEKQSSANNTIRYTRPTGPELVSSKHPSCLGLPLAWTSIHDQFIAYMATHAP LDKNGNIPRHEEKKERWKTADIAQELVVRFPRLGGHIKPSVIEKRLILLDQAGDNDYF KMPYSAYAYEEWGRGI LY89DRAFT_629566 MPPIGDDTVQTLQDLVQKLESRVKQLEDKLNHAQAGTTHTVAEG VRMILMGPPGAGKGTQAPKIKERFSCCHLATGDMLRSQVAKKTPLGKEAKKIMDQGGL VSDEIVIGMIKAELETNQECKGGFILDGFPRTVVQAERLDSMLADRNQKLQHAVELQI DDGLLVSRITGRLVHPASGRSYHKIFNPPKEDMKDDITGEPLIQRSDDNAEALKKRLV TYHQQTAPVVGYYQKTSIWKGIDASQEPGQVWKSLLGVFDAEKKKPSLLSKITGH LY89DRAFT_690920 MPATTAETLSFVSRSVSVAPLVLLSAADHYGRSAKGTRKRVVGV LLGQNDGKNVRVSNSFAVPFEEDDKDPSVWFLDHNYVESMNDMFKKINAREKLIGWYH SGPKLRASDLEINELFKRYTPNPLLVIIDVQPKESGVPTDAYFAVEEIKDDGTTTSKT FVHTPSIIEAEEAEEIGVEHLLRDIRDVAVGTLSTRITNQLQSLQGLHLRLRDIQQYL QKVLTGTLPVNHAILGNLQDVFNLLPNLSTPKTSSNVPNGIDGPATNDSELAHAMSIK TNDQLMAIYLSSLIRAITAFHDLIENKIQNRQQQDTQKEEAKDEKEKKTNGVNGVNGE AKEAEKEKDEKDKKK LY89DRAFT_788845 MTTLPTSRGFLTSLLNTLIKPAPPPTQPIVDTPYDAPTNPPKTL PSSHRALLTTLHVLFPPPMLLQALDLLDRSLVTRLISARPISGELAAEPVIPQLEQEV WPPQAHIHLPSSSSNPRPDSGEGGEKKDVMYLVRSSQPTRGRYATSSGGTVYTVHLEA WNCSCAAFAFAAFPASGSGNVRWNLDGDGDEDYYEMGDGVTVSENEKETEKDWEFGGL SLDGTKEGGEGVPVCKHLLACLLGERWGDVLGSHVKQKEASREEMAGYGAEG LY89DRAFT_331201 MDNRRGYLDLLEEILSNIPSTAQSIANSFVAEHRRLESCPIVTI ASYDEAALIRSQAKPQMDSRSIKEVLEQANGIFSNRIFNNHPRFFGFIPSPTHPLTLL GDLLLASKNPHAGSWFQSSGPSAIETGLIRWLAELAGLPADTAGGLFVSGGSMANLVG LQTARDQMLGEEWEQRARGTIYVSQQTHSSISKGLRILGFSNKQVRKIKCDENFQMDC SDLERTIEQDKEAGLMPFLIVASCGTTNTSSIDPISNIHTIAKAQVPPLWVHVDGAFG ASVLLSKSHAHLLKDLKHADSMSWDAHKWLFQTYGCGMALLRDRRHLLQSFATGAEYT RDAVDSGDFESPNFWNFGPELTRPARGMRLWFSLQVLGLDAVGEMIDHGFLLAETVEG ELRKLDGWKIVSNAKMGIVCFRYEGTGKSVEEWDELNAKVSGICIRENKSAALTTKLD GRTVIRICAIHPEMSVEGMKEVVRSLDEVARELAAI LY89DRAFT_741831 MIYSMATDEPSKLDAPSIAERDALQKRESGVTENSPMRLRMEEF IKEQQKEIVRELEKVDGKKFRVDTWTRENGGGGISSVLQDGNVFEKAGVNISIVYGTL PRPAIEKMRVNHKAIDPNVQSLDFFAAGLSMVLHPINPMAPTVHLNYRYFETANPDGT SNAWWFGGGTDLTPSYLFDEDAIHFHKTIKEACDKHDKEYYPRFKKWCDNYFVNKHRG EARGIGGIFFDDLDEQEKDQENTFAFTQSGLKAFLPSYIPIIMKRKDMPYTEKEKQWQ QIRRGRYVEFNLVHDRGTAFGLNTPSARVEAILMSLPLTASWRYMFEPEKGSREQRLV DVLREPREWV LY89DRAFT_690922 MRSKFKDEHPFEKRKAESERIRQKYSDRIPVICEKVEKSDIATI DKKKYLVPADLTVGQFVYVIRKRIKLSPEKAIFIFVDEVLPPTAALMSSIYEEHKDED GYVAPCLVIPSSRVGSVVGYFGSLG LY89DRAFT_724945 MASLSILDDDRRPTPDFFYKRTPDPAEILALSDELKVFAEPKPL IDHDLNNYRQRLWSFDSEGSLENEFALKDESEGTAKDIVSGSNVMNNKNDASSTGSGR PALKRWYFRHTADDTPQKMPLPRDQRLKHTSLVEKAHNEHQDWNVSPARQEVNVGQNN IEEESSLSFSHPSLVDALDFGLEIPEHLVTLSPTPITGLVQDSSISSHSEEENISEDN RKIVARQQYYNRRGVLWDCAAMLLETFSLHPSSLTEFEFTKLVCLQGYEIFQWLVTNC RYFVERAESQWHQANGLLRNNAGLDGLNTNFMDDFLWMEANDQVSFIRSYKRLQLEAM ALREGVRLTKTELDALCMLKDENKLAIVQLYKDDAVQASLRRLRSLNEKASQESSNDQ ETEPQKADNLHLKFLYGFGHEKCENFQDLVWMDSKGVPKRKTQDGETATREPTENLPE TIKETDALEPAFVRNATSCEVETRVQSKVVWDLNASQRHSEASNSSSEASRGSSDKSP IAPSIFDGPMLMDPCLEDSVSEHIILESVHGVKLEPVPTVASFLSEVETLVGGRDDLP QLKRHKISYNDLRGLATTVQLANNIRHSSENCDEGSDWDFSPPKSLRRKASRLLDAFK KPFTFSSGKRNRRPVKDYFDRE LY89DRAFT_741834 MPAPAYLISKVADPVFAVFIGLSAAATRINREEKELGHSTQQTI DAGLRRIGLRGQEAAVTKREQADS LY89DRAFT_690924 MSPIAAAGASKDYKKESTLARLLGSGSAGIAELAVFHPVDTIAK RLMSNHGKITSASQLNTVIFKDKAGAPVGRKFFSLFPGLGYAAGYKVLQRVYKYGGQP FVRDYLAKNHGDFFDQTFGPKTGKAMMHSFAGSLIGIGEIVLLPLDVLKIKRQTNPEA FRGRGVVRIVRDEGFGLYRGWGWTAARNAPGSFALFGGSAFTKGYFFGLSDYNKATWF QNFIASIAGASASLIVSAPLDVIKTRIQNRNFDNPESGFKIVGSMMKNEGITSFFKGL VPKLLMTGPKLVFSFWLAQTLIPAFDIALSKK LY89DRAFT_658805 MAPSKNAVELMALLVDEAYGELTSRIFTILLRRGRLPIRLLSMH TLLTPRQLRHGLAVLIQQGLVYHNLEVDTGTTFYEANDDAAYGLARAGKIMDVAENRF GPAARDVVHKLFLLGHCSVSDLVTAYESKNKDHANGNAEGSSTITNDVNGQSVSPVDS IGQLHSVLIQLLDSGFVEPVVRSMFHSPEDTHSMIERQILQESFGGSTKGAKQKDELK LKIKNRMNAIRAEREWKGNAKKRPLNGEHINGINGTNKRRRLSNGSSTVSGDPLIEDD GSRLDPTLVIHINYEKCNVALRNEALVAFAKERIGEITSLIFAQGLAILEKKIPRCRI NPSIDKVVDLPDGPGFTTKELTTVLSKAVNPGTGIGKASSGEVNTRALERLESRKHKS EEVKIEGDVSMGDGPDEEPTINGHTHLSDIEDDDDPFEEGDKSNPAKRRKLVTFQAES SGDRENRLELVKKHLMLLATDDAKFLRRTGNNGYGEWTCDFETLVAKMQESEVDALLL ENFGISGHRLARMLRRLGKLDEKTMVDTAKLKPKDIRTKLAEMQMAGVIDIQEVPRDS NRTTNRTIFLWFFDEARAATMVTEHTYKAMSRILQRLDIERRRAHDVLALTKRSDVRD FDPEVYLDANQLNDFRAIDAKIDNLLCQIGRLDGLIGLFQEF LY89DRAFT_658808 MISRSAIGRNAQFALRRQCCAKPSNRRGLAAAVSGSTSFSYDSS DVSGVKVASRDVAGPTTKLAVIAKAGTRYQSAPGLTSGLEHFAFKNTYKRSALRITRE SELLGGQLNAHHTREALVIEAKFLREDLPYFTELLGEVISQTKYTPHEYHEEVEPLIK LSQKKLLADVSELAINSAHGLAFHRGLGTPLYPSSSTPLTKYLSDESIAQFAASAYSK PNLAVVANGASQADLAKWVGEFFKETSSSGVGLTSEATKYYGGEERIAHDSGNSLVIA FPGSSSFTSGGSYKPEIAVLAALLGGKSSIKWSSGFSLLSKAISAFPGASASTTHFAY SDAGLLTIQFSGSAQAIRDASAEAVKALKSVSEGSISKEDFTKAVALAKYRALEEGQN IESGLVATGSGLIHGGKPFQIDEVGKSVESVTADKLKSAAKGLLEGKATVSAVGDLYV LPYAEELGLKI LY89DRAFT_658811 MAFAWKASGLTYNKYLSVAARVVRRSLKDDKRLAAERRGEMELK FAKWNNGKQGEVKSVADANAAAATEAASHTS LY89DRAFT_788854 MSSNGSDIVSQEIANLDINDGARDAFAMYDSAPPPAPKLKSKGV IAMDMTAEFANAAQQLDVGQLVKDPYFTLFESVGALEIMDRKMDSGCLEPGETMEDEY DFSQSLLPEEIIGIIDQLLCHEMAWHMGYPLAQTIFTSLYIDKLLSPCPLSIQQTYFD TRHGSSIHEPLTVRILRAYCLGLIKTCSYINNRVKAEHFYEEEDFVTHTFNRSLLEGI EHDDIFQFLEETTRLLAISDDVSPDVKKALDCRLRFRAQFLETVEVADSSTSDAIAQH WKTLGEFLPFLKSSSKFGKPSPFSFSVKIQRKLASTVPPRPIVQVSQESSFDHLERLC RDAAVAVEVLNYYNSQSLLTFVILFQGRKPQPSVYVRTLLQHYIFADMIMLGDKSIRH ILDDDLASTVLPNHKLLDRDNDDIEVPHDLRHQMALRMETFRARAAGSYLDLLRTICQ NRCRIRRSLCHTIVDWDTLQLDAEELDVELRDFTMEAPILDQQISTEPIYSFPLSSWA YFYKLKQMEWIVQMGFELETYQQDELAGMYWYLQYLVRTRYRHLERIRGFDVRGFAAA RKDPKVPSNKILEYTRAVSFIDFSSMEAAATYGFADSLSSLFTVLSRLSLIKSPPRPY SNDSMRYEVRMKPFLGIGLPELVPFDELTRTIMQPEESNLDLLKFASECAVGAKKGFE VLTKLSAKDAYCQGSHESWLNNIKACLKACIFTSITIASVKKAVEVAGIDGRPKIKIE IPPSGKGYHDWWIVPKVVPVS LY89DRAFT_599618 MRRIYLLILTFVEHAFGQALLSILQSTPGTLSTLNSLINSSTTA KNLLSTANNFTFLAPSNDAFKKWLADQGPTSPSQDLIDATLSYHLVNGGFPTVLFSSK PQFVSTKLSSAAFTNVTNGQVVELFQNGKESEFLSGSKTLSTITSADIVCTGGLIHVI DTVLDVPQGLVTVITQSNLTFFIGGFLNVADQPLVLPVLNGPGVTIFAPNTASALSKF TSLTANATQSELGDVFNYHVVPNFSGYSSNLRNGLVLKTMQGDNLTITIQGNDTFVNQ AKIISTDFLIANGVLHTIDRYVGKE LY89DRAFT_741841 MTFRRIAIAGASGFLGRKIIEQLSLVVDITRLTILTRSKQPVKT SNNSILSFVSIVSYEDTEALANVLQGHDLLISTIAGAAAGMIDAILAEAAVMAGVRRF MPSEYTVDVLHPHSIAFAGSTILATKLANARKLQTLASEGKIEYTTLVTGAFLDFWLS KAVKGIVDLDSHTVMLYDGGEHQVTGCTTDFIAQCVRAVVTMPEDATRNSRIRIAEVQ FSGKQLLGILEEVTGEQWTGVHKDTDALVTESLIALKGGDTRSFYVGQILKLGFDGEG SCYFEEGLTHGEDEVQRLSLNDIVRHSLATR LY89DRAFT_724952 MDITDKKQSAMKLVFITQVASVKKSKETSTIIRKHVMRDIGKSR RKQRRYPQTSLESPESISRLGRQQLSVPRETPVLPWVESQEENGPLLAQPEPPHQHDP AKSTGAGSYPDSQHRQPPNGIFVPADVERPAIDRTWTGRSDPFVKFPVEMNDRIRELI DLAFDDRYINIGPFRDACLPVGMLDEAAFHQVLSNALLNIASRRPTGAQTETYDAMNH HALAINSINERIKDVKTATSDGFIGAVAGFMCYHAYTGNLAACQTHMKGVEELIRLRG GVETLDSNKPVRLLLGWSDVGGSAIEDSQPRFPLPQKLLPEIDSFQPCQAISNQIDDI LTIWSYRFPSHVTMLILIGDLLRFNNHLKREMERTDGRILSNGDFATGYLFPLLHRTL SLAGDAIIAAPDDLNVLQACRIGCTLYLAEIRRLFGICGVITSLQTQKLQHYLRSSIA NWKGLGLLKLWCLAMGGMEAVGDLKGWYAEEIKREASLMGWATPRQLEMQMEGMLWFP KAHGPAFWVLCRGQGHVAVAPGTNSLHSGKWDAKWKPALQL LY89DRAFT_629602 MAPGTKIFSLEGKGLKLDTAADVEAHIKPLMEMQDVEEIRLLGN TLGVEACKRLGEVLETKKTLQIANLADIFTGRLLNEIPQALSSLLTALLKLPNLHTIN LNDNAFGLNTQAPLVDFISSHVPLQHLILNNNGLGPHAGILIADALTALHGKKEEARK AGKEVPYLETVICGRNRLENGSMTAWAKAYSLHTGVKEVKMVQNGIRQEGISHLLTDG LRHAKTIKVLDLQDNTFTIMGAKALATVAPGWGEIQELGVGDSLLSAKGGVLVANALG KGQNKKLEILRLQYNDITAKGLEAFAKAAKDSLPALKKIELNGNKFSEEDQYVMELRE LLDERKEKLAGDVVMEDDWGLDSLSDLEDESDEEDEEEEEEEAEELREKLLDEAEEAK DEPTVQVEDKEVDALAAKLDKTEI LY89DRAFT_658821 MYYYQNLQASDIEHTMASKSISMPTATSANMGQDLKTEGEACVK VKPCCVCKEEKASRDECMLFSNAKDPQNDCATTIDKYKSCMAGFGFSLP LY89DRAFT_658826 MSSLNSGGGGSGRSIFPKGPSFTLENFSNKDFIVRDFVEDLAES AVPVNRRSGPAHQAFDPKPLIRTFENALSQLATLSDELGERETDLLTSVRRAEIQHDQ TLETLGRKLDQSIDSFETLDVTLNNPNGANGNDRNGRSDGGGMVAVQIGERLEDLDKQ RRRALDANFLIQCWLEVSEAGELTSLEDMIRRQGSGENKVRCAIIARQLMRMSQRLDP QSWSQTNGKKVNGVTNGVVGYRNNNTRELIEKFSETLEKDLLKQFDDSYRRQNFDDML ECAKVLHDFNGGASVVALFVNQHQFFIDRSQLITDEVTTDNETWEKLADPDSDPPGVE PSLQSLIDEIRVVMQDESFIIKRAFPYYDVVLTKFIQRIFQQSIQQRLEMVLEKADTI SSLAFLRSLHASRSYINNLIEDLKAHGLTEHPEPCSAQTALSLDQQLDELFVPYLVGN SYIDRERKSLEELYSSLLFKYTIYHSRRKKVPTGFMASLAQQGTQLLATAKDAYIDRL DSSELTPTQKAMMLRVAGLRDTDPNKNKNEIEVTDEDGVLSVPNAKRMLKWLAEGVRR ALELGSGSDTPKDVSALLNLLLTSIGQVYIETSLDAAADSAAAQETLKVEPDLAYLPS LQPAITITNIMSRFINTVLIRLAESNTTVRRSMELQTKQGVERIESKTNNIIRSTLTV VLNWVTKLLAGQKRADFRPKDSDLEGGRYFETLQTATCLSICTFLNRVASLSAQAIDG QNLEILSSELAMSIRDLLFEHFKKFNVNATGGLMVTKDISKYTSTLKDWPLTKEAEGS VEVLSEIGNLFIIGPEALRDRSRTIQPGGAGKKLQKADFRAFVLKRDDSGSVGVQSVL AGL LY89DRAFT_331577 MPRATRETEIYRRDAKLNHNLLTPAEREKLIKPYLPTLPTKSTS KRNKNGNIPAIRIEQPTGSRLGVRKFLRAQLHILLYAIVHTIFSVYIRIRLAYHAVKD RILAILYYHHRTPELIQKDVKGLSRLPEHLSVILKLEDGGRGGAGLEALVDEVAEISA WCACVGIPMLSIYEKTGILKSYVPATHRAVSRKLSSYFGPQQPALSLRAPHVPSMESS SPTSSSESRRSGVQHLSVLLLSAEDGRDSLVDLTKTLAEMSQRSKLLPNDINVDLVDA EISESIMGEPDLLVLFGPSVELSGYPPWQVRLTEIFHVQDNQGVGYQVFLRALYNYAN AQMRFGR LY89DRAFT_629612 MSSSTKKRTLDAFFKPPAKKAKSSDVVDSGDLEQADETSSAPDQ DVEFSKHTTYPFPIVNFPTSISSALPSFPSTIGKEINDQADLDLLYFQPYVPKYLESQ ISQFLRAELPFYRVEYTIKRGGVETQIRTPRYTTVFGLDETSRFDENGEVVDAKTRKK ISNDKYYSKYSPRPIPKCLDDLRLSTEAATGCKFNFCLVNYYASGSDSISYHSDDERF LGSLPAIASFSLGARRDFLMKHKPIIPNDSAPPPPATTPIKLPLASGDMILMRGPTQA NWLHSIPKRTGKNAEDGGRINITFRRAMVKGGTENYYNYNVGNGPVFRWDERSREMKL WKP LY89DRAFT_690934 MEEENLARAFDTMSFLSPPIVRSAGAILDRSLFSKTFPITAARI TNLKKISQYRAQLEKTRELLRLERITNVQPDPDPTLASKGAKCMLLSPDVKPGDSNTW STSLRDAVQQKDVEVVPYGLKLDYNHWTYHDIMKSILPDEDQEEIPVGFAIVGHVAHL NLRDEYLKYKNLIAEVLVDKNPNIRTVINKVDDVGTHSEFRTFDYEVLAGPDDMDVEI SEGDCIFRFNYSKVYWNSRLQTEHKRLVDSFNRGEVVCDVMAGVGPFAIPAGKKGVFV WANDLNPASYESMKDAIVRNKVQPFVRPHCEDGHTFIRSAADNLLSLTSTGQNTTTLP AKSHRPRPGAGPPPAPTVLTIPSTISHFVMNLPATAIDFLGSFNGFYAGHEALFAGDD AQARRLPMVHVHCFSTKSDDNLAESHEICERITEKLRYQVRPRIGQGRNVGIEDWEKL GLEEGEVLIREVRDVAPKKRMFCASFRIPREVAFRSR LY89DRAFT_676896 MEYAQYQQPPQGQHGHGQGHIQSGYPNTGQHPGTGPSITSPSQP IHSQHAVHPQASPILSSQPQQHQQMNYQPAYGVQQPAMHYGMSQITPQAAAMAATAAA SGTGYPYTLPDGALPQTSPRMGGGGVSVKQEAGRKSPRQMNNQMGHGQVQQARRMSAQ VGSPAVPNSQPIMNHAPRPSVPPMPQGQPHPQSPELVSGAVEESPLYVNAKQFHRILK RRVARQRLEEALRLTSKGRKPYLHESRHNHAMRRPRGPGGRFLTADEVAEIERTKGEG GDEGNKGSLETPAKGPSGSGGGSGTKRKADSDNATPSKKAKPNAPPVRSSAEEEDDED DDGEDDG LY89DRAFT_331689 MRRNVQEQNECPVKPPTVQMQPIPTHRPRYAASPVPKLKKKLHP PPSPSVEMFLRTHEGKFDAKAKPRLANEVKFGKATQKSTLS LY89DRAFT_331769 MIGASQGCYLVEDGTKAHRWKSKHKLQVTAHVSDFSLSSESSRG AYDTLLYLSSHLTCPARASPSSPCGSQPSQPHDPVLTPQCHLPVVHTSMLEGNPLWVA QGCLPAASRCWRILVARSSIAASVNRAGKSIHATIHPSSQTSNCGLGMPNPMQRSMCS GRVGENVYDALDIGSR LY89DRAFT_331768 MAVVLSSEENCYFSSSSLRRSHSQPKFVTQPSYAKTPSRSKSSG GFNTIISPTNSTSSSIPSSPRTLTADSAAPSYSSTPASSLSLDAHCDDEDDEDQIVFP SYDDVGYYDQVEDLEPPASPRTGDSYTVSPTSNSTSTNVSRPVSPDPLEHAEDDTAVR TQPSRHVDYLSHNWKEEDIWSSWKHIVSKRKAYNNSARLENASWRTWTKSKNKLKTVS PETLNWLKDCDVTWLYGPLQTGSEKSLHLPSTSPVGSSRISKSNSFLNKKPILKKRSM SEIMLQRSLSSSSLLKQAAAAVQAQQYGGLARPSIGRGASDYTIPFSSRRLSRENTSM LSSISSSGLASPGTGEKKHIHFNEQVEQCIALEMKGDDEEEPDAYAIHDYDDSDSDDG AIMMKRSNSKRKLPPLHSRRTTPRQSFSAESKTIAMLPSTTLKYRADTPEPPETAMKH SNGFWNGSKLSPSPSQETLRPSKPSTRMLLGDDEDEDDEDMNWQPPSAFANRKDSVST TQERFQNLHTSGSSSSLTGEPSGMRRTPSGMFMPYEEDEDDVVSEGLFGKVVDTVNTA KDIAHVIWNVGWRR LY89DRAFT_690938 MNSFGLLNHLQSGQTDDKETALEARALKAKEEVDQARRQVERAR DRLRKCVVESQRISKQLSELKKNRSI LY89DRAFT_764625 MQYQRVPRQTYLVIILVILWVVGWSSWNGFGPNINETLLRDTID TIASNGLKEAGFVFVNLDDGWQRYPGNRLDNPLEADPVKFPSGIKALADYAHSKGLKL GIYSGPGQTTCAGYTGSQGHEEEDARMFASWGIDHLKYDSCCAYQFAPKSVVQQVVLT MSQSLLASGRPIVFHACHCGWADSWEWAAQYGANQWRIGQDISDDFDYPGNRMKYFFD VLDLLDRGNSLAQFAGPGHWNDYDMLIIGLNGKSQHVGAGCSNVEYRTHFSMWAMVAS PLLIGSDVRTLNADSMETLTNPEIIAISQDPLGQAAVTVGVGDQDGGNLQVYAKQMED GSYAVALLNRGGVTADMSISVERDLTEAWDQYTVRDVWKHETTGPYDKPYMVEVIGHE AKILRLYQVIPNATMPLP LY89DRAFT_724961 MALPKEMQALVLGDNGGHSVKAIPLPKLRPSYVLIKVESIALNP TDWKHAFFGRGAIPFSILGCDYAGTVISIGAEVTKPFKVGDRVYGCAHGGNFNEAYDG VFAEYAMVKGDVAMHEPTSSALDLSDLCTIPLGSITVGQGLFQPDKGLALAWPDEEKE ITNEWLLIYGGSTATGSLGIQFAKLAGYKIITTCSPRNNDLVKSRGADEVLDYNDPEC GHKIRLLTKNKLRYAWDTIGSGESAKICHDSLSDDPSIINHYGSILMTKFPGEGAICT FTLMYTLFGEDFFKYGKNWPASKEDYEFGKKWMNLTEKLVAEGKLKPHSKRVGSGGFD GILKGMDDLRAGKLSGKKMVYFI LY89DRAFT_331873 MDFLSLLTSGHSDQGPLPTRSRNEHNSTTDILEEQEKGISNARI YDSSQRLLTSWRQVVSLLFLAQKRTQIILVFSVFWVFFWVFFLMARHEFSEPKPQELP QSTAVIIVDTVPESSLSKLCKETKWTDGLWLHCHNHALKIGDNGGSFSGGLTNARNRF QTCVRLAIDLGAGVLIPSITARAEDNLGLANTHVICPDLWFDIEYFRSEVQQQCPQLQ MKFCQNSMDGATVVNMPYRPTHEDPSHTKTTFGCTVNTELLRRDIKREEIDPMKPVLV NYGDAMFTWNYTRCDENPTIRKDLIKTIRNSPSLVNMGLEILSHPELDEKPFFGVHLR AEKDVPASWGPVDVQMQRFVESILATSAESEEAVSTVYVSCGDQDAIETFRQLLRPHG FSVIDKWSLLKEDTERLEILNSFNFDQKGAVDSEVLRSSTYFLGHWKSTMSLQLVYER VMDDDPQKWFRENIYPESTDPATCCHRKWVHNLVVSGDTFQKLYIIDGPDFMDTFP LY89DRAFT_599526 DRPLILYSYFESENARANFRYYLDHALHDAADFLFLINGPTDAE KMLPNKGNIRFIKRPNDCYDLGGFAEMLLTNDLYKNYQRFIMMNASVRGPFFPIWSTD CWSDVYLNRLTNHTKLIGMTMNCQGTTPHVQSMLWALDRVGLEVLLNPSDELVAEYQA TVPKGGPGAKIPDLERPGINSCPHSYWEAVSVEVHATWLMRTAGYDVEAMMYSYRGFG EPLSRGEVIDLGGGPVKHGTYREGTRYEDICAESLDPLYENHYWGMTIHPWDTIFTKQ NRPVNQVTIERLSQWGDGIQYSSYDHCQ LY89DRAFT_764630 MMAAQDSECRSKTETQEGDALIEEFYFDNESTLPGTSPRDSRNV REEDDDLSSVDLPALLGQFSIIFCTIFWITIDAIIPSFIPRFGFLAVGNAASDKRGRD SPTEFMDGLRGIATVIVYANHFIVNWFPVLKHQYGQSPSDIFTLQLPIFRVFLSGGAA VAVFFVISGYVLSYSGLKKISLDQRSEAFDSLASSVFRRCIRIYLPCAANTLLCALLA YNDLFVHDPPGFNVFPTRFPTLSLQLGDWWLHLKELVYPFRSVEEAIYSPPYNGHLWT IPLEIRGSWVTYGTVLASANLIPAWRMLFLCGLNIYVSSYAKWDLFLFISGIIIANMD VQRHIAARQEDASLHIHGQPGAVFLPTEEPPMIEMQHLDQGSKWSSWSRVSGVGTQRP LAVLLNILTSLRQIIYVLWPYILFVAAMYTLSGLKDPWGIDGLIISWFGTSQSSTDYM SPGGGRRNPWMMIGAALLVYSLSLSTKACHIPTFESRPTLFERLKSSCRSFRLQWLFT NCVSRYLGRISFGVYLMHGPVLFTMGTRFLVPAWAEWDAGGPDIEDRYMSWFYWAMVW NTIGVIWAGDVFTRVIDEPSARFAGKVAKFMSRNNKVTAS LY89DRAFT_599598 MRTTFSNLAILASSLLVKEVFAAPVQERATYIDTDIVMVTEEVT VTEMPDGSYETGAPVAIGTGILTGTPVLFSEASSATPIATPTSSAVEIPATTSSAMST SSSSSSTGAVFIQNTHVSDIHTASSSSTPIVVAPTSTSVAPVVTPTTLSTKASTTPVP TTSKTTSSVAVATTASSSSKKRGLAFNDASLTDAFSSSSEVSWAYNWASSTSDAIASG LEYIPMLWGLGSDNTDGWSSIATKAIAAGSTALLGFNEPDYSGQSDLTYSQAATGWQT FMEPFAGKATLVSPAVTNGGSPMGLTWLENFISACTSCTIDAVAIHWYNGGTAADFQS YVQQAYTAGGNRPLWITEFQASGTTDEQNSFLEEVIPFLDGSDMVAKYAYFMASDGIL LTSGTTLSTLGETFASFV LY89DRAFT_711558 MAIFQHIKSIMNQNSTLAVTEGVEPAPTLIETPTTDVDDKKTMT INHHATDSEIQKPVFQSVELGEEGAQRIELMQEAWGKHGKLFVFLSLALCMIVYELDN TTFATYYVYAISDFKKTASTSALSVACNLTFSLMKPVWAKISDIFGRGEMYPTALLFI TIGLVVAASAQTFQAFAVGTILRIIGITCLNTMNTIVIADLTSTRQRALGVNFLGGIG WAWGIGILAIVYPFGVVAIMSLLLKYQSRAKKLEAEYIKKARLSVYEVCSSIDIGGMA IMILGLAFILLPLSLASLQPHGYKTPWIPTLMVIGGLLLIFALPFYESKVAAHPFFPV RYLKHKSICLAFLLYFTDYMTAEASHGYLYNWALIAQGLTIVQATNLSYINGVMTFAT GILFGLVMWKTRTYKWWIVGGLFIRIIRYRVMFRIRTSNPTLGELLVVQLIQGVCDGI VQTGGYVAATINVPHKETAQMAALIVMVGTLGSSIGDAISGAIYTGTFREQLRKQLGD KSTPELVEALFNSITTMVPEWGTPERTAINAAYNNVTSYFYIAAMVIIVPGFIIAWFL PNQTLNDNQNLLEDHGMLAQRQTGPESENAGQ LY89DRAFT_711559 MTLVTELPSKSATDHESSITMNQSIINHRDLLWHVNHEIFSNPE IAYEEVTAHDTLVAALQGEGIKVTPHAYGIQTAFEAEFGSGGRVLAFNVEYDALPGLA VVDALKQSDKAGRVRLLGTPAEEAIGGKIALIEAGAYADVEACMMMHPTSQSVYPDDV LGDAFDKTLAISGFRVTFRGKPAHAALAPWKGVNALDAAVLGYTSVSALRQQLQPDER IHGIIAEGGVAANIIPDRAVLEYGVRAPSLAGAEALQERVLNCFKVAAQATGCEIEAE ILGVYADLRTNLSICRAFQQAIEGMGHKMQCNVDRETTPASTDQGNVSYVCPSFQGIF GIPSENAFPHTAGFAQGAGSIASFERCLLSAEGMAIAAYRFLMDDDLANEVKKNFQHQ KSLD LY89DRAFT_332024 MASRRLILGVDVGGTNTDAVLLDPNKTGPDAVISSYKATTGTDV TTGIEEAIRTLLESAKLSPASVASLMIGTTHFINAVVERDVSRLDPVAVIRLAAGNYL RYTPPFIDFPPALKRIINGHFASISGGVQIDGAELGPIKESEVLEQARIIKEKGLRRV AIVGIYSPFDEKYHQEEKVRDLLRKALGEDVDIVCSKDVAGVGILARENATILNSSTL RFARRTINGFKRAMKRLELSCPLYLTSNSGQLLSSKEAMSYPIRIFSSGATNSIRGAS FLSAEHQLGESRYVIDIGGTTTDIGCLLPSGFPRLASATTDIGGVRVNFAMPQVESIG LGGGSLVHENSNGKLSIGPDSVGQALREKAICFHGDCLTTTDIMVAAGEAKIGSLTPE VPLATIQLAKEKIKQMLEDHIDRMKTSPEPCNLLLVGGGAFLCPSKLEGVASIEVPRH AGVANAVGAAVAEIGEDAEMIVDASNKDNALADVKAKAIAQAISRGAKTSQIRIIEEE VSGIPYIEGKFKISVKVVGPVDYVRFLEDAENEAIDDSAAEEPYHETKQWDLNGEMHD STDEKIDHDSYRPNIDSNRVWHLSETDAHYISIGCYILGCAGGGTPYASYLQTRQLLR DGGKIRIIDIDDLPADAQCGPVAGMGSPIIAIEKLGGDMILHAMQEMEKHLNIKFTAV LTAEIGGSNGMAPLLLSSSTYYDIPCIDADLMGRAFPSFEMSSLFIGADDINHLLPAS LSSGDGTNMTLTSAKDAASVDKVLRASCITMGLAAGISARPTTKSELQQYGIPKSMSL SWRLGRAVLHARTRGTIATVHEDLIQEFGGPQSASKVFEGKVVGVGQSVHKGHSYGTL VIEKLKDYEKDNDGGSKDNGPEKVSIPFINENLRVEAVYASGEKKILATVPDLIMVLD TLSGEAVGVPEYRYGLKVMVMIAAAHPLWTSKRGLEIAGPKAFGYNMEFDPCGVYGDV TSVIDEFAPST LY89DRAFT_741860 MDADLGTSSESRQKGFRERFKSRFLNSKDKGTSAVLSPAKEHQT APTSKAAENAKDRKHSITSSINTFPLKDAANTGHSLPLQAKGDSETTTSMLPIVPTTS RSIKEPQKSPPIAEVWNQAYEALRAQEERLIKEYERILSVELSTVFGLIADMSSPHSV KSTRKDQMERILSKKIAEMKNNEFKLKFNGMDIAVRDLAKPVLDIVNSANNYITNAAS ANPYASIAWAGVGLILPLFLNPSEQTTSMANGLRTISDLIVQSSMREALYAHRYESER NTTDHMFESIHSLYRNALQEQYRLILKFQATYVCYCSRSKGAKLVSDLVKWDKWDSSL QDIQQQDQTFSRVYNILRDKVTQEEYDKLSGRHIEIFSTMEVIDSDTSALRIAIEHTQ SDQRTRELLQWLSPVDLAEGYRNAISKLKVDTGDWLLRRMETESEFSSLAPWKSWCWQ ICLKCKRPDRPPVIDKLDECQRKNHRPDLEILKKAIAAMTGGFSDVYLVIDALDECFD EKGSMKRDSLLEVLSELHGLELSNFHLLCTSRSAPDIVATFRSLMIASNSTKRIDLDS SVCIGFIQRDISLYLDRVFASTKFEFWPDSMKTEAREVLIKNAEGMFQYVVCQLDHLE ECANSSEVQQSLRSLPRGLDATYARMLQNVKLDRKKQVLSTLKWLCFSARPLTLGELA EIFILDPEGDVPFNHEQRLFKAEAVLTYLPKIVTVPGYSVRYHTKMVVKFSHFSIKEY LISSRILVSDGPAKDFFTDETNANLHIVHSCLAYHLHLSRQILVTKEIFRQFQLWDYA VDYWDVHLDKVPRQKWPVQLRRCLLQIFTSGSQSMLNLCRSIDPDCSRYSSWEIDIPY PPLYYSVTTDASALVEELIERGAYINEYSEASRYGTALQAAAYRGSERIVQLLLDNGA DVRLRGGRFGNALQGAVAGNELEIAKLLLAHGAKVDPPDSEWESLIAQLRAKRAEYEI AIRLCEFQKDPERYLAKEMDKQEREGLGEVMRLWRKLKSQASWNVPKVDTKAKYLRLT KDY LY89DRAFT_764658 MSSTLGSLYGFECAPDAKGRCTICRKEQLTARKYRFKLIVGIFF PFALSALDVTIIASALPWIAIDFGQISQMTWIISAFNLCSAAFIPFWSQMCDIFGRTA TMEAVLILMMIGSAICTSAPTNAFPLLIFGRAIQGLSVAGINVTTRVIMSDKVSLKGN AKNNSIFGMVGGLSYAIGPVIGGYLTDKTWRWCFGINLPVAATGAILFFVVLRKELLG PQPLPELLAQSGETHKLKARLSTIDFGGQVLFLFGMSLLILGLTWGGARYPWSSPQVI ICLILGSFLSITFIIYQSIMAPGRYLSRLIPLQRPTIPWALLSQRNMGLLFYINFCTG MGMIAVLYFVDIYFTFVKLYTPSKAGVQLLYYTPGIGVGVYSAMVMCNIYPRQTFTPL FLGSIIEATGITVLTWALHQGHNATIFGMIALTGAGTGMRFMPNSLHGIGFFPNNIAS VIALTSFAVPFVFNNKAGLSASAMEGYTSSISTLSEEAKATIQDAARSGVVWAFISIL PLMWLCVLAAGMLGNVRITKKREVDGEGKVDFSENVVESVYLVGWMKRCFRRVESRGS ESVVIANHMTV LY89DRAFT_764663 MVACNLFLYFVRFSSLLFFHSSFIFLLRLCCWATTRFWRHRRVF YSVFFVIVEL LY89DRAFT_629641 MLKVILLTKMPSATASVRTFTMPKSLNESLAEPGQPQAASDKGR SLDGAKKVTDQYTKDQKDPLATSQPPKTKQGITFAAQDKLPKLPIPDLEGTTAKYLAA LKPLQSPREHAETNQAVEEFLKSEGPELQERLKKYATGKTSYIEQFWYDSYLNFDNPV VLNLNPFFLLEDDPTPARNNQVTRAASLVISALAFVRAVRKEELPPDSVRGQPLCMYQ YSRLFGTARVPTENGCHIGQDPDAKHIVVLCHGQFYWFDVLDDNSDLIMTEKDVSINL QTIVEDAQQTPIQEAAKGALGVLSTENRKIWSGLRDVMTRDEGSNNADCLGIVDSALF ILCLDYTEPSSGAELCQNMLCGTSEVEKGVQIGTCTNRWYDKLQIIVCKNGSAGINFE HTGVDGHTVLRFASDLYTDTILRFARTINGQAPSLWASTSPDPSKRDPDSFGDVNTTP HKLEWDMVPELGIALRFAETRLADLIQQNEFATLDFAAYGKNFMTSMGFSPDAFVQMA FQAAYYGLYGRVECTYEPAMTKVYLHGRTEAIRTVTPEAVDFVQTFWAENPPQQKVDA LRRACQKHTANTKECAKAQGCDRHLYALFSVWQKALDEDGAEAASSNGLSSNGYSSPV EGGSERDPTSVVGSPGRNSVLSIDGDEVRSVRSNGGRARHNSSPSRGHQSNMPLIFAD SGWDKLNNTIISTSNCGNPSLRQFGFGPTSGDGFGIGYIIKDEGISICASSKHRQTKR FVDALESYLLEIRRVLRMTQRRAPSPKASRAREASATRPKAGSRMKSRGRVIKAEGKK TPGTMTPVDDSSAVSDDEGLGGYGFFDAGMLLQALKARGEGLDDAKPDANVNARRREI GKRLRLSEY LY89DRAFT_741863 MKSLIDMALNLNIGQVTHITVLDNLAQEPTINMERNHTKAPDNP IMDVLTLLNNNVNSHTTTKDHLALDRLNNTGHRPIMIPRNLRPEDLIVMKHHHIMTPE LY89DRAFT_788877 MTDLSVVLPNFPTDKYARILQIVERSNITTADLLTLDSVELAKH ARPLGIGDAKTLSDAVQEALRADLGLGQSNGSSSLKKSGSQLVESWSTISTLDDDLDA ALGGGIPTGYITEVTGERYYQESVPPQDITDSCSGAGKTQFLLTLLLSAQLPAPHGLG APTIYISTESPLPTSRLSSMINTHPLLSRLAPAERPTLDRVINISTPDLESQDHILRY QLPVAVERHGVKLVILDSVAANYRAEFERGLGGTGSSKNMAQRSGELIKLGELLQVLA RDHGVAIVVANQVGDRFSNDRGGSSPIKRLTQSSPLARRNGPPSSIGPPSSGAEIPNV EAPFRGTPDPMSLDHQQRWFTGWGDDPNPSRLASMSLKTPSLGLIWTTQIACRIALIK RPVYGPGLVGDEETERGEPVLRKWKRWMKVVFAPHAKASGPGLEGAVEFQIRADGIAA VKKKGKEVDDGYEDDTLV LY89DRAFT_741865 MSFLLSILAIVSYTSFCEALVPDFPDATPIQDPLFNPVGWSPKP TPPPKIPRDLFYKRDYLSNSASYVLIGPDQTCGYVSGLAGANFECGSSTNCVFFPVTG SSAYGAVGCCTDALNCGFRTACVDSSGFYGSDSPILLLHTVEAFDMLYQEQLSSNISA MQATSTWQTAFTTYSGESGSRTFTSVARALLEKSAASASSTSSSSSSSSSTNKATTTS SSSSSSSTSGTSAPTPTQTSNNNNKSSDTGAIVGGLVGGAAVLGLIGAGAFFLYKRKY GQKGNPPSPSHTPLNNLDPSKPAGQWQQDGFYAPQQQPQPYLSSFQTQYHPVPVEMPS DFAQRPPEHMVHEKSATTPTTANMSTPMDQHYMSPASTYNRPISEIDSSSPNYR LY89DRAFT_788879 MRFSVSSVLVLSLISTSVNAFVVRDAPVQTTAVRHGHTIDQIPE PTAGPGEQELRRRQAATQRTLLGAPDNTCGYFSGSQPWGCSAGSDCFFATPTPLPANS TQTQTAGSVLCCDPKTGCPAAPAPTACVDRGRNDYNKTCTGSCPTDSMTLKCTSGIYL YCNTLTFAAPSVSALFCNYLSTYDILSATAAQTLTPTVVKFAVVPTTSTKVLSKTSST TSYSPTATDNSVPTSGGKSTSRKDKIIGGVLGGLAALALIAFLVILFLRWRYAEEEVE PAKK LY89DRAFT_741867 MNPEYDYLFKLLLIGDSGVGKSCLLLRFADDTYTESYISTIGVD FKIRTIELDGKTVKLQIWDTAGQERFRTITSSYYRGAHGICVVYDVTDMDSFNNVKQW LQEIDRYATEGVNKLLVGNKSDMSDKKVVEYTVAKEFADSLGIPFLETSAKNASNVEQ AFLTMARQIKERMGTTTANNKPTVQVGQGQGVNQGSAGGCC LY89DRAFT_741868 MSALRVIQERLPTLLQSPLPQEILSPQITLHLFPSTHPHLPTVS GRLAYSAALWTSPITWGRVPIVGNVKLEILSERMIKASLSASNRPEQLVVRWRTIGKT RGKGTGAFYKGIGATYDVDKITEFLGGGKGEDDSKEFTGLFIFEFDEEGRIVSHTIEH SQEGGNWERGVGARVVGLTDWLLGGMKRNGRDDGSLCPAFWVEGDKDDRLRRR LY89DRAFT_788882 MMAVLELEPEQLGRFVALEGDSETIATQLRLLPPSQKILIVPAL PDAFSQNSDKDSFNPSTFIRNVHTAFTERSEAARAFLRKSTSTQPRLVLQNGGSVSAR ATCISKIANLTEGDIGRAETIFNEIVKDGLAGLMKLDVGSEDDKAMLVAQESNEVQVQ DGMEDPSVRAMKAADSLERETEALQPNSAHEGTPGLETTVDSHAMGASHSTLNGSVPL KSSDASEVPQKVVGIDKNGNNIVRTVLTVPSRSRRFTVEEKRTTFGTQFTISTPATAS TIGPTKSEETEEDDEDLDFASPEEESMFSAPATPAVYKEAFLVDVQSSPPTKTVRKAQ SIDMFYSRNSKLLHPSSGPMQLKHTASDYHLRRPAIPERRSDNYQTLPRTTFMKASQT TIRKSPTLSASAKSNSSSTEAVPPRVYVDRGEDAFQVSKESMEPFVPVFPVVEDLIIH LVDDNANQILESVISSYKDGTYPALPPTTSSPLPEEGEDQEAPISAVQSKKEDKALRP ESCLTAETDDMGYERRHDYDPYNDSYPPDIKRQWPPMQNNARVSSLDSSVGPPTPTLT PPVVPEVNDIAQKFVDFTPTNPNNAINVQNSLRQLLILHLPAGENGYSQHYYPVTPEA ERLWKPVFRNDQNTSIGNDGRNVDQIIALGCEDGVKKDFFFQMSGQVERLGSKRDGVN RSGRLDIRYLIANIMQHSSGFSTQSSVNPLSNPHILAALLVPQIEAYLAINTSTRLLV LQYPASHLPTVFALRKLLGSDLFKIAGILDSLASDPPPMSRPRTPNPLSNEAISQRAA NHSRLNSLQRTRIDSTRADSITTLQRQTSSAGSVRSSLTKSLTSASFAKADYLLPSTA TDSEITTFLSSIWKALMEKSVFYTPEPEPKPIIIERPPMPPPPTPTGSSRDIRRDRME RERERHRERDLDRDADRDSNYAPSSRTTVTASQSKISRLTGGSATGPSGPRSTYSPSV ISTSTARPSTRHRERDRDTDYDPSIASTRHKYAASIASTKTTASEKERRRDKEWENFY IGDEDSEDDAYDRMIMGRAGQRIVPEPEVKVVTQKRDKRKALKWLGLA LY89DRAFT_599539 MSRSTDPGHFFQTDASESTRARRAAKSGNKNGNPIVLQSKILSV TPDPFSPACIYIAESAGCVRRVNIETRDSKTVYRGPTAPVTSVAVGGHGGSTIFAGCW DKDIWTWNRESRSLGKKFKGHSDFVKVVIAGKVEGKDILISGGADSKIIVWDSTTGER LHTLRDKSDNMMAVQDLALDPVESTVAELVLVSSSSDPHIRRWRISLSSAAQIFDSVQ EESTQNKVTRDTILEHETSVYQISFSGEEDDVDLWTASADGTAKCLSRARNWSAEDSY QHGDYVRAVAVTDDWVITAGRDEDVKVWDRATGKLWHTYEGHYEEVTGLVVLEDGRKV ISVSIDGTVRTWGLGRADLEKARKEREEESKGVVKDEKVPEKKSLLTAEEEAELAELM DSGDE LY89DRAFT_788884 MATITQNRVRGRPAHTRGPTYLSYTPDGTKLITVGSNNTVRVYR TGFDGEPTNIDECPEQNSGVSSTDDFFVIGSEDGNISKFSLETMSFEGFLTRCSLPIR DVELSPDGQWCAVSSDDMAVKVIKMDDKNSVMVLREQPKPVKHLSFDPSGKWITLSCT DGLIYVYSLRDEEPDLVRKIDGLIRGVETEDEVCTKVVWHPDGRAFAAPTAMRNIQVV SKNDWEYQRAFVSGHDGDITALAWSPNGAMLVSAGKDRKVVLWETKTQKQLATYDHAN VMDFAWHPSKNILSFTNTDGEVYIYNDFVPADHANLVKLPLQPSPFIHDPLSEISANA RRPITNGIKDIPNRARRGSLDSLDDILPSELMGDDDDFVVDDDGAGYALGLNANGKRP NGAVDTYAVSKRRIQAWEPQYHESFQPGSTPWRGNRKYLCLNLIGFVWTVDQDTHNTV TVEFYDHEFHRDFHFTDTFLYDKACLNDNGTLFSCPAHDDVPATIFYRPHETWTARAD WRTQLPKGEDVTAISLSDSFVTVTTTANYVRIYTLFGVPYRVYRQKSSPAVTCASWRD YVLTLGNGPVGADGNTKLLYTIQNIKRDEICQSEDVVALPDGETLKSVFFSDNGEPCI YDSTGTLLTLLHWRTPSQATWVPLLDTKLLSRLASGRKTESYFPIAVADNKFHCIILK GGDQYPYFPRPLLSEFEFEIPLSSKPASNNKDDDVFNEEAIKEEDELKKLEGQFVLKS ILTAQQQDLVDFTKSTHTQRTALARMELEIDKTLLQMMAVECREGEERGMRALEMVSL LRDRTGRMIDAAGKVAERYGRTVLGEKIREVGEARLGGGDMDED LY89DRAFT_332428 MSTYNDGTGEVDIIFAGGGTAACVAAGRLAKANPSLKILLVEGG RNNFEDPTVTNPAVYLSHLAPDSKTALFYKSKASKHLNDREAIVPMGGILGGGSSINF MMYTRAQGVDFDSFETEGWYAKDMLPLAKKLETFHPKGDGFDPEKHGYDGPVHISDGG YRSKSADTFVETVKGMGYGVIDDLQDFEAVGGFSKWHRYVGLDGKRQDAAHRYVHPLL QDGQHPNLHILLESKVVKVLFDESSPPKAVGIEYKPNYEHQPNISLSKPIHKTIKATK LVVVSAGALGTPQILERSGVGNPELLKKLDIPVIADVPGVGEQYQDHHLLLYPYKTTL GEEETIDGILSGRKDFVKALGDKDPILGWNAIDICAKLRPSDTEIASLGPSFQKDWDS FFVPHPTRPLMLCGQVSAFLADPSLVPAGQYITMGTYTAYPFSRGSIHITSPDNVLDG YDFDTGFLSDANGSDLKAQVWAYKMQREICRRLPYYNGELELGHPKFKEGSKATLSKG KLEGEVRDIVYSEEDEAAIEDWVRGNLNTTWHSCGTCAMRERERGGVVDGELSVYGTR GLKCVDLSVIPENVGANTNNTALVVGEKAAVIIGRELGVEV LY89DRAFT_599604 MPPADAVPAGLHSPPESNSALKDGGSDSELSDLEPEHESNDPLL NIEPDHMSEGNVPVFRPTMEEFKDFQKYLNAVNKWGMKHGIVKVIPPAEWLAAQPRLD DIVKTIKVKEPIKQEIMGTGGTYRQANILHGRSYNLPQWRQLCEQSDHQPPAKRGERR ANQDKPVRSTPRPKSSSPSPSSSKRKGGPGRPNKKSKLSTAQSVNGEATPDRLPTPVS PGMKGEDEDSVKLEPDDDVSMPKGGRQPKAISVSSRRKNNRRETGVIDEAAFKDFRYE LESEEFSQERCDELERIYWKTLTYAPPLYGADMPGTLFDDRTSTWNLGRLENILDVLG TKIPGVNTAYLYLGMWKATFAWHLEDVDLYSINYLHFGAPKQWYSISQGDARRFEAAM KTIWPADAKACDQFLRHKTFLISPAHLLSHYNIKVNKVVSHPGEFVITFPYGYHSGYN LGYNCAEAVNFALESWLEYGRVAKRCDCSQAQDSVWIDVRDIERKLRGEETDYESTDD EEEEDEDDDEQDTKPTDLPTPPESSGDIKPKAPKKKRKRPTNDKTDNANVKRIRVRIK APAKEPCILCPNDIPSEQLLPTEDGQEAHRLCAQYIPETSIETGDKETVTDVKYINKA RLELKCNYCRSKKGACFQCSRKKCTRAYHATCAAAAGVFVEQGEMAVFGEDGKEYKDW GIEFSCRFHRTKRDKKLDGDYLGDDKRILKAAFELKQGEVCQMQYFRGDIFAGAVVEN RRDEETLLLDILPRGDRVEVEYKWLLLPDPTDFHLQKPSATAIPMPKSFKAKESLNTS KRQVDDLPRAEDKFGEGFTWAEFKSENIPRNPFQVKVDLATKENQVWYYLGKNSTEAK AQFTEDPKKPRFNPKGLFLETLSKLVTTLPPRPSYPASFPASASQNLSNVSKTQYRPT QPTPTPSRPEKPYVYKPRENLGAYRVDTQAYQSQQKFLQRSTPYTFGTDPRWQTPTSS PAATTPPARTASAQPLDQKPYVHPQPANKPVTTSYGPQTTTSALMAPPRTLYQAYAPP MPLKPSNPFSSRSQNSRPSPFAKYTYLQKEHNRSPLEYKSPYRPGGGFMNGYQGSLEK HIEQLKHNMSTKGSSSLLSTLTYGGGSRTSYPSTSSMPSAPYQPASSYGTYASPPASS VKGPSMQVSNGAQNSPSFEKNDAGLHPAIRQEYSTMFHHQYQPQHRPAMQYQSAVMQP PAMYERPQLQQPHQHTTPYQAPGPQSVNTSGARPVTQQPPMHHTATQPQYQYAPAVSQ PMPTQYNVQYASSGHPQGSYQASSSSPFQAPYQENKPLYAHQQYFQNQKPTIQAQIPP PQVEPHLRDFPDVPADSTSIVEKMLRDLKKLPGTA LY89DRAFT_741874 MKVPSPNTGATPRPEPTSPTPKPSTTKTGCAYRAWYYNLVLVAR IFNRTTQELNAALPSHEDGVNELLKKAFGKVVDNLEKTAVKDEQEMKEGDGKQIRRYD IRKLYGYEPELFWNSLERFCVLAVRDEELDEFFNTFFSGGMSRGMKGSVSPLGDEYAI LKGLRGDHPL LY89DRAFT_741875 MSLLPNISQRYFDAFYRSYAPWESKAANTKPSTSSRPFFRDNDD EWVRWSDIKPLIQKPATPDPDREVMKNLMDVVDEDDWVIVAKKDTELSMSISDYILVS KQVLSKLPELVVGGGKSGQKDRDEWIELLKRQAQNEIEARKPMPEKKGDLESRIGCRV LY89DRAFT_741876 MYLIPAILLPALALASPLANRDVYAGPPVDQITILSAQSSGNGC PQGSVSNTLSPDRTVVTFGFDAFQTYIGPSAAQADKTKQCQIHLSLQYPGGFQYAVVD ATYHGWARLDPGVTGTFISSYFFSQAAAQTCSTRSTISGSQWTQGDIYTKHDSIESTA VIWSPCGATGILNVNNRIALSSTNSTQTGELSDDDATVAFTHQVHVAWQPCTPAASTP GGQFTVGGATTEVTEG LY89DRAFT_629664 MHGGGMILGNRFTFGDALDWVKELRAILVSVEYRMPPEHPHPAP VEDCYAGLKWISEHASELGINEVRIMTVGPFGGGCVAAGLALLARDRGGPRIHAQCLP YPMLDDRMENVSSKQYFNIGKWQGGINEPAWNFLLDGKRGAEDVSIYAAPARATDLSR LPPTWLDVGAAELFRDEVVAYASKLWEGGVQAELHVWPGCWHAFDAYAPDSALSRLSR ETRMKWMKRVL LY89DRAFT_690955 MTRPHRWPIFRLRITRLLALFLLICLFIYQFDHQATLPHEVTSV ENDLAASHPPDPANHGFLPLADAKLLCRRQHWRPWPRRDGRRKVYDLFMLNDELDMLE IRLSTLYDYVDYFIIVESLVTFTNHEKPLVLKDNWARIEKWSDKVIHHVLENPPIGAP RAWDYEDSQRNAMFSQVFPKLVGDVEKEAKMGDVIIVSDVDEILRPATVMLLRECQTS RRMTLRSQFFYYSFQWRHVGPQWPHGQATTFGGYGKDQTILPADLRNGEGGNRLAWWW EKDELWNAGWHCSTCFRTVEEVLRKMDSFSHVSMNQEQFRVPVRIVDRVRKGMDIWDR EVDKFERVQSNEDVPEVLKKDRARWNYMLDRDAGNAGFEDFDADYIPGD LY89DRAFT_629670 MRTSLRRSQQLLQTSSLSTRRNLTTPHPRELPAPRQVCQACHRP LLSSPKAQQPQRERRLKSSSSATTASQTTPQSESTTSPIPKTHYDLFPQTLSQGPPPS GPFAIDLRALRKEFLQLQSKSHPDLFPPHLKTRAEATSSLINTAYKTLQSPLLRAQYL LSLQGIETADDETAKVEDAELLMEVMEAREAVESAEEEEELVAVREENEERVRDTEER LGERFEQGDWEGARGEAVRLRYWVNVRESIHGWEQGK LY89DRAFT_690956 MASPFRSLTTVACRTQTLQSTGVSCIPRNPPIITFLSIRSQNFT SLRQSRQSSFPFKSLVRTSQRAFSISPRRSSTAKYTYGIAASFTAKDHRYNPDTNVFS FNPYNRILARRKDKRTRPDSGQDAFFVAQIHETPDVAFGIADGVGGWVDSGVDPADFS HGFCDYMAHAAYTHSSETEHPLNARSLMQRGYEDICKDPSVKAGGSTACVGIAKGDGT LEVANLGDSGFVQLRLNAIHEYSEPQTHAFNTPYQLAVVPKKVLKQAAAFGGQQLCDM PKDANASQHKLRHGDVLVFASDGVWDNLSHQDILKVVSRIMLGARAWEHTKDGVSVGS GLSSFLLADDGKSGAEEIPSLQSFLAVGITGEAKAASVNTRRDGPFAREVQRYYPHEN WRGGKVDDICVVVAIVCEERK LY89DRAFT_332556 MDISKYKFTRTLPKSVVPAIETIIHVAHQGKAPDMVAIAAIFTT TYKWVTQIRRRLQEFESTGIDDRKRPGPKYLQMDLKAVARAIEGRYGFSFGLSTISRS MKNNGIPPKRTNQFLERTKLVSTHLEGMSCR LY89DRAFT_676928 MECPSPFLVNTSTMESTNDQTPRVRNVLKKSRQSDEGQIPRKKL SLLNLFSRSRSGSECPSPTEVSPPGGLPSPSLNSSALNSPTISPSFPSPLASPGMASV IEEQDEEGEEDDNDSAWVDDEDEEEAELVDEKQDEEEELTAKQQEEEKRERQRLALES LNTQPASFIRPLQSSLEPRYHRSPLPFPTVKSTDELSPIAIARHRGETNRRLTGDKVE KLTVENKPSSSELTALAHKIALSLPNNQEKPHALRSILSHIGRRSKSFGSSISTRRTP TKVRSRKIDPLKDPKMVLAWPPRFGINLRDFDSILAASKRVDDEHIQVSTGDIYRYPI EKEEEDRAHRTPQIDPSGSEIPLQDHYDARVESLYSEEVFYDEDDAPGARDSLTDYEP KNPSETLDNDSPRRVGVSVDGDIYEVAKKADPGSADCRVWCTYLGSYYQGYYNLNNLP LRPSLNYDFEYLPALAVRREAERVSSTVQIVQFWTKSDGLIGFQGLSKLQELLQTVDV AVSTFNHVNEIKRTIHSNGLVLSARKESMVGHALYGQEILIVLDTCQDWRFKRNPSVP RTPNGPGIGFFAAVPLMISSGHAWGVLSVSSRSCRASFSPSDRNALASMGQSITNTLE HKLTSSQRHARQESALIDDLVPLPLDVCKAPEQTPPSSSDSNANFHPSRFPDNVQLSR DPDNDFGSGGQSASRENVKQIVVRSSYRDSVAHSENKSDATSIHTAPLSSPSKVASSR TLLDHPLAEHVRGKTQEQGVPVVRHQSSMLSISSSLRSRKSTYDQANIGAICKGVQTS LKYDEVFVIEISLEVTGPPEAVLVGGADNTDKAVDLPSVIKALRQDLVIPYSPDPAGL KDGDYPAGNLVFICSGDRVGSSDERSSGTVGVCLRTHKNQQSNGNARSLELTRLAKFQ TEVRKALIGNRPRSGPHSPFLANEATEVVLPSNNFRPTYLPIPPPRR LY89DRAFT_332518 MTQFRCNACIHSTHGSSNVCLITYTQIRTTFPDLQVFLCYSITM SPSPFRYVSTAFTRCLISYCALMLSPLDGLAGWLWWTISCISKAEESSQKWR LY89DRAFT_599778 MLELVMHQFDKKRAYIITSDNTHYMTKDRGESWQEFGAASQASI FREVLTFNAGDPDRIIFNAMDCAGIFCDELTLYTTDGFANDPKFLRADTSGCHWAKST DIFTTYDKDLDSDRILCVVKGRFSPWRKDYRLLISDSYFKDEFEPELEPGRTVQGVVN MAVVKPYVIVAASAEGTDEMALYVSDDTIRWSRAIFPHDHKLTEEAYTVLEGTNYSIQ IDVLNSRPSNPMGVFLSSNSNGTYFTRKMEHTNRNTYGLVDFEKITGVQGVVMLNVVQ NWEEVETSNAEKKIKSQISFDDGFTFEPLTYKKKDLHLHSVTDLSNTGRVFSSPAPGM VMGIGNTGSYLKDYSEGNLYVSDDAGLTWREGLDGPHKYEFGDQGSILVAIKDAGMRD DDYTDEVKYSLDHGKEWKNVKLDTKIKPIQLTTTQDSTSLKFLIEAVDDSKPNANGYI ISLDFDGLHERQCKDSDMETWPARVDDDGNPTCIMGQKQSYRRRKADADCFLKDEFKD PQYISEPCECTDADFECDYNFVRSEDRKECNLAPGGKPPLPCGACKAFGPEDTFKGTN GWRMIPGNQCKRGSGKQKDEPVDRKCADAGGTPAGSGKLDQVQKVFPGYNFKNKVYLE RTGISTGADETVLVRTEDGVFISQDHGKTWDQLFKDEAIVAIYPHPYFNDMVFFLTPS KKVFYSTDRGKNVRSFNAPSEPNVDGFPVMNFHPDNKDWIIWIGARDCPGSECHAVAS LTLDRGDGDWKTLQRYVRKCEFIEEPASKYRNLELAQKKIKLPDEKAREKLIFCEARK HESNDINDNPWTLVSSDDFFNEAPNQHFDNVVDFATMSEFIVVATKDDEKSTLKVQAS IDGATFASADFPHGFNVDHQQGYTVLDSSTHSVFLHVTVNNEQGFEYGSIIKSNSNGT SYVMALDAVDRDSVGYVDFEKMFGIEGVAMVNVVSNYKDKNFKKDGKKLKTMITHNDG AEWDYLPPPKADEDGKKYGCGSKLDKCSLHIHGYTERSDKSHTYSSASAIGLMVGTGN VGEFLTTKKDADTFMTSDAGVNWKFVKKGMYMWEFGDQGSIVVIVKEREPTKEVFYSL DEGDSWVPYNFAESEVTIDDITTVPSDNSRNFLLWGQDGSGKLITFNLDFSGLTDQQC ELDEGNVNAGDYYLWTPKHPKQDDDCLFGHESQYHRKRTDKTCYNGRMIPHLHDIAKN CSCTRRDFECDFNYERQSDGSCALVSGLSPPDPALICTVDEDRIEYDDPTGYRRIPIT TCVDGLQMDKSISHACPGKGDQFKKKHGLSAAGIFFAVTIPIAAAAGVGYWVWKNWAN KFGQIRLGEQSSFDSEAPYIKYPVLIIAGLVAAIQAAPLLISSLWRSATTAFGRSRPA RFTTRDSFARGRGDYAVVDDDEGELLGEESDEEV LY89DRAFT_599726 VSQYFSTLERLRFAQNSRCNALNELPIQKHRKSSIADRRGINVA PFEPRRSSSRRSRKLARKQSVSSTEASWFLTLPEKIKKKQFTREEQVVLAARLRESVI LDAADEAIYKASRRASRNLGPLLDLSTSSTPRSSMDSTMDNAAEPASTMAAEMYESFR WMDEEEDLDLKLVLDDYHANLDGVVIPTPSSVRRPSFRRQMSITKMPFGRNSLSSLGP RSPKFEPPNLHSRNKSRTLSLIAPKHVTKASVASIDPNAAHYQDPEARLKLRVYLASP QKFDEAIEFGFPSMDGITDGADKENRPPKRSSKDNSRTKKSYSASEKGQSFLADDTAS LFEDDASMADPESPLTPLGEFGFRSSNTAPGTTTLESSKSSNDYAHLGINKPAVVKES YTQAMAGNREMTLRMTLTRPDLRADENAIYGWQATKSPLREEPVPLLDEKHEAKGPFG GADGWGPAEKENGVVKRFWNKMKSSQKKSL LY89DRAFT_332632 MAPLRSSRSISSLQVPQMPEHALPSMAPFYSSDTLSCSTTHLST ILSSSTSELEDSENSAVLSPRTLYALSFDRPASARSLPSGRFRKNMKEITGFGTTEED FEALPIAIQRKSIKSNMQIDRRCRLFRAQPSLPARTIESLQRFCSSISVRLELLGAKG ASALWSPMHSLQNRHIPHRTCRTCQILSRHFS LY89DRAFT_332699 MPSIADIPPSPSTSKKRRRTEDLDSSIRTFSPHTIYSPQHPREI LPYPNKKRLDTSIKRQRIDIPPLPPAQPAEDDCSAHLPLSPILTTQKTAEERKQQLDL SPCHICHRKPTERKQLDAFVDCEGCGERTCFVCLRECTGLGAALYATADGKGEGMGMG MVVERVGKGRPWERGGGEEALHRRRVCSRCCVEKGVDGEVWCLGCLRMEEGG LY89DRAFT_676931 MATEESVRQGNDTIQWHVRKPTIKASLETKLLCSLLDVMSSPDL KQVTYAQEPYFPLVEKLCYRYHLRPGTAVGLDKVLEMYKIPNDDVLYKSVLFEIKTHK KKKWTGAVEYHIEGQAKVLYEGGDIAKNPASNKSFECRREYSWEVSAGETELAELSEE LNWECIATILEFYVTYTYTAAGSKRDYAGQRKCKDKHPNPLESLGYLGWLGTPTDENR PNFRRKP LY89DRAFT_629681 MPPVQLQPSQTMPQMGRFVDMAALRALNGESNQSSGGFWRTKKT KALTRAPNTMPTITKDSAGPATTLSPNKAFRMRNSLLQSPVRPGEDLSPGDRPIVIGI SIPSSDISVHSVSPQTAVSETTKFVHSYERRPPMNHTPDTPTIIITPAQEVSAWSPLG MQATSLDHELYDSNSKHYTANDAPPVPQMPTSFLEDERQRIAAQRSYFSPDSDDVTTW GDDDETHAPPRSRVASTYTVFEEDESPVMARKGRAVSVTNGTRAHRHASISTIATQRR SKGWWNYITTPFLTRSNTFATRELENQEAPALPDLAIAVAKAQTAERDAKKWEKQFSP TTPATSTTIASEQWWDLDAKKSEQSPVVRDIRHKVQTSTGTLPIVLSETAGFGAVTSS SISSLASNQLSRENTSSSFGCAGETESPEREVPILADAPRTRSLRSNNPYVQPTFSDL SDASQRSQPDVGRAAELPRTGPAPALSQAFYSPSVGGPPPYSPSPNRVKYRAILPPEH AAGTQYPLSPGPVSPGLQQAMSSGGAIPMSEVPLSPPARRPINLNSGYAVRSAGQLEV PVSGEHYGLPPTKASKKAEAKRRRHEKEDVVAHRAGGFWRGRGCVPARGCYGRNGAEG RKRRRWYLFLIIFFLTLIILIIVFATTLHRKSNTVTQPSQWLNLTGFPPIFLGLSTVV APVNIETNTGCVFPATQWSCDLPKDLQSSVAPNQPNQPDFFLYVQWDNSSATNATFAN VTGNKNLITRAGNPVSAGQFMKHLLLKARDIVTFSPNPAPPTFAEEFFLGNTTDDIVS SSKAGEPTPFYISFLPSLNASIPDFNESLDERDTDPFPNVTQSIPAPSLNSDGTAAPA NLLPSPLPLQQPIRLYDRGLPTEHYGFYSYFDRSIFLKSLALLNETNSQDGEVPDDLN GGATEAEANFRCTWSETRFLVQMWTRMGSSAKLNNATSSSSSPTTELLQPGSFPYPIT ITTDRHGGDPATKMIYCYEMNDRQGIVAGSAKLSGENRAFGGVAVNPAPTVFFNASTT TLGGFDGGTGGCACAWTNFGKVVNA LY89DRAFT_332751 MVRWVLLQYAPISARLTLSIGWRLGATNANESVVEGKMNLRLTS MPMIRSSVGMIGDSLRLQCQSLIAWLTTAGHDCDRVRCGLILPEPHRSRQAGCGLVSG TT LY89DRAFT_332739 MSLSRSPSPRPGGGWSSPGLNSPYANVSGRSSPAKSYRESNGGS SVTWESAKAKSDGINGYPSFSTQNNGFFNRHYRTISNSLPHFNLGSDKSYAEKEKLGR GRWMGREGSRMARVRSLVRRVSRNMKLRYLVVIALLAMYFLFYLTPLHYYWRRAKHLG GGKKFVVILAANQGGGVMEWKGPREWAIERDSVRNKKKYTNRWGYDLEIVDMSTKKRY AHEWRESWEKVDTIRNCLRKYPDAEWFWWLDLNTFVMEPSYSLQSHIFNNLAATTYRD INEYNPLNITHPFPAAHLDEEARSPIGDGKASSINLIIPQDCSGFNLGSFFIKRSAWT DRLLDVWWDPVGYEQKHMEWEHKEQDALEFLYINQPWIRPHTAFIQQRKINSFPEGAC SENGNDTRIHYNEKERDFVVNMAGCEWGRDCWGEMYNFRELSNYLNRTLWEKFKEDLV AVIWFKITGQKIRI LY89DRAFT_629688 MSAVAEIVNQTWETIANKYREQTSAKIPSEWLLPSSITSTVSET SVQNVLSIPRTCGILSEQEIEITEKYDAVTLAEMLKEGKVKSVDVTRAFCKRAAVAQQ LTYCLTETMFDEALERAKYCDDYLAKEGKPLGPLHGLPISLKDSFNVKGFKSTIGYVS FIANEPSTTNSALVSILLNLGAVLYVKTNIPQTLMTADSQNNIFLRTLNPNSLHLTAG GSSGGEGALSALKGSILGVGTDIAGSIRIPAYCNGVTGFKPSTRRIPYGGQTGPGRVG SWAILASAGPICRSVSDAHFFCKSVLSADCWVFDETVVSAPWRYLQPMGKRLKLGYLK EHARWPLSPTLSRVFDEATKALSAAGHVMVDIEPLLPKDIMVDAMVTAFKMFSLDPKQ TPFSIIKKSGEPIIPSIGTIVVEEMKGFVPDLDAVWDLNVEAKAMKEKVRDLWVKEGL DAILMPVYQGTAPKHDNYGAPPYTVFANLLDYPSVSIPFLKSNKKLDEPYIRKGVTYA PAYESEACEGVPGGLQILGRNMRDEECLDVAGVVESILRMAERKIV LY89DRAFT_724990 MATVAFTNPPAPGAVGDFTSDPVYEYGTPVFVQWNGNASSLTLW QLQPGAVALFGSPEFLIDVTNTENSSDVTPYTDFNWLVQTSKNLTLSNRFILSLFDVG QATPLCNSHYFEIRPKSGSPVNATSSTVLSSSTQTPVSPSGTVALSLTPAASSSAAST QISNSGLSVGAKIGFGLGVPAAILLGLALGWFLQGLWRRRGEKSPISPVSESQGKVNE IPETVGDEEMPGSFGGKELDGSAGGQELPGSLPPHYELAE LY89DRAFT_332794 MPRNELRSKTGCMSCRRRKKKCDEKRPACGGCSRSFLTCQWPTE EQNALDRRYRKGLNHPSAKSQEVKLQETAIGFKWDESALLAYFGNTVAPSYIQKGSHP HFHSMDSVFSLVEKHDTAKHAIMNCAATSLSGNFTIDRDWTGRMRSHALSLRISAIAE IQRQIKSGIIDGTEDWLLLSATQLALADSFGYNISTPIIHMHGIMSLLRCRQFARTSN PELYRPSTESLVHERISYEALLFHGITLMLFNREFYMLNQPWWHTIDEYFRSAPLSIA LDEASWPILGMPFSLFRLAVLAHELNRQCPLSKEGKQLAISSLLQLNFFHKYIPSTGY FSAGYTYLAATTALLRNMISRSGNDIEVPEEAHEAPKTDISKIEANINAKKFFIRYPL WPLAVLYRVSEVEAEKKTLERLIEDALRNIRGVVFKPPPRKAVAIFMMTPGL LY89DRAFT_332806 MRTSQILSRLGASLVCAASLVKAAAYTVEDTYDSSNFFSQFNFF SAADPTNGFVAYSSAVTANKSGLAGYASGGVFLGVDHTTTNPSGGRGSTRVSSNKAYT HGLFIADIEHMPGGICGVWPSLWTFGPNWPNNGEIDIIEGVNDATTNTVTLHTSSGCA MTSTGSLSSSNLTGTNCNAGNGNDGCGFSTASTQGYGAGFNAVGGGVYAMEWTSTSIK IWNFPRASIPADITSSSPDPSTWSTPVSAFSSGSDGGCAIDSHFSGHNIVFDTTFCGD WAGNVWSSTPTCSALASTCEAYVAANPAAFAEAYWLINSVKVYQGANTSTKREVAFKA LY89DRAFT_690968 MYRIALKQSSRAVGAVAISSRVARNAAPAIVNTASRQVRSYAAD AKPSPTEVSSILEQRIRGVQEEAGLAETGRVLSVGDGIARVHGMSNVQAEELVEFASG VKGMCMNLEAGQVGVVLFGSDRLVKEGETVKRTGEIVDVPVGIEMLGRVVDALGNPID GKGPIKTTEKRRAQLKAPGILPRRSVNQPVQTGLKSVDAMVPIGRGQRELIIGDRQTG KTAVALDAMLNQKRWNDGNDETKKLYCVYVAVGQKRSTVAQLVKTLEENDAMKYSIVV AATASEAAPLQYIAPFTGCSMGEWFRDNGKHAVIIFDDLSKQAVAYRQMSLLLRRPPG REAYPGDVFYLHSRLLERAAKMNDKHGGGSLTALPIIETQGGDVSAYIPTNVISITDG QIFLEAELFYKGVRPAINVGLSVSRVGSAAQLKAMKQVAGSLKLFLAQYREVAAFAQF GSDLDAATKQTLNRGERLTELLKQKQYSPMAVNEMVPLIYAGVNGHLDSVPVNKILQW EADFISHLKTNESDLLATIDKEGALSKDLEAKLKDVVTTFTKSFN LY89DRAFT_599568 MGSAGLAQAPLRQDSPDFTYDSHNNISPDDQRGSAVPVTKATQD IKEVISNPTIPRAALNIQDERQNLAASVHPAYFRGSKFDRVPYWQRIHRWEDIGEREF LSYRWNTAKDVQGKEKLYYFLKEELPEKMPDTPEYEHTRTRDAFLEDVIRGIDLAPMS IRLTPHILASINWNDPLNDPLSRQFIPKKSTFQPDHPKLELDSLHESDDSPVEGLVHR YTDKCLFLASSHCPLYCRFCTRSYAVGADTETVTKAALKPARRRWEAMLDYIAATPVI QDVVLSGGDSYSLMPQHLAIIGSRLLEIPHVRRFRVATKGLCVSPSRTLDPNDDWTEE LIKLSKEARLRGKHVAMHTHFNHPNEFSWVSREAAQKLYANGVTVRNQSVLLKGVNDD IDTMKGLIRELADNNIQPYYVYAGDLVKGVEDLRTPLSTILDLETQIRGSIAGFMTPQ FVVDLPGGGGKRLAASYKTYDRATGRSTFVAPAVHGKNKEGRLYEYYDPLASLPNGGE SVDFAAIEHIVI LY89DRAFT_658918 MAPSTAAQQSDNVAHALSGAGGGILAMTLTYPLITLSTRAQVES KRADSGFLDAVQRIVQREGITGLYAGLDSALFGISVTNFVYYYWYEWTRSGFEKAAVT AGRASKKLTTVESMIAGAIAGSATVLLTNPIWVVNTRMTTRQRNKESDEAVLPGAKPP KAPTTIGTLLALIKEEGPQALFAGVVPALVLVINPILQYTIFEQLKNTIEKKRRVTPT MAFMLGALGKLFATSITYPYITVKSMAHVAGRDGDKENMMQAMRRIVKEEGYTGLYKG IGPKVTQSVLTAAFLFAFKDVLYEQTVRIRRTMAAKALTK LY89DRAFT_788903 MMPPGTGMFHEGLLPVMTVIPEATKRRLEHQYRPTQTLDPQNGS NNTSNHIPSPIGPSNGDSRGLPTRQVTDETLDDAYVSFIMYCNPSIPLDTDTTELRKI FRAPPKSDGKNFNTFVLFELIRKLELKEIKTWAQLALDLGVEPPAFEKNQSAQKVQQY AVRLKRWMHAMHVDAFFEYLLNKPHVYWTQIPSMNDPSSEFGRDGVAAEEDLALRALL PETRPKRGRRKAEDRDESETGKSPSQRPRLDSPTLSEDFIMARTSLIADNTTPATAHP DFQQSFGDRMMPWSADTRGAMAPAFRWPPSSDTLQTPLSAYPQSAITPSNRGHMWNDE PRSAITPQKGRRRRHGPAVSSAWPSSGNASSSKLRGRPPSNRSVTDGPFSTFPVNPSL KEGPTINLRDSSTVATPIVSDADTNHFFPPNVPAAPPNPPLQTGRPSGLQLQVPQRQG GTVRLATPPPPVVLVNGQGDTEMQQNPAADVQTFVPLMEYFANPAAHDPGFENFVSIA FRQNEDPDRTNMDALESHFICEILAADWYDATGASIEKCSIDEADKICKEVIKNLQAE SSSTAAFLINLSALAGGPLMTRLRMTRLEQGSVSHYECHWKMRFGSIEADFKIAATVH HGPDSGPLEHGPEQSEESWKKRYLDLQQRIRERDQTVGKLKRGVLDALVVSNQFSSI LY89DRAFT_788904 MASEKATVSGLDSETQATRRRNVPSTSPNGGLVNRIEIDDKKTQ VKKQEQTFLQFLDEWEFIIAPLIFTALAFFTRLYKIGLSPIVTWDEAHFGKFGSHYLK REFYFDVHPPLGKMLVGLSGYLAGYNGSFEFKSGEKYPEELNYTFMRAFNSFFGAICI PLAYYTARELNFKRPAVWFVTLMVLCENSYTTISRFILLDSMLLCFTFTTVLCWARFH RLQKQSFSIEWWSWLFLTGLSIGCVCSVKWVGLFCTALVGIYTIEDLWNKFGDTKMPV IDLASHLAARVIGLIIIPVIVYMLSFALHFAILENSGPGDAQMSSLFQANLRGTEVGK DSPIEIAYGSRATIKNMGYGGGLLHSHVQTYPEGSTQQQITCYHHKDANNEWWFYPNR AQPEYDAEAPPKFVADGDVLRLIHSQTGRNLHSHEVAAPVTKADREVSCYGNTTVGDE KDHWTMEIVKDVSSNDRTKVRTLTTAFRLKHTALGCYLRAGNVNLPQWGFKQIEVTCD KSNNPKDVYTHWNVEAHWNDKLPAADAGAYKSPFLQDFIHLNVAMMTSNNALVPDPDK QDDLASQFWQWPILNVGLRMCGWDDSIVKYFLLGNPLVYWGSTASLGMIALLVVWYAI RWQRGYDELKQSDIDQIHYAALYPVLGWFLHYLPFVAMARVTYVHHYYPALYFAILGF GFCADWMLRNQIKSLQYAIYGVLYAVTIGLYIYFMPICWGMVGPNRDYNYMKWFESWR VTD LY89DRAFT_658927 MPSAGPGLAPERASAFQEQMDRRRREAQIVVPTDDERVRARLRE IGQPITLFGEDKALRRDRLRKLLAIEAEINAAEEEDGDEEMRDAADEQNEQEEEFYTK GSQDLVECRKRIARYSLPRAKKRLMVQKVEATIPLRTHVKFRKAIRERLQGFELQGSQ TAGERPVSITRFSPNGEIIAAGNWGGGIKLLNVPGLDVIRTLRGHTDRVGGVSWYPDA TLPGSTVSPDSVNLASGGAEGKVHLWSLNKDTPISTLEGHNQRVCRVEFHPSGQYLAS ASEDTTWRLWDVETSTELLLQEGHSRGVFTVAFNTDGSLLASAGMDSIGRIWDLRTGR TVMILEGHIKPIYTLDWGSDGHRVLSGSGDGWVKCWDVRKVQPVGGIGAHKSVVSDLR WYKGQDDPSTGTIPELDEQGARRPKNSGTFFVSGGFDKNVNIFSADDWSLVQSLSGHT GNVHSVDVSRDARWIVSGGYDRTVKLWGRNDGLGL LY89DRAFT_333161 MSAPLAKTEGGNSAFHNFNNDFAHIADPNERRRLALAEIDKAPF GWYHVRACIVAGIGFFTDSYDIFAVGLLTTMLGIVYWPKSKGAMPTDSDTAIKVATSA GTVVGQLGFGALADIVGRKRMYGLELIVIIFATLAQALTSNSYSINIVGVIIFWRVLM GIGIGGDYPLSSIITSEFATTKWRGAMMGAVFAMQGFGQLTAAIVMIIVTAGFKDSLK SAKSYATCQGVCGIAVDKMWRILVGFGAVPACIALYYRLTIPETPRYTFDVARDVEKA ADDVTAYKAGKSEGSPDEITRVQGRIAGEEKLTIPKASFKDFTSYYSQWKNGKVLLGT AGSWFFLDVAFYGISLNNPVILSTIGFSGGSNVWQILHNTAVGNLIIVLAGAIPGYWV TVATVDILGRKTIQLGGFAILTILFCIFGLGYNHISNHGLFAIYVLIQFFCNFGPNAT TFIVPGECFPTRYRSTSHGISAASGKIGAIIAQSAIAPLRIRGEKAGATGRAAAPWLN HVMQIFALFMLLGIFTTLCIPETARKTLEELNGEEDTHVEEIAPATKAEVEDKE LY89DRAFT_676944 MQGRLVPLKTTLETRRRDMVVEVYVTAVPVKAASGSLSLIRKLV PEDGGLDFQHLRRFAKVSEVPEHVRGSFAAAAANNGSGGEDGRLLLIVGPVSAVSLAD LRDGLSSTVKDLEIFTAKVPLLAPTSMDQAKVWTKLYWPTVYKKSNPFGPHPSIVSRA EEEIRGEVQGWMDLAYRVAREASEAGFGEEVGVVVVERKGGKGRVVAVAGDGRWSGCV LGEKGVGGGNVTAHAVMRVIGMIAGSLKRRDELSRGSSGVIEDSTSSKLELLDEGGSA VEVSRESGIAPVGDSSTLSEYGLHHFAQSKYAIEAHNGSEDLIPTGHKKLPNTAIPPE PTLSTGISKHHEVDLTVPIASDSSAESAPNSMPSYDKQQNASVTDKERDGTPRLTSGV SDVQCQETIDIFQDHPILSIEKKHFDPDGNQNGYLCHDLEIYCTHEPCVMCSMAIVHS RFGRVVFENRMKKTGGMCADGELGHGLAWRKELNWTLLAWQWQRAQQDDYGELHA LY89DRAFT_599518 MAGYNGSSDRDLRFRGQHEASLLSPPRNSNGTRLPQPLQDGRGG GGLMRRFTTDSSRVPTLSTITIQRGQPDSSDFGPSNYKVQLLEKKKLEYERLREQKRR FEAEMQLLDLQQRREEQELAQMQEDLGRTNNNTAGHQSEPTTPPEYRESTSGFPSVFS RPNRYSTSSLTSPPGLYNRPGRSGSQLTSPQSGIIQSRFMMDDKLPSKSVPGSRRNSD EEEKEEAVRQDPTSHRATNAYVLVFFLLGRFVHLYRWLRVPISDRLGQKGQRVLQSSV RYSMPVTKSRNGMHEMLSLDQTNTARFLFGEEEGGTASPDVNTYLDMNTTAENFPILI RNQDFPSQLSASSAALDLALAQSTGPESNGWSAFTRHRASQSQQILPGSIQAQGQAQA NGSTSNSQANVSESPISSRSNSFRHSLDLKYVDGQGQDNIPMSSPKPQVTPPKLQSSY SANDVPTMRNGVHAINTTPNSHAQQHLHNHNASLGRIPPNGMNNRLSREMTSPEAATL REAQNGTYHSISSALHPNAPAFGPSLTQSMSQAPMTAAVTSPTGPQAYPVQQQYYNSY NMLNMSMQNMQLAPQPMYSPQYAGYGGGAMYGQAPPQRDSQARVIQQRRQNDGEVMNR FANMALETLGGEIYTLCKDQHGCRYLQKKLEDRNPEQVHMIWLETNQHVVELMTDPFG NYLCQKLLEYCNDEERTVLIENAANDMVRIALNQHGTRALQKMIEFISTPGQIQTIIE ALRFRVVELIQDLNGNHVIQKCLNKLSSLDAQFIFDAVGHHCVDVGTHRHGCCVLQRC IDHASGEQKAWLIRQISNNAYVLVQDPFGNYVVQYILDLNEPVFTEPLVQMFRGRVGQ LSKQKFSSNVIEKCLRCAQEPSKDMLIDEMLQPTELDRLLRDSFANYVIQTALDYANP VKKVQLIDAIRPYLPAIRTTPYGRRIQAKIQGNEGRSGPNSGQATPNEADPGQGNTRH QRGPSIVSASHFTSPSGGYPNGSYTPANGNNNGLGGNRPAVQPGGFPSTEQVTPPQTL QFPYGRGAGQIGNGNWV LY89DRAFT_629722 MPPKKKPQGTGSVEVAPGKAPAKTTGDTTTEGTTDATKRKRTTR PKPPAPEEPLGPREDDGFAALLEPFYSGKSLTDPIDTARDKWNLLPAFLKVKGLVKQH IDSFNYFVEHDIKAIVKANSRVLSDVDKAFFLEYSDVRIDLPERLDYDDRKPLNDITP NECRLRDLTYAAPIRVDIKYVRGKQVVTRTNIAIGRLPIMLRSSKCRLAGKNDREMAF MNECALDPGGYFIVNGTEKVILVQEQLSKNRVIVESDAKKGIVSASVTSSTHERKSKS YVLLKKERIYLQHNILQEPVPIVIALKALGIQSDHEMLLLVAGTDSKYQDEFSVNFEE ASKLGVFSQHQALEYIGQRVKMGARNKTQGTPNRRSPIGDALEALANIIITHVPVHKL DFRPKALYVCFMVRRVLMAMYDPKLVDDRDYVGNKRLELAGQLLSLLFEDLFKRFNSD LKMNIDKVFKKPNRTQLVDAYNHLQSTGNIITNGMVRAIATGNWNVKRFKMDRAGVTH VLSRLSYISALGMMTRISSQFEKTRKVSGPRALQPSQFGMLCPSDTPEGEACGLVKNL ALMTHITTNDEEDPVKKLVFVLGAEDIVSMSGKEIYAEGAYVVFVNGTPLALTRQPKL FLNSFRKFRRMGRVSEFVSIYINHHTNAVHIATDEGRICRPLIVVENQKSKVTKRSLE ALRKGTMEFDDFLYKGLVEYVDVNEENDSNIALYENEINDTTTHLEIEPFTILGAVAG LIPYPHHNQSPRNTYQCAMGKQAIGAIAYNQFARIDTLLYLMVYPQQPMVKTRTIELI HYDKLPAGQNATVAVMSYSGYDIEDALVLNKASCDRGFGRCQVFRKNAAVLKKYPNRS EDRLADPMKDEKDPTKLIYKHSVLEPDGLAMVGEMLRVGQTMINKEMPLNTTSTGIGS DYGTNEHKPAPMNYKIPEPSYVDKVMLSQQENESLVVKVQTRQTRRPELGDKFSSRHG QKGVVGIIVNQEDMPFADTGVTPDIIMNPHGFPSRMTVGKMLELLSGKAGVLNGTLEY GTAFGGSKVDDMGQILINHGFNYSGKDFVTSGITGESLPAYIFFGPIYYQKLKHMVQD KMHSRARGPRAILTRQPTEGRSRDGGLRLGEMERDCLIAYGASQLLLERLMLSSDAHE VDICEVCGLMGYQGWCQTCKSTRGVTRMTMPYAAKLLVQELLSMNVLVRLKLEDEFPH PRKGG LY89DRAFT_599601 MRSIYAASRSTTVFLGEATAGSDALLQAIESSSPSILTATTKAA VVNSLVSNSGMRKHELIEQAFKILWRPYWVRIWIFQEIVVSPNPWIQCGNMKVPWDTF CQAIIALLAPEPKAIFGSGYGNEARQRLEDMYWERRAYRLSQGISEPLPRWDMSGGRE FEGRMGLLDLLVGKRGLEASDGRDMVFAISGIARKPKKWEDLSITYEKSPARVYMDTV KYLLDHNKTYEVLSHAGQSQDPSRQHLSKIPSWAPDWRVRSEYKHKIVDRKPGTNLLR WIPPSPTSSSSKPQYAYLPSHSILACLGTTYSAIQSISPDTSSIKPSPGSTSPSPSLF PSKITNLISRSHPLVYKRRLARTSTEVWALVPFDAEVGDLIVVFRGGGVFVLRRKGKE EWKKGVGWREWMFGARREDVVEEIRMAIGAAGRGKVIEHCRFVGECFVDGLMHKFSHV DEAQGTNEVIFAMH LY89DRAFT_333344 MATLDFLPATTYTPPSPGQARRNQRSLNTIIEEGSVRGSLVEAR EVQVRSPKKAEWLSPLSDHFPTPRGIHFMSAPRPASSVVDSDNEDTFSPAPSSAPWTR DSYTTQATDFDDLYDASSDEERRRKTRSRRTSTQKRSSMSSTSSRTSLTSLPTLVIPS QGDPWPGVEAFKKLTSPVPPTPPPKVPMSPAVFKYLQSQEVPSSSTPPSLDGSLSSEQ FAVMSAPPTPIVGNDSEAEYDGWGGVQLQPAAMATLQALSGGDDLFEQQTEQVIELSN ASQPPREMQQVLPPLNTNLHRNSSVILSPEQQRSLNSLSRLEIPSPGGFFSTLSPNTR HTWHLVASTPEDAYPPSSTTAEHFYKTPWSSAPIERIIEVPETASEGMLTARPILTRP ELRHESSEETVVQASPVEEEVIPDEILVSHASDYASKLNDVAVANLDRTGLWLAAQSD YLSELINPTEERDDEVALLKRAASTKSQAGFSPSEVNLKENPLPTTDISVPARKTVRF SNVVKTSDAVAVPLPSKLERQESAYYRSFQSFAAESRHRDTFVHRLPRFEALQAQRVS FSTAHRAHLLGKYQLSVVPMSAKRRLSANVARGDEIAPEDPDKLRRDKEFEARQQMSA ANWNVQALKLLNGGRLIAAPVAKRLARLSSIGPKSDGTPRDRARILDLGGQATCDWAW HCAAEYPNTKVYTITTKSLRQVSNSNIRGPKNHRNVAVEKLTKLPFKDNQFDLISARE VYTILKSQGENGEDEWDSCLKECYRVLKPGGYLEFSIMDSDVVNAGPLGLAKSVEFGY TLKTLGYDAHPTKTFMSRLSSSGFTNVKRAWVFLPMGENQSLKREREVKRDSCGVEIK LELEAMVSGSTADVASVTGVVGAWAWEKWLLRAKVQNEGMEATVEGVQSVVEEGRKCG AGWRTVNGWARKPF LY89DRAFT_676949 MAQPKILLYAELLPNIRQVSVMAAFDTPSDSTTEAELSADGRQI NVIHEGTNHILNLPGQAVASTKLQKPVNSNKELSWRLPVVGLPSNTSVDRGQSSGAPW SAKELGPDSRFSCRGCGAVIVPEGAIESWRDLPSENWAEMMDFWHCHKPDTGADAGNS QAGHDQNAISNANRAYGANTKFIAQSGVGFIDVASFLLDESDCQNLQTSSQEEYPERP QTISCKSCKVSIGDVDIQANGVRLHKWRLSSTSTSISSSLNSPAISVFISAKLVSILQ AQCSSKALLIPESPSSTGSLHLWILQQSLFYSSSISGTGSRTFAMKVLWKLITDVESL ALIDSGKAEDVKLPADAVAEIETCLRDSARFLPPSARMFQGWNVGLLERYQDEQ LY89DRAFT_725005 MSSPMIAWMPVVNRIHRYLTTSNYAAQSINISSVEIHDVETAPE KRPRTLKHLLRANHVNHSIIYHNLQYHNHMPHLLGSSYLLGADVEQMQKIYDEEAKEL EEWKDSPAEISETDWREFLGDKRYQRAYVDFFEDELALKFGYDWKRVAEEYLFTGKEP LVHGLIGGIGHPLIHLGYAYELSNKELAMEALAMASSSYNYLHKYLDNASYTKPSTYS TTSPLEILHKIADDIRFDGIFEGKGDHNIDTLFSQHEDLVLEHWNAWQITDPNKQFQD SQEAAVALLVNTAQPGTHAYDFFLVHILTTSHAVRILIPLIPKKFHISLVRQWWLLTI AVYVAQLRPKINDDIEVKPTKQWKYVEEKAVNGPWATDAHYVKALRAIKEAAFTWGDV HERYLAAAVTFADDFNGWTGFSSAEEESRN LY89DRAFT_701849 MAPPAKRRRKNVLSSSSSASEDEDDEPITKSKPQLLDLVSSSPP SSTATKMASSKSASQKPAKAPVKLQPRAKTANHPHNAAPIYLPSTRGKAASKSASTSP EKPKKKGKMDEKGKSGDIFTFFTKQAQRQQAESSMSGTGVKSKLAMHTSSAEKVEDVD MISDDDVLGPSSTQGGSLVGLAARKRARATDAFAAGDGELPCASQRFMKPAKPVAMVK IEDTRPWAEKFAPKKVSDVRTWLENVMDGRLRHQRLLVLKGGAGTGKTTTIQLLAKAM ECDVLEWHNPVGSVASSDGYLSMAAQFEEFMGRGGKFGQLDIFSSDGTVPSKPEDKPL DRRKNIILIEEFPNTFTRSSTALQSFRSAILQYLAANTPQRSMMFTEHPDEPITPVVM IISETLLTTASASADSFTAHRLLGAEILQHPGVGVIEFNAIAPTILSKALETIVQKES RKSGRRTTPGPMVLKKLGEIGDIRSAVAALEFLCLRGDTDGDWGAKVAFGKAKKTGKD VALTSMEKESLELVTRREASLGIFHAVGKVVYNKRDEYPPAVSKAEDVEKLPGFMSLH SRQNRSQVVVNDLIDETGTNTQTFVAALHENYILSCDTPPDTYDFSSLDHVNGCIDAL SDSDLLYPSWDGSINPTGFGGGIGGRGSGGDILRQDEITFHIAVRGLLFSLPHPVKRK APAAGGLRTGKGGDAFKMFYPTSLKLWRMREEIESAIDLWVSRLMKGESDHSITNGAA RFARPKAGTVESWKSSRPISTQEKGSPETATPLLSVGTSARKEMLLERLPYMLLVARG RRTTSSVVSIKEMEKVATFQGIGISSEESNDEGDDDDTGVVAEEWATDKAAEGNSPKK KGLVIRGRGAANGFPLQQQEQKLVLSDDDIEDD LY89DRAFT_788914 MTHTPEGLACLTRTRLSTSQFWKLRYLFVPISFTPTHQNDAHVA VCAISPEAKLVDYVCSGGDSGLPDSPRSDGSNCIPAVFAWLAEYIGNEEGSAFVPSEW RLRTSAGRLQNLWRGDCGIYTVTHALALAFGYGFGEKVGAFPRDHQHRIIKRRKRYVQ DLMFGGFSLYEEGASNLQYYPLIDAKPKALEEEDFESLPQSVLSKLPKWLRPRHPCYE KCPNKTVLKLHCQRNIKLYPGYDAPSVSGKGITLEKFIGWVEDMDDRRAEKIREISKA GCRVEWIDPRSSNQRVTPRHALWVK LY89DRAFT_690986 MAALAGVVLSNPTPSPIFGQISTRDSAVTAAAALLQIAPTSGSC SGAPAAGECATNVQAAPYLISAMQQYSIYNLHEVAAVLSVIAYESGEFKYNINHYPGT PGQGTRNMQMASFNLMYAKSIPALATSLSAITTADSTSGLSTDQVNAIRVLVLPDEYT WASGAWFYSTQCSSSVKSAVQAGGQAGWDAYLGCIGATDSSESSARLAYWTRANTAFG LS LY89DRAFT_676954 MRFTSQLFLLPLAAFTAAQSNTTYSVKTPPLTTNWTYEVGTNPW PEYPRPQLQRSQWQNLNGIWRYENAASLNATEDPPFGQTLENEVLVPSCLESALSGIM ETDRLYSWFSTNFTVPSSWSSNQVLLNFGAVDYEATVYINGQQAGFNRGGYYKFTVDV TNYTKFDEDNELLVFVHDPTDSGDYVIPMGKQTLRPSHIFYTPCSGIWQSVWVESAPA DFITQLDVDANMDGQVNITVHSSSGTPTPVSVTIYESGCEEAIATHNGTSDSPFQFSV SSPSLWSPDSPTLYNMSITFGSDVVRSYTGFRTISKGTVNGVVRPLLNGQPLFMFGTL DQGFWPDGIYTPPNREAMVYDLEVLKSLGFNMLRKHIKVENDLFYQACDQMGLLLIQD MPALRPLQSYTLANCTSITYLPDPDQQAEFTRQLELLVNQHKSHPSIAVWIIYNEGWG QIIQGYPEFGLTALVKSLDPTRLVDSTTGWYDHGAGDFSDNHHYANPQCGSPFYSTAS SPYDPTRIGFQGEFGGIGNNVSIEHLWNVQEAINTINQTYEIDQTLDAWSYRAHKLLT ELLEQTEMYACSGGVWTQTTDVEGEVNGLLTYDRRVLRPNVTQWRDDIEALYAALKSR ASNETSGMML LY89DRAFT_690989 MLTYFPGLLIAATWVASVEAQQPGQCYYPGRAWANDSRPCDPYA ITTQCCPIGWTCFSNFACVVTDLTIVGSSFPLGTTIRGSCTNPLWNDGFCGGFCLDDP ATPSSANNGSMVDCGGGNWCCASQAADGSCDCSTGNGTFTIPQGVAQTIISVSSLQST NTAVATSTTGSVKTTSTDSSTLTTKTSSASKTTSSTGTSKTAATETAPTTSPTANSTA HHTPVTDTVRFKASISVGAVAVAAVLGFLVYCLCCRGRSYASRSGKFSGRPARDANPR PSSPAYTAVTEPYDFGAPPSTYTPYNESSAYNNPIPPRDPAPYSPSSPSPLRNPNLED RPVTPEYSCLNHAPSMATLPYEGT LY89DRAFT_676956 MPKPTIVFIPGIWEGPTVFTGVSNTVSSLGFQTQAVSLPSTGSR SPGNPSMKDDEAAIRAVVKKLVEEEEKEVLMVMHSAGGFLGSAAIEGLGRKEGRKGGV VKLVFLCAGIAEVGHFHAALPFMDLETGKEAGEMHCVRPRELLFNDLSPEDTEKWLSL LKPQPLSGWGATTDYAGWKEIPSVYLICENDQVLPEAYQAKMSAMVGSKVERCKSGHM VTLSMPDKVVDVIRDAAEEV LY89DRAFT_599681 MSTSKKTKPKRQWEDVAREAQEYRDASIGRVPGITEISARLESL NALPKCSLDIPGKVLELKDIKITQCLPEDLVAMLGKGEISAVEVTTAFLRRAALAQRL TNCITELLPERALARAKELDDYFEQHRKPIGPLHGLPISVKEMIGMKELGLNAGYVAW WGKTATENAHVLDILWNAGAVFHARTTQPQSMMHLETDNNLYGVTVNPSNRNVSSGGS SGGEGALIGIGGSCLGIGSDVGGSIRSPAANCGIYGLKPTAFRIPTDGWCSTMAGADP IPGVIGPMSTSLEGIKLFMKTILDSQPWLSEPALIPMPWNTSIQISDTQPLKIAITWN DGVVTPHPPLTRALQALVSQLQTLPNLEIVDWHPYLHDEAWAIISSLYFTDGGAEDAA TIAESGEPWRPLTTWMIKQNPCVKKLTPQKLYYWQEEREAYRKEYAKVWNETASGESG RMVDVILCPAGPGVALKHDTARYWGYTSQWNLLDYPAVVFPVGKVDKDKDVATKNFKP MTDIDEDHWKLYDAEEFDGLPISLQLVGRRFDDEKVLAILEYIKQRTVLPFIDSQ LY89DRAFT_629746 MTSENLHQAQNGINGHKDLEGLGGTTDLPPADGPHKQQNAWSTP GPAAFDFRSDVVTTPTPSMLAAVQNTTLLDDVFMEDPTTTSLESHLATLTSHEAALFV LSGTMGNQLALRSHLTQPPHSVLCDYRAHISEWEAGGVATLSGALVRCVVPSNGVYLT LEDIKKHVVLGDEIHSCPTKVISLENTLGGTIMPLEEVRRIAAFAREHEIKMHLDGAR IWEAVVAGAGSLPDYTKEFDSVSLCFSKGLGAPVGSILVGNAKFIKHARWVRKSIGGG LRQPGVVTAAARVAVDETFGKGPNGEGGLLKQSHITARRIAKIWTDMGGKLDQPTETN MVWFNLDDVGLSEDEFVELGKKHGLRLLGGRLVVHYQISDEAVIRLEKVMKEVIEKKK TSGGGAVEKKGGEKAYGT LY89DRAFT_690994 MSRFSLFSFLGQKVGKTYRHSTEHTLGLHRRQDLFMKRVLSVIY QDCKVSREVYSPPTVKRGKSAHRSTSVTKGIPFQKTLRSQRPVKTSLLHRVSLSMAIA EPLQGSYMISFKNGPLFVPSVLASSSSTRQPFSRIAASGDPRLAAYLPQRPLKLLRRR IQHRIREGRSQLEHCICCCCGASFQKLDTYDEMPYGVGTRGKAGLDVVRVGVGMKFRS RF LY89DRAFT_788921 MSNPTVVIIPGAWQPAEAFEPFGEHLRDVGYPTEVISLKSVGGT ETPLAGLKEDADAVSEVLTKLADEARDIVLLCHSYGGVIGSCAIEGLDFATRRNAGKA GGVILTVYMSAFMLPKGMNVLDGLGGKPMPWMKIEEDKCFAVASELPQIALNDMPAEK AKAYSAQLTHTSVSAFATPSTFEPWANAIPCTYIFCEIDNALPLPVQQQMASQLGPNA TTFSLKAGHCPFLSMPEQLRDVIVKASEVGLSRKAI LY89DRAFT_788922 MFDKIVNDRRYRQAPRKDAKSDSRTRHSFRSKHMRNDNRREEQW SSHENRALNIDVSFPDTFELRDDQAPKARAYARPDCATVSMPSIFKTGYNGSIFDRFG HRDVHAEEPPPSSKRRSFPYVRLDSSDANFFDSSSRVEDDKFHADECMYTQIGGPSTM RPRSGAFGLSGYNSCYNEPSYYDCSLIELAPDTEQAYEDHPHNSFVEDEFLKSLLPAE ILSLYQQTPELPLDRHDTINASGHLLEIEAAPIIADSFSHGRALIEEAPLRLYDTFSH QVDTSEDIINEVMVVTGDIMDTMMESVLAVDVVYGNPTLEAELENSPDPPFNSSYHRE PRDADISRFLQQMALHTQSAPPPPPLRPHFVDPNHIPLRNLAFEQTTKSQDDHPPSVD SKAQLDRYNKWWTDAQAKTSTTPAVLDGVLRFLPSTPPSPQSEPDWRWAAHKFFCLAF NLQPLSIPQQDTSDFLTFTAPPTQATTNLRALRAQLKLEKVRWHEDKLRSLFGDAVAR DERSKSVWSAVIGLKETVERALEES LY89DRAFT_333510 METTDERAQRGPFMGDTQPGQMTARTVPILQTPILQTSAPPVGD PMEVTPPATSSMAPPSRTSPDTDANGVHDQMMMGDQPSSGSLAGPNAAAAAAAGAQQP KVVQTAFIHKLYNMLEDQSIQHLISWSNSAESFVMSPSNDFSKVLSQYFKHTNISSFV RQLNMYGFHKVSDVFHTGSPESPLWEFKHGNGNFKRGDLIGLREIKRRASRHALVHRD SYSAPKPPLSQPGTPAEPMLSMQESTESRLTHLEHNFYEVHARLARSEDNSQFMHVRN QVVMEALSRSLQLNHDMSRAILSLIPNPDTPLHRDVINMQAEIQRQVDVIRTLEEPPE PPFSGSRPYFSNLSLDNAPVSPRQIPQDDPRRAPTMGVPPRQNYYRPPVPSHLSITPR RYGSIGASTSQSSPSSLRSQAPPPPPPPHPLATVASPPTNLPRRHTSADIRNVQGWQA NASSPFASGQSSSQWPSSPKRGPIVLNEDQHIRDSFSSYSLTQASSQGRTEGSRPTTP PPYTNGSTVDHLNSWSWGSSRDKSGGTGLFKDNSGPPTRRGSMAHILNPAETAERDEE HEEEMREEDRKRKRLQ LY89DRAFT_690997 MGKLTDILQSLKKEDGSSNESKRESELTSLVPYLSLSEVRALRL RLNAIVIPNDLCTRFPLEIVESIAQYLDLEEIIQARHISKSWWHVFGCRESSVQFLKW HFPLVWEQDFKHLTKDEQVSDRMSPHKLLLTEAEKRIRIKQGQYHSMEVYQLAHSLKE VQYCNGRMAFRAVNNSHGDNESINVWDLRSEVSVLYTDENREDIYRWQLSDSLIVCEK RGPPTLLVWRLDRDISDSPTAVRLPSNYRSLSVRHKQIALITHQSEVMVWTWGGALKL VDTSEFSQKLGKGVTRFLREQVLFHPLHSNHIFVVSQAYIEDGRELLVSEYTITSSQW KLAATHHLTDLSAFLDSETVIQLHDGNIGIHGFDGRAQSDWMRSSDKIIFPTERPYLL TTFDISTKRFSHQTYWLQGWASEQLDISINREQILLWRDRLCMPVYNTHMNARHRPEG VTDMILAGFAPIEQKPSKIERWYGRTKEDNQALLVPRDAAMGKSALAYCWIGGNEFAK EARERGSFLTERMVLGDDEFVVLVGCYGFVVWSFDEKVILRKSGSSSDPNLPPGLLHP LHERW LY89DRAFT_741917 MKVLLTGGSGFIAAHVLDILLEHGHEVITTVRSQSKADAIKSAH PNIPTSKLDFRIVEDIAQENAFDKAVQSLPDLEAVIHTASPFHFNVTDTKKDLLDPAI IGTTGILKAIKNHVPTVKRVVITSSFASIIDGSKGTRPGYTYSEKDWNPVTLEEAVQN PANGYRASKTFAEKAAWEFVEKEKPNFTVSTMCPPLVLGPIVHYLNSLDGLNTSNQRV RNLITGQCKKEIPDTGTYIWVDVRNLALCHVKAIEVAEAAQKRFFITAGYFSNKEICE IVRKNFPEYKNELPGEEVKGGDYPEGGLYKFDNSRTTEVLGIKFRGLEESIVDLVKSL KSVGA LY89DRAFT_333526 MASERDHDNVDTRDFVQSPVDADMSTPTSPTDSRHPLARVESIS DQIGPDEGLSESYQSTETIRRRPDTQTTYGSIQPPDFTQSSEHRNSESSTSERPQPHS LESSRSFQPIRSPMLGPERGRGGQKPKKPGMPRRASSNVQAPHRGQEFSVDDDVTEIE GDFATRTSASGYGTINRHQGSTLRRRPTTQQQPALPRVDSSHEAEVEAETQTEAASIT GDGARDQPPEEEEVNDEDLEVTPPEGDDDDDDDLSDAESFTLKDRQEAINETHPFGIR IWKPALYKKNRSVQKTAEGDIHSSPGGRVNKWLLFFNILWTVWFGWWLALIAFVGAVV CFIFAAAPSAIEYGRVLWGLSGYLFYPFGKFVRLEQDEAYAQEDEGEGRSITEYEQWQ SGDIEDGRLFFGPQGTRSIVGRSRRSIDSAASETDSLLGRTGRSHQRSSSDRPYKRRL FGRGQWNTGRVIFFLFFYGLITPLLFIVSGICWFLVFWIPMGKVTMLLFYHLRRHPLA LSFESDSEYSRGAISNSSILLCTYRAVGLKYWKYTVDGTNIFLINLMGVVLFVIFDYF ILKELLGFEDLFIVGDAFLFMAALFSIIPLAYFIGQAVASISAQSSMGLGAAINAFFS TLVEVFLYCVALNSGKGSLVEGSIIGSIFAGILFLPGLSMCFGAIKRKTQRFNAKSAG VTSTMLLFAVIAAFGPTLFYQIYGTHELNCLSCEDSDGPSPSRDCRRCYFSQSPTLND RFYIEAVRPYTWFAAVLLFLSYVIGLWFTLRTHAAVIWNNESDEKKVPDVHHIPISSQ PLGNSVARLQRLGSTQNSSSEAQGLEVRDSQLYKRILGQSLKQVGLDPREDSTRNNSL VETPGANGSAPTPHMPPPKSSGGESARSDIHIPGFTDAQNTSLVREVAEIAATAATIA ARDATKAPRRTSFAANAPAQGTITKPHHIKAGVVHDHDEPVGAEAHEGGGHDAPNWSR MKSSVILCGATVLYALIAEILVGCVDVILQGSGIDEKFLGITLFALVPNTTEFLNAIS FAMNGNIALSMEIGSAYALQVCLLQIPALVLYSALDIGHWTEAKDIIDHTFSLIFPQW DMVTVILCVFLLSYMYGEGKSNYFKGSILLLSYLVVIIGFYFSSLSDPEAMGASRFDI MSAGGSFKTMGRGRSGNAFQQ LY89DRAFT_599638 MALDSFFHNKIESMKLEIIQGQAVLRRLEAQRNDYNSRVRLLRE ELGLLQQPGSYVGEVVKVMGTKKVLVKVHPEGKYVVDIADSVDISKLTVGKRVTLLSD SYKLEKMLPSSVDPLVSLMMVEKVPDSTYDMIGGLDQQIKEIKEVIELGLKHPELFES LGIAQPKGVLLYGPPGTGKTLLARAVAHHTDCKFIRVSGSELVQKYIGEGSRMVRELF VMAREHAPSIIFMDEIDSIGSSRVEGSSGGDSEVQRTMLELLNQLDGFEPTKNIKVIM ATNRLDILDPALLRPGRIDRKIEFPPPTVEARADILRIHSRSMNLTRGINLTKIAEKM NGCSGAELKGVCTEAGMYALRERRVHVTQEDFDLATAKVLNKHDDKETSLSKLWK LY89DRAFT_691000 MDHYIGIDVGTGSARACVIDSSGDIKALATENIGLWQPETGYYE QSTTDIWRCICQCVHRALSQHNIDPTTVRGIGFDATCSLAVFAHDTDEPVPVTGPDFK NDGNDRNVILWLDHRPIEETEKINSTGHNLLRYVGGKMSIEMEIPKVLWLKNHMPPEL FDRCKFYDLADALTHLATGNETRSFCSTVCKQGYVPVGVDGSVKGWQEDFYETIGLGD LTKDNFIRMGGVDGVNGKYMSAGELVGTLSEKAASQLGLPAGIAVGSGVIDAYAGWVG TVGAKVNLGADQLDSHVPKNDMSQAFSRLAAVAGTSTCHLAMSKDPVFVNGVWGPYRD VLLPNYWMAEGGQSATGELLKHVLETHPAYNETMSMAESFNTSIYDYLNNHLEELKDK INAPTISYLGRHFFFYGDLWGNRSPIADAKMTGSVIGLSSDRSMDGLALYYYATMEFI AMQTRQIVETMNSSGHTITSIFMSGSQCQNKILMELMATTCGMPVLIPRYVHAAVVHG AAMLGAKAASADKDGKTEDLWSIMDRMSKPGKVTRPGKDKAEIKLLNVKYKVFLEQCR TQQVYRKEVDEATEGWAAE LY89DRAFT_599558 MSAIKTDTGSDEERFDKAGYEVNQEPESESGVGNSEPAYVVDHG AERALCRKFDYRLLPVLALMYLFNALDKGNLGNAKTDGMEKDLHFKSNQYNIILSVFY VPYVLFAPPIAMLGKRFGPARVLPILMACFGSMTLLTVAATNFAGMMTLRWFLGMSES AFFPLVIYYLTTFYRRGELARRLAIFYAASNIANAFSGLLAYGVFHITNTRLYPWRYL FLIEGSLTFLFAIFAFLYLPKSAVNARFLNDKEKALAYHRIQVDSSSVVNEQFNLKES LKIFRQPVTYAFLAIEVCLGVPLQSVSLFLPQIVARLGYSTVKTNLYTVAPNISGAVM LLILAFSSDYTRRRGPFIALGFTFTFIGMLIYATIDVINQKHVAYFATFMMCWGTSAP SVLLSTWYNNNTPHEGRRVVLTSVGVPLANVMGLVSSNIFTPGSAPKYIPALATTAAF GAVGAVLAASLSLFMVLDNKRRDRKLGRKLDIREVPTELLRDGPSVPEFRWFL LY89DRAFT_741922 MVITSSAARPPPRSSHSHSHSQSHRSSARPTTTPLSPQRVAASV GQALPPNSNPFLRSPALATQTEVRTPSPNYFGLIVDPASDPRDSGVLPKDNWSPPSSS IRSFGAPSPKHIPIDANPEFEAFRRQTEEKHGFNLSHGNLAYFASTPSAQPRPKPERK PVRTETLEHPSPRSRPQHRDGIPDPMQLDSGRQGRQSPEKSLNAPSFFDIPRQQSPVN MNEPNPPLQRNVLSSLDDRHPRLSLPQNKADPPSPHLKLQTRNQHPRADTLPSSLEDG PVLISVGQLRDILKGVPVSQFLLLDLRVFPQFSQSRIQGALNLCIPTTLLKRPSFNLQ KLQDTFTNETEKARFCQWKAAKYLIVYDAFSSEKKDATSAVNTLKKFSNEGWKGNSYI LRGGFAEFHKHYQQLIDNGTNQDPQASKINLSLGTSGPGVAPVAGGCVMPATKNAANP FFSNIRQNQDLIDGVGQMDVKIPKEMENAPDNLLPNWLLKATDRRDHGKQVSDKFLRL ELAEKDRMNKALSSGVSYGSQAANGKDVQLAGIEKGGKNRYNNIWPFEHARVKLQGRA DGACDYVNASHIKSSRSNKQYIASQGPLPATFEDFWSVIWDQDVRVIVMLTAESEGGQ LKCHSYWGSREYGSMKLKALSEKKVSLDTKRHRTPSERRDSGRRRAMTTAESTPGPAL PEPPFAIIRKFTLAHASHPFSPMREITQIHYSSWPDFGAPASPAQLLGLVELTNMMQQ ATLAPTQSPRSDEPESGEVNRPMLVHCSAGCGRTGTFCTIDSVIDMLKRQRKEHKSGV TPMEVSSGDYMGKGKEDTVSGDWVFDQDVDLIEKTVEDFRGQRISMVQSLRQYVLCYE TVLEWIAQQQFESSRPNRERSGSDIGVERRPS LY89DRAFT_658992 MSLFGNLNNTTKSDYNGLFSSTSQPATTTAGGGLFGGLNTSTST SQPAGTSGMFGNLGLGGNTEPTNTNTSSFFGGGLGSTPQPATTAASGFGNPATSSAPP GGSVFGPGPTINPTSQAPSGASLFGASASTSGPAQNGNTAYFDAILEKSRKRAHAESV ADDLPQLQLGLEDLRQRMKRVGTGARGQAADSRAHYLLAASGVNPGDALRDLNHFTAA TGRERPQPQEPQDVDVETYLTNLQTQTTLSMISDGLARSVRDFDAFLEDNVEMEWDAQ RRRIYSHFGIRPKETTAAGKGSFAASVGESNGAFGRSRRSKAPSLTGSRMNGSPGGST FGRSNLQKSVIGAAGPVGAGHQPLFGDVEKKMEASNVTVTGPHDRFQREKESKYAEKV QNLNLARLQRRPYPVCSEFAEVVNLSPDQHGPDLIKAYRALMEIVGEDPEAKQWSEER TAKERKYADDYLGDDPVKALSMKKRILRGGVRCLEKLAFEKMEDAVTRNPRDANVGGI PNVINKVKGYVRLQAGKKNLGGDNTDLQMLGDDYVWALVYFLLRTGHVGEALDYVEQN QVAFRAIDRNFQGYIRAYSQSDDRRLDDVLQQRINGEYNQRLRIAPENSIDPYRMACY KIIGRCDLRQRFLEGIEQSFEDWAWVQLVLAREINRVDAMASEIFGLSEAQNTMREIG SRYFTKGGVEGNSFGVYVFLQLAYGMFEDCVSYLYTYNYVDGVHLAIALDFYGLLRVA DPNAGSEDLLSLTTRGQPQISFGTMLGLYTRDFRAAAVSAAVDYLALICLNKDLTGQG GRNQIMLCHEALRELVLESREFALLLGDMRNDGQRIRGVIEERMTLVGLNESGDFMRT ITLQAASIADDNGRVTDAVLLYHLAGEYDSVINTINRALSEAIAVPIGQDPLRIEPLK ARAGVNPHEPEQGTESSLTSIDDPVQLADTMREMYEAQAMFSSKVRTTNWEANRALLN MTVAKNKVERADFAGALDEIRRLAILPLEANGNPSLIRDCATKFSSLSQSVAQNVPNL LMWAIHCAEQQRRSLMNSQFGGNEGTRLAMIDELKQMNMDLMTYTSQLRYRFPASIHE ALARAQSE LY89DRAFT_741924 MPPGEEASGSGAQHLQQQQTQQQQQQQKRDFSEEATTSPGGDPA SASDQSKRRRTAGGSSSSRGVANLTPDQLAKKRANDREAQRAIRERTKNQIENLERKI RELTSQQPYQELQHVLRQKEAVEAENLDIKKRLGSVLQLIQPILGQHGLEAPTYTPPA QPYVPNRPSSAAMNVSTPNSASSPASATPWQAPSASMTTGHDPRAYPQTQALQTQQLA KQRHDMAHNLDMGPERLGLDFLLDGTQRINKIPNGVNGSQESFQQNSREHPPSPAHHR SNSISSLANYHPSTPAGEMTGHTAPIRNGPPTCPLDSLLLDFLHKKQQEALDGASTPH LVGPAYPSVSSLLNPARAATSHPLSKVFTDILATFPDLSTLPEKVAVLYIMFLIMRWQ IAPSQETYDRLPDWATPRPSQLFTPHPAWIDHLPFPKMRDRLVANYNPRDYLFDNFFI PFTTTLSVNWPYEPTDALLSSGAEGEELVINPVFERHLRNLENWSLGPNFAKAFPGLQ DTYRLRVEGDRR LY89DRAFT_691004 MASQSTLRHSADPIANLYQQYMKLFRDRLKGTRIGLSLCCPCSL CFIKGQMSVPPRSAQDW LY89DRAFT_725027 MDEAKKKLFGLFRSRSNSESPSGSRKDKERDRDRDRDRERKHRR DHRRPRRPRSPIQEGTPPTTRPERSHSAHYSRSEPRRSSGAYATPPPPAQTQILRPQT VPPAFPAPQQQQQIQFPRLTEWPPRGYGRDASLSQGKAMEFICTGIPVFKGHRRGIPH LGEEYVQFYATCEGNGHAGSDGAEPAYEAFDAASLRLQGEGKAKYPWDTLEQPSMAFC YGAMPGTITLNHWVGQSGNPSPGIEMRDPGVQPRDVELSTILERLIYLEGGFEEDYPD LMYKNLYKNLLRDPDRIIAPHKAMEKQIADLIVVLSRREWVDFSKQENQVVAKFFANA VYTDGGRYKKFFHQLLLSMELDIRIHSKQHTDAAKERLLAQLPPCIAWDLALARKWRE CMKIEKYKTGDDSNSIRLKSESKKAQVKALRKFARAMKWPNLVKVDEILKERDPDDKP LEDRSSDAMSYFTGVILPGVTLPWLIMNSLIDCDTDAGANSLAALTHMHPHSGFQYKS TTYWSSTSIVGKVLAPTCREIGGWIGPARPAPDLSRIQIARIRQRRPKQILSADDVDS MTERSDPLGPPSHSYPVSEYQLLLPDREPSDFIDTVRIEKLALKPVSVSSAPENEQYK DTGRPLTYDAAVQFAIDGRSWPLRLSYDVSFIAACPCAGAGPHPLFFDYVYQAVKVDQ ILTIKDWGGLNSGNSLSTRGNSPSATSEGREREAEEEDSEKVLVVECFGVEDNEVLAR AWCSHWGLSAVVADVERTCMSCAVREAYAGCVNVVVLIEGREGSESR LY89DRAFT_659001 MRFGKTLRNSIYPQWKDRYMDYSKLKSLLREDEDNPTWTEDDEN KFCEEMFNVQLDKVAAFQESTFKALEARTDRTAEKLRDLAPDDGNVKGEVTKGRFKEV EEELDGIINETKELKKFSNINYTGFLKIVKKHDRKRGNNYKIRPMMMMNLSRRPFNSE QGYSPLLNKLSMMYYAVRQQLEESEGTPADSSADAQSQIQNGERYTAHKFWIHPDNLL EVKTFILRRLPVLVYSQQSAKELEGQGDPTLNSLYFDNPEFSLYNQKVERQVNASSLR IRWYGQLNTNPELMIEKKIIHDNGSSEEKRFPIKEKYIQPFIKGEYKMEKSVQKMERQ GQPEAKVEDFKHTVADIQKFILEHDLQPVLRANYTRTAFQKPLDDKVRISIDTSLAFI REDAIDPDRPCRNPEDWHRHDIDNSSMVYPFKNINQGEINRFPFSVLEIKVKDDGGKK YPQWVEELIASHLVHKAPRFSKFVHGVATLFEDNVNNLPFWLSELETDIRKDPQAAFE EEEQRKAKKAENEQVVGSFLGTPRHKASYSAAVSSPLGKSYMQERMAAEERAGQKSDF GKSKSQGQASEENGDSSNQGGYGTMASIFPSFSQSRYAKKQREKVKLPEGVTKPTQLI KDSGPLKVEPKVWLANERTFLKWLHISILISTLALAMFNASSNSTTRVLAIAFLCVGV FACGWGYFQHKERREMIIARSGKDFDNMIGPLVVGCSMIAILLINYGIRISTVLEGRE ERNVTLSVVHDMMGKLELH LY89DRAFT_629788 MARQPWVAQIRNARTPAEQIATLRILKNEIVGHPLKKEQAVHQG VLDPVIRLTFNKSSSRQDGKGHDHSFASRSLSEEEMVRLQGLQVLASIALGGPPFLAP LQSALPLPAIYSNLCPSSNPSQLVLASLRALSNLADASALASNPHVLSINTLADGLFS RQHLASLCRILSQTSASSTVQTQISLAASLISRLCRDERHQQGLANTGVLDALATKLA SFVAAEGLVLPGADILAQRDGLFEHFPQAAPSNSNMSVILEAIAVIIVDSKFRASQLL YSPSILAIFPVSQSTDFVPATNTRAAWNAFNASSLSARQAQLNAVDYLLPVVPHHQLK SSSAQTSAFPPLGTSNSSQNLALNGRSSTSKYSSASIPSWNDVTSMDTSMDIQDTAMG EAEEPESPLIAYLIFILRSRVGLERLMAASVLTVLYRAGLTNKTRETAIGLLVVPVLV TMLDESPITSKGKDVIADEEAVTSDRAIKERAPAILAMLITDSEYLQKAAFDAGVISK LSKMLKVSYDPVAESTSSRSWSPHTVEDGDNIDVQQPRLGGNKGQLPLLVHKIKVREA TLKAIAALVPFKDEFRKAIVDQGMMPYIVESMSPNPGKPSPKSNDKSEKATNGSIESE TKQGYGTNPFSVLVAACGAVRALSRSVSVLRTTLIDHGVAQPVFRLLQHPDIEVQIAA TATVCNLLTDVSPMREPISEAGVLKILCEHARSNNAKLRLNALWALKHFVHGVGNDMK RLCLEELGQGWLVQLICDDTEDEALSLSRGNIERDHSPSDEMDEDVEMDQFEEQVNIA FGNSFGRSTISRPSSSRSKSIQQAELRLAALREAETNPSRKARKDDIAVQEQGLDFIR NLIGGAGQGGTAETTEMIDFLFNALGQDRFFEILASKLKPKIINPYNRSSPNTERKIA PPQAEIIIAVGYILVHMAASVPRHRQLVIAQTDLLKLLVPQFNHTSNEVRVALCWLVT NLTWMDDQNDGQACSQRANELKKLGFLSKLEMLEQDPELNVRERAKSALWQIKQSY LY89DRAFT_599760 MESLRTQSPLVKIEKRSQEPEASVALAAVASIPPSPEKPLLKPE SPGLKRRQSQDPPTASTSVSHTIQPKRSRSDETIKILPAKYEFCPVEDMVVLIANMIQ ELIQTNDSLPLRSGVLTRFHSRTPPGISVLDYLNRLAKHATLTPPLLLSMVYYIDRLC LLYPAFTITTLTVHRFLITAATVAAKGLSDSFWNNATYARVGGIKIAELGLLELDFLY RVDWKIVPNPEALVEYYEGLVERSPGYEIEDTSSDEDDDDEDDSNDLGSSPEVKTEDI KSEPTTDTQWKAWMDDVSNVKAEGSHESKPA LY89DRAFT_741929 MRVLTVLAALCASALAATSDFIDSTTVYIQQIDAISPPAPLADI KYNPSTLSAELVSFDAPEIEPESKLLRVGIYDVATSSWKSSTSITSVETFAKGYSPTL VLSLDAQGGVIGVSCKSGKIDAGQTRDFGPKIKVRKTVKGKLPELNKPVVLSPEGKVA TPEPEKTLLQKYWWVGLAAVMLLMTAGGGSE LY89DRAFT_691007 MEEISTENIIPSGRRTRGRNIDFAKAAAEMPAEDDEDEDEDDDF EAPDEDEEMKH LY89DRAFT_691008 MSSRFPSSTLHQRDPRSALFENYNGGGTPTRTSNSSSSPSPYAG GYGYPASSASNGSVINGNGKAVGYRPATPNSRGQYSDAVLNELESQNEGQVEGIMGKV RALKDMTIAIGDEIRDSSAMAEKMNEGFDSTRVRLRGTMNRMLVMAERTGVGWKVWLG FFAAVGFLFFYVWVF LY89DRAFT_599771 MLSLPSLAPQDSYTLWYTSSTSNDHTPFLESTDNDPSSQPRNQH PHSHRRHALHRTPLARLRHDEEYMERRKQNIQNYGSSWIKPPGVAKSLYQQREEDREM KEHQEALRREQLAQELADAEAEGAEEMLQGEGADGEMEEARDLDDDVPDADNTALEED DSEDSDEENGTQEVRRDMVTARVPDDVYREAIVRGEDIAAGRFGGDGADDEDNSGMLQ EEDLVHENAGHHDEDMDMDADIPEADEGGYEHTDTEAELSSSDDDSVDAGRLPSQFAA SSMVRSDGTQNSMDLSSLISGGSSQIGGSSSPRQRVSLRGRGWRSSGNYPQ LY89DRAFT_691010 MTHRGAVGSDARDGDGAGVMTSIPHKFFIKNFERETDIKLPPQG QYAVGNLFFKPDEETLQESKKQLEEISESLGLRVLGWREPPKDSTLLGPAAASREPII LQPFVVLSSAYGYGNSPETTDPDLFDEKYFERQLYVLRKRATHTIGLHNWFYLCSLSN KNIVYKGQLAPVQVYQYYYDLVNADYEAHFALVHSRFSTNTFPSWDRAQPLRWAAHNG EINTLRGNKNWMRAREGVMQSDIFGEELEMLYPIVEDGGSDSAAFDNVLELLTVNGVL SLPEAVMLMVPEAWQDNAAMDPAKAAFYEWAACQMEPWDGPALFTFADGRYCGANLDR NGLRPCRYYVMDDDRIICASEVGTIHVDPERVVLKGRLQPGKMLLVDTLAGRIIDDSE LKNTVSTRHDFRSWLHKELITLPKVFEDITNQGSIDLAPKPDGSPIQEDALLRAFGYS FEQVSLLLAPMASDEKEALGSMGNDAPLACLAQAPRLLYEYFRQLFAQVTNPPIDPIR EAIVMSLDCYVGPQGNLLEMDSSQCGRLLLPTPVLSIPEFNALKNISKLHSQWTVKVI DLTFPKSEGTQGYIRHLDEICEQVTAAIENKDRIIILSDRATSAERVPVSALLASGMV HHHLVNNKWRSLAALVVETAEAREVHHMCVLLGYGADAINPYLAMECIIKLNREGLIR KKLSDDALIRNYKYSADGGILKVMSKMGISTLASYKGAQIFEALGVDDTVVDRCFKGT ATRIKGITFELIAEDAFRFHEKGFPSRYTVSVPGLVESGEYHWRDGGEPHINDPTSIA NIQDAVRTKNDKSYEAYSLAEYEQIKACTLRGLLDFKFDESTPVPIDQVEPWTEIVRR FCTGAMSYGSISMESHSTLAVAMNRLGGKSNTGEGGEDPERSERMENGDTMRSAIKQV ASGRFGVTSNYLADSDELQIKMAQGAKPGEGGELPGHKVSKSIARTRHSTPGVGLISP PPHHDIYSIEDLKQLIYDLKCSNPRARVSVKLVSETGVGIVASGVAKAKADHILISGH DGGTGASRWTGIKYAGLPWELGLAETHQTLVLNDLRGRVVVQTDGQLRTGRDVAIACL LGAEEWGFATTPLIAMGCIMMRKCHLNTCPVGIATQDPELRKKFQGTPEHVINFFYYI ANELRAIMAKLGFRTINEMVGHAELLRVREDLRTNKTQNIDLSLILTPAHKLRPGVAT FNVRKQDHRLYVRLDNKLISEAELTLDKGLPSRIECDIVNTDRAMGTSLSYQISKRYG EDGLPMDTVHVNIKGSAGQSFGAFLAPGVTLELEGDANDYVGKGLSGGRLIVYPPRAA VFKAEENILIGNVCLYGATSGTCFFRGVAAERFAVRNSGATAVVEGVGDHGCEYMTGG RVLILGSTGRNFAAGMSGGIAYILDIHQDFMSKLNPEMVEASGIDDPAEIAYVRGLIE DHHHYTGSELAARILLDFNRALPRFVKVLPVDYKRVLEEEAAKAAELKRAEFQLPLLP GNPVRELAESQKKEKKKPDLQDIEETIGDAAAEKKRSLVLDKTKGFMKYQRRSEKYRS AKTRTRDWAELSKRLDEDELKYQAARCMDCGVPFCQSDSGCPISNIIPKWNELVFQNQ WRDALNRLLMTNNFPEFTGRVCPAPCEGACVLGINEDPVGIKSIECAIIDRGFDMGWM IPQPPKIRSGKTVAVIGSGPAGLACADQLNKAGHTVTVYERADRVGGLLMYGIPNMKL DKKIVKRRTDFMAAEGITFKTGVAIGQDITLTDLKAENDAVVIATGATVARDLPIKNR NLEGIHFAMQFLHKNTKSLLDSELADGEYISAKGKNVVVIGGGDTGNDCIGTSVRHGA KSVTNFELLPQPPNERARDNPWPQWPRIYRVDYGHTEVKQHMGHDPREFCVMSEDFVD DGTGKVKGINTIRVEWTKSATGGWDMKKIDGSQQFFPAELVLLSMGFLGPEDRVLGSE IEKDARKNVKTPPGKYHTNVEGVFAAGDCRRGQSLIVWGINEGRQSAREVDMYLEGTT RLPVAGGIVKRSAHEILGNLQHRAPIQIAAAN LY89DRAFT_691012 MSALMKEIASLTTSLPPGIFLKISESRPDVMKALIAGVEGSVYE GGLFAFDIALPQDWPVDPPRVHFVLEGHDSHRDGFNPNLYATGELCLSLLNTFPACSP EQKWQPNRSTLSSVFVSIQAMILGTSNPHANEVGCDKETEESSAYARQYNDGARRQVV QYAILYWLRSSSAKRSIWYDIAEQYYKNKRKEVIARVKYLGGRDNEIWMAAKDVEKCL GGYSSTSYQSRHR LY89DRAFT_334364 MSAEGLSKPAKQAKEDFAVISNRLAVAFAKRESLIKSWTASSSR PPPPAEKEEELEAEDAALFRNQPPYLGVGAPIPSHFLVSEAERNNKSLRAKFFPTKGL KASKARDAEEKATSAKRALKAESSDEEEGRSGLGRAKKLKMTRKSEPAKSMKEQSESE EDHRARSIKSKKHKTIKVEASRGINVPDINQGQTTAQSLVETTEFIVSKGDGKVEDEM ETSKASASASSSNEPDDIDKKTQRARTTDPAELKRQKKREKRRRQKLRAALKNTKA LY89DRAFT_629803 MFKLARSSAVAAALKPRKAYAPSRFAGVAQQKRGLSIHEYLSAD LLRKYGVGVPDGSVATTAAEAEAIATKIGGDDMVIKAQVLAGGRGKGTFDNGLKGGVR VIYSPTEAKMFADQMIGHKLVTKQTGAAGRLCNSVYICERKFARREFYLAILMDRGSQ GPVIVSSSQGGMDIETVAKEQPDAITTTYIDIHKGVTDDIARKIATDLGFSEQCIEDA KDTIQKLYTIFMEKDATQIEINPLSETSDHKVLAMDAKLGFDDNAEFRQKEIFSWRDT TQEDAEEVRAAESGLNFIKLGGDIGCLVNGAGLAMATMDIIKLNGGEPANFLDVGGGA TPQAIKEAFTLITSDPKVTAIFVNIFGGIVRCDAIAQGLISVVESMNLSIPIIARLQG TNMEAAHKLINDAGLKIFSIDDLQSAAEKAVQFSKVVKMARDIDVGVEFSLGI LY89DRAFT_659025 MSPNHTVLVTGVNGFIGSHVADQFLAAGYDVRGTTRTIKRGQTI KKVLDEKHGAGRVEIVAVPDISIDGAFDEAVKGVHAVAHVALILTFDKDASKVIPPTV AATTSILESCLKEKSVASFVYTSSSAATCRAMANVKRRIDSNSWNEQDIKEAWKPESE WQEGQQWVVYGASKAEAEKALWKFRDEKKPHFTINAVLPDTNFGPVMLKEEVRSTANF LKMACDGMVLPLQGVAPQYWVDVRDTARLHVAAVKYPDVNGRRIFGWVKPYNWNQVLK VLRKIRPGHKFPDDIPDPGLDLTEIDVTEDEKLLARLKGTGWTPFNECLDASLKSYGY LY89DRAFT_764771 MLKLLSRTILVSQPCRSTFIKPNFPILTRTMATGAASNDQLKVN KLFDVSNYAAIVTGGGTGIGLMITQTLVANGAKVYITGRREEALDTVVEKYNTGPGKI IALPGDVTKKEEIVRLVKEVESNEPKGIHLLVNNAGIARDDNTKYSNGKPDFKSAQSI SDHLMKSDPSAWASTFETNVASQFFVSAGFLPLLAKARESTPGFSPSIVNITSISGVM KGSSNGQFAYASSKAAFLHLTRMLATTFVEAKIRVNSIAPGVFPSEMTAGDSDGDQKS SLDSEASNPSGRYGHDTDMGACILFLAGPSGVFLNGQVIYPDGGEFSFSSCNRIWRTD YF LY89DRAFT_659026 MSQEEVKGEAPALINAVRDSLSGLITDPYPYVPNPESCKRRASV ALVLRIRPHYEHWPSTCLAQADSTSREAFVQQFFEQSWVQHGDPEVVFIKRAARVGDR WTSHVALPGGKRDPEDEDDKAVAIRETSEEIGLDLTSEDAFFVGNLPERVVSTSWGKV PIMVLCPFVFLWTQPDLPPFHLQPTEVASTHWVPLRILLSPSSRTCEYVDVSDRFARR GGAAVKAIIRSILGKMQFSAIRLLPSESLFCSSTAEYFNPPEENEEVESKSITSKLYS WYLGDHAGSAEKTRPLLLWGLTLGILADFLDQLPPYNAVQLWAYPTFTSVDVRWIINA LTFSLKRRNRSRLQGNQTAVDDSTEAVATGDNPWFIGGLSDGMKPLRREPNAKKSYAV GVMLEGYYDMARRGVWMAASLRFIAAFVLGYHIMKRCRQRVGRYA LY89DRAFT_334441 MHTTNEDWEIWLTLLFFQSRIKQVPNRNKETNANTHTLCDCSAS YHTQALFSITMDSKSCLLAQSHRASSSTHHLHPDLQFPPPQLEPLKREIKHCSIAMDP IDWSTGRRPNRPFQRINSDWLAMQHSLSQRAFPSPALPAPVNRGPTAYYLEQAAFYSQ NTGPDPFYTPPSPDRPGPLKVLVLYLLSSLGSLLSAITQFGSGLWAVLCLLPWLLVLL VKLVVGEIKKVGWKWEVVLGLALAAQLVRLLRGVDVSGGMEGVVGEGPVYTVFVAGRD AIGSGAVLIDVLTSRNWI LY89DRAFT_764773 LSKIGRLFTLRRVVNLWLCNINSFAIPSILQSHPNPTSIPCLLH RPQLHCVNQSIFQMAKSKIAAAKKVVKKKPAVKKAAVKKAVVKKPAAKKPVARKRAVR GPSARSLKSKGWHAVKRDKSAVALLPQEAVRLPSSVVRKPFDNMKDYFDQIPAEILDK IMSYIEKDQVTLAVLSVTCKRFANEWSNRTESRKVDLRGRVIDIYGNAFTLHSLLGLW IPDMHYHWLANKFLTTETMLEDWRMYQIETEDAKERGREIKRRRREKKECARERARDK RLKKKNDQMNEDHPDWYDSSDSESESSIDFNNEDEPILMSEDSESEEDKEDEEDSDSF IYDDDARAGDWAARNGFTG LY89DRAFT_788947 MAMSTAASAAGALPNGPPSLGGPGAMTDTAFNSRQRRNGVVAGF EQQNCIGPWIVPGRTCVPEPWPAALIPPNTPLDHFQRPSLLMVPCPWPARPVLAPVAP APWPTRIHLKKTKLINYPCDAMVIPTDNTMLINNTSNLFQAEWLTLADDPFVPVGTLA TGFLAAARPAGLPQLTAQAMPPFANSRLNTMHVLVHCEVDAIVAQAAIPAHVYPPGIG TPIQRADYHLRQCYYIALREASNPTVLPGAAVNIAALSIASIGGIAQVWPAVRTIAIP IMGIGTPARNGYGFDRAADQAGRALKEWFWPTLNSETVQGLAAPALLVERTRRRQQFD DIFVCIPNGTGARIDPPQATAALDIYRRPMGELTHAAHQAFFKHLRCPTCSRGKF LY89DRAFT_788948 MKAKKVLAKPRKRQKGGFPVDKVLQKAGRDLENYPNRLDVNQPW GWEKHLDLFPQWLWLSDQWIEADEQATSDLWVQYVEAVQSQEEVPSLKLAPLGGIPDF LNQHELEGTMKYQNGPFVVDYLDGNQQQVAPDALLKYIPPGRFWIGLNVPDKRCVPLG AGVFIGFRRLGRPEKNPWWWECVYARLDKRGHLYLHAYNYNYSGMPLTSRKNYRSRAR TQNVI LY89DRAFT_741943 MGFRRGGFPVSEVYKNSGREDLNLSKCTNIPWFWEPHLDLFPQW LWLSDDWIAADQVPEPPSLEWKTDSGQDAADDEVPSLQLAPWGGVPDFLPQPPAEGYM YNHNGPFVIISDPTYRGLSDAKLEIVPPGPFWIGVNVQDSRCMPLSAGVFVGFRRNGR PQKNPWWWECVYAKLDKRGRVFLHAYNYNYLGMPLTPLDNYRFRPKQRDVIWIASLAT ATRQQIQKYVTQGTQHWDDKGVLYHGHGWVRNELRAAFFLPPYRF LY89DRAFT_334460 MRDPIPCPQWLAEAVSPLAQRLSFPTLPLHIHEVIGSFFAYSFI NIVVAPWISNILFPVRYPKLSLDRKINWDVHVVSLFQSTLITFLALWVMYVDEERSNM DWQQRVWGYTGGCGMIQGLAAGYFLWDLMITIQHVKVFGFGMLAHAISALLVFSFGFR PFVNFYSCTFILYEMSSPFLNFHWFFDKLDMTGSKAQLYNGLMLLFTFFCCRLCWGTY QSVRVYQDVWRALHHQPATTSIHFDALGNGTASAADAAAGKSAVPLHNDIMRFAGEEY VPIWLAFTYLGSNVVLNTLNFYWFTKMIQAVKKRFTPAKDHKKREEPMVTRSTGANGK SKVDIDSTEVRQRHVVVDESIEAIS LY89DRAFT_691020 MSSCSYASSTDSAELNFQGVYIAGKPENVQYDVCCKQGVITSMT ESQPDQPYGGLLVPSLCHPHIHLDKSYLLSHPKYADLEIEKGDFAEAMKLTSEAKARF DLEDLMERGRALIQESINFGVTHMRAFVEVDLGVKLKCLEAGLALKEEFSDRCHIQIC VFAQDPIFSYRDSGRAMQRMLENAASKPGVEAFGSTPYVESNGDLHKQIANIEYAIKI AKRYKLHLDFHIDYNLDPNKQSMVIEALRLLHKMNWPSNFNYPTYRTIIFGHCTRLTL FTTEEWEQLQEQVRGLPISFVGLPSSDLFMMGRPTEKDEGSQRVRGTLQVLQVIRKYG MNAVIGVNNVGNAFTPQGSADPLALASLGVGLYQAGTKQDTDLLLQCVSNRAKLAMGI VQSTPYEIDIDVSDPAEFVVFGSKLSTGSKSFRARRTIQDVVYDPAPDRITVYNGEVV SEQ LY89DRAFT_711616 MADPLYELLVPYFDAPSPRPAPSSKDPTTSAYLNRLTTLPLSSL SSTEPQSLSQSTHSLLLSLQALSKRSHKAIIDSSTHLSTLSTTLPALAQSTASLRDAL PKLDDAAIHFSETYNTRSENALLDRRRKALLLSRNIDRLSDILDLPTLLSSAISNSNT ASASTNTSSSTATLNYASALDLNAHIRRLHALYPDSALISSVEKQSEEAMQDMATNLI ASLKTNSLKLASAMRTISWLRRVAPELDPSPSSSTTSTNMHHGGLGGSREGSLGSLFL VCRLANLQQMLGALEPLRELADQETLRLSDTVGGKEKSKWEGGQQTERYLKRYIEIFR EQSFAIISMYRSIFPPATNHEEALLKGLGREEKKTEEDPLMPLPSALGSFPLQLVGML EDTLRKYLPNVRDRSSRDSLLTQVLYCAGSLGRLGGDFSMILAFLNEGDEETEDEWVE VIRKHRVLAGRLELMVGAREG LY89DRAFT_334554 MTNQVFAVPVFFVVFREALETVIIVSVLLAFLKQTLDGPQRDAA TYKKLTRQVWLAVVAGLVICLIIGAACIALWYTKGKDAYGKAEDIYEGAFSLFAAVII GVIGATLLRVAKLQDKWRVKLAKALESQPVTIGGRRAAFKRFCEKYCMFIIPFITVLR EGLEAIIFIGGVSFSAPGTSVPLPVVVGLIAGCFVGWLLYKGGSTAKLQVFLVISTCV LYLVAAGLFSKAVWDFEAQAWNNAVGSDADELGAGAGSYDISKSVWHVNFGNPEIGGG GGWGIFNAILGWTNSATYGSVISYNLYWLCVILGFVVMRYKEVNGHLPFMKAKAEKDT NNSTVHVDTQSPGSSGIFEKTSEDKKVVQEVHTVPSRTISE LY89DRAFT_701877 MAISLSYFPIVSVLLLLFAAIVEAANGTVVYDFNITWVTSNPDG AFDRTTIGINGAWPLPIMRATVGDQVIVNVNNQLGNQTTTLHFHGLFMNGTTEMDGPA QVSQCGIPPGSSFTYNFTVNQPGTYWYHSHDKGQYPDGLRAPLIITDPDSPYADQVDE EIVVSLSDWYHDQMAVWIPKFINKANPTGAEPVPQAALMNDTQNLTVPVQPGKTYMFR VVNIGAFAGQYLWIEGHNMTIVEVDGVYTDPAEAEMIYLGAAQRYSFLVTTRNESSMN YAIVSSMDTSLFDTIPDGLNWNVTGWLVYDESNDLPTPALVEDFNDFDDFFLTPYDKM ALLAEPDQTISLDVIMDNLGNGKPYAFFDNITYVSQKVPTLYTVMSTGENATNPAVYG EFSHPFVLAHNQVVELVVNNLDTGKHPFHLHGHNFQAIARSVEDAGTFDATNATQTDY PATPMRRDTFVLRPSGYIVLRFQADNPGVWLFHCHIEWHVDQGLMVTMVEAPLQLQQS LTIPQDHIDACQVGGVPYLGNAAGNTVDVLDLTGANVAPGPLPAGFIPRGIVALTFSV LAALLGLATIVWYGLADMGAATMVAEEKRIAAMNNETTPVSRGSVVSETVESRNR LY89DRAFT_788955 MVRTGSSSRWSLADTYDFIATFITMSGTRRMPAYRPSLQHKPAS RATHSQTKSIRPIRLHRYHPRPQNLTPAIQHGVFQKRHFHDYFVTNLPSSSLHPDSRS STGPHHKLPRAASTPHTPGSGPSPAAAPPMIGASRELTVVRIPLRSAKHHFGVSVSRG QRPYNEDTYQAGTLEIPAFAKRAPISLTRSGNKTNVGGATSADSASGDPQVFYFGVFD GHGGVECSEFLREELHTYLERSSLEFELESSLRTGKKTARDVGRPSAEKDRGSELATK GRESLDNIKTKDVEDVRAEMSLPVRGKDGKVEQPPASPVLESEVPVELESNKSKAIQL EKSLVAQWKETVGGYFRRFRPEYFTLPTSSLDDPPSNVPISIETVLMYAFLKADLDFV TAQARKPDPESENHHSDKPLNADDILGQPAHRQSQHQIGGPKRFLGGSTASIALISTP TPTPFWHPASPSTLVVAHVGDTRILLCETATGLAKPVTTNHHPSSPIESTRLRRYAAT FVTDSFGEERMSGLANTRAFGDMRSKRIGVSAEPEIRRIELAPAEYSFIVLVSDGISG TLTDQEIVDVVKEAKTPEQGARDVVSFGTEVTRDGDNATCLVVRLGGWERRIEGGMGS MGTKEVRDFRKGDALDPRRGRT LY89DRAFT_659046 MPPKIDPAILKALSLDEANTTISSHGGSGFVSTFKLTTKDKDEK EKLYFVKTGKGRECEIMFAGEHASLNAIHSAVPSLCPTSHAHGPLSHPPNTFFLATDF LALSSSPSSGASLPHKLALLHSTPAPIPPGHTVPQFGFHLPTCCGDTVQDNTYHPSWS TFFSECRLTHILSCAEKNNGPDTDLSSLVQQTCSIVVPRLLRDGHLRSAEGSNITPVL VHGDLWSGNHGRGSIDGGAVEERVFDPSCCWAHSEFEFGIMGMFGGFGGRFREEYWGV KGKDEPVEEWEDRVALYELYHHLNHYSIFGGSYRGGAVRIMKSSLKKYGDGG LY89DRAFT_659049 MAPQAAMQKRKPRENQYLFEVGVRGRKTGLTLPDTGIRDENGLE PMDHLFSSPEKPKAKSVQRMNGTNKNANATISSEEDMEVDENTIPEPAAVLNERKQAS MRLPPPRSKSPIKTFLQSPARRHPSLGPGSSPVRPAARASSVSTSVRRRLDFSNASFS SVDENTIPEKALQKRAGSAMPILSSTKLVNGNGVKSLGAPFSDQDMGQDNGDYSIDGG AFDNGDDSLQMVNGDSEIIAEPEDVNEDLESITEELPEPEPKASKKNKGKERVVEEVS EKVAKRGRPKKAADPEDDEVLQLEEEEEVEQQQPKKRTRTSLEGSSSDAVKAPKAKTG RPKKVSQPEDSIIEEAEQQPVKNKGGRPKKVIQEYEQEEPAEQQPVKNKGGRPRKVIQ EDEPEEPAEQETEEIQERPAKKSRKSVDGTTTAKMGRPRKAAAKIAPAKAKPAGRRPK LATIEEADSPAVQRGPPLPRTNNGLFILRRETPLEGAGFKQTRSGRNSIKPVAYWKNE RIEYDEDEMDDAFGNYMLPRIKEVVRAEEIEPPKKRARGKSKAPKSKRATVEPESEDD DEVEPWEMEPGRIFGAVRAWDPEDPTGAEVEELEEEVALSSEAIQTRDIAGASFKFAK TLSYPFFGAGMVDLPPGGQKKPKNSRRMQMAFFVFYGRVKVIINDTEFRIGKGGMFQV PRGNFYSLENDYDKPARIFFSQGCELPETAAADSQ LY89DRAFT_691025 MDDEEEWPLSTKVSGPASSLYPDIVGHGIAFSEASPNLLSAAHV DIEACRIDKHGLESEAIESYDDLVFYFETSKSCHAIPDWDDTDLKTDSIIVSETSVDE VDIDHGAEAEIFFIRRMHAHTRLALSEQALKCLLSQHQVFSPLANILTSFSIGSTDYH EGSSGFYTNTTAKCPQGYSILELGYILKYVEPDAASLSPVPWSVRQMAIYQKVDTKTQ ETKGFVVQASTDVRRRKEEITQSVEACQDLSEHWTSLHLLIIGTINRNWELYLKSLDI GVDRLHNSLYAASLPNFTITSMQEVSKFVDLLIKFIHMLKLNMEVFNMLLGESRRPGG REEFDKTDFSCRYRMLEWAIDSTLSKTKLLCSHAELVLSRANNVVAMMRAFSTLQNNQ FIHNIALDSNQSLQTIGHNTTRDDSNILPGPRHAPTREVPREARSTRTITLMMLVYLP LIFTSTFLSMGFIHVTSAHNGLIVRADDDMWFYLALTLPLSIVTLAVWYIWEWRARRR RMSRDLEENVKLE LY89DRAFT_629835 MASSFSHDGATINNNADAALENMGYKSELPRSLSMMSILGLSFA IMAVPFGLSTTMAISLTDGQSVTVLYGWIFVSIISLSIAASLAEICAVYPTSGGVYYW SAMLSTKKYAPIVSWITGWLTLVGNWTVTLSINFSGAQLILSAISLWDDSFVATQWQT VLMFWGVMSVAFLVNVFGAKHLDLINKICIYWTSASVIIIVVTILVTSDDRRNAEFVF THFDASASGWPSGWAWFVGLLQAAYTLTGYGMVAAMCEEVQMPEREVPRAMVLSVAAA GITGIVYLIPILFVLPDVSLLLSVANGQPIGLVFKIATGSAGGGFGLLFLILGILFFA GVGALTAASRCTYAFARDGAIPGSRLWARVDKRFDIPLWGLVLSTVVDCILGCIYFGS TSAFNAFTGVATICLSVSYGIPILVSLIRGRKAVRHSTFSLGKFGFFINAATVAWISL AIVLFCMPTAIPVTPTSMNYASVVFMGFALISLIWYVIRGRKEFTGPPVPADVEPEQD GEDVSGGLGRITTDGDKTLNGGLVGKDGGIQEK LY89DRAFT_691027 MASQTNGHDRRPSAASRFPTAPSIMTMNGHFAGVGDAPTKEQYE HGIQVIDEEKAFNPHLNTYLQLTKVAQSGFNYHLISVFGSQSTGKSTLLNHLFGTAFG VMSETERRQTTKGIWMSKNKREGAQIPESQKMADNILVMDVEGTDGRERGEDQDFERK SALFALATSEVLIVNIWEHQVGLYQGANMGLLKTVFEVNLQLFLKDKQSNPRSLLFFV IRDHLGATPLANLRNTLIADLTNIWSTLSKPKGLEKSRIEDYFDFAFSALPHKILQPE KFLTEVEKLGTRFRTGHRTAKQNGLNDHEFEGGVFLPEYHRRIPADGFSVYAEGVWDQ IVNNKDLDLPTQQELLAQFRCDEISREVLEAFDLAIAPLEEQQADGIRTGKPKVLKDL GVSGKAARVKSIKAFETEASRYHKGVYARKRVELEGKIDIRLKALYQGQLSAAHKSGV ATFSDAVSTAVKAGQKKGASYEFAEIVDREKTIALKQFEAEAKSLAIEGVSWSNFKQQ YNLYEKDLDEVSASLRKEEMRRLATRVERWVRSRLGDSVGLEFNKLGSGRGGSGAPET GERPSEKDLWDRIWTLFTATVKEAETRFIERAKSFDADQDEIEVGLWRLRRKSWGVLK AKIDEEVMEGNILLKLRENFEDKFRYDEAGVPRIWRPTDDIEGMYTKAKDSTLTLIPL LSRFRLSETYAPPDLPEWIGNAPATVDAKDEEDLVPIGGLDEEEGKSLEEEMTILSEA KRQDLVVRFKKTADGVYVEAKRSAIGGVAQVPLYFYGLLLALGWNEIVAVLRNPVYFI FLILLGVAAYVTYTLNLWGPMLRMANAASAQAIEIGKEKLREFLENSETGRQAIGMRA RGDSDTISLNTLDSRGKRKTSVEDDDDDEI LY89DRAFT_691028 MADSQIEDDVALETPAADVFIAPSVNPDLLFTGASYTHYSAVPH SLQPTPNPDAASSSEKTIPGPACALGVDEAGRGPVLGPMVYGLFYLPLPLSDPLLRTT HHFDDSKVLTPTVRSNLMEKLCTPDTDLYTQCGWAVDVMSARDIGANMMKPAGTYNLN AQAMDATIALIQGVYKRGVNVKEIYIDTIGNPATYQKKLERVFPTAKVTVAKKADSLY PCVSAASVCAKVTRDAALEVLFESYVKKGEGDGDEEMSGTAEAGQETWGSGYPSDSKT TGWLKRNMDPCFGWGAECRFSWGTAKDMLELKGMAAKVEWPVEEDDETSRLTDFFSAE KEQDGDELGTWFGRPVGVDVF LY89DRAFT_725055 MDLQKSSTAPEPNYIFTKSVAIYSALVVHLLECFLTWRQLRLQR AIDPVTDAAARKTHSWRLTKSWWLYIATVLVITFDAIPWLWRLVQAYQTAYLPSLGSE FWRSSLFLMACGLMYMVVGTLVSVVELRLLKDSINVEPTPIPRWDKVLYDITKGKPVP AMILCLCFDVLLLKACGLKLQGHHFLVLLLVQISSIIILYPIYLQYRTHRSSPLGSGA LKDGILEAATEINFPLKRIDVVAGPLESLDQGVQFLGWPRKTNIVIHEAMLDRFNAQE ILSLLASRFGEWKLRVSLAIYMLSMLFFVNMFTYILLFIKQRAVYEDFGFVDEYPLIP GLILFAVAFARPCSSYVRIQRNLATYRMFFISDKHAADNGHLTELQAALGKIAKAQQE AGEIDWMFSMYYNGEPTISERLARLEKIASEKAGKEDFLVEKKEKAIMA LY89DRAFT_629844 MSDSDGEYVADDLSDDDIRAHQVSRHGTRSTGPVRGPAGSSTRG GDTNAGTSRRRAAWEDIQRSWDTVVEGADGSINSAVEGLREAGKRKRLLRDTTPLQRG IIRHLILILDLSFAMIEKDLRPTRYLLTIRYASEFVTEYFEQNPISQLGIIGMRDGLA VRISDMSGNPTEHIEKLKKLRDQQPQGNPSLQNALEMSRAALFHAPSHGTREILIIYG ALLSSDPGDINETIAALITDRIRVSVVGLAGEVFICSELCKRTNAGNDSSYSVALNEQ HFRELVMALCTPPVTRTKKQSQSSLLMMGFPSRTSGAGKAMSFCACHSKLTRGGYLCS RCESKVCSLPAECPACGLTLILSTHLARSYHHLFPLNNWVEVPWSEAKKSKACFACLT PFPEVPVKLNGSHTDVEIKGNSESGRYACQSCSNHFCVDCDVMAHETVHNCPGCQSDV RGSISQQDHAANSDAMVIG LY89DRAFT_741957 MGSNHPDSQLYPHATGAAAKMVSEHSSEQSLKLYSGWFCPFVQR VLLVLLEKSIPFQYIEVNPYLKPASLLSLNPRGLVPTLQYANKPLYESTVICEFLEEA YPSSSPKLLPQDPYERAYGRIWSDFVTSRIIPAFHRALQYQVTGEGNSEAGFGEVKGE FVSKIREFTEAMSSSSGPFFFGEEISMVDLILAPWAVRLWVFEHFKGGLELGGEKWTE RWGAWLKAVEERESVKATTSEREHYLPIYQRYADDTAQSELAKATRKGRGVP LY89DRAFT_599492 MKSSTAFRPAFLSVLIFTCRTTTQNLPPGVISPLVQTCGPTSKI VCINHYASVMPYHFYRQASDNASYEDTFPKTIVGNDSSFSLLPGADFLVFDQQRGLEM LGTAPSYEFMFAVNDAVHEAPVYSPATNKLYLSQLRGNTSPPGFLPQLVVNLNVDPPY LGELLSDPPIYAPNGGTIHNGLIYWGVSGGNDSIGGIEERPGIATLDPTTNKTVTLLN NHFGHYFNTVDDLFVADNGDVWFTDPQYSWFNALTDTAPQLNSASYRFRPSTGVVTMI DDTMVQPNGIAISPRMENCSATENRKVYISDSGAVTGTILQSLGSHGTGFNSTGKRTV YAFDLTSDGNHIINRRPVWFAQDFIPDGLKVARNGWIVAGTGTGVDVVDPDDGALIVR IQTNFTVQNFAWTGTDYMDFWLMGNSGIARVKWNLQGQELK LY89DRAFT_334957 MSSSKGKSPATGDEDGELNNSEDTAEPSFLSRVAASASGLTRSA FGSPGGNELNEQAAAALSNAGKGQSSTRTPGESSWAEGSKLVQSPSQPPGRGSSGLRV GQSEAHVRQSENEFSDFLDGIDSFSPSTESANSQVGEVPNGFKQVWAHSQITPQPLHG ERLAGTVAEQESQDGQGVLDLLSGPASLHEPFEAPEEDEEFYDWGLSQDQIIELRAML KDILPPLEQHTGVAADNPLNLVPNSNEYNKAQWFEEWDGVLNRYADEVWGGLLPLVKD ARKELDDIRNGEVAAEQPKALRRLNAILGHLQKRYH LY89DRAFT_599575 MVEGEPNSPTWKFTQCFGDKGDVEDITEADIISTVEFDHTGNYL ATGDKGGRVVLFERNETKKTCEYKFHTEFQSHEPEFDYLKSLEIEEKINKIKWCRRQN ASHYLLSTNDKTIKLWKVFEKSLKVVAENNLSHDLTPGNTAGGGGAVRPISSVHFNSA HDLKLPRLTHHDTVVAAVPRRTYANAHAYHINSISVNSDGETFISSDDLRINLWNLNI QDQSFNIVDIKPANMEELTEVITAAEFHPQSCNWFMYASSKGTIKLADMRESALCDQH AKQFEQEEDPSARSFFSEIISSISDVRFSHDGRYILSRDYLTVKIWDVNMERQPVKTI PIHEHLRPRLCDTYENDSIFDKFEVVFSGDAKNVMTGSYNNNFMIYPSDPDKEVEVVL QADKSAFKAKKVGVPTPINSSTSPTATNGGKKGGSRAGSPAAGASGQGGRMRKETDAD QIDFNKKILHMSWHPFEDSIAIAATNNLFVFSAL LY89DRAFT_691033 MARKRRADSSSTQSSPMTVPRRRSTLRELQASTTTDGDVLTPKV IDARPSLEKAEMYGIDDPRPASSRMMGLLGRIFIETIPQWLAVGAMLGLIFGGCCSNV FALEAIVNVEPDSGTLLTFVQFIFVATTGYFSQFDRTRPPFFLTPNHVPIKRWMINIL LFFTINVLNNHAFSYNISVPIHIILRSGGSITTLIAGYIWGKRFSRLQVIAVTLLTIG VIVAAWSDQQSKKDIATSEERPAFSTGLVILFVAQVLSAIMGLYTEETYKAYGPHWKE NLFYSHLLALPLFIPFLPSMRRQFIKLAASPPLGLPVYDQISNLPPEFQKGLDTVQIP SQLVYLVMNVLTQYACIRGVNLLASAASALTVTIVLNVRKLVSLLLSIWLFGNRLSPG TLLGAFIVFFAGGMYGLEGSKSSGRARHRASIGNGKVG LY89DRAFT_691036 MSHETGRTGAGSEDSWDEREDKEDALLPEAAMMAEETPATSSPS DTQEGMLPRDMQRKTAYYDYAAEKQLSQADAKLFYQRSQLEAQKTGGSNWGASQSSPR GSPVLVPRSVSSFFEADQAGLRRSGSINSMKSGQHATQSSGKYRPAFPVGLADLSKHP ESNTIQEPSIAAANNFHNSPISLPRTESLLRADQAARDHAAHPGLPHEPKPFLETQGL HGAGAGIGVGSGAGGLASNDANITSELSTIYTNIQKVLDIRHKYIRLSLQSDGDNPKD DPNWNIYPPPPEPAWYEERDKAGPSTTNGKNSQNNSMASSMVLPPLERPKTRDGEHSR NFSSMNLPATPQSPKISKTPKKKRKPGQDIGEDFDIEDVLPVPGPGEMSFRLDGNSVY QIYENSKAEELDTPVINIPTIREFYMDLEDILNVSSDGPSKSFAFRRLQYLEGKFNLY VLLNEYQEMADSKRVPHRDFYNVRKVDTHVHHSACMNQKHLLRFIKSKMKKCPDEVVM FRDGKHLTLEEVFQSINLTAYDLSIDTLDMHAHTDSFHRFDKFNLKYNPIGESRLRTI FLKTDNFINGRYLAEITKEVISDLESSKYQMAEWRISIYGRAIDEWDKLAAWVVDNKL FSHNIRWLIQVPRLFDVYKSSGLMQNFEEVLINLFQPLFEVTKDPSSHPKLHIFLQRV IGFDSVDDESKPERRLFRKFPVPKVWDSKQNPPYSYWIYYLFANMASLNVWRKQRGFN TFVLRPHCGEAGDTDHLAAAVLCCHSISHGLLLRKVPLLQYIFYLEQIGVAMSPLSNN ALFLAYERNPFLQYFKRGLNVSLSTDDPLQFAFTKEPLIEEYSVAAQIYKLSAVDMCE LAKNSVTQSGFEHTIKQRWLGPDYYLPGVKGNTMAKSNVPNIREGFRHETLLQELAMI ARYTAAATPTPASASTVSQLPFAPQHRPASPTSSLKTNASTSTMHLDSSSQAYQQFPA QAARIPNSHSQLHIDSQQPRMNQAQEGHQSQPTSSRDHTRQNSTSITSPNLAGLTRET SWPVEAFGDLHLSGSEPRIFPGVVSRTQRRDSLVRKSSMSETDDIASAGTSRKSGTGT WKSKGKESIEMDGAVTEEEAEEEMEESDGDMEEAGGMDE LY89DRAFT_629856 MASSNENHNGLEREGSIKDQDPDTKNAFATRTSFNPDQDGDREG EESIEELTVQEKKLVRKIDWRLCTIAGILCSLNLLDSGIISSASVTSIFEDLGLGVGN RYSVSILVYTVASVTFQLPATLLVRWLGPRAMFGCITVMFGVITMCTAFITTWTQMVG LRILLGIAQSAIFPGLSYLISTWYTRKEQQLRFAFLQSGEVTIVGLGGFLNFGLNHLN GKGGLAGWRWMFLVQGLIAIVVGFATFWWIPEFPDNAQKSFHFLTAEEQDLAMSRVQD DRGDVKVEEFAWRKCLVHFANPMLYGFCSLYFCLNLVSTSLSYFLPIILQSGMGFSED QAILLSAPPYFYAVIPVIISSIVGDYYQLRGLVITFNCVCTIIGFSMLGFSSQVTVRY VGTYLATGAYVSNWAAMTAYQTSNIVGQWKRATFAAAITACNGLGGIAGSFIVRQKEA PRYMTAIWVSIGSHILIIGIVAVFTMYFWYANNKQRKGKMLVERTMNFRYTF LY89DRAFT_691038 MGFLGVYRAIYDYAPQGESELAISEGDILYVLEKSGEDDWWRAK KKASGEDDDEPVGLIPNNYVEEAQPSAHARALYDYTRQTDEELSFTEDSQLEVFDTTD PDWILVGLDGEYGFAPANYIEVSDEAPASTPAASTSAPPSLPRRPAQVEEEEEPEPSP PSPQSPVHNPAAALAGIINQRKASAPSARAPPPQFTPEASDEDEGPTPSLPARPGSIA RSPEPVEPYRSPSSSGGPQASPPYNRASFNRGIDDEVAHHAPGGFHMYNINEMVEVMG KRKKMPTTLGINTATGVILIAPEKARDGPEQKWTADQMTHYSIEGKHIFMELVKPSKS IDFHAGAKDTAQEIVSALGELAGAVRAGGLREIIFAGSGTSQKKGQVLYDFMAQGEDE VTVAVGDEVIVIDDTKSEEWWQVRRLRNGKEGVVPSSYVEITGTVTTSSNSGVNAGKS LVEQNRLEEERLAKESLKAARREEESRGSEVGPGMRLPERNSSLSARDSNNHGQQRRN DGRHDTQSRSSKSKPDPSKVRTWTDRSKSFSVEAQFLALKDGKINLHKMNGVKIAVPV SKMSIEDLEYVERTTGVSLDEDKPLSDIKKQQARQQERSRDASGGSAPSAGATIERPP GKPEYDWFQFFLSCDVADIDATVLRTLGLREGDIIKVMRFLDKKYNRAGAKRNVSFGG EEVIDGESSGGLFSGPGGTLKNNTRKGRPAPAVQTNDTVDPDAFKQEPKERKSEGVAT PLASVPTPAQKDMVRSGFDDDAWDVKPSKQPAPATTSQPSQPAPAPAPAAPALTGGMQ ELSLLSQPLEPVKAQPTAPPQPPPQMVQPQQQQQQAPQPPPVTPSIFAGIGNQQTGLA PQQTGALNPQFNQMNQMNSGLNIARQRPAPAQQYQSQGSLMIPAPPSRPLSAPNANQQ SAFSLPPLQPQATGMQTSAGYQPQIAPPGQSLNDLRLQQQYTSFNGIQNGLQTPQTGF QNQQQQQQPPQNFNQFNPGMQQNGGFQYPMQTGMPQQNFMNNGMNNGGGPFADPRPQQ FPPIQPQPTGFQSSFPQQQQYPQPTGVNSFLPPPLQPTPTGAQPQNGFQPSFNAPPVP PIPQQHAMQPLLPQKTGPPPPVRFGVSGDTKKLMPQATGRRANLSQATPQNPFGF LY89DRAFT_335171 MIPDDPQTMTMFPVDQSDNSEPGKKEAVTISFFSGMIKRACIDP KNTIRGEEVQREPRLRIAGKTQRAHCLSRNHSEVHSYGLGSRPQSNCDNSRTSIHHSD RRCPPPEQIDDIRLNFSHLGSNELCSKTHTEMSEKPRRNNHKFLQGWLHDMSVRNDVP KRRSV LY89DRAFT_691039 MRGQTFFSYALVALATTAYAAPFVAGTDDSLEKRDAYTPANKLA KIGTVNVGREYFYRRQDDAAADGEAAAAGASSVTSIKASATSKAAGSLGAGAGATSVK KSTSKAAGGASAKTSAPKAGATSKAAGSMAGGAGATSVKASTSKAAGKPTASKSGAAA KGTTPADLPGCPAPNGAGAAAGTGKAPKASKAPGGAAGNDTAKATGKKDARANILAGT APATTKKPGSTATPPKPRDSKAPQGCDPTKPQVAGPDAGKPAKPMAS LY89DRAFT_691040 MTSTPPPKLTTTTSSSTPTLTPPSSHPQPRSPSSHTPQHSHHRG RRLRNFLLPDGREVHIALSPEEAEILREKLKVIRKDEGFDLVINGSPEHLDALRRAHS HHESRREDLRRRHGEDVFDEFESVRAELDALGSELHMLTDHAVSLDANFSKYGYSAHL RTYDTDSTPGSSASSIHDSESHEKKDWEAEKRNGRIMKLYKKPTVRQYFHKGLLWRAS GSTEVASFELFVDLLYVGILAINGDHASEDPTGNELLRFSITFIMSWKIWSDLALIIS WFETDDILQRLSVMFIMACLLGLTTNMLDAFNTTYSMLIAFYLAARLFMASYLIILAI TIPMIRGMMIVQVILALIPSAIWVGSIYIDMPNRLAAIWIAIVFDLCAALGVVIVIRG AKLVSKRLAAWVDKVFEFYPAVNIEHKTERTNAFVTLVFGYSVVAIIYQNAASFGLNA FFGKAALGLVQAFCFNWLYFELDGADLYQHAIRRNVVSAMIWGTAHLPFVMSFVLGAS ALSKLVLATDCRDANIDALLSTYTSKSEPEIPIGLRWFYCVGLGLALFCMGIISISHI HKDAEGVRISKKHRMLNRFAVCVIFFALPTAKGLNSLQLVSVTTGLVVWVLLWELWGM SCPDESFFGEKKPCRYTARCKISKKDLESAVKGGHVVNVSSLSDTGEKGTYNHW LY89DRAFT_691041 MASLGEDLLGIVNKLQDLVFNTIGNDSLDLPQIVVVGSQSSGKS SVLENIVGRDFLPRGSGIVTRRPLILQLINIPTDDDDSPEAHTAAAVATQPEWAEFHH IPNRRFTEFQDVKREIENETARIAGNNKGINRQPINLKIYSPHVLSLTLVDLPGLTKV PIGDQPTDIEKQTRNLISEYIAKPNSIILAVSPANVDIVNSEALKLARHVDPLGRRTI GVLTKIDLMDHGTNALDILSGRVYPLKLGFIGVVNRSQQDIQGNKSLAEALKSESDFF KHHPAYRNMANRCGTQFLARSLNTTLMAHIRERLPDIKARLNTLMGQTQQELASYGDM HFSGKEHRGSLVLQLMTRFASSFISSIDGTSTEISTKELCGGARIYYIFNSVFGNSLE TIDPTTNLSALDIRTAIRNSTGPRPSLFVPELAFDLLVKPQIKLLEIPSQRCVELVYE ELIKICHTCGSTELTRFPRLQAKLIEVVSDLLRERLGPASSYVESLISIQRAYINTNH PNFLGAAAAMSNVVSNKQDKERKRLLQEERERRDRRRQKELGGANGADTPENEEEEVE KVENLSHRKALHKNPRSMSPAVRENGNSITSTMNGIRAGSPPRFNGQAMGGARDSFLN YFFGKDGAPGGTGASSAQNPNLGRHVSQSMEPSFSQSIRRQEERVVHRTPAQQAREDD YELGRAQREYDYNSPFGNNTEPALTDREAMETELIRALISSYFNIVRESIADQVPKAV MHLLVNHSKDVVQNRLVSELYREDLFEELLYEDDAVKKEREKCEKLLKTYREAAKIVS EVGI LY89DRAFT_335249 MSSGGPRSSVSAKIGFFCGRVEESFYQGSSWLLTLCVAMILACS LATKERHTRLPSDF LY89DRAFT_677014 MQLFSSLALFLFLSSTCTSLTTYADQKPIGETEDAFDTIRKALK KASIIDEVIDDFQPKCFVAPYYGSKQKPVAFGNRFKQSDTKWKPTLKTFCPNMTSTPG LTVALTDPDAPSRKNPKWGEMCHWITIIYATAQDAASGPQGLEFESDFTSPADVVDYK PPGPPAKTGYHRYVFLVLEGDVTNLTAPEDRQHWGTGKKGHGVRDWAEKEGLKVIGAN YFIEKNKKQ LY89DRAFT_599696 MGKSEEAGVVVDSPSDDVDLSAKEVFGNEARLATEIEHSMTFFE GVRLYPAAVGWSAFFSLGIIMTAFDPQLLGSLYAESAFQKDFGYLFEGSYIISAPWQT ALGMGNPIGQVVGAVLVGYPMEWWGRRKTFAACVSLTACFVFMQFFTRSIVVLLVGEL IGGLILGTYTTIAPTYASEVCPMAFRGHLTAYINLCFVTGQLLASGVIAGTSKLNNHW AYSAPFALQWLWPIIILAGMPFAPESPWWLIRQGRLEEAEKALIRLSSPSIDNKLTLA MMIETDKLELEMQTGTTYWDCFNKANWRRTEISIGVYATQVFSGIYLVGFAALFFNLI GLDNDKSFDMSVGFLAVGWVSTVASWVAISKWGRRRIYNIGLLVMTILMFIIGFLDLA PDYAQHKSIVWAQCILLIIWNGVFDISIGPVAYVLFCEVSATKVRTKTIAVATAAQAM VGIIMTVAIPYMIDPDSANWRGKIGFFFGGLSVLCLIWAYFRIPETKGRTYEELDLMF ERKVKTRAFKHYKIK LY89DRAFT_599572 MGFSSWNQFGDQISEQLFRDTIDTMASNGLRDAGFIYINLDDGW QHYKGNRSQNPLEPDPVKFPSGMKALADYAHSKGFKLGIYSGPGDSTCAGYTGSLGHE FEDAAMFASWGIDHLKYDSCCSEGPDAPIPEVQAIVLKMSEALLAQSRPMVYHACHCG WADIWEWAASEGANQWRIGQDISDDFNYPGNREKYYFDVLDMLDVGNNITQYSGPGHW NDYDMLIVGLDGNSTQLVGTGASNIEYRTHFSMWCMVASPLLIGSDVRTLSDYDLETL TNSEVIEVSQDPLGQAAQVVGTQDVDGNLQVYAKAMADGSYAVALLNRGTVTADMSIS ARRDLTVPWDRYRLRDLWKHKDHGCYDIPYTIEVMPHEAKILRMWQVDLNATACQYFQ LY89DRAFT_788976 MTSSQEQFSASLISQEVQATLPDSCTLRPLKRSDFHRGHLDVLR GLTHVGDISEAAWTERFDWMKSCHGTYYTVVIVDESREESKSIVGTGTLLVEKKFLYE LGTQGHIEDIAISSDMQGKKFGVKLIKALDHIGKEVGCYKSILDCSPKNAPFYEKCDY EKAGQEMHHYYDPKAQEYSV LY89DRAFT_691046 MTGPATPGQEGPTFAPPALPAGWIAQWDAHSKKYYFVQLSTGES QWETPTHAAPTGPTPQATPQGIDHPYGTPGGVEQNQYADQDIVNNADGTQSIRRADGT LEPYTADRSLTGNIGQFAMNQLLSGGKKQNNQSSGLAGLAGQFLGGGSSHNQSSGQSS SSGGSGLAGALMGSLLGSGKKQDNQQTNYSGAQNTQHSSSGFMGNLGGMFGGHSQSSS SQGNNFGYSSHGGSQGGYSGSAPPTSYQPSGQSTYNPQQQHNQPQGQSSYSQTQPSAP SYGQQQSYGASAPQQSYGSSPNPQQQHYGASQNPPAQQGYGASPNPQTGYGAPPGGQP SYASPPSQPSYGPPPTSQQPSYGAPQGPQQPPYQAQQQPPFGGIQHQDTYGRPPHDPQ YPPPPNYGGQPPQNSGNLPPPQHQHFQGLHNAEHSGGLYGNSPSAPPVPLGSHPGQNP QQGGYNAPPGGYGGQQRW LY89DRAFT_711636 MLQLFLFFILHAQWVLGNTEKIIFLAPSNLEVPVEHPTLEDLQL EALSPQHWSLRTHIGAEFPTTASKYGQPSWYLLHNLQEGQRYEVRICWAATQPTSFRL ETYDLSTVFETPDLITSLAQFSESRQPDLLDAESQKPSVARPEKKSKHTPKGASSTLL LQIFAAADYYTMNKTLMNDVPPVFVDIILDPYIYNVFPRSLLPTAVYIIILAIGGWHL AKYISVLVKGIGHGRSDPEKKKT LY89DRAFT_788979 MREVISINVGQAGCQIANSCWELYCLEHGIQPDGYLTEERKAAD PDQGFSTFFSETGQGKYVPRTIYCDLEPNVVDEVRTGTYRSLFHPELMITGKEDASNN YARGHYTVGKELIDQVLDKVRRVADNCSGLQGFLVFHSFGGGTGSGFGALLMERLSVD FGKKVKLEFCVYPAPQTATSVVEPYNSILTTHTTLEHSDCSFMVDNEAIYDICRRNLG LERPNYENLNRLIAQVVSSITASLRFDGSLNVDLNEFQTNLVPYPRIHFPLVAYAPVV SAAKASHEANSVQEISMSCFEPNSQMVKCDPRNGKYMATCLLYRGDVVPKDVHAAVAT LKTKRTIQFVDWCPTGFKIGICFEPPQMVPNGDLAKVNRAVCMLSNTTAIAEAWSALS HKFDLMYSKRAFVHWYVGEGMEEGEFSEAREDLAALERDYEEVATDSLDDAEGAEAEY LY89DRAFT_599682 APNLNLTPEEKRLFGQLFRQADSDSVGVVTGEVAVKFFEKTRLE PRVLGEIWQIADKENRGFLTPTGFGVVLRLIAHAQAGRDPTPELALKPGPLPKFDGIS GSAPGMSPTIQPPPGPPPSALQPQASGSGPIRVPPLTPEKAGQYAALFEKSGASNGVL PGEQARSIFERSALPNEILGRIWNLADTEQKGSLQVNEFVIAMHLLASFKVGALRALP NVLPAGLYEAAARRPPSRQSSGAGAMSAIPRQFSGAPRTGSPLSRPAFAPPPQQFPQA SGSAGDWAITPADKAKFDNIYNGLDRTNRGFITGDEAVPFFSESKLPEEALASIWDLA DINSAGHLTRDEFAVAMYLIRQQRGKRDGRESLPATLPPNLIPPSMRNQVRPAPLPTA PAFDAPQTMPKSAAEDLFGLDALSSSPGPAPVQDPQSTGGSGSFGINRQVTGDPFGAP LTPTSPAQLSPQHSTLFKPFLPTSSFGQSITHQGTGGSNSSGPSLQRSFQPQSSSATE DLLGDNDPEISKRLTNETTELANLSNQVGNLSKQMQDVQGQRASSQNELTQASTQKRE FETRLAQLRSLYEQEVKDVKSLEERLAASRAETKKLQTDIAMLEGTYGDLQNQHRQIV IALQADQQENANLKERMRVVNAEITQMKPTLEKLRSDARQQKGLVAINKKQLATNEGE RDKLKAEAEDLNKSIKEDTETLAAAAAAAKVQSPPPAERSAVVSPAPSTMSANNPFFR RHPSSGDIPTSPFSASPAVNDRSFENVFGPSFAAATEPPPATSFKSEALPVRQQTTGG SVFPTPSPPNQTSREITSTSELPPPPPESRQINSSFLPFPSHEDSVTSSRQVSAPNSR SGEDTGADTPTNYMGMTPTGSSAAGPTETARAVSPSVDRKSNASPAGLEPPQDAIPGA FPGDSHSNIVATPTGGSTLSEQAAADPFALNKAPERTGTAKDDFDAAFAGFGNTAKAQ ERSNTGNSSASGSANVAGFNKEFPPIAELENDDESDSNDEGGGFDDDFAPASPGHGRK PSGDKAVTATQPTSTLNDTLAVRPTINTQASAQSMGSNPPPASAQTSPPAYNKAVSPA DQAQSQAQQYIGLLPSREVPTPTSPEQNTSTATSSTPFGVPQVSSQPVPPAKIPFDDD FDDFDDLEDAKEGSADDDFANISNHERGGLDDFNPMFDSPPASKGQEHSTQSNGFGTS NAFGDFTSSPSTTQPPLATQAVNDSHDWDAIFAGLDEPVPAPAEAPKTEPAPTMNNGT SAATAERPQIGRALTEAGVHDDPILKNLTGMGYPRNDALAALEKYDYSLERAANYLAS QS LY89DRAFT_764848 MHHHIILLLTLTTLSLSLPHSLPTHHTQTSKLTFRRSSIHFPSS FRSLLPSSSHPQKQKKDEQAGTTATTLTKPFSFTIWNILHHPESTVDGTSVGEPGEGQ PTLAELSIFVGGDVHGEKMVKTKKRGIWGFLKGREEVVERKKITGKGMFKRKLKGEGD DTKYREKNPHSDGHKHGHKVSDTQAVAAPTAPLRVSMEHFTSFFWNGEGGGGGN LY89DRAFT_788981 MISQLTSLSPTSILLLPLLYIFYLFLFNYILDPLRSVPGPYLAR FTRWWYFCKLYEGDFERTNVELHKEYGAVVRIAPGEYSLDDVEGARIVYGLGKGFSKA PWYSAWMPPDPSKASLFSDQNAHRHSMQRRKFSSFYSMSALITYEPFVNNCSALFTSR LHSLALTSQVIDLQHWLQCYAFDVIGEITFGSRFGFLDLGEDKEGVFKAIDDRGSYST FVGVFPWIHQYLYSRLPMTGGHGYVYGYTLRQIENRQKELKNPESKNREGPPDIMTKI LLAHEADPEKMTKMDLITMCQSNIGAGSDTTAITLSAILYNLLKHPRTWEKLRTEIDD AEKEGQISDPVTFKEAQSLPYLQAVIKEALRIHSATGLTMPRIVPAEGTTLAGHFFPG GSTIGINAWVAHRNPSIFGADAEEWRPERWLEFEEQGRGAEVEKYSLGFGMGSRTCIG KNISLLEIGKLIPQLVRRFDFQLVGCGDGEELRSLNRWFVKQQGFRGRVFLRGGKR LY89DRAFT_691049 MRDANKADAVHVDRISYEREKFDGRPEAVDLNLNLEGRIQNPLH GISPATLLRDVEDFAQRRGLVDVLPMLRKGALVARDPENYEDIEGEEALGEEEVEALR DEVLHKWRQPLSLYLTIISCSVGAAVQGWDQTGSNGANLTFPEAFGIASTSTRDSFLV GLINAAPYVGSAFLGCWLSDPLNKYLGRRGAIFVSGNFCLWSVLGSAFTKTWPQLLVC RILLGIGMGAKASTIPIYAAENSPASIRGALVMSWQMWTAFGIFLGFSANLIVAKVPS ISWRLQLGSAFIPAVPLVLFVYLCPESPRWYMKKGLYQDAYKSLLRLRNTPVQAARDL YYIHAQLELEAEIIGQHTYMKRFIELFSIPRLRRATLAAFTVMMAQQMCGINVIAFYS SNVFVRAGTSQFQALWASFGFGLTNFIFAWPAIWTIDTYGRRSLLLFTFPNMAWSLLA VALCSLIPPSNKEVHVGLMAFFIYVFGAFYSPGEGPVPFTYSAEVFPLSHREVGMGWA VATNLFWAAVLGITLPMMLDAMGIVGAFSFYAGLNVLAFCMIFLWVPETKQRTLEELD YVFAVPTRKHMHYQITKALPWWIKRYILMRKGPTLEPLYHFDWPTGQARIDQICEIDR ARARLRGIELLPGKQTLSQTSASHARMNF LY89DRAFT_711641 MLIFPKANRRNANNSQVGSRMGLWWSPSYSFNIPPSKTPRRLPA NIPLRTLSTQASNSDSAALLGSNEKQGRRSSLSRSSSPGSEFSWDDGDLGLQIDAEDP LHSKLRASLEEQVFGGSSRRTPRQKQVRYQEDPEDDTEEEKHNRGVVKEDIRIPEPAP RYISRVEHILAAIMSGGERQMHGLTGKPLVYFTSVFVSLGVFLFGYDQGVMSGIITGP FFKDYFNQPTRAEVGTMVAILEIGAFCSSLVVGRVGDIIGRRRTIFYGSCIFFTGGAL QSFANGMPMMLLGRIIAGVGVGMLSTIVPVYQSEISPPHNRGKLACIEFTGNITGYAT SVWVDYFCSYINSNYSWRIPLLMQCVMGALLGFGSLVIVESPRWLLDNDHDEEGIVVI ANLYGGGDIHNAKARDEYREIKMDVLLQRQEGEKSYRDMFRRYRTRVFIAMSAQAFAQ LNGINVISYYAPYVFESAGWVGRSAILMTGINAITYLMSSVPPWYLVDRWGRRFILLS GAVAMVISLSLISYFLYLDVKITPTLVVIFVMIYNAAFGYSWGPIPWLYPPEILPLSI RSKGASLSTATNWAFNWLVGEMTPVLQEWITWRLYLVHAFFCATSFIVVYFIYPETAG VRLEDMDTLFGDATTAMPTPATRAETGSLMGGSPVPSMDLRRGIVGQMNGGLGPSNAI PGLDIDPPHVNIKNGKPQYGDEDSTSEGVGGWISRMVNKNKGEEGSIKSGKYKPLDQD EDEDGNV LY89DRAFT_335397 MGSTQFGNFHNFCRDSTLPICNIFPGFSYNNSAGFAGGCELTGI PLSHGRHLGNLGSILLCGIAIVVTAFLLWRSEQKQAAVGRREMQVFLVGYILIEICEI FTVGKFPLNNTVRIAFTGMHLGFIVATLWILMLNAVVGYQLLDDGTPVSVGLMVGSAV ALFTGTGYITLDTGYNWTGHFHDSLSGNNRNIPLYVLYQLAPLVFLFAFFVLETALVI RVLGERKPMIYLAAAALLFAIGQIFNYVVSVHICHGTSGKIDGALFETLFTLLAVVMV WVFWSSITEDDWPMPINNTYS LY89DRAFT_725078 MDRPAQDIPGYYYDREKRKYFKVQGSTAPADTAYSAEDVKRRKT RDRRREIQAKNEKRQMGRIQRFANLEDTLSGFVMKREYGYGPGLDCPRSILAAGLVAQ GFYTPFPLDIEPYFAVSPHLDREKDLVFTVHSAHDDWVISSLASFSPKNNVFNKYAGN RTANWNFKFGSPGATTSLSSHDTTGFHASTWLSGSSEVGIGLWRGLSDHPTISLGTGN VRGNAEVYTSTAAPPESSLLFAFGTNKGILMLDKERQDLHWLKDKNSHVAHTSEMQLY SAVCFLTAAGSGQNPNTLLGGGHCGQAYRIDLRDPKFNSEATVITHPNAIIHIRQVDE HRIIIPGIPNQMRQYDLRYLKLANERPHSSKRTTLVTAPYVEYPDYKLLAGISGFDVD TESGLVAAAQWGNYDTPYVQLFSLKSGNKIPFPAFKNKSSKKKRKGERMYPGTAPKCI RFVKDREGSMKSLYVSRDSGTILRFAWEAEKDDDEE LY89DRAFT_659119 MVYTLTVHLYANEKPDSIDRIKAKLIEAARVYRKDKETIDWLVM QDVHDPRAFTIVERFENESSQKYHLENPYWKTFDPYVIPLLDKKMDLRRHEELDTSKD VAVPV LY89DRAFT_335824 MTGEAHARPFEVIDLTSDNESQNGDTRARRAAQNSRSVKDSQYA NIPSSNHGLPEEYKWKRPSSHKNSSRTNLSDYKWHESPKIFDAMLNKSHNVSNKALHR KSSDMDSGGAAPMPNYPPILPGATIESRNFGQNNKCGHQSLPSGLFAARPE LY89DRAFT_599690 MATNAIEDSLVRDYQWVHQSGDATTITWTGETTFVSGALAHYDV HNMQYNRHGNLVVGSTANKVVKMIDGHRALRPIVTAAENKENSLPAMRATQDEWRYAP VVSSAYCDVNGYTFTASFDKTVKIWRVLEKGPSMQLKGIWLHDFGVNFVLTSKHHDMV ATASEAHNDAVRVYKFDETDVSRSAYDVYNADRALTRPGDLRMADKWAYHPATMQWGR SDKVSNLLLVGYSPRGTSNDEAEIPESKRNSGELCCWNAKTGQQVLINAGKSANVFEV IWHPSQPIFLVATAPSGTFDSRKTRTQVRLFQLVGSDIESFQCVKTLDCPASDVNELT MMPTSLHDGYVTASCTDGKTYVWDTMHDDRLLHVLPHGDSIDNPDHEIPLEQADTGVK FAAWGRSSNRLYTGSSDGVVKAWDITRPTADALVRDVLRAASGISCGIFSADFSKLLI GDGAGHLHLIQAADDESDDRDPLFLPLTRRKFMVPHPDPFSDREILENREEYRRYMEQ GILIKLPMDVPGWGAHYRVGKGPNYDLCGLYRSDAHEDGDNTKPLLPKIGNTTNWDGV TKVRPQVGNFPVLLPAESSRKRQHNRLEDLDLDVNKLLASTKSKDLKQDLAISAEYML NFEEEGRDYVLDK LY89DRAFT_335842 MSSAVAELEAGLQAMLLLKPPGVSGSRIQSITTLCNANIQSESV LIQKLYTHFKKAPATHKLGVLYVVDSVTRKWTEQAKSAGQPINSSAQDGTYAAGVHKV KELLPALMNDIIQSAPGDQKEKIKKLVEIWEKGQTFPFQMLSTFKEKLNAQNVSTTPP GSPPADLQRSLGLQPTTTPNPAPVRNTSSILEALANMARQNTAAAPPANPPSQVPGSS YNVSNAQSNPVAQQVAGLTQSLPFPPIPPPVNVPSATFASQPQGTSNGVQNFASNQAN PFATPAPIIPPPTAALDPAVQSQLMLIKALADQGYGPDKIGAIITAMGNQGLPLVGAG GIPPPPPPFAAQNQNQNAQNGFWGARPEESRDRNSFNEAVRSPPGRFRRRSRSRSPVR AWGARDSPNSRRHEEGFEYGRDSPGRNRGDDRGRGRGGRVNGYRERSPPRRGNSPSPP RTNGGGSKWVDHDPSIGKGNIKVLSRTLFVGGVTMPESELRNIFSTFGQVQTCIVNKD KRHAFVKMISRADAVRAKDEMEKNRAPDSQLRTRWGVGFGPRDCSDYQTGVSIIPISK LTDADRKWMLTAEYGGSGGKPIEPGLVVEEPDIEIGQGVSSKAISRRMQTDKGGNNGP KSTRDRDEEERQERQHRRGDRDDRRDNHRDDDRRDRPSAVSAPPSMPPVPPFGMPGFP FPMNFTNGMPMLPPGFVFPGQSQTSPAQPPPPGHRS LY89DRAFT_711644 MASALRLGSSALRSSLTAPAFNARTAAFNGLRCYSSSKTQTLKE RFAEQLPEKIEQIKKLRKDYGSKVIGEVTLDQVYGGARGIKSLVWEGSVLDSEEGIRF RGKTIPECQELLPKAPGGQEPLPEGLFWLLLTGEVPSEQQVRDLSADWAARSDIPKFV EELIDRCPSDLHPMAQFSIAVNALEHESAFAKAYAKGMKKTEYWGHTYEDAMDLIAKL PTIAARIYQNVFKGGKVAPVQKDKDYSFNFANQLGFGNNNDFIELMRLYLTIHTDHEG GNVSAHTTHLVGSALSSPMLSLAAGLNGLAGPLHGLANQEVLNWLTKMKASIGDDLSD EAIKNYLWTTLKAGQVVPGYGHAVLRKTDPRYMAQREFAEKHLPDDPMYKLVSQVYKI APGVLTEHGKTKNPYPNVDAHSGVLLQYYGLTEANYYTVLFGVSRAIGVMPQLIIDRA VGAPIERPKSFSTEKWAEIVGAKL LY89DRAFT_691060 MFASGGLYVRSYPVSVLSVLSYSAVQVVDAAHSFQRGCCWSSKQ IPLCLLRSSLDLGCPSVLLSSIVGLAWAFNASG LY89DRAFT_741985 MSVAVAEPPKLEKKPVKFSNLLLGAGLNMFEVTTLGQPLEVVKT TMAANRGDSFAAALTRVWGRGGVLGFYQGLIPWAWIEASTKGAVLLFVASEAEYYART FGAGDFTAGVTGGVTGGLAQAYATMGFCTCMKTVEITKSKLAAQGVKPPGTFATFMDI YRKEGIKGINKGVNAVAIRQMTNWGSRFGLSRLAEQGIRKVTGKEDGAGLAAWEKILA SALGGGLTAWNQPIEVIRVEMQSKTEDPNRPKKMTVGNTAKYIYQQNGLKGLYRGVVP RIGLGVWQTICMVAMGDMAKTYVEKLTGEKVTAKH LY89DRAFT_336049 MLHTTYHKGQQQTGKFKDNIRFLPAPIGDLLLDYLVAVIPLRQV FLRQSAPHAVISPYLWWRNGRVWVDNRLT LY89DRAFT_764883 MSEGFIYRPEWRKPHCLRGAPLKALVELFESYDLLPPEQVAVLT QAVDGLRLLDGFHPIWRPCKLQTFFAETRLVRYFVVNGAIGAAAAGTNDLDSGEADFF KQLDKDAAIVEEDAKAKANIVHGFNSHRSAVVPWLRRIGIEEHTRGLKKDEMHASFAV PKNADDEPELFLMLELALSRFHTAAVGRARGFDPKKEPNTRKTNFGYWKQFLTYCYRV AYCGGHFTTADDDQRTPESSIQLTGAQEKAWEAAFQSAVEQDRPGLRDVILVLSMALI CHEFGGNRYSSPLLSFCAMLSVKPYTKTWKEPGNYNSCLSGVIWVVQLIIFHASACLE KAELGDTLERIKQYCGCLLSRRRSLGRTKHSGMWTRRS LY89DRAFT_741990 MADAGPNGIHRGRRDEAEFTEEAATYKQAHQEAVWNYENAELVN DEGQFINERGEQFFTEIEVPLEPELLLPKKPRMYITLHDLVAKDVNEARAFSDEFRVY TYSGDVRGSKSADEDRIVGKLTRNHAFFKGANVDQKLFITSLSTFDARHGPGALKRWR MQTQGMTEEEA LY89DRAFT_741991 MSRLTSPAGTTTKTQFSSSARGETFGQRFGSESPESALDKDSEP SGDDSENDSEDDSEGDDDLRESDVWSDELDRMKANMNRANPFDVSTKCGQYATIHVVL EHFKDNVGLHKLTKGPSVDPEADDEAIAELSRGWQAEVDAIVRKLPVLTLTDSTPAQQ ELNQQLESLRNTSSTSQ LY89DRAFT_677038 MWSHIKAQKRQGKARPMDRWELKYGLGKALESIPDSSSFAIFKS LQDAPNPGLVIERLGSIGLPLSVRDEEAIIYLASGTNVFENPASAYQLQNPAWTELLN TILPEIKTGLRLDPQCIIEAELSKLTLYLEGSASEPNKALKGPNVFGTLRIALPTHHE GGEIQVTHGSQIKNFKVSEDSAFGCSHMTWYSTAIEQMKPLTAGRRLVLTNMPQSLAH VLMHDYGDGAISSNVLEREDVDIVTYLRDACARTGTRLYLGQVEHNVVGHSVPSDHEE EYNSESEEMVSEEYHIIEDKIESDSTIKAVFDTSGATIAHDVGYDEEDFILSDPLGDY EPDEEVDYGEDCIVKHTYRRLILILLPNDQRTAFFFQNDKTLTAGDQLNWFSILTKEH KTGKPAIALQDLEALCTQALAMETLLISRSQASSFGSTMLRMIITNSSSGCDVTTRGA APQLMLFNGLSSPYHLSRSLLLRCLRKLSVIRKTLYLQTTNLLLGESVDRTNYLKMSG TSHWFDEGW LY89DRAFT_629916 MSLVFSETLQSITTTKLNELSKKRKAFEDQKTFHLSIAGKESNH LERVRLLADGVKKCFSVRNVTRRTDGRNSPIRIVDGVPHLEVLLKNLEFFLEQAKYDP SISTTLLSDWEKSLVKTLKVRSLKYEYATLYGKLVTEWLDAEKSSDIPDDMSMLSEDF EKVERTAKEESRAEWEKTVFEPFETDQIAISEFLRNLFGEDGNKKLALTALNALRRSV ATFETSLRAPGQFNDGVLRWTINGLLASGLMSDEKRGVLKEFLASPVILSEIADVLNM RLASISTWDWEQEVPIEQRRHVTGAYHIYIDEELLQAIFLQYIGIKFSVFFKEAFVKF ADSGAWTSLRQSVATDARKRREYFLGPQQKKPSVQSKRQGIYKSIFFMSQLPDSPQGL QGSSSAPGEEEAIYDLGSKRRQVSQFAQASANAQRQMQIQAMAQMQQQQQRQQSMVQS YAMAQQAPPPPQANSIAAPNPNWNYQNYNNEEYDLENDKPANVKQFLLHLLSAEVLMN TRLHGDFTCARSQFYSFSPTLPHSTILSVLSFFGLSDKWISFFHKFLGAPLKFVEDGD DSPTRKRKRGVPGAHALSAVCGEVILFCLDYSVNQQTHGAQLYRMHDDFWIWSSSHAT AVSAWSAITRFSDVMGVTLNPSKTGTVRIRYDKSADATIDDSLPKGDIRWGFLYLDPA TGRFKIDQEMVDKHVLELQRQLESKNKSIFSWVQAWNTYAGTFFSTNFGKPANSFGRE HVTDILTTMNRVQKQLFKDTKVAAYLKATLKKRFDIDDIPDGFLYFPAALGGLELQNP FIPLLQVKSSVLSQPSSLLDRFLDSEKEAYRKAKERFEKGQVYRQTTSDPSYYPADYQ TFISFEEFTSHREEFYSGYSGDLLSCFTEFLKYPEAETVDSENVDWDALRDAMAPANG EVDDYLKWVAQLYGKDMREKFGGLQIVEKGLLPMGMVGLFRSGRVKWQG LY89DRAFT_600144 MRWDDPSDAALSPTSDFFPEFKVEPVSPSMSGLELQGSGIGNIN IEDSAVFGEDPITEEPLFQTPNALITPPLADIPRENLYSTPLSWTRPSAGRRSESFAL SPQEESKLRNIAMPAQQYPASPNSSASSPEPCENSRKRKSSVEDDDEEDSPPPTRGHP VKKTAHNMIEKRYRTNLNDKIAALRDSVPSLRVMSKKNSRGEEIQEDLQGLTPAHKLN KATVLSKATEYIAHLEKRNKFLMKENANLKSRVDAFEILVMSRQQPGGGMKQQRTPSM ARQQQQQQQQLPRYSMDFGPI LY89DRAFT_336496 MDARTWEFRDRSFGGFFLASVLLYLYSRVFEVVWNFRCTLLSSL HLCGPVCCCLFEALSSWGKGICSSRQMMQSCIKSKFPSRGSRGHLHFSITLVRLFPSA PLS LY89DRAFT_336286 MEVAGAANPKRRRGLGAVTQNACTECRKKRAKCDGQNPCGRCET QGVSCVYEIPIRQSKENMRSEIDSLRAQQYQTEQIIAALVSNHTSELVLQQLKDGKTQ EEIYRKLGLDKSGPAIFGEKSTTFENLSGQQAVESVMRAARSSASSALSQSSFGDSNL HQHTQQEGAGWQTWGAGGSSTFSEATIKDEDMMVWDSDMMQDIQDVQYPLVGTWHHQS PTSTPDSATRLARGEGQATILGNSFGTEESQDHPRHNQSWTTVTNDAAFVEHLMALYF CWEYPTFASLSKEHFLDDFERGSQKHCSSLLVNAVLALGCRFSNQPNARTNPNDSNTA GDHFFAEATRILEAEDDRHILTTVQALGLMSIREASCGRSSQSLYLSGQSIRLAVEMG LHKDVQVGEGEEAEVEHAVRSATFWGAFSLDQAWSLSIGRLPHFSRDLKLVTKPALVE HVESSSWVPYTDDGAPLEKPCTQPSNVRSVFKTFCELSEIVHNSLYTLYAPGASVTSK SLMESYTSYLRWYEAIPTTLRLGHNFTPAVLFAHMYYHCAILLLFRPFIKLDITGSSV SPRDMCMQAADAITTLVNSYSKLYTLRRTPSFVPYFVLASSIAHLIGYGNSRAGPERL RQGIADLKEMAGCHGFANRALEVLHFLIRHWKIGDVLEDGGEDEKTICQPKSTSLNLF CPNISSFDMVTGIGPVVDGANPLFWPFPLQGRPLLEIGLDLKKSGFTVLD LY89DRAFT_600051 MEGEERPTLEELSALPENALVQLPLSRRGRGPGLLIFLPQDYTP QKKEKTTKSLDPEPLQKWAEEGFAVVQLTIGQDDDPQETYLNTMDAVRFLLRLPECAE FEKVGVVVYGLNPSFDQSPVADVWRHLWNIRMIAAIIAFTDDDVGACQPNRIRNHPLL VHSTKEFMPSRESEVVYFYETAKVTNFVLPGHENYMPGHAGVAHTRSLGFLKQNLGGP YFDLDAIWEEHTLFEFGERNVEKTMSTMVNEPYVNHVPTMTGGIGRSALTSFYRDHFI FNNPDDTQLELISRTVGVDRVIDEFIFSCTHNKVIDWLVPGIPPTGKQIRVPFTSVVN IRGDRLYHEHISWDQATLLRQLGLLPEYLPFPYALPDGKKPGKGKRFEYRVPTAGAET AEKLVDESSVKSNEMFGFEIREVDDT LY89DRAFT_741997 MDHPDPELEAGRTPTVRIDNEKEREHEEKIQPNDHKPENADLLP RPRTLSATSEERKYIVDWEENDRDNPLNWPALKKWKNLWIVSSITLITPLASSMFAPG VPQLMEEFHSTNVELASFVVSVYILGFAIGPIKVYQCCNILFLACTIVCGESPNLGML LAFRFWAGCAGVAPLTIGAGSVGDLMRAEERGGAMAIFSLGTLIGPIIGPIAGGYISQ SIGWRWVFRILSCASPVVTVVTFFLDETYATTLLEAKAKKLRETHNDPAYKSKYASGL PKKVVWKRAIVRPLKMLFLSPIVSLLSLHLSLVYGLLYVLFTTFTAVFEGIYGFSTGS AGLTFLGLGVGTILGVVIIGGTADRIYMYYEKRNNGVGKPEFRLPAMVVTSLFCPIGL FWYGWSAQAHAYFLVPIIGTVFMAFGMMSVMLPVQNYLVDAYTRYAASAIAAATILRS ILGALLPLAGQPMYDKLGIGWGNSLLGFLALAMTPIPWVFWKYGERIRTRNPIDLD LY89DRAFT_764936 MDNFLERMRNIERQMELNQYREREQRRAAEYDSILAGRGRSHIR GDTSYKSEDDEQFQEFLRRHGAPSRERRSSPSQRLRSSFSTFPQFSGNEQNYYNSEDD DLPEEFRRRRSPPQPRRRSPSPEPRCAYRSCSPPPRQAYSPPPRRAHSSQPRHSSPPP RTAYAPPPPRVPSPPPRRGSPPPSHTRPRTSFREENPHGIYTYSDEEPEKIPIAPAAW NNYASSIGREKLISFFKSKGVPEALARSEVDKEFAAHARKHRAGES LY89DRAFT_691064 MAYAANVIRGDDPSTTKLYGERTAKTSCQYLLPHVTKSAKILDV GCGPGIITADLAKIASDGSTIGVDNSEGIIKQASTSFPDVPNLKYQVGDATNLKDFAD NSFDIVHAHQLLVHISNPVPVLKEFYRVCKPGGIIAVRESSPSVVLFLKPDLPGIRQY WDRAMAMMPKIGAQTQAGIDLEKWAKEAFGTDGEKLVYTKTPVWNAGHTERVTGPAAA HAIQYGMATQEEMDQWAEAWKEWDAAEDHEWVFEAGEILCRKGI LY89DRAFT_725093 MAVCHCDQDVKAPTSFFGLFRNTSSYDRLLLVCGFLSSGIAGSG VPLITIIIGSSTQLFVDSGSSVAQGDFLHQITRKALILVGIGAAVAAASFVSIWCWMV LGASITGRLRHDYFSNLLAQDMPYLETQGDGEIATKFVSNMVNVQEAISYKLNLALTA LSTLVSAILIAMARNWKFAFAISAIIPAILLPTMVMAVFLRQSSRRATNLVSEAHSDA EEALSSIQALQSRGAQSRFVTKYQHLLSKAGRHEGTKALFSAGIASHFVFVLYAGYCF AFWEGARLALSENLNIGKIITILYAVLYGTTALVKVIEYMKVYASAKSSLADIISVLD RPPLLQISKTPRWGNVSGEIQFDRVSFSYPTRPDIKVLDNFSLHIPLHQSLAIVGDSG SGKSTIFDLLQRFYDPNAGQILIDELNVSNIDIRFWRSQISIVFQDPALLSGTIFDNV ALGLHLTMYENAPYDLKRKLVIEACQIANISEFIERLPEKYETKIKGNDSHLSRGQRQ RIAIARAIIRNPKILLLDEATAALDSESERLVQSALTNACQGRTCITIAHRLSTITNA DSIIVMKAGRIVERGTHRQLMAQDGKYASMYRTQEVKEEKPVEQPAEVGKIGRQSAMG TILRKLTMRANRMSTRRVQNQEPEENVPSKAATWFSRIRMLARAVNALHGDKPAVVLA LILTVVSGLAYPAQAILFAKLFVEAEHLGSPGFQSRVNFLSLMFFIVGIVLFFSHFLS SVILQRSCDKMIRRVRVRAFAFILDMEADWFNTEERSTFRLLSWLTEDATYLRGLHAV SLAVYLQTFVILSSTVFLAIGLNWRYALPVILLLPLVLFGSFLRHKVLKDFHHSTISH HTLSNEIAYEALASVRTVAAYNQETEIVKMYNSTLTEAYEAAKKAATNLSFAFAGAAG MQLIVTAFALWYGGYLISKGQLSVFHYFACYTALTFGAQDAGLVGSRASGVSLSKQSF NFYDEMRTHRLAIAEKLAATAPKDKTMTSIDGEISFQHVGFQYPGQPTRHAALRDINF SIKPGQHVAFVGASGSGKSTIINLIERFYSQSSGQIKLDGQPIEHCPLEDYRQQVALV PQDSVVYNGTILENLLLGLDESKVTQADVEAACAAVGILTFIHSLPDGFAQACGKKGR ELSGGQKQRLAIAAALLRDPRIMILDEATSALDAASEQETLMALKNASGNRTTITIAH RLSTVVAADVLYVLHHGRIVETGTPEELLIRKGGHFASMVSGNQRLSG LY89DRAFT_789001 MATESFVNVLPNFKPFLSSAAHPRQLAASLKKLFIGWSQSHLTA YSEDEIVSLITKAKKHDAGIPNYPLPSIDRLYSEYSAIFDQVQEGLPSGSYDQLRLTH QAFAEAFSAITSQMPVEECTNWHTAIYEHRMLARRCALKETSMAVLLGHASKDQATTA GLALHALAVAYRSPALFSVIGTDGKGKNFEMMQVAHTLATCRIPGSNVDFLKTSPEST YALVWYQNHAYKVDILDSNFRPHSATTIIQQIRYIFLLTAKGRGQNSVCEYSSTLQRQ DWAQLRNRLRKTNSRAVEQMEGAITSVALHELCPQDSAKRLVLIREDQSCMYSDQTLG LSVFSDGELAMRFDHAAVDGGAACHFVGFMDYIMKRSSATRGTALVLSPRRIEFFDLE DSRQYVRLPARMPAQRSTTLSVHIDSKLLSSLRKSKLVDTILQLSFQAAILSHKNDGL PLRVMEPVAMRHFSGGRIIPQLVSTPTSHTFCKELIRSDASSDMSAQLLLQELFQHAL AEHRRILSDTKQGNTLANNALLLEQCVQLLPQSQQKAAAGMVLRESFTYDASFTGAPF APHVMSMESALRRDNFIAGCYVGHSDKLVISLIASGTHMAKIEELKMDLERLICEVID LKRGLA LY89DRAFT_659149 MHPFPSYTKEWHSSSYPSISPTRPELSLSGKTIVIAGGGAGIGL AISKSLAQAGVSKLAIIGRRAAVLSDAATSIHDLVGDKTQVLTIAADISEKMQIDEAF AEIGKNFGAIDILIPNAAYFTGVRPFGEESVEDWEKNLNVNVKGMYLVASAFLKHAAK NASIINISTAMIHLPPFGGFSSYSATKLAGTNIMDSIQKEHPNVHIVNVHPGRVRETE MAGRAVGPDHIDDADLAGDFVVWLASEEAKFLNGKFVWVNWDVDELKAKAKEIQDSAL LSIGLVGFSDFKY LY89DRAFT_691066 MEASSTLRVGRSEDDDWTKVKDGAERKKIQNRLAQRIHRKKYGR KKKSQLPNPRDESIDTVSSSTSQSTHSSGSAGTTDQTSSEIDITLLEEVGFSTLDETD NSPPDSSRGSSSSGGSSVTDPNVSRSLEISNSFPTPKTPSPLTDTNFLVLQTTHTITA MLFNASLLNIACSNVRGRSIFIPTTLATPSSLAPTPLQLTLPHWPYIDIIPLPALRNK LLQAHELIDPRDIWQDFINGEVKVWGSQSWDERGWEITEGFAVKWWFLMDEDVLGTTN FWRAARGEKGLDAKGLRNRIGQVVGVA LY89DRAFT_691067 MPSSSQQVDSGSAKIHKEYAEDVEASRTLSKDAAGIERVELTDE DNKRIRRKTDKVILVILVWVYFLQILDKSVLGYGSIFGLQKDTGLVGNQYSLVGSIAP IGQLAWQPFSSILIVKVPHRILMPALILGWGIAQAAMAGCHSYTGLLVTRFFLGVFEG GCLPLFSVITNQWYRRAEQPIRVAAWYGTNGIATIVASALSFGLGHIPSAHLYPWQII FLFVGLVTILTAPIVYWKLDNDIGSARFLTDHEKIQAVERLRANQTGIGSRQFEWTHV KEILIEPKSYLWVGMSLLLNVGASVTNTFGPLILSGLGFNKYITSLLNMPFGAVQFII IILASYAAQKVRLKAPILLVLVIPVIVGLAMLYAIPRSDTGALLGGYYLLAFLFGSNP LIVSWIVGNTAGTTKKSVIMSLYNAGSSAGNIIGPLLFNSADAPTYHPGLRAVLAIFV ALAVVVLLQLANLMVLNKMQSRKRVANGKAAIIHDASMENHYVEIDTEGVGRHAFDLT DRQNDEFVYIY LY89DRAFT_599883 MKRISRACLRCRQRKAKCNLGVLGQPGAPPCQSCARDNAECVLV NSRRGGKRVRKSASVGLNELVSTESQGLLSVAEQPSESYAAGFSRPERSFSPEEPITA ANIDETIVSTDLHATSEALNMLSQAAQLDSYATPSRSHVSERPSAASPGSHVRSRVDI ASQFDQMQYPLVARGLLTTDQVARLVARFKEFYHPYLPIAPRRSFDPDSLQWLAKHEP HLLTAIITVAARDLPQGDTILHTCSSYMSQLVSEIIAGKKCDVEAVEALLLLAEWEPQ DSFSEGKEVGCGEEDRAAWMHVGLALRIGYFLGLDRTAIKHEDEDKAAHFSRRRVTWA VCYISDRQLSARIGRAFWSRGPVALAGIGRHGRQTFDDFRPLLPETPDGTDYASVLQA NLDLTQLFSNVHDVLYSGMGSSMKMMLAGNYVKYVDDFRRAIEGWKSIWGTLTCPPNI KATLQMSYEYLRLYTNAYAFQATVTRAVAAKSQGHVATNESPHNGVPSLPDARFIYES VDAAKSLLSIITSYIDAENCLRYLPLRFSLYCVNSAVFLYKAWSFNVLSTQEKLGIRH MIKDVTLRLQKASVRPNDFTSRYSELLDRLWQRKDPLPTQNEPQNIAPQTSAGYVPAL GGGGGFAQQDEFSWLDLQAVGELVTGSGEQGFGGGGGGGGVEYGFGQFSVPMGGAEWG DFGGMGGEDINRFF LY89DRAFT_677052 MASRSFKPASYLASAAQAAPNRSPRPSFTRTSSASSTGSEDSLL ATLRSMKIVDKGGESSTAVFFSSIVAGLQLCPSLPKSVWSASWPASTQREWRYTTTTL RCTALHYPAATYASQRLGKGDWGGSGIHEDGCRSGYRADANFSYQIDNHSSSSSLSRQ LREETPGRKRSQPIAIELPHKEQVYTPLSARGDLPGGYFPNYEDVPRIYRSHPFGETK SKSESPITSASSSLMSSPASETTPRGGPGLMSPAFGPLSPSIPEPLVKPMGKYHPANY KSPANTQVSTPTSAPRLLPPTNLSMPTTNKRNTRDRPAHGHERKNSDIKRKLQQYQKD MIAQARSAASSSIAGTMRGERKEPNSPKLQPAGSPGPITPFELEDLDNEGYIDAGHRA RQALDRDRQNTMNTLQNFNS LY89DRAFT_691069 MGLVRGFEMTMGYIAHSVFRFIQLVLALTVCGLYGVDLHKANQE HKYTDGKWAYAEVVASLSAFTAVLYIIPFMSRVPFAFVWDTILFVLWIVLFGIFGNMY IHANAQGDSGIIRMKHAVWIDLTNALLWLISAVGMAWYYFKHRNNKTRWTGRATV LY89DRAFT_725101 MSEEGSVTSELQEESASEDATLKAIWDEQMKNVEQTGRSYRRTA VLMLSWAEDDNNLAFGNASELRELGKVFTNVLRYEVVQRQLFRDEHVEDGEMMQPTTP IQDRWTQIRNKATKRARRRLERTVGGDDEMASDSESESGGEETIESRVARIKARVAEL TGNMEPNVHNAFRQRTRRGILPGTQLTKHLLEFVEGYDSSSSLLIVYYSGHGYPSTSG DLHLAPNRPPISAESAIRNAAAWRESEHIIADTEGDILLIFDCCHAGLFHISNVKSRP RYPTRRFECLLACGRQETTNLPGNNSFTSALIWSMKAFGDANLKYTMLELLAKITKDA PHFPKGQIAPVLELGGEPCDERITLVSQPAAPEVAVIDRPLQNYMDLRFWFPSRPTEK QIANLANRLRGLMLDKSINTRRILYRRIGTEQQLSLDKLEYILSWANGSPGLLNADML SVTSLNTSTRDPETLRPYARLPSLLSLPLLEDEEIGIEYNEAEPERGVHMAEKGLQDS NELHTPAIADKLDDIASFKLFGNSLQTINALAENSNTRLALVGVVSMGLGVFVSWIF LY89DRAFT_629945 MVNLFNIATALAALSVLEPTLAHPGEAHDAAHVKRELAIRDHLA SHSKRALGSCEGSAQARALKDRAIARRAATATSLRQKRGLATNKPYRRDLAELEVYEE NSHQQNNTGYTLNTPESTIFGANTSCVLTPEDTVGPYYVYGELIRSNLTEVQEGVPMH LEMQFIDTSTCEPIQDLVADIWSCNATGVYSGIDPSQAAGEGGLNSTFLRGVQVTDTD GVVEFDTIFPGHYTGRATHEHVVTHINSTILPNGTYTGGTVNHIGQLFFDTALRAEVE ALYPYNTNTQAVVSNDDDAWAPSAASAEYDPFVEYVMLGDSLSDGLLVWISIGVNTTA NYTDLAYIASYWTEDGGEVNADDPFNTNMGSGSSNSSSNGTSSASGSASSS LY89DRAFT_336990 MAPSLTETVLEVADQINGKAASLSLGSETKTDTSAKDGAPAVQS PQHKEPLKLSGALDHFQSFDVTPVIGKEFVGVNLAKWLRAPNSDDLIRDLAITISQRG VVFFRKQDDITNDLQKELVQRLGELSGKPSTSKLHIHPVSNSGRELGGKDDEISVISS QQAKKFYQDRFKAQKKQSAKQAWHSDITFEPVPSDYALLRLTEIPSTGGDTLWASGYE VYDRISTPVQKFLETLTATYAQPVFNETAQKNGFKIYTEPRGAPENIGEKLEAIHPVI RTNPVTGWKSVFAVGHHVQSINGLAEGESKGLLEWFTSLVVENHDLQVRHRWQNVNDL AIWDNRSVHHAATPDYINLGLGERTGQRAVSLGERPYLDPESKSRREALGLQDAVAGL LY89DRAFT_742011 MSTALAKEIDLTARHAGTSVASSGNSAENLGDEKTKSEARVKET AVYDLAAPLGEPADEKRFWFQRSKKNYDPDAIATQPSVFDDPETAKEYQPPANWENIH RFDPSARWTWGEENKLVRKIDFRIMIWACIMFMALELDRSNLAQAVTDNMLKELHLST NDYNLGNTVFKLAFLCAELPSQLVSKWIGPDRWIPAQMVIWSFIAGGQFWLSGRTSFL ACRALLGIIQGGFIPDIILYLSYFYKHHELSIRLGFFWTAMSISDVLAAFFAFGLLHL RGVDGKSGWRWLFLIEALLTLVVGVAAFVLMPPSPTQTASTLRGKKGWFTEREETIII NRVIREDPQKSGMHNRQPITPKLLWKSMCDFDLWPLYIIGLLFQIPETTPSQYLTLTL KGLGFGTFKTNLLVIPSTVLHMITMMALTYGAEIWGELTITSAFGQIWALPFLIYIYL VDITKINKWKAFGIMSLLLSYPSAHPIQVAWNSRNANAVRSRTVSAALYNMAVQAGGI IASNIYRTDDAPRYKRGDRVLVSLCVTNIALYILTKIYYVWRNKSRDAKWNAMTEEQR VEYLATTTDEGNKRLDFRFAH LY89DRAFT_711660 MASEYQTKLFINNEYADAKSADTLSLTNPFDGSTIPAAVQIAGA EDIALAVAAAQSAFKTGPWSTFTGAQRAACMHKFADLIVENAKELADLDALCMGAPIA SNAGFIIPEAAAVFRYYAGWADKIEGESFAADDGTYKIVRHEPLGVCAGIAPWNAPIL YVGWKIAPAVAAGNTFIFKASEKSPLSALYLGKLVVEAGFPPGVIQFVSGAAQTGALL ASNMIISKISFTGSTFVGKIIQKLALESNMKRVTLELGGKSPAIVFADADIPNAVGSA ADGFLFNNGQVCVAGSRLLVQEDVAPAFIEAVKGRFEAINSSLGNDPRVSTTHYGPMA DEAHFKRVMSYIELGKQHAEPVVGGKQKGDKGYFIEPTLFVNPDKDGKVFNEEIFGPV LSVVTFKTEDEVIELANDTKTGLSATIYTSDLNRALRVSAKIESGNVSINAPHFPVHT VPFGGFKESGSGKELGKYGLREYLQTKTVLINMKLVPKL LY89DRAFT_711661 MTSNMKTPHVLIIGGGPGGLTLAQALRKQGASFQVFERDLNYDS RQGWAISLHWSLNELASQLPSDLGPFEETSVLKILNLQDGGSFYDSGGNKLITVGNGP KGHDDYSIRCNRSKLRAMMAQHVQIERNKKFVRYEETKDGVTAFFEDGSSASGDILVG ADGVHSRVRSQLLRDNPVDPTPSPYGIITGQMVITKEQYQKELENGPSFYSTHSSKSH IFVGLKNISDDLETANYYWMYFWGDKKAAELGKKYWTQAATCEELLKLTQLVRMATPD TIMYPPLQFYQWAPYQELPPGRVTLLGDAAHPMMPFRGEGANNALLDAVRLAGQIGKH FDSGVVDWVALAKLDYEKEMLPRGADAVLKSSFISDAPAEEFRRRQQEMIERLKLGSV TSSREVM LY89DRAFT_725107 MTSYLITGCSRGIGLELATQLASSSDVSTIFATARKESPALKDL IQKSNGRVVLIHLEATDSSSIKQAAVDVKKALGGNGLDVLINNAGIQDYTPKGPSTMS ADDMTNIFSTNVIAVHLITQAFLPLLEKGNLKKIVNVTSTLGSIGLAGTFLQAPTPAY KISKAALNMLTVQYAYQQADAGFTIFCISPGWLKTDLGGVDYADLEVGVGAKAVLDKV SAATKNDNGTFMNIHVKEYENAEGANIYDGKNPPW LY89DRAFT_337063 MPEPYDHIPRIPLPTTAQFNTTIDVFENGPNTLRGLAQPIAWLR DRLDPLHALEQAEEERVKDVEAGGEDMDKKWAEMSREFRRENRRMAIVPTTAGQAERA TSSKTTRREGRKEMPGRNKDMDDNDSDDGPVVDQSWDIMNRTFLHKNLGPDKQPPPGP RERIRISKPTPQNQTVGYLESTFEDKSRSREQEETRKPERKTAYPPAQKPDLAEARYL EKPHSRSQEKQKVLEANRKSFKAPALSQEKSVEPMATTHPKQKFSQAQGQSEPELSLA IPDSPPVKIRLHPAERERVARELKEALSTNQFKVWPLESSPYLEDAAKKPDESTEFRA PLSREEQAKKQQLMDQWMMKQERDIKGRRRFQGKGGYEDEVEKQVLKSTDWFQPTLAP YVGTGLKIPTREEVRDEYEAESEYEDAMEYLPRTSRYWNKPEVKGKTREGQDKESQSS KGEAKIQNMQVATGHRPKEKDRKDKPAIQDRRDVSKGRPQASKDENRKESQKTKKPTA VTSIASGESKSKAHEKQDISDMKSTKPPISHRAKS LY89DRAFT_600233 MVSLWPWKGEDNSPAGFEKTLSALASKITASQAHLDSLRSRGRR FKALWTLYTSLAYLISVVVLFLAVGWKNLGALEYTALASSPVVIYLVRAGITAYYDYR TDSITTRLEEQQAERTKTIEKLKAATKYDSTQVLLEKYGGAAPKAKKPATPKAPKAVP KQTGRVSIGAPPPTANIGRPEHIPSQPSTPQPIMRPPPPQIMPPPASSPATEFAPNAY SAPPQYAQSGDNAGGNWYDRILDLLMGEDETSPKNRVALICQDCRLVNGQAPPGTRTL AELGKWRCFGCGSMNGEEDEAAKVVKEMKERMDSQEEPASPEIVKVEHDSGKEASTDE SGEMVEAADSGEDTVEVKPRRGRPRGNK LY89DRAFT_677064 MARRTLLEATVSEADQLTLYGKVYVMRRDNSQTNQVQLPTSRQR IPSRGLYLLDPTLRFSGIVQLEAYKEDHKERLALVEPKDVRAKIVTVITQQLTATAVT HLTTAKEAKISPSAHLQGNAERTDDQLMRPLARKAIRHTVPRPYSPMLCLLLVCC LY89DRAFT_600225 MNRSPSSSSSQREDAAETTADEEDSEDYCKGGYHPVQVGEQFKE GKYTVVRKLGWGHFSTVWLSKDNVTQKHVALKVVRSAAHYTETAIDEIKLLNKIVAAK PDHPGRKHVVSLLDSFEHKGPNGTHVCMVFEVLGENLLGLIKRWNHRGIPMALVKQIT KQVLLGLDYLHRECGIIHTDLKPENVLIEIGDVEQIVKTYVKDEPKKENKDDNPNGRR RRRTLITGSQPLPSPLNASFNHAELLRYPGSSANSHTSLNQMMHEGNESPVKTKSAPP QDPVLMTGAIGGSPKSEKEDEEKHKQREKTADLLTREVSGISLDKSNDQKEPTGFEKI SVKIADLGNACWTTHHFTNDIQTRQYRSPEVILGSKWGASTDVWSMAAMVFELITGDY LFDPQSGTKYGKDDDHIAQIIELLGQFPKSLTMSGKWSQEIFNRKGELRNIHRLRHWA LPDVLKEKYHFKEDEARKVSEFLTPMLELVPDKRANAGGMAGAEWLEDTVGMKGVLIK GLEVGSKGEGIEGWSCEVKKR LY89DRAFT_677066 MPPEGCAGDQPLHKLFCDEVEEFLASNPQPQDSYQTTYKLGLVL HEMNTSAEVELELTWVRTELDIDHDTGYWSLLRNVSGWLKSAEAAIHARHDRKIEIWS STDKENLASNDNVRLLASNGMKFNMQDEADGGNFEGPIVVMAVSKYSDRVSEYRDFAA ADLRILLSCFLQDTFFYEIDDETDFTTTSKYILFDQPEWIQGVAIWNSGTPNMFHVNL QLVMINRNHPKVERYDHKSAISEHMDIPLLFLEYPKKPGDLRDYTNKTAYREDGQAVT LQQIEALSAYCQFVLSRALEPPEDWTWEPEDKKAVLDVWCSAEKFGKYFEIFKKGKLA EDNEASAEWADALPPPGMRSAGIVVEENLESPLPKERDDDTPEQRRRRVLKYAESRAK VAMSDPDIL LY89DRAFT_677067 MAPVKCGVCGRPTNLICKDCKSAAYCSKHCQVRDNALHERICEK MTVALNNLDDEHPPDEPDVTYKLGMRFDKDEPDKDMPQMIWVETVEESDTSKAISQYS DWIGGGDVRKDFFYTFHDRTIQLSTDSGKFPEENSGISQLIRGGRYSNLRNGAYPHRL RSSVVIMGVEQMTNDNRVAEYRDMTANDLRVALKCFLEDTYIFEVGGNNTENPFVALK QPEWCQAVKINNLAELHQQIPSSQFQTVLLNKKHAEIGTYEYKSVISEIIGIPLLLKR LPCTLKRKTTEEFEPNNAALSLLFGTDRIDPAKKPASGNSEYEEEDNLSFLVFREDQE NLKRQQVEAMCIYGRFQKVLRRSQAKKIDEGYRSWIDAKPLGLKKPVSTEWSGRGVKR ARESSPSVNKSSVEDEMGEDALKSDKEVAGAAGLLREHSLNMNTEEALNIDGDPEPEM WVPGSGHSR LY89DRAFT_677068 MAVSVGLTIGIAIATFILGLTIGVITCCTCQRRRSRKIGGLKPG ESIQRYAGRKVAFRFVEYVIQKQEEWYQDGEGTKKWFLEQIPKIDKNQKALTPKARLR LPTLGAGQDVEAGSGVVEHVHVDVWNDKS LY89DRAFT_599945 MVSTPTTNPELSKPSRPPESIQDAHKLPTADDFLSHFTAVTQIK GMTMSEAKSSCSWEVSEEVNFQYGNDSEWAVQDRADEELEFRRNQWHHFINNELLPYE SYKDRFNGRGIVIVAGNGKSLKRVRVILRQLKSLGSRLPIELHYWGDEFPTKAQKEMS TLWPSMYFNDLSSSSNILKSSNDNFFHINYQLKTVAVMNSRFAEPLLLDSDNIPIIDP ESLFDSDTYKEFGTLFWPDIARTRPNNPIWAITNTQCRMDEYEQESGQLIVDKRKFFY HLQLAAWFNNVHAQYYNEFLLGDKDMFRFAWHALKTKYGTPRKWVTSVGTVAPNGYYC GHSFAQHHPNGSVAFLHGGLLKTIPKAVMKWERESRGGIFQAYKRSVVDERHNLIEKV AISMDGVPYLPNRPEDLGIQWCTDLKDVHPRKLDELVPGFEKTFEDLGGYWMLDNDGT HT LY89DRAFT_725113 MASLKCAMCGGDTELICCICKSASYCSVSCQQKDAPLHKLLCDR FESFLASNPRPADTFKSTYKLAILFPETKKLLELIWIETRLTYKEEEDKWEYDCNFDQ YIEGGAVELDAEETVKWPLKIAYGPKIRQHNACLGFLIRGSGKLGSPGDDADQILSEY RGGIVTVGTLKTNAKKDHKERYRDITMNDLRHSLTFFCEWIYAHYSTDLSPFVVLDKP TWIKGVTIGSVYTMSLGCGKYVSELVQHDTKPFQNIKTFTSPILTHLGFPLSIITENS SLDWSLLEKDLRDDENSNEEGTMLLKGTNPSSDHSSWWNRTCLPGCNNSPTNFNIGTV TVYRNDHKDISEHHVEALVSYCKNVLQVAMKDESGVYDEHDKQAIIDTYITLAKFCEY FESFKKNKFSKETAYRRRAKPGEACKALELYQITVG LY89DRAFT_691080 MGKSYRQYSLKKKTFGKQRMQSRKYHYAPLDGNRTSIRLLRLLP TADDSEPLRCSLFHTTLETAPPYIALSYVWGEKTGSQFISVDSDHILITPNLKHALER LRPEKELILWIDAICIDQENIPERNFQTANMRAIYQHAAGVAVWLGLEYKNSKGAIQL ARDLNSCSSRDQIAAIVLDPEREPDFVALVTLFRRSYWWRIWVIQEVSSARTTTVYCG DEEIPWQQFDRVCDTLQESAEELSSLFYKHPSWVRSLTHGGPRGLQLSRFSPHLADPP LFELLLSHKGKLATDPKDKVYALVGVSSSRNNFGEIDYSQSERDIFTHTARHIISMSQ KLDVIVVKQHDDNQYDLPSWVPDWTRPRKMQEAVGIGLHHHQPEFQAAGDTVADVHFS SDGNGLSTTGLILDTIKTVGMPYRKSGSPSDVGPALEIFHDWWNLFVGTHSNSLTSQA VFGRTISCGNWDFEDEDMYASKLEAIFTLSDEVLSGSDMLRLDPPSRSSTMVNSVGSI ADDDEQLDGDDDEEKTQLSTMLSAGLMMNRRRLLLSMEGLVGLAPLNAMEGDIICVLF GCRFPVVLRPVSGHYVVIGEAYVDGFMNGEAMVGLEEERYVLDTFEIR LY89DRAFT_600056 MHLLLVLLGIWCSHASAQYETLTLDIVNSQIESYLQLIQNASLD TRSLPSGCALACSFMNFALPGQVSYENSTIYQYEESRYWSVQQESDIPTCRFSPEDAL GVSLAVLTVQVTQCQFAVKSGGHAAFSGASNIDGGLTIDLINLNQITVSEDQTQTSVG PGNRWFDVYSALEPKGLTVIGGRVADIGVGGLTLGGGMSFFSARYGWACDNVNAYEVV FADGSIREVTFATYPDLYFALRGGGNNFGIVTSFDMATYPQGDLWAGSEVYIYNNETA ASLNNALYWLNINGPTDDYAQVILAYAYSQAEDIYAIASDLQYGKPIAYPPILQNFTN TPGAIANSLAVTNLTALTVVFNNSNPGGFRQTYWALMTKNSPTLMSDIISIFMEETDT IKTLPGLLPALVFQPINTDMISHFSKNGGNALGITTLDGPLVLINLAFSWSSASNDTA VMQAVRNIVNRSNATAYEQDLGHPFVYQNYAALEQPVFASYGQENLEKLRAASKKYDP NGVWQKLQPGYFKLF LY89DRAFT_659201 MCMTGEPAQIGSLYDNCYSDAAAGYPDADYTPAVSPSGRYANMT AALASLSRPILFQICDWGVDFPSAWAPALGNTWRITNDIIPAYRTVPRILNQAAPQTD FAGPGHWLDLDMLEVGNNVFTVPEEQTHFSLWAILKSPLVIGAALKDTYTSIAAASLA TLMNEDVIGYNQDSLGVAASFRRRWTEDGYEVWAGPLSGNRTVVALINLDDTARELTL NFPDVGVQKVATVKDIWNNITSTNVLTSYTAPVEAHGTLLLEFIGTTTAGSYSSNDSK TSGQTTTFNKVYGSTTSNNYTATIHFASAMEASSTVDINNNPYTLPAGSSVLTAALSL SATNNNTITITSPTTPLSLTLTPPNSTFYPSTPFSLIGTSTFTSCSGLCAPVGSKIGY LSPTGSASLNITSPSTSIQGAKLAQIYFCNNDIADSTSWTDGTNTRNMTISVNGEVTR IETPLSGRSSELFSVGDGWFDTGVFKVLLEGWKEGGNVVEVGNVYGSEGIVSYGADFV GMGVFW LY89DRAFT_725117 MKYTLVLGMGMGLLGTASAGGDMIIDFPGLLRPRASAVAASSST NLLAFSSALGGQAADPVTSTGDSTRPFAVGTDTFTDFETAATRSCNNQHNACAGVANA KTVSGLTVGDCDTQQTSCEAAAASGPPSSFTASSNTGTTTAASVDVASAAAQTSSSIV SVESVASTSTTSAFAVQGTLHSSDDNFLFFCD LY89DRAFT_337277 MFSRRQLRLLTVFVLFFAIAQASWFDLSIFGRDNGKKGVDAGDS SSTNSSSNANQAVSTGVSSTPSSTPVSSTPSSIPTSATSQTSASPSSTPSSTPSSTPP SSTPTSSSAAPSSTPTSTPTQTSDTNSQTSKNADTTSDSTQQTSTSATVVRSTIVMTV SGSLTTSVSSSTQSSAATGTGASGQLSGDGTSSSSGMSPKTKNTVIGVVVGIGGAAIL AGLFVVAWRIWGRKKAEDDDDGLMGFRTGSAGGSNPFQSTLENYHNPARNVNASSNF LY89DRAFT_701932 MDPYQQNPSYDPSHPPQAPLAPPQQQTGGQSPKRSAFWDKAKHG SKATFDKAWAGFEKLGAPVNRFTNKIGSEAFWPTTLGPESDKAARILKSFCKDGFYKE EQGATAGPKGKPKVLVKIPQKVIQNCVGLAIFTVMRTGLWVSGAGGSGVLIARKEDGS WSPPSGILIHTLGVGFMAGIDIYDCVVVINNRKALEAFSKLRVSLGGELSVVAGPVGV GGILESEVIKDKKPIWSYVKSRGLYGGLQVDGTIIVERNDENARFYGERLPVGQILAG NVRHVPQEVRMLMEVVKAAEGRTDVDSGMMAQADMSEPTQEQKAHFAAPPGYENPPPQ PPRPEKQGAYASAPAQYGEGSGAYQHPARTDSGFAAPPPGPPPHRTESGFAPPPSGPP PSQEKQDPDGPPSPIHSNNDKSSQSPLHRPPHQPLNRLPHSNNPLPSSLPLQPATLPN LPPALQYPARNHLFLPP LY89DRAFT_742031 MSTNTSPMAQVRVACDVFRCSKTFRSSYEMKRHMEEQHEDPKRC PWCPREERRFSRLWEHMEESHPKSQRPAKKKTQQKKLGLAKEADIKPTKAASVNPRLD FEHFETDNVRDATSLRLSSTSQASPDLSTVSGAAANQIDLSSCLAPSNEQYTGSGHGS AQDMAVYIQDHYDVTMADAGTWQRLGVGGANDSTFIYQQDAQELVAVSIWDKYCP LY89DRAFT_600140 MSKLLVIFGATGQQGGSLIQTILSDPTLSKTYTLRAVTRDPAQP TAQSLSSRGVSLIKGDPDDTSSLGPIMKDAHTVFAVTTTTYDAECYARELRQGKAIAD AAVAAGVQYLIFSSLPHVSTLAGGKYKGVQSFDAKAEVEMYVRGLPIKSAFFQPGSFM SNFQGGMKPNPVGDGTWAWFNVVTPQTRLPLIDTAGDTGKYVCAILAEPEKFEGKILC ASTEVLSMEEICETIGKASGKTVVYKQLPENVFRGFMKNEVQSDNITQMMLYIQDFGY YGKDTVGKVAETAELARGKLTTLEEYLKKNPLGLE LY89DRAFT_600105 MVSQNSSVNDDLDKRSRFRRFVDSFDRHPRSFEPDAELTLALDK SLKAYHSQLIALGGAIGTGLFVGSGEGLGNAGPWSLLGAFAFVGFTLCPTVFALAEMA TLFPVPGGFFEHCRMYTDEAWGGAMGWSYVIQWLLTLPLELVAASITLQYWNNPLPHA AWVTIFLFGIVIINVFGVKGFANVEATLSIVKVVAIVGFIVFGIFRDIGLGFDGRPML GVDKQPIVGVRTWYHPGASTNGWYGFCSVVVTAAFAYSGSEMVGLTAAEQENPRRDLP KAIKKVFWRIGLFYIASIFVVGLLVGYDDPHLLRDNNFNSAASPFVIAIKNAGVPILP AIFNSVILVTVLSVANSSAYGSSRVLNAMANAGLAPKTFAYIDTQGRPLHCFYLAFTV GLLSYLSELTDESVVFNWLLSTVGLSVIFSWTTICVTHLRFRQALKLHNKDLDTLPYR SPLGIIGSWTGLICNLLIIILQFVTAVHPIEPTVPYTPSQRAQQFFLSFMSFPLVLMT YIGFKFFKGTEIHGISFSRRTILTFNNVWVEWGEGTKVVNLRTDVDFREAWNLGDYIK YAKAHPDLVVKDDPLWFVPPKLKPFVKSFAFPWY LY89DRAFT_691090 MASGRMHLLNVKRKKVVDTEDIPESSIVNVQSSVPRPSYPPSRT TFASETKSETNVQVSIPHPAGVSRSLSTPDSSGSQVYQVSHQTSNRSGSDQFPKSFQP SYTSTTDEQGIRGLAGPLPSNDSRNLPSQYGYPLNLPRKFEGNPSYAPDNYYVEEPIN VVNQYPRPQKPAKPEQLPYENNPLLNGGTSLPSPVSANGSLAGWNWQDALGDQKSAPQ QRPISQIVSPRSSRCAGESERLSNSKHRRYRDALENNQASDMRNNLYWQQYNRASMAS ADSIPEQRRSTASYNSDRSRSDGAGEEYEQRDIEMENRYTGETPRRSATYGVFTGPKS DESGMPEPIFRRSTGFEQFGGAGPDPRRRYTSDSSSPPSSSASDFQWDVNVRWDDPDA MMPSFQTAACTIKFDSGCERSNWVSESIVWRLGAHIRPLESAANFVAFNGQPMRTSGM TSLAFERVGGVRGYRAVFLVATGDDVPFDMVLGATDSVRYGILVKPRESSDASVFLGL AAAKQTAEEKKKQEERDAEQKQTRKDQKDKRKHLPIVRKKVKSEDLNQNASSSRNNGN SESSRRNTTR LY89DRAFT_677081 MAGYERVGDLMTKHPEVATFKRFDFLNTLNILYLQAELVHLEQE LRESMRDDLEFRNEPTINSSFGSQDEIETAEVANIQHDVEKGAPSITGHSKVESEINV RLESTRDWYFLANSEESQTWEVMLKAREKLKEYNEAVLRHKEMMHQDSPNKCDVEFLR RWFKDEKMGDFPLRGDDSEVWETSKTSELIAFRARKAEDPLSTFFLRRVYLWWHHCIG HRFKKTQSEEVRYFEYRDRNILRVANFLSSIISSALFMAAILTLYFVTNQLARLGIIA AFTTIFSLVLVLATTARKIEVFAATAA LY89DRAFT_701936 MQHAATSVLVIAYLNLILLLSILLFSRPIFRPLFRGHFETTMRF AGWTSLLLFWIKAGLFVSSRAGLEPVSKALLKSPSIWLMTVTTISILSTWFEVRKVPV KTYILSPHAIRLDFCASSPLGKAVPGTAVRISSSLLGEWYTFPTIAQPGQEGFSVIVA NPKGWAAGLVANPPTELYIRGLPTTGFMRVIPLFRSMLLVVSGSGIAALLPQLYALDY AMLCGRDMRAMPRLRILWSAEDPEGVFGREVVELILRVDPWAVICDKRGLGRPDMLGT AWRLVRESGCEAVGVVGSQGLVGEVVYGLESRGVPAFGALWSS LY89DRAFT_691096 MAPPAPTNKPGSLLSPSHYDDDASSIHSERSDQDTDSEDDEVLM GARTSADIRAHDRNVLLEEEERDKLLEESRRRGRRGSALVMPAPLKKLFSKSFTELPM AGSSEQEEIPAEKSSDKRKRRRERRSKRKERLMDEASHGEDGELMYEMEEGGMKEGSA TGSSSDNEGSDEIDRRGLRILHDEKSQRRRRWRTWLFIHSLIAVGFSILVLVAWKLSL HRKSAVPIQTMLSNGTALFAPTTILISLDGFRADFLNRGITPRLNAFIKEGVSPVYMN PSFPSVTFPNHYTLVTGLYPESHGIVGNTFWDMELKEEFYYTNPSAMQSKWWGGEPLW VTAENQGIRTAIHMWPGSEAHIMAIEPAFLDAYNGAEPLSNKVNRIMQLLDMPGRENE VAEVQDMRPQLIAAYVPNVDGDGHLYGPNSTEIRVTINDVDNMLDHVFQGLEQRNLTN IVNVVVVSDHGMATTDVTRLIQLEDLVDTNLIEHTDGWPLYGLRPKDPAQVQSMYDGL VEKAATNPNLDVYLRDVNMPERYHFSKNERIAPLWIVPKTGWAIVTKDEFDVVEGKEK GLVYHPRGLHGYDHEHPLMRAIFVARGPAFPHAPNSRVEPFQNTEVYNIVCDSLGLVP KPNNGTLRLPLKPIGLHSPDTALEDPNDPPTSTPTPSPTPSPSATSEEEAADAVISIS PIEASSAADSNEIPPHMVGVDPIDDTNVDRPVVPDESEMTQEEKDFWEWFKAKLDGLK GWFGELLSGDKDAETGERR LY89DRAFT_337678 MSDAELKKSHSGKSHPDFRKPSVTTVLPQGDVPDLEGLTADEAA LVALGYKQEFKREFSLWTTFCVSFAVLGLLPSFASTLYYGMGYAGTGGMVWGWLISWI FIQCVAMGMAELCSSMPTSGGLYYASAVLAPPGYGPLAAWITGWSNWCTQVTGAPSVD YALSAMILAAASITHPDYVPTDYQTFLLTVFIMIIHACISSMPTLWIARFNSYGSTLN MIALVVVIIMIPASVTGTDTTPKFFPSKQVWSIQNGTEWPDGIAVLMSFIAIIWTMSG YDAPFHLSEECSNAAVASPRAIVMTAGIGGIMGWALQLVVAYTVIDITAVIGSDLGQP WASYLIQVMPQKIALAVLGLTIVCAFSMGQGCMVAASRVTFAYARDGCFPGSWWIKRV NKITYTPVNAVWFNTTIGCCLLLLIFGGPVAIGAIFSVGAIAAYVAFTIPIFIKTFFV GNRFRRGPWHLGKFSKPVGMMACSFIIVMMPILCFPSVRGADLTAQLMNWTVVVYGGP MTLVTIWWFASAHKWFKGPVINVEHHMLGRGDAVVDEGETLPTTEVR LY89DRAFT_337772 MTSTTYPEFSESTESLEVAKKFAGEIQERTILVTGVNRGGIGFS TAQAFASQSPSTLIIAGRTPSRIQDCIDALKQEYPEVNYRALKLDLSSQKAVREAAAE LLSWTDVPTIDILINNAAVMNLPERQLSEDGLEMQFATNHIGHFLFTNLIMSKLIKGA RIVNVTSLSPTVAGMRWSDINFEKTNKDLPEAEQPPYEMHRRWGEVDPEEKSYLPLEG YNQSKVANVLFSIAANKLLYEKHGILSLAVHPGIIQTELGRYAAPETRAAIQEMRDSG MIHFKTLGAGAATSLVAATDPKLGMPGTKDGVENYGIYMMDCQISEKAGSRAVSNSEA ERLWKLSEDLVKETFVW LY89DRAFT_725129 MAGLLGGGGNNGGLLGGGNGNCGGGLLGGLLGTVDDTTKGLPII GAITSPLLQTVGGVTDQLPIVGSGGQGSQQQQRQRQMQMAQAQQAQMIQQAQMAQQSI PAPKPVLTEEQKKAKALAKKKRLLEIKKQQLALEAAELEMSD LY89DRAFT_337841 MLLTSREMAQRLEEADILHISRQIDVCAQLFPDHKSHTVPIGHG VAAITLTSFGRKLNRITGYGVAGPVSENDLAAVEDLFAKNGVNTELSLCPLANPSAFQ VLESRGYVIKDFINSYVRILTDDDLKEVKEDGVKISRVTAEQAQEFPSWSLAGYRDGG RAELLLETLGRIASMRADTSLYIATVEGKVAGTACMALIETTKGGVAHLYTDSTLPEY RGRGIQAALLKARLVEARKAGYDLASVQARPGNGSCRNIERAGFSLAYTKAWCVKAST QTQMAK LY89DRAFT_789039 MSKSSSSRDQDVDFNTNNKAVTYESLQFIEPSQTPPAYISHRRV GLKTISFLFACIFLPLIAFSIVILWLIFHYRVTPDNSSSFPDLQAGPNENEGPYYYVN FSATQILFIASWSSTVAPISVGAVMTLSLFPISRKLFQISLSQSLQRLPTPYQFSLLL GLSEGNKRSWWNFLRYSFRKKRARHSTGLKISALIYSIAVIISTLIVIVDTVLHITTK TVSIYKITAIPSPNVTFGKGLTSDCANWTAQTDYTGVTPLAYPCTVFEAVTSAGMLNG NEVYKTINNISTENQVFQVSTGNATTGDLFILGPGQGQNPIDSDYKATTIGVSTSCEA ISTLCNLEAPEGAATIFNCSSGWGGNIEVPEFTPTALIHTSAYDSQTELPENYTSMHN NFGLGVFADQNLTKAYDFIGYNASLGHTTPNPFYLGAAALVQSAGASLANDSELVNGG HGGYAFVLRCEVHTYDVTYSYVNGTVPSASVAAVPNNGTMGWNFLGPMSYQQERLAQL VDLAGVQDTSTELALSYGNSFSGFAVALLAGAYDGRTVLEQQIRNELLVAKVLKSMIW LLVLFNMLFALGGAVIAVWAFLVAMTGIGDAHALFSLEEVVGMCFEREKFEARGEVEE RFEEQRVGEMSGRVGVARREDGWALQRVNVHS LY89DRAFT_337845 MRAYLHGSSRESASLGLNPKEGVYNTGTVYLGNKERHGDGPVYP MLEQEYISVAKAAFCVCINLVHCPSSLSHIFITQTFFIMHNILSLTVLSIFLKVGACY TLPDTLLGDHASHPRSIISTRSSSNSSDCTFDFFDQQIDHFGQYNGTFKQRYNIITEF FKPGGPIFFFQGEESTELDCVNSTVYSSWVKELNGIAVILEHRYFGESAPFGVTDPTD PAQLPKFDYLTLDNVMADGAYFVEYLKKNISGAEDSKAIVASGSYGGFLATVFRQNHP EAFYGAIASAPPIEALSNKTTDTDRFNWGIWLNNVYQDKSYKASQNIKNAFAALADRF ATNNTDGLREELSLCTQPTSLYDLSLINAIISNIYSDSAEFNYPISRPGRSSIAAPLE KVIDFALTQNDPIQILNETLSMWYGPPPGLDLPCIDWANPSFALAAVPLIQSPIFTYL TCKYFPLFGAGDMPNGTIFPATDSGQFGIEACDTVYNLSTPLAEELRTKYRYTPADLR NSTRIIWSLGQYDPTSGFSPTQPGINAPVLTADRNVSRILYTTGMAHREDLFAPDPSD KETVVEARNIELESIKGWLGFD LY89DRAFT_677091 MAEAIAALGVIASVAQLADYGFKLSIKLYSFSETVYTADRSIKS ISNDVSLTSTVLKELCQIIESDDAHVVSENAILATKQTVDECLKIFGDLDEALNKSLS NMGKLEKGESEKQKKVNRGTMTFERLKWPFKQSKMELLRSNLDRLKASLTLMLQVLSY ARDVSSRKQAQSSLEYQRQMIESLARSERAMKRKYYALQHALEEQNRHEPVIPAINAS VNITGSRDVNEEPPDYNSAPVRSSNPVGELLLCFKLLHSLLESSVPSGGVSSMKHEIV TQAQMAIQDLGVNKIQKQYGAQSLDVKVEALKTLQMRLKNLVKVDHLNGFVSEEIVDQ EENFDAMGNKIVSSKKAKKLTSAELRKKKKERMSRRKRGDEVFSDEEDENDEAGQHVK YTMRERVLESEDTVRGTLKPVESSGLAGERPSDLRVRTSSREREKLPRYDDRYEERRT SHSIYSSSDSLPLLSNHEKEKLPAYDEGGAASLPPTTLHPKTSDIMQAQMHETVAIMR QHVAKVSERGERLDSLQDHTDSLQMSAQAFRRAANRQRPGPWTRAYRGVAQSIASAGT ASYKSIQNLSESIYEAGSVLFAEPEEDEAEQSDIYLPAAVELSESDEELEKDGKIIDD LLSQWTTLPARGRGSPIGDNASS LY89DRAFT_764994 MGRLKWVDGLRGIAAAIVAFDHFFMSDVWHPFISFWTEPPEGNR HLVQLPPLRILFAAHGMVTLFMVISGYAISISLLKARSSPQFLERMSSAIVRRVFRIY LPVLVTASLAQFLYFFNLFHWKFDEEFLGRLPQPWTAPWAHVKVVMSFMADSINIIAF VYRGCLNGQLWTMPMEFRGSNVVYLLIIGLSSWRQKPRFYILPITATFFLWYGNWDVF GFIWGLWLAERAATSSVSSSDLNTEMEMGEYEDRPHSLLTSSPSLSLWREKDWYSGLE MGKYLTLSHIGPAFTFVMGYYLLCLGLDGHLPPGYQFLSVFQPAHWANDWDISHMCWK SIGSAMLVYAIGELPCLQQPLNTRPAQYLGKISFALYLLHETIYQLWRDPLRDFVYLM VSGKEYGNSGEALNDPFSFHVAWWTTGVVLGPVVVYTAHYYTIFVDNSIFELAAADIQ PRIVEVFWKNGKLCSKTPPPPLLHVCHISRQVLLKIYKPWLPRFKGTKAHQPYEKLVK RKELDSEHVYISLEHDILVLPGRCELGLIPRLHLRRLTFDLSGRVKTQGVKAFLTKFK SLRKVAFFDSKNDPTRLSYKINVIRECMDRFAAEQRQIDPNHVAPELVSASYLDLYDP AWLQLTAFESWEWMRYKYSDGFALPTVLPDLRLVRPQPRIAACNGYTVVKARLTISKK RDRDSDLVEENTGKRYRLGTATTTKPGRARPHKEAVDISGNSTGRANTQTPKKVKKHI ELLDLSPHAQAAEPRGQPDALSSPIAKFNNPFDDWDDAPLSPIAKFKNPLDDWDDMPW SL LY89DRAFT_677093 MHRESSDKIKAMDYDDQSSGSLQDLDDDDLQLQLQLQPESEQLD DKGMVIDANTHQHPRLGKTLSDSFTSQQESSLDDNDDDDDEEKDEVEEEDRYVPKQVM AERNSAEGVEYLIRWQCYPDQDDWTWEPEQNLLEDVPDLINAWKKKEHGFEDVGETTA WNDVPEKILGKRRFKGKPHYLIQWMGYPRQEDRTWEPCDRFKDDVPSLVDAFEAKRKK KK LY89DRAFT_764996 MRLTFLIFGLGAIAAIEPHMKRLPIALTSPSAEDQWEWSKMELI PRGCPGGDGATCCPEGTFSCSDGERCICQACGICCGDPCGSGNCWRPLGYQGHDLGSG CINTVPVQPAVLLLALLHGYDFLISVSGFAC LY89DRAFT_337887 MLSKLWRLKRSSPKKLDLLPKRAPLQEQSMPDHGLLKTPTPANE EVLSTSELLTLIFSYLPPSTLILSVKRVCRTWYGVISNPSFDLQVSLFLRPDSSLFTT PTTNDLLKSAFPFWLLHKSQLPRPGMCSCSPNKCGTWSTATSTTILPWKRNPSAWQRN THFWREMLVTQPPHRQLEFWKITGFKSDEPRRRNRTHFVVDCPGGVTIGILYDIVEGW IREAKDKTYISEYREKTMQHENAWEDFDARYRGQWDRKEFYLCDNWEERSHLIFLHLD LDRYSLTQV LY89DRAFT_337904 MSRRGDVYEERDYYSREEVRAPPVRVRERDYEETDVFTRRSERG SRPDFLREDFGRGEAGPLVIRERDTEIINRPERRPRSPSPVRIRERIIERSPPRQEEE RIRTRVVERERREPSPPPPERLRARVIETRERIRERSPSPVRIRERIVERERERERTP SPPQVERVRIRNIEREIRQPSPEPSPSPSPPPPPAIRAPPIHQEIITHHRHIDHGFER ARVPTPPPPPRRSEPRTKETDIDIYTSRNNTEVDITRKESRGPTPKPQLRRGDTFYDD SIIYEQERDKLRVRDTRLDINRRRSLSARPERREKESVHIDIRESGRGETNREVIREV SRGIGRDEEEAAYYERKVNERAYIGEAYNGATKDWAIVDVPPGTERVQMEGVGGASQE ITWQKYNGVRRSKFNPERERERERVEEKVEIRENTRPRESSTSLEIDISRGPRQERGP VYEREYERIEETSDRRVGFPRAPPKQRMGDLWTEITKDLVAREAIEELGYDYEETEFF FYVLQYLRYEDVLELVQVSESIRRERKQRLREIEYERERMERWERKEKRRERERDDYT DERIIEREVIYDGRPPRRGGW LY89DRAFT_659229 MSLPVLIRCLLYLMLFTLECCGKPPLTTSSEPRIPEESSGALSM IFFTWINPILLRGYRNILNHQDLPPMSQNMKAYLTRKNMLRMWDQRAKPESKKSLPLA LFRCIKKPFLAAIMPRLFLIIFRYSQPSLIKQAIRFVDMPPTTPDSHYGYWLVVSAVV IYIGLAISTSMYQHRLNMIKLMIRSALVGLIHDKTMNSPSIAYDNGESTTLMSTDADS LVGIGEMFHETWAQLVEVVIGISLLATQVGWIWPLPLFLIFLCSRVSRYVATHLQPCQ KAWNSATQRRVAATSSMLSSMKTIKMLGFKESLTNRIMQLRNEELVAASKLRWMMVYY NASANALGIFSPAITLAIFAVIAAFHGQNLDAETAFPTIAILSMVTHPANMVMTIVPR AIGTLASFDRIQTFLLRQSLLDHRVALAKGGPAIQIEDLRIGEILPVLENVNLEVTPG SLTIISGPVGSGKSTLLRAILGEVTPSHGSIKVTTKRIGYCAQIPWLPSGSIRDVILG TAKEIDERWYQEVFEKCCLIHDLKSLPDDDETQIGSRGMNLSGGQRQRFALARALFAR CEIALLDDTLSGLDGETEKSVFENLFGSQGVFRRLKTTVVLVSNSTQYFSAADRIVVL GDHGIKEQGTWREIKSKSASISKFIPHHPTSDETVLSSSFDKLRAQLQASGEAELDLS RQTGDLALYGYYLHFAGKINILLIIALTSSYSFFSTIPQYWLQLWTEDKGGHTVFYTC GLLLLALAAWSITSVMMWATIIRLAPHSGRVLHERLLFIVTCAPLSFFSKTSNGSILN RFTQDILLIDKQLPSALSSLAAQSFKLTAQIILLFISQRLLTLSLPLLLLVLLIVQKI YLRTSRQLRFLELEARASVFSSFLETISGIETIRTFDWRKEIIEQNVEYVEESQRPEY LLLALQRWLGVVLDLVAAALATAVVGIAVRGGVEAGSVGVGLGVLLVTNSTLLKLVEN WTEMEISLGAVARLKTLEKETGLEGEGVEEIEISEDWPSNGCVEIKDITVAYGDNVVL KNFNLTITPGQKTILYGRTGSGKSTLILSLLRLVPLQSGTITIDNLPTSHIPLPLLRS RAFITISQDPLLSPHSTVRYNLDPSNSSPSSILISALQITGLWQHQQLDTGTILDTPL SEMPELSVGQMQMFALCRAVVKVRVLTERAVRPVVLLDEVTASLDAEREERVDAVVEE EFLGRGCAVLVVGHRRVRVVEGRDRVVWMGGGRVFGDVGEVGRLEEEG LY89DRAFT_691105 MSPILCSWEKCGKTFFTNSDLNHHLKSHTKPFHCPQCPPQQATK RLLHRHINGRHFNTEKYY LY89DRAFT_599973 MPTPSKLHLLFGAGGIGSGRISRTWTTAEQTSSLLSLLQQLGIQ QLDSGASYPPGSPWVTERLLGESKAVEKGFVVDTKVLYDLSEKGIERSVEKSLELLGV ERVNILYSHSPDKEVPIGESAKGFDVQYRAGRFEELGLSNYSAAELKEWIEVCDEKGY IKPTVWQGHYNAIKRTPEENLFPFLREHGIRVIAYGPLAGGFLTGKVSLATEAATLSG GRWAPGLFPAYPETFDKPAIHNAMIEFCKKCEAAGMTPTEVSLRWIMNHSELRDGDAI ILGATKVDQLRSNVELCRKGPLDAETLEACEELWRKVKGDMPDLF LY89DRAFT_765010 MVQFSFLYAFLGLQVLPALAFQNCPLLGRDFPLPKQLSNSSAIR NASLMLKNTLDASLNNGTLASNASSFSIEIFSTHQNDSLFTYHHSSPLLQSSDGVKTI DSDSIYRVGSISKLFTAYLFLIESGDIHFNDPITNFVPELANAPSWSTQDSLNVVDWE AVTIGELASHMGGIARDYIGDLAAATNTSVPGTPSCAATGPCSRSDFFKLLLPQPPVF PTSTTPVYSNIGYQLLSYALEKISYQSYPTMLQNQIIKPLNLSSTSYYTPPAAHGVIP GNATSSGWNLDYGDFTPVGGIYSSSNDLSTLGRSILTSRLLSAPLTRRWMKPRTFTSN PLLSVGAPWEIYRLQLSSPNAPRIVDLYTKSGDLTFYHSNLVLFPDWDIGFVVLEADY EQFVLNRNVISDMVAEIFLPAVEIAAREEAGTSFAGKYADQATNSSVVVRVGDGVPGL GVPELVLGGVDYVAEVLSQLYYNPSIRLYPSGLEKNLGHGDVEVGWRAVFESNTTLPD GLFDDSCATWFNVDGIRNNGFGIDDFTFTVGEDGKAKSITSRGFNVTLSKV LY89DRAFT_725139 MTQGYYSRDAAVTAIRSFYQFFATLPSLAPEYIWEPPSGGWPEV NGTTLSRLSRNRDVVDLLRHIPYINDTQIAFHTTVIDYTADVKWSFDRSGAQGNVLPC GAGVLPEHVAVLTDGGKYGSWLLLDTQEGTITDFKSMETPESIFPPPGHPEHWRAYRT LPIRDFFESWKEKYRSLAWVVMPDEDDGVLCNQEPSSNEIREIYRAHGWPSFFRREDC KEALLKWRYKKLCAESGTRRRHQKH LY89DRAFT_691109 MRFSAITTLALCAAAASASAADSSLNVASVISAFYPTSTPSLNG AQSTSLASLLNSVQSTWEDSASYTSANEAIYSAAPSSVQSSIDKSGYYYGQITSQAWY TKSVPGAIQTAVAKEISAIDSAAEKVLGTASSTGAAAAMRTAAPIMGAVALAGGIFAA M LY89DRAFT_599894 MNNQTRHGRPPFWAPKPVQISNVTIIANTSTIAPDDSDAKLEER QVAGITSCKSTFLVIARDASSAYSAKSGLNDYGIPFEVLIVPAGGVALPPLSSATGVG NYGGFVILSEVSYADSAGNYASALTSAQWNTLYTYQRTFGARMVRLDVVPSAATGTTV VGSCCDGTLEQWMAISDQSQFPTAGIVVGAGLSTKGLYHYPASISNSTLAKAFALFGT TTGFTSNTVAGVINNFGNGRQQMVFFLGFATDWSTTSIILQHAWIHWATRGLYAGYRR VMLNTQIDDMFLTSPIYSGGTFRVEPADLAPHITWMAAVRAKLPTGSNWTMEVGHNGN GNIGVCRPTCGSGPIDYAAQPDPTAAQLEYVKPPGTGTSLWPATASTYPYSLACVTLD PLLNWWAKPAYRDAFMHISHTFTHEAQDAATYSDVMKEISWNQAWIAQWGLSGAKWFS GRGLIPPAITGLHNGDALKAWSENGLVNAVGDNTRPVLLNAVNEHWPLITTMAADNFD GIQITPRWATNIYYNCDTTACDVAEWKAIAQGSGTILDLLALEQSTNVRHLLALHHDA FMFHQANLRQADVATTTLGQGLTAKSGKWSLFQMWVEIVLGELMRVVTWPIISLKHDD IATAFAQRMARDNCNYTMTYTLDSVAQTITSITLSNPGNTCAAPIPITVPGPVKSTRR FTTEQLGGDPLTIWVPLVGAAEAFDLKTPVAW LY89DRAFT_725142 MLYLCLLPIVAGIASAINLTVASTGGNVTSEYMYGLMFEDINHS GDGGIYAELIQNRAFQGSTEFPSTLSPWSAIGNATLRLQNTSVPLSSALPTSVNVAAA SEKTKGKIGLLNPGWWGIDVKARPYTGSFWALGSYAGTFTVKLQSAITNQVFASLDIT SGCQAGMWVEHKFTLEPKMDAPNSNNTFVLEFDAGQGSVNFNLISLFPPTYKNSPNGN RQDLMSALKGLNPSFLRMPGGNNLEGDSYHYRWVWNETIGPLTSRPGRPGTWGYENTD GLGLIEYFNWCADLEMEPLLAVWSGMYLGGDVLSASALAPYVNDTLNELEFLLGPTTT PYGSLRASLGYPTPFPLKFIEIGNEDNLSGGATSYASYRFTMFYEAISAAYPSLTIIS STGDLTAVGPDSATDFHIYTLPDYFVSQFGKWDNVPRTHKVLIGEYANVQYNVLDQPL AGVNWSAPKLMWPVWAGAVSESVWSIGAERNGDVILGQSYAPGFMNLNSFEWSPDLIS FTADPAQTVLSTSYYAIQLLSNARYNATVPVSSDADFGPAYWVAGVSDPGQYTFKTAI YNSTSAVPFNIAFDGLAAGAKGTLTVLTAPDGLSSNTLVNGTVVDVVKKIATALTANE EGAFEFELENYSVAVLTT LY89DRAFT_338204 MAPSAISDPNDPVRTAKKLEVEKNIKRIINNICNRWGLGLELPK ENESPSHRQAHQSIEEKCVFMIKGISWKDMPKILEIAGEFEKAADGLYDKWVHKPRAD RGVVPDKTRHRTHPVTADERQTLLDCLHKMLRDVWEEVKTPRATRYMNPNDSAVPFSL TGSLNGSTVEYPVLRTSSGESKRARDEPFPDITPTKKVKPDVLQSRSSNSMLPPAADS FTGRGRSVTRDPKGTRSADTSFASSIFDTQDSGSFPSTQATIPDDIEPTLPSKETRPS FINPQPDKIQSSDYASSSFEARVADVPEDVIILNDTDDELLLMDEPEAEELSQDLLGY TIDDDKATLSPKENQLKRSLQSVFPILPATLEEKAPVCVLYEITRVFLHAEVPLSEFN APVTSSLNDYQTLWAFLKSLPPLRGKSFPERCSDEIWACALKDYEKGFYSVSFSGSLS FNTTSLDPMFQLRLDPLKFEYSHRLGRKFGHDRFLELSIPQLTGRHIPKAVQRLADSL EVGQWKSKVFDWLVDSRHPLLGRKWQPFFTKPKDRTSKRREATTSEAIYRVFFFAVDG FQFVNNTALMSNPDYSLGHPSMSIRRLLDHVRPTRANIHQTYLKLFNRTTLAVSRNQE TVILEKSQIRFKEDIRWGPKNEVMNDGAGRISPSLALKITQKLCLSYLPSGFQARLGE AKGFWLVDHTDTKGEDWIEVYESQQKWIRSTEPKGPSDDRSHRAFEVLRYSGPLKSAD LNTQLLPILLDRAKDPKAMRDALSDLLRQGLEQEVAEIQAAMESPANFKKWIRAGTSG TNDRLKHGAVPYRAGLPISKEEQLNVMLDAGFDPTKLSFMNKLAREVFKRKCDDLKER MNITVGRSTYAYMVPDFWGVLEPDEVYIDFSSFVDNVSSFSGASLSGENVLVARSPAH FVSDIQKVKAVVKAELMGLKDVIVFPTKGNPSLADKLSGGDYDGDIAWVCWEPTIVKN FVTAEVCEPIHLVDEGLLSKDSTRYEDLVRNESKVTAVPTFLKKSFEFNMQSSMLGIC TNYKEGVCYTQGNVDTEEARYLSQLLSNLVDQAKQGYLFDEDSWRIFRLKKAIPNPKP LKRKDKNWVPRGPSSDIIEHLLWVADDAVNKSLQDFKEKGSYFDEDLVARFKHITEQS KDEPELKVLLKDLDQDLLQLKDKWQSHFSRAPNDDSKPEFQSYLVAIYDEYLDIQPHA KNLASKLLLCEDGQNPELSEWEKLKASALFASYRRSYVGNLVWWMAGKQLCYLKASRR GAGPGALHAVTSSMYILNKPDASMIRRLQNEGYGAGLDEDLASVVNVHDLEDREDD LY89DRAFT_742058 MIFLEQLFFKVFGVLGLFAPIAQAFASFYEARDSTIQDEYIVLL HPDYTLSQHYNFIGVNMSQQSSMFHYLDTINAYGVRASPELVHELIRHDNGVLYIQQN FHLNASLGIDGGSPITTNFPGKGKSRRWTQENWIGRFWNFMLTAGKKLDTIPPEFETE YPLLKYAGLGVVVYVLDTGIRLSHVMLEGRATNFQNFENSPYCNEPMADLVGHGTHVA GIVVNTAPWAYTVNVKVLGEGQSPLGLIRAIVDVTKEHQFYQNNPGSRPVPWSGSVIN LSLGFLTTNPIPALQLAVTRAFQAGIPIAASAGNFKNTGQQAVYPPCIYQGSVLCVAS CDRNYQKSGFSNFGGNVSIIAPGTNIDSAWFNDDHRVRTVSGTSQATPFLAGVMATFI GYEKISNNPAKVYQRVRQNQLYGIVSGFSADTPNLFLNTGINDPNKDPAVPYHGAPGR DLTSSNDTNTSADGPVTYETVSSALYSYSISSIPSGSVVGYEGEITIGTDSGTATLMP FSSFSVISNSTSLPALPSSTFSTQSTTSLYTQPSSSTQSTVLAPPPPSSTPATTPTAT SVSPETNTPYIPPTTPSCVPRPTGSYHDSHETDLTLAVNYFCANNNDAPMQEAIIAGP VTEGKSIVVVARPYTGTTPEDDVYMIKIIPLPYCTPPSGSDINTPVAGSTCSSLLYDA WKNCNNDGRGGSIIASCWTYSVATKW LY89DRAFT_725144 MMFWKSGLVAVSATIGIAFIYITRTKYQAPLVSCEQGHGYLYPG NYLVYLSHGHSLEKHAKTVSVAVNRDIRDHIWWILPDSYYPGQVVYSGKRVDDELLGG VRADKGVQEIHCEVGELVPEGLQLQVS LY89DRAFT_630029 MTINIAIVGGGIAGLCLARGLVRHPHLQVTVYEATQRYLDIGGG LAFHGNAMRALELIDPELQAEYFRRATVMNERDVEMATQVLVGDAVAEDGGSVLLAEL GKAKGRKTVARSDLLEGLLSLIPGGVVKFGKRLAQIEEKSTNGAIGATNISLTFKDGT TATADVVIGCDGVHSVIRKYLLGADHPAVGLKNHDAWQWIRRSVPAEEVMKLNERLLS YVPIFCGHGAYMNCLPTHFGKTLNVAVVQISKDSRLTVSSEKDGVQETPDIITPEAFS HWTKDARDIATLALRDPSTEWKLEDHDPAPFYSRGRICMIGDAAHATMPFNGQGAAQS IEDAALLTALFEHVTKLEQVEKALGVYDELRRPRTQKIAELSRQFGRMYAFAEEGVGD DLGKMRAILGAGAKYTNDVDLKALNEEAVRNFLAK LY89DRAFT_742060 MAVMRAASTKLSATMIGWLLLSVLPCLSAKALFPCVGCVSEHFL QSETYGIDLASDHIAVAVQHVNGTLEPIALTTPGPRYRSLMNKILMESDMHPYGYGNE TPKPFKSCTRDPGDNADIDILAEEITKIATVMQGQNRNIVHYVVSTPISFTHEKYARL RLAFKESNLPQPTFAEPADFAAFTALNTTICDRHFELFCAPIDYNSRTIMFFQYDNST MSVLLYHFWGGWYFDRVAYFSDGRLGHQNHGDEGHWLAISERITTLIEGNKDKISYG LY89DRAFT_677109 MTEVRKQSKLPIDDHRILSVYQSSNQKPHSNLELSKSHKETQKF TTSFLSQTTRVGGLRSGLVSWPNITIQESRSQRKGIFHYVAEEVTRLILQDQTTRKSI QDLIGNYDPAYFEKSVPRIFTAFIENLVLEANSLLEVARKRESLERKGLGFWARMRSS KEKKADSKRLSAFKATRLSVAEMLNMIWQYRAPIWDSPKRDEQGRNYWASNVEAVRES KSFGIFKEDLRSLKRPFIDTEAVGFEMVAGRRMSGSEYASPDDTRNCESKGLTKQEFD LRPPKGLLNWDCDIILPIPEALKEITRLTLYSEPIKKAIFSVLDCPGESYFQKHIRPL LNRFLEDLWLEKWWQLKPRRKSRTRTRSGHSLTESLWSWRHDRSRKLTTMPYMMGSER ASVVDVMNMIWCVESKFWSSAKRDIWGNTCSRNHEIFVRESTALQIFMDGLKALRKTV VAVEAI LY89DRAFT_701948 MERPKTRTVYRRNASKPNTLELLSEPLKPLKATEILIRVHTVSL NYRDINILRGTNPWPTSDNGVPCSDAAGEVTAIGAEVTRFKVGDRVSPILDQKSITGQ EQSREWLGGEVDGILADHVIFPEEKVVLIPGHLSWAEAACLPCAGLTAWSALAVGGEL VAGKTVLIQGTGGVSLMGLKLAIAVGCKVIITSSSDAKLDKVREMAGGKKISTVNYSK TLDWEREVLRLNGGRGVDIVFENGGSSSLMKSIAATTKRGIISQIGYLGQQDPKDLNG LISLLIDRTVTLRGINVGSRLEFEAMNRVIDANEMNFEDIIDKTFSFDQAEEAFKYLK SQQHVGKVVIVIQ LY89DRAFT_711686 MSIDRSPALFGDLPDELLIQILGDLSARDLSAICLVSKKLNKLA DPILHKSVAFDHPRHHVSFSESLARRPRRGSLIHEVRLEYPSEELSEVMAIPESPHPL RFDHFSHTISTMSNLENLEVSVPEALSHGIGNLFNGPFDLACLKTCSLFYQREDGGYW DLQENIHIFSHPTLESLTIRRAKLDQRGFESLEKPENTALKELHLLECDINDDALADI LLHPEALQEITITQVADPTPELEESPLDIGDYILALSSANHSLVTITIDFPTLVAKHA LRLREFQALKSLTLRDYQLIGQGHPRLHSVGLPPILEKLNLLGRLGEDEEIAELLCYT LEHTLARQQCTIAVSEKEHKIPVEIIEACKKSEHFHLEID LY89DRAFT_789057 MSIESLNSLPYEPEILVKPPITPSHHVLYQLTPQPPYQRRDLQE QDTILSTELSHALTYNRDNKSIDPWNWVWAWTGLSKDQRQWELGWRDPRWSCSTNPAP EQKLSPKYFAVNIARLMLRDPRIRAAVLKFCMKNDWGLDGGKVIDLFVKYLMDLFIEA WVYLDLVDEKRYGEPKSLLKKLFGTSHVERLKERKRWVYGKLSITPTEFVTKVAMVDK FPTIGVKGWSEQGRKQWVGRDFIHFSGALRDFKEGLRGLRKVIVDVEAIEI LY89DRAFT_338299 MKRTLSGSRVQQDVNASKLPYHEIKSPFKIEDLSIPPEAQKHVE YLSRFPLSQVCQWVEIWQRSEAQGNSRRDLEDYCFHNKFNDFSEAKPEIAAMEIERVP IQSERLNVTFPLPTVGEPLGKHARRIYPCSFCDKTFSRKGGWKKHEGSFHQPQKQWSC LY89DRAFT_691114 MWGCGFCAGLLMTWDARASHIGGHYETGSKKSDWDFSKVIRGLL RLTKIFDTWRSLLLRIHGPSSEN LY89DRAFT_659254 MLDDLAPIWQGIPTLTKLFILAALLFAYFTVKNIFRVRGVPGPK LYALTKWRLAYDDWTGTRTRTIDQLHKRYGPAIRIGPNELSFNSLTALKTIYGAGSGF ERTTFYRMFDVYGHQNLFTYAGVKEHAERKKLLNHAYSKSSILKASASAVEKKVWEYM KLLETAPEVASETFSSLHYYSLDNITHFLYGDEFGATAALTGSVADRNMLNDVLDPSR RKLAWFAAHLPRYTKWLMSRTGFAERLVAGLGLLPMKKPTTYTGIRKHALAAWETFFA ASSDIKVASNATTIIGRLWENHTSQKANGLLDMEIASEAADHLLAGVDTTSDTLMFLF WALSLPENLHFQKKLIAEVAAMPESDFNDQGVPTADATGKLTYLDAVIKETLRLYAPL PASEPRSLPVDTTIDGYKISANTVVSMSPYSLHRNTEVFRDPLVFNPDRWFGEAKEVV EMKKWFWAFSSGGRMCIGLHLAMAEMMTLTAAIYRVYRTKVRAGTENTSPGITSRFEV FYDVSKPYMKEHECWIDFEKQL LY89DRAFT_691115 MGVHNLLLVVALAGLSVAATLPRANTSAPPLIPNVRKGSGTLHL SIKHKHREGQTKRGDPASVIFNENSFYLIELSIGTPPQPIDVLLDTGSSELWVNPTCS SSYNPTVCDELPRYDPALSSTSEDLDGTFDIAYGTGDVSGEYWWDTVSIAGVNVTTQR FGVADTSSFSILGILGVGLGYGFGYAGEDEYYYYYEIIDRLYVEGFIAIRAFGLNLGS PTLSEGSIDFGGIDTGKYIGALYKSPVIPYYDSPDGFPRYWFYLTSIGITQPGNSTST LLSGPSYAQPMFPDCGATLSQLPPSLFNALIQFFPTAVNNGNGVYEIDCSIQSEPGTI DFGFGTKIISVPYSEWFRQSGSTCIFGGVSNADVFSLGDTFMRSAYIVYDQDNFNIHI AQGADCGTNVIPITTGVDAVPSVTGQCTAVSSTSSSSSSKKTSSSSSSVKATSSSKLS TTSASSSLKTSSTKVSTTVISSSSSKGTLSSTKISTTLSSSKLSSSASVSSSKSSSKS STSSSVKSTATNSSKSSSKSSSLSSSSSKSSLKSSTTSSIKTSTSLSASSSKSSSKST VTTAKGPTTTFVSSYTSSHHRIVTTITE LY89DRAFT_742067 MRAYNFTSAFSKILGLQTAFKSTLLSPTFFRPMSSISTMDTDIN RTLSYWFDGPEAKKKWYGGGEKIDTEIRDQFGPLMEQARASELNSWESKPRGSLALLI LLDQFSRNAFRSTPDSFSADSLALDIATKAIAKGFNLEVSNIQQIFFLMPFMHSETLL GQVAGVSLFEALLARCDPNSKDVEFLATSVQFARRHREPILRFGRFPSRNEILGRTST PEEIEFLKEHPGGF LY89DRAFT_600152 MWSSIVVLLCFVSDGLSLGQQRVISFTDGPLQLAGNGVATLILS STDFPGVIRAGQDLAADFGKITGKNLTIAQISTDQTSTTGPAIIAGTLGKSALIDSLV SAGKIDISQIQGQWESFVTQIVTSPVSGVASALVIAGSDKRGTIYGIYDISEQIGVSP WYWFADVPSTQQTNIFALDIHKTQGPPSVKYRGIFLNDEQPALTNWVKEKYGGVGYVS GFYARVFELLLRLRANYLWPTMWDSMFALDDTKNQPLADTYGIVMGTSHTEPLMRSTK EQTKYVSGSWDWTSNKANIIKFLTDGANRAKPYESLYTMGMRGSGDTASSTLTAASLA DVVSEQQKILTSVIGNVSTIPQMWCLYKEVGGYYQKGLKVPDDITLLWSDDNSGNMQR LPIPSEVGRVGGAGVYYHFDYVGDPRNYKWINTIAPQKTWEQMHMTYNRNAREIWVVN VGDLKPLEIPINHFLDMAYNMTLFTSPNSTDTWLSQFAAMQFGAAVSDQVASLIDTYS HLAARRKYELLDSTIFSVINYNEADTVLAEWHTLVSSAQAIYDSLPAASQPAFFQLVL HPAKAGSILYDLYVSTAKNNLYAKQGRVSSTTYGTMATTAFQADKDLASTYHKLLGGK WNHMMDQTHIGYTSWQQPSTNNMPAQSTPAKSTNGAAGVFVDGGSTTLALPSISLYGP ASRWIDIYSKGSSATSFTISSDPWVKASPASGQLTPPGLTSDQRVNITIDWSTAPTST TTSKIIVTAGGTDFTVTIPLSNTALPANFTGFVESDKTISIEPEHWTSVTSTSKASYG VIPNYGRSLSGVTLFPVTISSQTPLSSPKLSYNIYVFTATTASITLYLGPSLNTGPSR PLTYAISIDDAAPTNAQYVPITDLGTLPSTWTQGVERQGYDHTTKHDVTVGAHVLNLW ALEPGVVFQKIVADLGGVRTSYLGPPESMRV LY89DRAFT_659262 MEDNYLAKPSGACCLKGSIHEGNPRGSQGTIAEVETYIVPPPEG KANGHVLLYFPDVWGLFNNGLLIMDGFADAGYFVFGLDYFRGDPVWKHRKDRNDTTTD PGFDYEAWKTKHMAFADRVVPGWIEEVKRHYGKPNTKYACVGYCFGAPYVCNELASGT VTAGAFAHPAFLKEHHFFNLKNPLLLSCAEVDHTFDTKSRRRAIDILQEIKVPYQLQL FSGVEHGFALRGNMENPYERHVKEQSMEGIVKWFDFWLSQQETKRV LY89DRAFT_742070 MEVTNKYSPITGINNTMETPPTAAFLAQSRVPQILFGSIFPAGI ATIFVLGRFYSRIILLRHWGSDDTFVLISWLTGAIALTVINCDLTRFGSGRHAAASSF LDLGPTVALGFAARLLYQFNIMATKLRICAFYMRVFQDRRSKMFVWATAGYILAFSIP LIIATILQCSPPQGAWKPVPSKCHDGRPPFEASAILGIIADCLLLAFVIPRILALHLP RRQKVSLFAVCCMSILVIIAAIIRLVRVLKVVDSTDTPWDSYDISIWTSVEVNVGLFC ASAPATKPLLKKIVPGMGFSTTDSSPGIKTSSRYREYAKDTMVSRRRQTQAGGDFEMM SSTDLDLEFGSIKGQGTRKKNDALWVSDSDKDISLKDGEDGDRVELQPPSRKAQRIAL STCHQR LY89DRAFT_742071 MTDSNWTLGTGMIIDGNITLPIDTLLDLFGSEYGSYMSPYGAPY MQRALPPSNLDTPASDPSWPYNYHVYKVEKSFNCLAGLIALWFGQLGQGVHYYMSTNV LGLIVGGYLSRVTLS LY89DRAFT_630049 MNPETDFEIIIIGAGISGIIAAQRYLEAHPTTKLTILERDSCIG GVFSKRRLYPEFWTQWTHGIAEFADMKMPRPPEEDCRNDCFKARYTTEYLEKYVDEKM HEGRSLRDRVRFGVQVKGIEKVDGRWRVSCVRREEEVVFWAEKLMLANGENSLPNIPS FAGREGFQGKIIHSQDFGSSNILSAPEVQHVAVLGAGKSAADMVYEAVQQGKTVSWII SKNGTGPGFFAPIDTKSPYRNVVEAAQTRVMSTLQPSITGPENWWTGFLHRTWVGRWL VGFIFTALDKSIRDVANYKGRASEKGFKGLEYDTPIFWQNGTGGAVHHPDLWSSIAEN VSVYREDITSLGPKSLTFTSGLTIPTDALLLGTGWKLGLEFFSPSSLLSLDLPHDPST EDPAIAEKWKSLEQEGDAKILRKFPILANPPPHHHRKIPTTPYRLHHCIAPLHDRSIV FLNHITAGNKLFAAEAQAMWVCAYFSGDIKLPSIEEREKRIAEWLAWGKRRYLSNGEI GTFAVFDAVGYADLLLGEMGVRRYREKGWWRFWMEPFWPSDLGIAWREYLDGRKGE LY89DRAFT_536914 TTDPRVGSARDGSNSTSVLKATEGTNSASFSTLFMTFVPVAIYA VVCVIIFIVGRKYLPRVYAPRTFLSSLQPHERTKSLPSGWFNWMKQFWHTPDIEVLHR TSFDGFLFLRYLKVLCVICCFGMLLTWPILLPLHALGGGGNTQLDMLTFGNIAHPSWC YGHALLAWVFFGFILLMVGRECIFFINLRQAYLLSPFYANRLSSRTVLFTCVPTQILD EKKLRRIFGDTVKNVWIPKVTEELDELVNEREQTAFRLEKAEIELIKKANAAYQKALK SGHPDIETKVGTPSERSSKESKGVDVEIKAESPNWPLSPLSPLSAASTISPISPASPV SPRSFTRSDGTPIDMTSYGFTGPSPDVVGSVAALWIPAEERPYHRPIANYGRRVDTIR WTRSRLKALGVKISKLRRDYRKGKGRPIPAAFVEFHSQVDAQAAYQTLAHHTANNMRP EIVGVRPEEIHWKAMYFSWYERIIRRFLIQGFVAAMVIFWSVPAAIIGLISNVKYLTS KFIFLAWINKLPTVILGLISGLLPAVALSLLMSAVPIIMRMCARQAGVPTESKIELFV QNSYFVFQLVQVFLITTLTSAASAAITQILQDPLSARTLLSKNLPKASNFYISYFILQ GLAMSATRIMHMVSIFRHGLLANSGTHPRQISARYHRLRRIHWGSVYPVFTNMGIIAI SYSLIAPIVLAVAAIGLSIVYITYRYNLLYVYSSNLDTRGLCYPRALKQTLTGVYLAE ICLIGLFGIKGAYGPVVLTFGLVIFTALFHVSLNDALSPLLYNLPRTLAAEEELLKAG NHPLAAENLEDKHDNDLETNIDPDAGYDSDFDPSAADAVSHGTQSSRAGIPVEGADRA LTLTTGTLKSMIRTKYTASPIPAFLTKIDFWSPWLSPIPDPNKKANFILKFLHPTIFC DYHVIRAGIPAELYHLGAVHEYDEKILKDAFSPPGMRKRSPRLWIPSDVAG LY89DRAFT_338512 MEKISLGENLISLSCISRLTVITNQTYDNVLKKESKKKTSRNGL SFKITRSLLTSDSTILLQDVSHLHPQRFFSHGNTTVSIYPYPTHTARHQTPFKLLIEA PNSAEEFSSTDSACHAKTSPSSISFHHHTNPPPLISYSLSSLSPQRHILLSQGPALFT CMAFREDYRHPCRHKMSRLPTKGQHFPSNISPLSRNPSTPSNTLPIDMTPYHISPPSI PMRVPRLCCPPSYNKGPLPLIFFFVPSILPIPPRANNVIFNLLSCSSTAYER LY89DRAFT_742074 MFFKKLRSRSKSSSKSTKPSIDRVTSSSSTTHQETIREVKVPAV TVSGPAAGEEGEETSDYKAFLEKARWDAERKEKETVRSIMKARETNLSPWAGRIVCQL EVRVLGWHTGKRMQDQHDLVLVDRLSTSNINPFDQVSMPFFSIPTPTGSVRSQIEAQ LY89DRAFT_691126 RKQVDTVIGAVDGLLGSITQGGTLLNFVVDSLGNIVQSVTNTAG QAVDSIVGNYLNNMTFTGVTSSLGNGLTQKQYSYSPLNALVNIVFNAAGQIVGQPSVV KQTSTASSTTASATATPVSLTTTSAATTTSAS LY89DRAFT_338429 MTSQFPPHRYMKRSTKPLSPPSSPMSCVHRNHTTNHPPGRGVSE LPAKQTHKPYLPDQHLTSDKELPPIHKFPSRISNEKTGFPPPIQRKAIFGAAPDSRVA ICRCPCALTRNLIRTWRFSLWLPFHAWDFNVGTAALHTLPSSGVE LY89DRAFT_338423 MFSILNYTTLFLTLLPLLSLTDAQSSISSSNSTGYTGYNLTLSS DPESVTYDTEDTPANVSTTYPAPDVYLNASVHIGEIDILVANLSAKINLDAQVLNLLT FNAGVDLSIDRVSLTIQNVTAKVLLEARLENLVLMIDDILHSLDLNPVLATLGQDLTN ITNTTVGALTGATSSLKRSVPYDLAHNVLFSSNDYSGNTHKNRILEQNGDIVDQYLDN DGNIRAQTTVGTYLKDMTFNGENVTVTLGGKPAQKLEFVYEPFNGLSVVSFVWVGGAG EVLGTQVLSERFAGGESTIGD LY89DRAFT_701957 MGSLISKTKAKSHTPEKTMASLAFTHLAGAQTEFKPPLIANENA YLGDVATSTDATAPISAGFYRLEKGTPLVYEYTYHEMKIIVDGEFDISDETGQKVHAT KGDVFYFPKGSKITFTTESFGLGFFVGQRKEGTA LY89DRAFT_691133 MSEFSPFPRLPPELRNKIWGHVANQEPRALDLWTDFKRCEIANT IFYTQAYECELSHRPPPPIFRVNSESRKEALKHYTLEFATGMTLPKGISVTVSARIYI NYSVDILLPRGYWNLVAFSNLASRAGGRLKHLAVDVNGSLWKDNLRDYCKKRCWVFYE LQELILYDSSGVDMFKRSDFLEKFRERYRGGPKDLSFTDWVEDPTAQMVGVKNIFEKM FDRIEGKVEEEVEKPKGEGRVLTEADIHPSYLKDCEPTHADDLQRPVVRLARLEVTEP TVVPI LY89DRAFT_630063 MSLAALALDGGDPRLHVEGEPEKGLETPEKDGHDLVEGNRTAAL VRPVTRPLYDNTISFEEFVYYAEKTRAEEEAHVADIKGTQGWLEMIFPSKSGMGAGNV TADEKHGAKLAHDMTLADPRARLQISDDEWTNASRALRTATKSAIFYLITTDILGPFG LPYAFAGMGWGPGVALFTVFAGLAIYSGYLLWTIFMGMDSYEYPIKSYGDMGQRLYGP WLRFIFNVLQALQLVFNVGLIVVSNGEALSQAAKFKLCFAVCCLVWCLAGFILGQVRT LQKFGWLANAAVWLNVICMIITMGAAAHTAPNYLGASQSIGAALNNGTSVTAVNGVYP AVQHSNGLPPSPTFAGSVNAAMQAVFSYGGAMIFPEFMSEMRYPRDFLKGMWAAQSFI YLVYMFYGLFMYGYQGQYVINPSFLGIGPYNFQTAGNVFAMISSAIAAALYGNIGIKV IYNNIFVEWFHAPGLTTKAGKIIWTGMIPVYWSIAFAIGAGIPAFAGLSGIVSAICIL QFTFTFPPFLSIAYNMKRNAMLPEEGFDPATGPYARKDKGPKRWIRGFFGKFWWMNIF NFFYTGGALTLAALGAYAGIEIVKQAFASGASNSFVCKSPLQ LY89DRAFT_556648 AFSEEAQPKYEALSYVWGSEENPATICVCDKSDDSPFSTRKTWT SMPITRNLEVALRHLRYSVRRRVLWVDAICINQRDDEEKGRQLPRMGDIYQRARSVAI WLG LY89DRAFT_630068 MPFNGTCNDTLVDLRIGASEVPVVGSLTFHSIALIIDLSCMILG ISLSLYLMFRHALNYTVPRIQKYLIRIIFMIPVYSLCTFLSAYFYWYAAYFQFISAAY SSVAVTAYFSLLCHYVAPNLHENENYFRKLTPEPWGNHFPVPVAWFRACCCGEAGPWR TPRNGLTWFNILWIAVFQYSFFRVSMSIIALITQYLKKYCQSSMSPTSTHFWVILVDV FSAAIAMYCLTQFHWQMKDALAAHRAGLKLWCIKLVYAFSLYQNLILSAITSHYMPRP LEANPTHILAYPDIKIGIPTLMLTFELFIMAILHLFAFPWQPYSTLQEHTGPNQGGVW GWKAFGDALNGWDLVTALARAVMLIFIKKKRDEGEYVEVGRESEWWSFWSRKGGASVA ATELDIFGDEARLMAPFSGHR LY89DRAFT_711696 MSVAPPSEGLGSNFNYFLADGGNAITGLSVEITFAEPLLSASNG FGFQLNCYAQELSGAPSTTPNWQQYVVFTEPGDKTLYGIIDNWKGTVPAGTDAQVIND EATITTLATANEIPAGATVLIAPIFSSTNVITGITYTYTPPSGKAVSTSVTLTNLDVY GTSKKITSAYESPISALTLNIVGDYDGNNGVFTSGSGTIVYKANQPLTVLTNEPSYTA FQDGTGETANTVYGKLPVSDSTTITQTWGISAVGVPNFGPAVGHKLPIPPSSKQKRGH SLPIPRHIVRMNEAEYEQVNKV LY89DRAFT_338870 MMRNEEEECHGGKRRKLAPTTNGEGEETIGLLSHNVSSTNHLSS AQNLYTLGQKITHILRLVKLHFLKGRPERIFPLVKNHLLTTIIFLVPSFLHRTTTPSK LHSTSYLDGLRGVAAFFVFIHHSVIDWYPFLKYGYGSSADDHNIFQLPFIRLLYSGGA MVCIFFVISGFVLSKKGLMLSRNGEHQRLLDLLASSVFRRAMRLHIPTIISSFLSMLM VRLNWYEKRHWTPKRLGTFSEQWAHWWLHTQYILNPFQEIGGREIYWPPYNFHLWTIP MEVYGSMIVFISLLAFCKLPEILRIILLAGFTLYSLWSIGYWPVFLFLSGTTLAAIHV WETSPALPPQLAPATQGTSTLYLARFSSALPSYLEKSTPIN LY89DRAFT_338869 MHMLSYQLRYSPHSEQGECCGYSVDLLKANGKFDEKPLDANVEA SIVAAKEGEEALLKDSRSDACKIFVCATKVFDNSPIVFRSYNNAKASGSVSGINIWLP IVSTPSLILRHS LY89DRAFT_742085 MLSLMLPRKSINRPKPQKQKPSVDEQQPKSRPKPLAKLFKKSSS RRASSISSSNTEASHPHTTLDSPPLPVEGVSVWNAAGQDIRTLQQRNTSTSNLSSTST LLELPATRPALPHSPVRQSGDSYEAFLSHAQDMERRRHERDSMMAQAWLKAEERRRAS RSWPSDPWRGGFGPPASDARYGGAGAFSPRRVSSGEDGVRGWLGRNGLVGR LY89DRAFT_338878 METMIQIPSPSAFLNSPVLKPAPEPPPPPKRKPAPTTKTAAPPK KPTGIVKPKQSKSRNGCITCKAKRLKCDETKPTCQQCHKRSVTCGGYKKDFKWRPFEE TTFVNKTAPPKPKGRNSIPQNKVQDVTTGASPSDASSSSTPAEAEQDIPMQDSFFHAA APTPPPPSFTPPNGFQDMQFDHPPMFDMSTPPYMRELPRPRFEADTVTRSLSSLFDDN SNVPPNTGRPSFSGQSPRLIDLLLPGSELNARPGSVTVPPLVLPTQAMELSPMMDNQF LPPIEMQQENSLEDDIEEIIREPQMPDSDMWMSLRGPLLVSRSPTASPTTIIRDDPFN KIYRQPEVQAGSPEMLMLRFDKQTCGILSVKDGPTENPWRTLVWPLARDSPALYHAIA SMTAFHTSKEKPALRVDGMEHMRRSIRSLATGIEKMRTDTALATTLVLAFSESWDQHI STGIEHLRGARILVNQALVSHKKQNLIGDDLTRLKFLCNTWVYMDVIARLTSVDDDDT VDFEKVLAPLNMTSANGPAEIDPLMGCASDLFPIIGRVANLCRKVRKVETNSIAIISQ AIELKEAIVQWSPPPLPSIERPEDPTSEIQHALQTAEAYRYATLLYLHQAVPEIPSWT SAQLAKKVLVYLATVPLSSRLVIVQIYPLLAAGCEAYEKEDRQWLEQRWHNMASRMWI GNIDRCWEVMQEVWARRDAAEAAKLAAEKGKQRSGAASAMMHSESSKRKFEEELAMED MFSFSDMLTGVVSPKRQRSSFSGTFSPTQSQSMERRRVHEPVEEEMDPELTVRGKLHW VGVMQDWEWEILLG LY89DRAFT_338859 MGNNRDYRPPDGSLHRSQQQASRLGSASFSTSSSSSSSSLFLLI LYLPPILPLSSPLPPCLLIVHIRCRQLSCSQLKRFVPATPNSIRAGPRLQRISETLTP TKPPLVHTVIILLFDTPCSDPLNPPLGDDKGPPIQSLLSVAT LY89DRAFT_742087 MGNEQSAPTPRRRPPNKLSKPRTNNHSSANLLDRRASAPPSRRN SLSTTNGSPTKNRDSVILSDPPGDEVVEERKEEPSRKRMSLFRSKSAKPQSQIQTLEV NTDVDRSSVEPSPIEQLSQRWSREPRVRGNSVTTQSSRSSERPYSEPPSGLTKPPIRS RMSLQTYTHHPRLSLVAELPSPQPDKTIANRRLSRYGEQEDVSPRGLTQALRTNSESA LYTPIRRRSLLQHGVATRTSFVESDPRSSLPSQVHALEITPPRKPVPQQNISDDMRNY YYNPSQPTSSPLSDLVLLGPNPDFTSIGPRTETPTELEHIGAFKLGTLRITNGAASPV PSFRSADDDYILAGNARRSQESNRGPNPRSNTLSVPAETRKAPWIVRAESPLRQTHEL EHKPLTIETQLPLLDPGLAWFDFEGTEQLLKSTESPSKALELAKDYQQDLALSPFSFD NSPLPSPRLESTSKHTAIEDDLFEAEPDTPNLSERAPRSFDSAYDSGFAPPKRMVKGP RELPPKPLAKADSGYSSNISLRSFKRDSVQLEEVPPTPPRESPSRVPSSTYSVTSAYS VTSADSDLTLRMKRSLPAIPPVEPAPAPPIRQAPAPPLSPTFDRNKEVPNPPLPKKDS RQSLLTVPSKSTQKNTPTVQARNVREESPANSELSTTSSNSSRWSSKSKINKRPQAPQ PPKPEPVFTVQAFRSPSEQLRIPPPSIEAQRHLEERVETFPVACFPNTYPGHVGLRHS SSKETLGTIFSVGSAEVRDELNFARLQSALPPVPAEPSIPEHPVRETPKPEFNRRSTF QVAPHLPVTSAETSQRESRWGRKSYQMPKTRDKSLPRIRDRSLPRLPVQAISNEDLED HLTSYSTISSSLGKSPYELALNSIPKPPPRTNERAKSMTAQFEADAAARFNLARGNSQ TQEERPEPVSRIRSYDNMASRRGSREHPPQTHSNNSPWRPQQPMQAPQSQSPLAAHPP QQQYFPTVENEERRYSSSPKIGKSKSPPPVSMTTRKALPSTLNSQVAPTADKQQWTTA SNAWKDRRQSANATLQTRKSTEMRRPVQQDLRTRRSIDGPRQIQPRPSQELHARGSYD GLGLGLGKMQTKSWNVGVGYEDAHAYPSPPKTWNQEYDHTCGSPDKENFTHPPQSQDE YYEPQDQPSSDAQLSSSIHERKTSTSDMLVLDRFSGGLGYGYEPGVGLVGSAGTRNGN GGVRKSVQVAERWGVDLSDVPVILRRVRVEG LY89DRAFT_725168 MGKKWQFVSNQVEDGAGKDESTRKIVRKTAMKAFRRNQRLERVK NFMQEQGGIEDHGDLETLDERSEKVPSNAASGKPSYHLNQDSLGSSVVLFQPEASTEY PIDSFFHWPQEIVSLDPFGSSPLGTCSTWHFLFTHFVYHIAPMIQPLGINSHLNPVNT QWARHAMSDPALFHGVLFHASVHVESYGGRPGSSISTLFHRGETIRLVSERLNSSDGF VSDETIAAVGWVASEGNITGVTEQDGIHWHAIVKMLNARGGLQSLGWSGALELLLTLG NIIWSMVVGTPPTIERPTLTGSEFPNIPPSLIRDTSNTLAVLEFGEDIMTLLRFMSEL TIAQSTMIYTQGVIAEEIIVFNKLRAAAEHRLLSLRVITPIEFPKKQLEAAVYEACRV AALMCSNCIFREFIPRAVAFRGLRKAFALALTEIETFGDLEDPQDFEELLPWIYFIGG MLSSPAETEGYALRVSKSMSNLGFKDWAEVENCLARCLWSEKMQNKYCFAFWEMVKKY NDIPLNSINQSSQNKD LY89DRAFT_599986 MIPVTVTANNTLLPIYPNSTSPTAFYEYFGSLNFSRISPFANTV SGTFNISATYCEPSTNLEGRNAVQLLVHGVAYTKSYWNGNEYPDPEFAGEYSWVSHAQ SQGYATLSIDRLGNGASSHPDPINVVQGPLQVEILHQLTQSLRAGTIPSISQIYKTVI LASHSYGSILGRSLATLHPTTGADAYILTAAGPDVEKGFEATLPTFHARAASHVDPLQ FDQLPQGYLSIHPPSLRTSLYSYPGDFSPGLLAYDETLTHIFAAGEVIAQAPLESSPS NFTGPVFVLTGRYDQIACGVGNFTASVAQCNISEIGGLKTFFPKAKSFEVYIPDQTGH NLNTHFSAGESFGVVGQWLDNAGF LY89DRAFT_691141 MTSEIKYIEQTHESVFDIASDVIQMLLQAGETVGVAESLTAGSV MAALTSVPGASAAFRGGVVSYATPLKQLLLNVDAGLIAKEGVIHADVATQMAEGARKI TTFDGSLTTWGIGTTGVAGPATQYGKPVGTVFIGIASPKGSQAWGPFLFSGSRERIRE ATVVEALSRLRKALADRQVVEQ LY89DRAFT_339069 MPFPFLSLPFEIRLLIYAFYINAQTQTKHYINSETYIERCTRRS FTINAFELLQRTLERRYRHLLRAGWISLCLASHQLYEEMLPFIHCHPIRLTLVDDLFF NHAQDQLFHWPSQHPWLVANVKEIRLHLTFSGRQFRAYGTELEQAAENDLLYDMPSLT SVYPSEMSVRLMISGDL LY89DRAFT_600133 MVSSLPPLTHHITTKLPDGTTAFHHADLERHEKALQSKPYPSFP AAGGSACHVLDFDPNPDNSPGFVHRTNTIEYCFVLEGELELALQSGEKRIIKQGEIVV QRVCMHSWKNTSETKCARLAAVSIGSKEATEGEVETPQGKQSM LY89DRAFT_711699 MIVLFDGTGTVINLSCSRMKKIISIIWSGIHVFGARETTAPQGY GTAATVVNLLMGAHSGTTDEALVYPACGGQSACGGVSYANSAAQGTTAVAKAVNSFNQ KCPDTKLVLVGYSQGGQIMDNAVCGDDSSKIGLSDAALNMTKAVILMGDPRHIAGLPY NVGTCTASGFAPRPKGFTCPSASKIQSYCDSADPYCCNGNDANAHQQYGNKYGQAALK FIDSKL LY89DRAFT_339079 MEDIQNSRKGSRATATDAIANDRKRKPVDDHVVTGAGEITTRQS ILPVSLVTILFFMWGFAYGLLDVLNAHFQSVLNISQGMSGGLQAAYFGAYFIGPLTYS GWIVRRFGYRWAFIFGLCVYGIGALMFRPSGVKRSFGGFCGSMFIVGSGLSTLETAAN PFIATCGPPRYSELRLTLAQSFQAIGTVVAPVLASQVIFKNVDDTSLASVQWVYLGIA IFVFLLAIVFFLVPLPEVTDADMANQAEQAAADTDFQDKPLSKQYTLFYGVFAQFCYV GAQVAYAGYFINYVTWIRPGTSHATGSNLLAVAQGCFAIGRFIASGLLKFIKPRYVLM FFLSGVVIFACLVMGLTGNAGIAAISLVLFFESCVFPLIFTLSLRGLGRHSKRGASFV VAAVSGGALFPPVLGAVADALGGNTQHAFFIPLIGFVIAWTFPVYLNVFKAKSLDGWT EKVKVGIDPKRDVETGSEIGEKETISQVEKL LY89DRAFT_677138 MDPTMVSSSLNTAWKFTANALELFYAKPLVYTPTGAAHEQVIAV SNQNIIRVFDGLSGAVITSRTLLPPFASVNTNCGDIPNTVGITGTPIIDPTTDIMYFF SKGYQNGKAGPQGTINGAYRFYAVKLPSLADVFPPVMIDGHFANNDHTRYFFGGTVLN RPGLAMMENSVIAGFGGHCDNFNYTGMLVAVSKTNGAVTNIQAMEASPYAPSPQTLVI TVQGGGKAGIWHSGMGLAGDSNRVFFVTGNARGAGQNGGAAGKAASGKVYLSTLEQAV VDMSVSTTGAFTQADYFEPYAYDSLNGGDRDFGSSGVALLDGTTFVGGGVTRVAVAGG KYGHIYVMDANNLGGFAGAAGGDKGMLLSGVGSYPMEGGYIYFNPTGQPLICYKLTHD SLGRPNFAFAGQTAAVYNGQSVPTVTSNRAKSVQVWLADGTAGLVAFKAVPVGGVLVQ IKLPVGASTGGALKYQRAVFGAGRAYMAGAGKIIALGGGGTAASVPLSSSPSSVAFGL VSVVQTSTVSITLSAASALTITSCSTTSSLFQCGASGFPITVASGSSFNIPITFNLTD ANILAYQNADNSPLAPTSLSSLLDIFATDSTGTVSDISIPLSGTVVASGGYLVVYQTT VNFARLPAPLTFTGFAYQDYYGDMTFINVTSSIVGNGYTSTGFPALHSQIQPGASITI QLFFGPKALGIAASYLTLWSDGGYTDILMTGNAQNVAFSSLLSSTSILATSSSVIKSS SSFLLSSAKTSSSSKILTSTSLSLIPGSSTFLSSASILSSSSLSSSLSAAAATSSSTL DNPPSFPTSLSASASSSLSAASNSEIDSSHVDSGSSPISSSTSSSAVLQATTSTSRPS SNTASTTISIATTLQTSTYIIPIATASSGFAYQGCFRDVGTGHALPLLVANNSMTPEL CLKIVQSLAAKPTPTILPYYYVEYARECYGRKSFTWESSAITSLTGTKACTDICSGSL GASSTGTAKCGGSRMFDLYVAASAPTLSAVYTLTK LY89DRAFT_659304 MSSSTLSPEQAHALFDILTHYQLYSEVEGFKWPTAIQNYGAPFS KEDPAQYSSPLMQKMFTSLIVTLPGITTLPPDFWQERVGTLIASLSEARLSESYDKGT MGTRKTLSTASSVLIENCARGCFGGCPTVEEKPKSQYDRSKAEDIKQAWERAAHELVY GDLIDELYDGIAKSDKLEDLSPLVQAAIEHILLITTSFVHHVFVLSPDGQYLLRLLSN VNKLVPYMAIKQTLRVGNAATMINGMLKLILTKLSVTAFTNWIGLSKNSDDGMNLMQQ IISTVLTWDNSDFKDIASKIEKAKDGPSQEHLDAIETHIQAGREEHDKVRSISIEQSK SIVNVIFETAQYKPSTSLSDVQHAKALEYYSAKLSIRDRKELIRVLCHQYPDNLTQTI RDVVAVYDPLIRSIHNGVDLSAGLGDLQNFLEDMIKTIKPKSGNGNKAPSVEDFVTLF RTHLPSCLRFLHQVAKNCPEVSSTFRQWCKEAIQQFRKKQSSDAQETGGAGSMTAHLT TLCASVSEVQKPGIISALDAHSNYLSSLNKISMQRAQSVLDNKSTTMYGPGAYLARWH GLLDETLITPATPQGPVRRGKDIQFKDEEGKRKASGKGWWDSEQIAQQVMVEVPEQPN VDVVLELLGGPFRDLLNKDRSSGKTGVE LY89DRAFT_600285 MPTPDADQPPPLPPKEWRAAALPAPMEPNPMPTPPRQKKKVPWK GKSILVLLPWDDHRGQKGMAPMPMTTKDVAAMLKEWEQLGYDTTGFNLGPESVGVDEG SQGQSRSPWPLGQDVVNERAERNFKISIPDKKQWDAYVQELQEAKLRALGVSLGDEDP VPTISPAVSNVSRRTSMQYPPLPFSPPIPTSSANSSHLTHQPNPFSPVLMPGAGMSTS QSSNPGSIASPASMNAHMQGKYNPRQSVSFTGAEHPFGSPFQYPQQQSPGVWSPQQML YQQGHARGGSPSLHNLGAMMSPASPFSQDGYFPQNGDVLSQMQQRQQILQNQLAHQQQ LQLSARASPRLQEVRETEDEDLPSKSPSKTPEARQIKHNPSASLQKEIDDAEYHLEEQ FQRQLEHDDYSPHSDKAEDTFEEAAKSHTRNPSGPQGLGASRFASDDSEEGPILHHPQ PHSRGHSLSQRPFHDSEESAPESKLLGAAAKPDLSDIETNPSNLGTPIPSMDLSSSGH GRAMSAASNPWADSDANHTEDSSRPKLGHAAKPSMSKLNVAAKEFKFKPANTFQPNQF AFGANNFQPPAINAYTAFAPPVSATSSHFSNNSIASSKGRINVGAPAFTPGQSEFSFS ASGPSFRPDAPSFTPGTFSDSVGSGSEGPRTSIFGSIDLSALGISKPAKKNKAIPIIR PDSSQSRNLDDETLEDKDGRITQGEGRFKRAKGGKDDGDSVPLFADSMPLGETNREQS PPKESLPAPTTQADKENSAPLGDSGEEETTSVPAKSHARDFSMNSPESGKDWAPWEFA QQEQAADFNSARPFTSKRYGSGLPAYGNYALSSDEPQTPVEPEKPKGHKKSTSSLSAT AKPFEFRPGAFNFTFGQSSESVPAPITPITLPKTGGLSASRYASSPTPPAEVPSPEVI LDRHESMQFQASLPGPESPPTYVEDEPQEPEEDEELEAEQPEAIHEQTVEEIDDILNH LNQAEQSAARETEVPTWLQQSPQRQVQMPEPDNSSPIRLLPQNLMRSDAPSPSPRRFH PLPGQSGVFGRVPEDPFVGEAPVQSYGSPVRQLNRLDSLPPSEWDDVLSETEEAKLQP RAQFFDNHVNELVGGLLSERLDPLERTLETIQMSIDMMAARAPSSRRERRSISGALSD ADDEDDDNPRRSLSPRRDKKMEKIRAIVTEVLNAHQPSRPATATAPSILPDSRNVLQA LEEMKEQFGASMRLDLRGEDLRNIVEEAVERRMPASPKPFFDEAAAAREAEYKSRIAD LEEKLLPAKPIIDEAAIAREAEYRARIADLEEKLFRAEADGTSRVADLEERLGRTEDR TEKEVSTRRAAEDRLAEVQRLLRISSEEEIRLREAMDEREIKIREIVDESDMKVRSVE EQRAKTTMRIALLEAAQENALRSQSELQNRINITEIDLREARQETQRWQMEAERALEA ARRHSDDAEQANETNKDLRRTIDSLRTQMEESIRVREGMRGKLIDLQEDMAKAARDIS DENARRAKKEAELVARQEVLDAKLQAEARTRERLETEIERLEAGEREGMRAVNDAKKL DLLLEDLRQENHLALKDAMRYKREFEEARESGLAEVQRTTHYMQGQIEAANNQVNVVR EDLENQVARVRAELDQVKLDSDTTRAKHEMLLEEVETTKQSMVEEMKRKHADAIEDLE TQHKRQLDNIVEDAERSERHFLERLSLSSAKTEHLQDKVVHLEEKLEIANQAAAAAAT AAKTARSASTSVHGQYKPISRGTELPEKISPQALRESIMVLQEQLQDRESTIEQLEAT LSTMDLDAPTKIAKRDDEIMWLRELLAVRKGDLQDIVQSLEADQFEPERVRDAAIRLR ANLQMEEQERERALNGGSALNLPNIAASLRDGIASSPRVAQAVGPLAAAWGNWRKGRA DLTQEMQPRHSRSSSSTPARASPGTQSFLSGLLTPPASNARQGTPPTTASLRQEQPTA FGSTGQRMTLSQLANQPRTLPVSAKRMDPSTPPMMRKSSYDADARAEDFSDAGFYDDD ESTVEDGFGGGGGQGKGKGVARW LY89DRAFT_659307 STSTLLSSILLSLSCLDTCYPSSFPQRTIAYLLPPYALTFAGLI GCLQLLPCLLALCHSFINSAELHF LY89DRAFT_691150 MHLSTLLLATAPALVSARGSLGFALGDKKADGTCKFQADYTADF ATLGGTSKLVRGYAASDCNTAQEILPAAKAAGFQVILGIWPDTDESYNEDLAAVVKYA PQYADQVYAITVGSETMYRGNFTGSQLLDKINDVKTALGGNFKVGTADSWNKYQDGTA DPLIKGGADILLCNAFSYWQGQTIANSTGSFFDDVMQAFGHIQEVAGSTTTGPELWVG EVGWPTAGSTYQNAVPGTSNAQTFWQKGICGILDWGVNVFSFEAFDEPWKPVSTGQDG SVADETHWGVWNADRSSKYSVSC LY89DRAFT_339205 MERVTKVFFDPLATTKVQIRALLEKLPVGSAHPFSPERRLLCRT ATGINEIDISSYSENHFFNAVDLGELGQTLQTRMTKFAGQLRVFNAEYTVQQAPTSTF KVPIEKDNIAILAVSERYPTYYDLCVANAACKTSCYGARATFSGMAHSGDINEGTDLL HYIKIDQRLEAGKEFAVFVSGFQCTKLTRFRERNPVVMIANHTEKALYIVPVPLDAAS IGEATICSAVVFKRERDALICSILPTPTTDLGKMCSGGSLQSTSFGAAIARADWTISA PALPSTTDLADAISKPTPTPKGALASNKRDVVFVTQMTTLPSVITPITTQIIRFGKGL EFLLETEALTPDKSPSAVVLPCMFGSQLEGPLLLATGKTPKNIPFHNEEALRAYYENF KSVVVFVDERMTDNARNLATPWRINAVFVIQTKQAAPSDDGDVKASLDLVNVNAVTAL SGPQSLVLVETSGKFYFYRGIANRTHFNTSNLAFGTDVTSIVESTGLGSLLDPRVKRM VNLREPNTVLLPNLGQKAQPEDLKALFEKLSLDQVQCLEHDISAAVPQLQVLLSQKDL MVLSRALISILSKKFEEGMAPLRAAYIKFLTKAFQMTDPESVKKKNQMLSEFRKETKG VQKALESIISHLSNMMSSQTTSKRTHDLQRLQRQAAIQNNVESVKSMTFETLAGLLET KASEMGVLFLNIKTTPYKQLLGNLRDTSLDAGPCCDLDSRTLNLDGFDAGIILEQSQA EHDGPLRHQHGASHPTLAVPYLSQGSGAGSKLAWVCWDEFVNLKSPFKVRWMEKCNDA HIAALRIMMRDTLSRAVASREYDFQPASPEIGQLMSALLMAAMSKLAAMRTTAPTRTV KAEDTITKLMRGLFGNLLTTAGSGVRPLSMVWQLFGLEPKLEVPSTAVAWSWYEFVVK LYPFSGWPEAQFKLNLEKLLEKALLAVITKNENTEKIKASRMDWMVKYCQLRNIQLEH SRTFVTIFIRLMNVENETERMEIATRLLAALPPRLEKQSQSYTSMMRYIKYIASTGQR REGHDIVVVSVYLKRSAVFKSLKQAVASACKAENYAEIKKHCQALMDKFQEIAETWDL QNANLIMQNAKAYRGLLDCNTGDDVDEATKTQRKDFMKQVISDAEKSRVPWQVGKEGE FGDDTEPLDEAFLHEIMTGEKAPVTALQPTFESMTVQQPQGMAQFAALVNADFITKMD NAITPQDVCKIMNVPMSAMRVFAESLNPAFDWDKDLEVNFKNIVVALLTDRKEREKQK PIRKLFNMDQEVLMLDKGVGDGK LY89DRAFT_556939 EDILSNGFDINARREPYGSALIMAIQGGHFGIAELLISRGADVH LSIPKYGTALHLAAAAGRENIVRLLIQAGADVNAEGGDFCTPLQAAAANGHQMVVLFL VDCGAQVNTQGGKYGNA LY89DRAFT_742100 MAIYQTIDHSKSFQGQAPWVQAPPLGNTVLPAPGKHTATIILLH GFANSGAAWVPIAKHLQTSQPHIKFILPNASIIKVHVPIAGAPGEAELPAWWDPLLAG GLRERWSGRDTPIGDVEGFKDASGKVEKIIEEESRLVGPGRVFLGGLSQGTVLSLAVG LENDKIGGIIALSSSLSVPDSLKSIGTPQPSTLKKAIFWAHGATQSVDYLVNHVGFKK ISRYDAPTAGTITWSSYEGLKHETNIEELEDIQNW LY89DRAFT_742101 MSSHTNFFARVKAPKHSEEDWEAQKLNIERFEKQLKSRLPKWGL DIKNLKRETMVQIAQKKAKRKVFEGKESSFRVHKRLVRDQNIDRFLQRHAISEKDLLE MNSPINAPSPAFSIFTPKSIVSPTPELDHTSPPIDSSTSSNELNILPMYTGHVAKITK YQSATIEDVVDEDLESSASLIRAQTGGEDLPTTDKQHSSSFAAISSKAVEVRTSRPYA YIPPPPLKYPSTTPENSERRFIWVTSPSAKQSTTLSPELGARIPHSQAMPSSPCVNPS SLQFGGATEVDDYDARVWNRKAIFFNLLASARARR LY89DRAFT_599942 LLKDYSSVAPEDVSQHVHNIRDKAWAIRPYPCTGMGNFLDSMLA KSVAYPTIIARLKAGDSLLDIGCYLGQDLRRLVLDGAPATNLYGVDIVNYWDLGYEMF RNGDKLSAKFMEGNVLHLSTDLEELVGKVDILSVTHVLHQWEWEGQVAAAKELSLLVR PGGMVVGFQVGTAGGKSKQDWDGGRKTHDWMKQDAESWRRMWALIGETTGTKWSVDTA QLRTFEELGHDPKEVTYLGRDARILKFIVTRIQ LY89DRAFT_659320 MPCLGVLLSGEEADKAEFEHTGHIFLLHESQRYNQSFFEPKLLR PCFDHELAKHWLDYCSKNHKSCQDDADEVEVLKLIDCSLNSPAVVPAPRAAKYIALSY VWGSPKKLPDVQTADLSPDDSKFSKTIRDAITATQQLGVQYLWVDKHCINQDDAQEKH NQISRMDRIYRGAVATIIAVAGNNADHGLPGVGDTFREQQPVAICQDFTLMSTMTHPH SSITSSSWNSRAWTFQESVLSRRRLVFTENQIYFECDVMNCVESLP LY89DRAFT_691152 MAFVLQSAWMGLSGPLTTQEALSGIFGSISLATWIFLLIPQLIL NYKTGKAEGISLAFLFVWLVGDVTNLAGALWADLVPTVIALAFYFCLADFVLITQCLY YNHINARRARQASVASTATEEEPLLTRRRSSDTLGLPGSHRRRSSARSGGRRDSLTKI LEEDEEDGNAWMRNTLSILGVIAVGAAGWAIAWQSGVWTPTPEDGGDLDEAMKTALGA KILGYASAVCYLGARIPQIVKNYRDKSCEGLALLFFLLSLMGNATYGASILCHSVEKD YINTNLPWLIGSLGTMAEDAIIFIQFRMYSSKPNSSAIE LY89DRAFT_725178 MQLETKYHILLLGGTGICGLIFVRAALEAGHTLTVYVRTPSKIP AALFANPRLSVIQGELGDEGGLRKAAGCGADVFLSLAGPTMGKREGTPITNAFKTLFP LLLSNGTTKRVLVLSTASYSAPEDTASFKWWVAVNCYVKVIGGDTYEEIVGFTEETVA LGEKIKWTAFRVPLLRGEDLNESKGEVNAAYVGDKKGRDGLFLDRGRLARWILNELDE GKWIGCCPLVSNA LY89DRAFT_339322 MRANVLRTLLSVELDDMNHATEDEVSSIQCDKFHECYGQAKKYF PYMDSVTELSVFLVL LY89DRAFT_659329 MATTRIFACFLGASLAFAQDTYTFTPSISFGSNGFANWGAGTWP PASVGEVLVPQSTDSETLSLLQQMDPARIQTTIQTLVNFGTRHTASVTTSPTRGIGAA RIWLMNEVTELAKPSNGMMNVSMPCYLQAAVPRSGMPIAAQVCNVQVEIKGSVDANRT YVYTGHYDSRRLNNSDYTGDAPGADDNASAVAIALEMIRILAPVVAKTPPAASIIIAT VSGEEQGLYGSNFLAQTLKNASRNVQANFNDDIVGSGSNAPFSPQNQHTIRVFGAGTD YLTINSSIIKEIISTGYQDDTPSRHLGRYIQEVNAGASNVTEMDVALIYKPDRFFRGG DHESFLSAGFPAVRFTEPQEDFYHQHQDPRVQDSVTYGDEIEYVDFEYTARVGKVNLL SLWSIANAPATPSNFTYDTNIGFLAESLDTPPSYLENIIKLYWDVADDPLLDYYEVVW RPMASQQWTHTLNVGLANTTIIPVSKDNAVFGLRAVGKDGKKSPATYTLAVASQYFG LY89DRAFT_765084 PPSNKTHYLTETTAYTILYSSFLPISIPFYHGPSPLFPHGLILG FADHGDMYEYIYRAHRIGPLPAVKDLYRWARQATEGLAYAHGLGVLHGDIHVVNFLLS SSEDEERVGELDLQVADWAGASIWGDKSCSWYRLTQRDRDEEGKKVDMVISVRSEIFA TGCAIYHMVGGKDLWEGELEYGIGRRL LY89DRAFT_339352 MRRKAHTISSPKLPEDLQAKLEEKIISPKLERRKTFLDGQPSPR PEPNQTAIPLSLSLHRRRKSRGESPGREQTGGPLSLSLHSRRDSRSEMPKIEERRPVV ESVDYYPPPLDTQAELAKAERRRSLFSAAERQQPPPEVKREESIVEETRSIVQPNQPQ LTRLDAKPEFMKSEQRRSLLVGGPSVRPSWPERKTLFMNRERILAGRDSITAIPKPAY VDACVQTEMTDAEICAHLSSIPVPIAMPTIPLPPRSSVAIGSMADFFRGQYSLGDALR YV LY89DRAFT_339504 MGVLSTESGMKLSEVLEKLETLFKYSGMEENEVRVETELISEIE PYELRSDRGLESVAEAYEFREEGGKVSAAVSNLGKQVIREVNPQFSQSVSISTFWDFA RIQRCQGHHPTPSPQLKLACSLEWYDAALANLSMIFESFFTPRQEPPAALTALVRIGP PGEYA LY89DRAFT_789096 MTSMLIALLTAPALLATQEAITQGQKKDRREEHRARRSALIVSC VDASPLSLEIDHRQVALKNSKLFIKTDPCDTSLHPFGGYYLPYPDAGYEGLVSTITDV APVLNWIYVDKETYEVKYGVKADAHPNITGPFNCTRQDKRLTLEEWEGFVAVKVEEGE NAGMWELYYDKDDDLLAGKLGSGRKILEVELVRWEKKVRKGKPLGDMR LY89DRAFT_339410 MSSTAVFNQTGNAAYSPSEDSSTRNKSLATNFQNAGLPSSDSLR APAGGLLKGATDEHGNLKPAALMVGIKLDLEAEVHLTARVRGDITVGLY LY89DRAFT_339338 MSKVLRDWKKYSVLAVLVLQNSALTLTLHHTRVRPVDGNGRYLA STAILLVEVIKLIVSFGLSFHETCRNHPSDSPPKVLTRLFKEVFAGDGWKLSVPAVLY TLQSSLLYRAISNLNVATFQVTYQLRILTTALFSVLLLRRQLSVTKWLALFLLTFGIA VVQVPEASLRAKSLLSLLSPHEETSELMVRDEKVKRTMAIPGPAMNASLGLAAVLAAS VTSGLTGVYFEKVVKNPSVTVSIWTRNIQLSFYSLFPALFLGVIYTDGQEISKNGFFV GYNSLVWTTIFLQVLGGFTAGLCIVHLDNIAKNFALCVSIIVSLLFDIYVFGSSVPIN FVFGAAIVMFALYLYSRQLPLGK LY89DRAFT_600243 MPSQPRAPRPRLADVVRSKAFRRAAKIFVRILTIILTVCIILQI LLGYVIAKDPRLFPAALQRSKNLLIVTAHPDDETLFFAPSILRVLDNRKTTGGLLVLS NGNNYGLGDVRKVELKGACGILSIDDDRCEALNRPELQDNPKKWWDEHEIIAAVKEYV EKWEVDAIITFDHGGISGHINHRAVSRAVKKYASTDAKAPVTYLLSSVTFLRKYTFLF DLPLTSLPFTWRIIGALLSPIKDTEQDFGGKALIANTWPSYLKTREAFRSHDSQYSWD RSLYLILSRQVWFNDLVRVERQPQ LY89DRAFT_600211 MTATSPVFRYNFRILAVIVLVVLIYFRHSIQVVLSITTLPITWR LTSSDFFISQQRDDFDITFGNYSENKQASSPEYPDLIPPILHQISLGHKQPREAWVIA RNACLSYHPDWETHFWTDENAGQFVEDKFPHLKSMWDGYKYPIQRIDALRYMVLHEYG GTVLDMDLECRRSLGPLRRFGFVAPAAHPVGFSNGFLMASKGHPFVGELLRNLPIFNW NWLGLPYATVMFSTGCHYASVIHALQGNRTDLRILENPNLHRLNGHVTTPLFHHLGAS SWHSFDAHFIVSMGKLAVPLAMFGISCGCILVFLWAGLLQCKLARVRRASVVEKSFVE LY89DRAFT_765088 MVSDTLTAEQTYALFDILTHDATYEEIAGLKWPGAIQTFGSPIS DGSGPISSPIMHMLLEKFVVELPGLSTLPPAFWQQRVQGLLFKLAGSELSESYDKGAL GTRKTVATAASAFLESVVRGCLGGCPRNSAGDNSNNGDPSHLEQAWDTVIQDLVYEDL VDVLFDKVAESDSLEHLPSSVQDAVEHATIVGATFLYHIFILNPSGQYLINLLNGVHT LIPYAIMKQTLKIGNAATMINGMMQIILTKLSMTALANWAGLSQKVDDGSNLLQTIIS TIFSWDKTSFRKSMEKIEKSKGGLSKQQLDAMKWYSKQPRQTHEEIRALSIKRKKSIA AVIVDSVNPNLSRYMSEPQHTQALKYHADFLSIHDREEIVNVLCRQHPDLLTQAIREV VAAYDPIIRSVHNGVDLGDGLTDLQAFLSDLIKITQPKPAGAKNSEDFPSVQAYIDLL HKHIPRSVHFLHLIAKNCPDVREAFRQYAKRALSAFRQSEPADKASPNDGGSGGAAGT MTPALQKIYSQLTPAEMAPVGAALDAHASYLASLRALSIQNRTQNRTQNAALYGCVPY IARWNALLDETLLTPATVDGPVRRGQDVKNMDSNDDGMGIDVAQQRRAMAEMSSRPDV DVVLKAFGPKFTAVLQEMEASTMK LY89DRAFT_765093 MSGRLRPTALVPAIIAMAAFALTLVLVLAGRQPNQMSQYYLLSM NTSHLGDNIIQFNPTTAVATPAASKAEIQPRFDISHPIQSIGSGLDSIFSGIGNLTGL GNLTSNGGPITGITNAIEGIITNITNVVDGGLTTVENNLVQELMQSLGVKDCYNVYAG NVCSGNYTNTSDPNAAMTMDNCPTWGSIGAGLSNTSIPSSISIATVNVSVPILQGGGG GAGTIGSSLVLVMTLLSTFQIIGLVGSGILALGSLASVIMGRSRLIAYTVFAAAGLAF IGELVAAILITVIAGIVSGLINSVGSGVSLYVTSGKRALAFAWVSAALVILANIYWLA VWFVDFRRHSLKVRRRGTDQIGNWKGLGKELLSDLRSDPSLEEQLLKGGQ LY89DRAFT_691162 MDKTKKVLDQFGEEHLGPALLLTGDALTEFQTKHLGPAVSVSIA TLNQFGEKEIIPGLYVTSTSLKDFRENKFSPALATLSDFGREKLVPKLDEAGQWIQAH PGGTAVIAGAGLITMYPGLVTTPAFWILGFGSEGVGAGTAASLAHSSIGDVAAGSVFA TLQSAGAAGYGVAIVDGIASAGALTVGSLKIFDALNSGKPLKAKL LY89DRAFT_691163 MKKSKAPVVLQINRASRAEALRFFTKCTLVNHQAGRVLYVNFDI DRFVHPGPSQIYWGGVRLGLYNMDATDMAKIKLLDMEFQYHNAPERFKFSSNMLFLDV L LY89DRAFT_677157 MNLAENAEAYAAGTNLGWVESAWAGIQESIEILAERKIKVVING GSLNPSGLAQKVALLAKEKGLEDLKVAFVEGDNLLPILGNDLASLKKDLPPHLDSDNP DVKLPWNLLGPNSESVPLVSANAYLGARAIVQGLRDGADIIICGRVADASPVIGAAWY WHSWKDTDYDRLAGALISGHLIECSAYVSGGNFSGFTEYDLNTFIEPGFPITEIDADG ACVITKHEGTGGMINEDTVKCQFLYELQGSIYLNSDVKAYLNDVRIQRVGKDRVRVSG IKGAPPPPTTKATIFYQGGYQSQILVNATGYGTAQKWQLFETQLRRKLSISSADKDLS ILEFQIESRVGTPQPNPKSQLNSTTYMRVFAESHSQEALMSLLIAFRDISLQHFSGFH SALDMRTAIPKPFLAMYPALILQNSLKETVTFCDPNNGAALEATNVGYPPKYSLLERR ENIPTTYLGKQLSSFGPTKPVRLGDIVLARSGDKGSNLNVGFFVHSARAWEWLRSFLS SSKMVQLMGDDWSDEYFLERVEFENIFAVHFVVYGILGRGVSGSTRLDSLGKAFADYV RDKVVDVPVEILYGSKM LY89DRAFT_677158 MSTLDEKNGMSGQSYSVPRESEKLFREGVLRNPLIAKSLPAGIE KFADKVKYVGSEKPSIPINWRFAESTSALKGLEATVLNALLVKKYGIEPQEVVINTDH AQLFIMSTLIWTIDPTGEKIPGMSPKSEKYFPSFDIHRQASTHHRNSATNIYKTKDGR YFHLHGSMNPDPSLNSIGLPLELDASSVEESWKPFTEKIGQINSQEMQRLASDVYKQA GTICWTKEEYLNSEHGKANAHVGLYEIHSHPNPAQRSGWWTDAPLTGIKRPLAGLKVL DITRVIAAPAIGRGLAEIGASVMRVTAPHLVDYSSLHCDLNWGKWNTFLDFRKEEDRE KMRKFVLEADVVISGYRPGVLDKWGFGLEGILKICEGRGRGVVYARENCYGWNGPWRD RSGWQQISDANCGVSMEFGRAMGNDEAVTPVFPNSDYCTSIGGVTGILTALMRQAEDG GSYTIDVALNYYSQWLINSCGTYPEEIWQDVWNRNGRQVFRHYHNMTYTLPRYMKMLL ENSSTTVLNPEWFHTIESKAAGAKIRCVKPILQFPGNKVQLGFNVGTRTNGVDQPRWP HDLMVEIVTKDN LY89DRAFT_691164 MSARMMRSFVALLVFCTFISAVCAGKMEDVIHQRGYNAKLLKKK DANAAKPKPNPIVKRASATSSVCTPVSTPNPTAPPSCGGNNCANEFNKQFTASLDNLE SFCSSWTAEESAVFAPTGTADWATNCLGTSTDATAVASKVSSACSCFVPEPTTCSDDS GFRFLNDNTKPFQVTSLPEVNFDIGEMYSGNIPIDEDDPSRALFFVFQPTDSGPVDEV LIWLNGGPGCSSLEGFFQENGRFIWSWGQPQVTINPYSWVNLTNVLWVEQPVGTGFAI GNVTATGEEDIAQDFVKFFLNFQNIFGISNFKIFVTGESYAGRYVPYISAAMLDQNDT THFNVSGALMYDPCIGDCSFIAEEVPAYPFVEYNNNMIGLNASYLAQLKAADETCGYA AYRDQYLVFPAAGVQPPVPDYSSECDINNLATNAAFKLNPCFNSYEIVTQCPMPADPL GFPTDLAFAYPALTPLYFDREDVKIAMHAPLDVDWVECSGPVFLNNNHDTSVDAIQHV LPQVIEATNRVLIANGQLDFVVITAGTQLSIQNMTWNGKLGFEVEPSTPIVITLPDLL YQQAFVENGYEFGFEDPQGTMGVQHYERGLMWAETYLSGHMQPQFQPRSSYRHVQWLL GHIDEL LY89DRAFT_742125 MLHLLKFFFPRRSGANSLQWKCASKNEPRVINLHLRSSEGGNQP DAPGFLTASKESRSETSRDYTACKEVANIKNTRTTRSRTIYIDFRIDQLWIQCSGPHP VVPTIPLNFDHDILPLIERLTFRAAINLLSWYLPRALNHWCSLPRLTHIVLAMHTPLH FPKMSNEDTLFEEMVLQMGKAAMKHVDGDYVLKDVFNEEESDANKKKLDVGFLWPEYR ELDLVPMSAGL LY89DRAFT_789108 MQLSNLIYLAIGSILPMSNAFALDSRDVPGPGACWKASNTCYGE YSGFYNGHTYKNYSYDCNCATPCLVDGTECVAVYEPAPGEGDGLIVDCSNVKCS LY89DRAFT_725192 MRCYLVHFQQDNTRFPQLPQNCNSTSSLDHRLSRSLKPRLLPST TSESPPQKHTPKPWHPPSSRARALRSPLNLPLLGACRASSPLSQSCAWTLHSRSPLPF PKLPAELRNLVWEHASPNEASRTIGIDLRNFGRCAQPEAPGILMANKESRAEGLRFYT ACKETVNVEDTQVTESQIIYVNFEVDRFCVPLEYITSISPTHTLNFDDTTLQLIKLLS FKARHIEYSLRLAPPLDKWMSLAHLQHIGFLLLVLMAHEFRNDLHRDVLADCMENGLE DTVDEEMQLQNERESWNGVDLAALASSFMQQALESGPDAKVKLSCMWQEYREMDLVPI PAGFQRDSSYARIGGYWWEWESWEEGGLNRSHKNALDLYLGICE LY89DRAFT_742128 MEKNPGIEDNEIKAVAQSGSPGSIDEGNAKNLFTQDEYQLAQLG YKQEFFRGLGLFENWAATFTSMNFASGIPVLFGFVMYTGGPTAAFANWTMVGGLSFIV SLSMAEIAAALPTAGGIYYWAYRLGGPEWGPFLAWMTGWWNWAGWVSVVPGVQQGSTN FLISALEIKYPDAEVLTKGWFAWLLTSIGMFFAMAPNIINQRVLQWYFRFAVVIFHVL VVMYYIWFPIQASRRGGFQPASGVFNHFYNGINEGTEKQASDAYCWIISILFGAWVFY GYDASVHIAEETKEASEVVAKGMWMSTLSAWLMSVPTLIIILFCIQDFDGIIAGTYAN NWAEYLVQLVGENGAVAILSLLWVDSTCATASCFMSAQRVTYAISRDGILPGSKYFRR LSKKSHMPIHAAFLVYAMSVIITTAVIGSVVAFSAITATATIATNVSYLFPILARHTV GRKIFEPAKWHLGRFSIPCAVVTTLYISFLVVVLLLPQLYPVTAQTLNYAPIMIGGVS IISLVGWWMPFGLGGRHWFKGPQRTISEEEVAGATVVGTDVDTHRV LY89DRAFT_339816 MRHSNIAIALIAAGVAAQNNASTANGCNDGFYPGTDTVIFTVPY TYAQVMSIIGDYKNITWSGSPYDTVTLNGTDNTVGTARTYDIDGAHVVETITTYSKSP NGPYDEIHVLSLITIPEANVSIYADYDGTTVTPICSGAASTFNFTINFCATNASLAGS ILHTLHLTDAQTVGVFLGGQNFSSCGTIANATATSTSSPTSTPSMITTNSANRNLVST PALILVAGLLGWAL LY89DRAFT_339828 MALSETAICAAGFGIVLSIICLVPYLQQNRNWTKNNLVFLPWIE SRKEETLDFSRTADQVSVSKYPASWWTDEDLYQLECRAIFSKKWLFVTHASRFQKLGD YRTFEIAGFSFLVILGKDKQLRAFHNVCRHRAYAVTKKECGRSTVLGCRYHGWSYNTQ GKLIKAPEFENVPGFDKDMNGLWEVAIEIRQSLLFVNLEAGEPQQRLDLGSTEVLLAK WKISDMKCISDWKFEAAFNWKLLGTLMSDHTHNQISALARMVPYWMRYQQEDTQMLHT TLVRRLASGRILTIKALPKSAKTSMVECSIFSKYPKNPRQLEELKTRIYHDIERLESM QRRVSLGQEPLSSLAPSMTEQDEIDVLLKAHREYERMTGSEIHPAARTQNFTLDGKAD DDFCRELENPDSVCGANAKGLLDW LY89DRAFT_691166 MAALTRTLPASWFCSKPLYQLERLAVFLKSWHLLGPVTRFQKRS EPVKYEIAQITIIVENRAPECDDINFDGIVVYAEEENREIKTHFTPSGLLFATLSLDA PSFEEYFAGLEELTNKVDFTKLPHRRSISYEGNFNWKTMIDGYQECLHCQYTHPSFSK FYPPTFYSVTNHSTFSQHIADPSKPADGLFLYFFPICTLNVYGGGMSSFRTLPSPNPG MARMEFDYYHSGTEAEFEEYYKFVRQVALEDFELCEKAQWNLERGVYGEGVLNPVKEN GVAFYQRRVRECVYQHFEEEKRLKEGETKEVVGGEGVVVKVVAVQ LY89DRAFT_725196 MTSKGGPTELKKAPAQTLTTKKISRREESMASASDLPAQKKQKT EPDSMEKSVGKPQQTVAPKQKRNAFSESLGFDTIVILVGEDKYPYTLHKSRLCAISDF FEKAFTGLFKEANEKQMILNDVDIATFDGFVEWLYQNSISLSDKPKTEAKLDFLLDVY ILADMIMSEALKNFAMDKIQDTMYDAAHVHLNYEGSILNPTKLRGVLSLASVQRVFEN TTSSRDAPIRQYCAALASHWIIRGGDAKHVAGYFMIDGFVEEFSDYQTSTRHKVFGFE HEDYGPRIRDDPRISGEHPVETECGDMPIGHEICHFHIHRESGKCMSITNWVDHRHCP ECYSD LY89DRAFT_725197 MQTFAITTLLALAAASLTSAAPVAEPRQFQAQLTFYGAAGASYS LSVPADASEFYIDNPLSVSKISLEGGASCGIKGIDGSETVVVGANTVDVGPPQTQVSG SCLAL LY89DRAFT_630150 MEGLEGENSGEADLKCDLCSKSYQRRDLLLRHRRRCQGPKKAVT RRKACDACVQAKVKCSYAQPACSRCSLRGTRCIYTVRSEASTSMHQDSEDATAGPSNL QSSSSEIESFASSSVGTEMGIPAWDFSTPLYSLNNFEMDMPDIGNPFADSQSSMLRGT SEFPPSSQAMLSSSSNVTAPLRLVPPSHDSTLSAENSPSVSDSRALVQKLDEYPSLLM KSSFFSPFLHMSLYSLYSNIVPDMTYLPQTSMAICCGSETHNSDNNQFIKRAIDAARQ RLIGSFPSYECMQQWDALHAMLIYEILELRESLREEPETWKHDPRVQGLRSPFLLKMT QTYLRSYPEIQNPDIDAFSNPSSAPCSAATTVWARWRITETARRTIFFTNILNFYSNR DHTTGRQMPYYESLNDDLILHMPLPCSQASWSARNEEDWRVAIEQEFRTEIFLRDVLA RYTKEQIQVKFGTSVGFGSSDELRGLIVLCAREQFA LY89DRAFT_711716 MTSSSDTDFTLKDESPSSSQSRQDLESNKQNEQDTQLYPADALS TPRQILFVGTLCTAMFTNQVGLGNTLTTVGIIGESFGITNSGQLSWLIAGYSLTIGTF ILIGGRLGDEFGNKRLFVIGMAWYSLWSLVAGLSIYSSHVLFVFARVFQGMGPALTLP NGLAILGRSYSPGPKKNMSFVWFGGAAPLGAIAGFTFGGLFALVWWPWIYWSQAIALA CVAAFAAWAIPPIPVDPNEKPRTKWERVERLDLAGGLTGVTALVLFNFAWNQAVVFPI LPLSAFNSDIAFVFVCTATGWACFGIWVFYIGQIAVNIRGNTPIQMAAWFVPVIPVGL VSALAVGKLLGKVPASWIMVVGQVAYLTGSIIAATFQPNSTYWTFFFFSVLIITVGMD TSFPSATIIFSNAVPQQYQGMGASIVMTVVNYSISLGLGFAGTVETNINHGGVTKDDK LLGYRRALWLSVGLAGLGLVFSLIFVAKGHWKRGSKN LY89DRAFT_711717 MHQSVFVALAWSLAAATQVATELSVRIVYQFASNDTWLENIAVR SNGIILTTEIGPPARLLAFNANEANPEKQVLVTSDTVLGLSGISEGAHDVFYVTGANT TSDNIEDPPTNATYVWEVDFTQNATLPAMEDDLIIDINGIKVKDGYLAKLYSNVTAGV GKVIVNASPLDDFVIAPDGFRLNTTLGNSTKYAYAATAAENSILQISFNKAGGTNQTA IVAGDVDSTEIAEPTGTAFGRGEGQLNKLYVATGGGSGVNVDADGVETAVGAQLLEIQ LS LY89DRAFT_677170 MSSATDNLNILAKAIYGVLIASVLGLVSFGVIRLSCVEDVEPGE PPVVYSRIPFIGHMIGLLSQHNNYFTYISERYKGPIYTVKIFSSRIYIIQSPDLAQAA FRQSKEIDFNAIKAWGCRAIDYDQHGIDIVAFRPEKGEGAYMIDLHNEMHSSLAQGPS LLETNARVLNYLAKSLNAVHAGSKRHQLFRWLRDEYTIASAGTLYGLSNPVSDNPSLI QSIWDFERDLGLLVLNFHPRFTAPKGYDGRNVVLPAFLKYYEEGLDKNANGLVQGRAR AARQWGLTNEEIAKAEITIIMAAGTNTVPSVFYMICYIFSKPDLINPLREEVGKLMTR KTRDGGEVESLNISQLQSDCPLLTACFHETLRLNKTGASVRTILEDVKLDNQYFLKKG AFLQIPTGVMQADLKTWGPDAKEFNPRRFLNQDSLSKEAKKAQAQAYIPWGGGKNLCP GRHLAFTEIVAFVAVLVYGFELSMSDGSVMRVPKGEFQKLGVASISPEKDLDVLIQRR KGFEDAIWAFDV LY89DRAFT_339943 MKRKSTIEPFSADRLLSDLEHISNSIKAPYSPQAVQEALRVFGE NLSNGAIAIRTTNRAGDPLNFWAGEYNRADTISRAVNAGIVSFTHPTVLLLRSWFSMY DNEPEPSTDFDTVYGLAKTWIYFMRLRPVEEVLSAEHVPQSFRDHIDTFKSIGARLVY HVAVNYRSNSVNVYLQIPSEFNPKQATKVVTTLLPDCVPPTAIEMEQMVKCMKPDMPI VFAVTLAYPSGTIERICFYAFMVPKELALSMGIGERLETFLRETPCYDEREVINFGWS FGRTGDRYLKIDTGYCGGFCDILGKLKHN LY89DRAFT_765146 MGYFVVDFGLVLHQQSVSLKPLVDQARNQNSNGTKTQHALDFNL QNLQHRYWALNNWQLEAAWRGDLIDMPTVSSQKLAKLDRGDKIAKVFALIQILYLLVQ IIGRKVASLPSTQLEIATLAFAVSSSITYVLHWKRPQGVDSVYILKAKKVPTLELVTE IASCGATYVWAKNRTPKNIGTGFELDLAPFPNDGGNYTALEGDFSRKVWDRPGGNYEI LSQGLGAMVGGILFGGCHCIAWNFQHRSNNLGGRSPPS LY89DRAFT_691170 MERQSWQYLLPLLFPLAVLLYLYQFLGSNSSSPEPMQCGENSVV YSVKPDESCWAIASERGVTVADLMKLNPHMDCEVLKVGEMICVPSVE LY89DRAFT_339972 MDPTSKLSAAQSYQDEEIVEDQFSKAQQRYGEERSKRLRKEGND QFIDISTDLSDKFRHFQEDPWVDASAVKDAHTMFPDNRCEVLILGAGFGGLMHAVRMV QAGVRPENLRIIDTAGGFGGTWYWNRYPGLMCDVESYCYLPLLEETGYIPKHRYSYGH EIRDYANFVAEKWKIAGSAVFQTKAEKLVWDEENKEWQVELVQRRKGVPAQTLNIRSK FVAAVNGVLNWPKLPGFPGILDYQGQIFHAARWDYSITGGSQQDPSLVNLKDKRVAII GTGASAVQIVPHLARWPKHLYVVQRTPAAVDKRDQCETDPVWFKENVTTSPGWQRERT RNFHQHFNTQENPAVNLVDDEWTHALGLVGLAGNPGGPKSVEDIPAYLQMLQTIDFPR QDRIRARVQSVVTDPSVAKKLQPWYPSWCKRPCFHDDYLQAFNQDNVTLVDTDGKSVD RLTSDSIVVGDQAYPVDVIILATGFRTPIGCTPAENANLTITGRNGASMTEVWKRDGP MTQHAVLDHRFPNLFLCSFVQGSTSPNFLFAIDCNAKNAAYILAEAKRKAAGQPFSVA PTAAAAEDWGTQVAMRSLAMAALSGCTPGYMNREGELDRVPPEEQMKMARGTVWGHGV EDFLEHVEKWRAEGNMQGIVVET LY89DRAFT_765148 MYAMLLAFLILWLSAFNSNGAYAYTIQLLDQPIKAISSGEFGLP QGLHVQLHSYLPVTGRDGEAIVRGTYSGDSEVQTTAAESDKDRRGLWSFVWAATKVFI NPMSWTSGILLGDLACHAVEWWVSAPSWVSYLCNSFAVVSTMFSVWDGRADLATAWSD WTNEASYQGNLDSLQLSPYYSDYGNVVDNIDRRSSPLKRGNESGYYDWSVLHPHLANK TIRNALSGNASILHDVGRDYTIITMAHVLNSTDLNPILVQRSINGTLLGAPVSMFFVN GTGSSAGLGSHHAFGTATKSRKRDDGNCNGFGNDSGNIYADNTELCGGDGTDTEDGIT GVYYGMDFYWTEAQWEEYDADVGGQYPDTNGAWSLASELSTDTQNSQWWRSCLCDQES GDYSWTGALQYTWNGGFNGYSDCYAGTCGGAS LY89DRAFT_677176 MIAISSIKKTAFGLVTLLLLLASSPVVSTPVASDTLRVFIRADD GSYSPSESMASVIKARSSDWSLEKRSTATVKACSDAGCSNCNTVFDGSLDKNTGCLQA INTACLIVSNLNDANIQFWNHNECNGRSTVWRGCGSNNAFVSAPGTNSLGVHTGC LY89DRAFT_789124 MGSPWSSLVASIGQQSRHESSNSNTGQNQDNSTTYDTNRAKAGF EQMRASQDPNLTTEQRIDAEMAALDTILSSKFSSENGFFLVKDIKRTRQLLTKSGHVV PDTHVRLNAARMVGQGHLRAVHLYAHPYKDLTIEDVMLCLIAEARAMEAKEEQGVFTA ASLGFEVTFGQFDGTPVGHPSPPGS LY89DRAFT_701989 MFKTVAGVPDHYDASRSCSQCHGTRRSLLRRDLENTTSSFPAMV NALEVMQSLFWDPSNSTWPTGIDWTMATFNTDISTTLATMATYEDSTYASDIQEYFSE VVAFYSGEDAIGLTTQKFDDEQWVILEWLEAIKFVNLYSSIDPSFDGQQYIPEFAHRA RVFWDLASQGYNTTLCGGGMVWTYSLAPYKNAITNQLFVASSIGMYLYFPGDSNPNPS PDPDTPYNSSQALPPIQARDQRYLNAAITEYDWLSTSNMTNAQGLYVDGFHITGYVNT TDIGTGNCDSRDESVYTYNQGVLLSGLRGLWDATGDQKYVTDGYTLMNSVINATGWPD VSNNTWAGLGSNGILQDLCDVDGSCSQDAQNFKGIFFHHLTLFCQPLAMDEAQQKSQN TSIIFNATPAQAQAHQAQCDTYTPWILHNSQAAYATRNSSGVYGGWWDANYTVPEGYT DTVPGAADVMNKGIPQNRIWRLPNNPPVATSGLTPVATTVATDPNDRGRGRTVETQSG GLGVMTSLVNAEES LY89DRAFT_677179 MSTPSLFDGVVNSNGILMIAFGPIFLAFIPVTNYITTPNSLVQK AIETLLAAIPGSASIPSNRVIPALSAFYIFWTFAATGAASAAGLGASRKEGLDNSHPR KDISALRGLPLRLRSAHYNTMEMFPIKGFALAAALAQITAPTNQNLINLLGLHVLLKT FIYYPAYILDIAPLRSLAHLGATASVINVAWQVATGAK LY89DRAFT_742148 MSSFCIAQIPAKPRAPKMRPRYESVTSRNLPPTFISVDSLVNAP TIEVGRDVLQASEKWLASEYAPQLAMTIAQIIADRAGQPISNIVCLGIGNRRARDIDQ FVLLAQIATQLSVAQPSILDNIVAQDPNMSPEMKGLFQIHGCRVVEDPEAFGFVGPDT FLFAPFLPIFAVLRGLRNRGCTDFGMYIGNDLKRMVGGPEKNSHRFGVHESDQASFEQ IALSIQDETVCKRSMVPCDTPVGLRGTFRGLRDLEIWWRPVELG LY89DRAFT_659380 MSANPELTNGTHEPQHSAVHLPRPNPGLWTTKDHRIYSAPSPEL KLGPDDCIVHVRVNGICGSDLHFWKSGAIGTCIVEDDYILGHEGAGEIFQVGSNVTHL KPSDKVAIEPGMPCEQCYHCTTGDYNLCPDVAFSGVWPYHGSIRRYHVHRAKYLHKIP STMDFTEGALLEPLSVVLHGMERSPLRLGQPVLICGTGPIGLIALAAAKASGGFPLVI TDVDAARLSFAKQFVPECETFLIPLSGSPEEHAAGIRSVFVNDLGSEEPPVVYECTGV QSSVHTASYACKRGGEVMVIGVGRAIMDGLPFMHLSLAEIDLKFINRYHHSWPFAIRL MQSGYINLKPLVTHTFTLEEAVTALETAGDRTKASVKIHIVDN LY89DRAFT_765151 MGVQTAIQTRGWFGRGRSLQIAVSCCCLLAFTLFGYDQGVFSGI LQNEDWLDQFGHPSDTHTGIIVASYNLGCLVGCFINFWIGNPLGRRKVIWLAASIIII GAILQCSAYSVAHLVIGRIVTGMGTGLETSTVPMYQSELCQGHMRGRLVSAEVLFVGV GITIAYWFDFGMSFAGGPVAWRLPIAFQIVFAVIVIILVFGLPESPRWLYNHDRAEEA LQVLCTIYDKPADDALIRHETGQIIEAIQLEERNGRFKWRNIFKRDGVQTGRRVLLAW GMQCMNQIGGINLVVYYIPSVLVENVGMTAQLAQILGGCINMMFMFGSLVPSFFLDQM GRRKTMFWGCAGLGLSMMMISILLSFQGTSVEKPTASASVAFFFTYMLIFGGTVNCVP WVYVPEILPLEARAKGTAVGVSSNWLWNFTIVMITPIIINRLQWKAYLIFMATNLAFI PIVYFFYPETSNKSLEDIDFLFLGSDIEKGTIGHEDRLRKPETHEPITEHLEHK LY89DRAFT_677183 MDFVCCISSNVKSSNFIPLFNLSERQQSATYQQSHMTVQGVERI WTETYSKISYSEVDLNFTSAGGHCPAAYCFSGNQKLYLRRYRYNAIAKREAKRHPPIV SYRLPSQEEEEGGFSSAGLLGRSYTTRQQNPSKPLSNPTGPFTDGPNLLNRDRALSIT NSNSNSNSNSNSNSDGLKRSTSRTKPKPLLDFTPTFKEAPQWDKTGKGHGVAPVKWVP LVEVATTPENAVGDALAPKSTVFRRETTVARPGTGVRPGTSGGKGVNGGGGGGAGGVM GRGRVRYD LY89DRAFT_789126 MLAQTVGTSAASNTVARSARTLRVSKDAGEEWIHDELSPDELDT LINEAYGQPVLEEHVGEKEDDGKKKYGLSTPSSIREPAASEGLACFIFEDDVLHNLWI EAARKTSSKDLLVSFATELNSRLGKGKSQQPLFEDSNGLDVEEENHGLMGPDSFQAFV ERSRAFQTFRMNVEKFVRPIPTQPASRLQKCKDILYALSEGLVNPMCAIFKYLGKYWN RLIKGPPVEEGFTRIMWTCSCGTTLYDDYDRTESSAAEEFHQLLQRQSRRKSAPLNHH LVFRQLAQFIGRLCNSIAAAILILPTYCFNLYRKVFHRTPNLPTHELEAQQPPSQATS QRPAPPEQLYLLLCIDDGSRSSVIRAYQPAVHDIDSDNAFFNMLHRYHASTKKRWWSW LSMREIKDIYFVSFDLYERSIVDIKEINAMPTAEYNTAYRYESTNVKPPIGSRTLKHF LRYPEHASAELAPCLQKVPKKLNDRLIPCPTQGVSPGWGLHFEDGWSWKKILTIICSL FLLASILEGALYSRLQHNVQDAMALGAFMLACFSIVIATLQAWLMIA LY89DRAFT_742153 MSDSGGCTLTPQARAFSSILSAFIGSVVNGLTSGYMIAFITGWI AWFAFFRVVIGGSYMFYRSVTGTWTVEQSVDQYEIPNRATSPDPESDAQASLINRGSN NQLYENPARNASTTHPPILSELPLSSAEEYLRTVYTTVFTQDTSLAHLPKQSPLSTFW PTRSDLLIRQQTTRLAQQKRSELRTHFASTQDLERALRAYPRLYKQGKLSGLPPPLMS PYLAPLNRDVTVLGWIGWVYSAVYAPISQIIWIAANASTSSSSSGIAKIVKGISVAVT ALPLCLDSRVRFADSLQKKKRFGGTWAYYAFNLTNAASCTLQGLLCGALLVWGVVEAR SDTDPFFASSFPWPLVAIYPIFSLVWAFGSFRIVPMMDGGRKRASQAHWAGYFLDVGM GVFAGLFLAAPAFALYFSSVEPGMGEEGARDLGQFLECEVPGWQKFSAIFP LY89DRAFT_701993 MAQFEIPQEQWAQVVEKTGGPPVYKKIPVQKPGPDEVLINIKYS GVCHTDLHAMNGDWPLDTKMPLVGGHEGAGVVVAKGSLVDNIELGDHVGIKWLGGSCL NCEFCMEGDEPLCPHALLSGYTVDGTFQQYAIGKAAHVARIPKDLDLAAVAPVLCAGI TVYKGLKESGARAGQYVAIVGAGGGLGSLALQYAKAMGLHTIAIDGGAAKGEFCKSLG ASAYVDFTTSKDLVADVRAATPGNVGPRGVLLLAVSEGPFHQATEYVRSHGVVVCIGM PAGAYFKAPVFDTVVRMITVKGSYVGNRLDTLEAIEFFRLGLIKAPFEVVPLSTLPEI FEKMEAGKIVGRMVVDTSK LY89DRAFT_677187 MSNMSAFTVGDYLAERLVQIGIRHHFVVPGDYNLILLDKLSAHP QLTEVGCANELNCSMAAEGYARAKGASACVVTFSVGALSAFNGTGSAYAENLPLILIS GSPNTNDAGEFHILHHTLGDHDYSYQFEMAKKITCCAVSVKRAVDAPRLIDRAIRAAL IARKPAYIEIPTNLSGAACVRPGPINAVTDPVPSDEGALAAAIDQAAQYLDGKKKPII LVGPKLRRAGAEKELLKLAEKLGCSVAVQPAAKGFFPEDHPQFVGIFWGQVSTLAADS IVHWADAIICAGTVFNDYSTVGWTALPNIPHMVAEMDHVTFPGAHFSRVRLCDFLSRL AEVVTRNDSTMIEYARLCPDPPTERVPNAEEELTRKEISRRVQGLLTPETSLFVETGD SWFNGIQLRLPQGADFEIEMQWGHIGWSIPAAFGYAMGKRDRKIIIMVGDGSFQVTVQ EVSQMVRWNLPIIILLMNNKGYTIEVEIHDGLYNRIKNWDYAKLVEAFNSTDGHALGL QVATVGELAVAIEKAQTHADGPTLIECSLHQDDCSRELITWGHFVAAANARPPTHA LY89DRAFT_742156 MSSRSSSEMSNESLLPVQEQEKHDLYIKKPSRARKVFQSICKRR FYTNGVSILHQIFFIVLFIAGINIAYRQGLRHLAPRAIKSFVPQIAPLSTPVIFEDSA DWSGNTPQAAIGWNRWLKNFETHTIAIPTSSIEEFGYEPGLPDTTHSERFSIAMFHQL HCLATIREFAYLPDAKRNPNGKPLDHDGVSFSPFHMDHCFNYLRQAIECFADATVEWA KIDESGKRKGIQGWGIPHRECRDRDSLEAFAVEHHNVTM LY89DRAFT_677189 MKTSVILALCAAFATVQGLAIQFRDTVEVATPAEHNSYKRDEVE IATPAEHNSYKRDEVEVATPAEHNSYKRDEVDVATPAEHNSYKRDEVEVATPAEHNSY KRDEVEVATPAEHNSY LY89DRAFT_789131 MTSKLSQMMKFRDNSDDDIRYDPLSDEKSLLESSHGEPVYSKQG WRSRTSITYVLTLALLLISVVLNVVVWLQLSKLHEISHAHDGTEYGSPVVRDIGLQYH KQPINGSFEKQTIYRQKPSKEVDDAWLALGVSADSIVIPVDEAAQFGIREGQVKRVIE DGGGYMADVMVFHNLHCLNLIRQTSRWNWDHYSALIGTPEAFGTAFEGFDGEIQLEKH FTHCVDMLRQEIMCDADTNVYGQWYVKNHGPSKDFSINRQCKDFDAILKWYQGNHIDM DNTYVRHTPGDPVLDEEP LY89DRAFT_711723 MQSSQARTVLTVPLVVIARPIVPFYGTSANGFTAPPRGWNSFGL QALGTNSLALNQMNVQTQCDLLNATAGYTLCSIDSGWSGNGGDPFGRLVPDPSSFPDL AGLADELHAQGKLLGVYILPGAFSSDADVTVEGTDIQLGTLFDTSQPSYNLRQTFDFS KDGVQQWHNSVINNFAAIGVDMIKMDYMTPGSPDAGESLPANNSLAAVAYHNAIAQSG RQMPLDLSWKLDRDNLGDWLTWKNNADSLRTDQDINNSGQPTLVSFATVQRAIENYRI FINQQEEDPTRQGLPIKIHPDMDNMYTGNAAALTGVSDVERYTIAIHWVGAGANLITG SDLTQIDTLGQELLYDPELLSIADFTANFPMQPKNPIGSSIPGSQASVQLQAWIAGPN NDNQNAVVVLANYGPDQGQGGFGTSLEGTQLVNISLADLGIAAGQPNGATAWSVRRVL GGGGQGGPDHTDLGTTSTFIASELGPGESVLYKFAAMN LY89DRAFT_765174 PPSFGALHLATPEDVLRLGVVYTAAFRYSEQFIWERPYHSKYPQ DTILSFRHEVTTLIKDPKMIVLVAVDKYEPDESSKTDATIPVDNGWTPPEKGHEVVVG IAVWQLEPGCKRIGHFQPSSSNYPDLPEYLHRDENPTRVQIIQGVYGELEHEYFHHAS AMERTAVHPAYWRRGHGSTLAKWGLELTKMDQVNQAVLATSMGADLFKYMGFKPLTER EINGGEEDPTGYTIAALEYFVEDGN LY89DRAFT_765180 MATLRGTGAQEARGDVPKFNLLPSNPPEDLCQSRFYEPSTNEDI LYSWRRHKEIEHRRKQERRAGTPMVLSTLGPLPSIDLDDIQDCYNKATAIYWADYRFH FKTRQSPLTYSAKAMNVIYPQWFLAKVHNTDIEFNNFVAKTINLQDTEMYRYAVDTEV YQQTVADVVKTHKSLCKRLADVKPVFESLVYPYMPKRPLLKGALFFGVDEEFMYYRLR PLFRALIIFVDNPLSEPQDLLVKLIRTGLEDNLSAPIDFDSIKPEIKSQNDDGTVVTV PIQTAIRFVTELDEREKKAFPDKEYEEGLISRSHEGLEYLRNGFHMEETYTGKDPEGP HTTWVDHSRLEAVVVPRSWV LY89DRAFT_789133 MNLKPFLLWSVSLLATTTAAPLAYQNFESDSMLAVNVAESSSYA ARSNFEADPMLAVGSSDKSSYSTRSNFEADPMLAIGSGDSSSYASNRNFEADTMLTVG EEGVSSYASNDLI LY89DRAFT_600178 MVLLRDCETQSQQKRCYRQLFLRYAHLVSFILWVGLFIYIVTLH RSLPKHCLEKFNSYSPILEAINENDFQDVTFTYSLWYKSQFKGPPTTEVEDAWHSIMR YGEIRIPASDILRIGHNLSSVQFPPELGGGYVAIASGTHAIHCLHYIWQDHYIDIMPE VKSKAEAIPEMYERHYEHCVDYMRQYIMCKFDTTIIPLNWVRAHQNPTPNGNTIHKCV NWNALQNWLQKRAVAMPDGFKWSQPLNAVVLDDNP LY89DRAFT_630177 MPSEYTASDAFFEALWDSGVRACFVNLGSDHPSLIEAILKGQQS RKDTFPQIYTCPNEMVAVSMADGWARATGSPQAVIVHVDVGTQAIAAAMHNANTGRAP VFIFAGLCPYTEGGCDGSRTEYQHWLQDAPDQKAIIADYCRYTGDIRTGSTVKQMVFR AMQLASSDPKGPVYLTGAREVMAEKLNKVSTIDEKKHGPIGPAALPQGAVETIAQALV SATKPLVITGYSGRNHACPEQLVRLAESIPGLRVLDTGGCDMCFPAGHPSYVGFCLSF DQVTTEADMIFVLDCDVPWIPSRNPPREACKIYQVDIDPLNATIGFSFFPANGRWKAD SYTALNQLNQYLAEAPDLQETLRNPIYRDRWEILQRKHAMKVDNLSKIAIPSPDGYLD IHQTGAIIKSTVPGDTVYVVEAATCAMPLSDQLQVQKPGSWINCGGAGLGWSGGAALG VKLSYDISGSPKFVCQVVGDGTYLFSVPSSVYWIASRYGIPVLTVVLNNRGWNAPRRS YELVHPHGLGATATNKQMHISFDPPPDYGGIAKAAAGSSFGGLDSGVFAARASTASEL KEVLHQAVQAVQDGRGALVEAVLSVDEMGETMVQR LY89DRAFT_765186 MTPRSNVSTSSGNGRLPLECPASIDEHALVTRIDRRLLPMLFVI YVAAFLDRVNISNALTMSLPKDLKLVGVQKNIALTIFFIPYILFEIPSNILMKRFRPR VWLSGCILAFGIVMLGQGFVTTYRGLLVTRFFLGLAEAGIFPGSFYLISVWYKREESQ KRFTLYWCSVLIATAFGGLLASAIANMDGIRGHHNWQWIFILEGTSTIVVGIVAFFCV ADFPENAKWLNVEERKFVIARARSMDEAQDVTPRDVLAFFKDIGNVLGGVMYLGVVIP IYSFAYFTPTIIRTYGYSVVQTQLHSVPPVAAAMVLCLITAYLSDRAKVRSPFIAFGI ALTVSGLSILMTVHHNLAVEYAGICLVAMGTFSSGAVIVCWYVMNLRSHAERAIGTAW MIGFGNCGGIIATFSFLQADAPYYHTGYSICMGATCICTAAAILYCGSAWRKNASSRG ETGNGRTFQY LY89DRAFT_567928 LCVTICLTCATIPFFLRTYVRAFVRREWLFEDCSSFLTCIIKGG LVSYCGLMTTVMSRHGGVHQWDLTADEVHSALFWFNITSIEYGIEILICKLTILTIYR RVFVPQRWEFFDILLRIFEVILISFYFSITVVKIFECKPRARIWNKKLAGTCINVNTM LNTSGMFNFTTDVLLLLVPVKSVWKLQMKKSNKIRVVLIFTFGMIAPVFSLIGFLVRE RISHSPDATYNQPLVLLWGTAEVSTGFICICLPPLSIFFHRNKPRKGP LY89DRAFT_765193 MLRTREIDASQASEFRSNEKALAENWSKPKKLFAVITGLFLNFN TCLSAALPSGAIDALTTSFGITKQEEIALPVAVFLVGYIFGPIVFGPMSETFGRRICF LSSFALYTLATLACALAQSWPSLLIFRFLVGVGASAPQYILGGMYSDIYPNLLHRGKA MMMAGLMNSFGPLIGPIVAGYTSSENWRWMFWVALILAGANWPFLVFLPESFPPVVLK HLGRADGLETTVNETPNFSELLTVVLTRPIRMFAEPLVLFSDLFLVYQYSIYYLYFEA YQIIFQGAYGMTLGQVALMLLPVGIGGIIAMFIFLWYDRFLAKSKATGKKWAHREEYR RLPLACIGGPLYSISLFWLGWTSRTGVHWIVPASSGVVFGIGIDLTFMALNNYITDAY GIYSASALASSVLSRNIAAALLIPLATYRMYAVLGVGWACSTLGFICLGLSPIPFIFL RYGPSLRAKSPFCQRLSQLPC LY89DRAFT_765195 VNISVTYDHRLRKTGHPVRSAIHKPQIGRLVVGWVTTSEYLLLY VFDFCRSCKNFPCGDAAGKFRFWLADPVICHLKCNLDVQHLFEYCRNIYFHLNLLHKC CATFCNILSAFRIGTCEELPHSPFYPTFANIL LY89DRAFT_691179 MAEKEQQQQPVDDAPVDAHVATHDTPADALDLPAGWKYKRIRLF GFTLPWYASPKVQLLMVSFVCFMCPGMFNALGGLGGGGKINPTLADNMNTALYSAFAV FGFFGGTFVNKIGVKWTLAFGGVGYCIYAISLLVSVHASVLGFNIFAGVLLGICAGLL WTAQGTIMVSYPTESQKGHYFAWFWGIFNLGAVVGSLIALGTNINVKTAATVTDGTYI AFIILMFFGAVLALLLANASDIIREDGSRVILMKNPSWQSELWGLVETAKFEPLIVLL FPMFFVSNWFYVYQQNAVNGAYFNTRTRALNSMLYWLSQIIAAAIWGYALDIQGVRRS LRAKIALGVLFVLTMVIWGGGYDFEKGYTRFDVNQDFDSSGNALKTNPNWVPLDWSDS GYVGPMFLYMFYGAYDAAWQATVYWFMGALSNSGRRSANFVGFYKGIQSVGAAVVNNL DARHLSYKKEFISNWVLLAVSLVVAAPLILTRIQDHVPVEADLKGTDETIEDVLPVGH PEKQVTV LY89DRAFT_691181 MIGVTEVMKRRGNVENSEEFTVAKRSLGTGLTAAGVTSSWTWST TLLSSVTVAYEYGVAGSFFYAACNSTQIMIFSNLAIQCKRKAPNARTFLEIIRVRYGT IAHFSFMFFSLASNILVVSSILIGGAAAINSLTGMSVYASLWLLPLSVSAYTMRGGLR ATILTDYIHTAIILIIILIFWFKVYASGTQIGSPGKMWDLLIEAAKRNNYSAPTKDGS YLSIRSLGALKFAILSILEYTGVVFLDNSFHQKGIAADPASAIPGYVLGGLAWYAMPF TLATTMGILAVALENTPAFPTYPRRMNTQEIGAGLALPFAAQTIAGKGGAGAVLVLMF MSCTSAISSVMIGVSTVLSYDVYKTYINPKATDSQVLRAGHWCVAGFAIFMAAFATML HGINIDLGFIYNMTGIFTGSALPALVGTFFSSRQGAVAATASIWTGFFAAVITWLNLA QRFSGEVSIASVGATDPCLYGCIAGIGAAAVVTIVVSIFENTNYSWESLAAIRLVDDE GNDRDVAYADPTYDPERLRKAAYVARAITLFLFLALFIIWPLTLYGTAYQFSKKFFTG WVIVSLLWAFFSFFSVTIFPIIEGRHLLWSWARDLFGGKRASEKHGDEFDHHRHVQQY DESDIGVKEKEVGVSKTAAFGLKEESDS LY89DRAFT_600003 FDAQDQLVSVTGEYVWQSPAVSDIRGPCPGLNAAANHGYLPRNG IATIEQTAAGLEALYNMNPVLGAALAAYAVATDGNVVEGVWSIGGPLPSDPLLGSLLG DGQGISYSHNAYEGDASIGRNDAYLNNGDAHSLNITKFEQVYAVGGAEDRYTLDKFRA RFETVQDESIANNPYYFTGAFSTVVVVPAAYNFVINFMSNHTAQEPSGCLDGYNFKSF FGVTGQPGSFVWQRGQERVPDQWYRRPTSNQYTAQDVFLDVGIGYAAYPNTLKFGGNT GTPNSFVGLNVANLSGGVYDAQDLFQGDNLACFSFSVLQEGIPDFLSNTLNSMSAVTS LINQYFGPIVGGLNCPQIQGQSTGLFNQFPGYKYSPIGPATNY LY89DRAFT_691182 MEEPPPSLHHGLPTSAYVSVALFLFLIAMVFVYGFYEQRQRIRR YEEGQAARDEERCEPRGYWRGAVVPIPMLVFVPRDAL LY89DRAFT_600017 MAFQYPTAKVHITQNAPDGTATLIPNSTVPHPSQPLGPTHQVTF IYSTPPGPFSTSTNADLDFYTKTASAKPLGVFPAEGGSGCVILDFAPSNGEEKSFIHK TTTVDYLVVLEGTLELELLGGTKKVVSKGEVVVQRECQHSWKNVSTTEGARIMAVAVG AQGAVHGKMEIYGQ LY89DRAFT_600132 MPKRIIVCCDGTWQASDNGTPGYPSNVAKLSRMIAPVGKTASGE SIDQVVFYQAGVASGDVGFFEKRIQGAFGDGLEEHIIEAYHFIATNWYPGDEICVFGF SRGAYTARALAWTVTQMGMLKPVDLEHFPWLYKYFKTHHDTIVFGPDSESDELKEWTK SKLNAQGKPLRALKTAPAKVSIEVVGVWDTVGSLGLPESIWTKMFNLNKGLQFYNTAL NGSIKHAFQALALDEHRGAFTPTLWYLEPDFKGEVDLRQCWFPGYHCDIGGGWYTSGT ADQRNIDQHSLAWMCDQIDGLVSFDLEAASLTLPKMEPKIPWSAEMTADPITSFYSTD IGGGSHHRTPGSYHHGELTKEEPDPEKDNSTVERMHPCVQLRIETKEYNYYPRSLGAR NPLFERKTPKWTFVDRSTIGDGARWVRPKVEAQKTWFGSFPLENSIEIKEHIIKEIPG KNNFEINLLPEEVKEMLMHRNRKELANPTRKF LY89DRAFT_659411 MAAKSQRASEQEVRSWGFPHVFTWTDGPNAYYSPHSHRGLTTHL ILKGQLTITFPKGETPEKKTYTVGDRVDVEAGRVHEVWMGSEGCTYVIGE LY89DRAFT_600161 RQESVRSLMNGEPLVLHGWTLTAPIGFRAVCKTIRETGFATSKL PIIVSLEVHADAEQQEVMVEIMKEEWKGLLVEMPNEDCNPDERLPRLGELLNKILVKV KKAPSVPLDKQELTPSSSLAPIPTRDTDSIHSGSEDERGSTSGKKKVKICENLSNLGV YTHSEHFVSFEAKSAKKPPHIFSIGESQILDLHDTRKDEMFSHNRDFFMRAYPASYRI DSSNLDPSIFWRKGVQMVALNWQNLDMGMMLNEGMFAGEHGWVLKPPGYRSDHTEPIQ YKTLDLKITIFGGQHLPMPPHQTLSGFNPYIRCELHVEKEQESSSETAKSRKQQTGYQ KGDHPDFGPEGCALVFPTMNKVVEELSFVRFKIEDARYAKDNLAAWACIRLDRLQQGY RFVYLLDAKGQATAGLLLVKVEKKTVSWK LY89DRAFT_677205 MYRGQYPIGLAYTLCYSRLPPDRPPSNTMSYQFFVRPLPCRIVV VPPHSTANDSLRTGYGEYWVAKRRSANPIRSCGRSLELRSQFQGVSNFMWVRHQSSQI AQAQPDSGSRPDQRYKKPKAPASSFASVHCLSLPSIILQPKRPSLFGDATALIQTILA SRFPPSIVPLSYDHQIGLYHRSDYDVAVVWLSKTERVIAYCHVAWQATQHECIVRATS D LY89DRAFT_691192 MPSYSYSSSTSFSWSASSNSGQTGHAYQQTRSSNPSGTTIHTRA QRLGEPIIQETRRFDAEGRQILEDGRTLGQRGNGWGNVDRRIEDVSDDQKERDRAYEE RMEDEYAKREGGA LY89DRAFT_600163 MSDSDGGSPVPENVHDAGDVDRPGSEERDTPPPPAANPDLDMDD ADNDKEDDLSDNESELSEVDEAEFAEFDPTTVALEDRPTVGIDEDIARTLKAGKRKRT DKDNKPKEGKREKKKRARRDDDEDPDGEVLDGKRAKKSKSSRSDGGERKDREKARERK EKTPENEDNLTAEEKRRRALDRAMDAALKNPNKRRRKKDEVDLEEAFDDEIAALKIRM EQACEADNTARERGQPAIHKLKMLPEVVALLNRNTVQHSIVDPDTNFLQSVKFFLEPL NDGSLPAYNIQRDLFAALVKLPIEKEALLSSGIGKVVLYYTKSKKPEIGIKRIAEKLL GEWSRPILKRSDDYKKRRVMTKEFDHQAAQLAIRPGATQSSQLASSQRAPMSQRDIDR ERILAQPIRSNRARMETANTSYTVAPKSTFDPTKGLDPAMRPIGAGGMEAFRKMTAKQ GKKRS LY89DRAFT_789143 MFRDNQKRSTESPMDWEWQTQGPADPKSPFPQFKPQEGQKVGFE SPPPKSSFIAASSTPAPQFRNPSFTTPRKAFDQELFSEVSGAETSPADNADAEDTPDL PKQIQTMTVSSGTVRQPLFQKYGAGFLGSSPGRAEQRRGKYANAIVNKVRKRKRIDRD YALVRGQRDVSESDSEDGDSRPQSRKGKHGKQEPQPGYWASVFNYIESHPNLPNVLSF YAQLGVNMFIAGLTIFGIYTFWMTVRADVDKASENERALVMTEIAACYTQFVDNGCGS DRRVPALKTVCENWEHCMNRDPDSIGRARVSAHTFAQIFNSFIEPISYKAMIFVILIV TVCILVNNLAFGMFRSKASHMHQPQPFFPPPPRNFQWGAPPQTPHYEPQTPQRSLAYD AYGGQTYQAIMPSQTPGQRSPSKGSRSPSKGCRSPSKGDRY LY89DRAFT_789144 MPSVSQLIMKILQYLFPLCLVLLQVQSRAIWSSQPALWSDIIRQ AYPIGNGRLGAMPFGPPGDESLVLNIDSLWSGGPFENSSYTGGNPTDEKSQYLPGIRQ WIFQNGTGNVSELLGNEDNYGSYQVYANLSITIDSIVAGSNYRRSLDFETGLHVTSYT ANDGNNYTSTVYCSYPNEVCVYHLSSSAALPEVTITLENQLVDSSLINMTCGNQYARL SGVTQLGAPTGMKFDGMARLYTRTGTAVCDDASGALTIPANSHIREFTLVIGAGTNYD QTKGNVENNFSFKGEDPATYVETVTADAVAQKEGDLRAAHTADYQNLMSQFTLGLPDI AGSADLELSEMLDRYAGTDSSDPYLESLLFTLGRHLFISSERENSLPTNLAGRWSETV TAAWSADYHSNINFQMNHWGVDQTGLGDLQGASWNYIQDTWVPRGTETAQLLYGAPGW VAHDEMNIFGHTGMKDTAQWANYPASAAWMMQHVYDHFSYSQNATWFAAQGYPLMKGI ADFWLSQLQPDTYFNDGTVVVNPCNSPEHGPTTFACTHYQQLLPQLFTNILSSISLIP DLEPDTKFIANLTQSLSILDKGLHIGSFNEIKEWKIPDSLGYDFPNDTHRHLSHLVGW YPGYSISSFLSGYTNTTIQSAVRTSLISRGNGTGPDADAGWEKVWRSACWALLNDSQM AYGELHYAIERNFADNGLSMYSAHNPPFQIDANFGIVGAVLAMLVVDLPGREVVLGPA IPKVWGGGSVRGLRIRGGGMVDFDWDEGGVARSAKVVGRDEGKGLRAVNVEGGVLLNV LY89DRAFT_742179 MFGKRDIENPPVMNPNCKVQDGFGALASWMALEADSESFVFQKF DDLSARNLLYLQCEMLDLRRQLEAFDMKVARLDARMDLKDAARTWETLVRMSAEKDPN PDVQNYKILIERLRETVKEYLRSANLVRPSNRALKALRSWVDGGTTRRPDGTKIRPIL GGLARDYLDKEDGLAVIKPLDDVVLLSKMLRNIWPVKREVSRDGINLIGRFQERSITL TVNIISVVVAAILLLGSITVSISFILLGLN LY89DRAFT_341474 MRLQNQADDTIGEGEYAVDIGVFSHLQNELPPHDYDCEPHRRFF KIDDEQLVHEFDCQKLLSEYLFKRDVIADEFKNAGPIQSLALQQLGLNGGFDGLKQYF FNEKKRLGARGYWKFPEWDMIEQDLKILHRQNIEAKKVAPGKSYTYVSSWDDEPSSLS LYRRCMEPSNAGTDSNQGSALHKCPFGFSGAKTYEVPGKVNGIPTRAAPDTGADGCFA SEAFVNSLGLAPEPGTEKEIMNGSGKSISSLGTTSLTWTFAGETEPHVLNCSNLRECV HSFALGNDFLKKTKTLTTFKQRIVTVARNIPKRLGFHLAGNEKARLWGFFNDELVAAV PDTGSDLSLISESYCQARGLKVDKGKAHSLEVEFVDGSTSFTSGVVRGVDWTFGTSGE SIQCDFYVLKGLPVNIVLSNGFLFDLDVFSRFEEDFDVETHTDLPALFVMRLIIAHRP ELEG LY89DRAFT_341475 MVLRDEIGVILCSLLIPLVFHLLLSSCNFVLSILRECSNNISNL VSANGFEVDHHLSEGPRRIYLR LY89DRAFT_691197 MATKTEPEIILYDLACIKDVCFSPTVWRIRLMLNYKKIPYRTVF LEFPDIEPTLKGLGLSPSGSKYTVPAIHHVPTNMYMLDSTPIAQFLESTYPDPPVPLT SELGREIEAKSRSVVGAAFRTSVTPREINILSPRSQEYFRRTREASLGQRLEDLLDGD KEEKSWNAVGDGMRAVGELMMTNKTDGPFVLGAKPSYTDFFIAGNLQSARMVDEGVFQ RIVQYSGYKEIYEASLPYMEKRD LY89DRAFT_659435 MATIQKRTVLITGCSTGGIGWAIAKNFHDRGFYVFATARDPAKV GDLSELSNVEILKLDVTVPETISQCKDIVTKRTDGSLDILVNNAGVEFLSPLLDVDVT EAKKLYDVNVWGPLAMVQAFAPLLIDAKGVIVNQSSIDGVMNMVWAGIFASSKAAEAR ISETLKFELEPLGVRVVTIMCGSADTPMFAKPGGQMKLPKTSYYYNAQDTAYKERMDH QRQAMKVEVLAEKLARDIVGGARGQIWHGAFAPLVRWANWLSLLWYVDKTVNAERGLG KVKCL LY89DRAFT_725231 MPAYRNKRGPNKGTRFQGKGWRDPCGGGLYFQLKRATFSRGHEV SPYETMEHVIDSLINRALTCVSAMSKTSILLWTRVWNLRSSSERKQALEPSNERAFNV FDGGETVYVKIMDEGTEYVQGYTPGGGQWEVKVRGKKPKAEDVIATYGLGEAHRLGLM K LY89DRAFT_711734 MSQPMHPSSQAAQAEIQELINEISFQKVLLSSIDDSVQNREAAE DEVKEEIRQLEAQLRALRRSTTTTASQLTSSQQSQFSLSAQAPSSIPSKSKKPAQPTL EDDSTLTSVVDSSIIPSQWNSSASSMPSTPKSADSFKELISPNQMSLPSRKRSHSKHL DGALYPPQETKSRRTSPSPYMAGPGTPSSIMSSGDEELEEFGNQMAIEQQKLAEERIR QVRLDEEFARNIQNGSYAPPSASSSSTQPNTQYNAFGRMSGMRPPPTAGVSSLNPQAG ESSSRKLPWNTNIKAEPALKAEPRSMTSGVKREEPSNMAAGFSTYATMNINRPGIKSE ASSSRAMPGTFPDDSSAASDSDIEIIPSSAFHANGRYSRPTGAYQPQESVKRSPESIA AGNAALQRMGQTATGDALQMALFGGLRKQPDWTNGAPGSSQNPFTGKSEFVYPTNLHT PAPGQGYTMSNLPVYGGGLANPSSAFGQPAPFFDPLDDLISHAGNNFDEISRHLNLDP SMNGTLDYIMNDPRKTNQEIKNLLENIRPDEDLPPENREGTPDGLVYPLYEHQKIALT WMKSMEEGSSRGGILADDMGLGKTISALALMLSRRSTDPLCKTTLIVGPVALVRQWER EIRVKITPNHRLSTYLMHGKGRKTSWDDLRTYDVVLTTYGTLGSEYRQIIKYLEERKN QEYDQAPMRKLFPLLGPKSVFFRVLLDEAQCIKNRGVQAAKAACQLDSKYRFCLTGTP MMNNVGELYSLIHFLRIKPYNEWSKFNTEFGMLTKEKRGYDLDKAMRKLQTVLKAILL RRTKTSLIDGKPIITLHPKTEEIQHVVFDEAEQDFYTALETKTQIKFSRYLKAGTVNK NYSNVLVLLLRLRQACCHPHLIQDFDEAPMVGGPNAEDMMEFARSLAPDVVARLLAAE DAFDCPVCYDGVENPRIIIPCGHDTCSECLAKIADSAAQQHVAEGEEGAATCKCPTCR GVLNMSKVIDYGTFKKVHKPDAVAADDDNDLTDSEDSDSDEIDDDSDSESEQDINSDG DLRGFIVPDDISDTDGIDDDPTEDEEADDEAEDISKRSKGKGKAVAKSRSKSKSKTKS KRPKKDKKGKQKEKSTHISIAMLKKEGNKSKAGRKRYMKHLKKHWQPSAKVTKCVELL ERFQEEQQKTIVFSQFVSLLDLLSVPINEKNFKFLRYDGGMSADERNDAVVSFTDSKD YNIMLISLKAGNAGLNLVAASRVIILDPFWNPYIEMQAVDRAYRIGQQREVQVHRILI EKTVEDRIIALQEKKRRLVESALDEGANREVGRLGVQQLAFLFGVPA LY89DRAFT_725234 MQRITSNIENGKLVAKLSCGNCQRRKRKCDKESPCSACQQAGLI CTPITRARLPRGRHVAQRDGDLRQRVARLEKLLSQRIEEMSVGLTPPEDDTIGKASEP AWSSINEEVVGIRELLDDLVDDELNQPKPDVTEGIQSRTFDILMHGDASCFVQAHILE SPPRNVVSALLDVYLSRIDPIFKVCHGPSLRTMLLRAGPLNLAQEALKFSVFFTAVNS LSVSECSQLLGSSKDELNNRLKLAAEVMLSRSGLLTTTNLTVLQAFVVYLAGFRVFKG PRAVCVIVGTAVRIGQFLGLDLENKKHSVFETEMRRRIWYSIGILDLQAAFDSGSYSA LANGVLLRNTPLHINDEDISPATGTIPASRLTFCDMTFACATHEMLRQMRRMIYTPLD SDGTPIPTLQKDWTRRYAIVEDCANSLNEKFVIYCNLNDPFQLFTKIVCEAMVVNLRL QVRRPMYRFYSTKPPPNEDLNILQVATEVLEQTVRKTETNEFRAWEWFAWNKWYALAI VLAELCEHTEGSLIERAWMVAEASFAKYKTTIHDPVLWNSLEKLMSKAQSARTLKSGK VVGTEL LY89DRAFT_711735 MGFAGDIVQMNVGQILQSPFIPHVDLTGQTIVITGANTGLGFEA AKHFYKLNVSKLILACRNMTKGEAARKAVLASNPTFEGKVEVWPLDMSSFASVLAFGD RLNSLSRLDAFLSNAGIETNDYEQFEGHESVITVNVISTFLVSMLAIPKLRETAKAQK RPTRLVVTGSVMHIFAKYQHLVEPGRGRIFSSLDDEKTADMSGRYNLSKLMVLLGVRQ LADQLDIGTKQGQGDVIVNCVNPGWCKTELFRMNTGGLGGKIGLALIGRSAEDGGKTL VHAAVAGKDTHGKYLDACRIKPESTWVRSKASIETERRMWLELVEILEAIRPGVTRL LY89DRAFT_691203 MALEEKIRYMQIAMSWSCALLILYNAMTNTLYTACTGDSRGVLG QQNSDGTWAVVTLSKDQTGDNEEEIARLQQEHPNEEAAIKNGKVLGMTVSCAFGDFPW KSSYDTQLELGKRFFTRRPMEKSENLTPPYLIAKPVVTVRKLELQSFLILATDGLWEV CTNREVVDLVVRWLEAQPDSTKEMKMTPMTVWWKSEPQQEANYAPEFDFLQRWNEFDV RFREERTVIQDLNNVAVHLLRNACGGNHRELLAGKLAFQPPYSRAVRDDMTIQVIFFN MPDLSR LY89DRAFT_789154 MSNSIFSVVRSFLYSQFLVTPVYPTKPFQGQTIIVTGSNTGLGF EAAQHFVRLNASKVILAVRSTSKGETAKASILSSHPKISASTIEVWPLDLSSYESVKA FAEKANGLERLDAVVENAGIMTKVWTEFEGMESTVTTNVISTELLALLLLPKMRETAS RFNVVPRLSIVTSDLHFVIRFEEGKEDDIYAALNRKEGWNVNSRYAVTKLMEIFFVRE LAKRMDDSKKPKVIVNCMTPGACMSDFNRESKGLEKRLFEFLAFLLARSTEVGSRTLV AGITADEESHGAYMADCIVTSPSKFVLSDDGATMQKKLWDQTIKLLESIQPGIAKNV LY89DRAFT_341660 MAKHNRKTHSSRARRKLERLQQQIETTCIEDAESPHVEIVDQEI ELPGNDGTLGQSLDGTWGGGCSNGNGGSILDLVNDIRVLRNNPSEGASRGGGGLVSGH SRASKTAPAPRIPKPPTQRPAVTAEETIPRGLQIPALSTIRLTHGFRYPKELNECGIP QGDWEVFCGSIVLPLINRETPGVAWAIEQILDRVAMWDAQCFRPRGFIIRMDMPGEQK YGLDFMDIHHFYSLRKHVDNLSDKPPVVGIGNHW LY89DRAFT_599958 LREIFSTYGQIRDLDMPMNRAFNTNRGTAYILYASETDAEAAIA HMHESQIDGAVINVSIVLPRRKFSPSPPMARRGANIDPRVPLAAPFRPPPPTRRRTPP PAYGRTERNFDTYRPRSLSRSRSPRRHRTRSRSLSSRSRSRSPPRRRGGGRRDSPGRN GGRRRRSPSYSSYSSYDDRSRSRSRGRGGRGRR LY89DRAFT_789157 MFGGPPPQMSAAELKAAESEATLTVQKVIVGAVLLYLSPFAIDA VKKLV LY89DRAFT_341674 MATPGTALRAQLGIFTVQTRTLAARKPSQLTRPWVCHQCTKSRV KAGSIGRRTFYTSSANDETTKAPSANVSKGNYLPLDTFARRHIGPSADTTEQMLRALD PPVKDLDDFVKQVLPGDILSSKDLQVDAAGAAGEEGFTESQLLARLRSIASQNTIMRS YIGCGYAGTRVPEVIKRNVLEGPGWYTSYTPYQPEISQGRLESLLNFQTLVCDLTGLP ISNASLLDESTAAAEAMTLSLSASRSKSKNKTFFVSHLVHPQTKAVLQSRADGFNVTI EVGDVLADGGKRVKELGNDLIGVLVQYPDTEGGVEDFKGLADIIHKQGATLSVATDLL ALTVLSPPGEFGADIAFGNAQRFGVPFGFGGPHAAFFAVSDQYKRKIPGRLIGVSKDR LGDKAMRLALQTREQHIRREKATSNVCTAQALLANMSAFYAVYHGPQGLKNIAERAIN GARILEEGLRSLGYDTGSRGKGEDGRVIFDTVVVNVGQGKANEVMQYGVERFGINLRK FDESRLGITIDETVDLKDLEEILAIFAKFSSSSKGVQISQIIESLPVNGAIPIPSKFQ RTSEYLTHPVFNSHHSETELLRYIHHLQSKDLSLTHSMIPLGSCTMKLNATTEMAPVT WPEFASIHPFVPPNQATGYKVMIDELEADLATITGFDAVSLQPNSGAQGEFTGLRVIR KYQEQQPGKKRDICLIPVSAHGTNPASAAMAGMRVVTVKCDTKSGNLDMNDLKAKCEK HSEELGAIMVTYPSTFGVFEPEIKAVCNLVHQHGGQVYMDGANMNAQIGLCSPGEIGA DVCHLNLHKTFCIPHGGGGPGVGPIGVKSHLAPFLPGHPLVQTGGEKAIAPVSGAPWG SASILPISWAYIKMMGGRGLTHATKITLLNANYIMSCLRPHYPIVYTNANSRCAHEFI LDVRGFKETAGIEAIDVAKRLQDYGFHAPTMSWPVANTLMIEPTESESKEELDRFINA LISIRKEIRAIEEGKVPKDRNVLKMAPHTQKDLIVGEWGRPYSREQAAYPLPWLKEKK FWPSVTRLDDAYGDLNLFCTCGPVEPVDEVDGITGASAPSPT LY89DRAFT_677223 MTKFLLPTLLLTLFLNLAISLPLHKRAACSSAVQNFVNGINDNI TVQKQEQAQTKVIQQELAQATPDQDDFQSSKSKLITIVNQGISIRTNNQKIAPSGNAA IAGLATVAKAQQGELKLAQGLTGNPTTDNPNLSKLQTMFSGGIQQNQQNAKD LY89DRAFT_342613 MSDPNLKGDFVEGPPAIDPKTKGDIVLKGKHNVILIPCVLEHAP SLFENLCGPQNNSLYTWLPFEPFQDVESLKAHLKLLMSFPTTVFTIFVTEASETGSKP KEAVGITCFMNIVPDNRSIEIGHVFYGPKLSRTPAATEVNYLLMKYAFEELHFQRVEW KTNSFNEASKRAALRLGFTHEGLFRKHMIAKGRRRDTWWASCIDDEWFKKGKGGVKEA LEKWLHPDNFDAEGKQKRKLDDIREDGA LY89DRAFT_702017 MFGASLNLNKPAGGGLFGSSLNTNTQQTGSNLFGNLGSNTQQNQ QQGGGGIFGNLGQNTQQNQPQQTSGLFGQSTQQQQQQPSGLFGQNAQQNQQGGGLFNG FGQSTTQHNQQQGGGLFGQNNHQNQNGGLFGTGQNQQQQQQGQAGGMFGGSMLGQSTQ QGLPQLGQSTALWQPMNPREKTVPEQMATVLEKWDTGNPNCVFKHYFYNKVDDNMAPY YRPAPGEDATAWEEALSKKPGPGYIPVLCVGFAQMGERIQLQQRNLANFNARLHEIND ALSQMMQTHETKTSIRAMDARRKHIVLKQRCLALATKVQVLRNRGYAMGGDEEDLRAK LLILEKNIHDPGLDARGEEIWARMLLVQERARMLKTEFDRAGNDNADVLDEDTSNKAK KILEDYNTQLQHLKTEVDSISKELTEWQKDQVSGFQKAK LY89DRAFT_691207 MAGRQPRFNQTTLVDTTPLPADIPPVAEIGSSSAPLLSASFFIG ARCKPYNDDFMQCKTESAGKGEVDCLKEGRRVTRCATSVIEDVNKHCLAEFRKHWQCL DNNNQQLWQCRPEEWKLNKCVFENLKLEKVIPDAPKGETPVHLRTRQIYAHNGP LY89DRAFT_742198 MSSEASPEPDFPYMDDHESEHDKDENMTKNAGDSLIPNPDWRSC ENVGRLKRVLIKEQANRKAHSAMVNLRKTLHEHVLQNPSCQSRIEKIDLILNRKKEHQ ILVGFLGATGVGKSTLINALVGYENLIPTNSYRACTAVVVELSHNKSNDPAELFRAEV EQHAVGDEDDGAEEENRDLIQQTFDKVKVVYPRIRSLESLRSNYSVEKLLNDPDVRHI LGSKQAIKSPTKTKLAEEIKNHIDSGNGGDDASNYWPLVKFVKVFAKSEFLMHGITLV DLPGNMDNNAARSAIAAQYQSRLSVTCVLAECKRAMSDRNAHDLLAKVTKCDLQLDGL YNSESLCFVVTQNDQKFDVDHYMKEYPELKKKCADESENWKTFNSRFNEVSKEEKAAV VRENANKTEAKALIARIKRIRQILGLPPKGYSTSSQNRKRAAGETNDVSNSSIATDEQ LTLRHELSQKEKELSEVQAKIEKGTKNLLSISRRLAGIENSTFHVRSRIRAACIKNRN DVATAAIQKDYQATLRQIEKESTGPLKVFCVASKIYLAYKKSKDPNKKYSGFPNIGET QIGALRDWLVGTTFDTRDKYAQAFLEDVETFLDSVGPWLKDKYSDLKMTAELRELWEH QMDEQLQELEQKFSELSLNIGNSMKEVVKSKIYSAVPEAEKSAAGRALNTVGTWGGQL HWSTHKAVNRERGEWTDSKQRTHTWNKDLVRNFTTPLLPQWHKTFFEEFPIKKELYVM GADRFIASFAESSADRNMCPDVSDGLDVLEDHILRTQNLLRAQLDQRFQEIEKVVKKS HRYAAPAVKDFLEPMYAQCAGEGGKGHFQRNQTTHRTSMIQDGILMYREGFNAVKAAL DEMLDGLPASFAVDYNVALTQIREEVNLFFEQNSANGSRTSSRKVVSLTKTRLHDEFM AHVHRLAADWISEVAASYQPEDDSDDDMGYDDGDFFDTSRNDGQDEDYEDIDEEDDEP LY89DRAFT_765248 VFSKSCERCRRRKIKCDRLQPCSKCEKAKSECTFIGSGEKQRPS PRGYVQALKGRITSLEQFITKLASVDSAQRDEMLANFSKTSTHVHQPDTAAPAEENGN KAASVPIRSRTGHLRRLKDGKAAEFYGATSFFQINPSDEQGPPLASEISNGDGYEEVE NHVSEEVIASSHSHSHSAFAPSSLLCRNLMAAFFNGQYQYHMCLYREYFLRDFDAGAG PYYSDLLLYAICALGALASEELAPREISDIFFNRAQQLLYGSALESPNLTTLQALILL GHREIGHGKTSKGWLFSGMAFRLAHEMGLHLDPNNWNGSDDSRVEREILRRTYWAAFV ADKQLSLYFGRPPALYPGHSDVHATLRIPYPPEWEALLNTYIQKGTSETAYEDGMALV AAFIQQAELSKILHRMITEVFENRNSDAEETVLATSIMDIHVALTKWSADLPAKLHWN QWSVGPIPSLVLHLHMLYHAAMIILHRPPRNMFRDPKISTSQDVTTCYESLEAIIKLL RIYSKHYQFSHLPFTFVHILASAASVVLMKRYIENSSWTEVSIAKPLALIQDSLSAVA QTWPCAKQVQGVINSAMKHSPQDNPRNESPQSFDLMTSFVSTGSNDGTQNEFGEFEMG EVDMDLYDFTDVPFQWSDGSF LY89DRAFT_691212 MLLMDVLQGHASQHISAARLLLFTPPYLSLRFRAWRHYSDMQRR WSRSLSFSRCSSVLETSAIAYRGHLSATFLGGVSGLRSKVLDSLATPRWCRVCRVCPA SSNPGAREFDIFSHLCLIFLLMVCGQKRHSETASGLRGQHGIPTGFVSSSGILPSIVS NFAAMSIEDRHQLVCWTLSPSCLRSKE LY89DRAFT_691215 MAPANNRQPAPILPPSTPSNNNSPRPSPTQQTTNNQQHETPTST PTTETRMNPPSLPRSLMTPAREMRESSVISSAGSSARKPRQNLDEKDHMLMLKHCYEQ RGNFKEGTKAQFWTGVNTAFQKDTGKVLAQMSATVGRLVESRRRQIADWENGVIPQKP GGELNDMLDQWMEFLKTEDGEAEAERMRQVDARRKVEEARKEARRQAIAAEAAAAASA PAIQPGHVPIQQQPPPAMAQMGQPPQHQYPPQQQHPQNPPPPSQYQSGEMVVANGEEQ DMNGYRPHKRKRQNERALTRDLQAQIEQQQWEAQQYAMAHPPEPPRRVIVEGALTKED WRDIMGNDARLRAIENKVDKIELIVSQNNKLLLQLVQNQSKERDRSSEEERVPVHLDA EFERDYL LY89DRAFT_600172 MASNRLLTGASVRKASRNGSHKTTTAGLAPSYLQANLIILPSRY ATDFRLLCARNPVPCPLLAESKTSGSWDAVKSWVDGLSGDEIISRFDIRRDAPKYMVY RDSKLVRFQCDDILNEWSEDHVAFFIGCSFSFESALADAELPPRHSVLRRNVPMYRTK ISLCPAGVFTSGTYVVSMRPYRRSEIEAVRNITRPFTATHGEPLAWGWDAIQQLGITD IDQPEWGDTPLTLDGRPLGHSEGNEEEIPVFWGCGVTPQEAVMRAGLQGTIMAHAPGH MLVLDCRDWDIMEKPAEGMEEAEERM LY89DRAFT_691217 MTVNILIVGAGAVGAFYASRLAQVPAVQVSVICRSNYQVVKANG FSVTSPQYGSFTFRPQQTFANPKEAVDSEIEWDYIVVSTKALPDVSDDSVILQGLVKG THTAIVLIQNGLGVEEPYTKRFPSAVILSAVTIVSAAQTSHGVIKHNRWTRISIGPYL PASGSTSTTAAAAEEQIAIESNRNFVQLLQAGGIKDAEAYSSSKLQMVRWHKIAINAS MNPSAVLSGGSTNNAMSTDPELYLHLKGVMEEVLSTAPKVLGTPLPKEFATSEAILRS TQRNASGSKPSMLLDWESGKTMELEVILGNPIRIAREKGLEMPRLQSLYALLRMAQEN REAQKRSSKI LY89DRAFT_342081 MRLAGPLSFLSILLLSATVQANIQQYLDSVPECGLACVTSIIPH FNCNISIPCICTNNSRSSALNTCVSKNCTIIEQLQIVNITHLACGEPVQSRQAHLIGT VALGIVAFSFVNLRLYSRWTLGGIWNADDWIMVGVDGCLLPFMIVGCLAAAEGFGKNI YNVGTENLVTALEVFFWGEIAYVTLLGLCKTSVLLFYLRIFPYTRCRIACYITLAWVG ICTVLYQFLVLLQCLPLSYNWEGWKQDLGHPDRCLDLNALNYSSAGINIAQDLVILVI PVPWLVGLNCSLRNKLQILAMFGVGIFICLCSIIRLTKLPSFKATKNRRGSSPIRCIG LLSRSMLRSSFPRCQLFNRCFRITFLRSLRVIRRCRR LY89DRAFT_742204 MLSLETDINTNPLTIDHKLDIQAYKIPYNIITMVCDLLESAKGS QPSKLLECLEKDLTDSAQESLASTSTAIVDIDVGMVLTTTVVAWRETVQDLLYVGQSV DVVQQTPLYSDPISACGIELIHTIKQARGVFS LY89DRAFT_600049 MISPLNVPRSVPDYIPSPHNSGSPSPNTQLSSQNQSHPISPPKT RSASAEEDSAALVEDWRAYTQKLRSQSEGERAHMAADRARMEEVMAEERALWDKERDL LKARILELEAELGKSGNQARIPHPITHRQPIFGFTSPGSNPVSVAGSIDSVHSRTVPQ ESGRNADGSPFYAPAPRNPSRTFDPSENTDLRVDDVHAPRETAICVTSKELTSSDFIQ SPPSTHDLEAIHEAPAESIDISHIQPELEGVLIKASAVDPTFAAKVLSPRRSPLKLSP DIKPPPRDITNLSRSNSKEEKQKKTLEVVTQPENRRLTMHAGHTPNHSISKFSFLGAD GESGGATPTQEHHTKIERVHRPSIAPPDEYVPETVEDEPEEDDHGDRELSGPLGLTTD TVKNDAFLEKLVEKLEEARKSADVSPSNDPEDSRASIGPPPRSTLDEDDDEKDDVPVL RLKPSVNFGRPLGSM LY89DRAFT_691222 MNLINFFDDLGIYLLCRPLDFIHRLQLLNLFDDMGIVLLIQPLG YLHRFADIVIRADEEDRTLDKTWPAPPKRRYSR LY89DRAFT_600026 MQKTPSSAERPSALKRAMSGFMKKTSIQPLTQLDGGNLSSISPS DPISSREHRRESVRRMSGTINSSPTTRSNSPPSPSSPADGKSRPPSQAGEPTHADFFA NSRKKNRSSTGFGLRDKFSSSKNRHSGSNEKDSLQQARRSSRATSVDLESAPLEIAPP VDANGAQLPAREIWPTIAEIGTGVKARRMSLSLPDDFVVEVGDLYSEYSDQNKLGLRG KTLGKGATANVRLVIRKGHSSELYAAKEFRKMSTKEENEEYEKKVKSEYTIAKSLHHP NIVETYRLCTHNDRFTQVMEFCSEGDMFNLVSQKYLSKEDHLVDRVCFFKQLVQGLNY LHKNGIAHRDVKLENILITKDSKLKITDFGVSEVFAGQHPGLRSAGGQCGKEMGEVRL CAPGMCGSAPYVAPEVIARIDEYDPRPLDVWGAAIVMLCMTANGVLWQEAKPGSSPRY DDLVRGWEKWNGKHVEDATITEMDYPNVSFLDRCINPPALRRLLLTMLNPNPVKRASM AAVAKNRWLKNAECCQPNSNDDPAQTIDASKSRTNLKNLVKVVHHNHLPPHQHFGHKL VRLPGSTDM LY89DRAFT_702026 MAGPILGILALRWQMQGIRDANHLAIIDDKLKHDSISTVDFPTP NTDILPVREEDEDELLAAGRDENINSSIHFATPTYTANSASNSKPELSRRQSLLPSQQ TRLIKTLLETDLPSQSRAATLDYFTNQGPSTISANMVSRKIWVKRPGASATLVTINED DLVDDVRDMILKKYANSLGRSFDSPDVTLRIIPREQRQERTLGPEEPMSRTLDAYFPG GQTVEEALIIDVPLRRTPKPSPQPQNRYYDEQRPVETGSDYFPPMPMPATSSPHLPAA LPGVNGQAANHHPALTTHSMSVLTTGHVPALPSPGSTARRHHRERPRIGRQHTSSPTV INGSMPQPAVNNGMQATYGRTRSRTHSSASEHSTAPPAAPPLPTPPAPSVQPHDLASQ IQRVATPPPRISSPRPFNRAKKLKKANGDHPSLPAGMLNGAVPPINVLIVEDNIINLK LLEAFMKRLKVRWQTAMNGREAVNKWRAGGFHLVLMDIQLPIMSGLEATKEIRRLERL NSIGVFSSSASSTAPEQGPDEPSGEDKLPSTVLFKSPVIIVALTASSLQSDRHEALAA GCNDFLTKPVNFVWLERKVMEWGCMQALIDFDGWRKWKDFSQQGNHEPEKEKAGKSIT AAKKKKANRTSLGSNPGGSTSRLAVHEEGPETVAVS LY89DRAFT_789173 MATHTQVSLPLPFLPEGWSAEKDFKAIGSLTAATQRSIEPVGPH FLAHARRARHKRTFSEDDRIQAQENVKKVEDDDAGEISEPEDPMMLSRDAKDWKSQDH YAVLGLSKHRFRATEEQIKRAHRKKVLRHHPDKKAAAGSTEDDSFFKCIQKATEILLD PVKRRQYDSVDEHADVEPPTKKQLKEGKFYKLWSNVFKAEGRFSRVQPVPKFGDENSA QEDVENFYNFFYNFDSWRSFEYQDEDVPDDNENRDQKRHMERKNNNARKKKKNEDVAR LRKLVDDAMAGDERIKKFRQAASANKNKKRLEKEAAEKKAIEDAKAAKEAEAKAAKEA EEKAKAEKESGKKAKEAAKTAVKKNRRVLKGSVKDANYFVSGDAPASAIDSVLNDVEL VQGKLDAEETAALAGKLNGLKIADEIKGVWSGEVKRLIGAGKIKDGEVKTLA LY89DRAFT_343731 MALAAYRHLLRSTRIAFHGDVAVLHAARQEARAGFRKNAAMSPD DPSLASAIAHAEDVARFLKENVVQGKHEGEDKYKLRIHEHTERGDNDTVKLSNGKTIV IDGKTCADR LY89DRAFT_659483 MPPQIKQDLNRSGWETTDFPSVCENCLPDNPYVQMIKQDYGEEC KICTRPFTVFKWKADRTSRSKRTNICLTCARLKNCCQCCMLDLSFGLPIVVRDAALKM VAPGPQSAVNREYYAQEHEKEIEDGRGGVEAYEKTDEKARELLRRLANSEPYFKKQRR IGPADGEGAGGESSTSTALASNGPGPIRTRDSRGSPSTRGSAVRGGRGGARGGRAFPS AAQLPPGPRDIQPPADQSITSLFVTGVEDDLPEFKIRDFFSPYGKLRSLVCSHMSHCA FVNYATRESAEAAAEALQGRAVIAGCPLRVQWGRPKPIGTMDKEERIATGREGRSAFA SSGPSNSHGTSQGAIAAPPKDDLASMSAVAAPPGASDVPYASLAGN LY89DRAFT_789176 MAPSKLETEDHSRDAAFNKAMHKNSSNAQGGFSAMMKKDKAAQK AAVDEYFKHWDNKSAKDETPEIREARKAEYATLTRHYYNLGTDLYEYGWGQSFHFCRF AYGEPFYQAIARHEHYLAAKIGIKDGDKVLDVGCGVGGPAREIAKFTGAHITGLNNND YQIERATRYAQKEGLANQLNFVKGDFMQMSFPENSFDAVYAIEATVHAPSLEGVYSQI FRVLKPGGVFGVYEWLMTDNYDNDNPHHREIRLGIELGDGISNMVKISEGVAAIKAAG FELELHEDLAKRPDATPWYYPLAGDFSMMGSIWDFPTIVRMTKWGRAITHKFVGALEM VGIAPGGTQKTADSLAIAADCLVAGAKEDLFTPMYLMVARKPKA LY89DRAFT_600076 MGAFAQYNGAQYLDGYEAVNLPTDPVQIRLAYQGPTAMMVSWNT FEQLTNPSVSYGTDPANLDLTASSSVSVTYATSLTWNNHVNITGLSSYTTYYYLPQYS NATTPYTFTTARAAGDATPYTVGVVIDMGTFGALGLSSSILAADDPLGPTEQTTIAAL SEMINDYEFVVHPGDYAYADAWAKEEAAGEIPTGSALAQYTIVYESILNAFFDELEPI TSQKAYMVNPGNHETNCDNLGTKACTVGQTNFTGYQNHWRMPSNPSNGVGNMWYSYDY GMAHFVHISTETDLGNGGNGLVAPDSAFGGPFGTTANEQINWLTNDLASVNRTLTPWV IVLGHRPFYVSSSSNICAPCITAFETLFYTYNVDLYVNGHSHLYDRTVPIYNNIIDPN GYNNPKAPMYLTNGAGGHFAGLDTPSAIMPYTAYNQALDYAWTTLEFLNSTHWVVNGL WSANNTIFDTVTLYKA LY89DRAFT_711755 MRRSIPLLFLVAKASTVNAITVDLSTRYQKMDGFGFSQYFGTAS TIQTLPADQQKQTLDLLFNVTTGAGLTILRNGISVDIIEPKSPGNATDNATYGWDGSD QGQVWLSQQALKYGVNRFYADSWSAPAFMKTNDNEDEGGYLCGVTSETCKTGDWRQAY ANLIVQYLFDYRSEGINVTEVGFLNEPEYTGSYASMLSTGTQAASFIEVLYPTLQAAG LGDVGIVCCDAIGWSYAVEWTAQLIAAGVEPMLSRISSHWYSGVGNGPLNTSLPVWQT EYCALKGVYSDVWYSTGNSDLSEGLTWANLIYEGVAQSNLTAFMHWWGVNFDAASGSL ISAGFGGPVQATSYLWSYAHWSRYVRPDAFRVAATSKVGTTVSYSAFHNVDGSVSVQI INNGATATPFDVSVTGMNVTDAQVWFTDNSYVGVYSMNGTVLSKNGTISLTAPTKSMV TVVFSPAS LY89DRAFT_630275 MDSLWDTRCITASSSDVEYMTSLGLESNFNTVIADKIFYYQRGL KDVKADTPILVMLHGYPQTNFMWRHIIPLLPEDIPIFLPDLPGYGRSSPLSSPHSKRN VGNAILSMLYSLLPSASDSHPIILAGHDRGARVCQRLSIDAASDTHFAIRGSILLDIT PGTAQWQKLAEPQATVSCYHWSFLPNVELATAMILAFGGDKYMRTNFSRWHGKNEVGL RRLKENDAERVYADAFKYEHVIRATCDDYRASAEEEVKQHEDDQREGRKLDSDVLLLY SSSFLASRGSVEIWKEWMGKGNLEMKGLGDGVGHFVAEEAPEKTAEAMVTFYNNHN LY89DRAFT_691231 MAATATSIRSSCHCGAITVTVPRLPEYINVCQCTICRRYGAAWG YYHPDEVKIETKPNAVTKQYVWGDGDLSFNFCDNCGCVCYWWPIQPPPTDGGEYQMGL NTNNVNPELLRFVDRKFEYSVLMSPLRSKDTAHPDDPAKY LY89DRAFT_702035 MVEINGPDGQRKTVNLAELNEADAQLAAQFGYNPVFKREFGYLS TFSFAVSISGLFATIMTTFSYPLYAGGSAAAVWCWLISGAGCMCIACSVAELVSAYPT SGGLYFTISRLAPQDWVPALSWVTGWINLLGQIAGVASSEYGAAQMLLAAVSIGSDFN YAITTNTTIGVMAALTVLTGLVNSLSTYWMEKMTKTYVIFHVLVLVSCAIALLAKTDN KHDAKYVFTDVNSTSGWTPVGFSFLFGFLSVSWTMTDYDATAHITEEISEPEVKAPWA ISLAMLFTYLAGFLFNIVLCFCMGDPSDILASSLDQPVAQLFYNSLGKSGGIFYTVCG FLIIKFVCFTAMQSLGRTVFAFSRDRLIPFSNVWTKINPLTGTPLYAVWISVFWCIAI NLIGLGSYAAISGVFNVCAIALDWSYCIPIFCKIVFGKFERGPWHMGKFSVFVNSWAC LWTFFVSIIFILPTGRPVTATTMNYASAFLVLILGAAAIFWYISGRKYYTGPIVEAQA EDERSENETPTMSGKEKDDVV LY89DRAFT_344189 MDIGHSTITQVMVEEPAEYQKESSFSLIQHDLFEITLNGPALVL TILAIIISIQILQPSFTCTLLALAPLPWIIHNDYQNFINLGPGGTPSTFLGYLKIAFL RMFALSDPYTPAIISEKIYPIIGYYQKSHSWLPERAGPRPKIAGIAPQRQIDQAGCPE MYQKLRQTLERLALQQPDSFRTAMSCFEKKGLALFAQKPINETCRGEICHVHHSDRSM HMNLHPDDAKIVLEKGWGERHPLARGGWMKQYVPREFLMIYAPRNRSELDVVCRIIEA AGFWVSGEHFKLKVENEPTSSKNTTL LY89DRAFT_677250 MRPRSDPNIPKRTPNLCDNTENIEESLEQQWREKEKEERNEKRI GEKEGLLKLRQKGSGQEVNAGVVSVDVTAAHRIGRVTGPADFWDSNEMAETVPGHSWL RSYDVEGEDLTARTANSPKTWTWTWHMGGMDGMGMGMGICISFRAAVLGAVGLCNLTV LNRTRRDGSVQMANIYLLYFSHGRTDTAFLPLLQDRGGRTADRIVRYSVSLLRLPTRG ETSLAAGRVPCCGHPQRERYSEGRSGENFLSRIVGFVPGPFQIQWRGFAHKKPSPSQM HIILLSLGSVLSGSQNQTYCTSLTQPSAMPPTSLGRKESYLSIVRMFYCMFYEYESGD HRESPPSG LY89DRAFT_344061 MTDFSSLGQMKATKRLSPTKPLMINTNCRQGVRDVDLEKHGYDY DDDSDDESDYSSYTASPVSSRETSASYSSQRPMLRRRSIADHRPSKYRLPNKIIRYLC LALISTLVIFMLSLIRMSIVSSRRVEVGDFGERPAPPPPTWQSFEFLSRYYGGIRTLV PLSENIPEYPSPEDEPPITNDTSTIPVREIPSSRPYDAYQNQTSKAYLSEHAPAHECF LDAHSTLRVPPLQHYQGRPSGFPDNVMGSYELLGLPEDVCFERYGRLGPYGYGYALKY GGTGTGLHGDVEGSESVWESDGVDIQVDYRDIDWAEAQKRCYTANSERFEPKTAYNEP PTTFSVLGGDRKVIVKRDDHANYSNSSMQTGIPKNYLPRTAVVIRSWDDFQYREEDIL HLRAMISELSLGSGGEYDVHLVVQVRDEGKHPIWADADTYQEHIRQSVPKEFWGITTL WSETQMLNLYQGMFDTFIRDLPVHGSYRGLQMAMQHFAHIHPEYDYFWHWEIDIRYTG HYYNFFSQVDSWAKKQPRKGLWERNSRFYVPSVHGSWEDFKQMVRVQTEMGTDNAENI WSGIKGAKTTERKGDKPIWGPERPQDPEDWFEIEDDPTPPTTYDKDKYKWGVDEEADL ITFNPLFDPEGTSWFLAKDITGYNASEGLPPRRAAIVSASRMSKRLLRTMHRETAFKK HHAFSEMWAPTTALHHGYKAVYVPHPMFVDREWPTAYLAGVMNSGRNGATGGSRTSVF GEREHNLMGMTWYYNAGFAPNLWKRWLGLKVNNEGGEEFELTVDEGRSGKGVNQMRGG EGRMCLPPMLIHPVKSVEIPVEGLSEDEEEIPESDPAA LY89DRAFT_691237 MKKFFNKAKSQLQDSSSAQKPIPQQDQQNVIRPPTAEDVIQYRY HHGVNLGSVFVLEKWLFSDMFIDQAHGDSELDAVDAHFKAHGLDQTRQKWEAHWANAV SDQDWEFLTKEAKCTSIRLPIGYFTLGGEFCKGTPFENVAGVYTNAWPAVTNLVSKAR SYNIGVLVDLHALPGGANSDAHSGSSTGKPELWTSRTNLRRSCQALVELASRIKDMPG IIGLQLVNEAVFDAKGMYDWYEDVIGDISKVNDSLPIYISDAWDLDRALQWTNKRHAF SNLPRNPVIIDTHKYYTFSDKDRSQAPHQIISRISSELAELDGKAGSLSDRGEAQVVI GEYSCVLDGQTWGRSRPEEKDSLVQQFGHAQSQKWQEKAGGSYFWTWKMQWMDGGEWG FVEQTKKRNILPPQSLTLSTAELQSRIQHAQSKRRELGSQARNAHEEYWSRTSPDTDF QHDLYTQGWDVGFSDAQSFFGMRTQVNSGAEGGDKVGMLEVWTKKRLLESAQRGAFTW EWEQGFRAGIGAFYSTAGI LY89DRAFT_691238 MSVLSVSMSASHSFGKMPTSYIYLLPKLGVQGDAHCGTSPSSNP RQVHLIDSSLFSSLSKPSSKSPSYQLSPGSLGENITTSNLDLLSLGEGTRLHFGDDEG HAVVRISGIRQPRKRLDEWPEGLLERCAKKGKKIGVYATVEKEGYVQPGSAVYVESPK HFKPLGYV LY89DRAFT_702039 MAIQIYLAHTGQRLQADPETFATLDAFKSWVAKQSKITIQDQIS LTDQGRPVKFQGLSSEKEIFVYDRRIVQPNPASSTKLLQYEVPIPPKYSVSRPPDTIT DQNDLQAWKDLFMQRRSWALNIVDDCTAMSLEAQQRYGEVEIIARGVEAAVTNLDRHV KMLDQKNADVQVWAADVQKDDDLTGTDWDAIVDGLRSLPASYDMIKFITGRDIRKSQH KPSLGDLVDAEEVKKAGKDVRKISSNLNQGSASLGSQVDEVLRRTDGLLDRIEKSPAL SLIGRGAEPAQLMEDIEAIAKRISGDYENVLGFANTAKNISQASKSALLHTKNFLPNL SKRSLEMDSILQSVTETRNTVAADSLEAMRDIALVTALVSDANGKFAALQLNSDAFEA INLLSTVNSLPVTYASFMTEAIRRREWNDKVRSDSSTLANEMAAFQDEEAKRRRKWQK STGNALWGEKVERKVIGLEVNLLGDEDDWPDVSRRDLEAMLETLQAQDFKSGVVADIS KIVHDLNNPTKQQSKRAKAFKAGSIHENALGRSALLMRGDDDLIRVVQEEKQKIESKL KTAESRVRRLEDLLHRQSQVTRTSTGNVFQPSGYPSPDAHVMANPLASPRLPEDISRR SSVSSRRFSANQGQEEKVFQQKLLSLEAELIAERERAAGLEKEVSARKTTVDKMKAEV DEATSTKKDLMENFEAQQREFLEERKSLEEEIKQYKTKLEELEDEMERYLGSRENERT TVDERVRTLEAELERFRKEATLEAQKAQGQVEFLRNDAKMQREANEALDKQLQRARDE NKDLLARVEKAEQASKVQLKVLHDVHAQISTTSIVPDDLNELADALVTLSGDLVAELS SVKSDSAIARSDRDTAQAATTDLQSEMETLKERLSVEELETLQLRETLAGERARFAAL GIELADERGQLSSLRTKIADGETGSETLRLRLEEEEQKVTNMSEELAARQSRIGGLEE ELRSVQEKYQSTHLKHEKLNSRFEARTSRAKDLTQRVYAQNDRLCRLLERLSYSVTRE GNSMVIQRLPKPERSSTANDSSDPGSSIRRSISGAMSRKAMVDSGDLDLLYWMHNDDS DVETERYEAYLNAIGSFDVEQFCEIITKRVKDMEYTAKKYSKDARAYREKSHSAQKEA HEKIAFKNFKEGDLALFLPTRNQATGAWAAFNVGAPHYFLREQESHKLRTRDWLLARI HKIEDRVVDLSKSMSSTHLNVSDGRSFGETSNGGDSFEDDNPFDLSDGLRWYLIDASE EKPGAPSTPGLGKSTVASTNDYATGSIRRSKKSSSSGVEGINKTLSKSLDSRRSSNNS KKSVSAANTLIKTGSTATDTASLKAVATTQTSGEPSEPQSIASSSRQEGSGSGDKVLN SEVGTNHIDNLMGP LY89DRAFT_659514 MDRHENAMEGVELTTVQPSKVAILSDEEKRVLEIYDRLEELQLE VALLKAQGVLLQDIPRVVSEEQIIVAQKELLEAKAGYQLRSSIIESVFIANPIITAVH AGSNASVLEQDLLPFIEQRDELSIVLTQVSKQVSTARDDLIQVQTEHITTANRNVELA ASMIALAKEANAQTKDDISDPKVRQQLDRLEELLKVSKRKWRIMKGTASATIVGSGVD WARDPKLLEVVLDDEGD LY89DRAFT_725271 MAATAIVPVLSEAQFNIEASTVAPDITGPSVHVSLPLEDTHDDS QNFLVVSPYEEVPHLLDLRTLDTNNQLLARALVGLKCLRDDYATARYVDIFNWAEVVE DVRQLATASKRNFKEASYFIVVFRSRIPPETKYEDLGVLDKAAHAEATKSGGFLKSGL PILVLYSTDMDRYWFGSPDGEGRNLATCVWRSQEDARVGSIGEAHKRAAGATRMLYTE WRIERLKLKIKDGATAWEMTEW LY89DRAFT_691244 MTSTLSHRLETYSRGIDATKLNTVDVWTPDTPGGIAESKLWVIY IHGGAWRDPEVDSKSFAPAINVLWSSSSRDSFAGFASINYSLSPYPSHKTIPSTPDDA SRNVHHARHVTDVAHGLHYLQDRYKIHNRYILVGHSAGATMAFQLYQGLFSETPLPQP ISVLGIAGIYYFEAFVEAHSSIPVYKEIMDNAFPDQTLWREASPYSSRPGKETLWEHA EVIVISRSEDDELVEKEQASLMLERARSIPHAPEKVFFLQASGLHDEIWSSGDILASL VVKTLSLHKTS LY89DRAFT_344386 MPQVLSTKEQSLFRTVVRNYEDKQYKKGVKAADQILRKNPKHGD TLAMKALIMNSQGKTDEAFALAKVALQCDMKSHVCWHVYGLLYRAVKNFEEAIKAYKF ALRLEPDSAQIQRDLALLQIQMRDYQGYIVSRRAMLTGRSGMRQSWTALAVAHHLNGD LAEAERVLTAYEDTLKNTPSKTDFENSEAVMYKNSLIAEQGDIERALEHLESAGKHNL DRLAVLELRATYLTQLGRKEEAAKAYSTLLDRNSEYKKYYDGLIEAMEVHLDDLKARK AVYDGYAEKYPRCDAARRLPLDFLHGDEFRDAADKYIHRMLDKGVPSTFANLKHLYSD SFKKETLPSLVQQYIDSDKSSANEEPKRNGDTSKGASAAFYFLAQHYNYYLSRDLDKA MEYIEKAIELEPESVDFHMTKARIWKHNGNTQKASEIMEQARSLDTRDRHINTKAAKY QLRNDESEAAIKTMGLFTRAETVGGPLADLHDMQCVWFLTEDGESYVRQGKIGLALKR FTSIYNIFDVWQEDQFDFHSFSLRKGQIRAYIEMMRWEDHLREHPFYSRAALSAISVY IRMHDVPLLNGANGVGHSDADDAAERKKAAKKARKEAQKAEREAAAKKAEPNKPTSQG SEDTKKKDDDPDGAKLAATTEPMNDAMKFLTPLLQFSPKNIDAQIAGFEVYIRRRKYL LALKCLLAAAALDKEHSKVHEQTVRFKLALDKDSETLPPKSLEVIKSEFTLLAASVDL TKFNDEYLAKYKDCARRALSALKIRKLLNPESSSKCEKDVAAVISLPTTTVEEATEAL DLLTSWKSSEIEGLRSKAAAKWPKATIFAAKA LY89DRAFT_725274 MADTKDPPKALPKPNPIWKYLGFGENFRPRLPSRNWMIFLSITG AFTTAVIYDKREEKRAQRKWMKAVEHIGKEPLPSSGSMPRKLSIFLEAPPGDGLRVAQ DHFKEYVKPILVASGLDWEFIQGRKEGDVRAEIAEKIRNSRLPPDQRREEDVITETRR SSGIPEFDGIRGDIVIGRHTWKEYVRGVHEGWLGPLTEPSSVGEKTSEDVSMGDKEAG VPVESVEAVETLPGITITSTPKEADEVTTPSEKPKEKPKKPPQPPPFITTAEYSNADS PPGLPAEFDPSQPISFPHILGFLNTPRRLYRFLNRRHMADSIGREIAAVILSTYRPYD TTSASSTESTFSSDTNQPPSSNVPSTPQIAEQQTALIEEEKDWPKSVRVLKDDDPERT WLDPIVLDPRIASRMRRFQITLDEEARVRAIVVPEEEVEGWIKGGIRSLGRQGLKAMG FGKDKKPAPAEGEEEVLE LY89DRAFT_630304 MENNSGNRLYLNFNQTDRGGAFSDQAYPTTPSTFPQPVFPPQAQ GGQQGQAQNYSTGLAPSGYFANQNYPPSYPQQPPSSGYQKPPQAPQAAYQQRQAPVDA TNTLVHQFSHQNLGGRASPYGNRQPSPAQRPRTAGAPGQPAGYGSYLNAPMPATPQQS WPEFQPGPERNPDKYGQGAQTNQKRCASLAESFFKDSVKRARDRNVRQSEMEMRLADP NQSQARRDQTWSNAGKQEGRYLRFLRTKDSPDNYQTLKIIGKGAFGEVKLVQKKQDAK VYAMKSLIKSEMFKKDQLAHVRAERDILAESDSPWVVKLYTTFQDSDFLYMLMEFLPG GDLMTMLIKYEIFSEDITRFYIAEIVLAIEAVHKYGFIHRDIKPDNILLDRGGHVKLT DFGLSTGFHKLHDNSYYQQLLQGKSSRPQNRNSVNLDQINLTVSNRSVINDWRKSRRV MAYSTVGTPDYIAPEIFSGHGYGQDCDWWSLGTIMFECQIGWPPFCAEDAHDTYRKIV NWRQSLYFPEDVQLGPEAENLIRSLVCNTENRLGRGGADEIKSHKFFRGVDFDSLRRI RAPFEPKLTSNVDTTYFPTDEIDQTDNATHLKAAAALNGGQPRVEAPEMSLPFIGYTF KRFDDFARR LY89DRAFT_691248 MDYQNRAGSKFGGGGVASTSATNADRRERLRKLALETIDLDKDP YFFKNHVGSFECRLCLTVHQNDGSYLAHTQGRKHQTNLARRAAKEQKEGRAGVDPVTG MPIGMVGAQIAVKRNVVKIGRPGYKITKTRDPVTRQQGLVFQLQYPEISPDVTPKVRF MSAYEQKVDDPPDKSFQYMLVAAEPYETCGFKLQAREVDRTNDRYYTWWDPDQKEFWV QVMFKTEREERYSGVPGLAPSGMSRR LY89DRAFT_600388 MANVQPIAIGGRLSYGSAICTLRYIGPVAGTDQSTVWFGVEWDD HSRGKHDGSHQGQRYFTCKSPSPTAASFIKSSRSQDPSRSFIQAVKRKYADEDHRDDV SERPNVISGKVVEEVGFDKIQEQQRRLHELKIVLVDGQQINAAEDATDEVIETVCPSI VELDLSRNLLSFQETHKIIRRNKLPHLRLLKLNGNRFSELDSRSRVEILDSLEAIPVG ELHMDDVLLRWDQLCNILSKIFKSLTTFSASSNILKSLDYSIPIHSLKTLILDFNQFA TLSDLTLLKQCSSLEILRLKGNSISSVAQDPFVLTPSHQFGDKLRYVDLSYNAIASWK FVDDLDYCFPGLTELRLSHNPIYETSSDSQASGGAEEGYMLTLARLGKLTNLNFSRIT AAERSNAEMFYLSRIGRAIAEVPETEAASVIAQHKRYAVLCEKYGEPAIIRSNADGIN PLFLEARLIRFTFYLPQRTRDGKVEKITLSKEIPKSFDVYRVKGIVGKLFGVPPLNLR LTWETGEWDPVAGYEEEEESSGDDEEGSEKITVSEKQVVRETGRLMKREVEIEESTRV IGNCVDGLEATIRVEVNKTTAVLASIPAM LY89DRAFT_742231 MPPNPLKSGYKDKPWPGYFLVRTTGEVVPLIAVDELPLGIDLIG VPRSLDLEGTIGMLNLGLQRSSGSHYQIFSGNEHGEEMGQSNGKAKLNNHSAQQVQLR SAPAALTTTPNSTPPSTPKVSSTIRPLTSNPAAILCRHWCHHGICKWGQQCRYRHIMP MTLQGLQEVGLADWPAWYRKLNPGYFINEVQASAPGLTGGRSRTRACAAGGGHGGACC GVLHGRKGERRVGGPSRGLRERGAGRVSKTEELGEQIIARLRGMAREGNTIAGEKGNI MVERAAARDTKNWEDESSDDSEAEVQQVEEVKGNLVDV LY89DRAFT_691250 MAEESPAGSPLSSLSSDFDGDDDHDEIAAEAMPPSKKRKIGDPE PYLEAPKFDDDAISISSDSSGEVPASPSNRPEDDDNHEQVTVCTWTGCDEGDLGNMDR LVEHIHNEHIETRGKKYTCEWSDCARKGLPHASAYALKAHMRSHTREKPFYCSLPECD RAFTRSDALAKHHRTVHETESLRPSDPIPKSMQAAHRTSRLKMIMKTPQPHLDGHILG PMNGTTNGHAPTGWTSSYPTELGFRPEEEERGPKDLYRLLRRQVHWAEEEAESLKQSA EMMEELRRKEWLEKEILLDQALKTEIDWHERRRLVLAGSAEIPSADAIKAAADRASWH NAYSPPAIGASSPSPLPIKGQPVEDQREAAAVLASLHQA LY89DRAFT_630315 MTTQLVGKQIGAIGYGLMGLTWRRQPPSQEQAFDAMNAALAAGC NFWNGGELYGPPNANSLHLLNKYFTKYPEKAGQVVLSIKGGLDSNMHADGSPEGVRRS VENCLKILDGKKSIDIFEYARVDKRVPIEETLKHLDEYVKAGKIGGIGLSEVSAATIE RAVKVTKIAGVEVEVSLFSTHILESGVAEACAKHQVPIVAYSPMGRGFLTGEVKSPSD IPDGDMRKHMPRFQGENFQKNLTLVDEVSKIAKQKGCTTAQLAIAWVAQLSKQLAVPV IPIPGATTVERVAENSKKISLTSDEVAEIDSVLKSFPVSGERYPESHMSHVDV LY89DRAFT_630318 MTSCPFCYIAEHFPPSESPNPNYELISPSAFVVLSTPLCLAFLD IMPLSPGHLLLTPRKHHEKVSDVTEEESRELGMWLSRLSRVLASVTGIYDWNIVQNNG AAAAQVVPHCHYHLIPRPNLTPELRNRSFTMFGRGQRSEIDDDEAAELAQKLRDGIAC EMERIKNREKL LY89DRAFT_630321 MEYLIRFTQVHETFRLAEIKALAVLENIDLEVLSYSPTSPFCIA KFPSEAAAIRLVGRSILAKSIFELWGQGTNYEEVHENVLKTSGHLWHIYKDVSFKFSF DPFQGSRSIPEQRKLIEGFQFLGFDGPIKMKGAEEDFCICEEWQFDAVGLGITKPESI YLGRYIGGSERDIIGKYDLKKRKYICTTSMDAELALITANITLAAPGKLFYDPFVGSG SFPLAAAHFGALAFGSDIDGRSIRGRGKTSLLTNFVQYSLTNQFGDSFVADLTNTPLR SARIFDGIVCDPPYGVREGLKVLGSRDPTKGKEPVYRNGRAHHEEDSYIPPKRPYSFL AMLDDILDFTAVSLVDNGRLSFWLPTANDEDQEVQIPQHPCLQITSVCTQSFNRWSRR LITYRRIPDAEVIEGVVRKERTAENGVNADDLNPFRKGYFKGFNPILK LY89DRAFT_691255 MLNFRVETKNETKCYATHGVLGHVDPKQPPVKRKPFSAILNHDF IQKVELFLPEELYRIVEKGIINALPAPSYSSVILPLAALLEGEFFNEYIKRGNILMLS EGRLGVDNVYSLREGVLTLHLDKESYERAGLVGQPEGVKGKRGTKPRWAVEVNLRLPS MLHGKKGFDRIVYAFKTVLTAPVTWLFLNLETKAADPDPFDAHFPARKVATTQVTRDI QVKMPSLVPPTEVDSAYGADFEDYAVEMYEWLSLLQLDSPRILADDKTDPFLSRYNIS GDTSTNCSLVKVNWRGFMSAFWVRDAFVQILQVIPREAWFVFRADEVGCGPHKQNRSC TIVKLPKAPTEYMLWEIA LY89DRAFT_344839 MPPPPPRQVNVFLLAADNPLELLTLLRENLLLASSQDEHGYSLM HAAASYNHLELLRALVSEFHVNVDLKDEDGETALFVAETVDCARILVEELHADITIVG DEGKTAREKFADENDFPEVAVYLRMKELESQVNGSSAANGIHSPPALPEGVQVNIGTM AEDEAGEVADPEFRRRIEELAAREDFQGEEGQRALRELITEALRGEVGQEREVRQRTS LY89DRAFT_691256 MSDATKVPYAPQDVQEVQTLIRNLEGGLKRDKGAKKSFSCKKTG FDVAGSPAKLTVTSWRFQDWDYKRDDLPTYARGLFMSKNEKGQSEICIRGYDKFFNTD EVNNTKWRNIETNTKPPYELSLKENGCIIFISGLHDGTLLVCSKHSTGVRSGELSHAV AGEQWVDKQLAAIGKTRQDLARELRRRNATAVAELCDDDFEEHILAYTKDNAGLYLHG ININIPEFMTYSGEQVQAFASEWGFRKTDFLVLNDIQETKKFLDEVADTGAYNGRDVE GFVIRCKSRENAHGPFADWFFKYKFEEPYLMYRQWRECTKALISGRAPRFKKHVKITE EYLLYARKQLADNPRLGKAYAQNHGIIKLRDDFLKEKNLKGSDIIREEYAAIGGAPQD VTKNIILVPVATLGCGKTTIAVALVHLFNWGHVQNDNITGKGRPPKFTKEVLSQLESM PVVFADRNNAQRHERQQILGDVKLTHPEVRLVALNFVHSPDALAKIREITQTRVFARG DNHQTIQATTEKNKVVGIMEGFIQRFEALNPYGKPDDEFDAVIDLDPTVDSRENLETV VGQLSSLFPKLIPEMPSGEELDEAINIALSDYKPEMRHTIGDRGPAKGGRNNNQNQQQ QQPPAAKKPRPLEYVAVNLPKSEINDALETAFASVSTKKARFFRQLQQTRRVQPEFHV TLMHRASSKQRPDLWQKYTDMHTNAGGDPWAGGSKMGDCKILLERIVWDNRIIAIVAR LVDEGWECVNAVAHVTVGTRGDEVKPKESNDLLKRWLEVGSGDDSGIGEVAIVGRIVV DGTVKGILARH LY89DRAFT_742239 MSKALDTRRLADAPFKLIETPAHAQKSSKPYDQYVEAASVMALG HNVFIRGMNSIYKQAPHIKPEDHADFINYAKCWAEILDAHHKMEETSLFPQIEAKTGE IGIMEVSVEQHHAFLPGLAAYKEYLSGCTNKPDTFSGTRLISIIDSFAPELLIHLSDE IPTLLALSKFGNQLRLLDMVNAESQKSPLHQSITGGTPFFFRNLDVEFEDGIWKQWPP IPAPVWWFLQNSFVAWNSRWWRFAGCDASGKLRELPTL LY89DRAFT_742240 MSSAQQQSINQSAEDSADEPMMEVPDSPPEFERSPMQSFASQPS GSQSSNAVRVNGETATKVNYGAPGSSWQTKKFNEEYEKMESQLLDKQWDQRYGDPLMP NRG LY89DRAFT_659557 MSKKAAVPDAWDDDWESQADKADAAAEVEKAEEEVKVTKAERLA RHDASMRKVWESASPEPEAPFFLATRDTVPLKTEFKPTLKLLSRKPASKVIQKIDPVT GLAKMTLEDDDDEEEQKKDQPTPEELRARAQREREEKQKRYNEARERILGPSSGGSSP GTVTPPTEDARSRSGKGRARGNGRQENSRPQSQSGSKELFDPNYTPKPGVSIQKRAGD VARSGRSTPRDDDQVIRAPKGPDGNGKGFGFANRGGKMG LY89DRAFT_600393 MSAILSADDLSDFIQPSVACIKPIETLPAKSSIENNELEISFNS ESQPTTAPPAEISLTDCLACSGCVTSAEAVLVSMQSHAEVLSELDSAPALRLRANTAG NIGIENLEDGGRIYVASVSPQSRASIAATFGVTEREAGFMIEQLLSGPKGIKSRAVYR NGFQWVVDTNVTREACLVLGAEEVIGSVIAGKGETKQPAKPILTSSCPGWICYAEKTH PHILPHLSRLKSPQALMGTLLKTTLSRKLGISPDRIWHVAIMPCFDKKLEASREELTD AAWEGTGIRGIRDVDCVITSKELLMLADSRRVDFAKLPRSPLPTRIPFPEARLDSFLF PAARRRKNNVPAAGTSGGNLYYILRYFASQHQGATVETSRGRNADVLEYSVTSATGEP LLKAARYYGFRNIQNLVRKLKPARPSRMPGGKPIGSARKVGGKSTSPDYAYVEVMACP GGCTNGGGQIKVDDPVVTGKAIGEVKSGPQEQKAWLAQVDEAYFSGGESGDDESTSDT PGDVVDGIDPSYIKNNLEHWATTTGLDLERLVYTSYREVISDVGKKVGETERVIQIAS KEGGGW LY89DRAFT_344733 MDVFYSYTYATMGWLGIQALPLIASPTIITTLLSPQVSEPTPLE EYFARSLGITMLALGVMVVLLTGSVPLTSSFSETTDSGVSTDLSDPKAPYAVPTLTIS FFYHAAMAIFCYARYNTSGQTTFILGALGSGGLAAIGLWCILFASSSGRISRKTGADK RTSGFPFQNKESASAKKKQMGKAI LY89DRAFT_600397 MSPAGGIWAPIAMRAFKHAFKKASNLVRNRLTELSRPLVQGELE PVLVRSTPKQPIHPAARIRQLKSGRWYTTHSTINAAVRRFMSSAAVDAIKYNRAAFPK SATATAVARLTTRAPFSSTLRPNLTAGCFPRTAGGYSLGGRTTARYFSHTPAAPAQVI NNVSTAVRAFWLSGQKAQFNGMTPTGEKSYRNITNLQEQTGRKMQAISKNSPGSFIDF SLNPTVTALTPLGATFGFGSTTERSTPTLNSEGFLDVLSVDFSRALKDLAATLNDLKR LSTLGDLPITLENKHILRIRFPGCDAETVERLCDEVGVQRGIIYQDEEFEIPGTKMAL MFPFAPTSEHTLSSPGGSLHSQSGHYFEEIEDVVDNPWLDGYESASEGESVYFTKPSE HQNSSDYEGLEGIYRFLEQCDNAQRIQ LY89DRAFT_345017 MASPNVTSKTFTLNTGAKIPAIGLGTWQSKPNEVEKAVEYALRA GYRHIDTALAYGNEAEVGRGIKNSGVPREEIWITTKLDNPWHKRVPEGIDSSLKSLGV DYVDLYLVHWPSSTDPTDLKKHLPDWDFIKTWQEMQKLPATGKVKNIGVSNFGIKNLE ILLNDPSTKIVPAVNQIELHPNNPSPKLVAYNTEKGIHSTGYSCLGSTDSPLASDKTL FAIAEAKGKTPQQVLLAWGLQKGWSVIPKSVNKGRIEANYELDGWELTPEEIKKLDNL PDRFKVCGDAWLPVKVFFGDDE LY89DRAFT_600644 MSSGFVSGGTIEEPIERDEAWLAAQKEVEANHRRKAELARQNNG KSLFETLEANKAAKQEAFEEAAKLKHQFQSLNEDEAEFLDSILERTRAEEDRVKKETQ EGLALFRQQQEEVDKKARRGSDGAAVADTPAEDESWAAGRKRKRAKEKEGLKGVKLRR SSTTEAMNPPQASPTTRAQATTNSTATKDPVVKTDPKPPSVADKTKSQDQPEASSNEK KTPIPSKGALGLVDYGSDEEDDW LY89DRAFT_630357 MGCCASTPSNASPYPQQANSSSRAITSSQPQSQAALPRPSTNAS NHSHRPHPQRARDLTSHPSQPLKLHTWTSKNKTWTPQDLDRERTEFFDTRVTGRAEIW QALKATLEVLWNGGDPGDNDGGLATAQMILDAAGITIPSGDLAGGVYDAFGNHYSLPE HIVANPANLTVAPPADDDDDKTGDEGSEIADEETIIRRREEKGKGVLNEADLVEIRAK LSDRESQPLRIIISKQDSVRLVMQKLFDASDLQSPRYIKIAYMGKILKEGQTLQAQGW KEGHVVNALVFGGT LY89DRAFT_345210 MSREAYQVPTAVPTPGRDSFQTNLSGDGPAINYLCGDCNAKVPL KRGDPIRCKECGHRVLYKERTKRMVQFEAR LY89DRAFT_691265 MATSQAPSGSTPTAIVCVGMAGSGKTTFMQRINAHLHALKEPPY VINLDPAVRNVPFDSNIDIRDSVNYKEVMKSYNLGPNGGILTSLNLFATKIDQILTLL EKRTTPDPAKPDAKAIKNILVDTPGQIEVFVWSASGSILLDSLASTFPTVIAYIIDTP RTASTSTFMSNMLYACSILYKTKLPMILVFNKTDVKDAEFAKEWMTDFEAFQAALKEE EEAGSFGGVEGGGMGGGSGYMGSLLNSMSLMLEEFYSHLSVVGVSSMTGQGIPEFFEA VAEKAKEFDRDYKPELERRKKQREEEKLANRDKELGKLMKDMAVSGEPSSKKSMPDMD TLSDMEDEQDDEEDVDEEKEGGEDGLSSRYKQALAESGESSKEEDYSFARYLRTSQMN L LY89DRAFT_677279 MDGLDEFEKALAEEKKAREKAEKHSNRDKDRERGKHRHRHKSTH ERSRDHDRDDHRHKRSRHSRDREEEEDHRKNHKHAKISDPHEDLPIPDDEKPLSTPTV SRDSWMEAPSALDIDYTQKGVKKVVKAPPTKPDYDLKIHKNELNHHLQDLADGKKLED IEDEHEVEYTFGDSGSQWRMTKLKAVYTQAEESGRSVEEIAIERFGSLREFDDAREEQ IEVDRRQLHGEGYVGKDKPSGELFQERKMDLGIRRNHEQAHDTSDLPPQGTIMEEKPA PATTAVLDLTTLNRMKAQLMKAKLKNNPDVAQLEQQYNEAMANFSSYPSDPGVVVLGK MDNRMLAGIRGEVKAIDNKRGRERGLVEENEDMSIEDMVREERRTKGQAGGESMRAAE RIAKDAKFDDDLDYMDDNANKLAKRVQKSEINLKNAAVGDFQKMNRILDSCQLCHHED KNQPPIAPLISLATRVYLTLPTEPELSEGGAVIVPIQHRTNLLECDDDEWEEIRNFMK CLTRMYHDQGRDVVFYENAAAPHRKMHAAMQAVPLPYSLGETAPAFFKEAILSADEEW TQHRKLIDTAAQSRNGMGKSAFRRSLVKEMPYFHAWFDLDGGLGHIVEDSNRWPKGDL FAREIIGGMLDIEPDVIKRQGRWVRGDKRLEGFKARWRKFDWTRVLTDG LY89DRAFT_691268 MAQNNRNGHIQNGSTPSSPSLSMSNGQQQSVQSRQQAQQPVSYP SPTSYPSPSLSNAQYNYPPPNQQGSEPYRASPTGSTGSLSLPSMRSLDPLQQQQQQQH ANMSSNLPPPVAQMGGGPYYHNQGQTLPHPSHQYPNVTSDPTGQNMRYALPVTDSRVM SGGRHKKEIKRRTKTGCLTCRKRRIKCDEQHPACRNCQKSKRDCLGYDPIFKQQPGPA AIQPAPSSAPSAGGIAATSHPYGHQPQIMTGYGAPTSMNNFDPALSAGASSPGSASQQ FDYASAIDPALEGVGPSASTSTSAAAAPIPAPASAPTYAPPAYPTSAPAKRTVEHLLD MGGPPPPRALTDVTTSPNMLDEIKHLYYSIYAPGLENFLESKWFSVKGLQKLLAGKAL LDAFGSLLHQFSKTAQNDPKEIAYTSSVEARTVWALAAMVRQAAGEMNGTREYKTVPS NDDPVEATNRLNIFETLITGRVAAANPLTQPVAGSTDHHRLRELEFWHSLGKFVTLDY EDDNAAKTVDDCLATLRNLLDGRENRDVLYSIAVARGLGHRVSEYTEFTQPLHLDESD NKSKLVVAKKFVQDEANGSGTTNVIRRLCDLAARSWAPSGPTGSN LY89DRAFT_345304 MGKPGVLLLGKIDHAHKEWAENVESIADVKKPKAESRAEFLEEC KSGAFDGCVAAYRTFSSFAITGMIDEEVVAVLPKSLKYICHNGAGYDQVDIHACTARD IRVSNVPKAVDDATADTNMFLILGALRGFNTSMLALRAGNWRGAPAPPLGHDPQGKVL GILGMGGIGRNLMKKAAAFGMTTQYYNRSQLSEELSGGAKYVSFEELIKTSDVLSLNL PLNKNTRHIISTKEFEMMKPGVVIVNTARGAVMDEAALVEALKKGQVWSAGLDVYEEE PKIHPGLIDNPHVLLLPHMGTWTVETQTKMENWCMGNVKSAIETGKLMSPVLEQKDM LY89DRAFT_659590 MSSQGTVVEPGAPQHVSITPLLKRLWPSPAENNVTVDEIALAIE HIFTGQLSPVQTGALLTCLHFTGWDRRADIIAKCAQVMRAFASPIDNTKLDKVVKARG RPEGQYHGGLCDIVGTGGDSQNTFNISTTSSILASSLLLMSKHGNRSATSMSGSADLL QAAQPRAPNLMKVTPETISSIYEKTNYAFLFAPVFHPAMKYVAPIRKELGWRTIFNLL GPLANPLEGSLEVHLLGVARRDIGPVFADALKMNGAKKAMVVCGEEDLDEISCAGRTF CWRLVDRSTHENSSAENIDIESFTITPHDFGLAAHTLKDVSPGKGPAENAKILLNILN NKLAYDDPILDFVLMNTAALFVVSGVCEADTSDMGPGDDGKVVKERGPGGGRWKEGVR RARWAIESGAALRHWEQFVEATN LY89DRAFT_564655 ATAVAVTPAPSHEQMIRSSPPIARFPSTQPPSYKPPEFRKSQLL RQYASLLRSTPLMLLFQHNNLKAGEWVGIRRELTKALRKVDEARAAAGHTSEPLAEGI KVQIIQTGIFAAALRIVEFYKPGPETTSQLDPINPATSSSAKIPLYNPRGDGLTHTLS AAAHEAVADTRTAHALAPLLSGPLMLVTFPNVSPQHLKAALSILAPSAPDFPAPTRRA NPSYHDMSVQGGLQKLLLLGARVEGKVFDVDGAKWVGKIEGGLDGLRAQLVAMLQGAG AGITNTLESAGRSLYFTVEGRRTMLEDEAKEPAAEE LY89DRAFT_691271 MATPLVIRNLTKTPLELKVLERFDAAPSTDNGNGGISNITRTLS GLMGNFTSPSSSQIAAKSESFTNQDVSIPIGPFETKTTDIQPDPRGVLRLTFEAEGQR YRVDTPTPSQRSTTLTPLSPDPRLEFTAVHLPTSSHLALYSSAKLESWMGQLQNETPL SALSIPGTHNSPTHHTALPSVRCQAVSVKEQLNNGVRFLDIRVQPESPDNISKDGLIL VHSAFPISLTGNKYFRDILNTVHSFLDANPSETVIISLKREGIGKATDQHLSKILHQH YVTDANRWFTDNRIPALGEARNKIVLIRRFAIDDSLKGENGGKGWAIDAESWPDNCAD GICSSGEIRVQDFYEVAESENIEKKITFSRDQLARAAEAKCVIPDDMNAAVAESSKQP FFMNFLSASNFWRANCWPDRIAAKVNPSIVDHLCRKHHEPAGPGDGSCGIVVCDWVGS GGDWDLVRCIVGMNAKLQSK LY89DRAFT_702066 MSSNSSYLSYPVNSFGPTVPGEFDFTLLFEDTILSILPSVLLLA VLPFRLIALRGKPRKVFRSHLSMTNAAKLFLLVFTCMQTALLGLSATTSRLRTRATIV ASVLTLIDAIGLCILSHAEHLYSIRPSAIINIYLLLSLPFDIARIRTLWRLGSTNSVA ATLTGTIGIKCLILFTEAIEKRTILLDRYRHASPEATSGIYSRSFFFWLNNLMRTGFT RVLSNEDLYPIDDSMTSRFLNHRAHVSWTAADKSRRWALMWATLKATRSPLLYCIFPR LCMIGFQYAQPFLLFRTVNFANNPDEPDSIGWGLTAAFGLVFLGLAISNGAYYHMCYR FVTTVRGSLVSLVYAKTIDLNITALDESVAVTLMSNDTEAICQAFQYVHELWAVPIEL GIALWLLNRQLGLAFLAPGAVAILSTTGCLAMAKYMGNAQKIWMEGIQTRVDVTATML SSMKSVKMLGFTSILSSIIQSLRITELKLSTLFRKLLCVRVFFGNSTNAISPLATFVV FVIIANASGQTLNAASAYTALSLISLLSTPMNNIAMTIPMLNAAMACFERIESFLKSD ARRDHRLPLLGAIEPTDESSTPSLNDGIALKPLPKVIQRSDIESALIVVQDASFAWSL TTSPAVRDLSFTLQRGQVSFIIGPVGSGKSTLLKGLLGETPSSQGFVYSASLDISFVD QTSWIRNGTVQQNILGISSYDEGWYSQVVHCCGLENDISILPKGHATQVGSAGISLSG GQKQRLALARAVYAKKELIFLDDVFSGLDAETEDHICSNLFGKDGLFYKNRTTVLFAT HAVQRLSYADNIIVLGPTGRVLEQGSFAELKAAGGYVQSLSLKLKAEGSASPKERKLQ STVAAAKSAAVLSAEAEEIEISAEDLNRQSGDFAVYKYYFSSIGWAHSTMFFGWVLLY GIASKMTEFVLTYWTKAVAAHGNEVNGFYLGIYALLSILGLVGLLGGVGELALRLVPR SAEVLHARLLSTVMGAPLTFFTKTDTGQTTNRFSQDMTVLDAELPYSLIDLCFSLVVT IMGAALMCVSAGYFAATMPPIILIVWVLQKYYLRTSRQLRLLDLEAKSPLYSHFIESL SGLVTIRAFGWGEHFQERNLVLLDVSQKPYYLLFCIQRWLALVLDLLVMVMAVILMIL VVKLRTEISPGFVGLALLNVMSFNQSLAYIIQNWTKLETSIGAISRLMNFSRQTASEN LPGEDEDVTEDWPAFGNIEFKNVSASYTANDTLVVRNLNMSINAGEKIGICGRSGSGK SSLITTLFRMLEVTEDSSITIDGVDITRLPRELVRSRLNAIPQEPFFVRGTVRANADP NGDHTDDSIISAIQKVHLWDLVFSKGGLNTELDSEFFSHGQRQLFCLARAILRRSKVV VLDEATSSVDTKSDDLMQQVIRKEFVGCTVIAVAHRLDTIMDFDRIALLSKGSLVEFD SPQALMARPSAFRELYNS LY89DRAFT_659604 MEQRQNTDFGGAAFPTNVEEFDSDDRISFSKLDNKFLLVQDDGT EFEFDDAIKRWIPVVDDALLEEQQKAYRVSGVDESEPVEPQKKKRKKEYVNGEDEGGR APKAPKKTKPPLPPRANTAVYVTGLPDDVTVEEVHEVFARKCGVIAEEIDSGKPRIKI YTDSKGEFKGDALIVFFKAPSVDMAITLLDDTEFRFGNKSKMRVQAADSSYKKVQHNE GEEDKEKKKTSMKDKQKIIKKTQKLDARLADWSDDEPSTIMETSSRWDKVVILKHMFT LEELAEDSAAILDIKEDIREECSKLGEVTNVVLFDLEEEGVASVRFANAEAAKACISL MNGRSFAGQQVEAYISDGKEQFKKSKKKADDDAESEED LY89DRAFT_711791 MGGINKPGKPKSKRQPVRLRHKIEKASAAKQKKARKLAKGNPEW RSKLKKDPGIPNLFPYKDKILAEIEEGRRRKVEDAERRRAEAKAAKTGAKTEKSGEQM DREHEARVEGWEDELVDEMEMDDGEEDLDEDANPMAALIASARAAAKDYEDELESGSE MDEDDESMSEDDVGGVEINGLPTRKDGSRKAFDKVFKQVVDQADVVLYVLDARDPEGT RSKEVERMVMAAASGGKRLILILNKIDLIPAAVLKSWLIHLRRYFPTLPLRASGPAPN AHTFNHKQLTVQSTSATLFKALKSFAAAKQLKRSISVGVIGYPNVGKSSVINALTSRL GGAGAACPVGAEAGVTTSLREVKIDSKLKLLDSPGIVFPSTGDGSKASKKEEQARLVL LNAVPPKMIEDPVPAVTLLLKRLSASEEMLKKLMDVYGLPPLMSTNGDPTTDFLVQVA RKRGRLGKGGVPNVSSAATTVITDWRDGRIQGWMDAPVLAVAPAANAMITGDTPAVGD QKEIVTEWAAEFKLEGLWGDGKPEVVEDTMQE LY89DRAFT_659609 MGSMAPSFSSPPQRATFKGLLFDMDGTIIDSTDAVVKHWHTIGK EIGIDPNVILETSHGRRSIDTLKALGQDHLANWEYIKHMEELLPQNYGDDAIEIPGAR DLLDAIKSANIPWAIVTSGTSPLVGGWLDVLKLPIPENLVVAEDVENGKPDPSCYLMG LGKLGFTVKDAGDVLVLEDSPAGKFCSGKAAGCKVLGLVTSHTVEQVVKAKPDWIVKD LRSIRVTAAHSDGGVELEILDALKVD LY89DRAFT_702070 MMALPNAAKTSETRPRLGKEEVDILEASFRKNPKPTTQTKRGFA EDMGVDLARINNWFQNRRAKRKQEKKQEAYEAGQAEQALGFSEPSSPDFYSHSNGYFS DPQLMPMAQSSASFPMMNGPPPAVAGYNPQYTDPSTASLESLQRTLAVANAADHDEYH DFVDQRDAIGTFNGSLGHDFSTSDRVQFPSPEHGLPHFDDSNAQTYSSYTTHFSSGLY NEGQPLEVQSPPEEANNQTPTPFNTFSGTSGASHLLTTFPSQLLPTQNQDTLSRSHEE HDGSSPEDHSASSIGFQYDMSEPDNTPPPAASIPFKSPPPPSDIASRRKKVQVKPTAL ATDTLRSSRPSLGPRTVSHAEGFRRPIESPIGSPMRRIASAGGNRNVLSGRVFKSGIE SSQRSPINFGTFESAGAFMEHNFHNIRHPSLTASSSLNSSLAPPTPMSPRGEMMTLVK REGPRSTASPVENFIFNAGTGGFTTLDGDQSLSPPETPQAPMALNPSHNWAHTLDFAE KQWQYEVPDEPLFTPAHDTFPIELHMPQPSYLTSMSQPVTPAFGQFNPNFMFGHESPQ FTESPKYTLSTQTGSEYSFPDASPHHYLGLSPTMTTTKQKTFQFSHTTAADFSEK LY89DRAFT_691278 MSTIASFKIPKISNEPNHHYAKESAQREGLTAALEGFQKKAPLE VPLVIGGKELKTSTISKQQNPSNHSSTIASYSNASPSDVSAAIDAALAAKPAWESLPF SDRAAVFLKAADLIAGKYRYEIMAATMLGQGKNAWQAEIDSAAELVDFLRFNVQYAEE LYAQQPAHNSPGVWNRVEYRPLEGFVYAVTPFNFTAIAGNLPGAPALMGNVVVWKPSD SAIASNWLLYNILLEAGLPKNVIQFVPGNPEEVTKVVLAHKKLAALHYTGSTAVFRKL YGSIATGVAEGRYQGYPRIVGETGGKNFHLIHSSADIDNAAVQTVRGAFEYQGQKCSA TSRCYVPKSVWPQFKERLVEETSKLSVGPPTDHKNFIGPVIHAASFKKLSGVIDSAKD DKELELLTGGKHDDSTGFYIHPTIYVTTNPNHNLLSTELFGPILTIYIYDDSASPVDA FASACELVDSTSEYGLTGSIFASDRAAIRFAEDALRNSAGNFYINCKSTGAVVGQQPF GGARASGTNDKAGSSNILSRFVSMRSIKEEFNPTLKVEYPSNEV LY89DRAFT_659617 MTSLKRSLASDPYASNISSKVYVRTTKSGKVQKIVRELYLRQDI PCSSRLCSSCLATAPRDASGTLTPFVLSEKPAGTKAYPQGHYLVPDTNALLTALDLFE QTSAFYDVVVLQTVLEELKNRSLPLYNRLIGLTKSEEKRFYVFFNDFRLETYVVRDEG ESINDRNDRAVRRAVKWYDEHLLQAVTSAGGKKNKVPAVVMLTDDKDNLKKAKRDGIE ARSLNDYVSGLDNAERLLDMVAAADEKREIRNSKTTQNLFPEHYSVSKMQTGVKSGAL HQGTFYMSPYNYLEATVSTRAFDKPLIIVGKENMNRAVDGDQVVIEILPKSQWKEPST KIIEEETINKNENADAEDGEAVVTERERRALREEVKKVHSTVGEGRAQPTAKIVGIIK RMWRQYVGHVDESSMSQVDRQSRKQHTVFVLTMDKKIPKIRIKTRQAGEISGKRIVVA IDSWNADERYPTGHFIRSLGEVETKGAETEALLLEHDVQYRPFPKAVLDCLPVEGHEW IVPVSKEDPGWRDRRDLRGLEICSIDPIGCQDIDDALHARPLPNGNFEVGVHIADVSH FVKPNNAMDAEASIRGTTVYLVDKRIDMLPMLLGTDLCSLKPYVERYAFSAIWEITPD ADIVKSEFTKSVIKSREAFSYEQAQLRIDDPTQQDDLTNAIRTLLMLSKKFKQKRMDA GALSLSSPEVRVETESETSDPIDIKTKKHLDTMSLVEEFMLLANISVAAKIYSAFPQI AMLRRHPSPPKTNFDDLSQQLKIKKGMELKVSSSKELADSLDQCVDPNEPFFNTLVRI MATRCMRPAEYFCSGTEAYSEFRHYGLASEIYTHFTSPIRRYADLVAHRQLAAAIEYE TLDPAVRSKSKLELVCKNINVRHRNAQFAGRASIEYYVGQALKGKVVEEEGFVMKAFS NGFVVYVPKFGVESLIRLRDLVGDGEEEPESEFDAENFVLRTRGKRVLEVGLFDKVVV RISDVKEEFTGKRKVKFELVKVGGK LY89DRAFT_691280 MEELLGCWREAQRADKVAAHLLRIRNTLELEFFEHITAVLKEIE SASRLLRDLYDLFPIYRSRVPMIVYYLNVILPSFCRTLQQMMIYVDNDAISTRSQWVL MYERMGEQGGMTLAARFVMFVEFLVQMVRLLSRSPLYDPTTLELLRMRHMRLRVLQKI PAPPGQALLPNHAPVQPTQADLERRHWAEKIFDDQPHSTTPLRHRRDSKCFGPPMVDV KLGITPGSNVLFKLPFDKNRVSVTLYLQPEGQNLTRLLCRWMDKYYNPLYSCYGVHEL CVRRKGSSLQFRRWSTNKMHPTLWLALFFKTWEKMVLFHCAFGALKSRCPLTLNVMPD DVVLAGEKRLYQGKIVDDGFEHILTVLQDERCKGLRLHAAVRNGELRKCPVWTAFVTY QSASCDWLERRSRHRIWLRDIYPYVFCQKYRKKHQMKKHGEFEIYFVNEEAADAFQDI FSEGTDGEDVIQVIEMSGANGPGPSEGSEES LY89DRAFT_691283 MPKEKTAKRATKARTEKKKKDPNAPKRGLSAYMFFANEQRENVR DENPGITFGQVGKVLGERWKALNDKQRAPYEAKAAQDKKRYEDEKASYNADAEEEESS LY89DRAFT_630407 MSNTHTDVLLAGAFAAFTVDLLVYPLDTLKTRFQSPDYKKIYYD ASKNAVNRKLLFRGLYQGVGSVILITIPSSGAFFTTYEAVKSGLSKANPTYGGSPLIP QPFIHSAASATAELVSCFILTPAEVLKQNAQMIRRPAESSSKTSTAFQPSVTIQALKQ FKHPSQLWRGYTALAARNLPFTAMQFPMFEHLKESIKQYRQKSGTYTGSLMETALMTA VSAGSAGSLAAVITTPVDVVKTRIMLSATGEASEAEAKKEIARARKEGHSIEKLANRK GVTRKSGLTVAKEVLAESGVKGLFRGGTLRAAWTALGSGLYLGVYDSGRVWLGGQHKH DDLSM LY89DRAFT_345837 MSHPSPPPALTPQFCFSTVALRDFLRISRGAIDDSITQNLNALI TPASAGFDPSSTSIRTPRPPNLRRISQSSCHTFKNQVLFPSWQVRSDVLNYCASVATS PDPDDPESVLRQVENERDRERLVDERLDPYSARFFPREARTEKLAAIIRQERGVENII RARSWGLVTERCGEDWRDWEEALNEWRKKRER LY89DRAFT_789228 MADAISKDIPLNSVQIEALVVMKIVKACSATFPTTATGALVGMD VNGTLQVTNSFPFPTADVAASDSHPNDHMAASNIAAAAPRSKANGTYQTEMIKYLREV NVDANGVGWYTSANMGNFINLGLIENQFHYQKENNEKTIALVHDVSRSSQGALSLRAF RLSPTFMAAFKENKFTTEIMQKSKLTYKDILVELPIIVHNSHLLTSFLHQLPAELPKK DLEYPSSLSELTANPPPVPLYPNLDALDLSIDPFLERTCDQLLDSIETHYTELNNFQY FQRQLAREQTKVTAWKTKRAAENATRATQKLAPLPEDEWERLFKLPTEPSRLEGMLNA KQVEQYSRQVDGFTASISGKMFAVKSNLLPESS LY89DRAFT_691287 MSAPASKFKVADLSLAAFGRKEIELAENEMPGLMATRAKYADDQ PLKGARIAGCLHMTIQTAVLIETLTFLGAEVTWSSCNIFSTQDHAAAAIAAAGVPVFA WKGETEEEYEWCLEQQLVAFKGGKSLNLILDDGGDLTALVHKKYPEMLKGCYGVSEET TTGVHHLYRMLKGKGLLVPAINVNDSVTKSKFDNLYGCRESLVDGIKRATDVMIAGKV AVVAGYGDVGKGCAMALHGMGARVIVTEVDPINALQAAVSGYQVTTMEKAASQGQIFV TTTGCRDILTGEHFEKMPNDAIVCNIGHFDIEIDVAWLKKNAASVQNIKPQVDRFLMK NGRHIILLAEGRLVNLGCATGHSSFVMSCSFTNQVLAQIMLYKCEDAAFGQKYVEFGK TQKLEVGVYVLPKILDETVAKLHLAHVNAELSKLTPVQADYLGLEVEGPYKAEMYRY LY89DRAFT_725312 MKTPKHTENEDFGSKLQQAANAVFTGDQKSRYSKVEVILLSWED EDPKLPVSLEIMDLAAVFIDIYHYEVQEWQIPSEDSHNQLQSRILTFLGKSDPQHLKI VYYAGHGRLTNHGTPAWTSLRNSKKERCPTVKWSGIQNTLEESRSDVLILLDCCASGV CTTDEGNGVTELIAACAYNATANGVGPFSFTHALIAKLRLMAQLPYFNIGYLYNAIFT EVQGWRIEDSQFKKAPIHLVLSQNQSLHRSICLSKKPETSSNGEDSSGPTLSSREPEN IPPTNDAPPPKQKSASTETTPLGDNSPFSQDAASTSASSISPLPEYPRLLFSIRLTED VKPADLSCELFSEWLRQVPVSADAVRVEAGFASDSTLLIVSIPVSMMAYLPKDSAITL LGTSRSANLMAMCTVNISSISAPSRRQRSFNYVPYEKDYEEDIRKLRTSLARSPATSM GSKMVYPSETRRSSGRRNSELKEGKRQGANPPKDIVQKTRPSLRRSPAIAGSVADKFR ATSSRTSRKTPHSFTSPNDQKPAVFSANFRSGSENEDTEEDIFPDSDGESHDSAREPK KDSGIYMGISDFDFEAAVEAERMSDFKRQMQ LY89DRAFT_677300 MAIFTIAGLDDYGWVVNVGLGLIAYLAWLIASRTYFNPLSKYPG PFFWSFSRIPHAFYLVTGRLPFKIAEFHERYGPIVRIAPDELVFIQEEAWLDIYGKPQ PRNTQLRKDPSVFVTAPDGPKGILVEPNDEIHARLRRNLAPGFSDKALRDQEPLLNKH FDLLMSRFREKSGKPFDISQWYEFMTFDIIGDLTFGESFGCLESSKANIWLDTLRVAA PAATILGMLSKYPPTLSVLTSLIPMMRREEQKFRSLTEGKMRHRLTLGQRPDFIGNVQ EVIDQPDGISFREMQETAGVLLVAGSDTTASTLAGVTYYLSTYPKVLTKLTKEIRSAF KDESEITAVSVNSLEYMLAVLNETLRIYPPVSGNTTRITPPEGGMIAGKWVPGETSVA INHWAAFHNSSNFIRPYEFIPERWLGAPEFNDDKKKVLQPFNVGPRNCLGRNLAYLEM RVVLARLVWGFDMEISEESIGWEKGQTGYMLWSGRKPLMVKLTPVARD LY89DRAFT_346175 MHVSDSACTQFHDTCPSSTVTKHLCCSQTPNGFIRNKNLGSRHL QVVSNTSRANISLPLHCTSNPNSGRTGIYKQEPPFPPPPPVATSQPPINPTKIPTRIP APRTAAAHITHIVEDLDFLFFDSGDLVRGERCVF LY89DRAFT_346144 MASNTTNTKTAAPTPPFPSTNGAGPSKDSILNDLRRQLDDSDIS SNAGSNSSGRRRRRRKNKSALGQPLAATGPTVIPRLAETKPVRLQLGLNLDVELELKA RIQGDVSLTLL LY89DRAFT_346155 MPRPEQPPTPASSTEIKSKDGSQFTSLQMSFELPPPAVAGMESQ PSSASSVKSSSASSYHPTSPRSPAIPASEVVKIRRRSSAAQTPTKDAFALPPPPTRSR KIIQMKPRGQPEEVAETLNDTAKAPVGKSAASTPKKKQPSSTSVAGRKIARKTAHSLI ERRRRSKMNEEFGVLKDMIPACTGEMHKLAILQASIDYVRYLEDCVAKLKAENNRSNA TPTTEQQFQLPPPASRGSYGNTPRQYQSYDEQEDVEMGGSEQASPTYSTPVAHSNRPS ESPAPQDSRNRQDSYSSVSTDQRHYSFSASSTTSPALGPAAYEYARSAASAGSALTSP ALLPQRDMDQEATAALLMLNSDRRGTQGSISGRGMSVKDLLTT LY89DRAFT_742271 MSGVEIAGFVLAAFPLLISALEHYRETAEVLEGWWNIKTEYKKC MRNIKYHQIVFEENLEELLLPLIADEEKLQRLLQNPGGHEWDDTELEKILQKRMPKTY SVYLDTIKMMAKTQKSPGLVAHVSSSLGFHGQVIKIAFSKTVLNQLFEDFGCYNARLR DILGSSDRLATIRKCHKSLKGRSSLSQKNMAPWQHRLQAPHEGMVMPMLVLPSSQFVA SASTYLIDQLSSCFLVYVSSQATPSTTSVGSFQKLNASSASNSPASATTTSTQRISKL DRAFLMKKLRWSRSTDVAGSSGHHAGLTTSSSPFSPTCMAASSSSSSTTVIVTENTPI GLTLVTDDVATTEQADHSMIANLCSKIATSEDLSSFGYLKEEALEYSVKPLFKASKQP QLHSSWSKNDILFVCDANDKSKIHMDKPYVSRPVTGTLTNDATSTPLSQPDRTFQESI HNLGIMLLELCFGKTIEDHELCAGIEIEDERIKQALLYGIASKWAQDVVGETGLGYSD AVEWCLHYRLESCLDGGASEKWREDMVEKVVEPLKECYDQLITI LY89DRAFT_725319 MPSIAQNATRAAGLFQEILVAPHQGEAPKKDIQDLSESKISDIL ERYQIWAASVGAYVENNNSLEYRLRNAPKVEAQIVELLSDISESLEDACQIITSAKEN HTASKLRRTESSDDNLNSISIDPNIDTSVLEQGDSEIREIFESMEDALEHLFRLCNII RDISKRDNSSQVTDIVASPHEEQLDRDHVMDMFPKLEFHGRLG LY89DRAFT_346824 MKHDNTMGFSVGLAEFQQHVSDHMEQLALSAFSSSHTRTSGDFD NVAAIVDSKRASLVQESPGTSGEATVLYLAQSESVEHKDSHFDVPAPSMPITSDRAAR DIFLQQARKGDEHIEQRSQHNKVRRSTEDILLDKGKRIENETLQTRKPVASSKSNKAV SGMSSRAMVVPATVRSKITVVNGTFELDSNYTLSAVDEDLQVCLALLASVEQDLLSAK RQLWSRRTNQQTQISTIDLEYLERCIERSDQAALYLGKLIRGYGTSSTDRGISTPSRF KWVLQGKYKSANRAEVLRIAHTSLVRAIQRMGNVTPFMKSQPDEEHINQSIPLSSMRD EDPREALLKYAELAKKDPLFTNAWKDTQPATQYRDLSDEEEDDGPDKKNPITSQLDYP PPPPPYNPPSYLESYSYLGPQTQTKILRSPSQQRALKGKSSLLLRPEDRVQDRALENV TVSEAFQSSQTLLEPSSKSIPRERDLSRPMSRERYGSKIDSVEEESELGEYIGGY LY89DRAFT_630427 MPDKNLTIATYAAGAGLAAVAIVYVFGPTYFIDNESANTTARSR KNTIIGLNNPANDCFINSVLQALAGLGDMRIYLTQETHRRDLGGPEIYAHLVEDPARK SMPAWKIEGLQAGMVTFGLRDMLNKLNERPIYKKTISAAPFVQCLQDAFKQKISRQQQ DAQEFLQVLAERLCDEYHAGYRARDFAHKTQGLISENGSVAEEPLARKLSQLATANGE SLPTNPPAPEDESLEQVQDRKTAASFTKTNGDPNTQSEEDGFPFEGSSESQIECSTCG FKPKATRTTFCSLTLSVPQVSSTTLSSCFDQMFKTEYIEDFKCEKCRLLHALEMYEEE YSKSNSDKFRTKTKQYIDKIRTAIDSNPEDELKDVEMPDRKFAPKRKIAKHVRITEFP KIMAIHLSRSIFDASRSTMKNSAKVSFPERLPLGGLADRKFYKLSSVVCHKGSHHSGH YETFRRQSILTPYSNPNTFRAAAVYSKSASPMTSEISTPYIGISHRGDDTNGDVSTLS SMPEVLSPSSAASSSPSLPYTNGYRISGESSRNGSGRHLSFATSTSGSSHTTTRQLGP TSAPRDPDPSSRDPETGSIRSIARSAKSTLSSRLSRSKTSSPSPSDSTPSAAPTLNKK VEMNGASTRTSMADVVRPKRKRKTTDRWWRISDDKIKESKTSDVLGMQREVYLLFYEL EKDDSESPNSA LY89DRAFT_691294 MASPLVSRAPQCMSCVRRISGSLADSFSIHAGQQVRGKKKLAKV STIRVQLKQNVPGYGRRGAVIGVTAGVMRNIWYPKGMAEYVTAAKQEELGVKKDSGLE RDSTFRSNTEIKVERQSDMPEVKEEEVLRPPPPIPSLVEEVYREPQTPQIQLELMSPE KAKSILEDLLPPNLDFYRTTITIPKKISPSISASAVIASGAARSAKSEESNKIHGSVS TSDIAANLKAILAEDEEGSRVVVSPEQISFVQETQDKDRVKQLGVFQIEIKLEGAGNP VRRTIQVNAQS LY89DRAFT_691296 MGAQDVLSRKSGVIVGDDVLKLFNHAQEHKYAIPAINVTSSSTV VASLEAARDAKSPIILQMSQGGAAYFAGKGVDNKDQTASIIGAVSGANYIRSVAAAYG IPVVLHTDHCAKKLLPWLDGMLDADEKYFKEHGEPLFSSHMIDLSEEEVDFNIQTTAK YLKRAAPMKQWLEMEIGITGGEEDGVNNEDVDNNSLYTQPEDILAIYKALSPISPYFS IAAGFGNVHGVYKPGNVKLHPELLGKHQKYVKDAIGAKEDKPVFLVFHGGSGSTKKEY LDAISYGVVKVNLDTDLQYAYLTGIRDYVLNKKDYIMKQVGNPDGEDQPNKKYFDPRV WVREGEKTMSARVAEGLKDFNTAGQL LY89DRAFT_691298 MILTNPGGPGDSGVAAALGLGKELSAAFGTSYDFVGFDPRGVGY SLPSGICVGSGASPQKRRSIETIPVGRGPEIPELFLEAAYQNATVNGEICQEQIGGPN DAGPHMTTSVNAKDMISILDAFAASPEAVGVENATDLNYWGFSYGTFLGQTFASMFPD RVGRMVIDAVVDPEDYILMQDEKMIQFSDETFSTFFIYCFLAGDACPYFTGSSPYDVY LRFADTVSKLDVDHAFSHGWQNATDLYLLLEDVKLTLFEGIYSAIENFPTMAQTLVMV EQALKNLTSASLGTFETYVNSYLPIVGQSPAIFCTDGGNLLFNSTLKDMSFITASLKS QTWVSGEILSVTRIDCAGWSIKGTERYAGPFGGDTKNPMLFVSNTRDPVTPVYNGQKW SHRFKGAQLLTVDGTGHTSPITKNTCAFQKIGNYFKTGELPGNDNFCKLEVGPWNVTI PQGMENVNGWRDIQDGLASLRAPAPCRSRY LY89DRAFT_600714 MSSSEKEGGGVSAQNRGSWSAFLKSIASFSGDLSSLTAPPFILS LTSLVEFSSYWAEHPSIFVAPAKENDPEKRALLVLKWFLSTLKQQYASRSDKFGNEKK PLNPFLGELFLGKWVDEAGTTELVSEQVSHHPPVTAYQIANKEHGVYLQGYNAQKASF SRTINVKQIGHAVYSIPAYGETYLITLPNLHIEGLIFGAPFVELNDKTYITSNTGYTA KIDYSGKGWLSGKKNSFTATMYRTRKEKDVLYTVTGQWNKTWDIYEGSKKSNVIDTYD AEALPTTPLTIAPTEQQDPLESRRAWQKVAEGIAVGDMDLVGAEKTKIEESQRELRRQ EKSDGRLWERRYFSLVENDSILAKLAPAIGLAPEEDKTGGVWRFDEKKAAGQATKTTT AAPPTSTEKHSELK LY89DRAFT_691301 MPGILQKPSRENVRYLDTDRPPRDLLRIWKTTSTPVHPGAVSMS DSSRPTSSGNDDSSGSDSPRPSNVRTYQRVDFSQPYDVAESRARRQAGRNAQGLVAET RSDIRRKKFGLTEAMMKIEELEKDVENMDKNFGKVDEMQETLRKLSKVLPTAEQSHAH KLNCTLESIHDAKEGIERLEEKVSAVPDIPAVPIVPTVSSIAAIPVVPTVPDIPAVPV APTVPDIPAAPIVPTVSNIPADSVISTVSNIPAVPNVPQSQEKPAIKFNVELGFNLNA PSWKAVWSFMFLLFMLFMGWLLLEAAMCGAYCKPKYSSTGHFKVSDPLFPNALPTKLD EWTGERVSRAWRAFWNP LY89DRAFT_691302 MSEQVQEGDKVSWNWNGSHPSGTAAEVKAGEVTVTSHRGNEISK TGDASNPAVHIERSGNDVVKTANELNVEKKAEGSSSSNGDAVNGESKQEEEKEKKPEE EKEAEQNPEEEEEEEKKDEAQTGEKRKADEKADAGEEAEDKKEEEGEEPKKQKTSNGT AATKNGDNKKKPGRPKAAAGGEKKAPKKEKKQPAVGRAERKTRSQAKSD LY89DRAFT_742281 MSEVQSRPAAPRGRGSARGGGRGGFSSRGGRGGRGHATNGDKSD TALTSSIEDDSEVGQLKKKYGTKVSTIKEMFPDWTDEDVVFALQETDGDLETTVDRIT DGTISQWGEVSKTKKDRSRSKVKDATVSTFGDITNQNRPSRGGRAGFDGGRGGRGRGA DRGRAGRGRGTSTAHVNGSRNKENVDVSTPTADSTAYEQTNAGDANAWETKAAESSEW DNSTAKPADTWDSSKPAQETWGSTGTGAATAAATSAANATSSIIPDGVKKSWASMFAK PAPAPAPKKAPEPVIEKSVTASNPSGPPTNTIPRPAEPVKTEEIVEPVLKEEPEALAR EPEPIETVETPPVEPTPIEEPELTITPSKDELTENNVEQLPDTSNPAPTATAASTAAS SWDPRSAAATPYSASQAQAVRPPTSGFQASALKATGTSGRTSSYNRRILDQEEAVRMP GNREVDRAAVQFGAFNLNGTGEDDVDGEREEAETRAQPPQHSPVAPRASLPPAPQQPV AAPEAFPTPKQTAGLPAATHPTAAPGLPSPAPLTSAQSSAQSSAQQAAPGNSQMSQYG RYGPSGVQDSALPPKPYDAFSQQAPSTQSPFEGYQSQQSQSQPQQSQAGAFSSAPDQF SSYYTADPQQRNAYNNYYNQYGMQQGAQSQEGPASQQRSFSGYNGPQSENSSQFPQSA AQQTQSRYATAGEGQNSGHTTPNPVAQSQQPGATQSAQPQPGHQQQPQYPYGHPYYSS PYYAAYMNQYQQYGGNYPGGPYGAKGGLHQPYQGYGMSPAAPYDHAASPAAGGFGASS LHGRDSALGGLSDYGRAGSAQSSQTPGTLGGSGAFGGAHDAFGRGSSYQGQAQQHYNT QQGSQPSAGDDLKPFGDSKTANGPSPSLSQAGRPGSATNTAPGSVLPLPQSQQGGYGG YPAHLQQGHGLHGSQAGSQYGGLGGAGGHQAAGQGHQNSQYGGYQGFGGGNYYGNNQQ RGGWGGNYGH LY89DRAFT_346891 MFRPSTSLLFRPMPVLNKLKIHQPLPLSPRESEQLLNLLTTSFR HNLDTEHPSFGTADHGSRMTRPSAKPRRRSFSDFDGHHTDRHMHSLLSNPLFTPPTRN RIIGRDPMEIFDLAVAQGMMDTNHAKACLNAKRHRIIQSSVLSVRDGMRDSGAGMKVL RWLTSSGTSNDNQFLKDQDFAEILVAYMVAEGLQEHAWRWIKRSFKDVSAVALLPFGA ASKTARREVAGPLRALVKSEMSQNRSFDAAYMCISRAAGYLKRVSSAEMTTALQPAGM LILNRTCMPTNFAPHPTPSEVTFESFVSLVPIISPRKYNRYLAHLALLHPTKPDPGLA LDYLQNIESSVDSDKLWALQPKDKKASVIQLGLDAAKVLLEQGRHSDMEWTMEFLSKN FPQQLGLNQRRQLEQVKAEASSIELLESIGIA LY89DRAFT_346890 MDPPGALAYLHFDPLSNWNCRFCRISVDSAVSLQAHRQTDAHLA KTQYRSHYCLSCEPAKWLHNRRLWSTHRGDQHNILSPNTGPGPLSEQDEFRATNEPFL CPYCPVERHRFRLFSGLRQHMLALHPDRDIDLILSHYIDGTSTCRICPNGYMMTKAQC TRHLEVHQVEFERNRLSIQSLQQHGTSQDVQADSQSAQQEQSIGHLDETSQNENTQQG SQDVLKNDTTSSIIYMSEPLLQGSRQISESCSPSNPSEPKTPMPASSQFYSNGSLIST PQVAGPIMKPFQQENFDGHFPSGTAEHHMPALEDHNAMSNLGSISQPSTIGNYNAASD FGFSGHFPAPEDHNAMSNLGSISQPSTMGNYNAVADFGVWGHSPALQEDNAVSGFGSL GYRHAQENYNNISDSSSSSQLLVPRDYNTVSTFGSLEQRQPIQTPHQNTDQWGFSPAD YSAILRCSSLDQSETIQQPSQNQNAIESFHFGSSNQREPIAETRQDQNATAAFDFGSS GQRAPMQNLVQNQNTTNINVEGFSTTVFDSSSSSHQEPIQQPRQDQNTTNINVEGNTS EDWNEFLDFSSLDQREPIPQPHQIQNANEFDLASLNQRQPIQSPQQSLNTTNVDMEGF TTDDMNMIREIFDW LY89DRAFT_725331 MKQFVALLVFILLSSPVHSAPATSPNPRSNEAVLHPTFNKEACC KCWNDLYHNGADWQVAHRPRPCDGDCTCDWCGTNNANATYGTPCAGAGSLGNWGYCVA NCDAPPPPPSGTSATPPSIIKTLPPTTLPSEHSSSIIMTLPPTTFSTETKYHDHPDTS IPPLELKHKRQDSTMTYSNDPSFTSTDIPVITDSSFPSATDTTIFFPTGTDSTSFMPT SSWGGGTTIENTGTLTFGGGTETMTFGSETLTFTFPPVPVLSLSAGGVEEDIEERQFF PLTPTGRPWTTESDLPVSTSWYGGGPGFSLTFTAGAAAATENIIEIEKKQINTITWGE STLPASTYWGGGETITIGGETITFGGNPGGPMSLTIGPEDAVITARPEVNEEDIGKRQ VGVIITGGPWTEDNGATFTDYWGYPGETVTLLGPHGDETLTLSGTPRHITPPATTS LY89DRAFT_742285 MRISTFLAAAVVLMTTDAVGPVVDLSYSKYEGTALPNGVSQWLG IRYAAPPLGPLRFAAPTTPVYNSSVQKANKHGTLCLANQQGPGLQYGKQPMDEDCLFV DVYAPTNATTTSNLPIMVFIQGGGWTSDSNGNFNGSLLVQNSGMAMMVVTLNYRVGLL GALASKEVLAGGSLNNGLKDVIYALQWVQDRADLFGGNIHQVVLSGDSVGAIIIGYLM AAHNGTGLPGYFHAAAAESPSVSGDAQVVDLQPRYNALVNATGCSNSTDTLSCLRGLD VATLQTKSPTYTWSPCIEYDLLHAPLYEMYEARLFRQVPVIYGSCTDEGTKNVDKTVT SQTLDALLKKDLGNMNDTQLNEIKTIYPDSLNNVTFSGAVLNATYPGAGNEWQRLAAI YGETFLRCVAAFQSDMHFAAGNTQNWHYHYDVLDPRDAATGNRVYHTVELNAIWGPNN TDGSPPPSYYISNDQGGNAGAVPILQSYWISFVLTLDPNKLRNQTYPEWEPWTINGSK RILFHSNGTQMERVPASERDRCQVMMTYAKARSAYTQPSLPLQPFANGSFTDPYPVRG FPINGEN LY89DRAFT_691306 MSSTDDFVHILSGPNPSPSHPGWSTTQELLNNCKADRQFTEGLI YDALVAKYPHHHVAVVPGYPTDFLAFGSASQDVTFSPHKEIGLIERSFLPPARRYNDE NGGNFADTVIFGMFDYQYKTNQFLVYIADCQDGMYKKKFSYVLYEYKDSTEKIFAQQI TDELIAAAAKYAQELHNEVLVFDQGFWQKNKELYENIQKSNWEDVILEQEKKEAIIED VLGFFNAESRYAEFGVPWKRGVIFYGPPGNGKTISTKALMHDISKRTSPKIESLYVKT FNSFAGPEYGIRQIFMQARRLAPCLLIFEDIDSLILPNVRSYFLNEVDGLESNHGILM IGSTNHLERLDPGIAKRPSRFDRKYLFDVPNREERIQYCDYWRHKLRNNKKVDFPKVM SEKVAEITSDFSFAYMKEAFVAALLVIVARSDEKSRLRRREDDLSGNVLWKELYKQIE SLRREMDGDDDEDAVSSASMTVGMTGGMSMTGMWPALGGVLDERRPSPLDFPLLNRNN GMPRYY LY89DRAFT_600478 MDFAPYQNQSPELSRALSPPPQEGRRSFSPKPRRSYSPALPSPR NAPPPHANPHSYFGGHGGDVESGAGRERLNEFETSLPIRLDYEACLAYLLLPPASGVL LLVLEHKSDYVRFHAWQSALLFTAVFVLHLIFSWSTFMSWLIFIGDLGLIGFLTMRAY KDADTLDRCEVPFFGPLASRFLDDE LY89DRAFT_677321 MPTPSSADNLAAASSLHSSCPPDDDRSSWRSIQMEDTQDEAIVT APEVLQQPTPPPTDSQVTGSTNTHIAEHQQTKRMDIDEETSGPDILSPMSAEADKPED VELPTLSNTDLSSPSMGYDFSNIRLIPSSCSSYLRAGSKYRGTQQSERQVYDVQVEIK HVDMRESFLCGYLRIQGLTEDHPTLTTYFEGEIIGSKYTFFTQHQDWGSTDKVDLQHW AKFSAYRPFQKQVKKGHMHIPQLAQRENIFMRWKEHFLVPDHRVRTISGASFEGFYYI CFNQIQGTVSGIYFHAKSEKFQQLELKHVEDRGCFPAMEFR LY89DRAFT_600435 MASSNEPFYIRYYSGHQGRFGHEFLEFDFRVLGEGKGATARYAN NSNYRNDSLIRKEMCVSSLLVDEIKRIIKTSEIMKEDDGKWPKKNKDGRQELEIKIGS EHISFETAKIGSLVDVTESQDPEGLRVFYYLVQDLKALVFSLIALHFKIKPI LY89DRAFT_659676 MASFLESSYSLVHQDNAADVPTMSDLRNQLEKGTDESKVETMKR ILTVMLNGDPMPQLLMHIIRFVMPSKHKALKKLLYFYYEICPKLDSSGKLKQEMILVC NGIRNDLQHPNEYIRGNTLRFLCKLREAELIEPLLSSARSCLEHRHAYVRKNAVFAVA SIFQHSESLIPDAPELIATFLETESDHTCKRNAFAALVSISHDKALAYLSSVFDGIPN ADELLQLVELEFIRKDAIQNSQNKARYLRLIFDLLEAGATTVVYEAASSLTALTNNPV AVKAAASKFIELSIKEADNNVKLIVLDRVDALRQKNEGVLDDLIMEILRVLSSPDIDV RRKALDIAMEMVSSKNVEEVVLLLKKELSKTVDQEYEKNNEYRQLLIHAIHQCAIKFS EVAASVVDLLMDFIADFNNTSAVDVISFVKEVVEKFPKLRPSIVERLVSTLSEVRAGK VYRGALWIVGEYSLEPNDIRDAWKRIRTSLGEIPILASEQRLLDEATDGTEPPKEAEQ VNGHSKSAPTGSRRVLADGTYATESALTSQSAVAAKLEAVKAAQKPPLRQLILDGDYY LASVLSSTLTKLVMRHSEISPDKARTNALRAEAMLIMISIIRVGQSQFVKAPIDEDSV DRIMSCVRSLAEFAQNKDLETVFLDDTRKAFRAMVQVEEKKRAAKEAVEKAKTAVQVD DVVSIRQLAKKNAGDGTDEIELDLEKATGGDSTVEDLSSKLSRVVQLTGFSDPVYAEA YVKVHQFDIVLDVLLVNQTTETLQNLSVEFATLGDLKVVERPTTQNLGPHDFQNVQST IKVSSTDTGVIFGNVVYDGASSTENNVVILNDVHVDIMDYIQPAVCTETQFRTMWTEF EWENKVNINSKAKSLRDFLTQLMACTNMSCLTPEASMKGDCQFLSANLYARSVFGEDA LANLSIEKEGEDGPVTGFVRIRSRSQGLALSLGSLKGLNKVGEAA LY89DRAFT_541919 IQQTPFIKQLAASDRPTRDAALHSLRTFLSARRSLPPLELLKLW KGLFYCLWMSDRPKPQAALAQELANLLTILPSLPEKIEFLRAFWKTMQREWTNIDVLR MEKFLLLVRRFLAATFVAAKEGKMEWEEERVGMLVRTLEEVPCEVEDVKVPNGLRFHV IDIYVDELERVGALEEGSEAPIEILLGPLKALAKGSPTKAVRNKAKEALADERL LY89DRAFT_691311 MATDNPFVDPATSFEEAGRGSSFAIDATLAVGRNASLTLGTDSL IVLDEAFEKRDRSNCCGLWPSGTANTRAIPFYNVLWAELKDSELTLSYASPSAKSVVK AATLKYPIEYQMVELVNKWILRLLDRAYGQSQRKKRAKVLVNPHSGKGSAEKWYYRDV EPLLKAAQCSIDMTKTRHSGEAVEIAERLDIEAYDIVASCSGDGLPHEVFNGLGKRPD AKKALSKIAVVHIPCGSGNAMSCNLNGTDSASLATLAIIKGLPTPLDLISITQGETRT LSFLSQAVGIVAESDLATEHLRWMGAQRFTYGFLVRLLGKTVYPCDIAVKVAIDDKNS IVEHYKREKTNFEPASERRGYKYLLDDDASATSGNEDGLPPLRYGTVNDKLPEGWELV PYDKLGNFYCGNMAYMASDANFFSPALPNDGYMDLVCVNGDISRLTAMNMMFSLESGK LYEMPGVWYRKVLGYRIIPKDQEDGYISIDGERVPFAPFQAEVHRGLGTVLTKSGHMY EAPGPV LY89DRAFT_600648 MAAAHLVCHENGLEKYAEEEKRCHYCQFRRFSTHYEFPISINND VDNEGLPESFQFVNKVVPSADVPLIAEEFVSSCECVADHCVTQNCTCLSDIDASRLPG LKKNAYHATGQLRSAYLNGHYPIYECGDKCKCGQNCPNRVVQRGRTVCLQIFKTDDGR GWGVKTLSPIIRGYFVDCYFGELLTPDEAQARRDQASSVQQKDVYLFALDKFTDIRSP DPRLHAPYEIDGEFISGPTRFINHSCDPNLRIFAVVKNSADQPFHSLAFFALENIAPN TELTFDYLDGITGDGDDKEKTKCLCGAENCRGYLF LY89DRAFT_347154 MDILRSVDSLLRRDTESGAEVLLDLLKNPYEAQLQQNSVYASLG TSIGFTVFLAIGFSLLRPYNSVVYSPKLKIADDKHAPPPMGKGIFAWVGPVIKTKEQD LVGLIGLDAVVFMRCLGMCRNIFLVMTVIGCGVLIPINLIHGQRFANENTLQKITPVN TFDVANYGMTVCAWLFNVTVAGFLWWNYRAILRLRRQYYDSPEYQASLHARTLMINDI PKNDRSDEGIGKLIDAVVPTSSFSRTAIARNVKDLPDLIEQHSRAVRELERHLAKYLK DPHNLPPRRPECKPHKDDPNWGSYSKGQKMDAIDYLTSRIKELEIVIKEVRMGVDFRN PLPYGFASYEEIAEAHSIAYAAKKKHPDGTTIVLAPRPNDIIWKNMPLSKSQRRTRRM INNLWVLLLTIVWIAPNAMISIFLISLSNLGHVWPAFQTSLANHTTWWSIVQGVASPA ITSLVYLVLPIIFRRLAIRAGDRTKTARERHVAAKLYTFFVFNFLIVFSVFSTIWTFV SQVIQDTNSGTDTWSAIKKEDFGRLLFIALCDLSPFWITWLLQRNLGAAVDLAQLWTL VWSFCARKFSSPTPREMIELTAPPAFDYASYYNYFLFYSTVTLCFATIQPLVIPACAL YFLVDVYLKKYLLLYIFVTKTESGGMFWRMFFNRMVFATMLSNCVVFLACWVHGNNIH TQALAVVPLPFLMLLFKWYCKRTYDDKIHYFTGNRSHLKDPEALHSKIFDSKRDRLAS RFGHPALYRPLITPMVHAKAQNILASIYAGRLTDSNIQGSADASSMSGYSDTFALNPM RADQPGKNATIPGFEMVPENRLDFEYYKGRHEFADEHGGSGGIYGKPEDIIRSDTPGS SSAFGTDSRPGTPTRTPPPFVPGIVPVRNYSGVSYDAQGDVGYGHNTGYGPPHTSETS NLVRGAADVPLSTPPVPYGRDVSGEHRAPGFLGGGPQGYGGLPQSEVEYDGDPTSYDY FRTRRQNGWSGAI LY89DRAFT_765403 MSTMFEMRFQMLVQRLTVASSDEVSSDLWWAAWLSKMQDLCPYS TRSLNACCTEVQVFRVNIPCFSSRNLIDSSRQATESRPETIDKRQTTTEKQGWADNEM MGGDWGLDNIRARESGGGGWRKGIREYWRSSGSGGKGEAEMDDSTTIRCKPPIRGPRR FYERTNDIERATERYRTSDRHRMSERHRPNDRERTTANDRYRFRHRRVVRCIWGWMTW WTGWWILREECPGVALEIWKNVREKSWSGRVGASKIGMADVRRGTAGRYFGKKGYCFG WERWTEEDSGG LY89DRAFT_347254 MNPCILIVSSLFSPSALCLCLLYALYVPSPSFTVGCLVDCRGRV EGRRYRDWGGRSYSRSQVFEVMSRRGRTLSSCRPSPSPLPIPNFSYHFQLLHMLKSSY PRLPPTQDFLLPKTSSYPRLLPTQDFLLPKTSSYSNHPNLPNQKSFPNSNYRIVSKSS HPLLLQTFSKLSFYQYPPSLQIP LY89DRAFT_711819 MSLPKNRGLVKTAVGKAAILPIPIPRLRDEYILVKTVAVALNPT DWQTLDERFRPGTTHSLLGCDAAGVVVEVGSKVTKEFRKGDRVFGFSHGGNDLEPEDG CFAEYILMKGDISMHIPPNVSFEEAATLTCGFGTIGLGFYKHLGLPFPTLPVEKKSEG QAILIYGGSSASGTMAIQFAKLSGLEVITTASPRNFELLKSLGADHVFDYHDPNCGTV INALTNNTLTLVFDTIATTSSALICAAALSTTTSPSLPKKIYVNLMGIEFPRKDVENI FYLGYTMRGEPFEIEGEKWDAVPEDYELAKRFFAFCEVLLREDLVKGHPVRLLEGGLE GIQGGMEELREGRVSGVKLVARVGEP LY89DRAFT_691313 MPLREWDRILASSPSMPMVLQFMDLELRLYDTDDLRSLSQFITC FPALERFTFGRQYRSWNRSGSSGDGDNLNEIVQCLFRVRTSLKYLCLESAGDCRRGCD EIQSLVEFSSLEVIDVVAFDLWLYTYDNGPDLGDDDKPLDPRVVPMVDLLPPHIRHLS LRLGSRMTVVHALGLLEAKKEQKQVSCLETLHITLTEYSHRPSKSEIDELLETGIKCG VKVIVERESLSGCLWKWKI LY89DRAFT_742299 MQTLVISCGSPNGNSEILLKTALLAAASSSPTITTSLVSLQSLS LPSHAMPNHLEQSLDLALTSIPDDCPALLDAILLADALIISCPIYTRQPAGRLKAFTD MALGPFVDAAFVSRALKQNAAGIPRFKDYVKDYVPDERVLKNRVVGLIAVGGAIGTEW GSLALPGLQQSIFSLHAKVVDQVIIRGCPFPGESSFTSKKIGKSLSLTLSTEYQKKIA NSITNHSVLIEGVEAINLAKRVGRSVTSGIGKGYDEATYLGEEGSCPYCHLDLVVLEG KGSNACECGVCGAKGILETYGEGRIKAVFEENSGTRVVTWKGKDNHMEEIEKTGKILR PKMDGAFDKREEFGKMEFEKVDFPSQRGDQKWIALFKDATPQNF LY89DRAFT_600480 MAEQDLNLPLVWIDCEMTGLDLENDVMIEIFCIITNGDLDVVDE EGWGVVVHQSKETMDKMDEWCTKTHGESGLTQAVLDSKTTPEQAATDLLSYIQKYVPE PRKALLAGNTVHADKAFLRKQPYKKVHDHFHHRILDVSTIKEAAIRWSSHEVLKEVPK KKNLHTAREDILESIAEAKYYKHAIFQHAETTKET LY89DRAFT_347385 MSVKEVSLTPFTDQKPGTSGLRKKVVVFQKPHYSESFVTSILLS IPEGVEGSFLVIGGDGRYWNPEVVQLIAKIGAAYGVKKLLIGQNGILSTPAASHVIRK RKATGGILLTASHNAGGPKNDFGIKYNLANGGPAPESVTNKIFETSKKLTSYKIADIP DVDISTIGTKTYGNLEVEIIDSCTDYVEMLKDIFDFALIKKFFQIHTDFKVLFDALSG VTGPYGKSIFETELGLPSSSTQNCIPSPDFNGGHPDPNLTYAHSLVEAVDKGKIHFGA ASDGDGDRNMIYGANAFVSPGDSLAIIAHHAQLIPYFKKQGVYGLARSMPTSGAVDLV AKKQGLNCYEVPTGWKFFCALFDADKLSICGEESFGTGSNHIREKDGLWAVVAWLNII AGIGEANPEVTPSIAKIQHDFWTIYGRTFFTRYDYENVSSEGAGQVVKDLTAKIADSS FIGSKIGDRTVADAGDFSYTDLDGSVSPNQGLYVKFSDGSRIVVRLSGTGSSGATIRL YIEKHTSDEKTYGQDAQDFLKPDIQLATELLKFQEHIGRTEPDVKT LY89DRAFT_677334 MATTQTALALTTIAAPLTKIELPIPTPKGNEVLLRVTAAAIAPF DQKLRDYGLFNIGSRLPAVLALDLVGVVEGYSSTISNELKTKFPTGTHIFVQANLFNP LGGGLQQYTTVDPTFAAAVPKSVSDVAAASFPINAFTSFASLFSDEGGEHRGLGIPFP GTPGAEEFDYKGTTVMILGGGTRCGKLAIQFAKIAGIGRVLTTAGKGSKGELERLGAS VVFDRGLEEGKLVEEVRKVTGGELAYVYDTMSTGKDQELGVKLLGGKKKGFFVTLLEG EVDEGVLKEKDVEKWQMTGVSGMHPSAAAVMWGNIGEWVGSGLEGGGFKVVEGLDLEK ANATMDAYRDGGFERIEIHQDFKMATQIHIVTVGKDKQKEKDVLTRLTKDVEKQYTLV HVAHCESLNVVKHVLTSLIVPPKLLICSPNIFDEEQEEIREIANRVLPDMKLVMVPND LDLKDKEKSQGLLEYLKEQVESRF LY89DRAFT_347507 MSFDYSFSFGDPTTPPHFSFEEALNDASFQMGHSRSGSHASSLY SQESSPDSVNTQLTTPARSPIRQHGPLLLPKIRPQDQEIHSPPKRFKKSPMPTTNKSA STFRPSHTRSYTNPESISFSTADNFPSHTRSMTTLCSPITFNNNERRASSVDLLDGQT LGKYGFPTYRQMPTYIPSATNSTTQDFIPQTFFPVPQRTPSPLQNSMLIDDLSLNTLP MDNVNNSTLLTYLTSPNPAPALVRQLNIHIRDSNTKHFWWDIRQIRPWTSFSMSTIQS IPGLHSLLTLSLPSMTFPHAPKTSLQPETEAELANIYNSFYGTKLNAALSLSLGQRHL AMRPANKGSNPANDASFVSAYTDDTSALIYGRGLGRVIGLVKSFDRWNTGMRVEGNHR KVEYLRGLAHLHRVMRDNGCRYGFIITEIELVVVRNGAENVPHFGYLEIQTIQLAAHS SPAAASSTYEGVESSFSLPGEASPSLEKKMETKMTALIALWYLHMLAKDDVLPGQVGW KAEIGAPAEGTRRKCLPKDEWIPEPQLAEKREAKRARGWVWPEEAVGRKELGRRGVRY NC LY89DRAFT_691317 MQEQEQIRGPRQILQKLRSFGMAFYEDKQADTRGLRYLIAFCQL KSVKQLNFSTSISSLERIAFPYLPIGDYSSPQWVYRFIRCFPYLHSLSYVHHPAVMPS RRLELLVRAAAHLKSHLRELHLPPSVCCFCPYGHMRKIESLAHFEQLKIINVTAFDLW VLLPPRELASVLQPNSSVVVPLVRLLPCSLEELILQEGNTNTILHVWGLLEDLKAEHS RVPLLKYIRIIKSQHLIIREHWKTISYLKDLALKHNIILTVETRREAGLPPLPKWLPE YDCRDEYHLQQVNTILQEYLDQR LY89DRAFT_789266 MATTATGDATMPIAIKEKETKIIASESTSDVESDSSSTRRPGSI WTIIGCALANFSDGYQQSLASSTNVVFNHILGTKVYTSAIQTRISNALLVGSVIGIVV LGYTSDKFSRKGGMLFTSALVIIGSLLATLAFQVHPSHNMLWFLTIARGIAGVGVGGE YPTSAAAALEGSNEHFDNQRGPIQVLISTLMATSRSPVCTFVYLASLLASNNNLKTAY HAIYAISIFLPLLVVLFRLKMQDGLLFRKSNSKKRHVPWKLVLRKFWLRLLGTSAASY HQLSLVPGKNVRTVAVWQLILALFPVPGVLIGAWLVNRIGRTWTGILGFGGYVVLGFI IGGCYELLTTKSIPAFVVLYGLFQCMGHMGPGATIGLISVESYPTAVRGMGYGVSAGF GKAGAAIGTQVFTPIEEVAGKAATFYVAGAVAVVGMGVYWFLPEGRSVDLRVMDEEFE GFMREEGYGEKVEERS LY89DRAFT_347582 MGSFLDKSQEALLAQPSHSPSLQVTSTQRFSSLATNRPQKAFHI YTKTTARYRSSSPLYANMTTGLEGPAISLATGTGLIIWNKKKQYLGDVQTSEHVLKKH LDRNQEMQKHIDQFPSEYSSSSKVAIEAFLEEQRQIQETGKTDLAKVKKRKSWRYALV SGTREARARSIAELRNGIEGMDRKDADHKKRSDSRESRERRRERKAPSQSNDDASRKT VGGSNNSMTQNPFGPRSTTENVDPPARTKEQHITSKSHLTTKNVHPSEDRTVKQDTKR SRSIKKNRSIKRRKSIDSADHDRVPLHQSPLVTSETSTASVPAPVLPPASPQLAAAAL PQPVPIPVAAIQAQVLQLAPQHVPNKRRNWDPVYRRVPRAGR LY89DRAFT_742308 MGLIYSRANNAIVWLGSADSSFKPVKEFLDNLNRQREYLRSFSS ESREPAPRRSKPNQNDPEFMMALRAQHRPTSVLRGLLELFSRPYWERVWIIQEISKAS KVEIMFGQFRIYLNPLLLASRNLKNLSERTRALLRAIIRFRAQEQELGGLSTNARMSL SEALIRSRYSLATDPRDKVYALLGMTSDGSNLVPLPTYAGSVEKIFYDLTNAMIRSQQ PSNVILLANWVPLQERFRNAAKWCIDWAELGYHLPPWLTHTPRSISRSISSRAEFNGS TLITKGQYIATITQVEGSSRVGPASPAARDSAFGIRRGPESEVMNGICSDILNRLAPG FRSTTLLSQTEITDALARMIRDVNKREASADYDMSSVEDVLDHLGSLLWRRNPIWEWA RQYNHARASDDDKDDQLTTSSTRVASPSVQTQQIIVPLRQDKKAGFWRRGKSSGTSHS TAESPSFLMPPQTPLSKPLGSPLSPRPRAPP LY89DRAFT_691320 MNPGSNVGDVPESTQGSASSSIEDIYQSLDSGRFQIRLLLLSPG EVKDPICGALDVVSLDDHAKYEALSYVWGQDKAATPMVIGRTNISLTRNLDTALRHLR YRDSARALWVDAICIYFDRSPTEYRRNLRFGPLFSEGFRFASRIRFYLPARLLTYSSS RCMHPCFKVHELPQV LY89DRAFT_347700 MRLDEYSYVFAIGTFFAMLDAYNNGANDVANAWATSVSSRSISY RQAMVFGTIFEMLGAITVGARTADTIKNGIIPNAAFRGDAGVQMLAFTCALAAASSWV MWCTRHSAHVSSTYSLISAVAGVGVATVGASQVQWGWNNGKGLGAIFAGLGMAPAISA GFAATIFMLIKIIVHMRKNPVPWAVYSSPFWFLVAGTICTLSIVYKGSPNLGLNKKPS WYVAAVTMGTGCGVAVLSAVFFVPFVHARIIKKDSSVKWWMFIQGPLLFKRPAPADAD RANVPNYAVVQDEEEEHVSARTPESGSEYDAGKTDTGIEPISTPSEDNEKRIVAAEAT HTYADIRAQGESKLREKLMQKRGPFGWAMRTLRDNPMGPGQVYETHNMKILAKRIPAI MVCGALYGLHYDIHAAQSGIAGTPEGKRMQSVYAHAEKYPNEVEHTYSFVQILTACTA SFAHGANDIGNSVGPWAVIYSAWSTGNAAASKAPVPVWQLAVLSATISLGLITYGYNI MKVMGNKITYHSPSRGCSMEMGAAITVLLFSQFSLPVSTSMCITGATVGVGLCNGTLK AVNWQRVGLLLLSWIATIPIAGTLGGVLMGLFLNAPHFSS LY89DRAFT_691323 LISLVVEGKLVWLRGSTPPLVDYVSKLVTINGELYKSGAESSVR NENIETITEELLSLIRKVKGVNSRIKSFRMALRSVWSEQKIQEIERRLEMFREAINLR VVVNFRTQFDLVTLRLEGKFDNLDANARLLLDAIIDCRDVFETKLEEQTETLRGFHAN TAAVARAREEARSYFTDVLRLLNVVSQDRHESSQRESEKLQETMLRIVEEMEEQRALI ERLQRERERDPSLRERLDLRDRLNTAYAVLFRLAMVLAGLQLETAEILNYKADTYGPY L LY89DRAFT_789271 MASQETDQSELTTEFATAKGLTAGATAVAQPENPSKNSQLRQYL LPNYQNRSIPRASGTTQTGLPTSLAVTTTRRHPLPPPVKGSIGATEADFFVIRIVSEN TQSVTGIEPDALFQQRCFTDFLTPPEKTEFIIRARAFYADTSRSDPDVFSISLSPLDG ASRRLFCAMHLNKESDLIVCEFELDRDFILPEQMPNSGFPPEPIQIIHNEATDDELLL PRTRRSKPLHSLQIARSSARQLTLVDSFQILLEIQAQLSSAPDLKVLGDAIVGLVHDL TGFHRVMIYQFDDTTAGAVVGEYVDPRASKDLYRGLHFPAADIPKQARELYMINTIRM LYNREEPTARLICRTFDDSKTPLNLQHSYLRAMSPIHLKYLANMGVQASMSISLIADN KLWGLISCHNYGPASGIHVSLATREICRGLGNVASSNIQKLIYSSRIEARRPLSNAPP KSSPFTYITSSTTDLLNMFGADFGFLVIKGEARTIGNLFSNSEAIVLLQYIRQRAQPL IYYSHAIGKDLPDLHYAPGFSMISYSFVVAGTDYLEPRSSFKRWSETVVGTSREWTVD EVESAAVLTTLYGRFIEVWRQKEATVQRNRMTRLLIRNARHEVRTPLNSIINYLKVAL EEELDERARFHLQRSLQASKSLLFVVNDLLHLTEAEGADFQVHEDNVDLRSMLSEVIA TFKDESVRRDLRIKLEDDKAVPQLVRCDPGALRQVASNLLSNSLQSTVNGEISIGLEH VQTTEANSVIKISFTDNGIGLSESQLDGIFKDFEQILDEEGEEKTQTGEQILPEKKMG PQIGLGLATVARFVRLQTGQISMSSEGQGKGTNVSITIPFRKALSGDFSRKQFSEDIA LPTPTSGPQSSKTPKTSGSASSSLTQASVIDTATSPVSPGTATDRYPFPISPVNNDRP KFNVLVAEDNPLNSRLLETRLKRRGHSVRIAVDGKACVDAFKSSPHEFDFILMDIQLS PRVVPYARIPIIAVSASLSEQRVHEYVEIGFDGWILKPIDFKRLEAILIAVEDEKTRE ILLYGAGNWEKGGWFKVKSEV LY89DRAFT_347738 MKMFYFPLAGILIFRSADFTPLFLASSLPSLLLCFLFFPPFRSR RLLIRAECRTETLQIERLGSCFCARRPGLKNGLISPSRATFTSRPSAPTQSQAAHVNV EGIPSRLETLHCQVGLQTLSLESRQSEHSRAAVGQRARSASADATADRPQECAS LY89DRAFT_677343 MSFAAATTATGQQPEEVPLTDEELGWQSDTWNRNEQRLRVRFRD ALGASDAEARIQRILREVPTMELPANFDVGTALEEERAEQFARAGPFARGSLLPVAAM RTAITRILNNLDSDRFRHLDIWQAVFERTGNPATFRVILEKERIEYETSATSPWGDEA ATEEIKDQRREILQGRLRSLLLQGSNDQIEAVQQSEGFLLEDDTAGRGPFFVLMATFR TQYRLIQRRQQFADDVRQLGTAVIARRQGVNPEQTEAARRALLEQNRLALLATQAQAA AREAARIAREAREREEARVAEQARVAREALLEAARIEEENRVEAARVAEEARVEAARQ AALDAASQTEAERLAAEAQLAEERIRLQPAPDARTTDGGRTRFQQLQARRAAERAVAR AAERRQVAEPVEEVAVDGPVLEEQRTWESIFAPRRSTIIVSGFPAVGKTTFSDLQEQA QLVERFPGHVFHDLSVEPFALNILQNGPNRSWPANYVAAIEELKEEAKKDKSRNPQCH VIFISTPDDAIIQALNQASIYPDFLYPQPGLKLEYSDRFNTQIAAGDRRVESAGPIIA NWLRFLTLYSENQPLASKKYVLQRGQYLGDVIDAILSRHLRNDQAECKLSYLSS LY89DRAFT_691326 MSGPGAGFEYPVKEVSWLKRDVLLFANSIGCTADELHFLYELHP NFAVFPTYPIILPFKLTHQDIIDFYAAQASTPIPNVPKFDARRVVDGQRLMTFLKPLP PTSAGRTFELRSKVIGVYDKGKAGSVVETQQDIVDKATGESYARAVGSAFFVGQGNWG GPKGPATENFPPPKGREADVVVSHQTTAESALLYRLNGDYNPLHATPEPGQKMGFGGT IMHGLSSWNFAAHGLLKALGGSDPKNIREFQARFASPVKPGDKLVTDIWRTGEMKGEW EEIRFVTKVVGGKVCLSNGRALMKCVGGAKSKL LY89DRAFT_765453 MIREPAAMASTIPQVETDEPIYFWREHSSPHGYMSQWYHSPFTC PSTTTTYKTAEQYMMHRKALLFSDPTIAAQILTTTSPKAQKALGRKVKNFEQKVWEEE RERIVEEGTWLKFRYGVDRGELEGEGVSLRERLVGTGERELVEASPMDRIWGIGFTEK RAGEMRDKWGMNLLGKALMKVRERLRAEEVGDGEEDVKKDGEKEVEKAPEFRAGKDNE VKENDHHGQDEEERPKKRTRSGKV LY89DRAFT_347798 MLAPNAFPEKGGATEWSENLNMSLICKDCREDPPNLVEEFSSGD MVCASCGLVLGDRIVDTRSEWRTFSNDDQGNDDPSRVGDGANPLLNGSQLQTTIAFGD GSARSRDLLRAQGKSSTDKASKVLLAAYKEIGAHCDAVNIPKNVSDTAKHLFKLVEDA KAFKGKAQEAIIAGCIFIACRQCKVPRTFREIYALTKVSKKDIGRTFKSLEKFFAADS AKGALAGGPGALPSAETYQTTTSTGASDLCVRYCSQLGLNRQIFVKVSQGLADKMSTV GDLAGRSPLSVAAACIYMASYLLGKPKTPKEISLVAGVSDGTIRTAYKHLYQERDRLI EDEWIADGKGNKADLPVG LY89DRAFT_691327 MSTLEDLDDLEREQKEDKKDDGDKEKKPNQNGDAEMKDAEPEEK EEDPIDEEIYSLSTQDILTRRRLLENDSRIMKSEFQRLSHEKAAMGEKIKDNLDKIEN NRQLPYLVGNVVELLDLDPTAESQEEGANIDLDATRVGKSAVIKTSTRQTIFLPLIGL VDPEKLKPGDLIGVNKDSYLVLDTLPAEYDSRVKAMEVDEKPTEKYTDVGGLDKQIEE LVEAIVWPMKEAERFKKIGIKAPKGALMYGPPGTGKTLLARACAAQTDATFLKLAGPQ LVQMFIGDGAKLVRDCFALAKEKAPAIIFIDELDAVGTKRFDSEKSGDREVQRTMLEL LNQLDGFASDDRIKVLAATNRVDVLDPALLRSGRLDRKIEFPLPNEEARAQILKIHSR KMTVDDAVNWPELARSTDEFGGAQLKAVCVEAGMIALRMGKNKISHEHYVDAIAEVQA KKKDTVNFYA LY89DRAFT_789277 MPEKSSVIRRSVNGMKYKIKESWAKRPWGPKRLWIPLTDDEKFP PPWQNNKASLEKIRSPDEHICTLAGFYRRRGGGLMGPEDFVVVYRQSHQGNFFKKPQP IDFNATKVELIAYGGMFPMVAPEYDDWVDIELTNDTWLSPNQRLQVDRMEKQVAEASQ NEGDMYAVLQLLRRFKRLRELDIIIEVRITEHNQVYSSQDLARLKALLRPHPDRDEIQ GRVFIRFERTEDLPNFTPELLRKWQESWYGALTAPRPHELGWPGLKREPRWPISRSYP LY89DRAFT_691329 MFTQTLRASRSSIARVARQQASVVSRRTFITPTAVRQADLVQDL YLKELKNYKAPAVKANDSEGHVQKFSAPKPPKSPEEADIANELKAYEASTVDIEGQAE GGAVAQDADWFEDEPEEEAAHH LY89DRAFT_348229 MRFMMSLTDVFRRTEDDGNDSYASDTPRSGVATPQPDPSDKRLP GIMHSYFGQVGAGSSQSPNSGVLETPALESEAQTPLPFHRREETEGDQVLSSVTPDSH NEPASDSHDKDPIAQPPTDSERAESLAPKNTPTSGLHPYPTPPVSQPPSLHKLKLSDS GSEDEKESAKTTASSSPAHHKRLSESIPSSARRASLMNPLSSVVTASNVHAAHFSNPS DRSPPTTPSRSRLSSEFHESPSVDRLKKLTDDAPRKKSIPPTPTRALSNQTVKSDASG GSDPANGANSSNGQNGKTATESTHTTSASSGSTGAPAPAPKGKLTVKISEARGLRKSR DPYVVAVFQRNELVSKGPLPEDNDDNEDATSSPAIGGIPIMRSGSDSGRPMAIPMKSR QSSNTSLSDYRDFKIKGRKSMTNPKWDTEAVFDVVGSDSRVNITIYDRSSAAEEFLGH VDLEANISETENSPLSGWFQLRGKDNTDNGHPGEIHVEITFQRTEKKHYGPEDFQILK LIGKGTFGQVYQVRKKDTKRIYAMKVLQKKVIVQKKEVAHTVGERNIMVRTAMADSPF IVGLKFSFQTPTDLYLVTDFMSGGELFWHLQKEGRFDEKRAKFYIAELILALQHLHMH DIVYRDLKPENILLDANGHIALCDFGLSKANLTNNATTNTFCGTTEYLAPEVLLDEAG YTKMVDFWSLGVLVFEMCCGWSPFYAEDTQQMYKNIAFGKVRFPRDTLTTEGRNFVKG LLNRNPKHRLGATDDAEELKRHPFFADIDWEALTKKLITPPFKPKLKSEIDVSNFDPE FTNALNGASSLNARAAALAAGVATSTPLSPGMQANFKGFTFVDESAMDEHMGASVKEE FDDMDEDEKRDQDWEDPFDVSGKHRGDRMSGIVKTNTNEDSSMFNGEHFDM LY89DRAFT_348215 MASTPLGSWKWKWNGSAQSLDDVSSRPTAAVSLVSVCTLARRRG ADKHLVVRRLSDGVCYASRSSIHICNKERNEGNTWLWLRAATYVMNHSCPSDRQLPVL HQLYVHQKKDQYMSPSTSLAGASRIVCLIPSS LY89DRAFT_347936 MGRRPGRAAAKNAAAALKNTPQAFDDGEDEQMPDAPISDAASPA DMEEDNAEEEDGTPAAESEADEGPTTPAPQPVVRKKRLGRPPKNRPADWDQDVEPASE TGTPRRGRGRGGFGKGGGRWARKGGPSHVTQQPIDKEGNMMDVINDEVELPEDADGET KVDKMGHLQGGREYRCRTFTVLGRGQRLYMLSTEPARCVGFRDSYLFFTKHKRLYKII IEEDEKRDLIDRELIPHSYKGRAIGIVTARSVFREFGAQIVVGGKRVIDDYEVAKYKA EGAVAGEIADPNDIIKPGEDYNKNQYVAWHGASSVYHSGAPTVPMQTGKAADGKKRKI MVNDVNWMLEHAREASRFNAAIAATRRRNLKGVYDPHTNVMQYPKIMQPTHARWEQID DHTENRKQLTNGHVKGHDEDEVQSIFTPVKPIYSKNFMIVDTVYNSAPSSHMGVPGPD GDAHDLGFNGLSSIPNDVKAELPPECLAAFEQALEKEMQWKNQWSTESSSTLRRAPAI DKGVV LY89DRAFT_725363 MSPRNDTYLSLALAQANLSPLHYRHGAIIVRGGKVIGQGFNCYK PGFDGGALKTGSLPSSSIDGPAIAELKERLKTKTKPKSKSKQDNQQDEGTFTPFESMG CGHNANAPLSMHSEMMAIKSALSLSSGTLSSQTSARSAKCFEKPCFKLPGDSKKRKAR ARALKAYAAAVCLEASTGQAYGGKFSIQKQSFEPGTSQPGQQGEQQVQRQGGEQWVSR SEGGRGGESERKCRETPQEEKPAGYYPHGPQHKHYQHKRGSEPHAYRSSDNSGSLATC LSSPTDDFIKSKTPKNKNPTFPPSVTPKPQQILITKNKSLNAKHSVAARTKDLRLKGS DLYVARLGTHNTAPLKPKSQHRKPPDQAPPSPPTLSKGPSSLYDELSPLCRSVSPSTS LPDPPDPEKPEIRASRPCYRCVAAMHSVGIKRVFWTTQDGEWEGAKVRDLVEALEMGL EGDGEVGTGQESKGVFVTKHEVLMLKRVMGL LY89DRAFT_789283 MPIVTPPKAQSASPSSASSYTTAEVSQIVTWNYNDITFLILHLK ELKAEGKSIEVGFEPEPWRSIAMTFEDPLKDEQSCQRMWLKLKKEYHEFKCFVGMPGF RLNKDDLPVAAPEVWEEFEKKYPERIKWRTTRFPWMYSILQIIEDFGVEPEKTLVERK SKFHARFLEGSGDSESPAVQKRHIETTPPPPYRPMKKQKTKSLDATDDDLRALAEDLS KSSPSTIPKMAPKAVPERKEDIAIIMKTLHRVQEETCLTDDGVLFMLDILGAQAPMAR KYMAFRKDSTRVAWLRQQLKNSKEDLSDLFIEQD LY89DRAFT_348273 MSFGFGIGDVISAINAIIKTCERVAAVPKDILEASKDLERLRTV LENIGEIISEKTSVVHKHDSIKKRLVGDMEEVGQDVKRLKTHLHIWKGHEKKSPFQGA MQRILFAFYNIPQIKEIRSNFAEYREKLDEMLQILSINSHNKVLNAMEHIITKFEEFE KRRESRLSLDEALWSEREDRYSEWQNLEVEKRASPKKVDELKAFLEEKLRKLEQGALG REVAQEYMDEIESKLKDTASPEPAPQYSSPAVEEHSLAERSRIGYSTNRDRTNEYLKS QYLTVPRDPSRESGKNYQADSRRSTSSNGSIRRHWKERIDPWFRPPSPNSSRRASRSS SVNHSSVGTPARLSVQQLNSEFSSMSLREPQTTQGWTVVARARNRGKKTTNGSQSSDR TKNTSIPQLKWLATGDRSPVNILYVDWDNTSFSMMAKAYLELVRLWTMNANNTWPFDR VESAGFCVNEETILEPGSVIDLLAPTRSNFRSRDFPKEKEGLLNRLKGNRLAQFKNSF LDDFKHVLCLDEKSYEAIR LY89DRAFT_725366 MTDLIRDTVFGHFVRLIPGIKLLRYPDELNPSLGRQAIEEEGSD ETEKISNGEEVKSVHLVAWYGSDDPESPQNWSSNTKLLVTAQICVLNFSVYVGSAIYT PGEESIMKDFGVSTTTATLGLSLFVLGYGLGPMLFSPMSEMPQIGRSGIYFWTLFMFV ILQLPVGFAPNISVFLAFRFFTGFFGGPVLATGGATIADMYPPTMISYGICIWGAFGI LGPVLGPLVGGFAAQAKGWKWTIWELTWLCSVVLVVLFFLMPETNPDNILYRRARRLR KATGDKRLKSQSEIDAAEHTWRDHLIGLGKAFTLTFFEPVVFFVGLYTALLYGVLYIW FESFPLVFGNIYGFNTGEQGLAFLGIFVGGVLTLPCYLLWIKYSFVPEFSKPVFVPEK ILPPAFFGAFALPICLFMYGWTARESVHWIVPIIGSGIFTIAIICLFNPVLNYLGMAY PTYAASVYAGNTLFRASFGVIFPLFARALFHKLGIAGGNSLLGGLSILFIPIPFVLYK YGKPIRHASKNARHDI LY89DRAFT_691336 MSKPIPLIFGAASIMTGGAYYDSTSINNLFDVLEETGITTIDAA QLYGDYEVLLGTTNVSKRNFIIDSKSPGGWVPGSLDPAKLREGAYSTLRTLGIKKLST FYIHGSDPAYPPETWLPTVNELHKEGVFSTFGLLNFTTEEVESIHVQCLKNDWILPTV YQGNFSAFARHMQKALLPILRKLNISFSAYSALAGGFLARTSGSELSAAETGGRFAVD PEDPEGKKGGLGLYRQLYSERPVLVKALEKWGEIAKVASCICPAELAYRWVCWNGGLS AEKGDMVTVGASKPEQLRKTKEWVQKGLLNDAVCAMIDDLWDTIQDAAPLDNLHM LY89DRAFT_765471 ELQLSCSVKTLKRRCKEAGYYSCICCQKPYLTKTQANARWLWGI AHMFWTIWEWSQILYSDEVTFQVGGKKCKQRCIRNKKERCYGYKSPLINIHGTGKSGA FTQTDYLAQVLKPYIQDFLAAFAAVLGPGKTPQFMEDGNSAHGHKTTSNICATWRTSM GITLFPHPAVSPDMNPIEKCWRRIKQALHRRLRQPTTKVQIVVVVLEE LY89DRAFT_742328 MKKSWSLTFYLIFYLPHLLVATDSLNTTAGSDELAHTNVGWIDS PSRRGTLTLVLGCLSTILASTWAVLHLNVPAPTDDWKVKLIRKVKWMTITILFPELVL SKAVCELRLAVADQYAMHTMAKPLDDRIKRKWKTTQIVTFERVFDSWLPRDERDDGIR TVVYQWEWKIDDVGGGLKFLHWLFGLEKHADEVNSMGNTQSPGRYNNGDIQDKIGQGE IQVVAGPRVCEYKVIRTWTLLHSYYLNMGGLHISSLNDITTNRHFDKYDPVTNGRPTF PLTTHSISDGNLSLLEHITLDKADIEDKSKADWLVKTFAILQIGWLFIEVIARRASKL PLTQLEIATTAFTVTAIFTYAANWWKPKDVNSPTILKGPTKIPSNEDNRLKSVFWTQL DPVRHSITIHDKRVKNDLVWGTGIFSGILAASSLLFGCIHCLAWNFEFPTKAEHLLWR GASITTAFLPGLALGMKYLFGRFRYRRRGGPQEMIQEMIMRIHVAYISMIVSIIIEVL YSIARLILIAPVFSCLRSVPDAVYNNIVWTSFIPSFS LY89DRAFT_691337 MMGLGWIGAGFSYTREGNPGLGQMCMNLSFPRMAWAELMESSSE RANRAGYEVMMSVNKYSKTPSITFFPSLREPLGWQQRNSCLSRGSFSFTMRRGLLLLS LAAWSSAGCGWMGWDNGS LY89DRAFT_600552 MASGGVCAVLDYEIDQMTEYVAEMAQRIVLPTSPVSPAFRKFVS GLLSSTRLPSTTILLGMNYLARRMNILNAAGGLTKSSDGNVWRMLTIGLLLGSKFLDD NTFQNRSWSEAMGWSLYVNLETSDDFQAWLQSWAEWRETKNMQRKATLERLAPMPLAP IDTNVQRPRQYSHNYNGYIKTASHERPESGYQSAYDQTAWINNSYPTPHLTPPSAPDS GLNTPEYMSATGGPSRYNDWSVFNSAARAAYQPQTYATYIPSHHLAGYHTPFIYHNGY ANPSIWDHVPQECGCHECHPYAKQQHFMGHGYHQQILA LY89DRAFT_725369 MEQGTLDVDAEIARKKQEVEDAIEEYGFSREDPGATLIWFGKEY MNERFETTDDDFRRFILKRFEEEPWHYTFKKFAALNKVYNAHIQQQIPKGRSPLSTII HWGKWKGHEFRVIYQSTYRWNWYMSHCPKYKPLLLEMLQQYRLWKASRPKRKLKEKRI VGLHSNPVGEYESDGGFVVMDESSKGDETEQDDEGQSQKDDEAQDGDSSGGDWESSEN ETGGSRDGSATVETSGIEGMDTGEAGSGDDGNDLLSLDDLLLQKSKDQRRSPTSRARQ NRRTQSRCDDPAMNIGSSPDSAAPKTPRQKRSTWKTFGTRRPMTPESQDLARTSANDS EDDVIMSARVHNPTTREGDYIHERRSRVLRAAETKPVTRNLSSVMETRLRSGWYHGVE EEYHGPQIANVLQPPPKEPPFTKSPSSWAIYPTQGPYHHGKLLLHPITDGLQEPKQGP LCRLFRKLETQTLTSKPLQMRLSHHHANAGETILMTRRQFNGHGNPRKKTPMMNVSFR LISSEEYES LY89DRAFT_742331 MNNGSVNTPMPRSGTRTPSHTKIRRSSPKSITPTRGSVPANRSK IGNMLSTSKNDSPRGRISSKGTPAAQVSVPQTSSEAISELVPSSPEACTSRPRKRQKT AQRPEPIPEDISSSQSSAHSPWRRLRPIVVIDGRDL LY89DRAFT_348618 MFAKETPDPAIQTPARTYEFGPEPGAFKVWFGEHANKRFDKVPR DYRLWAVDRSTTNKAPNLTRFKRLHDEYNAWLATRQNPVMKSGTTSTRSESMTDQKSM LQQINTRKPSFVPQSVLKEKESFGNHQVNATTTPAHPGSLVNQTPFLPPMNSNASFNP RFLVKEKKSLDDHRLSVAATRKNDIETNQMQEKTLYRRQRKEEQMIRRAHV LY89DRAFT_691342 MSSEWIAAWLAAQNDPNSPESDKIDHNEGFIEGFVPRQSSSHGD KEIASTPITKSISPGKLPSDIDKESKGKQLAGVSRSKQQSSRTLPKQKTNKRKLQEER DVNQTNMQTKRLPLGELSRNPTRQSQTEYTKAQSSMPRRRRHTQNRRKMDAENKSSYK ITNLVSSTSKRNVSYDKRKEVPPTSSEPTTISPAAPKSTPPAAMRRKATDDEKGNEKE AAAAEPLSSQPSVHSPWRFLVPVPPLVPERR LY89DRAFT_725372 METLSLRQLEARLDRDIQDAGDAYGFNHAEFCSGTIKFGDQPGK TLMWFGDYKGDRFEKLDMGFRQRCILDRVTDDCEDLRKFDALHHAYNTWRDAKESPLT EKVWFGEYPLKRVIWRQKAKWEFRLEHANEENVRGMVEIKERYLRWRVLQQPRIEPTS TSRVTLNKMGRILGPIDDVPPSDDDKYDSDFVDKDSEPDPDSSFRPNSEEEDQTASSS CDKIPNIDHDLEDNHIDPHPSSSMETVPLIRDVKRRKIAIKQTQSPSASTRTALGNSS GNVRASSSKPRHSKAGPKEKGKRLAQSNTLKQICRITSSGREIHRPKGQTSIFQGADF DGPFSSSPLSVLSQASVREQPRKLESKVLSQAPNSPSSQEVLAVDIRWRARQKAL LY89DRAFT_691343 MYSAIGQKEPKYDVLEDESAHGELKAPRNVYRGWVWHLIINCIL GIAFATTLTLYLRLLHEINPPKLECGKSIHEAISRGCTFDYVTKLWLPAACPRTGIEG YTNLSGGWKYYTAKEGGAVIEDISVVLGANHMWWTTEREHLAHCAYLLMRVAEVAAKG GRRDMDVLDYEHTKHCTMLLLDRSLEPSPNLDEISVLAGGKFGSC LY89DRAFT_691344 MRSIFLIASILATLSSTSAANCTRDGLLSTASQFVTAVIAGQVN NLPTTSNFTNQENNKALALSKGVFASPLQIDTNRSTADTVACASYTMLISTSGPKPYV LATQIRHDGNDTGKISTIDTIAATTGSLFFTASLTLSYIKAEDWSALPAASQPGRDLL KKVGDGYLDMWTDAKAADTIPWGTNCERVEGSRLTKPCGASLPPGGSAKQNDDRRYVV DEVMGSVDVLCAFNSLGNMPDSHEIRVVDGKVKYVHTITVIG LY89DRAFT_789295 MQPLNPFLCAFFKSALPSQCTPVHHHILLVPTTEVLLTSRDRES GVSYADLAGSEEFLGSHVLRVPASHAATAGGKDAPNMRENRGKAKQYTTLNGRTVVVK DAYVYSNKGFKTLNQAQLLTDTIWYPDSLEPRQWLIYYISRPLVGVYDEVKITPAILP ATLPSVNGRPPLPPRASSLGESIGSSSILPKKKDIKSFNDLLNNFPMIARQMQPGLEK LFKEFTVVFDKPLPPPPSASVIPDPVPDGPIATAMKKARSNSTSSAPLTRPNGHTDRL AIENFFAEDDEDVMRGALETAVTAAIDLFQMVDKQQLSLLGATTDLTGPVVERLIERY VTEQVHDTILYPRLCAMKRAEDLELEFKIRQMEFVDISQVGIIIQGGQRGKHELTLRL GRAVEEFRKLGVAGSPQQMMDILLLTLKTVTQLTDVPIASLTGEPEKVSPVLTINADT LVSLLLIVVIRSQVRYLQARLLYMRHFIFIDDIESGEMGYALSTLEAVLSYLARDSGG LRKASRRNNKLWQATKKGEIKEMSKIMEPDQDHSDEEDEAIIDEVIDENTPWSSSNGH SRRGSTRSSRSSKSRFSQASTLTHVFPWQNQHEDHDEDDDEERLPPLRRPKTVTMDTR SMSSGSEVSFRSRATTIDSMSSGIEGDTSIERLSQTEDSFGESVPMMAVQNERPESLK YLLSLRQYYPLESILEDRNNEGTTLLSASVQLGHTELIDIILDFVYRAESEAIIIEYL SKQDIRGRSVAHYLFNAPMLIPRVGRLLPWRQKDRNGQTPLFAMCRSYDHGNYRAMVE AGLAAATTSQGDGQPLHLDDHVDAKGNTLLHIVNDPQVALRILLQCDSDVNAVNDKKF TALMVASKYGRIEMVRALFGDPRVDLFAKELRGLTAVELAKDDEVRNRIDDLILFAGQ PAADGRITSVVRSFFVEDATIRLVVKSGAPSANQSYTVTTCRRSLLDFEHLAKLLALE NPASWLPSITGMRSPFQLPSKPSRAVLRDIQIRLDAFLKILLAHTTFATHEMLWEFFL VPDIQADMMEQRSNAKAAARVDNVREEYEPVEDIRDVEQFVDHARDMVRSVNYSTKSV ARRANMVRSATKDLYDAYSLALRALSTLECLPLTHVSALETYVQTLAPTASSPYTAFH SAILSIHSTIIAMLLSLSRPTSLISSINTSRKSIERNYNSLSRSTRWPLGLLDETRQR LNEEKEDKVRRTREEAEGLGKELRYTQQVVAAELAGWQDLHEKMGRKAIKDLAKSMLI KERTALEGMKRAIRKLKVVPVVQFRPSMPEALIVESDTNSGVIAAANEGEVDDTTTG LY89DRAFT_742338 MAKKRVLVGYGIDIDAVAGWLGSYGGEDSSSDISRGLFAGTIGV RRLLKLFEKNNIKGTWFIPGHSLETFPEECVLIRDSGHEIGLHGYSHENPADMTLEQQ RDVLDKTYKMLTEFCGKPPRGSVAPWWETSKEGAELLLSYGIEYDHSMSHDDAQMYWL RIGDEWTKIDYKKKAEEWMKPLVKGKPTGLVEIPASWYIDDLPPMMFIKTAPNSHGWV DPKVVEQLWMDHFDYFYENYDEFCFPMTIHPDVSGRPHVLKMHQRIIDHINKHEGIEW VTFEQMTDDFKSKNTPEEGAVMPAPIGAMLKK LY89DRAFT_691347 MGPKKAVKEEKILLGRPGNNLKSGIVGLANVGKSTLFQAITKCH LGNPANFPFATIDPEESRVIVPDERYDWLCEKYNPKSRVPAHLTIYDIAGLTRGASTG AGLGNAFLSHIRAVDAIFQVVRCFDDAEIIHIEGDVDPVRDLQIISEELRIKDIEFTE KALEASRKLTRRGGQSLEMKKLKEEEACIEKVLALLQGGGDVRKGDWSPKEVEFINPL FLLTAKPVVYLINLSEKDYIRKKNKHLGKVMEWIKDNAAGDPILPISICLEERLTRFE TEEEVKKELKELGVDSALPKIITTMRKVLNLGSFFTTGTDEVRQWTIRNGTKAPQAAG VIHGDFEKTFIQAIVYNYSVLKELGDEAEVKAKGKILTKGKDYVVEDGDILLIKAGAA KG LY89DRAFT_702117 MASKKNNSRSSRANHRSTLSLQKTEIIINVYDLLPPGRLSSILW TVGTSLLHSGVVINNREYAYGGHDKRGMTGVYFTPPKTVPPGGTFRCEILHGFTVSPQ AEIDAIVKEASDAFQGTSYNLLTKNCNHFTAYLCEKLTGRAGPSWLNRAASIGVALPC VVPKEWIAPPDFETADGELVEEDDDDVSHERSRMLSNMDSSHQRRSIDYSEDAWDSEE ERRQGGTGKGKGKEPVRDTSGRVVPPAERAPTKKGKSCDCPS LY89DRAFT_691348 MSRTAPRRLLAQSPLTFLSDLTPSYKLPTRCLRLFSTTPRRRAD DEISKPATVPYSNSAFKSRSQPAQQAPVSPSMNPRSETSGMSALAELLQGSVFAPNQA SRKQRQQIDTRFASDGSSGNLLDHSEPGLEKSWQLHIYATRHNVHITVVRPPGWIHPQ TGRRYPKAPHNNRTVALSLAAGNLGFRKSGRKHYDSAFQLASYVMGRMQESGMNAWIG DLEVYLRGFGAGREAVTKALLGTEGRFLRGKVIKVSDATRLKFGGTRSKKPRRLG LY89DRAFT_691349 MSGLRNAATRTSLNGQFTPILRPSLRTAVYRQLFQHIKASNLHS FSIHSSKSTSLLRPAALRSQFLPQEVKTGFGPASARSFHQGSRLGQEKEAKSAPKEET ATEEDAAKKASSENADSATGEEKAKEENTGEGEGEGKKKEEKEAPPPPPPHGDKSPWQ VFTETLQTEFKASKEWNESTKALAAGAQQFTENESVRRAREAYERSTGAVSSTTAKVL KNTAGAVGKGAAWTWETPVVKAARTTVNATASVIEKGTRPIRETEAYKNVKNVIDDGS SSRYGGWVEKEERRKKRELWEKEEAERLGTGGKRAEVAQEDPDAGTNVTLHKDAAWKE SWRDFRDSNKLMQSLFSLKSSYNESENPLISTARSISDRVAGFFAENETAMVIKKFRE MDPSFQMEPFLREMREYILPEVLDAYVKGDTETLKLWLSAAQFQVYDALTKQYTTAGL KSDGRILDIRHVDVLSARILEPGDIPVFIVTCRTQEVHVYRNAKTNELAAGMEDRVQL VTYAIGVTRVPEDVNNPDTRGWRLIELQKSGRDYI LY89DRAFT_691350 MDRTKIVNRSISSELSHQNLNISGKESQRYQSTMDQLNHTEGAT MDQTTAPMWTTSIFTRPCKVAPPTFTPYPKKDCELLVKLWTENKLPIGAPIEIYGGTS YIPYHRQLKAGKHAFEYHPLTKEYTYKGKRPAEYADQKTERLTDLLADYAVHLLDTIA AGITRYQKSDQPRIHIRQLLGTSPEQAIAKMQDLSRRISKHRKIFAGILAPMEECLKI WCKMRCQLNEEPIFDLSENVVEMMELLRNEENMDKRQRQYWELMLHTHTSKRLEALLA MPRDQFVGGQRSDEEIAWFLLKNKGDYKDIFIYYLNADEGKTAAANTTEDNHDARLAA EDGFDVVMDDIPKNDTEGEILALRAYAEQAAVILQYNFNDCREGDAYYIDVLFFYRWV ENIIGATDEELAVYLKAQEVGDFYLSAWVNAAFNLDTPTWQLLTIKSQELNVDTAFYG LPKRLGLHGPPALGRVRRLEIGNERGIKNGRERRRRQRAMGVGQARINRTQAENQNPP RTRSTTTGENQQRTQTATRNTTINREEEFDWGPVQEFHL LY89DRAFT_691351 MATTKQRLALSIIDYLTTSLTDGTLTSEERENIEVASSCIADAF KVDPTDSTPKDSQTLLQIYSVYEKLKGKAPATPAAASTSTAEAKIEPTETQKKEAESL KQKGNAAMAKKDYPSAIDLYSQALSLVPGNPIFLSNRAAAYSASKDHESARADAEAAV EADPKYTKAWSRLGLARFALGDAKGSMEAYSKGIEYEGNGGSDAMKKGFETAKKAVAA QEDGDDESDEEVASRGGPAGGAGGMPDLSSLAGMFGGRGAGGAGGGGMPDFSAIMQNP MFASMAQNLMSNPEAMNNIMSNPRLREMANQFGGGGGGGAGGAGGAPDLASLMSDPSI AEMARNMMGGAGRGAGRGAGN LY89DRAFT_765501 MLLTSRQLVAAIKAIHYAYPGLLLCYFVLALMVTVCTLQTQRLR VQDQHVRRDVILGLMFGVTLSYLAELIVLLIQVIVSNESPPEDCTVYLIASTICFGAQ CLALTDSKFPVWYPYYVTWFLGLLVELILLVIPNVFAPPETPFAFTNIVIQSIRVCFF AILPSLYFALRNDKKEYDNGDAERQSLLQKKLSGKPGSEDSTKSYGGTTDTNNQESDN SEASDAGSEDSWLARQREAEDKIKKRLEQDGNWFTYARGFAIFFPYLWPVHDRTLQFR AVLVGFCLLASNAFNVLVPTQMGRMIDSLTGYLLEEDPTRKPNVWIPVAVYALLRFVS GGAGIGWLKKWLWLPVEQYSYDALSTASHAHLMSLSSDFHDNKTSSDLTQAVHGGRSV TDLLETVCFQVLPMFIDLAVAFAFLWTLYGPYMGLLMASTAVTYLYVTTKLYSRRAAK RRDYITIYRKEWTIGQQSLDGWNTASLFNMIPYERHRYACAVKEHMSSKKDYEMSSQA IGAAQSLILSIGLLGALWLGVFQVVYRAESIGKFTTLLIYWAQLQSPLTFFASMYRQI SYSLMDAERLLELFQTKPTVTDTPNAKALILGKGLVKFDRVSFAYDERKPTLKCVSFE VPSGKTVALVGETGGGKSTILKLIDRFYDVKSGSISIDGQDVRDVTLDSLRGKIGVVP QDPMLFNDSIMNNLRYARLTATDKEVYEACKATAIHDKIMSFPDGYNSKVGDRGVKLS GGEKQRVAIARAILKQPEIILLDEATSAVDTETEQLIQEGFKTLCKDRTTFIVAHRLS TIMKADHIIVVMNGEIVEKGTHDELLHSGGKYHDLWSKQIFVKPSPTADRSRSSSPKK RDATLVNDLTPQRQTKELAKVLKTIPHDQQPGEDNTGKKDGKKAECSGHKREVSLTFE LY89DRAFT_691353 MEVCVSLSLLSSLLLLFLALFASASVYQAATSFKIRKKFEAPRS FPLPQNFTRSPLESHST LY89DRAFT_765513 MSQTSWDILQCPNTFRTTYSSISKTTLAVQNNASIRFVSIAFIP KSLTPAPSAPLDPSTTSSKMSSATAPRGAGWVQLAPRPPKPSTSLRTATSQSTYHNED ELHDLLLQEDIDTEPLTAPMPEPSVSLELFPGRDFGRYVNTSLDPLASRANSQPKRSE MDSNEASLQEEARRAKEALGMAPKKKKERKVLLPEIKAELLEALPDVEIDVAVYNPFG TFILPCYEIEIGNTTTLRELITAIQEIVDHIAETQKEKVHGPLLVSVIQVKIFNQEFF DGFPKIQGERKGGNTVRAESVTSPNSKGMNHTELQLAYEFGDLGDEDIPSADTVNWVV GPGSEEELYWEQLRTALQIAAAKAVQQESAMQAYKKPMLKVMTVKKVGLLSHFVGVHA FEYRQTPPERPPSPVMKKRAPVMESPIMENSTANKGKGKADHDGELVTSIHEHIRGNF PALRREVSLSEIEFAVQIAKSTYQQDDEQLSTAETAQQVLMDMKEAGRPPLRKLVPVE MNPNRKMKPLRKDLNWGTYQRMGGDPKMHKLFPLDDVPFKHVQYEFMPAGEDVEDVEV DESETSADSSQDIEPEDLEPYEALDPDLVEEFLDDKKVRKIVAYRERKEAAVGFDEGD DDKFLLGVLSPPKPKPATISPHAHPPPPTEPFPEFDEERKTQVSPLQQYGNTVQQQAL NMLRQAGAMQQFENTLQQKGFTLRNPDSPPRQNINTVQQQQQALNMPRQENAPQQYGN TAQQQQALSMPSQKGGPQPYGNTVQQQALNMPRQDEPTGSLQQQANILQQQQAFAVPK QESPIRQPRPISLGLGAGFADILSQVNAIAPEPQPHEGPLYTAPSAPSAPYTTRPEST TQSQNPSAFANFAPFHPRPRPFNDIRTPSPATPLSLSQLNFRPPSAFKSFTSFNAAHA ETRAQLAPASRGFYSTSPVRNQVHNPQFYNNNNHHMSPIKPQPQAQHLYNNNISPGKT QAQMSRAFNSPTMMSGYAVPAGVGGGYNYAAGPAVGGGGGGGAMYGGGGGGTQDPAAY SYGPFDQGGAGYYAGGGAGMAPPPGLINIGAGTSRGWNGSGGVLPMPRNVRPSTGGMM TRGVRADSVLRGESESFVAPVPGRERATSTYLRGVYAMGEGGLVQMGGAGDGRARAGS GALERAGEAGAGGDNGNGGYDCVHEQGLYGAD LY89DRAFT_349079 MKTWKRLSERVCLIHNLVLVGGWIEEPKLADCLMLNNNRDKSRG EFGGRRLCRCMPPRIGDHTRKQSRRALIQGPKAAQTARRWLSQEICGIKQCLNQWKVG DELADLYLRAARAMCGSSMQVYRRPPITYRSSPKLRFRCVSRRPPIEEACSKTRQSMH ELGRSRARWRSLKIWVPQGTRLILDEVIGGRREASQLN LY89DRAFT_691355 MRSTVLAVLASAAIVSAGGCAQNSIEDLVAETSCGSVNAITACL GTADLQRLDEIERCYTSNGCSAGEATVEAVWFAKDCQPLQIENGRGDLKRRADSTDSG STTSEKTTAKSTDKSTTAKSTTAPSSTTASTTVDSTTSSDSSTTSSATTASSTTSSTT SSSSQSTTGTSSTTSGSTTGSLVCSITSTISTKVCQTTSGTSTCSSTTVESASCAPGL ICFSATASANSALCMKRDNTLTTSGLIVTIVFGLAIGVAIIAFIVMGVRNSKKVKQQE YAHQMAAASTKGADVESQSFANANRSEAALPLITPGGTRSDQYQPQQDYFGQSSGGGN SVTPPAGRGNAPQLHQGLGALGQETRY LY89DRAFT_691357 MPLVVPGINSGGDNSKTEEWMNKLVGKKLTDGPSDATSFAKQDL PKETRVIEPGSMVTKDFNENRLNVHLKEDGTVSHVDHQ LY89DRAFT_659768 MFKKDISPGSKSKVKSSVQRAIRTQLVQTYPLLAPHIDEIIPKK EQLDAMKIPERVTLYLIGLTPLFYQHMTDALLPHLKLVHRFPTCFPSLRIDRGAIRFV LSGATLMAPGLTSTGGRLPTGADTEKGGYGELGGKGEEGKLYWDGEGEREGEGWYGGR ELEKGEPVVVCAEGKLEACAVGLLSMGTKECKEKGKGPVVEEGHYLGDGLWRLVTE LY89DRAFT_349096 MAQPTSKFCGIPSRYRLYLASSPILCVADALSALIRLITLSIRL PIKPRQAFQLVVHARSDPINSEDKKPPESQTWPRYLFFILGTLPAAIKLSSFSGTPWT QVWGLMFATSFIVTELITLLGHPHPHPSSSDQPTSTSTVEAILALSTLQWETSTHAPI RTKTHSLFQTLSKLETTLFLTALLTHLGLQIWTIHTLWQPASRVLETAPLARGIVTWV TFAISLYLMLTSLAWLVASCFGLQGHVARFWTLAWKAEIAAALLPHPPSQNHGSGSGT GSTIPPPPGWVHRAGSAMAIWAYLVGMIWCGYWVLERVVGRWEAVGRVLLLDARGEEE ERGVRVDENAYLCFWVFLGGLGSCGLWYAFLYEEVGTVNPSWTDVFG LY89DRAFT_711846 MVSLVPVSITSTQAYSQFEPKCTTPNKTVNYVGSPDTRGTFDLL WSCLLTIVACTWSLQYLNVPEQREDLDPGWKRHIKWSVRTMWPKLKWSFITVIAPEVT LGKAFGNQLADRQVRRGWSQLAAEDGVPGSLLHASYANMGGFWLEIVGQPENTIDLQE FYSSPQGQLPRHFLLSGQDIIQLRIKRIIPRLPSISREEIMERDRGSGESFALSTAGG QILWITIQITARRARGLTVSQLEIAVIAFSACAIATYIANWNRPKQILRPVAIRIEIP VSSFDKKVTEIQAALNEARSQRGRSLFDEIIPSALRGNKELADIPDGYAGLGFLYMGI AGAVLFGGIHLAAWNFHFPTNIELIGWRVASLKDFRKHLGAFLIRRRPRV LY89DRAFT_600384 MISRLFHVSLYVLFVSSHTKASSPSEDLTNYVNPFIGTEGQGYP GTAINGGNVFPGPALPFGVVKFGIDTTAFDWTNVDANAGYTPDGYVTGVSMLHESGTG ATPTYGFVHQMPLSTLENVNVLDNFTYMQERVEPDVASVGYYKTVLANGVSAELTATA HAGLVQYNFTSGSERHILVDVSHMLPSSGEAQHSQFYSNGFLARSPHGTKYQGYGVYR GGFSSRPDAPVYFCAEFDTTPEKVTLFSGPYTDPYWPNSTLPHIDPPVFTDATSVSGG QTYYSYARRIGGLFTFPSNTTTLKSKIGVSLINSDKACQYIASELTSWSLEPYISSVV AEWNSEVLSKVTTTDKSNLTLLEMLYSSLYKMHLMPSDRTGENPNWDTEEPTYDDFYT LWDTFRCLNSYYLLTAPKRAADIVRSLIDVWRWERFMPDARSGNYNGRVQGGSNADNV LADAYIKGLSAPEYGINWTDAYAAMKTDAELVPYNTFDYGDPTGSVKEGRGALPDWLS LGYVSMYDPDEGTGFGRCISRTVEYGLNDFALSQVAKDLAPKDYVKYFNRSAGWQQIW QHNLTSLNFTGFLAPLYANGTRDPSYSPLNCGVWCSWLDVTYEGLPWEYTWSIPYDMS TLISFMGGPELTESRLDAMFIPGLQDVSVGAGNGAGTAIFNPGNEPSFGTPFLYNYLE KRQWKSVMRSGEIINQYYSNGRDGVPGNSDAGALESWMVWNLLGLYPMVTQDVYLILS PWFSDLNISVACNKTLKITTEGLDQGPYIQSLTVNGESWNKSWLTHGDLINGNGGSLH FVLGGEQTEWDVGDVPPSPGHLDLGIRN LY89DRAFT_349182 MKSLWPSLALLFVLIATKVIASNDTYDYIVVGSGPGGGTLAANL AKAGQSVLLLEAGDDQGENPDELIAGWALLADGDPLMRWDFFVKYHSNETLNDEYKHL TWRTTDGQFYVGLDPPAGAVKLGVYYPRAGTLGGCSTHNALIAALPSNSDWEYIANLT GDQSWTPENMRQHFIQLENDHVVPVGTPGHGFSGFLDISVNTAEFLQNQSNAVEVFKA TASVIGENPANIFDLLTNGTDLNNDDPNRDQQLGWFGLPSHRDLLGRRVSARNAVFDV LNATNAGGSKKYPLTLSVHSLATKVLFDTSQDIPRAIGVEYLLGESMYSADPRYNASN SGITKQAFARKEVIVSGGSFNSPQLLKLSGIGPKSELQNLSIAVIVDLPGVGSNLEDN YEMGVAASASKNFTSIGPVCTFGAPGDPCLPLWYEGKGPYTQTGLGALMLKTSHAARD ERDLFVFPLPGGVFRGYWPQQTVNVVPSDPPSSFDFSMVKMHGNGRLGTVELASNNPR DTPLINFRFFEGPGADADLEALSEGVDFGRKVFASMAANGSDLAPFTEISPCTGTTEC DVKSYIISQAWSHHATSSCSIGADGDPMAVLDSKFRVRGTKGLRVVDASAHPRTPGGF PVLPTFMLGMKASDAILEDAESW LY89DRAFT_725391 MAVLLKDTPDGMGHAEAVDEGKDLDEVAVGNSSREDTAAELGIQ RLMVQDLAVVLEVQISAAEVQKRPGEQGWAGLARVYGRRTWWWRSWRRFRRHWQWIGV YRRWSGFWRRGRRRWRR LY89DRAFT_659779 MGSSKLSQPPAAYRDDPDYAETASMSSAVLLDDVESAFPEEELP AYTDEPSAPLVDPSCSSESAAPPPASEQAILHGYYCPPCELPFSTHDLSYTEYRTRFP NYSTDSNQLYKMIRHQAAHCPSYFVTIYGTHTETRRNGNKETKDKITDFHLRINISYL LGQIGSGKMELLADNKRGYRGTRLASLKPSVSADEENAPDELRRWCDRYVADPSGVKS FLLKRKILNHDTKKLEQLIRSAISETNYRGHVSIDFPIQHQKVLVYSPGRLNEWRITV WIRWFFYLTFLWIFAWPVLFFMTARYEVVKVNYLYATEESGHPARTCSVMSEVEWYYR WQSAIKRAALARMVCKDTCLDEEYRAQTQLADERGERVGREPDIPRTGNTWADGALGL LGQGLRVAENYSNARGWGADT LY89DRAFT_742357 MGTKRTASSMYKKSNPMLAAATLKSAAAIGKPSASPSKPAAKPL SKKHTIPSSDASSSPSDEDESSPSDSGPPRKKVKIATEESSSSSSSGTASPSKTTRLA IQEHSSSSSSSSKDEDEDEAPIEIPSTGVDSADDDSSSSTSSDDESESNLDPGITKFI EKNRGKKLVIREEDLRRAVGMAGEKKENVKPKLEKTHKRFLDMFAEPVTPDHVAGTKH LKEADEFLEKLGGEILNGGVKEKNEKEIKKADKKKSKDKEKDDTPHGLNSPSFFKPTP SSLEVTKEDLNKDRQLKIKQGFGVFKKWDGIED LY89DRAFT_600716 PIKLRLGVGSSGYSGLWKGLAEDLVRSTASDRKLGRFAVGWYEV DARPFNLLAENVIDISVTCHLLSQKTALAQGVASRRECCFRDHWVLGGPKRNPAGLVT DGSQSIFELLSTLFIAGICTSGASPSIHFLTKFDASAANVKESNLWSTIGQAPWSDIH SSWYHRYVNTSPKALEVAAQMQEYTLIEGGTWLAADAETRENMMVFKRGGDDEKDPLL CPVHALVGTNATNKELAEEFLEWVIEAEGQKLIQAFAINGIPLYTEAPVSLRRLSWFY HHSDMDLV LY89DRAFT_765531 MHLVVLGLVSVDPEQHLAISSDKDINAQDSLGRTPLHWASALRE EMSLLLSRSLLDANASTTIRDSRNQMPIHHCAMTGNAEVMKLLIVAAALRTGTTKTWR PRVL LY89DRAFT_765537 MLSNLDLIKQCDKFPYRHREPELYESNVASYYKFQVEGCDAVLG WLLPSTVSKIAWPSFWIVSHTVKMVLLTGTSIAVRDARMAETLLAARGNFQVLQKWRN ERFPVYGPGRQLLLSIERAASPLFGIMIYGVHMSAYVAQRAANKQTYPGMLDNTVGGG VATGEMPFESIVREAVEEAAIPEGLVRQNAKAVGVVTYFDVRDERAGGETGLLQPECI YVYDLPLPASLIPRPEDMEAENFKLMDVAELQTAGKFKTNCALVIVDFLIRHGIITGE NEKDYIEISARLHRSPEFAC LY89DRAFT_600623 MKAYIALPAVAALVYRAYSRKSLTPLGIASAGMTGLAHALHPFS LPFALLFVFYFGCMRATRVKHEIKAKLTLNAAGGTGGEGTRIHTQVFANSLSGSLLCI MYALHYYLDVDEKPRYGQCWTWGAGASTQDVLLVGIIANYAAVTADTFSSELGILSTK PPRLITSRTFKRVPHGTNGGVSSVGTLAGVLEAFLIAVATVILLPVCDGWSAWQNLFC LCTVTSCGLFGTLIDSFLGGCLQETIIDSDTGKVLEANGGKRVTPPSHHIHRMAFFFW PPTLFLF LY89DRAFT_725394 MPLTKPRPSELRKSSSMGLFKHKDKTRTDVVSEEPSSNRTSTLS PGQAPQHNNSYHDSAYYSNSNASSADAGSSAAPSQPPPQRQNQPPGTTVTTTTTTTTT TTTVAPDGTTHTYSAPYNPSTDPPPQASETTVDKSLPANAGTNTGISPNPPSQTQNGQ QMYNQAPPTIKQTNPTPDQNQSAFQPPSRRLTPKQTQPQYAAPPPPGTLQPQHSGNQA YNGQSAGTQPTYQAYSRPEEDVPPVPLYKTASGGTTSGGRSIPPRSELRNSPGHSPAN TTPSPINTQNTSIDSPPMPLRSQKRNSRDGLVAPLNTNPVSELPGNSYHEQPLTPTTP TNAARPKTPNFSRPGVHSPSGSTTNLAQSNMGNTTTISSGVSPVATTTETTPAKEHKL ERKRGSVTAAIKGLHGAGEALRGTVNSTIARGMHDTAEEERMRAVRDKGVGEWKGSGL SERVPAGIREGFREKAGDRLTRRRLSQGNGIHGSEGPNGLDPVEERSIGD LY89DRAFT_677392 MTALDIRVPKHRKRGSRKIISTMSDWDIISQRDIEEEVATISED SFVIIEDNCKHPLGDHPVAAENESEDCTAERVSEWVETQRETKVKFETVPAAVQEETQ SQTPKIQLVSIVITDLSDASDKPTDGLFSFKQHPATWFSYMMRAYTYQSLDIRIMQSV GRIYNRFRTSGILQLIVWANVCEWTSLEATFWQKIQQAASTMMEDQVFLVNLKGDLQL FQEKSVLDEKATKDRDGHISGGEAYCLIDKSFGFEYMSFEDGKAMATSIKRFRMA LY89DRAFT_677393 MTYFPGRVQFNPTSALSIELELMRKRKVGHPESLIPAIQINSLS LYIFYRRSQFNTYSTMSDWSLVSAGSKWDANGSPLSSDGFEIISHSQDKSNANLGGNP SSKSKSIVAQWLKSQSQIAVGPKPSTSKPTRVEVIFTNQKPKKYRSAANTRDMVSMAD HPSTWYGTIMGNRFKNDPSAAATERMLHRALASQRVPFLVSAAIWCYILQRTAAYKEH GFYAATLKAIHTFLEAGSKEYVVLIQDEMDAIRGAIDMGNREDGELKSGNVIRHGTCY LTMGPEASFQWLAWEEGKARYLAVSS LY89DRAFT_742366 MASWGLISRTKHLVKEATAQAINDKSFAPLTALALFEKPKVEPL SEGWQVVDNNSVEARTKRKTAKPPIDIKTETSTSRSFLHVVFPLENLSDEFEQVQFER FSLDQPAKLWYRTMLLNQPVDTIDTRVAESIAEIYKDHGVEGILQVILWATAYRFQSG ESVFWRKVEELAGEYVKGKHYLVLVEGCLLELQRALGGKPTFYDGTPFIVDGECFFEY RAGRGLELKSYADGLSMLGVDDL LY89DRAFT_765546 MPSWPLVSKTITAIARKAPVLSKNGLSSANNKTEEALSDGWEMV EKTPSLTDVAGRIITTPVRSFYYATIVLDNQSNDFEEVRFEMFSLEQPATKWYHSISA GLPLDTVDERMSASILAIQKEHGSKGLLQVIMWATTYKLQSGASSFWRQVEGVASDLL GRRQQYLVRVTGNIAELQRAMGGNTCFSDGAPYIVNGECFFSCWPGKGMELVGYTKAL SMLGLEVDN LY89DRAFT_742368 MAQSNLDLKTKEKQEPISISDDWQLVDNPSPKIHTQTMDDAADS HPLGRFKYLTISLGCHINQLEPYIPAVFSLSQPATSWFRTTHSGKPDSSLEHRIAKSV AQINRVDGTAGIIQVLLWAVTFKAHINESSFWRKVEEFSLELLDGNYLVLFNGYLDEL TSVNGHDFPAFVNDGECFYLFRMGKGRFGRRFGPIAYSDGLSILGLRAI LY89DRAFT_349297 MGDISPRREHLLVLIPYAVDDILDELKKKFPYVKITVHAQQRVD RKVISDPIAEDLWKDITILVTLFTLPPDPSFVPNLHLIHVLSAGVDRLYSSPFWNETD VALTNSSGVHGPQIAEWVILQILSHSHRQKLLLELQRQHVWGSNKVPREQQDGVGQTF GVLGYGAIGRQAARAAHALGFDVIAFTATPKKTPESKRDHGYIVPRTGDPDGSIPSAW YSGLDKASLHEFLSQKIDVLLVSVPLTPETTHLLAKPEFEILGENNAFIINVARGKVL EQNDLIAALKEYSESGGIGGATAGAGGLRGAALDVTDPEPLPADSELWDLENVVVTPH VSARGSSNVQRSFQIVEQNLTRIAEGKSLLNEVSRRKGY LY89DRAFT_677397 MALCILKYQFNTFRRPATGQPFNLEADPLYWDLSPLVDGSFSNT VEDGVLKRPKPATSLLVAIMWSAIIGKRNPNFWTQVRDRACTFLFNMVNAPSKYLVWI QVIHREKTYFEDIEPHDGGTFFTVDNKENVEWIECAEGLTMSGQVIGELPPFYLTFIR ILFIM LY89DRAFT_742371 MASRTSEDRALAILKYQFSTFAIPPSLYNSSFSMADDPRLWSDL LGNNGILGQSMQDNFANQENGAANLLVAIMWSSALEDENKFFWREVRQMACMMLFRME GAPAQYLVWVQGVNRSDSRLQTAGITLSYGAAFFTISREEKVVWLPYADGIASSRRVI GELPPFQLRFI LY89DRAFT_742372 MALAACEDLSLTADKDQISPAGANLKLKLTQTPSNISSSTSSPF SAASFPRIRARAQGEMALAIIKYTPFPRSRNIGEFTMADDPATWSDRYGEVTYILADI ARSPNSASNLLLAILYSSVLWKRDRHFWGVIRHWACGFLFSMVGSPAKYLCWVQGIDR RSAYFKNVELTDGAGFFLINPNEKVEWVPYDQGKAWSSQRLRQKTVSYGFLQSIWGLM LLLVALVMPYHIASVESGMLGSAFCGKALSSLVRPCYPLRFSDHSFGATPEREGVGGA LY89DRAFT_349327 MDHRFSTLFFQPPRTPPSPEDTSMSGALPDPNTLGKRKSTTMKQ LLKKLFGIPRQTTDPLIKTAEPVPSALSQQVWSEFSSITKTPSKASSTLKKTSTLKKS PRTSGTDSNVVVAPPGQPLPAFKRRDFFHKSSSSSAASISSSVFSSKRTSTAANSVRD SKFSSISSLPSLASLALLPHPSRTSSPGPSLSLSVDELALLQSLIRKIARQLKKQEYL TNHLAGNLVSLFGRKEAQIHSMKRLRVQEREIGAREVWRVKEVVGRGFLRRGVLVGRS EGALNLLRTALDNATRAPSTPQSTVTRRSSSSSPSTLSSASYTTAPSISPRSVPTFTL PLNTLADTTATLSSDLSLHSILNAEFKTTIYTSCLSSRWNGLPGGGFNGNVKGNINDR IMMHRFVSFVESRIQLMEECDVRLVVLVGRVEELGKGRVVEGK LY89DRAFT_691365 MSTRKRKQDEELVALPSDESEEEEEYEDSEPEEAAGDESEEEEE EEEPEDDEEPEGMYTLFLCAILYFTPAFLSLFSIPRIKVV LY89DRAFT_765562 MAPQGAATAVQIDADEVYGFELLRRAWADMLIRSQGEGSTPFAD TFMIPTIRTSRRLYNKIAKMEKSFGKSIFDDLELLTASCKFSEEITRARESNIRSFLP STTSSALARKLNDLELEFLAFLDLIHDKLLRKEMGVRLESLTRIYQRPTNIIYCFYYG ICKFSRQGKWEYLLLTGKDLDKALLREIACDIAPEIPKVIPSVIGTVLQGHIGENKWY KTALDATVDKIKKQYGKYLRAVPCYIASRFGERVVQDPLSVFHRRVEAAKAEAHNLLT AMQPGPTEQTMQALCQIFSGWSIFVAQHYGALLKDTVEQRQEQIPALYNGKTKSILAW PELVKEYNPRNWREHWPARAEEVATSEQRALRKESLQRRIPRPFTFVADCQGKENSVH PEGLLAEASGGLHFDPCTDRELDSNTEDEAPHFPEPETEPYDRAADLALYAKIKAGFD PAGQAFEGTMSSLSSSSSYLISTPGSSNWEDSNAYETYVEYMEIDKAAYVALPFPNSH NSAPCSL LY89DRAFT_742377 MDAATDDHAHQPPQGDPTGASKNIKHLTALEIFVAVARDFRDSL PSKEQKLFQTFQTQQSMVEEIQKDVRTYQNSRKLSLLCKKVERFSTPLAPYFEVVSIT IQSNPEFAALAWGAIRLLGSNYTIFLEKMINMFEEIGDRLPAYGEYYELVRKRKEATN DNSTGKLATETSNDRLCKALSYLYADIMEFCQEAYQLFSANRKGVRHNLKVISNLFWK PFDTRFSNLLGRLKSHQALFRNEMQPEESRFLQLQFARRESEAQFSKDILEDLRMRMK KIKTENDEK LY89DRAFT_349426 MQYDSCVVNIKEWINSPEYMREFENARGKRLPDTGEWLLALPSY QSWKMFSYDSVSENKPLPADLSRSILFLTAKPGYGKTTLSSLIIEDLRATSPGGKGLI LFYHFTSDDSMAATGSKAFRAILVQLVHENRIRKEIIDTS LY89DRAFT_742378 MEIAELQQDGLIGSHLELDNIVSTLSSRSQGMFLWARLMSCYLE CKALSPDEREEAIFTVELVEGLESLYQKILFMLRRGYRKSGERLKRIFSLMSTSTSRL TVTQLRIALAIKPGKATKQSQLIENLERDLPIICGSLVEVYHSRVQFVITTPELRFIT EPFFVDRSQAYQENANLCLSYVIYDIPKGPLGSTRAKEETTKDLNNRFPFLERSLAWM QHATASLSERSNLYGGNNYEKLRIQFLSLLSAFMDDPLSVTTWIEAAWSFNSKPVIDC LVYKLSPADTMDSTPRVLEDATYKKLGDFGHDLRKLCDEWEHLLKHDPGSIWRPSITA FTKSSFWSNTTDTIVSSLAPEDVMSSSRDNTSSVSGHAILVQSQISHQTGVLGIVMVL PSRQVDHSYMALFKSLFPSPREKSTLSPLTEAEESNLIQFASKGWSIKYQQRSIQTEG LILELEHGLCEDQVQELLTQSIASGQPDRFPFPIAFSKSLNRLLVLRTLLTLDINTSD GYCLKAQTFQRDPKNTHSYCSIFSDSEKALAFVSGRTSITQVMQQNVQIWSEEDDSGK DAEFRKRGTVTVSWLNPRESSSSICTPGFIFHPTLPLIVFAEWTHVSLWWYNKHKRSE RTPIISGPASPLEFVDKCVLLYTIWIQEQDRLTADEKKRSQRMKDKGPYSDIDYDDPA SFDNCAPSVQSFPAIDLTEVINSVISVLSISSLTTLPAASTPTLSTHSTTFSSKISGL KLWQESSKNAVILEIGEDDSSESCLLSHLPRLTSGEKTFISLLGFMENDRWLKLVWNK GPQESYCLGDTPHPYLPSIISRKPESIEYEDWGRKAIEGARPPLKALLANPMGTSAKE QTEQGRQWSTCSNCRYACQAHGIGICLNNLIQNEEN LY89DRAFT_691367 MGESALSPRSNFPVKPIANPQAIVGGGSHKYRFTVLTDGLLRYE WAPDTQFEDRASVFAINRDLPVPEFRIINKNGILEIITQKFHLTYEEGHEFSASSLSA GVNGNFSCHSSVWHYGEVCGNMGGTARTLDEVDGRCALGPGVVSRNGYTTIDDSVSML FDGYGFVATRRPGAGRVDGYLFAYGHDYAGAVKALYALSENQPLLPRWALGNWWSRYY AYSDKEYLTLMDKFEEEKIPFSVAVIDMDWHITDDPKVQASGKTGWTGYTWNKKLFPD PKKFLSALHEKKLRVTVNDHPADGVQNYEDLYPAMAKALSHSTENNDPIHFDITDRNF LNAFFDVLHRPIEDQGVDFWWVDWQQGSHSRIPGIDPLWVLNHFHFLDNALHHERPLT FSRYAGPGSHRYPVGFSGDTIVTWDSLAFQPEFTATASNIGYGWWSHDIGGHMGGVKD DEMATRWLQFGCFSPILRLHSSVSQWTRKEPWTYGVEAEKVMTEFLRFRHRLIPYLYT MNVKAATEGIPLVRPMYWEYPEEDEAYKVPNQYLFGTKLIVIPITAPQDPKLRMARTK GWLPPGRYVDIFSGAVYEGDRFLSLSRKLDQYPVLAKSGSIIPLDASTEPGNGGENPD SIEILVVIGADGEFELIEDDGTGSSAKDCTFKRTSIKYTQADGTLSILSSVGHSEDRV WTVRFLAFRDLNIRGKQEHFKRVNLGKVPADVGAKITLGPNPELIQNDVEAFIRPILV DAQIRFDLKEDIWKVVAGKAPVTQKVSSLLALEMSGDLRDAVLEYVLA LY89DRAFT_711852 MFTETSSVMSVGGIEIPSLNGKTCTVHALGALQDDVPNILSAFT TCNNGGTIIFPSTENYYIASQLHPILSHVTIEWRGTWTLSDNLTHWRDTNNTIPIHFQ NHHAQFTISGDHIHIHGHDTGRLDVNGNAWYDAEQAVTQPVRPMNFVWWNASEVLVEH FSVIDPPLWSINLMNVSNAWFHDIYVNATAVNAPYDALWVQNTDGFDTLDCNNVKLTN FVYQGGDDCIAIKPRSYNTYVQNVTCHGGNGIAIGSLGQYQEDSSVINAWIKDVNILI RNTDMHNSAYIKTWMGASLPQSSYNSAGLPNGGGWGVVQNLRFENFWVQGAALGPSIT QDNGDNGSYAGTSKMEISNIAFVNFTGYTLATSNETASISCSNVHPCFNIALQNVTLA PSKNGTEVEAYGSCDYTAKNGVTGMIGHGC LY89DRAFT_600512 MKISGWGGAEIICLENYGIELAVVDPAIYGYGGKDWYGKFGLML HTAQELNMIVDFALGPTQGASIPILDPDSPGMNTELAYGAVNLTSGQAFNGTLPPPKN VDAGYANAPDFYPPFINYTNKFVAAVVARKSTTPSVDPRVIQLDYDGVQDITHLVVDG KVTYIAPNDGSEYVLLIFWQRRTGYLAAQGAFNNATSPDNPASWFAYVVDHFSQEGTD LWTSFTEKYVMGGENGELLRQLGVFAWEDSAEFRATLFWTDKFQTYFQQSRNYSVVHS LPSLFGTTGVPPSTLINGYYYYSFTDANGTDIGEKLRNDYRQVLQELYEKFHLDGLSS WSASWDLQGSVQPYATAPNLAPPWDMTSAAAHIDAPETESNYFDGIIDAVRAMGGGAM MGQKQIYSSELGAHRYFAYAITWPWILNDCKVSYAGGVNRIVSHGYPYSGYRPDAEWP GLTTFEWHYSEMWGPRQPSWSHVREFGDWIARTQLILQTGVPRVDLGIYRHKYISVDI KHYGMPENIFGHPSLANNGFSDVSVSPSNLIFDNAVVTNGLLADYGPGFSAFIVDNST NITSEALGRFFEYAEEGFPILFVGGVPEETPYYCPACDQDVKAGIESLQKYPSVKNLS SESEVVSTLQNLNITPAAQNLQPCPIIYVHRWDEPNDVDYFWAYNSDIYNDHATQVSI KAHGTPYNLDAWTGVITPVLNYTIEGDRYTLWIQLRSNQTTIIAFAPKGFFSNVVVPD VHVVSTAVQFLNFSASNNQIIARDTRDTDHAITLSNETIVTLESARELQAPTELSAWN LEVQDWQPGPDPKNNYTSLITHHYYNLTHLLPWANITGLKNTSGIGTYTTHFTWAPDA ATAGVYLDLGPVLMTIRLWVNEVWTGPIDVQDAVVDITPYLFEGTNHVKVEVSTTLRN RLLQVNVTQSWEQATYSASYGTQIYGLLGPVKLLPFIQTEIPL LY89DRAFT_711854 MSVTVMTDGNKAPKRPNFLLVVADDLGWSDVGAFGGEIQTPNLD KLAHNGVRLTDFHTASMCSPTRSMLLSGTDNHIAGLGQMAYWGDSDSPWKKLPGYEGY LNDRVAALPELLQDAGYYTTMSGKWHLGLTTDRTPHARGFDRSFALLPGGSNHYAYDP VHPDGRRVFAHWASLYAEDDKIVDPKTFPADFYSSDTYTSRLIEFLKEQKFDVKKADA PWFAFLPFTAPHWPLQAPAEVVKKYQGVYDEGPDVLRAKRLQSQIKLGLLSADVEPHP VVATDKEWSAMEADEKMWSAKTMEVFAGMVDRMDWNIGRVVKYLEETGEIDNTFIFFM SDNGAEGAIIEAIPMTGDVIKQSISKHYNNDLDNLGNADSFIWYGPRWTQASTAPSAL HKRFVTEGGIRCPAIVHYPQLFGASKDKISGAFTTVMDILPTILDLAGIPQPQGHFRG REVVPVKGKSWVPHLSGNTSKVHNEETVTGWELFFHQAVRKGKYKAVFTPKPKRPEKW QLFDLEKDMGEIHDLAEEKSDILDELVKYWMIYVAEVGVFLREDLPEGYVLPKQ LY89DRAFT_600299 MVSFSSLIISLSAVAGALVLPNELAKRSPGELVERQAITSSSTG TKDGFYYSYWTDGGGSGTFTLGSAGQYTMTWSGEGNLVGGTGWMPGAARAISYSGTYS PNGNSYLSVYGWTTSPLVEYYIVESYGTYNPSSAATKLGTVVSDGGTYDILQTTRTNE PSIQGTSTFQQYWSVRQTHRTSGTVTTSNHFNAWAALGLKMGTFNYQIVATEGYHSSG SSSKGTGSSGSGGGTGTTTISSTAPSSTPSSPSGGGSGTVAKWGQCGGTGWTGATTCV SGTTCKAANAYYSQCL LY89DRAFT_659797 MSISISWIWRSPLGTIFKALVLSKLAIAGHYPLSVVNTDVAILG GGASGTYAAVRLREDYGKSDLLIEMEAVLGGHVNTYTDPETGEAFNYGVQSYIDYKGA KAFFERFGIPLQPNVLFSSNTLDVDPNTGKLVNVPVGPPLNSSVAALQRYYDVIVPWN DILLPGYWDFPPGEQIPADLLLPFSDFVTKYELEDMAPVLAVVSGQSISTTNPTLFVV KNFGTPVVEGFLNNTFFDPVPFNNSLLYGDAERLLGESVVLTSTVIEANRSDHGVRLV IENLQTGKRTLVFAKRLLVASQPSIENLAVLGLDKQETAVFSTWSYGTVYTAVLKTNL IPDNTSVAFVTPANSTSAQKPYSFGITWNGAPGYFWIIFGSEESFTEVEASEAIVAEM KVLYNGGAFPPIGSSTPSSEVVAISNHSSVTWGQSVAQLEAGFVQDLYALQGHKSTWY TGGLWCPDYSSNVWAFTDTVLPKLLDGID LY89DRAFT_659800 MEAISAQILALAKTADQAGRRKVLDTLKSLQNEIETPYDTIWRL GGVQLQISLARVGIDLGIFEALKASEQPLGVDTLAEKNGASPELLGRILRYLASQGMI KETSKNHFTTSNLTSMLADKNYQGGIYHTFDTVGPPMAALPDFLIDTKYAAITDNTKT PFQKAFNTELPAFIWFPGQPKLFEHFQRFMTVQRAGAVSWLSVFPFKSLLGDFHGKTA FVYVGGGFGHQCIAIKETFPELSGKLELQDLPQTLQHVPSIDGVSVVVHNFFEPQPSK DAKFYYLRNILHDWPDDKALIILKNIIPAMGPDSRILIDDMVLPNENVHWHATQQDLV MMSSLGAMERTKDQWYALLEEAGLKILEIYEYTPLLNESIIVAVPK LY89DRAFT_789328 MPVPRLAILKSKMSSMLKNQTRSTNSRDGGSRETESKKTGFSDL PYELRRKIFLLTLPEPRVLQVTRKKQQVPPQTNRKTTAKSQSASSPEKYTVNPSSYGL HHASALSINNESREIALSKLTKVFGVYWNLDIDWPYFEITHDAHEDVDLLAEVRKAGY LKLFKNIAIDRMLWNWDGSTNTMEFRVTFGHRFHGYEHPLETLKHLPNIKKCAIVYTE HTLQKDILKPYAAWNLGPPSLETTMWIVGKMEPVNNWDEDLEQTVSHIEQNIETRKGK VEFGNDVEFEVMSIHGRAREFIPDPQRKRGLSGWQMDWFG LY89DRAFT_742387 MPRVTNRRITTSTSAIELPDDIFRWSLLLVDLAIVVLMWFNIIY LVVKARKQPEIYSPLKNTNLDHLLSTILYTGICTICYIGAYKYTARKVPRLETSYDKE KWLESIETTFKEMSRDKNIYAEGWKPSRYLQQDMKEFASSVTEPRNIWGPFSKADEVV NRIVSVSYVVMSSMEIYRIRCQYDLEPSLPLANDLRLGIVCQGMHLALIFWCGYTAGW RSEMRSLARRLRVKIDDTDLSLEWWKTNLYKHIRREQRWIITLGVSE LY89DRAFT_789329 MTQVTPLQSYLRPRTSRILPLWKLSYLLQLASPVLLLGLAVYSF MTHTPAPNAKFTGEEFLPVVLIPQPLIHFSLLYFTDFTFKLPRLPENKNADEIASLVE TYIDPKRGTAFLSTRRQQIEDFALYLADPNRHAGNLFSRTRNTVLGSFYLALFLFTWS MLYALDICVVGGCNIVWKLLMWTEFCLMTFSVLIYAIETPWYLHMGRLARNIRMECMC VHSEKAILGKESLAEIIERLLELFEDENVDRDERRNVIRASIRDDDRQGWGVFGRGEK RVLLV LY89DRAFT_677413 MYNLPAPVVAVWLALWIALLCIRRELPIRHFQLLISWSIFYFST VNAVMTRTDWRQGENFSGMMFSCLAALFNTLCHFYNFEDPHPKFALAAGSWEGLVAEG AREEGDGEGSPRVNVCSPIVYAVSDQSIAISRSSSEQLMVSAMDQLGTGSPR LY89DRAFT_789330 MPPKIPTHVPPINPLKNRHQIKNPPAIKNRQSTPARKPRPKVCP NKQCNSPNIDDGICTDCGYILDDSNIVAEVQFGETANGAAMVQGTYIGADQGGAASMG IGGRGDGQNNRQQTLANGKALMVQLMHQLNLNQPVIDAGHQIFKLAANANFIQGRRAD MVAVVCLYSACRKSRPCRVMLIDFADKVNINVFKLGATFKALHKAIPIAADGIVPVLP EDLIHRFAQKLEFDDLCDKVAEDAIRMVKRMSLDWMVMGRRPSGVCGACLILAARMNN FRRTITEVVYIVKVTTNTIQKRLEEFKLTPSSALTVEDFLHNEFLESAHDPPSFYEKT EEFQKTKKRRKRKGHEALDNEDGSSSENGSPNKRQKTATPGPDQHIELRRDADGFAIP PIPNQANNTPAVVNDPESNIDPSLRSILSQTNSSSPPPAQSADTAPSRTSPGISDQLN TPPSTQSAEPLLSSTSSNDTSVQSNITPPPSQTESGQPVVGGEVPDVPDDVLDDQTET TFEKLVAAFGDGDEDSDEDEEVVQANPRSGKRGPDHRPIRVDPRWASVEEELEQEIDE VINDPNTIEHAERYAIAKQRAAVHMIAAAKNNPQKEVSMDVHIGEDEFKDDPEVMYCL LSAADSAVREQVWVNNNKAWLRKQQLREWERKAAENGPPKAKRNRKKKPRMGENQTSA ANSPAEATQEALQRHTYSKKINYDAIQGLFDTSGFLGGGLGSAATSRVTSQAGSELGS DAGSRASSVAPSTAGGDDSEIDTDPLSLFPIPKYLQPGKRYRNPNPKRQASRSASRAS PAPRTLLPRPSAEPESSRSSPAPAPEEEEEEDMDEDSFDYVSTAKAAPARQEEVVDDW KAALKAPKGDVVSPDADDDAYEDEDNYDMGDIEPGGLGDFDDEEEPGGFDVDEDMADY Q LY89DRAFT_691370 MADDKMKGMEHSEVHYFNSYNHHGIHEEMLKDEVRTRSYMNAIV QNKHLFKDKIVLDVGCGTSILSMFAVKAGAKHVIGVDMSTIIDKAREIVAVNGMSDKI TLIQGKMEEVELPFPKVDIIISEWMGYFLLYESMLDTVLYARDKYLAPNGLIFPDKAT IFMAGIEDGEYKDEKIGFWDNVYGFDYSPLKHTALTEPLVDTVEIKAVVTDPTAVLTL DLYTCTTADLAFSSPFVLDCRRDDFVHALIAWFDIDFTACHKPIRFSTGPHTKYTHWK QTVFYLREVLTVQQGEQIRGVLENKPNEKNKRDLDVKISYQLQTDDPTRQAEGSCEYK MC LY89DRAFT_702140 MATGIPSQTAQLQKQANMSTDSASEAKIKELGIQSKFDVKEGVN LSGEQRVIVGSILDLFAGTPTLPKLSLWLDSATFSDPLTKAEGRKQYAAQWYGLAAAF SKIEQLHTQVTSAGNPIEMDLKTRYTVKGIGKETEIKSLIKIWTKEGGSGQGLRVEKV EDRWDGEIPEGAFAKVFRNLNSVVVPAFVSVPKSEEEEAKKST LY89DRAFT_677417 MADQILAASNKHPKPVDRVFQYGTAGFRMKATLLDSVVFRVGLV AALRSRKLGGQTIGVMITASHNPPEDNGVKLVDPMGEMLENAWEAYSTELANAKDEDV PKVYQSLEEKLKINPETPARVIYARDTRPSGPKLVQALVDALEAAGAEYTDYKLLTTP QLHYLTRCTNTEGTPQSYGDVSEKGYYEKLSEAFVRAMKGKKASGAVTVDCANGVGGP KLAELLKFLPKASAGGVDIKIINDDVLKAEVLNHECGADYVKTKQRAPPSSKAGNNDR CCSLDGDADRIIYYFNDPEHGFRLLDGDKIATLAASFIGDLAREARLINGLKIGVVQT AYANGASTKYVEKQLGLPVVCTPTGVKWLHHAATKFDVGVYFEANGHGTVVFSQQALK AFHTKEPESPAQAAALETLRALSDLINQTVGDALSDMLLVEIILAHKSWSPREWDLTY VDLPNRLVRVEVGDRNLFKTTDAERKLVEPQGIQDQIDTLVKKYKDGRSFARASGTED AVRVYAEAATRSEADDLASKVSSIVKLEGGSKQ LY89DRAFT_691372 MPSDEDCANPMLVKIMKEWWDKARDRNSKGQLSYKKAYDALKGC PLKFDHASEATMLSGIGPTFAKKLAEAVEDHCRKNNLPIPKKPRKKRKLNLGEDGDTG ESASPSPKKKRKPKPYVPALRSGPYAIMLALSTLDEDHAGIDKQRVIALAQQHCDSSF TVPQDAGKFYTAWNSIGTLKDKDLVSEKGRPTKKYALTDDGWEVVNRIKKASDPSQGR LDTFVGAQRATTDNDDDSPDVAGSPIPASAPGLPIEPSMDSATADLIPQGSVVANPNA LPTFSPIVLEPGSFTVELVLDNREIFGKNDRDYMEKNLTAKGVIPSVRALKLGDVLWV AKMHDPGLLNRRGLEGDEIMLDYVVERKRLDDLVSSIKDGRFHEQKFRLRKSGIKNAI YIVEEVTTRSDSITNMAEAITTAIASSQVIDGYFVKRTLKMDDTIRYLVGMTKLLKQK YESKSLHVIPTSVVTVNNYNPLLAHLEKKEPAIDYHLTYDAFACLGSKSETLTLRDIF LKMLMCTRGLTGEKAIELQKKWKTPIELIEAYRKIEDVHGSGEVGKNRKWDMVSKAMD HPVPRKKIAKALSTKIAETWGDASTAMA LY89DRAFT_765574 MAAIQELEDLEKVDESLPRIPEDITLRTLSTLNVDHLKQRLSDA GVKHQAKILKAELQALWAFHTMRILGFYEKPQEAELFVKTVRWFKIPVSQVLVDVKSA GLGKCANKWDYIEALIRDGYTPPDPKLETKVVVQYRGVVEDALAELDISNAASTPHYS VVNLLLSTVYSDVANDGTFSSVDLVLPGCTFPRDDLLKHINQLPLMTSAHHLRESLKV PRSPEFDLLGWLCTELGHIICPAEGNLKIAGLPESAHQFILEKPAQTKLDSFEKNFKQ HYDNSILLFHGTCLKNLRSILRCGFQPARDRRFGAGLFMADQPFGSSYYAQHQSQAGR NLSHEWTNDLYTNYGMLLCCEVTGNGRFAEHEGAGLDRYDVHVIKRLDSIMIRHIVLL PYAEMYKQCIEVKLRRSMVEGDMLKAFKSIRAKQIGLST LY89DRAFT_691374 MRASILLPLGALFSSVLASNYVVHERRHENTDQRWSKVAQLRGD QVMPIRIGLKQSNLEHSDRWLMEVSDPKSPRYGQHWTAKEIVDAFKPSVETINAVHTW LNDSGIHTDRHQLSEGLGWLQFDASIEEAENLFQTTYHLFEHGRSSTKHVACDEYSLP SHISPHIDFISPGVHFDAKTKSDTDQYFAADGTRLLTKRSVVERKMKRGDPRMSHKPS HHMPKPAWKPSGPYEAQICNTSFVTPACLRLLYGVPSNLPAVEGNSLGIVEYTPESYL PSDLDLFFEVYEPQAVGERPVFDSIDGGFLYDFNLTNVTAFNFLGEPSLDLQYSMALV YPQDVILYQVGDLAEDNLTSFNNFLDAIHGSYCTYDGGDDPEFDAVYPDPYPGPDSYK GKEDCGTYAAAKVISTSYSYSEIELSPAYEERQCREYLKLGLMGTTFVYSSGDYGVEF DGGGCINPNGTENDGNSGIFVASFPGGCPYILSAGATQIRNGTYDVDAAIKAGEEVEV VMELYLTPEGLVGIDVPPGANTSDQVIFSSTGGFSNVFALPEYQASAVKEYMEKYAPD YPGQYNNSGTVRGFPDIASNGAWFSIAVFGELSQVFGTSCAAPTIASLLALINGERIK AGKSTVGFVNPTLYAHPEVLNDITEGQNPGCGTNGFSAVPGWDPTTGLGTPNYPKMLE LFLSLS LY89DRAFT_789336 MLIGHYVCVFDPAEAGLSKEKEKANPIDEEVLKILRRWVRCPEN TKLWGEAFQKLVLSMSDQQIITGIALMVSAITRLRCGISAYHWQITIYLVWFSSFTHL ATLTFLRGYLHENSPMRVWRFCFMNILILLLLAALVPTGNRNWLPDEGPDLTGSPAWC LFKYHSRGVNVTSFTTMLFSVLVLCISYATRAIKLFQWSSKYSRLILRTKPGNVLKSH IQKLYRGRENAKTKRWIFSIPYNILSAVLLVVRSWMDVLESMLWEITWLLIALIWGTL RLFATRNSTIDENYNILEENSWGFGQCLPTAMLLLLLFSGIETYIETKRAPAEASSVS ASPRVSSLSIDTGGNKTPVISASAESSPFHSTSPHDEDITDQEAAETRLDQQLGGVVR SATTLSLEERSLGSLSFCQIMSRQMDSNINIGLNQLSRVDRAGSLRSRLRLRYFRYNI GLYR LY89DRAFT_789337 MMAKCIGVGDANPDIAGIGILLSFCIQAAFTFVISIVAFAFDLN YIKLRRLRARQAMDNGGVALLEMPKIWHRDVHTWYQLQETTKALLEKNSDIQTATGLA LLVSALAQVKTLSFYHMHLVYDTVSLVAISNCAALVSSLDSSVAGTIRYLSIALWAIL YLTYTSLFGVRLQSWDYNNPGHCYNTHRLSTPNAKHPLVDDIYISFTCLYVFYTLIIA AFKLSPFWVYNFSFQLLASSIGQDLPKESRRKRSPKKLSKSKRSLKDLQDNNFLQYSV ISVAFLQFPLHAYSTFTLRRSNESLLNSSNVEQAWGFSQVGAMVLLAPNLIGIIIAVH KYLRWESREHGNIDNLSAADMKKREEDATQLEEDIGELRLEQEHKEGLRRVQERWESR FFNRRVLQDVELEPEGEQAQTKRVEQQGKSGGV LY89DRAFT_742398 MAKAGPSKPKTRSQTASYKLPATFDPTDFAKLKVAGLKARLDKQ KIFFHPGANKPELQATYVLCLMGVFVPNKRGDAALVEKVAGWCKMRVEALHDELEDRK LVKGANRWECIGVLVAHEMKDIGSEEGSEYEEPPKKKTKFTLRGRGKGKKEMDVEESP DENVKKSKTKKKRKQKKAVVEDESSDEDARETKRKDKLKKRLPVVVEESSEEELPSAK ANGKGKETELDKPAEQSTSKGTGKEIATPDSLAQDGQPESSTAIEKPFKHPTPAVMNL LISTIFADLAKPETILITAYPGIPCKTKDEVVNHFNTFQAFQTQDALSKILDKPSSDA DTLLSWIASNYGADIIPAEGDLKIPGFANEVTQFIIAKPRADLEELWKKEYRKDKETS ILLFHGSSYVNLQSILRRGFLQSVDTGHGVGVFVAACPTMSNGYATSGSNGYTHLENG TIATASPVARGSGWKMDPFASRRLMLGCEATGKGRPQATGAQEVHVITNMKSVMARYI FLIPESQHVVIPTRAQVGPTMITAFDKIRDGLEDEAPNEISPKLLPALKKKTTPDFKA MNLILSSVFSDISLHGKPKDCPFKTSNDVLAAFEHIPSFTSQKELEQILGDTSNPAHV GHDAERQRLWKRCFKIDSEKSMMLFHGTGLQNLQSILRIGFKAAGDTRYGPGLFMGLE LETSLGYVGDRKPLLQPWKSDTYHKRFGLLFGCEVTDNGLPLVHDYQDQDDNAVVINR LRAIVPRYLFLVPLGAEYWTTVQQTRMPPRNEVNDPMMKAFEKIRALIKEKSAGSSG LY89DRAFT_691377 MSPVHLVFVVLLLAVVPALTSDPPVVDLGYALHQATVSSENSSY LTFPNIRYAAPPLGSLRFSVPHPPLYNRSAGIQNGAYGKICPQGTPIWSNATLATSPP GPTESEDCLFLDVTVSKTTFEKGGAAVIIWLHGGGYIMRSKAVGGSPIGILDRSAEHA EGAVFVAVNYRLGAFGWMSGPLFQESGTPNAGLLDQRRAFEWVQKYIHLFGGDRNSVT VMGESAGAGSILHHITAYGGRQGPGKLPFQQAIVQSPSIHNPTKSPVLEDQLTRSFLD AAGVVTLNEAKTLSSEVLQMANKEVIASSAFGLYKFQPTVDGDYITDILGISLLRGEF DPTISVISAHNSNEGFVYTDPSATNSSALETYLRILFPLIKSEILTYISTVLYPAIYD GSQPYTTPFSRLDLLISEMMIGCNSRFISTAKGNQSWNYMFSVPPGHHGADVPYTFFD GTFNDPVTNATVAEMMQRFLVAFVSGGDPNAFLGADGLEGFPRYGEEARVLNFNESFV DVREDTMKNSRCDWWQLGLYL LY89DRAFT_659825 MRSSFLCLSLLADLATFALGATVVFPVKLTWEKGAPDGFERDMI LINGQFPGPALNINEGDNVEFVVTNQLPYGTTIHFHGVEQYNTPWSDGVPGLSQTHIQ PGNTFTYKWTATQYGTYWYHGHTQGQLEDGLFGAIHIQPKSGTPTPFTMISNDSNTLR QLNEQVNNPQIVMLSDWSHFTSQELHDVSIAAGIDPLCGDSILINGKGNVNCPGVPFL MSLVPPTITPLLMGQNLTDKGCLPLTNNLAQTSYPHNLSAVPPGVFSGCNATTSAGAT IEVDPHNGWVSLNFISTASIQEMVVSIDDHPMWLYAVDGRYVEPQKVDAITFSHGSRY AVMVQLSQPSQNYILRVAGDGLNQKIYGQAVFHYIGGKNINTPHPSINYAGTGTASNV TFLNDLNTVPFPSITPSQTVDQTYLLLLNRTGAAWQWSINDNTPFNDSLEDITPLLWN PNTLANTPLAITTKNNTWVDLILTASISGGLQPRHPIHKHSNKAFIIGYGTGEFPYST TAEAMKAIPGNFNLENPAYRDGFYTLPIATSSTWMVVRYFVQNPGAFLLHCHINPHLT GGMGIAILDGIDKWPTVPAAYGPGGNGS LY89DRAFT_349863 MFTGIVETIGVVSELNHQSEDGGTTLTISNASSILSDCTLGDSI SINGTCLTVTWFDKTSFKVGVAPETLRRTNLGSLKKHSNVNLERAVSASTRMGGHFVQ GHVDTVAMILKIEPDGNALTFRFRPKDRAVLRYIVEKGYVTLDGASLTITKVDDAGWW WEVMLISYTQEKVIIASKKVGDEVNVEVDQIGKYVEKAVEGYFAKGSGGEPAILEKMV DRMVERRLQATK LY89DRAFT_349946 MRLKRLHVPQLARFLLFHSSVVAFPRVIMTIIKFLFLGFCSLAA TSPSPSAWTTKLQSFAPMLSSMFPQGGPLTPQILQSFLEPNEANIARNSYVYRDGTSL RLLGDQWTASGANVYWLGLDENVTPPAGQPFYAPTRASYPTKGRITEVMATLVTMGAK LIRSQTLGVSTGNPLSLLPSPGNYNEAAFDTMDWSVFQARQHGLRIFAPLTDNYDYYH GGKFNFLRWAGLNISGTNNAKLPPDTLQFYTNDTIIASFKDYITHLMTHVNSYTGVSY ADDPTIIGYETGNELGGIVFGDKNVPVKWTREICQLIKTLGPNKLCIDGTYGINTTHF AVSEIDIFSDHFYPLNNTKLTADINQVESADRVYLAGEIEWTGLSGGDSLQSFYDTIL ARQNETKPVVAGSMFWSLFGHDVPDCNNRDT LY89DRAFT_691385 MILRQGVLPLGLLLLLTPQVSASYGDRLPEFRHCVDVCKQENCA SGHATAIPLLHRALLWDCPAECDYTCQHIITDQRVAASEPIVQFHGKWPFYRFMGMQE PFSVFFSLLNFLAHQNGLSKITSSIPASYPLRKYYVMLAYFGMASWVFSMIFHTRDFR VTEQLDYFAAGASVLYGLYYTPIRVFRMDQGGARRKSVLRAWTGLCIGMFAAHVTYLK WYAWDYGYNMAANVVAGVVQNAMWSWFSYQKYQKSGRAWATWPGLVVAWILVAMSLEL LDFPPWWGCLDAHSLWHLGTVAPTMIFYNFLLKDAQDDMAGQRLKA LY89DRAFT_349939 MPNLLRNFFGVVRRDRNIVLVGHGFGGLTALSSLGFDFQTSVIG ILDTANLSFELEMDRSTLGRLLGELECPKSSAKLHNAGNDANFTLRALILLAIKGYEQ QRLRTLMVGDEQVSRVLSLRSIAMTPLPGVRKPKEKRPRNKHIAKTWSLEKQEQIREE RRQKRITNTAVAFREPEEDPPEYDPADLTACSD LY89DRAFT_561489 MGNTKEEMNPYSLDTEVGPSDSREQLIVKPIHTWKGYIWDTWEL PKNQRWLLFKLDAFVLTFASIGYFLKNIDLSNVNNAFLSGMEEDLSMYGNQLVTSTSI YTVGYVIGQIPSNLLLTRVSPRWVIPALEVGWGLATICTSTVKSYQALYALRFLVGLF ESGFYPGIHYLLGSWYTPQEIGKRAMIFWLAGSMGTLFSGFLQAAAYTNLDGVDGHSG WRWLFIIDGIITLPLAVAGFLFFPNLPQSGKKTWWITETEHELSVSRMKAIGRAGTEP WTKAKAKRILLSWHTYLLPLLYVVWNNGSPQPAMGYWLKSFNKKPAPVPGRTFTVAQI NDLPLPTTGIFIVMAFVWAWLSDGPLRGARYPFIYAGAVMTLVFSIVMRQMPLYSDIH GRMIVYWLSQLGMGAGPLILSWINEICSADTEKRALLVAMGNDLAYVVQAVAPNFVWK TTDFPAAKKGYLWSIILQVLLVGVTATIQLLLWRDRRSAARNPSELSLHTS LY89DRAFT_600331 MQPLDHKASTSTITTTTSSSTDLATEKDHKSSTSTIVVTTTTCS SSDLELEKAPLQITHVLPAEKLDQRPFRQLRHVIFTVYRRLFTFVIIANIVALIIVDI RPRDTKFYFKAISSAASANLFLCVAIRQDYIINILFDVFAATPKSAPLRFRRILTKVF EFGGVHTSAGICSTAWFIRLAIFLTLSFENGSLRDVPILALTYVLLLLFSGIVIFAHP KMRSKTHNTFERMHRFGGWLCSVTFWTLIVLTARVMADLPGSKQSTIEVLIHLPSFWL LSINTIHTIVPWLRLRKLEATPEKLSDHALRLHLKANIGGFYTVRISDSPLSEWHSFA CFIDSKPVNGSTNSVVISRAGDWTSKTIAEPKSYYWIRGLPTRGVLYMSLTFRSVVVV TTGSGIGPCLNLLSMHASTRPACRVLWSTPKPLATFGQEICDDVKECDPAAVIWDTKK FGRPDMVGLTWQLLKESQAEAVFVVSNPKVTKMVVYAMESRGVAAYGPIFDS LY89DRAFT_742408 MPNLDGPQVWSFMVRTAQISIALAGISLVTSFHEDTLDNYNAFT SPNYINFLLAVFVFGVLAPLISLFILLETLSTNRLGARQNLIIFIFEMVLWVAWVFTA ISMLMRCLGKSCFKAYAGPCVLAFPISLLGFLEL LY89DRAFT_350129 MNGMDPINEKEETLNGLGAPVNVSEAKDEESLGGETAIEVKVDK HGLPLVPQPSSHRDDPLNWSPSIKLFICIQISWLAALGPMSCAVINPAFVPLGKAFHI TTLEASYTLTMYIVFAGVGPLLFTPFSNIYGRRPIYLIGNLISGVMNIVAAHCTTWAG IMITRAVTGVAAGLAIGGATICDLFFMHQRGLYMGVYTFALTNGPHLSPLVGGYIAQR LGWKYCFSIPGYVQLGTFVITLFCLPETLYSRQSLPTPHQPQKTYLDLLLFKRSILKD RKLSSADFFRPFYMLKYIPILIPGIYYMICFGYGTIIFGATGAQLFAKLYHFNTEQTG LLLSIPLIIGGLIGECNAGWVTDFMVYRYAKKHGGMRKPEARLDALWLALLTPVGVII QGVCLTYYKTASWVGSAFGMGIASFGLQVATTVVYAYCTDCYKPQSAEVSTILNVFRQ VFSSAIAFYAIPLANRISVEYAWLVFSMISVVAVLPMFALRIYGAKWRALPWQSPPTF HNDL LY89DRAFT_350030 MSVQRTTSRCTITKRFAQPGNNNIGSRYPMKSLILFSVTRVYLM PNVYCFLQNSIYQRRIMDNFQWYLQDLAGVAASSDCPTSGKLKSSQSCMPNSFSSLAF CIMFLLLFLVRYAFSFRRR LY89DRAFT_691392 MVFIRNIALAATTLLGLTSAAPALSARQASVNNTQEFYIKLVVT DGPTTYQEWALEAYHTGAGLADPVFTNTTGSKAFLNGTNLQFDLNGYTLGASANAGDT NYARWEPVTIGSGYGTGGWLNNGSAGIVQDNEEFDGWLVCEWYHGLNAPQLFQWIKGF DAPTDVFPSSCSRVILLPEYI LY89DRAFT_691394 MKRNVQQSEAPSEAEQSEVEPEPEPVKQQPKRNGNVRTQQQEPA DDTEPEPEPQRQQQKRNGDVKTQQREPAADTEPEPEQQQQQPTRNGNVKTQQREEPAE NNTMQQYQPQPETENGLVKHEPEQRQVQTQPRPKQKRQRQPQQQFNYEPEPEPEQPLV QQQQPEREQQNGSRGEGYRKSAVRESRIQDRPTPSSGASDSALKIKIELDLEVEVDLY ARVKGDVTIGLM LY89DRAFT_691395 MDLLRESAVGQILRYVTKNKVLLYPEEKEGFHWAPLEALLAQEE KPTEPEEKAVESESPDQNQQQDNEKGSEDDDNDKDEDIEDPTPFHMASIITHPDNEVT HTRTRGSEIHSVLSRTSTRPYTRERFDVEEGLSAEKTKSIAIAPTKTADGTILVDWYT TDDPANPQNWAASKKGLVLLQLCAYSLSVYGASSMYVPGEQGVMEKFHVGATPAALGL AIYVVGYGVGPLIWAPLSEIASIGRNWVYIPTFFLFVILSIPTAVVDNYAGLLVLRFL TGFFGSPCLANGGASVGDMYSLLLLPVYLSAWTAACFWGPAIGPLIAGFAVQAKGWRW GLWEIVWLTGPILVVFLFAYPETSADNILRRRAQRLRLLTGKTNIKSKSEIDQAHLSV SEILLDALIKPTEIMIKDPAVAFTNIYTSLTYGIYYSFFEVFPLVYPPIYHFNLGETG LCFLTIGIACVIGVSSFNIYQFKYLIPDIKKNGLRAPEHRLVPALFGVILLPMGYFMF GWTARHGVHWIVSLIGVAILVVANFFIFQCVFVYLPLSYPKYAASLFAANDLSRSLFA AACVLFSRPMFINLGVGGGVSLLAGMSCLGVFGMFYLWRYGAWLRSKSTFAVS LY89DRAFT_789352 MLPIKRRKVDEDQPKSLAPSKKKTKHIFKEVVSEPSSPEPEQEN VQPQPEGSEVAAEVEGEPEATKSFKDLGIIDSLCDACTALGYKAPTPIQAQSIPLALK GRDLIGLAETGSGKTAAFALPILQALLDKPQALFGLVLAPTRELAYQISQAFEALGAL ISVRCAVIVGGMDMVPQAIALGKKPHIIVATPGRLLDHLENTKGFSLRSLKYLVMDEA DRLLDLDFGPILDKILKVLPRERKTYLFSATISSKVESLQRASLKDPLRVSVSSSKYQ TVSTLLQNYVFIPLIHKDTYLVFLLNEFAGRSAIVFTRTVNETQRIAILLRSLGFGAI PLHGQLSQSARLGALNKFRAGSREILVATDVAARGLDIPSVDVVLNYDLPPDSKTYIH RVGRTARAGKAGHAISLVTQYDVEIFKRTEAALKMELKEYKTEKDEVLVFKARVEEAQ RHARNEMKNLHEDRGKKGAVLKGRRPKPGDKKRGRDEMDREEG LY89DRAFT_630641 MARRRTKKRTHVGASNGPTGKAVPTSKASNSPKSMVIRIGAGEV GPSVSQLVKDVRSMMEPDTASRLKERRANRLRDYVTMAGPLGVSHLMLFSRSDSGNTN MRLAITPRGPTLHFNVESYSLCKDIRKALKHPKGGGKEYLSPPLLVMNNFTSPASEAE SNQNIPKHLESLVTTIFQSLFPPISPQTTPLSSIRRVMLLNREPTKENDGTYTLNLRH YAITTKATGLSKPLRRLNAAEKILNSQKSGKSRKGGVPNLGKMEDIADYMIGGDGEGY MTDATSGSEVDTDAEVEVVESRAKKILSKRQKDRTREAKSKSGRSGVEKRAVKLVELG PRMKLRMTKVEEGVCNGKIMWHEYIHKTKEEVKELDKKWEQRRQEKEARKKIQKENVE KKKKAKGVGAKADAEDEDDEMDVDEWDSEGLEGDGEMELNEEMEDRGEWEDEEEEIAA G LY89DRAFT_691400 MNEEDFKVAAEEERIRRHDVMAHVYAFGQRAPAAAGYIHYGATS CYVTDNADLIFLRDGLDLLLPKLAGVIQKLSQFALEYKGMPTLGYTHMQPAQLITVGR RAAQWVQDLMMDLEDFEKVRADLRFRGAMGTTGTQASFMEIFHGDTAKIDRLNEILCQ KAGFPRCYSISTQTYTRKVDLRIANALSAFGATVQKIGSDIRHLAAQKEIEEPREASQ IGSSAMAYKRNPMRCERICGLGRHLANLSKDCSDTFASQWFERTLDDSAIRRIDIPHL FLAADAILLTLDNVSSGLVVYPKRIHAHIMEELPFMASENMIMKMVALGKSRQDAHEE IRVLSHQASIVVKEEGGKNNLIDLIKESEYFKPIWGEVDAMLDPKLFIGRCAEQVTRY CGEGGEVEEALKPYKKHVDAGKAVELTV LY89DRAFT_765587 MSYGREYSRQPQRFVEDQYFESSVRNERNVDENELDIRLRRRRQ SHFPPSDYNRNTSGPVFVRGNGIESLREPSPISNNRRIEGPIEQRGPDVKRRPRSRSP VRRESVEREREDRQSAVFDRRIIHDEKVRPVDEVFLDLSQNNDVTVHLELGITEDLEL HLEEFCRLGRLGKYHAAMQFFHENLRDQMDNPYVFLQYASTLLDMGDYRSIRLLTLPY NKTLSANNVLRKNWASIQLFSMMHTVGLSKDDEQLAERCLLGNRLLLGTTECQVLSLL FHVFDKLNKAPKSVYHGPKTIYQDLLREGRIWDFRDIFIAQNLYFGLERACSMVFDPD DDVLDRITSDWSTDVYDESTTMGLLDLLVSLVLAAPLSSETYLISQRHLNKAGEFIQL IMQNDPNNLNTRQYLRWMIAEVMISSYIRNDTPEPLEVPGLVIAERTLLDLPVQVPVK AGLENPKWAHFCSPSASTDTQRLALATARQLGDYQTEAMCLKLLIIDSQDPTEFFDQL MHLQKTVQGDIEGFLRTCVSRYMVCRDEASRQSLREELLSIVKYDKFEPELAWVRHMI LRALAPSESDGEQFLEQARELEGLPTYILDFMKKHDLAKADTAQSTDKTLVRDRRRLN GETTTFAKTALPAKGKALESGRRYRSSSFDSSDDYPPPNRVVGMASHRVAESRKENEM ERKHESKKEGGRRVNIETSELDDSPAQTIPDRLPARYASTSKDSNAGHTIDPSIAPLE ENAGSITKPSEAPARKLNGKSSDNPETELDKADDDFGWGSFSNKKKKKKGKGAAEDPA ETPRTVNPPSTSFEPEPKPNLENVDDEFGWGSFTSKKKKKKGKDAFEDIVESSLTANE PSAFFGPDPKPDLEKVDDDFGWGSFGTKKKKKKGKGAIEDPVKNPSKVNPPSTSFEPD PRLNPEKVQEELRQGPFPNQDKKKNNKVEFGVPVETRENHETKNHQPPTSTDSDHGTA KPHEDSTPSYELSESETAERPKRSDSFVSNWKDPKYPRDEDGPIVGEVIEDSHLHPNK LVSHSAEEGEMRDYKPPAVRVSTEEEELYK LY89DRAFT_725429 MATETVRPILQRGRLNRSRNYGRYDFERFDNLSSTHASIGHSTF DKVNVNCRFLFKKSRWGVLGEQKNPAGIIFLDLSFDQPKDSQLHSAMVIITLDDEDED LLDVSRNYNVLNKRPQCPVQMTDCYGPKGFSGEAKTIHTKKSLHLTPNAQFAGSGFGG LGVDHVSSFTSSSRWRFSGTLLPGKDRHWAYKALKWDLSENDLETQATHSNVIHTAFT FEHSGQPFFMKVDETPSHVKKDDGAIVTMVTFGDKISFKTPLDERAQQLQFEMELANM HAIPMEVPDPKPATFFNFLQNSGKANIPIVQRPLQSSTGPQSSLSSSVQDQLPSSIQP QLLQGSENISSVEDTTNATIANLAQAFEDLQRVDQGTFQQVRSPLSSSKIVVTEGTRF LPANTDISNTNDQQQEDSEQQMILCLLRMPAILTLLRLITALLGLFNQKRSSRTEDEG DTPCLTTPTRKSLELANQVETGWPSPSLEKSAGAKHDFLQAETSGWIHEPSSAETAEM LRSTPVQPYRKDKSKMTVIEQTQDWRSGTRHLRLERYDLERERDKMERSQLLDMERDI KRRRDLTEKITAFDAERQQEEYIQREEYRRLVAIEDQERREARMRERIRAQEDAVRQR RAVPTPHDPEQRAEDSGYGLGW LY89DRAFT_789356 MSADKAPAEKLPLATRKNVRDGWEAKKGDLETQMEALVGVKWTF TCNSLAIYPYAEPNSYGFNSLGDCIFAYFDGLIWSLKSFVEKHGPSGVAELNSVCPTH TCTLLASTKFSYCGSMVADGQLQLVFNPKQLGSNVSYVAQDLDKALSEAPQPAGASPL SYAARHSVKTDYDTKIADMTEKVKKILKNENFKFEPEFDDLGKALKGGKGVRDDWETN LGSFAKGYYDSFIDVLEREKFAEDELLREGLEEGAPAGVVKLRVVEKLAGGSGANGYN EILIEDGKLVIQTTPANWGTNISYACEKLVDIL LY89DRAFT_789357 MSSLPTRIRQNIRDHITSPTCPLVLKTTSVTENLGYPIVLDPEW TMLWKSLQEYYPDSTIFVPTVAATILSWCDALTIWLENEENEEHVEQLLDALKARSSL TLVLEISTTSQRPTTAWRANKSVFVIALPKAKPAYLNTILAGFATDLMNLFTASPMIS STNVATKALPVLEDPEDWADLDAELDRNHQTSIHANSAARTQDVDEPMPEVSTLMRPE ELTKRPPYLIVVKQEGPMKVVVHGSHTPSLACLEGYLKRWCRGEASRANRPPVVEIKL QESAFGLGLMNDALTLEAIRGREVNAMVILVFVESVLGYAPVNSIGSVGSIWEFRRMR GFK LY89DRAFT_691401 MTSYILNRSIARASRRIVSPNGRPSLFCTIRRRTFADVSDDDTT LPLKGYKVLDMTRVLAGPYCTQILGDLGAEVIKVEHPTRGDDTRAWGPPYAKYLPGSG KEGKGESAYFFAVNRNKKSLGLSFQHKSGVEILHKLIADSDILVENYLPSSLKKYNMD YETVRKINPRLIYASITGYGQTGPYSSRAGYDVMVEAEMGLMHITGSRDGPPVKVGVA VTDLATGLYTSNSIMAAIIARARTGRGQHIDVALSDCQVATLANIASSCLISGERDQG RWGTAHPSIVPYQSYKTKDGDILFGGGNDRLFGLLSDGLGRPEWKTDVKFSVNAERVA NRLELDSLIEKITKERTTKEWLDVFEGSGLPYAAVNDVLDTLNHEHVLARGMVKEMKH EWCGDIKMVNTPVKYSESKPGIRSVPPMLGQHTDEVLRDLVGLSEGEIERLKEEGAVR LY89DRAFT_542659 QTEEQKELMWGKGACIQLCEDAFNRSDAIMVRSCGVEKYCVLVA TPVGVGLYRKEGFKVVKEMEFDLEMYTGGQGKGETKRWVMRR LY89DRAFT_630652 MQTPSSGESGLDLARQVCTSCKTRKRKCDKAFPRCSSCAKSNLT CQYLTVEEKRKSETPAADHGPLWHDLSASQSHAELNSIDFSTILFLDPSLLQHGQVET AQAATLVPAHLPHLLGDMDEIRAITSRFFQHVHLWMPFICRKRFYDHHLRPPFQAHPD VVLLLLSIKLITTFPPTNPRNPRTLLYNAVKHFHLEVEGSSVSSILVLQAGVLIALYE LGHAIYPAAYMSIGACARYAYALGIHVSGVVSTRKVLTLVEVEERRRVWWAIVILDRF VSIGCPGRKFATVDPTLDDLLPSDDEAWDQGIVNSDDFVRLSSPMAGHMSKFGLLCQA ARLLGQVLQNVSRDSTNDDDDGWMQLDRTLQSMLTASLNVPSPDYDRITFIYSTLVAL YTPQLDSNDPRFVETNSSRRARVGIQQITETIKGNLVDNDCFFGRNPEELSPWGIFFA YHICAIHMRSSRENPASLLIVKSLKETFRAIDIRWNAAGSVYLQLLEAREVM LY89DRAFT_789360 MGPYSEAHANPQGAGDARPTALQMIRDESLEGKLVDKVIVITGA TSGIGLETARALSVTGATMFLTARDIKMAENSLTGILEPGRVSLVEMDNASFTSVRKA AVTILAESRNRVNILVANAGVMGIQDLKLTEDGYEIHFATNHLGHFLLFQLLKSALLE SSTNNFRSRVVLVASSAHRALILNESDNYNFVKGGYHYGLAYANSKLANVYMANELDR RYGLKGLHATSLNPGGIATNISRYVGREFVDQLMSNEKLVKFFKSPEQGAATTVVAAV GKEWEDKGGKYLEDCEEAERGEDDNDVFGVGWVRQTYDQENEGRLWKDSLKMVGVDED M LY89DRAFT_677444 MSNYRIMREVLGYTDDLPPLPPQRTPLSDADRRTNDMIVNDLRL TREHSFRERLRCIFAARPLRLDPQLPLPSPKIDPTWEPWLLNIEHLQDICSKTVAIYR TYTQYTSDKLYKFIFDQWILSHGGNVHKVDLEFARFFAKMIDIGYQKGRATAQDLIPR SSSFLAANDNFLAGHQIEFYNIKPLYRALFMMIETQIPENETNLEFFEGLDVLEKINE NEVVTTLPKAYQFIMGLDQKEGTGAEKRDEKILDHWLGIPEVQLQMWYNANNKSRNRT THWTGGLEEIPEDLLRRGGHMVCRLSLTSRLLRGLNGGWREGK LY89DRAFT_691403 MTLVQKQPDITYHPDFEKYQLRTERLKAQRNSNIGLPTAFPSKL SGPLVWEGSDFGEKTPWDFTLSKPQLEEIDSALAKFKELNKPIGFVSKETFPLPNLSH DLRKQAQVLANGRGFFVLRGLDVDRYSREDNIIIYAGVSSYIGSVRGRQDSKKIDGER KSSMLNHIKDLSQTSVAGNIGAPAYTTDKQVFHTDAGDIVSLFCLNTAVQGGASKLAS SWRVYNELAKTRPDLIRTLSEDWAFDGYGNVEKPYTTRPLLHHTPAHGNVPEHVIIQY ARRGFTGFLHLPRSKDIPPITEAQAEALDALHFLAEKFSLTLDFQKGDIQYVNNLSLF HARDGFVDAPGQERHLIRLWLRDPELAWETPEPLKERWDELYGDVTAEEQVFPIEPRI RGGAGRT LY89DRAFT_538211 ENRPVTIIGAGVLGRRLAVIWASTGRDVNLYDTHPSAFDSATPY IADTLSTYCSTHGTHPGHVHFSTSLLPSLSNSWLIIECVPEDLELKISVLGRLDRLAP KDAIIATNSSSFASRDLVPEVKHRERVLNTLYYVPPANRCVELMSCGYTSPTLTSFLV SQMRTVNLLPFLVNHESTGMIFPRLWAALKRETLQILKDDVAKPEEIDELFRDFFGAK QGVCEKMDEIGLDTIAKVEGRFLDERERRNETDLWRGRGHLRWLEREFVEKGWLGEKS GMG LY89DRAFT_711879 MDWENFVLNCCAFVAGLYLLHYGEDLFVDHLGIVAKRFKIPETL VVLLTVGAEWEELAIVIAAIYQHQPSLALGNVVGSCIANVLGAFGFGLLVHDFLPRFD RNSKVYAGALLIVSSVYLYLALTGHLDLTGGIFFLVMFAVYLVSICSAIYDNILSPPP GPTVNFPTPDTMEESSPRSEDTLDEFHRELHISIFPTILQCHPDESTPLVRHPDSSTI SLEQVGSTTTLNGILYHAIRMFIGLLALTISAYLLVHASSSLASALYLPPTTFGISLL SFFTTIPEKIPVAYATWRGHSRVMVASTAGANIFLLSLCSGLIFVSGNGHEELAKDIT AFEVWVVWICSLLLMLIVFVRGRKWMGGILLGFYTAFIAGEITGGLGKS LY89DRAFT_691407 MSIPTPSKVTGGCLCGGVRYQIDFSSDHDWKRGSSTCQCTQCRK NCGSLVYNFHTVTASEITWTSQSTYAEYTSSPGCYRSFCNKCGSPIAWTDRSVNTDVG LAVGTIDEEFLVGERDSEDKPKGGFGLALANPEARHYYIRNEIPGVTDGIAATGTKFW EGSKEGAMRRDSKA LY89DRAFT_691409 MGKDLGGFLAIYGAVFDGNGAEWSIGGPTPNVASLLGLLGIPQG ISGSHNKYEADVSPTRPDLYEYEYGNDYKVIVPQFQQMFDLQPDAATANYDLSVLTPF RATRFQQSISDNPYFFNGPFSGVLVQPAAYTFIFRFMGNKSAEYPEGILNQDVLKSFF AITGDSGSFTWTEGYERIPDNWYKRAIGDEYTIPFFLTDVLAAAAEYPQFLDIGGNTG TVNSFTGVDIQNLTGGVFDGATLLEGNNLECFVFQAVVQAAPDMLEGTEESLLDSLVG QINGAVGDALGDVACEKLQTYNTEQYSQFPGSTGVDSGKNAKRRYRI LY89DRAFT_630667 MASDGPPQTPAGSNEAPAAVTTSPPKYVPQFSAATEMILKRIQS GATSSFASTGLTGTPAGYEDMKRSVMQSMKTTMNMELPSTPIGSANKARAARATGGSG SRSRSSATPTAASASGPKGKGSARGRGKAGIKRKRAKSEEEEETEESDSDMSKLGGDS DSDGSGSIPDLPKMTQSGRQVVKPQQFVPANYEPATKRRAPSRKSQEQALCKRCGRGH SPENNMIVFCDGCNGGWHQECHDPKVSDQAVQDEKEPWFCAECSRKKGIKTVPEPPKA VSWEGRSSEEKRAYLNSLPHPQLVSLLLQATTIHPNLPLFPPTPPAAPISVPMQAYVP RPATVRTNIYPQQPFPPSSASTAGLFSRAEANPNAPINFIRKIPPGSSQSPAPTTPAS FTASQPLQSFTHASSAPPQLPAQDDSRESTPASPPYPKAGNGLMAKLGPDEEDLEWLV DANDYDAFSHVVYNEAGER LY89DRAFT_742430 MEIHKVHIKDLYLGQNKTVDEVRYDMEMNHSFTASKTEIERQLR KWNFKKNSRHSLNTQLLKYVKVGLEEWKRLSKDTDVYFRGVKIPSPQIQKSAQRCFVS FTERNKCEYMPNTTSKPVLIIGQVPTLETPSGLVLITPSSEHYMACIKSKDLPWIYFQ KQIDSLASSICLDR LY89DRAFT_659882 MGHFHEATMALEQVQKSYLQLGIDDTVILRQLAIVYANQGRWAQ AQALLTTVLNRTAYALDQVKDAVNQLLVINESLKRKYEGKLEAETIAKMERELQNMRE ECGERNILLLQVGMELGNIYHGQGKFSLAVDTMRKVAVGFQEQLGSDNLTTISAMQSL AGSLVMQGDLLEALETSVLVVARMGRLVGEYHRPFLQSELLVAKIQSYLGLSEKPLTI FKSVIERQTKALGSSHQDTLGSEGALARHYMDRQEHASALRIQEGVVQKSEISLGRHP FTFRSKKVLGQLLVNTGEIDGGMEMLSVAVKGSHEILGESHPETVSTRVALCSVLRMK GRFQEAEAMCTKSLETLEHKYGKGHPVTLAALSRLAEIRSDQDKQDKASELLRRAITI SDSATGKDSLETVYLLSMLAKTTTNAEELQELRIRLSNSETRRIELSKKATVYDENQR AISLVSQRQWKEALDILNKLMPLSKTLFGPEDETTLTILGNVAVCHTGLGSLSWAKET IESILRTLEKNTANNSAAILNQKANLASVLMRMGKLEEARSLNESILTIMKTKYGETH PDTLRIINNLAAVNSKQDDTQQEAHALEYQLAQAMEKLAASRDTAI LY89DRAFT_789369 MSDIKQRICNLRVTTVSQRPFIPWKDLERTLDEETIVEVLRKGG IEIYQRKEAVGAIINGGRRVFGILCILECEAAIMQFLELDSFLTKTIDSGLPFNEQAL RRIVPAEYRSFYDIQWQFCAPMFGANMHHRHLDSQTILPFMKVKEITSGAFGKVSRVV LRGSHQDIEVERTNEVEIVLKELKDPIASSRVEFERERHILSMLKCLKHPNIIPFYTS YTMGHIPCFLFAPADFSLGDLLSRPRPPQFNTDESLFQALHGLASAIAHVHKYFHEED DLSMVGCHYDLKPSNILVKGNKLILADFGLSRLKLITQGSRSTFKGGTSDYLAPECQD LDGDLSKNSIGRGSDIWSFGCILAEVATYLELGHAGVEEFSQKRKITFGGFLTMRPFH SGAKPSPAVAKWLERLKNTNLPSEIRQGLLVLVQDMLTFDVLDRPDAHRVLARLFILA QRSLINDTYNTLTTLKEKSDYPLRIECIRFEIWCDMVGLGSDAKRRQQSWFLTDEGSL HIDKTIATLQSVATELQASLVVNESVERYNNPVHLRLRELVSDLWNILNDITLRRMES KLEEIILDQNDSDITGYRVTLARPAYREIQLLLSMKQATSSVGTFKNKGRSFLTDAIV RDQLDIGSAVLGLITAKSGKDTPVLVEILEYEDEWTNRFDELVLRVNGLVSVLSQLAT SSFPVLRCINFCHLKSQHSFGLIYETPLPPLGLPKASAPVALAEIIRPTQPRPRRPLL SEIFVTAHTLASALLKFHKADWLHKGISSYNIIYFPNSGEELALAFSRPYLIGFNHSR ENSDYAFTLGPTTDIKVRDYQHPEYREGGPNLRFREEFDYFSLGIVLLELGLWRTLSS MTKGKERAKSSEIRQYLLDEMVPSLGSYMGKVYRDLVRICIDGCKPSRTGNESEPAWY VFQEMVVEPLFHCLSIHHARNLDEG LY89DRAFT_350958 MSALDQHKPRDGTFKLRGCECSQLLLGDFLGNSASSSQHLHEQS SRLFSDASPIVEILLRQWSDNHMNHSLESIHIHDLDGLERALSKIGCDGTDAVFIFRQ SCTWGRIECSESIFRRIMEATRAFSPLYDFVSAFGYKLSATDENLGCFQGHVSNVSDK KGIPEFELAYNVRYAHKHGRQLRDPWSIRHSAIYQRYEQKTNSTHWVIIQPSESFYCQ LKQFLHPNRTTSALETAAVIHSAFLWDGGRNWRQYINYLEKELSNLEDKSLLSRIGLS LREDFSISFLDVQRLLALRKKMHKCSTIMDISMDIGQSHESLWQKVLGMPDHPSLNDN LVSSMGMYKARIQNHRRSIRYLINSSSDILDLTSKILVHKNEELLIRSGLDIQLMNQT TNLIAAETRDEHRNSVTVLKSTKEDSEKVKILTYVAMVYLPASLVASVFSSSLIQVDS SSGIKNRLYLPKYFWLFPLLSIGLLGVTTLILVILSWWRQSRK LY89DRAFT_765614 MSNQQHDLEHLSQKISDLNLRLDQNEVIPDSPSIHSPTYSSIER DRPHLDSETPHLDRIAENRPPLGSDVTIRQLQHLVERLELLIERILAQPDSLERQNID LLHPGRRSPSRGGRRSSRRSNNRRARTSPGNGPSLDPTHALGPEYQTEPLRISKPALA SIRPVVVRLPDPPKLSDGKDPTFETWLLEMEGKLRLGGFPDEESKMLYLFSRTRGIAR EQLLTRLPNRAQP LY89DRAFT_691417 MCSLRNPLLVPNVFYSSYQRRLFNPSFKPTLPERSWDSHIHIID PEKYPLPKSVKPPQEATMGQALANAEQLGLPNMVFVQLSTYGNDNTWVLDALREVGPA RGRGVVAFDSEHVDSQTLQQWHDLGVRGVRLNLKSAKTVLSKTEIQTVLRKYAEKLRP MKTWSIGLYADMEVLDHVQPLVSELQVKFVLEHFASPASLPLDPAQQPGWDALNSMME DPRVYVKISAPYLYYI LY89DRAFT_691421 IGEILSWRLLLFTISKEVVGPHQAQWDVDEKVLTYRDVDLYMDH VPRLLLSDF LY89DRAFT_742439 MTQQRANILNEFYAGASGRSDGFRYYKNASTLPLEIALIIQKLA LHKKTALWRKCKLQTFFAKKQHIRYFVVDDTKEATRASDASTKSLDSGEADFFKLVDE DVAVAEADAKAEANIVHGFDSHRSAVIPWLRRTGIEEHTRGLKKDEMHASFVVPKIAE SEPELFLMLEIMDEIFIEAHS LY89DRAFT_691422 MADDKVSSDNPISSTEHSEVGVPVKDVDEKNIAAPAPRPEASRG LSEWEAIQIAAAGDHDTIDREIGEIEASLQAMNIRSTWYKPQLRLNDPRYFTWLLVGF ASMGGLLSGVDQSLISGANLYMPTSLHLNTKQVSLVSSGVPLGAVGGALMLGPLNEAV GRRMAIIVSLVLYTIGAALEAGAVDFGMMVAGRVILGLGVGLEGGTVPVYVAESVSRK YRGNLVSLYQFMIALGEVFGYVIAAIFVNVHSGSWRYMLGSSLLFSTVMFVGIIFMPE SPRFLMHKGRTIEAWHVWKQIRGEDDEAKMEFFVMKHSVTEEFLAQEKVQSKKRFVWL DFFTVPRARRSIIYANIMVFLGQFTGINAIQYYMATLMQQVGFNDKQAVFMSLVGGGS LLLGTIPAILYMEKFGRRFWACAMLPGFFIGLLIIGCSYLINAQTNVGGAQGAYITGL IIYEGFFGSYSCLTWVIPSEVYPTYLRSYGMETSDVTLFLCSFLVTYFFAQMQEAMSK IGLTLGFYGGIAVVGWIYQLLFMPETKNKTLEEIDIIFSQPTSQLLKENLRSVMVTAN DFVCFRWGKVFSPVSESDIFRSDNGPKGLNELGEHATHDEGLFEKPEKEL LY89DRAFT_659889 MGRQGSLTALALGRGHYEDEVIQDAAAENQGAQQYNDRGYPKNP ETKRREREHVRAANEVMQVTGVVEDAVAAKEKANLYLAAKNQESFLGLRLMDACRTTF IGGVWGVIGLRRRILLYKPYEEFGLFNILQRERTQYSVAHIAFAGLPATLTHHALEWT SVFIDAVLDALDEDDEPLEKPEERANTLLQTCLDYGFMYLGFHLRMFATLQQLNLIPI SRILPSLKSFVPFSSESPLQLPPDPIFSRSWSLKLLWSATPFLVMVGYDRIRKYVAHS TYRPIYKSLPRPTGDVMVQEQDSYPSETYDGSVGATERERTDYDEPTLRALEGLPALE RTEPRQEREDSSEDEEDELAHATLISFDVEPTEGAESTAGPWSAELRSANEPKLSDGV KYRVTGLTMLPAIMATEALRDIVTGVLVMPFEAIMVRVLAKAYRESAGLGIGDLCAVG SYISPLGNLVSVVGMQVVVTGIIWTGFTVGTQVWLGRTKLREWRRTRLLKYTSLWSGF WVHGFDFLR LY89DRAFT_691426 MANKAPYQTTFEPEYTIQPIYTGGSVALDQSGRILATTLGEDAL LTDLNTGRQLAKIEGDGELISTLILTPSASHLTICSRSLSMRIYSLKPSLSSSPSISF DLLRTLKPHTTPVVVLAVDQTSTLLATGAADGVVKVWDILGGYVTHTFRGPNVLISAL HFFELVSKNDVSGISSRNQKRRKSNDEEGNEAGRGFRLASGCQDGKVRIWDLYKRNCA SVLDSHVSDVTSLDYSAEENALLTASRDKTIMWWDARTWKVRKVVPVLEEVEAAGFVK SGRFTYTGGVQGNIRVWQTEDGREITEPQTTKGEADAIVDSISLPGLPYILSIQADHT IILHSINPLDQLQGTISALPQIRRISGTHDEIIDLGYLLPDRSLLALATNSEEIRIVS LSQDGDDSDYFGADVAQLKGHEDIIICLDIDWSGHWIATGAKDNTARLWRIDPANSTF TCYATFTGHAESLGAIALPHQKPSESSAGFKSPLEHPPEYILTGSQDRTIKRWVVPTQ PTGKSPRAAYTRKAHDKDINAIDVSHNGQLFASASQDRTVKIWSLEEGEVQGVLRGHR RGVWSVKFAPKDTPSITGDSGPAAGKGVVLTGSGDKTIKLWSLSDFSCIRTFEGHSNS VLKVAWLDPPKPDERNKRHVQIASAGGDGLVKVWDANTGEDACTLDNHEDRVWALAVH PVTNMIVSGSGDSTVTFWKDTTSSTQAATTAAATQFVEQEQELQNYIHSRSYREAITL ALQLNHPARLLSLFTSVVTVNPPEPGSLSGVKAVDEVLTSLSDQQIFALLLRIRDWNT NNRTAPVAQRILSVLVKSYPAARLANLKVGGRGKSLDEICGGLRAYSDRQYKRIEDLI DESYLMDYTLGAMDGLGLIENGVTNGHLDVDQDMIMV LY89DRAFT_691427 MAKRQATEALEDIAGTDSPVSKKSRVENFHELHNGSELPARTKE QPVQEEEEDEEDDRIAPVTIRQAAPLEGFDDLYLDTINRSLLDFDFEKLCSVSLSNIN VYACLVCGKYYQGRGPKSHAYFHALEVGHHVYINMQTQKVYVLPEGYEVKNKSLDDIK FVSDPTYTKEEVMAMDRKSQTAWALGGKEYTPGFVGMNNIKDNDYFNVVVQALSHVPP LRNYFMLEDFSTRPELAKRLSILVRKIWNPRAFKSHVSPHELLQEISLKSSKKFTLTA QSDPVEFLSWFLNNLHLALGGSKTKPGSSMIQQVFQGKLKVESQAITAKADAGDRLRF EEAQTVQTDINRFMLLTLDLPSTPLFQDELEKNIIPQIPLTNILSKYDGLKAQEHLNQ RKRYRLLHPLPPYLLFHIKRFSKNKFVEERNPTIVTFDARNLDMSPYVEPNPALHPPG EPIWYDLVANIVHEAVRGREDSVEGETEKHAWKVQTQDKSREEWVQIQDLYVEKTQKE LLYLGESYLQVWERRRDGKGKKRAGK LY89DRAFT_630687 MSSFFTIPKQKKRKLQDATEPPKKRIASRNPTRVTRPAPRKSQR DESISGSDDESDGPRDDLEDADATSGSSEGEEEETAAERRLRLAERYLQNVREEVVNP EGFDAEEIDRDLIAERLKEDVAESKGRMYRKLAEDLDFDSATHSWFRWNCESVTSVAT CAPWAYTVSKDLGLAKWKIQELPENQWLPKNGKKHKKATPPPKRKPEQVAFRRGDKLK AKDNAYEGHVDTILTVAASQDGKFVVTGGKDRRIVVWEAETLKPLRVFSHHRDAVTGL AFRRGTNQMYSASKDRTVKIWSLDELAYVETLFGHQDEVVDVSALAQERCISVGARDR TARLWKVVEETQLVFRGGGGEKKRKHDNPRVQEGSIDRVAMIDEETFVTGSDNGSLSL WVIHKKKPIFTLPLSHGADPALTPEEASAETHPGPEVIPEPQPRWITALTTIPYSDVI LSGSWDGQVRAWKVSDDKKKIEAVGVLGPSRIVTSGNVNGDEQHGTFRGIVNDISVFE RGDRGKDGVCVVVAVGKEHRMGRWQKQKQGKNGAVVFEIPRALKEKTNGVARPNDGVE LY89DRAFT_351179 MEVLLGITGKDFTLVAASKAAMRGATILKASDDKTRALNKHTLM AFSGEAGDTIQFAEYIQANAQLYSMRNNIDLSPSAVAHFVRGELASSLRSRKPYNVNL LLGGVDPITDKPSLYWLDYLASLAPLPYAAHGYAQYYCLSILDKHHHPDIDYEQGLKI LRMCTDELKRRLPIDFKGMLVKVITKDGIKEVEYDDEARIACP LY89DRAFT_351180 MSHEICHGVRTYFKLELTKTSRISQPNLWKPSALYFHVGVFDEQ PIMSLLAKAKAFHDRFFESTAEMKYGPCQARSESNMPLKVPIRRGTGTAPHFSASSTS NSFSTFQTKAVRWTGFLPLWESITPSRTMLRSNVRMIQQRDVQGRIYSFKSTSEYLDS GVQIPDIPDAVKLHMVLVLDLVPGKHQLLKVMIITTTSAVDGEYVPISPTPKKGYAIQ LRLRSHTVWHHGAAVTRYPSLGTDSYLKIDEHYEVPIQMLREVTDRAGNPFMIYPKRQ GGVAQLRHHVLHCNETRKTAKFAIGSENERVGEVEI LY89DRAFT_351226 MADQLNMNGLNLNGGPGGAEQRSYIPPHMRGKVGGPAPAGPPPA NGGPPMNGGINGSAWGPAARNGFAGDAPRPGGNWASAPDFTPRGNGPAGAPPAGRGAG GWDNYKEPAAFNRNAYGNPAAGGGSGGGSAPARGGGDGQWRDGKHIAGPPNPRLEREL FGVADDPTKQQTGINFEKYDDIPVEASGHDVPEPVLKFTNPPLDDHLIKNIELAHYKV PTPVQKYSIPIVMGGRDLMACAQTGSGKTGGFLFPILSQAFINGPSAPPAGAAGNFGR QRKAYPTSLILAPTRELVSQIYDESRKFAYRSWVRPCVVYGGADIGSQLRQIERGCDL LVATPGRLVDLIERGRISLCNIKYLVLDEADRMLDMGFEPQIRRIVEGEDMPGVQNRQ TLMFSATFPRDIQMLARDFLKDYVFLSVGRVGSTSENITQKVEYVEDMDKRSVLLDIL HTHGAGLTLIFVETKRMADSLSDFLINQNFPATSIHGDRTQRERERALEMFRNGRCPI LVATAVAARGLDIPNVTHVVNYDLPTDIDDYVHRIGRTGRAGNTGISTAFFNRGNRGV VRDLIDLLKEANQEVPAFLENIAREGSGFGGGGRGGGRSGGRGRGGGANRDFRKFGGG AGGGPGYGGGGFGGAPAPSYGGGFGGPPPPAAYGGAPSYGGGFGGGSYGNPSGGGSGQ SWW LY89DRAFT_677464 MFSIPISFPRTSRQLQSEIEKVSMIEHCATCCGERIRTVFKKEK VIDEEGSEWLVEELNERFRLCRHLPGGRFSPHFDGKHFASVNGHDGKELMAGEKYLSR TDLIHKRVVDFDFEELYGGLSEEEKGRKMLGIAERLEDNMQSEEAVKWYKEAFWMWPD LERS LY89DRAFT_351259 MELKPSYEPKATKPMSQAIKGFRDGFWQTHPLLTTQGHTRIRAN FTIADWLLMGSLLQAFIILSTPLPLAYVLMPTFGLVAFKLTRTVLKIYSIVENPRMEN VIPGRQTALFPPKDGSMIRQPGEPVGGDGMCIVLLTNKSNHPLGLFFPTFRTLSLLAR AMYRDLEAHAEEYGFLGFSDYNSNDVLKQPVVLSVMYFKSVEHVQKWAHGKVHREGWD WWNEMSALGKTDQITIGHEIYSVPAGNWENVYMNSKPHDFGATQHAIKTAGGERRWLC PLMDSKDLKNASQRLGSVRG LY89DRAFT_702169 MMCRLCCIPDLSLIQTVLFIYDFWVIGISNLYAWRCPTGTVLVP FFNKNLSKKHLDIGVGTGYFLAHDDLKEDNQVTLVDLNKNSLEAANGRIGKKGKYDSI SLCYLLHCMLGPSEEKVPLFANVKRNLSKRGVLLGSTILGQGVSHNWFGQRLINAYNK KGLFGNVMDSRRVFEDSLRENFGDVECRVEGAVLLFEARRPRRDSSGGRTTFQTS LY89DRAFT_789382 MDILATSFAILPAEEADIPALAETLTWSHISEAVMSFFFLDWPR TDIMLQYMADRLAGKFAEPQSKIFKAVDATSGAILGLVCMTLETGEEVADRKFTSPGT FEPPPGFDWGFAQMVVEGLGKLDWNMVGKKHYMVSSLAVDPKHHKKGLGTRLMDHCHQ IADKDGLAIYLNAFPGAHHLYLKWGYENVEKFDVDLGAYGEKYRGFGIYRTWAMIRQP KKR LY89DRAFT_691433 MSAAVARGDESHLSRNARPKPKPKRDSSSSQTAKKRELDRIAQK KSRERVRNRMLELQEKLERLQSDDKQKQITDLLKVVDDLKKENERLRDTVEKIRGLVN STNDMSSLSSGSRPKKRDSAPKSTIGTNELQVRSDICNDSRSSMCWDMNSSSESPEAI EIEDHAEPAPLHSDSQINSTFDVFDNPQTNANSYLFLSSLNGLTSFESSLACGTPGQP ILLPIKSTVVPDIEKWHTSNNAFVFGIDSGKSSPLQTKSINSSSAYQRILWGCEKSQQ TDTEHPFWLALREIDQRVFGDWKSKAQKIAMMFVAHRMLLYQSNPCKETFDRIPTFMR PRPSQERTQHPAVVDFLVWPGLRDRLVFSHKHYTSTGDFSAAFCENLHFHWPFSDDDI LIFDEEIQDYKFSPLFEKYAFDLKNWTMDDDFFEKFGEMRYDIPATKCENGLEKLFDY MPMTA LY89DRAFT_601038 MAPSATNENGHSNGTAKLDFTTFSNTINGKLVPASDGATRHGIN PATKKANPPVPVATKKDLDAAVEAGRAAFKKWAKTSVQERREALVKFADALGSLQEEF AQLLTMEQGKPLMFARQEVQSGPAWIKGIGNLDIPEEVVEDNEDRKVITRYTPLGVVG AIVPWNFPVQLACGKITPAVLTGNVIIVKPSPFTPYCDLKIGELAQQFFPPGVVQVLS GDDNLGPWITSHPGIDKISFTGSTVTGKKVMESASKTLKRVTLELGGNDPAIICKSVN IATVAPKIATLAFLNSGQICLCVKRIYIHKDIYNEFRDAMVEHTKTFKVGNGTEDGVF LGPVQNSMQYEKVKGFFDDVEKNGMKMAVGGKVSETGGYFINPTIIDNPKEDSKIVQE EPFGPIVPIMTWSDEDDVVARANDTQMGLGASVWSSDLDEAARIAKQLEAGSVWVNAH IEVSPLVPFGGHKQSGIGSEWGSSGLKHFCNSQSLFLKKKA LY89DRAFT_742453 MSDRVRTSDYKQARKESAAKDNDTQRERKRALDRKAQRASREKT RSYIAHLERTVQILSERNGTAAANELLEEISKLHVEVDRLKKIIEGIRCLLGVDLHEA PVTKRPSSQSSVRDSTSSNRPIPDESGLCEVRSPSADPSHLQKVETQDGSAWTTNIID EELAVNEHIEGVNEDISQRGSTETYHITQRPARNLDWMDFDETDPNISARSQNILHHG SLPSIMRSLTPTLPEYEPCEVWKKANAIYARIFNFDRQKIAEADQIDGGSLVRVVKDG WNSLSVVERSNPVIEILREVDQYLFWDLDPVTKVANLYKSMLILKYYFNAGSHNLEKM PEWQRPVQSQKSKRHPLAIDFFPWPALRDRLVRQHNYYFGTTDFSVNYRHHFKFSWPF NFDDIYAYDHKNRSYQLSPLFERYHQDVRCWSLQKAFFDKFPEFIGEISAFEDEVSKL PASSIPGYRLSPARDRAATFQEAPNARNANHEFADDIMQLFDECPPT LY89DRAFT_742454 MRGNEPSEMKQLDIQNGGSSTSAYSRLAFETSRDSVAFNSVEVS TSHNDSNHHSLNTCHLFESSDSSSGSSGSSVSSARKETYYSLSKTFPEALPSSDSLDR AVRKYGFLPWRQLVSKLSSKIIKESVPAWFFGILGGFVVALLVLCANVSVLVWVRTRF KVVHGTAAVFTGSCSRASTITTWVELAINVLSTVLLAANCNCSQLLVSLTRSEIEKAH LHGRWVHVGVASLRNFGYNSVVFSSSYTADFITALVTESFERGAWWNETYALASFAAN SSQYGDVPKDGNSAQIPVTTLKSLQSSIHNFTKLENDECEDTFGSRSLNLPYLNVLLV TNYTTNNSFVDGSIHYPERGMNDIPWFNATGKPLPPSYAAWTSVNMFDVPVPPHDPNP YTYSHGEYWNLPVSKCNTTTCFAEPAWIQYCLAQPVADLQTRCTISVSTNLLVAVIVC NCIKAICLAIFLAPDFHPLATVGDAIESFMNRPDPLTLNCGPVSMFQLLQISSNDAGM FGTIGGRDSFTSFKDFFRKQKYLSLDIEAISSTARVWGHNPRTWSSSLSSPFPHYALL FCGAVYVMILSISVKNWGGGLFWSRSKTLSGYHSAFATSFLQPLTTNALLINAPQLAV SIAYMLYTTMLTTIGVYLTNVQVYNIAGQEVPSRHRLSYDTSGLPLLFAFLLGTCFVA LFAVFMRRKFGTGMPIIGTCSLAITKLVQLVTL LY89DRAFT_691436 MRLLQRSAAVAVTFAMAASAASTLPNLSTASAATGTGSASNTAS ATTGGSTSGASTSGSTSASAVTITGSGSTASSSGSIPTITGTSATSDAALTGLPTLSG AVQPVTVTVPNLSNAPFMQTSSLPDGTVFIVVGAILGFMAMSVLLWRGLVAWTLHRSV TRAASQQNMDDKNALFRTPAPPAPFYKYSDRDSTISLSGLGHKSKKSNRPGTGGAGAS TTNLFFSPTAGAAGAGLGAGNRGSNYLPAGYYAAGASAPGNGQGHIPVGGQGHSQGIS MSTLRPESQGYGRARSLGHSPPDSPGLSADRGHMASSSTLNLSQGYGGNERAPSAYLE DLIDGDSTIPPGHGRI LY89DRAFT_351330 MGVAKKTRKFGAVKRLIGQNDARLKKNISKAEDEAKKKAKENQV VREVPQVSSALFFQYNTALVPPYNVLVDTNFLSHTVQRKLELLETMMDTLYAKCIPII TSCVMAELEKLGPRYRIALRIARDERFERLKCSHAGTYADDCIVDRVQQSKIYLVATN DKDLKRRIRKIPGVPIISVARGKYVVERLPDAPEK LY89DRAFT_691437 MRLSVVALAAAAVSVEAFKDTSPFILFSNSPLPANFQDVSTSQL QSKAQVMGSAKKFLSSCPSQIYYVVSQPGVSFNDLSSSAPHLKNALSNPGVHSRFTVS EAVGLNATDADDLEAYIQSHCGAAKADVSDVKSALSQRTGTQASSVIVREKYAAIPSD VVGRAAMVVDADSSLYPVLNELPKGYKYTFIYTTSPALAQESDHSETIRYEAAFDEVL HMDLKRNLQARKDNTTVADSRPLFEKYQFFNPGLFMGLLVGLLLISILGVGMSALGSL QVSYGAFDKEMGPAAQKKQQ LY89DRAFT_711892 MNPAMLEPGIFRHPRPKRSVLPPANKKRKTEHKIEEISFDNDAR ADYLTGFHKRKVQRIKRAQEEAAKKAKEEHRETRKQLREERKKELEDHVEAVNRAVKE AEGLFADPETEEEVWNGIEEEEVVIEPIDHEEEYIDEDKYTTVTVEAVDVSKEGLQKV VDLEESEGSDAEAPPLVETEDKEKKQWPKKVRKKKFRYETKVERKVTRAKQKFGNKSK AEGRKSNN LY89DRAFT_691438 MDRIKEKMASLRVEADESHAKVEELQGKVKTLEQETLQKEHEIT SLTHKNSQLEGEVEKLENQIKDLKAGAEEGTTATTQNETLQRRLQLLEEEAEEADKNL RETNDKLRQTDVKAGHFERKVQALEQDRDQWEQKYEDMAKKYAAVQKELEDFQQEIGN M LY89DRAFT_659925 MLAARDQENLVHGHQQVAASKPLNQTTRGLQPKTPGNKYPKTPL RIPLNDENAPTGFGKTGKGKGLDNLVSGKKIATFDKNAFITPMAPRERAPLGAKTTNA KAKAFQTPAGPTLGKELEKSKPKQTSARRPKKVIHADTVKLEVHGDESPLTERDVEYC PPKPKELPFECDDFPDGCLNYSALKPENMMRDIYQSYYQPMLKRQAAKHDKDSQDLYD KVMKEHDEGVMKMMEEDWTVGDVPEAFRHLRKKQIQPQDKPIAKSTEQIKKPTAMSNK GPATITSRKAASALSVAPKAAPVAPKASKPAPKASFLYRSKAPVVPSLPSNTSSMRHT AATAASRSTIGYTKGRSASGALNANTFKPERSGLQRSVSNMSQGSDTTITPANFKDAE PEWKNPSFLKAFEVDDDELEPGLRGVLPESLRRMDGDEEEFVMPLPSSESK LY89DRAFT_691442 MSRASGASFAQFFPSAPRAAKDKAKEREKVKSQGLDSPSIRPVA DSKVSLSFPRADDAASSRFGAEIKPPPVNGVAATTEDSDFLQGDLLNGVGSASSHTST VSSGFSAPAQQPNMSTLGGPRNVSSLTPLTNIESSPIPATSPQHKSGTYTTTPNELTN AIAVGTNFTLSAQPPVEAEAPHIPTDSRVYARDPNKGVKGVKCTYDPLLDKTASKKAK AIYKEFGLEDDAPPADPRLSKPGGKLDYINTDFHHAKSRLRQTPYLLRPYPYDPKTSL GPGPPTQVVVTGFDPLHNFANVAAIFSSFGEVAESSNKLHPETGSCLGFATFRYRDSK VMKGVRFVSAIEAAKTAVRKGVGLRVGTQNVKVEFDPEGNKSRRMMENLLKRPQAAQT SQMAKAAPAGAKPAEKTSGPPPTAPKGPAASRPTYRPPISTAFQLTKPKPPRYIEGVE PISAQLLHQPFLFVANDSVPVMPTTPPHMFKRCKHFQAEEVKMDQAGYYITFPTTELG RENCSRCYRGLNQTLMFNYTMIMAMYPWGSSRPAELRYIPFHSTRRRSASLPRQIEDK EKKDAEERQREDEADLEDEKRERAKNFDPSREAIEVIRKELKDQLVRNIRTKIAAPIL HNFMDPSNHVAKRRKLNISDPKDAKLPLIHEDDDREETPVGTPNSRVDGERRPMSTAR LNVSSLPRIRKAKGGKKLNIGFRDPFGRARPEARKPVIRPLNHRFITSDDEDTDDDTE SRSRVRDTEEPDSRPRSRMSSEESTDDAEAFRTKDDVASVDTRDDDSMSEANFVVGEP TRPKKRKLDLQVEAALKRQKKTDEELFGVAQDKIEEEFPLSASTVDEDALMQDIDAIV KTESEAEAAFAKGKKKVATKKAKKSKRQIFEEREALKRQSEGIYMEEALRQQSEAIEE EEEDVIIQSAPVETTVEWGMSGESPRPTVDDDFANLLDIDGLQNLLKDDEDAPSALAF FKKLSKDADPASAELASAWTWKQEEVKGLNRNGYQGLVTTATEVDGYYVPNDTGCART EGTKKILNSEKSKYLPHRIKVQKAREEMQAEASKTGKSATVQAQEAAKIAAEKLLAKG NSRANRVNNRRFVTDLNEQKKTLGGDADVLRFNQLKKRKKPVKFARSAIHNWGLYAME NIPMNDMIIEYVGEKVRQQVAEFRENRYLQSGIGSSYLFRIDENTVVDATKKGGIARF INHSCMPNCTAKIITVEKSKRIVIYALRDIAQNEELTYDYKFEREIGSTDRIPCLCGT AACKGFLN LY89DRAFT_659929 MKVLTLNFLTCAVKACKTTSTSFPLHPKDCELVSDTIAPNPQLL LNVLPRIDWNALIITAGELGFPTLPPTPPTREELESNEKMMTELHTLLLETQISEGSL VCGNCGHEYKIKEGIANFLLPNHLV LY89DRAFT_677479 MASRSFYDLSTCCLSLAHPSPFETSPSRQISKYLKVVPVDGKGL GVVATQDIKPFTLLLAECPDIADLDDRDRPKEIASVAAAYRRMSPIVRTRFNELHEAK SKSETRELRIWRTNALYWNPNKDLKAESMAAFLNMSPHQPLFDKKRMHLTSTKSIHIG EEVTICYNDTLYYMTRVERTAFLRYTYDFTCPCRACTDREFGHISDQRRQTIKQIFYC DIFGWLVAFDFSTKIANYEDAIRMVVDSSLLKNKQHNLNNSRGLQKPGVLRRACKLEY DEGLINVGLIKFIMNSSMAFLIDLQIRGSSGEECSDGNGFQRTIQLLTACESLLRQLY PPGHPDQEEGARAKADILRLFSAEIKYDAMTIDDCQELISTAMDAEPDPLSERAAYGS LY89DRAFT_711897 MKLYALLALAVAIPASNALVNRAPVPGVVSIPYSRSLSPLQRRN SFVDLSVGATYANYIVNITVGTPAQPITLSLDTGSSDTILLNKESSFCVTNAGYCPSV GYYNANKSSTYKYIASNFSSNFGFSSQGQGSGASGDVATDTFDVAGATLKNQQFDIAY GNATIAFSILGLSYASGENQYPYNNFPLSLAKSGAINLPLFSLWKNAVDSHDGQVLFG GVDTAQYTGSLLTLDTQTRTGFPAPVAFDVLLNGVALSGNSSFPAGSTGSVLHVLDCG TAYSILPNDWVQPIYDQFGVTYFTANDTAYVDCALANSPYTIDFTFGSLTIQVPIKVM VSLRSINPNICTFGIVPAGTRIALLGDNFLSSSYTVFDLSNNQISLAARNFDATTDSI IAVPSGGVKAISTSATGTTPSSTGTTTGTSATSTPTKKSSAPALSLSPATIGVAFIVV LFAFCF LY89DRAFT_789397 MENILDMDTSDFTTRPDAYIRNDRGREARIETMTKHVVDAAMEL NRQQEDERRRMAKEERDLEKKLIVARQRNFFKKLWVKLVVGHDLNFPLPGSGEPSKKC SKTELGKVLVCEDGSDVTNEQLEWLEMRREERRLESRLAKERSLAARKARGPRGFSLR LY89DRAFT_630722 MPRITNPILPGFNPDPSILQVGNDYYIATSTFEWFPGVQIHHST DLANWELVVRPLNRKSQLDMRGNPDSCGVWAPCLTHDGDKFWLVYTDVKRKDGSFKDT HNYIVTAPSIEGPWSDPVYANSSGFDPSLFHDDDGKKWFVNMLQDHRRRPRSFAGIVL QEFDASIGELVGPKKNIFLGTDLDLVEGPHLYKRNGWYHLLTAEGGTGYDHAVTLARS RDIWGPYELHPQKHVLTSKDSPLVALQRAGHGDVLDTSDGKTYLVHLTGRPTTQNRRC VLGRETAIQEAYWEDNWLYVKNGPVPSLHVEVPGTRDDTKYWAEQKYEFSDGLPQDFQ WLRTPEPERIFTTKNGKLILLGRESVGSWFEQSLIARRQTHFSFDAETVIDFSPTDER QFAGLTAYYCRYNFFYLTVTAHSDGQRELLIMSSEASWPEGRLKMPFADPVQIPNDGK VKLALTIRGRELQFFYALEGEELKKFGPIYDASILSDECGGHQAHGSFTGAFVGMACS DLNGTVLSAMFDYFVYRPVHHKTDIYEV LY89DRAFT_691447 MAETMQAIIIPSFGGPDVLTYSTVPKPSPVQGEVLVHVHAFGVN HAEMHMRRGEWDEWNPISGLECVGTVAACPDGSIPESTKVAAVMGGMGRSRPGGYGEF VNVPIANVVPLETNLPWEELAALPEVYSTAWSCIHTVLDVKKGETLLIRGATSTIGQA SLNLAVNAGAKVTATTRRTERFEMLKKMGAVDAVLEKSDLGTTFPGKRFDKVLNLIGN RVLLESISLTKAGGRMLQAGWLGGLAPVKDFNPMVEMESGVHFSLYHSKVLGTEDFPM SQIPLQEIVKKIENGEWDAKPTYVFEYTDIHKAHALLDSHNAGGKIVVKR LY89DRAFT_725470 MATNREQSLQDQNKAIVAVYSHEFWGKCNINIVDELCSEDMLSN YPMHGPRRGKEAIKRMLSEFKEAFPNVSFHPYGPIPMIAEGNYVVTRWIGGGTHSGVA FSDLPAGALDQANIGREIHFSGTTIFTLRDGKIVEETGEEGALTALQQLGLVPPPNPS SGASRAV LY89DRAFT_765636 MRLAYINAQSSTDSGYESEVIERITTRRSPHGLLALDLTLLSSP AVADRWNTFFAAVQTQTTLPVIFREIVICRVALINEAWYQWEGHTPLLRSCQNFDEGK METVKMAKPCAQGPLSDQEWAVLRYADAVTREVAVDDGTFEGLKVVGFSNRDIVELTV IIAAYNCVSRVLVALDVGEKNAAEKRNI LY89DRAFT_742470 MASPYPVVEVAIINIKGQNVEDETTKEGKTWHEVFKKLISVPGF TRVGWGRSVRDHDTVIFGVDWESYQHHQDFMEAKGTFTSLLMDLLKHGAAFYAAFSAA VFLWGVDEEKFPSLVKPWITANEKSDSCLGYFWGEVKSPAKVDTSKNLELGKCVIMLS GWKSKETHDYDCGLSIVVDAYKALQAAVKKSDTYPIEFHCVEKSGMEMSWRRL LY89DRAFT_765639 MSSQNARATYLRQLHIPGKPILLTNIYDAMSARAIAALPGTKAL ATASYAIAGAAGVTDDGMTLETNLAAVHGISGVAKEYGLPLTVDWQDGYGARLEEGIQ DILKLGVVEINLEDCDKETQKMLPVEVAAERVKRVLATAEAIGVADIVVNARTDTLIH NGTVSEAIERGKAYLAAGATTVFVWGGSIRGGITREEVKLLVDAFNGKLNVSMKMGEG NLTAKELARMGVAGISIGPALQFIAMKIFGEEAENLIAST LY89DRAFT_351527 MSSKRVEFTTFDGTILRGDLYKAEAKDAGVVVMTQGLSLLKEHF VDEIAKRFQAAGIAALVYDHRGWGSSEGSPQFETDPLQQAADYHDAITFAVSLQASSQ KPRVAIWEIGHSGGASMIAGNDPRLSAVILVMPFTSGARDAAAFPAGILDKAWSNRAD TAAAWMSGSTPDVEYVTLWADSEANGMGEGPQRFLTGTAAYDFITTARLRSDRAGTPS ENKLSLQSFYHIANIEPADYISKIAPRALLYLAATTDALTGPLETHKEVFSHAAEPKE FVILNNHHLANYFGEDFETNVGKQIEFLKRHL LY89DRAFT_789401 MVKSNLSREWQDFTATKPKIIDHEQGLTGIRDQINVQALENISR LKEPSFQALADHVEANDEHISLPEVDGHKFRVRIYKPKRASDDELPVMLYFHSGYWST GNADTDELGCRAMIAHGNDLIVVSFEYRLIPEAPWNVMLSDAQYAVKWLYNKAKEYGG DVGKGFLVGGSVAGAHLAATSVIRARYSYPEINITGQCIIVPVVLSSVSLDQLPRTWK NLVTSHEENSNSPLLTEDQYQRYLLALNVPDDQSKKGENFPAWAEHQGLPSAYLAMDG PDPIRDEGYLYDKLLREAGVPTRIDHYELPNWFVQFPNLPSTSKAGMELAAGVRWLLE GNKYAL LY89DRAFT_601002 MDGIFSKQVDCLVKVGLRDRVVLPTTSEFAARIDSYFNNSAKLT PACIFMPQKTAEVVTAIKALVNGGQKFAIRSGGSNFWPSNNIDDGVTMDLKYLNTTEY DPKSETVMIGAGVCAGEIYERLAKYERAVGAAREASVGIAGLALTGGITLFTGRYGFA CDQVVAYEVVLADGRVVIADATGGHKDLFKALKGGGNNFGIVTHFTMRTFPCSTIWGG GAILPKEIFPKAAEAIVDFVNRVSDDPDTNLICMLCKITPKPVTIVAALYANMAGVER PPILDKWLAFPEVWKSYKKDSILGLLDTTEQAKNYYGNWFTLCFKNDATIIMKAAELH DALVEQLQDHIKDGDFKTQCVFQPLPLAFIQTSSKMGGNVMGLEQHDSNGIIWGFHVM VRTPELEAWALPRERRVYEGVREFAQSIDGLLNWTTANYAHPTQEVFQNYGKKNVEII KEVAARYDPDGVFQYLCPGGFKISSVQD LY89DRAFT_765646 MSSEIYDIVIIGGGTSGLVLANRLSEDPNLQIIVLESGEDRSAD PNTLTPGAWPLLSNSPDNWTFQTVPQKDLVRQITVPQGKALGGSSAINSFLFTSTSKA TVEGWKNLGNEGWDYTAYEKALKKSFTLHKPLGVTEGSGPLQVTLGTPESLWQKAWID GLESVGFPKTDPLSGHLGGPNIAPESIDPRTKQRSYATNAYLDPVRSRPNLTIRTGTS VTKVLLERLSSTDDAVAKGVQYISKDGSSHSAGVRKEVIISAGAINSPRILELSGIGG ADLLQHLGIDVIVDNPHVGENLQNHVFTGLVFEASDDVETLDAFFRQDPDAVATAMQD YGTKGTGPLSTSNMTTMAQLPLPEFHTEVGRKELNQLFDLSALDPDSDSDTRTTPAFA AAHKTFVRSILTDPSEALGNYVFGAGYAPFDGPSPAYRAPGKHVSVAIELSHPLSRGS VHITSASPEHVSTNEGLRIDSRYLSHPLDLEVLARQVRFTEDIISRAEPLTRYLKPYT KRFTDLAVTKDYVRRTVDNAYHYTGTCSMMPRAMGGVVDNRLRVYGCSNVRVCDASIV PLEPTANPQAVIYGIAELAASFIKEDTL LY89DRAFT_765648 MITTAGFLFSLGGALSGVAIHHGLFIHGEWHHQAPNILRSYAGI FGCVAISQMFIYGSNATSILTSGLVVASILHVFSLIASILVYRGLFHRLNNANFDGPW WARYTKIWQIWENRHSKNHLYLHKLYQKYGDVVRTGPAEVTVFIPEAHEAVGGRQSEC IKSEFYDLLWPEQALFAARNKAVHAKRRKDWQYGFSPSAIQYHEAKVLKWIDELDRQL EGKAKDGSIVDATEFLLWFTFDIMGDFTFSKSFGMLESQKWHNIIVKTQNARTLLGPL TATPWLLHIGVKLLPRILWVKDWYESVEWCQAQMEERLSNGSQPGVPDLTSFFMENNK GDKADPWLRGDSLLAILAGSEPTAQILAAIFHELSMHPKHIDKIREELSEVCITDFKA LTDLPHLNAVIQEAMRLHPNLLTGGSRKTTENGVTIGDVYIPPHITVITPHYTIARRE DCFEQGTKFIPERWTTKPEMVRNPKGHIPFSIGQYNCIGQHLAWRIMRYTVARIVWRY TFHLAPGYDGHNMEGDKVDRFTAFPGIVPLCFKLRD LY89DRAFT_765652 MLAQQGVCIWAIVNGMGNREVDMTMKELLLQARVLVAVSTTWIF STTVIKLAVLALYLRIFTTPGFRRWAVSLMVIDVCFGITFLVVFITHCNPVSQQWDPV PWGSCRSLTSSELSSISLNLVLDTAIVVLPMPWLWNLRMALSHKLVVMVMFGFGFATI AIMCYRLDQTVHSDPDPMIATARIGLLSNLELWLGIIVTCLPTMAPFFRTNLLPGLSK LSRKLYGSSAPSSKEETPRVQLRTFGGSGPPVSKDNKNYTELLRVQQYGSVAKQRWNS CTKAIPDNGYVTRSRSYCAFVHA LY89DRAFT_600964 MSRKQIAFFNLPVPQCWDTSQGQKLKSACNFFPTTLRVLRISWG FIESDFFTFAVPNTVFGLVSALASSRVLEGPPPLLIDVLRRGPSVLFFNFYSLLLFDL ANQQSPESVEEDRVNKPWRPIPSGQITSEQTRKAILYTALAALGFNHVLGIWSEGLLV QVLSYYYNELRGGSGLFRDVIIAISYGLANQTSLQLAIGPENTVSSEGRLWTAVISTI VLTTMHIQDLKDQKGDRKLGRKTMPLLLGDRSCRVALACLIPLWSLFSVRFWKLGVIC SSFYFLLAALIAVRVLVKREQQQDARTWRLWCLWHASLYAIPLLSNR LY89DRAFT_691449 MATDKDQDAASVGVHTEATSSAQLPTFAVEPPEAQSEYVYDRDY RFWSIIIALCTMQVLCSLENTVVVTSLPTIVQQLGLGSSYIWVTNIFFLATSVVQPLT GQLAGLFGRRHVALAVVALYTLGSGLAGGANGPAMLIAGRAVQGAGSGGMTAIMGIVI SDLVPLRLRSSYQAILAMTYAVGMAIGPVVGGAIVQTTTWRWVFYINLPIGGISLVLL WLFLRVKWDKETKTWDKLKRIDVVGNGVLVASTVSVLIALTWAGSVYPWSSYRIIVPL TIGLAGLVGFFCIEGSAWVPEPVMPLRLFANRTSIIIYANTFVVSILNYWIFFFLPLY FQAVRLSTPTRSGVQILPITLIAVPGAAVGAVALSKWGRYKLLHIIGFAFLAAGLGSF AVLTKNSSTAEWVCLQILPSIGAGMVLDTLLPAFQAGVGEVDSAAAAASWSFVRSFGN IWGVAIPGAILNIYSSRYATDIIVDPTARSSLQNGDAYSRATRDFVESFSEPTRSQIV EVFTKALSKVFLIGIVFPVLSFILSFMEREVKLRKVLETEFGLEDKKKPKPSEKV LY89DRAFT_725473 MAAKMEMEAAPTEQTNTSTAFTSSAFDSDLHRSSASSQGGLRAL ISKDKVLHSLAMDEYFKHWENKNVENETEDMRRARRKDYSSLTRQYYNLTTDIYEYAW GESLHFCRFARGESFSQAIARHEHYLGACIGIKEDMKVLDVGCGVGGPAREMVRFTGC HVTGLNISEYQLKHAEKYSRRAGLSHKLEFVQGDFMAMPFPDSSFDAVYAFEATVHAP SLEGVYKEIRRVLKPGGVVGIYEWLLTEEYDNDNLAHRRIRVDIEQAFGISNMVNISD GLAAIEAAGLELVVHRDLAVDEDRLDYAPWYWPMGGDLRYAQTIWELISTLKKTRWGV MLASVFLGLLAMLHIAPTGAKKTLDTMGKGADALVTAGRQGIFTPMYLLVARKPKL LY89DRAFT_765661 MFKPYFLQAFVLFIILRSTLAHTLGRSQNGSVSDCRCFPVDQCW PTAAEWAAFNETIDGKLIATVPIASICHHDSFAPYDAGKCEQLQEDWLLPQTHYTSSS SIMGQFFANMSCDPFTDPSAQCVLGTYVQYAVNATGQGDFRTTMAFATDRNIRFVVRN TGHDYFGKSTGSGALGLWTHNMKDIEFFEYSSCNYTGKAMKMGAGVQVMEALTAAHNQ GLVVAGGQCQSLAVAGGYTQGGGHSFMASLIGLSADQVLEWEAVTATGEYLVATPSDN EDLYWALSGGGGGTYAAVLSVTVKAYPDLEVAAANLTFTISEGVSTESFFAVVQTWLQ NLPAIVDAGAVALWTLADGYFSVSPVFGPNLTVSELQVLLQPTLTALNQSGIPYSSYF AGYPTFLDAYNAMNPFEPVTDGNMGAYLLPRELVTSETNASALTDVLNKLVTGTSVVT GGVSLNVARGQDITQPVANSINPAWRDAIHYFAFGLPYNRTSLPANVEEWNLITNVYG PSIDALVPGGMSSYLNEGNPFDPDWKRVFYGDNYNRLLDIKERYDPNSIFYGLTCVGS DGWEVQEDGRLCRV LY89DRAFT_691450 MPRKEFVSVEQKRKDMDKDRRETIVQRRERVLVVTSRSGDKRRG QILQAQRIHRQRTLDYIEELENEIFRLRAVGASSQPNTTLQARHRGLEDTHRAQSQTE CHITLHILIRFLNKQLGPGLFMRQAEFHGDSCWGASHRLDHDVIRSETLISLGSCNQY IDPSAGLDVHDALTQMLLLNFSENIAPTLVAFDGASNGFNGMLLPVAYSHKLLRDTIL AASANHLRFKSPRLAKIAMQYQAAAIQTLTQATGTLPPDTGDDAVFTLAAIVVLIVND MMNGGGDFSILFDMAKSWNLVIIESNAVHDLPLLAFLREQLETFEHVVQPLLTEKPFF LNHNNMYEIFSALESAVKQACAIYTYHTTCGNPPENIEALLKELKDTALLIPSNTPGE SGLAWVYFIAAAKSASPLYRTFFARRLMGIHERRSFADVTTAFSVLQQIWDNWETGVK WMSSLSRMPLIFR LY89DRAFT_351570 MLVCFKALSFEDILRVSLAYAAMNHPPTSLFTFIPSVDDEFIPD RQSELIRTGRYVKGMHQHLRFQARKNADDNRNADGIYIQEIVGYSTYYLRIPLSLLLI KSTKLGFLGYIECSPTGLYAVWRVGPLKADPFINFKTSRF LY89DRAFT_691451 MYRWYEESAICYAYLADVPSDDDLQAPESAFSKSRWFSRDWTLQ ELIAPSQVIFFSNNWKEIGRLRSLFSQVSKISRIDEAVSYDGSNLDMFSIAQRMSRAS QRSTTRVEDIAYCLFGIFDVNMPLLYGEGEKAFLRLQEEIMKRSNDQSLFAWTGCIAN PPPSSYSGFRED LY89DRAFT_677500 MKTAAALNEREQARFPEETAIANARDDDVEEVVRADLTIVAKTD GTSASIVQVPIELLNSLLLSVDTLKKETALMKGETKSLRTSMELMQKQNANMSQQYHD LRRKHSATDSQLRALLRNMGGEFYLFPLLPLAFQIPQSWLSSLVNLSLYNANFQVGQQ IIWKAALELPRIIALRISGRAEINTLRATYGKKVVPTAKPQLHYSIMQVCRTSRDSLL QSVPKGVLDTRVFEGDRVGLRLGVLDNRYRLEINPDKDILWLLDAEGDDDGSPPYLFA RLLASLYGRNIHQRVIAVSMNTWDRQLNSNPWLFLGARIHVVMDVIYDLGIREIIVVV RGSEFMTAPDVTFVKAKGHPNDVLPEKTCQWMQAEQLEREMTHYLACIAADVWQANPR EMKSWLVHRGVAQETVDTNADSDMWRSTLTTIRFMEATTISAERRAASV LY89DRAFT_711904 MKTWDISVLLVITFTFVFLSQSVQATKGPKITHKVYFDVNHGDE SLGRIVIGLYGKTVPKTAENFRALATGEKGFGYEGSTFHRVIKNFMIQGGDFTKGDGT GGKSIYGAKFADENFKLKHSKKGLMSMANAGQDTNGSQFFITTSTPSHLNGKHVVFGE VMEGYEIVEKIENVSKDGRDRPTVEVKIVKSGELEVPEEGIHVEL LY89DRAFT_659956 MAEPTKPIRVALIGLPGGPISEYEGVSWTANAHLPYLLASPHYE IVALMNSSVASARSAIKRYNLPSSVRAYEDPNELAEDQEVDLVVCSVRVDRHFLTVKP SLEAGKDVFMEWPLDKNVTIAREMMELAAKSGSRTVVGLQAAFDPGMRMMKKYIDDGK LGRVLSSTVFASEINGGETEVKNVRYFMDREVGGNLVSIRFGHAFEGFAAVLGEVKSW DSLLANRHAVKDVVDPAKGGEVVVKDAPNTVPDQMMFQATMGEDYIPVSIHLRGGKPF PGTPTIEWRIQGSKGELRLTAPSGSLNVGRPDMKVEFWEMENDTVELVEFEEDEWVKL RVAARNIAREYEAFRKGEWVPDFAWGVKRHELIEEMWQRYDREQERMKGGKI LY89DRAFT_691453 MPHDAAVSRIATAVKAFYSRQEPYRIFHGSTNSTRPYQKQSVVD ISALSNVVSIDTTAKTATVEPNVPMDKLVEATLQHGLIPPVVMEFPGITVGGGYAGSA GESSSFKYGYFDQTVNSVEVVLANGDVVTASKTERPELFKGAAGALGTLGITTLIELQ LIQAKKYVKIEYHRRGSVHDSIEKLQQEIKDPSNDYVDGIIFSKNHGVIITGQLTDEI PELAQPQTFSKSWDPWFYLHVKDKTIVEATSPVTDYIPLAEYLFRYDRGGFWVGIEAF RYFPFVPFNKFTRWFLDDFIHTRMLYRAMHGSRKEFGNIVQDLSLPYSTAEKFIDYTA DELDIWPLWLCPLREMTPPTFHPYTTLPGPDETSKPMLNIGLWGRASDDLDTFVAQNR RLESKLTELGGRKVLYSHTYYTEAEFWSLYDKKWYDELRERYSASSLLTVYDKVKVDV AKHKQTRLSWTQRLASMWPFAGMIGIWGAIRSKDYLLHRHAAWRYRGTIEKKDTHLVG DSQL LY89DRAFT_545208 TNKKKSWYRSVPFQVAVASGVSFTAPGMWDALGGLGVGGVAEPY AVSAANALVYGLFSIVCVAAGAINNRIGLPYGLALGAIGYPLYGAGLYTNNVHPTTWF LLFGSALCGISAGFFWAAEAAIIIGYPSPHERAFYLAIWQTAKASGPIVGGAINLVLN ANRSTAGSVGSATYIVFIVIMCLGLPIALFLSPPSKVWRKDGSKVIIHKQPNWSSEFK AVWAQLTFRRILLLLPAFFISYFYNGYNSTFLTDYFTVRSRAF LY89DRAFT_691455 MDPTPAANLTWGWVSSGNDRGTSDILWGSLSTIYLCTWTCLCLN FPRLGEEQSWRFLFYKFRWQLFAIFFPEVLVATTAEQWLSAYQSVRIFRGMGYNGWTI RHGFYADMGGIKVAPPDAEPFPVDSHQLSFLVKNNYIPMPQISSDDITAVDKADGFAR LITLIQICWFSLQCIGRGIQHIGLSPLELTTIAFILCTLHDYFFWYYKPLDPLRPEIL PMEISMKEICEKEEARQTYIFTPLDFVSSPPDAKSLIAPFWFGISVVFDHGKDKEPKP RQTFPNTRILPAEGITWGMMWYCLFFQVVYFGLHLSVGWLLAFPSRVEWYLWTVANFA DLGLITVYCIALPLGTYFAPWIGRKIFGVEGATSILEVANMLPYWAKLAVHGPFVVAY IGARAIVLTESLITLRALPAPLYEDVNWADFLPHL LY89DRAFT_742492 MSFSCFLLESLPHSRSQAQTESPAQMEDELHGTMHSTTPHHALD APSNSTTTSTPQFQTLTSSPSSPLQTFHLFPLLPTELRLKIYTYALPPPTLHTISLPT CPSTCPIPSCPRQHAPRTLSSLPVLLHISHESRTECLRHYTVLSSPLGKGFHQAFDSR RDSVYFSNGRGPARDFWSVKAEWPACVEGGGKIRNLVIDEATLERGDFLKFGEEVLGL ESVTVLLMPWSSIGKAGGGGELVRTFGGAEIGEEEVVRGNDRDWGLKELRREFLGWLE EKRVELGREKKPVVKVAQWGACLRGGDEEGFTNGGGQWKLVETGLWVHWEYNGNAE LY89DRAFT_691457 MSSSQTDIIDSSIRLEKVKNVVVIGAGISGIVTTAHLLRIGLNV TVIERADKVGGAWNYSPQPDPDPPFPSMRPPTPELQQSCVEGLSLQDMKSRFAPPGPV YASMKSRNSAAVMQTSLLGWPEGTEDYMGHEKVLAYLQDLACVYHVNERARFSTRVES VAKRESDDRWLVQTCELIRTTIGYTLKRDTCEFDAVVVATGRYNVPRVPDIPGLSTWK QEFPSRVSHSRQYRTPDRFCNKIVLVIGGFISSLEITNDLTSNGAKVYHSAKDTMFDF RDRVDHENAEKVPMVGKFTITSEDVPTPRLLDDDSPIPGRVILESGRVLEHIHHVIIA TGYLCSYPFLGPTLEAPSMPLQDADEKVIITADYRTVHNLHEDIFYIPDPTLAFIGVS HFASTFSLYDFQAQVLATVFAGRVRLPSQPAMEVEQMRRKSRVLPGTFLNSIFLLDDF VIRRLLEWVNQDLVAGGFEALRGPDPKWRDEFKVLRENARPLLGKFQDNYLSS LY89DRAFT_659972 MKLIITGATGFVGKEAVRQALHNPAVSSVVVLARKPLPQDTPGL DEGNAKLQWVVLEDWTSPYSETVREHVMNADACIWSLAVTPSKSREMDFAYVTKICSD YTINGLKNMAAVANKPFRFVYVSGVTIERDQSKSLTFLADYRLMRGRVENELLEFAEQ HKPNVQVTVAKPGGIEGPGVAISTAAMQAVFALFGDTPTIHVSELAAALIDQCLNGIT EDPLWAKELVRIGKRVLRDDGGL LY89DRAFT_351669 MADNAQRPRPPPNRRRDKVQLSCDPCKHRKLRCDRHQPCGACSR RGLTNSCNYATTSSSTPDAQRSVGLRQSTNLHSRISELESLVVTLMEGQSLPTPPPAS KSPRPSSLSFTDVFLEIRRPKASQAEAASPADPGTLKLRESGTSYVQSAHWEAILTKV RGLKEDLVTDSKAPTGSHLFYGPSRHATRDEILAAVPPRPVVDRLVALHFDSYIITPY LIHSKKFLREYEMFWEDPSTTSIAWIGLMFSMLCIAAQLQAFTIDFTDGRAESLKAEY LTMKDAFREKAVQCLILARYTTGGPYILETLITILTGEFLLLKDSATDGWLLISMILH LAMRMGYHRDPDHFPGISPFEGEIRRRIWTTIIILDLALSLEMGLPRSATDTHIDTKL PCNLLDSDFEELTTEMPPPRPETEWTPVLPLIAKGRLISALGLICDVNTDIKPPSYDE IIKVDALLEDVHNRAIPPVLRWQTMPHPITDSPNLVIQRVSVEMSYYKSRILLYRRAL IGYPLRQSQEWDKESVRICLDSALKILSFQQMLHEESQPFGRLYQLRWKVAHIFNQDV LLATSVLCLYLQDVDKFELPATAGQTTWSPRAEEIRQRLTISHTIWLQMSTTSAEAGK VAKALSIVLGNTEASAAEDGSGSAASYDFLTDFDSMPLNGFGASSFNNQYFPSAFYAP LTFFDNVLETGGT LY89DRAFT_789415 MAADLESAHEKPAILEDGCQSSEPDQTTIEQETKDNVVDWDGPD DPQNPRNWPAWKRMTQVVLASTFLLTANLAATMFAPGAAALANEFHITSSTITSLTVS IYLAGFAVGPMVIAPLSELYGRLVIYHTCNVMYIGFIIGCALSNDTGMFLVFRFLAGC ASSGPLTVGGGTVADVVPPAQRGRVMSVFFVGPLLGPVLGPVIGGFVSESIGWRWTFW IIIILAGVSFTVSIFLLRETNAVVLLGWKAARLRRETGNTTLVSKMDRGLAPRQLFLR AITRPTKLLILSPIVLLLALLCGFMFGLIFMLFTTFPTVFEEQYHFSAGVSGLSYLGL GIGMAVSLGVYATVSDKLQKALGDSPKPEGRLKPMMWVMPAVPVGIFWYGWAAEKQTH WIVPIIGTSFFGFGFLWVVMPAQLYMVDAFGPEAAASALAANVVVRLLFAAFIPLAGP SLYAALGLGWGNSVLGFIAVAFLPVPFFFYRYGGWLRERFAVTL LY89DRAFT_789416 MEVLLAVSNRQQGLATSTSGLHYLWTFGPSAIFTLIGALWARIE YQSKVTSPWYRMTKGPAKADQSLLLDYLSMMQPVSVVKAIRNGDYAVAAIISTSILIK IIIAFSTGLITLSVTDVSHSSVPVTKLDRFINSTENFHSAGDLPYSMMYGLVELNFTL PDGITVPFAYPRITSDLPPATKFQTTLDGFSADLDCEPAAISLGAVTDQTAWPNSITM NLSSVGGSSDCQIATTAQGLYNIRVDQHRPNMTFSRMVNGGCGGSTACICTPTYNISA LDITRNSTQTLSVALSNNSNPTMLDGIHAWDLVTALLASYSSASANIVGNIDFQPDDI YIDPDDNSITAIRLRNITGDADPGLSAFPFDEEFIYDMWRNYWQQFAAIIAYESLMDT TSTPITASAITMEDRLLLVRTAKARDLPSNPSSLSGIASLLIHSEPLLASLSGLALSD PKALSGVLGCSEYQISEYRSGSRYFTVTAIDHIPENDDLEAADTKQDPKYPTALYPLA RVASFLALAGLIAGLEVAFQLSKSTRDSASLVGLYFGFLDFQARRMIPYINLSFGSVY DSSAGLDLLDRSMPSIIVEEIRTANYAALFNTMALGIASLLTIISASLFHTAWIPTVT PTQLQTVGSFITTNMGLFVGDSGGLSPDTEGAVAASFLVLESNLTYPAFTYENLAFPE FQIPPESVKRYVDDDDSSNSTLMINITVPALRPRFACRLYDPTKIEANITSNDTITLY IPDEAYETCSYFLDSIVTHLTIDYSGNSTGFFFGLGTGNTWGTPDDGTNIEFHRCSSY MWAWGHASTSPQPIVTSVSALGCNQTLEGVDVATTFFGTDLYIDPSYPPIPNESTVWE SNAQTSTEDYDTFLNIPSVGSLDRFFSLLTTSHYGVPADALENISQAQAVADAIVTQQ GIMDIQSISWRNRYPASNTNATLVNAPIGLQAGNDSIAHNGTLINTTGTDRVLQDAAA TRILEAILGAVLAFSALGWLSMQNTRLLPCCPTSIASAAALLVDGNVVQFLARGVDKT FDGDLSRAFPRGTMFRMGWGQVGCEAATMVDRFMIVAIPARDAKQEDALVSSSGGMLA P LY89DRAFT_691463 MAQTSTNTSTRIVELSSIIHEQTVKFDACLAANDLPTPSFDISC PLKLILPPEAQAARNAVLEATDELTNLMLGPLESLIPPPNSWISITALQRFKIANSFP PGTTSTFTEIASQCSIPEPDCRRLLRHAMTFYIFSEPSPGIVAHTALSKALAEIPPVG AFLGFVAEEMLPASTRIVDAMQQWPGSEESNETGFALVYATDTPMMEVVSCDPRRAQQ IGHAMSFLNSRPGENVSHLVQNFSWVGTKLLVDVGGAKGTVGLAIARRDPDIRIVVHD NPEVITGTKVPDDLRERVELMGHDFFTEQLVKGADIYLIRNVLHDWSDKYAAKILGNL IPALKKGARVLVNDRVLPPPGALSPYKARQPRYDGFLSLPELISTSFFCWFRFR LY89DRAFT_630770 MSSADANFQQALAKFKTRLTKEEEEDFKFTSLEDVVVEVSNIQT RQGQRREMMNLPRIKKFLEAMAQYEKIIEVFLNASEMLCFVWGPMRFCLHVASTWADS FDILLDAYQRLAENIPLFGDYQTIFESNIQMQDVLAVVYEDILEFHQTALRFFRRPAW KQLFRSAWKDFNSNFQHILDDLARHKILVESRANIAQIQEAQASRAAARNSFATLEEG QRKTRHLEVIGWLSAPNEVFDQEAALKVRSENPSSGKWLLQDSKMKAWMDTSNSVVPT LWMNGKPGAGKTILASVVVEACRQVPLTATIYFYCSYQDEQRKRFLSVARALIAQLLI QNSTLLPYLHEQCLASGQASLVSPQLCEDILKTCLTTMGTVYIILDGIDECDLPERRA ILSFVTSLVANNVTPGKLRALFISQDENDIKKLLRNCTVLRLTDEHNRSDIETYASKR SQEIQDKFQLSDETRRYIVGIVRDGSDGMFLFAKLVLANLLAQLNVDDLSKELRPDTF PKGFEQAYARIVSRVYQNPNLVERETAQRLLGWITCSKRSFKWHELQAAVSMDTQEQT VDFENRGLRTHVKDICGSLIDVIPGDRVQLVHGTAKSFLIENKNVRLYEEEHKLAVLC IQYLLFDCFDNNLTEDTIREYVTTGHYAFQDYAIMHWVDHLEALIPHLSADLVGSIDQ ISTAVVDYFEAYGSSDTGEEDVPEDLKIRCLQLQSVSFYREFLLLISSTRKLREKLDK LTGLGDLGEVINKNRRELEKLHLSSTLEFSTKTQLQRYYGDNWYKCPRHACYYFHDGF PDAARRDNHTDRHEKPFVCTAAGCIRLYHGFSTVKDLKKHMNRDHPDPANLFPKVKKP APKHTCAICAKEFTRAHNLKAHMNSHAGERPYKCKFCEKAFVRKHDRERHVEKIHPMS DGNTKDKEMAQSSQEIK LY89DRAFT_351881 MIHNANMNVLDTEELSNLALETVSESPGIYELELSSTGHVFFVG TPSISHLAVMNEKTARVLHELSQIESCRFRVYLSADIYASIFVKDVKSEKKIVLQVDI VIYGAHTSKWSVGCLFADSKIYLQHPCYQDVDTPYDNPHVLVITDLLASSSLSSPALS RTRTPVSEFEELMMTTNTDTDDSIRSQDLLQRQVGKVFGSLTRFKSLKRLEADIRVTT KLLPHQEEALDFMAQREFGPVPEQFSLWMTAKKSEQTYYENKITGKKEQNRPEETFGG ILADDMGLGKTLTVLSTIIRTASSAKEYAEIDRDGCELPSSRIQNVPQIPSRATLVVV PSPILIDGWKREVAAHCDGSLNIHVHHGRDRAVEHAALADYDIVLTTYHTIAAEALDP HPPLNRIKWFRIVLDEAHIIRRMSTKLHQAVSMLSSKFRWCLTGTPIQNSLEDLAALI AFMRCSPLDSMIEFRKHIISPVMGMETHGVENIRNLLDSVCLRRTKELLNLPETTYED RRIDFSLAENAYYSATQTERIATIKKSDSQGRRSKDFFRMFQLHLQLRRICNHGTFHK ASSQAGEDGEFEPGQAFEVLRKKRLARCEYCRTKIVGLEDLGNEENVGKFSTCGHLFC TKCYPKYDESLQTISGARLQCSICSRNTSSRSAILKGDSEASSTSYQTPRLHFAEGGI SSKVAALMHDIKNKSSEGKSIVFSCWTRSLDLVAHHLSLQNIDFARIDGSYSLSQRQK ILDEYEKDDHTRILLMTTGTGAVGLNLTVANCVYILEPQWNPMVEKQAIARVLRLGQH RDVKVVRYFVNQTYEVVCSIFTCDRPR LY89DRAFT_351910 MSYATFSTEQDPLLPKDEQAPEVMGSRPTSLKSFYDVETEANIA ENEGEDEDDFDPKRHLVNDVMAMIFGIALLFSIVFIFLPNGFWGDKPGPQTVEQRVHR ILTDTPLIDGHNDFAILIRFYFDNQLYTDKFKKLFEEGGMPMHVDLPRLKKGMNGGAF WSAWAPCPKDGMDFSDANYLDSVAFTLAQIDALTRLQAAYPKVFSPQVNSSTALKAFK SGQFISPIIIEGLHQIANSISNLRHYHSLGVRYATLTHNCHNKYADAALVETPNGVEK SKPVWNGVSDAGKDLIFEMNRLGMIVDLSHVSQDTMRDVLIGKEGWKGSAAPIMFSHS SAYTICPHPRNVPDDILQLVKEKNGVVMVNFAPDFISCVANTSNPSGIPDFFPANNTL DHVVTHVMHIGDLIGYDHVGFGSDFDGIGSIPEGLEDVSKYPDLVAALLKKGVSDEDA AKIVGGNILRVWKDVDAVALEMQKAGVKPVEDKLPSLRGEMLMNWETSFST LY89DRAFT_691468 MPGFADSFWSTDYAGGLGVLFGKLQQGVQENQQMLTIARMRAEA EDSYGMKLGEIGSATDRMSGGFSRDDGASVKKAYDGVRTEMEEAAKNHRKIAQSIRDL VVAPFTRWCDAHESRVQNSQDDLQARIKLHDKQAETVRKLRSHYFTKCRLVEDIEEEN KLAFQDPETSPKPKVPEIKISDNREEEEEPFEIGDEVYQPEQVKKILSHLLNTIRLGE TKVPILGTYQNTTSGAEIVEYFQKFMGATSVSHAERIGQDLIGNGLLRLIGNVGNIFA NSSKMFYQWKPKAFQLSGVPEKKVPMNRTFSIASNSDSIDSPVVGTVTEYLAGWNPLN TQHPNETPGDRLRREAAEADERYKASVRKLDLLRCQLEEAIIEHLRYLQRCELDRLKA IKTIILDFSGTISNVIPSLQSTVDNMMLFQETVQPDGDLRYLLENYRTGGFAPKVVVY ENYYNSADEQTFGVDLEARARADRKRVPAIITSILTFLDHRYPDLEGDEARRSVWLVE VPLHQTHRIRAALNTGKGFGIEALEPYEIPIIASVLKLYLLELPDSLVSSHVYEIMKT IYSTPASESTDSARISVLQNTLSQLRLSNIATLDALMTHFTRLIELTSADETYISALA TSLASCILRPRTETSMTMEEKYSYRLIRDLFAHKDAIFSELKRASSLSHSISVDTRPR AISTDESNRKANMEARARAIISAGSRSRATSPAPSPRGHRRDRSSGGPETRFPIQTSP THTTDTARTPRPTPGMRQSLEVPNESAPVVAAEPTNGTPLQSITNGVADAPAASATYM PGGSDGLDDIGVEKRNSLGRSAPAPSARYGRRPVGAGMNRMSTDHSSRNSVASLGGNE SSDSPVGGRVGVSLTDKPMDD LY89DRAFT_742502 MEVVHNTPDNEGLQVTEFHPHNEGRLALPRTSQEQDVRPSPVPS PSPYPPSYTGPLSPGLPEKPDTQPLPEIAPGASFHRRKFDISQRLFWLIIIVIVLVLG GIIGGAIGGVMASKNKGSKIPVSLGPNTQTSSIGIAASSATPTSGSTPTTTATNTISV TMPTLSVQNPTYHEKPSSQYSVFGVGLDSALWTYSTNVTSWTSMGEGITSSPAVSHQI WNGNSWSSWTSLGDGLLSPPAVTARGPGLMKIYVVGTDSALYVMWCTSGTWSTWWGLG GVLKSPVAVVSWTSSRNDVFGIGTDNAMWHISWDANANPEWSNWESLGGVFISEPMVV TRGSQELDVFGVGSDGGAWYRYYGNGSWSENWVSLGGIFVSSIVAVVSGTDQVDIFGL GLDAGIYTKQLSGSTWSSSWTALGGISNSAPEVITTGDNSIAVFVLGLDTTVWTISRN SGVWTTWVSLGGLFELA LY89DRAFT_789423 MDMVLSILPWPTVWTLHMEMKRKIGVAIAMSMGVLAGIVAMVKA AIFLPAITSEDFSYAIVNFILWSFAEPAISIVAASLPMLRVLLSEVSSAAYTKYPNDG ESRNHTIERQNNQKGSKSVHTVAITCKGQGDTGRVTDEGSDKSILERDIEGGSGLDVD IQKGAIVMRTETRVDFARGRIANKEEKQFEMRVM LY89DRAFT_742504 MSKRKDIAEDPGAAELAKELDGLPLALSTAGAYLEHVSITLSEY LQLYKTSWLKLQTTSPLLNSYEDHNKWIQELIEDELNFNAAVTLLCSFGLVEPDRSSQ QQVGARGYSVHSCVHSWIVFVLNKEWNKSLARLALTCVASEVPNTNEKHWWLLQQRLL QHATRQALFIADAKVDVDGLYWGFHNLGDLYSDQGKLAEAEAMYLRALEGKEKALGLD HTSTLLTVNNLGKLYSNQGKLAEAEAMYLRALEGYENALGLELVSFYLPALNTMFAFG DLFSQTDQKDLAKAMYNRALSGYTAVQGPSSNWCRELEDRLRALQVMSAESKVSQNDF TKPKATKSSSLKQKLRNLRRRLNTK LY89DRAFT_352169 MAFLRFLQRKLDGEIVFREPTSGEVPAYAILSHTWDEEEVTYQE LKKGKDKSKTVNKAGWRKIQFCAEQAKEDGLEYFWVDTCYIDKKNAVELGTAINSMFR WYQNAARCYVYLSDVLKPNSRADDQRAWEEDFRKSRWFTRGWTLQELLAPRLVDFFGL ERKRLGSKLSLESMIYEITGIANNALRGNPLLEFSIRERKCWAEHRNTSVEEDGVYCL IGIFDVSMVLNYGEGRGKAFKRLEEEIHKLNKGVDFEQFAVRRNLLLFPEAAQFVARE KELSKMHHRDHIGQRRFGFYRHKAVQYIRRHEEKYTAIL LY89DRAFT_725494 MATVLETRAEKFGARSFQIESDLHLEGGPNRFDPYEFYTFPHIG RFLLLPGDIGSLKATHATRYKTFTDRMRKEYDHVFLIAGNAEWKNGNVSMSDTLSTFK KLPFDDIGETPTPGRLTVLENETYDMETEYGLNITILGCTMWSKIRADAPRGAGDGAS INGNSQAAHNARYEESIAWIRRTVLEVRHNKPNHTIIVMTHHAPTIRGSGQKRRELSP TDNTATSLFSAYQTDILGGESVEGLRANDIWTFGHTHFSCDFEIDDVRVVANQRGGAK NDGAKMHGLEHNFREEDQYKTPVKNA LY89DRAFT_711917 MKFSIVCSALVAVANAHYTFPALIANGATTTEWEYVRQWTGFQS NGPVTDVSSLNIRCNVGASTKQAPGIMTVAAGSTVGFTAKADISHPGPMLWYMAKVPE GKTAATWDGSGDVWFKIYQDGPNFRRKRASLPAGDYLIRVEHIALHSAQASGGAQFYL SCGQLTVTGGGSGTPIPFVAFPGAYKASDPGIMINIYYPVPTSYTPPGPAVWTG LY89DRAFT_742509 MRIVNGLALWLLMASTCCVAAWDFGLNERTKSECQLVDFDTLQA IKRDGHNFHIGPKGFYINTKNGCFITEVDSSEFKTKQPKAYESWDYLMKLANGEQMEE KEDYTIIPAEREIDRSTTLNIALILWFILSRVFPGWI LY89DRAFT_600808 MADSGAQSSRYGPVQVHFITNSPDIELPEGKRQLLVPTNVRRYG LSQILNSESMLDTPAPIPFDFLINGTFLRTTLEEYLTTNGLSSETTLNLQYVRSLIPP LFEASFEHDDWVSCVDILSGSSRAGTWDGNEVAAGQERILSGSYDGLLRVWNKSGQTI ATSTASSAGGHTVSIKSAKFISPTQIASSSLDRTIRVWKYNEAEDHFSGDLKPVLELY GHKGGIESIDVHGPSNRILSASTDGSIGLWTTLKNSAPAADSTFLTSGPTAKRRKLTT STSTPQRGPLSMLSSHTAPVTAAIFNPLDSTVAYSASQDHTIRTFDLTTSTLVDTRTT SHPLLSLTALPGISTQLLAAGTSARHITLLDSRISAQSTQVMTLRGHTNKIVSICHDP KSNYGLVSGSHDGTCRVWDLRSSRTGTKDEGNGLVGEAVYVVERDSQKGGKRPVGGEG IKVFGVAWDKDVGIVSGGEDKMVQVNRGRGVTRPDNP LY89DRAFT_677523 MVRKATAAEQQEWDVLPSATDIARRRLSSFILMGCLLTLTTPFM PFCWLNCFDFIDYFVTRVILVGALYFQYVIAGIVAPVTVKMLDRRIVWVYKQRMYWYY AAFEVAIVVLVRWSGSELLRKVASVFLVGALWELGYKATGRRMKDLFRQYIWWAWAYP ISFPRHLAPSFK LY89DRAFT_702210 MELPLQSGFWVYVTSEQLIALFLAVVSYALIRCVYHLYFHPAAR FPGPTIAAISNVWYAYHWLTGKYAMAIEKAFEEYGDVVRIAPNEVAFITLKAASDIYA SHTKNQEHFTKTVFVDTGDKYRGVVWETDPTKHRQMAKMIAPTFSMKSIKAKEPIMHT YIDKFVQKMKEFGNEDAGIELKKWADWLVMDISAELAYSRKMNQMEDMKSAPLLEVLW RANYFLMAHQICKKFPLLSPIQFLFVSPSAVLNEIRARKLTTKELEIRIERRGKTTHV DHFDHLLPVGAPMPTAEEKKQLNMNALQMLLGGWEQISSECLGTIMFSLQEHETYKRL VTEVRENFTRYEDITPEALAPLNYLNGALMETLRFMALGTGLPRLSPGAMVDGNYVAK GVCVQYGHFAFTRSPRYFHDPRSYKPERWLPESHPYWEPAFKSDARESFFPFNQGPRV CPGSTLAWHEMRLIIANTLPEQKNIVFDREFTICAMWEKPDFWVRFHPVMRDE LY89DRAFT_742513 MSEPRTSSVVSRSNSISTHSPIQDLHEADLIDQATTSESNHQEP ETFTSDEHPPTNRWSSIEEDNSSTAFGSTTVPSHNGDLAEECLNDKGAAVHVAGHHLQ QSSALEDALEAPHEVAKKKSIRQALVDSWKKLLPHMLAIVVTAAVVQLSFRNVYWMDL KAPHENISPGLTQSGALNFLQLAAKLHELLILASISSIVLYAVQSHLTGPSGLPLVMV ANSFELTSGQFLRRKSFWTVPWSVDVTTGKKFFYLKFWLLSLLAAALVVLSGPSSAIA VIPTLNYFEISEPFRSPVLPYFIFNRSTDLWPTTLSSGSLNSPTSGNNCTDPYSIHMA VCPSSGFSETLQWSNYLYDEDTDQGSNITYTDYGDDTRRVVTTKSCNRTVDGRASAVG LPAWVSDAFTTYWNFAAENFEGEVLDATEPRLDLEINILAPRVEVLCQGYVDEEANGP DLLPPMVLPTWSNSSQFPVPDWTFRYPRLLNATNVTMYEVPQDGPEAPSLAVIVTIPM VLGEVGNGSNITWFQTTTTYACSIYSQWIPVTAWYEPHTNDQVEYSIDTDLGDTCLDV PANVHFSKSPINTTISQDYANGINQPMDYQDGPIPAVLALLYQFVYSDVHVENSAEFV FPVRDANDVETVEDGARARGKFISTILASVVTDGLARIAGDARYPYSSSMFLMPNRSS DGELEGKFPHWSVLDGEIITLNTTDENEVNNWVKIDFSFSRYGYGYMWSGSRTAQFGI SVLLVHVAVALIHTLMVLIGILGRRKTMHRAWESIPEMFVLAMNSKPNEKLQETCSSD GTIKSWKEAVAVRESEQGKLEIVVGRHDIEVTAPPRRGTGFSSLF LY89DRAFT_702211 MVQEALDKGIDPSTVYPNIPDVTAALQLLTIGRPPECPSYLMLA KINWDHFGADARVAYNACHSYALQVAAGGNLQLAYALNAFGDHFLQDSFAAGHMRTPR RKLHDSTGFADLCAKFMHDEDNAIGLSVKNPAGRTWDTFGDKRLLDKEDVANKNEAWN AVRTSADEIYQAWKTKTVPPFPAYGAWNWAPILEQIQQNQLIAPLFRPDGQRRADIRK RCEYKFTNNYWYWSTAADCKKSGLWDYPIKPTADCKR LY89DRAFT_601023 MVGLDGYCPRWAPGSVIRWAAWRQGFKTDEDAMYAANHLNIAAE KWNSLDIGVTFEWVPLAKDATFVLCHGGSNGTTLAQAFFPGPEDLSYLLVFNAAFSLK GWKENMWKIFTHELGHVLGLRHEFAMDINPKTGEVHERQTAVKLGPRNESSVMTYGRK PPEIQQSDIDSTKAFYALVDDAEGNPPKIGLAEVRDFTPM LY89DRAFT_691475 MHGDPEFFFLKPVAWMDAKKWENKMLGAFLKEYPSPTNGYVAES GPENKSLFEQYDSFGTEDGQFNDFVLDAHASAKKGVSATLKSLANVSFDGETTHAHSL EGKYLRFRRLTQLDVFFDKAKKDVEVSKRVPNWIKSGLKIPVCVVVGIMICEDVEVVW EEGAKKAVEAKGEIPIATIARAAAGIPPIPGAADDTGNLGAQYTNQREEGKVFRAKTG EMKIFALQLRNVTRGRMLKLFQRNELHMGDDSTSADQGRKFGVDEKTPLDDVDDNDLF LEMDDANDSGKK LY89DRAFT_742517 MTTPTSDDESFPLKPGVESWKSESASDIDSNDQQNRPSMTETVL GHDTEATIIQEEAPIYLQTQRVFSSLEMLSNEPSLSNPTLSHEVSTFQSRFGVWCRKL GPHLRDVYSLDERLRDSSAMKQHVLDCLGDINDALEEAQKISAKQTPISSTSSGNKET GISILMAKSTISDPLSFANTILDILFRVIPIIRPALSADRYERAVSTVLPYEEEFMQT CSAKLISLFPGLSPELRGRLVSASAQRLRFFQYAANYSLKFQSDARWEPNFERRTSEP LLSMPAFIKINLGDTDSTASRADENLDPFSQESTGDTLDTRSMKSATMSNRDMKYKFP PFPEESEGVLRECVACRLTLPLPSKDTWKAHILRDIRPYICTFPDCTADPNKLYSSRR AWFEHELAFHRRQWPCPFGCTTSMPDLASLNIHIASKHPGRAHDFSSHPLPRGQEISP AAEAVCPFCALHIKPRGRIESHIALHQVQVGLMVLQSSIIEDSDDEDLAENEEEDLEE ISEYHMADLDRSLALLPRKKLNRTNEPVKEDPSQYRRHDKSAIDNAVDLPATDKIKAG KMDDIPHTNWVLEDLRYTITYPQLDTGSSKALPATLVQQETKQTRDRRITALGLSSPF GKSRSGRHTWFLMPNFDFIYQEYSTICLGQVLTDPLRPSDGILTREVLHTPRPQKKHH YYMSPITVHVTVQREVEYVINDLHVKAMRVETHRFLAVNEYISEVIERPEIAKILKSR QKIFIITGLKVTIGSQVEVGGRNSNIGATVHAGVVVGAGIEAGIKLENSTAKSVSYIQ NPVLFAYSLTQVQRSSLGSFAVEQYKKGAF LY89DRAFT_789435 MEPQSRRLDTVSLHGSLPGNRLQTARVLKRFKGLQQKVDNLLVL VRRTKPYRNVLPVTLFVRYLKNEYLAFRGTLDGLTDAEHDETKGVDWMVVEETIERRC VSEYARYSDFVSRILLELDAITELLGFNEDVQITDIVSSNDRWGRLLQALYTLDGSGG ATLQVSFANIQQANEELVQIQRQSLKDPSTNFFESLLMLRDRVASASDILGPENGFHC QCPEPHQVFLRAKDCFKLDKGFEPTSEPWDQACSLLFQNLNSVQNQWRQVEMQFSTSN VEMSKKLEPTHQQLSNETASKTTSSNIADDTTKKTKKKAWKSISGLLPIFLKPNSVHA NSSNQVAGPAPTQVPLPPMTTNPSDGLCAFLNNGTGTGEEGQGYITMYREKASHKLVL TPADGMTQLDVRWADPLPILAQSEDPQLELSTEQRLQLAHQVAFSLFYLFSTPWIQES WTSDDVYLTWQESPVAFVHPVFSRKSIVVSNDALDIEKPSQPKVPFVNSLGRFLVELW CGTSWSHLQRVFLAGEGSSEVMEHDTFIFNRLLNWIGDSKIADRDKPFHLEGSSYLMA VRKCFTCDFNLSEVDKDSLLGNEHFARWIYRHVLRPLQYSLEDFQSQQERFFGTRLDF SPQVTAPRAGEDTKRLRLFANEDIENRQKKEKTADKWFYEYGKVKDFVRSIGRERPEN PADRVRVAILDTGVDVTHDDLHSPWLDGQIFYQNFVGKRSGIPQDDDGHGTHVTSILL QMAENVDVYVARVSRDGLDWKSKDVEDAIRWAITDKQVHILSLSFGFPNADQSLEGIQ KALLEAHASDVLIFAATGNRGDGNAVAFPACLDEVISVASIDGDNHLSSFVPDLRVGK RLCAIGEAIEAAWINKDVSKVAMHSTTERKAGTSYATPVVAGVAAMIMDLVWSAKHIF NDYNCKTLRTNHGMLAVLELIIYPKDKIKCLMPRQFFDKRVWKSYSG LY89DRAFT_765748 MKLFQFLALVASIFAFTLASPVADEAETLQYEYIVVGSGAGGGP LACRLAMAGHTTLLIESGNDQAGNINISVPAYQGVVTNDPKIRWDMFVNHYQNQSRAM LDPKFTWEVSPFVYHVGPNPPPGATPLGILYPRAGTLGGCVTHNALIWITPHASDWNN IATITGDSTWEATNMDQYLDKVYEWLPVKPVSPAILLNDLKLVQHIAGAAEVMGEGPP LIGTAAALAVTLTVDPNSRINPNRDSTEGLFQVPLTMKLGTRTSVRDFIDNTVASGYP LTVRQNCHVTKINFDTSTPPIATGVSFLDGNSLFRASPLSGGTGTPGSATVTKEVIIS AGTYNTPQLLKLSGIGPAAELESFGIPVINNLPGIGTNMQDRYEIPVNVVHGSDFSIL NGCTFDGKPHDTCLTTWENNPHVFDARGTYESDGIAAAMIQRSNYAANTDADLFIFGG PVDFTGYFPDWNDAIVSDHKHFTWGTLKAHTRNTAGTVQLRSANALDPAVINFNYFDT GTTAGGADQLDLDAMVQGLNQSRAAYKAYNDYAILGGDSFVETEPGPSVQSTADIENY IKERAWGHHASCSCPIGADDNPNAVLDSQFRVRGVQNLRVVDASVFPAIPGVFIQSPI MMVSEKAADVILNG LY89DRAFT_660002 MDRFLLENAALYRKLVQGLKPTPLPQSEDAINGAPNPDMVVSAR VRPLLDEDVIAGFPRAVFPRSTQTAVVDIHDLYNHPRGRPIIKSSNYQVDRLFDSKTT TEEIYEDLVADLVPFAWNGGIGTLFTYGQTGSGKTFTVSRLEQLVAESLMDGSFEGQR QVHMTIIDLAGNSAYDLTNSRKPISVLEDAFGVTQLAGALEHLVEDRDEVMNLIERAT SFRRTAPTLKNDASSRSHAICRIRIKDPSTDSEGLLYLIDLAGSEAARDIAKHGADRM RETREINMSLSVLKDCIRGKVEWDLLTQSKGPKSKQKKPHIPFRQAALTKVLKHVFDP STNRRCKTVVIACINPSLADTGPSRNTLRYAELLRVTVPRTDTLEPNPLVPTTWTNGQ LRDWITKNSGTPPVSPAILAPRESGAQLLRLPPAEFESRCLKTPGVSIEQIKAFRSKL WQLHIDGQRIANTPVSNTEESAEPSTLLRVENRSSSRDLDPTASEVPFKQRIRPGMAV SWHQPPESGDSALGMPDSLQLAVILCPAQAGQACIKDVLGSVVNSSSSEDTQNDKECD PRYLCALVTPGSTAEAYEVNLWRQIVIDVEMMEKEVFLEYDAGTRYYYISV LY89DRAFT_555210 RFRASARVVGCCFVAASAGWHDGCIGALIPYLQVYYGGVSDEKV ALVFIGSFTGYILASLLNVTLSNRLGLGRLIVLGACAQGLASAVIAFRPPFIAIAICY AVAGFGLALQDAQFNTYIARLPGAPTKLGVVHAIYGIGALLSPAAATLLMRANLPPPL FYLTNLAWCIASLGVLLLGFGFGGGDFSGRQTEVEADDRVASLKTVVSDRVVWAALMF VSLYTGSETSEAGWIVSFLMRERDGGSMSGYASSAFYTGLTSGRVVLLPLTAVLNDKR AVTLYAALALAMQVVVWTSPVFLVDFIAVAACGFVMGPVYPVTVSLVTKATPHGYHPG ALSLMASVGQSGSAFLPFLVGTLAESFGIGALQPVLIAMFALMILLWQLVP LY89DRAFT_352302 MVMSDIPDELSEPRCWTAEDCQKHDFRDVGRKPAYMTYGKGSKK TEWLHARYISPQENHDAGRFEAMTKIRDHFLDHEYQKEMLAVQANKTNHLERRGTGFD LSWAVPPHHAGIRISKIAQKHESNTEILKLLAEVNMDILGEAVPELNARGGMYLRQWA KNAAMTFGSESNVCSTSAQVNVSKLGENLGVALKGKGKPHMDINDVPTYYTVLLFLSN PPPEWYEGKFVIYSTRVFCEGATFGALVFSSKHPHSSQGFGFYQPDLPQDLRYTLPEG LTLPVVPDHLPHSRVAIPIYCRSDAMKPRKKWLKWLTSDTLPEEAIVVFGTKRNLQEW GLRFFINIRSKNDIRSADEWCDAYT LY89DRAFT_352338 MWEVEGALIVQAISKIVKSTLTKFYPEMNHPIFRWQWVKSAAAT YGDPDQIWHTSIQVNVSAIGADQVQAIKGKGKAHIDRNDMPYALSLILFLSHAPENFY HGRFVSYGVKAACECAPYGSLLLMSKLPHGCTGYGYYDPELPQQMRYNYPPGLIIPVV PKEYGFGRIVAVSYGRNTCYKSNINIPNPDLLTDAALPVLGSYRAMYEYRIRYQMTKR DKDDTTTAEEWSEKFSWMENGRKETPKMEIATIALEENPVYEKMMTAMKEMFLDAGCG MMMPGDGPKPKTGRRGGLLGEVKIRCHRINVNKKQCAIPLYVRRWGNTFCKRHQG LY89DRAFT_789440 MATAAAKQYNGSWFSYASPGEIFAASTVLPFLGIKMSLDDWLII LALLMLIIVAVFMLIGIAKHQIGYSTLKYALTESFSLIDKLTYFLQLFLIISNGFIKL SVLFFYRRLLIVDKRGVFSWVTFVAVAIIFLWTLAYLFTFIFGCGLHMSAYWGSLQGV EKYYGKGGFTIERSFYISDFVTDVMILCLPMPMIWRLRIGTAKRFAVTGIFLLGAMSL AASIARMAMYEEVYRRSFTDLASTEDRHLTSSTILYWSIIECSLALIAACLPILQVFF KGISLPAVIRSVRSVVSLHSLQSQNTQNSTTVGRVNAYSESGRTKLESTDGMHLPHLG EFLSMNNSTTGKYDGSEVLEPGRVYFQESMRTEHFQV LY89DRAFT_702217 MIAWIFIVLLVFYLVRKFFQQRAYENTILKHGCSPPPQYQHKDP ILGIDLFLSTVRSISAGNSFIHSQSLFGKYGKTFETNSFGKRVLLTMDSENIHAILVT LQRKFLVEELRIRATENFLGRGVFNTDGPSWEHSRALLRPIFARAQISNLGHLEARVD ALIGLIPRDGSTVDLLPLLKRLFLDTSTEFIFGESVNTLVPGTSSFDAQNFLGAFDTA LKGIGIRIVLGRLAFLQGIDTHWKKACQVVHSFIDRNIDVAIQRRNRNSQDSTKRSFV LLDELVKETQDRLYLRSQLLNIFLPARDSTAIGIANVFFLIARHPLVWDKLRAEVLNN DAPVNFETLKSMKYVRHVVNESLRLIAPSGRNLRLATEDCILPHGGGADGSQPIFVQK GTEVMFVFRSMHYDEDLWGEDADDFRPERWERSDSIPQHGYVPFSAGGRVCPAQQMVL TECAYILVRLVREFEKIENRDPEESFIEQHKLQMESRNGVKVAFTVAT LY89DRAFT_660007 MSTPKRPIYIISYTSPLFPAHWSLWIPSSYNSLTKTPGTSGKRI HVTGDALNGFTHEFSRNYDIDDDSRAKTIHFISWVEAVNVVDGDDAGDPVEDVVATDK MEEWALKVEAPGRSLRGVEEGLKSRVQVRNCQSWLREFVGKLVEMGIFDEDALEKVDA VPKN LY89DRAFT_742528 MRLFVALSAVLPLISAEVIVGQLNFYYDTNCKSYAGSVYPSPTE ASSGVLGNVRGGPAGSKSLLWVSGGLGPECQGPWFFACKNKECSAWDGVEQGECKSFE NGVWGAYQELCPVGE LY89DRAFT_352368 MSNGPPPPPNPPQRGARAIRGPQSALTDFLASHNISANQIRQDA NARRAAALASQQNGEDESNAPSPVADPEPAKPRRKETKAQEAKRKKEEEKAIAKIKAS KQFQKRRRQYGSDDDVTDEDEAARTLFQESMASLPGQMENCEICEKRFSVTAYSRAGP DGGLLCPKCSKELDKEEGAAKKKRKTQQGRARRQLQSNLLDGIYPGAKDLTTLCIETL AKNVDMADSFGDLPAPLIDKLSGILCKRRLMDSTILDLFLRPGADSVTVYDGSKLSSD DYIRIFQIVPTIKHLRLRNAVQFKNKVMDHLLGTTVELESLSLLGANLIDDERWDQFL TEKGSHLRELKVYWTDGHFGNEQLELLPKTCPDLRRLKVVHNQKVTDEGIAHIADLAN LKYLTLEITTPRVNTTPKPYVQVINSIGAGLRTLSLTDIPYVDDSVLGAIHDSCKDLS KLRLVDNETFTDEGFANLFTEWRNPPLTFLDLHKCRHIDAAEPRENPDSIGLGSLGFQ ALMAHSGESLKHLNVHSCRHISLEAFESVFAADKTYPELLTIDLSFCQQVNDFVAGSI FRSCPKLKTLKVFGNFGVRDVRVPKGKILIGVPNALGMQIEGTEDGEGRTI LY89DRAFT_711924 MAPSVTPRGRGRPKKVDSLTKSAASSRPSSTKPASRNSGRLSSK AQPKDEDSLSVMTIDTDELPGLSDLGEYVSAAKQKSGKGRASLSSVSTSHDYNESSGY STPATSAVATPVEVKPSTGRRGRRPTTMSASVPTPVVNAVARAAALRNSQFSLNASKK RKLVLNSDDEDDEDNSPDAQLARSLQEQEDAAAASMGRFPEMPIRRTPRKVQKILPKA DYVSDIGDSDSDMAEISPPTSLSKKKVQVELGSKGKNSVTFSSKKQATYIQDSEDSDD FIEIDDSEEEEDVEEDSDAPIAMFSPRKSSTIKKSPGKAIFKKPAIPSPKRGRPIKVA LAEPSQLKREDTKTSASSLTSVTPTESEFSDSELSLLDDMDSDASSDASDIPRLPTAA AAAPRRHVRRSQAEVRRRLNLAEDVHTGRAKKERARLEAHHPELHTMWKDLENLPKIG SITIEQPMNINRELKPFQLEGVAWMRAMEETEWGGGLLGDEMGMGKTIQAVSLIMSDW PAKQPSLVLIPPVALMQWQQEISSYTDGTLKTFVFHGTNRQTNNITVKELKKYDVILM SYNSLESMYRKQEKGFKRKGGMHKEKSVIHQIQFHRVILDEAHNIKQRTTGSAKACFA LKADHKWCLSGTPLQNRIGEFFSLVRFLDIKPFACYFCKQCPCSQLNWEMNEDNRCKG CNHSGMQHVSVFNQELLNPIQKFGNKGPGAEAFRKLRILTDRFMLRRVKRDHSSAMEL PAKEIYVDRQFFGEEENDFAGSIMNNGTRKFETYVAQGVLLNNYANIFGLIMQMRQVA DHPDLILKKNGEGGQNILVCCICDETAEEAIRSACKHDFCRECAKNYLHASDAPDCPT CHIPLSIDLEQPDIEQDEQLVKKSSIINRIKMENWTSSSKIEALVHDLHELRSKNMSH KSIIFSQFTTMLQLVEWRLRRAGITTVMLDGSMTPAQRQASINHFMTNVNVECFLVSL KAGGVALNLTEASKVFIVDPWWNPAAEWQSADRCHRIGQARPCSITRLCIEDSVESRM VLLQEKKANMINSTINADESAMESLTPEDMQFLFRGT LY89DRAFT_711925 MSATKLDNQIGKALVDFSANGAFPEEEAISAAYVTSTLLPTVLG ALGEARTELETEIRHISSDSASDVDDWIRNAKAIHDNIEKSRKLASSIVRQAEADEDR LEGLQHQENYVGFLSKEVYYNTQLLSCLKAIQEANDMLSRLEVQASEHNIVDALHTLA DTFTSIAKIPLEKSTRAVRLLDNRASGLREHFREQLLNVWHNLVHVEQENGVFSFTVQ EKLPNEPTTLSDAVVAFQSFKELDAISKKLWDNLDAVIFRRRTDIGAGSPPKLEIAEN TLSLGSGTTDRTIKTLFTDLEAVIKFLNQRLPQEIVDPLSRRMMPILCSRILEDWLDT AVPASLDAMVDYQKALAQVGDFATVLDSMNWPGGDSLHDWVSNAPKIWLNKRRETALD WTRNQLSLGIGEPQFAERKETRMIARDDGHHIATTGNTVHDDWDAAWDGDEPEPEPAQ NGHTKNRSSFEEELRMSQVSSPGPADEEDNEDDAADAWGWGDDDATADDTAEQVASAE QNQPQALERRISPETREMTISEKYWTSSLPQPVFNTVVQIYNDGAQLTKPESQHIPVT PSAPGLFALPTLILAMYRAISPYYYTNYPGGNMYLYNDAIWLAEKLKDFITSWNARED LSPRAYGMVRLDSEFKVLESFGKRAYTNELIAQRTIINDLLNGAQNFFQQPPSTLSSA ILPVLSHIRTQAHLFTTILPYSASSSATGSLVNTLASKLITDIFDLSDISVDDAERIA TIISSVESLDDLFLPKQSPTSPSPSTSKSPSDPGEQEIPLTPQFAPLWLKLKFLSEVL QSNLKDVRYLWFESDLSLYFTADEVVELIGLSFEMNAQVRGVVREIRERRDPRGVGVS AAG LY89DRAFT_742532 MPSQTRKTHFKTVQQFTTDYAPVSVSQYVSERTGMNVVVADQKG PKVQGYFTLATEIFDDSGSPHTLEHLIFMGSKSYQYKGLLDKLASRAYSNTNAWTATD HTAYTLDTAGWEGFAQILPVYLEHVIVPTLTDEGCYTEVHHIDGEGNDAGVVYSEMQG VQNTGGEIMDITARRLLYPKNVGFRYETGGLMENLRVLTAERIRAFHKEMYQPKNLCL VLVGEVDHNNLLQILDDFEETILDDIRSPSAPFKRPWVESAQPPGIKETIVKTVYFPE EDESMGDISIGMFGPDCNDALQTAALNALLTYLAGSSVSILENVMVEQEELASQIGYY WDSRPNTVIWLQPAGVATEKLAHVEKRVFELLEEVASKPLDMSYMKDCVRRERRQIKF QAESSSSFYATAIINDFLFGKRDGSTLKDLSTLSEYDILENWTDQEWRDFLRKWMIDA PHISLLGTPSKEMAEKIKTTEEARIAARKKKLGEEGLAKLAEKLKAAIEKNDVEIPSS VLQQWPVPGVDSIHFINSISARSGLAKKLGTPSNNIQHIIDSSKSDLPMFIQFEHVPT NFVHLTLLIGTSQIKTEHRPLLPLFIDNFFNTPIMQDGKKVGFEEVVTRLEQDTISYA MNGGSRLSDPEGLVIQFQIEPEKYATAIEWIRTMMFDSIFDETRLNAGMSKMLADIPE SKRNGNTMMYAVDAMIHLDNDSLYKARGTLVKAVYMKRLKKLLTKEPKTVLSWLEELR KSLFTFNNMRALVIANLEKLPEPVDAWKPLVAGLDTKEPLLPIIKMSQRLSPDGKNPG NYGTVVIPMPTIDSSFCISSTKGPTSPTDPVVPALMVAISFLEAVEGPLWTAIRGKGL AYGANFKRDPDGGFIQFSVYRSPDAYRAFAAGKAILQSYIDGTSKFETPALEGAISGI VAAFADEQTTMAAAGQFHFINSVVREVDDDYSSKLLTAVRNVTVDEIKTVMNDILMCS FTPGKSNVVVTCAPIMEEGIVKGFTELGFKTQVQALSSFQESYGLEADEDEGQDDDED EDEDMEDDSEGGEGEDSEED LY89DRAFT_725514 MDSPDLISTKDIPPQFLKSAFLAGHVPYKALSSDPRLSYQLYIP SEHINVNPADPEQNLPILPLVVVMHGTRRGSDTFNALVPWSHKHPCAILAPLFPTGLD GPNDIDSYKLLSSKTLRSDLALLSMLDEVSHRWPGISTKRIILVGFSGGGQFAHRFFY LYPERLHAVSVGAPGRVTKLDLGKDWPQGIRNVEEKFNRKVDFEKLRAVKDIQFVIGG DDNVVHGGDEFWKWLEKVKKKNGSNSNKEQLAPMRSGRLQTAKEVLGEWKSFGIEARF DVVPGVAHSAQGVVDAVLNFLEPIVKKMYEDGL LY89DRAFT_691484 MPTTISRRRGSTPMLLALPPPKPAKFPRFRDLPAELRLHIWGYA LPGPRIILLEHKRKKNSGAATDDLKTVDNLNPRVDRLGFRSDAPPPSILYACRESYAI ASKYYTQAFANSRGTSIAETYIDFKEDVLYLGSEWLGAGQMNHGNYQERIEYVLRNEL HVDDLSRVENLAIWWDNFHTGPRNLDDYLVMILRQFGEVKSVTIVSKKYCMPGFRDTY PKMHGELKFLDEMTETPGADIKLQGLDIPLSKTWLADQRGDQEYLQAISDIAWNGTTW NVPDISYNIITTPHGEELLLQQARDAKAEEVWGS LY89DRAFT_742535 MSSAGERQQKRNQKACTTCRQVKLRCDSHERFPAPCTRCLKKNI QCRIDPDFKRTRTRNRLDEVTNQLNAIQKTLADQSQRGPASVPDSSTLGPSLAVETTN FNEVDHTFYQYSNIDDLPDSPLILNLASLEADHVKSLFSHFEKYYYRHCPILDTSMSF SSLHQSSPILFWTIIIISSRWHPTLHVQYNLFLEPYRHLLGQMLVNQIFFLETIQALT LLSFWPLAVPRQVEDPSWNYCGLITNAARKLGLDKARPSSSDSTSVTKHKTWVAIVQA NCSHGWTSGVTVSAELLSSVGSTSPNCAATAEMEFFLKAEILRKLARYSSMIINFKAD MDSLPFVQGLCTDLENSKDGYEEYCSMETDIVILGAQLCIYALHIDQERRMSSVPTSS RTSLKDKFTARRDCINLAYMTAAKLVHHFSEMVGNNVGSVNEHDQASMTLQRYLPKHY FGLLLLSMVFIYRTKLLQASSTKSLPSNPEAHVSQVYQLLISWSREPLDEPARAARVI RVMLGAETQGQLKIQDSNGEGRPGISFLDEIINTAREIRQREETGVEVLPSESGNVTL PGSETYPTMPDFQSLPLESIHDPSLEWSFPWGLDLLSTEQYNFDINNFYNGFS LY89DRAFT_711927 MAQLDTNGKEGEVLHLEKVEAAPIKSSIFTQEDDLGAKRLERRL KWKLDLFILPLVSMVYFFASLGRSDLSNTKISGLDEQLKLSAKDYSNAANMFLVGYVV FQLPGTLLIKKIGPPIQFGIAMFMWGVFTTVSVAMTNRSQLMALRFLIGAAEAFIQGA VFYLSFFYEYSELATRGAIFFSTSTLAGSFSGLISYGVVENLNGAHGWLAWRWIFLIE GVLPVGATLLVIFLLPSTPEKLGRNFKEDERELAIQRSRRAHYEETTKLDWKLIHTPL LSVHFWIFVLLYCASHFCISSLQNFLPAIIKGFGYSGADAQLFSVAVYACAFFGVIFW ARVSDCTNRRGWTTAAANVFAVLGYALLLGLTGTKARFGATCLLAFGAFANIALQLTW MTMTFVGYTRRKFSIIGIFSDLRSRPTGIELVLGKDE LY89DRAFT_600945 MAPALLISEQDGAENTTLNHSTVNDIGLTPLCHIITPIGMLGYG FDENLTREALEDVKSDGVPTALICDSGSTDSGPEKLASGSMTAPRANYERDIQKLLTL SHEFRVPIMISSAGGDGTDDHLNVFLDIIQEICDEDVNKAYKFKTLAVYSNVSKAKVL ESLRAGKVKGCGSAVPLLTEENVEAATQIVAQMGPEPFIQAMEAESYFDIVIGGRAYD PSPYVAWCVYHANKNASPKGLSNEQLGGFTHMGKIMECGALCATPKSQSSMATVYQDG SFDIKPLSPGARCTPTSVASHTLYEKTRPDILPGPGGNLNLTKSSYIQLPDDISVRVR GGTFEFSRSVGLPYTVKLEAAKVVGYRTIVMGGIRDPILISQFSKVEIMIRTHVASQV KTSGDEFWKLGFHIYGASGVMQSLEPGPEEYQPREIFLVAEVLASTQKLANSVAHTTR VGIVHGSYEGQRGTAGNFAFGVGGKTDIEMGPCGEFCVYHLMSLEEGEQGTWKMNGEK TVAKSNGKVKPTFASTLNYTAPQTLTDISPVIRSKNSGPYEITLDVVFSSPEIYEIIK SSSLLSPSTIARMYHLAEDEIIWCGFFDQALGWKCTFPRMERVKVASGSFMESDVHAS QQYAGLLGLELSVEVRDKIVGLGLKVV LY89DRAFT_725519 MMSARKPHRKSRTGCVPCKKRHVKCGEEKPKCVNCSEYEVDCHY LPVTSVSLKDSLRSRSSSHSSSSLPKDPLGTGNATGIGVSSNPGNDELDVSDLELLHF FSTVTYATMSTVAVEQDLWRTTIVTIGLQHTFLLRALLAQAAAHLSCLKSSSESVNYL IKASTHQNIAIAQFRKALETIDASNFDAVLAFSCLLPVHSLTLAACATARPERNEQDH ILSEFLKAIRLLRSVNSLLLPSLSVYTSSPILPLLQVASQHLPVAENYPGQESIGFLD TVCCRNNVAEHNAAFSDTIKELRLTFSRAACPPDTERFTLGIILLFTVIVPEEFVILA NSRVPEAMVILAHYATLFCEHDDTWWLRGLGTNMVDIINQELGDEWRHAMEWPNEVAE RVRRERDGR LY89DRAFT_352479 MASDARKASSANEGFFQTAPTLENQFYDDASYQRILKLFLPKEI NETIAPDLSRLGREVLSKRIFDWVTDAERNVPYLKGSGRDAFGRQTSELVVTEGWREL QKFGIENGIVAAGYEGEHREYSRVAQFLKTHLWTGSNANVTCPSAMTDGAAKLLLSHL RTKTLDTTTREVFQDAYDRVTSRNCEFAWTSGQWMTERSGGSDVSGTETIAIYSPIAD GLSASDQILGHWSIDGFKWFSSATDSSMTILLAQTSKGLSAFFAPIYRMVAGSSSQKE LNGVHIQRLKNKSGTKSLPTAELELRGMRGYLIGKEGQGIQEISTILNITRLYSAVST VGYVGRGLAIAKAFTRVREVGAGKGKRVKLFDSPLHMSTLAKLTGEYHSMMLFTFFVA WLIGINEHGSSAEPGPSTSEDLRPNSADVPLLLRIMTPVLKGSVCKRCTYVLQECMEA LGGVGYLDNSENEAINIARLYRDCCVNSIWEGTTDVLATDSLRVLKGGSGDKVLKALD RWITKALSSSKKGQPALGNAKDDLIRKWQNLKAIVKEQTSEDLLALARGLLFQVADVI MGVLFFADSQQDVSSLSAHMCLDFLERKAITKEQILEAPTNWRKVLERNQAIVFGAPV TSPSEVASKL LY89DRAFT_742540 MLRLTKVSLFATIWAVSTAAHTVITYPGWRGNNLVLNETFLYGM QWSYPCGGLPATTNRTKWPITGGGIAVQPGWFTGHASALFYINLGLGTAGPQGGPPNE SFPMLPPFQIDGPSDNPYPGTFCLPQVPLPANVTVNVGDEATIQVIETAIHGGALYNC ADIIFAEESDVTPLTVDTCFNGSTITFKDPFAAEASPTTAPANSSSTCAISTSVISAT CPTQTACPQCNGDSSLKEGLGVGFPLGVLALGVLGL LY89DRAFT_789455 MAELAAIASIVGVAAKGAQLSMVLFEFGSTIGSARSEVNQIATE ISQFCGVLKQLHSTLTRAQARRYSISAIATTQDILKRCQEIFKEIEDIVHPLQKSTGK SNEQLSVDVIARVKWTFKRSKVQVLQKTLESSKNTLQLMLLVLDLARKTVSPRASTID KQLEDQQEQVIIQGLIAAQRCAVDQLETLENEAEEQDSHQRDPIPKIKSPDALDEISA TEDREQVMGDESEDERDVKKQRDSVWVNDLIFSNSPLSPGFRRNTWDDAVSSPSIESQ AAHLFQVWTDQAPEEAETSGQNDEKSSGSGVATDISEEKSGNKWKPLPKPPAQPHILA QPPETLYVVVLYDYEDDDTPNLAIREGDIIQVITKLESGWWDGVLHGVRGWFPSNYTR PIGNGSIFSDLIEELDEAEYEDEDENEDNFGVDNVDGDELDDVFRSRFSG LY89DRAFT_557014 TPLHVAAWQSNVRAIKLLLDYGATIDSVNYQIRTPLHIACMQGG VSTTSFLLARGASDSSRSFAGETAAMQAAICGKIEIIDLLNKRGTNLSSTGGQGNSLL HYAARGG LY89DRAFT_538821 FNILHVAARYGHIGLAKFILQQSLIDDIDCRAHSQDTALVFAAF YHYPSSAEIVRLLLSAGADVDAPGQRNKRPIHWAADVGNIEVIKVLLEHHCSLVPDDT GMTPQWRALKYG LY89DRAFT_742543 MDGLSVAASVAGIVQLADTIFTRLQRYAKAVKHASKDIRNLSDQ IRELSMLLHHLKLIVNGLEEEEEEEREEAGLSRDESSSNLADFRLHHVSSCYTTLRGI EDKLDKYLPKGQEHRRFKQALRDLKWPFSASDIKELLAEVEAHKRNIHLALSGETLAV VFKTLSRQDDLAAGIEEIKANQNERWAMQVRIHLDKKKEDVLAFFCKVDPSSNHRMSL KLRHPMTGLWVTEGLKFQAWLVTRNSKLWLSGIPGAGKTVIAACVIEEAMKRSSQDHA VAYFYCDYKDEEKQKPVEILGSLANQLAKLNEEAYSKLEQLYHGCHTNKSSGACAPKP EELLSTINEMASCFDEVSVLVDGLDECGRTHTPQIVQLLAGLASGRSSNTRTLFLSRD EPEISAILKEEYTHLRIEAHSEDLRLYVTAEIETRQHKTGREQLRIRSAGLKDEITKT LIERAGGMFRWVSCQLDYLCSLRTDALKNKALKSLPPGLEETYERILQQVNQEDITIQ RMVQRTLQWTVSSLARISKTALSHAISIEDNDRIIDLDSVYEEEDILMYCSSLIRRSA DGEYFEMAHFTVKEYLSKLPIEGADCSDYSQAQQFVFPQLAITCLNYLLLDSFNGSII EDLETWKDQSNKYPFREHAVEYWTKYAQEHMQNDRVSELSQHLFGASRGGCFLSWARD YFYHDVLTLTVEGSMAVLFDKFTRDFCAGGLKPLHMAAALGLPSICSWLLESNCGVTE RCYLGAPLHCTILGRIWLVRSQVFDNWFNYRAVFDHDDAEDTEGRNQVLEMLLAKGAD CKALYQDGFGVEFSCSYLAFFFQSTANANYPLLKLLAEGSELDEKVMAYIERHSDRIL DERDFVEALICKLKEMDLAQAFRLQAALKLDTSGSRAIAKYISKSSVPTSTSGQIDLF LSAIRFDQTERMEELIRNERLDIILQDPNSIAEFLHAAAKRKAIGALRLLLSLGADIN SVDQDGWTALHFVALDMDKNERHEQ LY89DRAFT_711931 MRRHSEIQEVAGDDTLHPEDTVVRRASVAVENFADLSAEAKDAT SKEHNMGILQALKLYPKAVGWSILLSTAIVMEGYDVVLIASFYALPTFNKKYGVLGAD GTYTVPAPWKSGLSNGALCGEILGLFINGIVSEKYGYKKTMMTSLAMMVAFIFIPFFS QNIQTLLVGEILCGIPWGVFQTLTTAYASEVCPVALRAYLCTYVNLCWVIGQFIASGV LRGVLSRTDEWGYRIPFAIQWLWPLPLLAGILFAPESPWWLVRKGRMEDAKKSLLRLT TRNDPTFNADETIAMMSHTNELEKQISSGTSYLDCFKGIDLRRTEIVCMVWLIQNLCG STFMGYSTYFYEQAGLPTVDAFDLSMAQYALGMIGTIGSWFLMSRAGRRSLYLYGSCA LCTLLLIIGLTAIAPASNIGSRWAIGSMLLLFTFIYDFTVGPVCYALVAEVSSTRLKA KTIVLARNLYNIGGIIVNIITNYQLTSKPSGWGWGAKSAFFWAGSCFLCIVWIYFRLP EPKGRTYGEMDVLFERKVSARKFRDAKIDIFRGDHLAPVAEGDSEKSGRKGLVVATYD LY89DRAFT_352507 MGSLDTSLTETAPELQLSHPSPGECQTIWNIISEEWRDALSLDE FMEESAYLLTVPLAKDGGMTMWVLVEKDSVPDQRAILATCESFRERALVSTPEGKIED VVVHGIASVFCDPKYRGKGYPKRMLSQLAQVLRTWQLGAGEKCVGSVLYSDIGKKYYA SIGWKAAPNNTHIEFPAHLHLKLDGVKMLEGKDLAQLCKEDEEMARKDLAKLSTTGRQ RMMIIPDHDHMLWHHCKVKFATERLFGGVPRFKGAIVGEPDSRIWAIWTHRFYDDPQP VPSGNVLHILRLVIEDPGRDSEVLQAQLQLIFQSAQAEAASWNLDEVDLWDPHPRVQR LVRRMDIEHAMFEREEEGIASLYWYDEEEKGRPDWIANERYAWC LY89DRAFT_691490 MTVTKRVWWKDGVVYQIYPASFKDSNNDGLGDIPGIISKIDYIK DLGIDIVWVSPMYDSPQHDMGYDISNYEAVYAPYGTVADMEELIEACHSRGMRLILDL VINHTSDEHKWFEESRSSKDNLKRDWYIWRPAKYDKDGKRMPPNNWRSFFSGSTWEWD EHTQEYYLHLFAKQQPDLNWENPEARKAIYDSAMEFWLKKGVDGFRVDTVNMYSKGTS LPDAPIIDAGVFEQPAPGLFCNGPRMHEFLREMNTQVLSKYDTMTVGELPHTPDPAHV LRYVGSGDKQLDMVFQFDIVDLGIGKTHKYEYEGYQLPELKQVVSKWQKFIEGSDGWT TAFCENHDQGRSVSRFASDAPEFRERSSKMLAIMMCAMTGTLFVYQGQEIGMINAPKD WPIEDYKDIESINYYNSVKARGGDEKALAHVMKSIQILGRDHARLPMQWDASPYAGFT GKKDGAWMRTNDSYKEINVANQTEDPNSVLNFWRKMIKMRKEYRDVFIHGAFEGYDVE NRDTFVFSKTKGESRVVVVLNFTGRESGFERPKELGGKWELLVSSAEGVDGTERLGAY EGRIYTVN LY89DRAFT_677557 MDLSLDSDIHGYNRPCPHRAPHMRDLVHYRIKTETRQRMRLDDQ YQPEEITDFSKSMIYQFATSALAITLIEPPSCCDMSPLSNPTHLHTCTATSLLRRKDV PVYRDEIVKQTAELHIYPISGGRMTAMIRAEKSVIDQFEKSKYRSRIGDI LY89DRAFT_600901 TYSTDTALPEQTIFMPATVPSTLKLPVILWGVGGCSDTGTSIAP FHEGLASHGFMVIANNGPTTRTQTTAASLTAAVDFVYKVAGTPGRYAKTRMVVSGWSC GGLEAYVVANDTRFSTVGIFSSGEFAAADSLAVAGKIDKPIFYFLGGSSDIAYANGER DYSDLPKSTPAWLGNDGKGHVHQFTAPDGGMIGDAAVHWA LY89DRAFT_691491 MSTHDNTEIIPAALKPGDTIALLSPSSRLNDIFPLRISRATTAL EVLGFKIKSIYTSLPTPCSHHQQIHHRVQELHSAFTDPSIRAIISTIGGLSSNELLPF IDWDLIRTNPKIFVGYSDITLLHLGIFAQAGLRTFYGPAAITQFAEIPEPLGFTVEHF LHVLSPRTEDVGKPVGRMPRSEVWTDEFGDWGNEDKELKARKLERNDGWKWLRKGECE GRMTGGCLPSLLQLAGTRYWPSFQGKILLLENPEGERPDGPLPLEQSRSLMADLVNVG VLDEISGLVVGRPTGYQGEELKGYEKVVLGICSGVKEDGTERNFPILFGVDVGHTDPM LTVPLNAMTRLSSEEDVWEVLEPSVS LY89DRAFT_660043 MSPDQPVRNDATSHLSAASIVGGPEMTAPTPAKSNSQDNNMISN ANTNHSVPDVKEDELKVVDGENTAGNGVAGASEASSTTTKAVDTVTEVFAEASTNDQD NLHRSIQNAYRSQNFYGQSKNLFNQEKDDEKLDIRAKQAVLHLTWTDIRIKEMEKEIK LLRRDVDGLPADFEVKKTSKQPVYEHKLRRSNLSGFRLNDESKNIPDKQRPAVEVLIS DHNAPRVSESTAESSENSPTNTVDPKIDASNRPATEGSIVSIPERLRIRSRALLSLLG RISGEELLSVGYTRNVENPAPVIFLRPFKLFVTYDEKIRAEVPALELKIEKEAQQAAN VPEVPGVKKELPDFDNKDLLADLKLLIEFLDVDLKPTFELRRKIKEGTATHIEFQDLW HLFNPGDLIIDPAGDSEAFRVISYTGGREILIDKIDPVKKPTPLEGFMVDCYNLNYNG SVYGPKLYTHSIRRFRGLQPITSLPIYPMKFDPSFTTLKQTLIEQGTQYLELTKAPYA HKTLVGQTLDEPVEEIDAQVIVDMTLAINKNAEWNPDLKISEGDLTEPDKRETVIPIF CHHHPKYSEGCCGSDVTYKDQDWDESRFSTYFRDHRQSLAHRKAHEIEGDDIMLLPFW AYGFVLRSRRWITLRINALSEVKYESTFDDLVLPELHKDTVKALVQDHARSSAQNSAA TSKVGAAMDLVRGKGKGLIILLHGEPGVGKTSTAECVADLTERPLFPVTCGDIGETAG EVQENLDYNFQLAHKWGCVLLLDEADVFLAKRGKSDMRRNAVVSVFLRTLEYYSGILF LTTNRVGSIDPAFKSRIHMSLFYPHLSLDATAKLYEVFIKRTVDEQKKSQMVEFKIKQ KEILRFAKSHFKRLEKSGLSTWNGRQIRNAFQTAIALAEYEARQPGAELPFLGKSQFE IIAEASEEFDRYLKHTLGDTDADIARKENMRSDYFGAQHVGKPPSVARSGLRPSRRNG RDPESDFEVASETEEEDEDEDEDEEDGEDALENHRPGKAAAPGPSKTTTTVVTKEDFG EDDMEAFKQFMQFKKMSKK LY89DRAFT_352668 METDILEQVQNLYPTRRPNEAALFIENRVILSQESDLPIYESQL DQFVSREAAYKQQIISAELWKNADEEKEKLFALVEQVQAKLSKTKVPQKNTKLRSCKW EEVISEVKDTSQKWKTSPSRTARARRCVEKLGQDSGALQAWVGLLPAGDYGSSICGAF KLAIGAASQLHKVEDCIFDALVEIPECMENARRYVSIYKDHPDQYLERKTFDLYLAVL RALSHIMQAFADDGIHRFLGSMAKQSTYKEELFKSVQEVKKLSGKIKEEAQQCLAERQ ARMNKTIDETHRSVDKTEKLVQSIYCMLVERMTSESKGLALANLQASAGSTPKKAIMG PHQSLKETKKLLKMLDYNARLPADDLEACLKLADLLDEEPMARAAAMVQHDKLRIWLA EGSSSRALLVNGNSDLGSAEGQSPLSLVDAELVKISESMEAAFVIKYFCGLHTQNLDP SPASSPVGMMASLVGQLLSQMIDREMDVDVSFLEKSNWKDVESHNLFALYMVFRNLVE QLPPKTLLVCVLDEVSLYETRSMGGETDTVMRRLTRLVANSTDVTFKMLVTCRGRALD FQQYFHPDILDLDEDIEVDDMAMWNIKHIRGSE LY89DRAFT_677562 MKSSAVILALLSVATASFFAPRADTTSSVDPTTTTYSISPTASC LAACKPGDVNCQAACVGSAHPNSVQVNQTNVCADNCPKGDGSTSANNAYEACLDSCIQ SYYPTSQTAAPVSVGSGSVTSVSAGATGTAGQATGSGSATTSTSASGTGSVAATSSSK AAGANNLPYIASAGGFGGLLLAFLAL LY89DRAFT_691495 MSTHYRNRRLFSSGGRTVYTWSDYTNEVRRLSEDHDTIVINTDG GCSNNGYSNAQASYGVFFGPDSTFNECGELPHDLRQTSQTAELYAAKMALETVLSMVY NYEGYQMGGFERVVLFSDSAWLINGITNWIWTWERNGYRNSSGRVVSNWQLWEELHNM ILEIQGNGFEVCFMHVNRESNREADALARSVLY LY89DRAFT_352727 MASPPPRPLLLEPATEADIPTLASILVAALFPEPTMAFFFPSWP STSGMTRFYTARLTAKFHDPDSELLKLTYGDEIVGLGSITLKEGETKEYSKAAPMKGF DPEFAWASGECFRKTEGLMLGRKSYCILPGPTSCSSF LY89DRAFT_742553 MSLEEVQKVAPPPWKSLVAGCAAGAVEGLVTYPFEFAKAMLQFA PNAKNSPSTAMVCHAFSILLSLSVVSNPFVLVYKTAAERGIPALYVGCSTVVLGTALK ASVRFLAFDSIRGVFEDEQKRLSGGRALLAAMSAGVMESVLVVTPFETVKTALIEDAK RARPQYRGMIHGTISLIKETGIGGVYRGVSAVTMRQVSVSFARMLSFSSMKAILQSGQ TDQNANLGRGTTFAIGALAGVFTVYSTMPFDTVKTRMQTLEAKSQYTSTVDCALKMLK NEGASVFWRGSTARLGRIVVGSSFFFLWIER LY89DRAFT_789467 MDHSPKYLGMRGARLKVAMVLLVVAPSFLLFGYNNGSTGGILTL ESFVHQFPKLDTVNTKGSVKAHNSLVKGAVTAAYDLGAVFGSLSCIMYSDKIGRIRTI LAGLILAIIALAIESSAYTVAQFTIGRVLVGWAIGTISASVPVWQTECSTTKHRGAFV ILEGLCISAGITTSEWVTYAFTFAFSSSAEWRVPLVLPVVFALFVMPFLFFMPESPRW LCQGRLEEARRTLAALADEDLYSLDVSAEMDRIQLSLESSRGSLKLLLTNGKERYLHR TILAMTDLGFKANKSRILSCTLVTAQTCCSLIPLFTVDRFGRRKLLMFSAAGLAVCMA VMAGTGGSSTTASAAVVFIYLYDFFYPIGFLGLTFLYATEIAPIRMRVPITAIANATQ WLCQFVVGQISPPGTTNLSNRYWIIFAVLNVSFVPIVFFFFPETNGRSLEEIDHIFEN SHVFNVVSTARKLPYQTNQDLEDMLHDKAGQEQPKHVEDTDTDKEGGKMSKA LY89DRAFT_742555 MTTTSITAKMAEHSTISLTTDIGHLQARVQKCKGVDIVSCLNIS FADISYRWAAPILSSVPWDGVRDCTKFGPQCPQAKDPLFPGHHLPVFGNLEQGSYPVQ STTSDEFKCLNLNILAPLENLKKTDAKEKLPVLVWAHGGAYWTGCGSVELYDASNIVA RSVTIGSPLIVVTFNYRLGVLGFLHSRELALDAQFQSNVPSEFRSTANLGILDTFRAF EWVKKHIGAFGGYLHNITGMGESAGSAILNMTSVLPHLHLKIPRMILSSSTMFSNHLL PKREAQKWFENICTRIGVTDPCSSVAALRALDVDTLIEKTNFARISFRPILDGMTIPY DPRQVIFDYTLWDDCLEQIVIGHCENESFLRSATHRGMVPPLETTIAGRVPPIGNLRA RAMEIYEESKLSNFTWFSGKNDRKATPSALCWLALDSHARYYATTQLLSESFIRSRYP DESKPTYKYIFSWAPQFWPKSWPATHTADILPMFLHRGLSDNELQHAHTFADLLIHFA AKDTEAMFWKPYEAGDGNRKLNQFQRNGSWTVMDEDSGEFGLRKDITDFWRDVVEAAL DIGMEGWEGMIR LY89DRAFT_711935 MVAIQEPVTTLKPAAKVLPGKKTKPYISPYVSLAGGVAGGFEAA MTYPFEFAKTKVQLRGNSSTTNPLLVIRNVVQSDGIRALYTGCSKLVVGTAFKASVRF LSFDSIRNVLADDAGKLSPARGILAGMCAGIVESVVAVNPHGENKNSINFLRIDDAKR EHRFHGGLHAARTMIKESGVSALYRGLLWTTAKQCSTSAVRMGSYNVLRESLKERGVK QTPVVTFATGAVAGTVTVYATQPFDTMKTRSQAAVGAKTSDAIKSILSENGVRGFWKG STMRLGRLVFSGGIVFSVYEQVAALLIPLQKQRML LY89DRAFT_711936 MEKRRKAQRQERRTRTSAACTFCRMRKLRCSLVLRDDGSHASCE NCILHQKECRYVPLMPAARPSKSRIAELEEENRRFRERLSSTEGSNAPSPSNASSRGN DTVPLPPPNQSGQPIPSETILGDASGDEERSGPQATVSGIFTSANGRASYHGLTSTLY DDFPGEENRNDATVDRQFGDERLTAFASTQRQWELINYNSGKLDFDGHHSFLLTYRPV FMRDMACDGPYFSKLLLNAIYFGASKFSPRTEVRRDPQDVRTAGWRYRQRVKELLGDA LNRSEITTIQALLVMTSSLFALGDERNAAWIYAGIAIRMIIDLGLHSDAPIHSGTKPL KIEDLEMRRRVFWGAFVVDKIQSLYQGRPVSLDKNLIGSVPMVFLDHYEELEQWTPFA YSVTSHHPGTPAYSVSTFTELCKLCVILNDILNKVYGEKSAKRGSDKLTQDLKSINSD LVIWDHGLPAHLRFDPSANNAVAPPPHALSLHALYNVLRILLHRPFVSDGHLHTASPD IAVNSFVICAAAAKTIVQLLQTYHRAYSIKRAPYLISYATYVAATIHVRIAAHRGPTS EAHASLRACISVFNENQENNWAVRRAKAVILNLLNRMKVPISGDPDLYGNIDLENEQA GSAVPTLSHEKTGYSVPNLQTTAIQPTIVIGEGHQNQIAPDLDIDAIIQSFVHEQQTS SPTATMEPEAHQISSATFPSYSNGPFHQPLMSDGMYINPHLYWSNQPPADYSTNDMLF GFNGSALDDFTKGPAQDDR LY89DRAFT_765839 MILQLAPHSRGRRKALPAVMMRAGTSKGLFIHRHDLPASETEWA PILLSAMGSRYASLRQIDGVGGATSTTSKIAVIGKSERPGVDVEYTFVQVAVGESKID MSGTCGNMASGVAPFAVDEGLVDVVEGQTEITIMVYNTNTKQEFLETIQLDDQGRFKE EGDFMISGVPTPGSRIDISFVHPAGSMKGKLLPTGNPQDIITIPGAPSVSVRASLVDA SNPIIFVDATTMPAIYHDLGPNHIQSLDLVESIRRQGAVLYGLASSIETAALVRGTPK IAMVSSAYHPDVEIQPDITVLAYSMGKMHPTLQLTGAVCLSAAASIPGSVVAELVMLN SADTPPRSPERDSADASKEEHKDLVIKHPSGVMSSNTVVSVDDSGALTVESVSVYRTA SRLFEGYVYYSV LY89DRAFT_702235 MQMSSPEDHEKQSQEFNNSRPRRSHRKSRNGCSECKRRKVKCDE AKPTCGRCHLALQKCNYLPAPSTDDRKNKLVLPSPPRSSSSSSTHRLSASEFLPPLFE VPSCSTPPLVISELCARFQTLSWPLSDTDLYHHYLLYTSRTLTHCQRDQGVFQIGMPT LALQHKTVFHSILALSATSICCNMISREPPPDPSAVSHILVTANEHYNMASEQMRELM SQPQISKPEPLLASTILLVPFAAASQQIHHWIWSKSGIKKSNKLLASTPRDIIVIMRG VRTMLQTLSYGNESPSIKMTQETDLETDSLWLTEIGTPLHASPLRSRTHVMFPIIAAS IGEAFSKLQERLALSTTMVHGDLCDLTACYSACEVLKTIGNTTFSPFKASRSASLSNI IEDSAQLKLKEASLPQVAPWLRSYAIRHGIPEPNEPLTRYFLTFLVQVPQTYLDLVLP LLDQRLESPSEDCPPLTMEQALALDIYAHWSILMFLVEDESWWIGNLPVVTMTGMLNR FGDDFVARAWPEYGREQWWPGRMFNVLREIKRRR LY89DRAFT_765843 MASLFVAALAFTLATTSTAAPALTERNCINLQLSVPVTANTTNL DVPRVDNNVDAVDLVWNIERWTAPNTTARITGTNLIQQTFSINAQLCVPNNSTKNVLQ IATHGFGFEKRYWDSELHPELYSYVEASLAAGYSILTYDRLGVGRSDKPDAYDIVQGS VQVEIIKELTLMARAGSLTTSSSIQNTAIPKFDKIVLVGHSLGSALTLGVLTKYGNLV EGAVSTGFIIEGVTGLVSDDAFGLEYAASNDPQRFSDRGSGYMVQATQSNAQQIFFKK GHFEQEMLEYAVSIKETGTVGDFVSLGLVLGQPALEFTGPLLFALGEYDYAICNGNCT GFYDLPALKSSLFPNATDLTAHVQPGSGHALTMHTNATGQFQAIFKYLESNGL LY89DRAFT_765845 MKLSVIPNRLVDIAFLLVLPCVNAQASLPALNGSSTCGAECQEL VPLAIAYEHSAHAHYPLDDFYSIPSNFTPSMEPGTLLSVETHTNLTNYTVPSSLTMSR IMYTSMSLNGTIVPASAFVLWPYAPFEYNVGKTSKTASGKFPMVAWAHGTAGLFAACA PSNYRSLQYQFMTSYSLAIEGFAVVATDYAGLGVTTLPNGEQSHAYLAGAAGANDVAY AVEATRKAFPAQFEPEGPFVTMGHSQGGNVAWAFAERQAHTKVSGYLGTITISPPMRV IDELNAALQTIATTTIPIASLPLWVTTIIGLQPIIIAGITAVFPSYNYSGMSPIAYDR YQNVVKPLQGCLPTNSLAYADVTDFAIPGWTNDSIVQQWQDQYHVSGREFQGPLLIIA GSNDVISIDQLSSGINASCDASCDQSLEAYVYNDMEHFPVIQASRIKWMSWIKDKFTG NSKSDGSCGKMTTVQGFNTNYTIQSQAANWLVGWTNSSLGWEESL LY89DRAFT_725539 MGIYLTLILCLGLAEIVRRCISSLMLAFTGPLSKIPGPFVSKLT RFPWALESIQGKHMNFTHRLFQRYGDIVRVAPTTVLIADKAGIQKILVEEDLVKAPFF DALRLHPGNPMLVTERNKASHKSTDVMSATSLGGSSHLVTSNDTKLKKTFVSILVKAA INGQFPYLRYIPFWPQPISAEMNRLLENVLKKRDIAGQPAKRDIVQIILDAHQTDPVG FPEIRMRDEITMFMVAGSETTSASTTAILLHLVNNPAKLKLLEEEIESKFSENDEITF AKLHDLPYLNAVIWEGLRLMTAPAGLLRYTEKPTIISGYEIPSNTIVQPWPMALMKDP RIWPDADSFIPERWLGVYKGVEANRSDVLAFSAGSRNCIGWQFAMREMRLILARLVRR YELSLVEGQSHERRYHSTPWFVQGFYKVGIKQRQPSHA LY89DRAFT_352782 MSIISSRRRHKVDSMVAFGGTIPLSLGFSCYTTWSFLSLLPLLS EFYSREQTVHRCSCILNSGRELSRDEHLRIWKILLGLHSAQSGTVYTINTS LY89DRAFT_691498 MAANIVSRFYRTNLLRPVACPKTLLKTNSPANGQQTTRTVSRTH DGIGKKLIFVKDAKASLGVERTKRSDFKDNGPSAKAPSTEKSKQIASIKQVNATNPNI RVIELPKDKTGDRLMAAAREFWLQRVPGVDPEMRMFPLELRKRIRNGTQSLHLEVDLK GAWNEFRPNGIRFAADEKLGQMLCRASGIDIADGKEEEGAERQRLFAGLIVTGFLGYV EYHRRTRNEEGS LY89DRAFT_691500 MAASKNNHTLETLWKHMSTLSPTTPLGTLTEITTFFAPTGSFYL HGMTQGPSTSHASLITTLQTLQTYWKIVERKLVTHVEGEDGTIVNAMSNKLLILGKEV NGFAECEVVRFDEDARIKEYLLYCDAAAVKEAFAQKAVEEEE LY89DRAFT_677577 MFSKARYMNHMMSFHTIKVYSKALESVPKVYFWYSLIPQVNGRD WKREGEGEREGEGEGMLNRAAGIGDIELFDQLVARGAKPSRSNALHNAACSQNASAMI THLIEKFDLDVNADDGCGGLNELVQWKVTPRFPLSYAVDRSNLPAIETLLKYGAQIGD AYSFAIKNKNASALKLLLDAGADASTSLGVAIIDDYLEGARLCQEYGGDIAIGEARHK MVAGFGWSYTGMSSEMRNLLDEWK LY89DRAFT_352805 MSNTTSDFVPAPSEKTFSSYTPDQGAKYAQARPGYSPSLYASII AHHTSTGGKLDTLVDVGCGPGMTARALAPNFTHTIGLDPSEGMITTARSLDPNIRFEI STAEDLGWHLDPKIEDESVDLITASTAAHWFDMTRFWPRAAQILKPGGTVALWGTGGI NMHPSMPNAVAIQAMFDDFEERELKPFFEPGNLISRNLYKDMPLPWTLSPKVEAFEEK TFFRREWGLDNSGFYEAAVMEVDLDRMEKAQATLSPVHRWREANPEKVGTEEDIVRIM RRGVERLLHEAGVEKGKEVVKGSLSGVLIMAKKKA LY89DRAFT_677579 MELASLYDVAVFISNYSIPGFTVLIAYWILRQYLSYRRLAHLPG PTFAAWSNLWLVRTIWNQQSHLDVYEVSKKYGPLARIGPNDVITTDVRLIHRLQAVRS PYTRSNWYRGFRLAPGIDNVLSFTDDNLHTKRRAQLSPSFSLQNQEAIIDSHVARLVG LIRKKYVSNENGTKLMDFAQKVQFFALDMVMDIATGSPFDDLVHDEDRYEYLKNTADS LPTIAMIQYLPWAGKILQTRWIAPLISPSASEYGIGKVVDIATRMVDVRITDQSETQK ADMLSTFLSNGITHQEAIYESIVTILGGSDTMAIALRATMLFIMTNPRVYIKLVSEIL SLSSSLSHDTIPSASQVRTLPYLQATIKESLRVFPPGTGQMPKVTPTGGETINGIFIP GATNIGSNPWFIMRSQDNFRPDAEIFRPER LY89DRAFT_352838 MSKEDAYAAAKRKDFEEKGFQDVAAAPTPFKTKPWWKFGGKDYS FVPVDAGYSQTAASSDSNLDAVQDMGRHVYETDAAKDIYKPIEGYEGAHRFDPSYKWT PEEEKRLVKTLDWRIALPACIMFFALQLDRGNITQAISGTMLTDLKMSTNDYNNGMTI FYCSFLFAELPSQLISKKLGPDVWIPIQMVAWSIVAMSQCSLSGKTSFYICRWLLGMI EGGFIPDVILYLSYYYKNAELPKRLSWFWTAYQATSIVGAFLAYGILHLQGHQYYFKG GWRYLFLIEGSITATIGILTWLYLPASPTQTSRNSHSLFKGQLRPKKGWFTEREEYIL VTRILLDDPGKATMHNRQGLSFRALWNSLTDYDMWPIYLIGFTWQVPMTPETSYITLT CKALGFTTFQTNLLTIPASVLFILQLLFWTWVSEKINQRFLIGLISQIWVLPLLIALE VLPPKFPNYNWARWAITTLIIGYPYAHAILVGMASRNAGTVRTRTVASSLYNMAVQTG SVISSQIYRTPDKPLYYKGNKVLLGLVAWNVIMFILAKVYYETRNRQRDATWNSMTRE ERVHYLETTTDKGNKRLDFRFAS LY89DRAFT_630856 MVNSSGSLPPDTNFAPGFVIPCGILAVIGVCLCVARIVTRLRPA PHLHLDDYLISIATFISVFEYVATCIGAANGWGHLSSYVPQHNQTIAFRCLFVAQLFW IFGTALVRISVAVSLLRLGRCTGGAERIWKWSLWSLIGVQILTSIGWLILLFFNCRPL RGMWEPVPELTCWPHKYTVNYGWVANPIIITIDFILAIMPVQLIRTLQRTLREKILIS CLMATGLIATGIAAYKMTLSQQANMGDLLSSTVKLSLWCKLEEQVGIIAACLPCLKAQ MEKVLHQIGILKKRFPDFALSLKQISISLSPSEVRRIFSADRTRANVDIGSVNSATSR DWASGTTTTTTTTDTSKSTIMSFETREVGRRDWDV LY89DRAFT_630860 MADVPAHQAVEADEHENDSAIGDEDDHFSTVSISSSILKYREEN GRTYHAYKDGKYLGPNDEPERDRLDLQHHLFSLTFSGRLYLCPVGQNGKQIHRVLDVG TGTGIWAIDFADEHQESEVLGIDLSAIQPGFVPPNVSFQVDDLEEPWTFREKFDFIYA RMMTSSFADWPRFFEQAFEHLAPGGFIEMADMCFPVECDDETMTQDSALRKWANLILE GTTKIRRPINSAKDYKQQLETAGFVDIVEQKFVWPMNRWPKNKKMKEIGIWSRENISP SLEGFSMALFTRVFGWTKEEVEVFLVDVRKEVNDPKIHSYLPVHVVYAQKPS LY89DRAFT_789480 MADIITPSDLSSDDVDVIDIPWGKPSSYFSNLNASVSELSQFPR AQIASPTEKVSLRLLIIPCDHPPTSFAELLSVRSLRLAGASTLRDKHYLQVQRNTSGS ACHLQAPARFLLQTPVDDDTFFSLAIKSNESKFSRFNGCFFCSGVEIPRFSTALKGEV IPLDWKDSDMPLAIIPLIILKHHVLMTTSKLESLMKEVGAIESRMSGADRLTLDFDDI ILKLHLCNKNLVDLERRWRFESHLADTIRDFIKVTLLSRNWEIITTNSEKLKNDLAMQ SRLDASEYDLNVLPRRITNQFTTIFNLIAQRDTKATIELATSSQKLAEAALRDSSSMK TIAAMTLIFLPGTFVCSFFSMTFFDWRASPETSVVTSLWVYFAVAVPFTIVVVLCWIA WTWKSRQEVGRGEKERATILPLFRKKALKTV LY89DRAFT_677584 MSKLHTPSIFLQNLCQDPNRNSTTTDIRNTDLSPSPIITIAKRN PDIKMTTVFWDGCCAFPPPEEQQVANRIVATAAIVHGFEWAGIICGFHTTIREGYTAE EHITVKYHNGPWDRDDWYHEGIIGHVYTSNRNEIIRSEIYYTNPDRTMRSHITFWCTG IEEEEGEELSIVNFEYQGARKVLDWIDVWCAVETRIW LY89DRAFT_352900 MTLYWLIDIYAFELHPVLCRCWTTCFRRHKLASDSDTADEKHPS TLHVKAHAVAEKIRNNSPHKDTDLYTPLKSLMPITFVAAVYCLARGYVVIDDLVNLRL LPASAYESVRWSPFIPHL LY89DRAFT_660072 MNNTTISLDHIEQLQGWTASPNGRGTINIIQNSFLTISLCAWTM LCLNVPPLGASRTAQARHKIMIFCEAILGPEFIFQTALGQWASARRSVASFTASGLQG WTMKHGFFADMGGYVLDPPDFPPFPLSAKQVHYLVTNNYIDYALVAITSDAIDDKNKS DGMARIITMVQVLWFVLDTIARAAQGLAISTLELSTIAYVWCSIGTWFFWRNKPQDIN VPVIVVPNQTMAEIVRNAGSAADEKYISTPPDFVGREHHHWTLYWAHWFNILHHMGIV LHTRKRPVDKISDDQFLPLSVGSNAILIFFQLGFAGIHIAGWNFRFPTPTERLL LY89DRAFT_765866 MEAHEGTIAIIEASVRSFSERQQPFRIYHGSTNSTRDSQRRLDK AVDTSKLNHVLSINKEDKMASVEPNVPMDALLQATLEHGLVPLVVMEFPGITAGGGFS STSGESSSFRYGFFDGTVNWIEIVLANGTVTRASRTEKPDLFWGAASSFGTLGVVTLL EVRLREATNRDSKPDGRLSGRYRLRSGRLTSSLPAGASVHQFTRRSDPWFYIHVQRRC ATAGNSVVKEYVPLVDYLFRYDRCGFWVGRYAFRYFITPFNRITRFLLDRFMHTRVMY HALHASGQSRMYIIQDVAVPYNAALEFQEWLDDTFNMYPLWLCPLRQRRDGGNPEARH GLYASLADPEASSEFMMNFGVWGPGSKNRIEFVRQNRLLEQKVDELGGQKWLYAHAYY TQDEFWSIYDRKAYDALRAKYGASYLPSVYEKVKVDVDAEERAIRASWVAWLLWLFWS IWPLSGLYGVYKAFRGGEYLLQKESSSLIRTLKKD LY89DRAFT_765875 MYTHHETYNERSIFGVLRTVPQDSASDSTFNLARTWLDDCLQNH PSCSVKKSDGTRHPARILDIESLLDNSAIKLIDFPINPISYVTLSYCWGSSVPLKTES HSLTQRQSGIEWSTLPRTFQDAVTITRRLGYRYLWIDSLCIIQDSPPDWQTESSKMAD VYENSVVTIATLWASDCNSGCLNQRDISVVSIDHRMPDGVNQTVHVCEAHVHPVILNV PIASCPLYSRAWAFQERILSPRVLHYTDSEVVWECRSDLKCECGSIEFGMEVSSLERS KDLRETLMLVSEYCMRDLTFDADKLPAMSGIGKRLCRLEWGSYFAGL LY89DRAFT_691505 MATFENSNGTVQCNYTTTALFQDSVQTCNPYIAALQTCPQHPIS QATRLQIVNQTAQCLPGAWNDVWAYNSDPSYLHNFTSVPPPTFPANTSCHYPDLVPIL QQACSFDFGRVQLECNEAPDPNVIKNGQPYVDCQTEAMIQYWRCTQQKPFSEVTDCVI ENAQKVNWVPPIEPYSGAMTCPDRTTYLASTGISIILVFVAVLFFTWLAPLILRKLKI LFNMKLSGPPPQVWRREKKFTLRAYLVIKSLGTDVLVAYLTVLILRNAGLTSVVSTRA ALVDSIFLIAVRPRVAPLTGFLGFWKGFSETGFADLVADAMLSWVAGTKIFHSYWKYI NTPPSNPAAPAYDMRILGIGALMSCAPAFITLMFLFFTAASWTKNNKFSEMMAIYFML LLAFVAFFCLLPFIAIIEVLSMLIMAIRRKRGHSSNPSKRSCWEIPLTISWWGFRDVF YPIILLMSLTINLGNWIFFVSYVKIAGDLFCPSGSRAVEALWIGLPVGITIVFAVFKK LTDPVEEWEM LY89DRAFT_725551 MAPHLRTTTRSTGLIVGLAGTASAAPLLFFLPGAEERLAMQAAK WGPRWNRGFAHFERGVQHGARSVEPGVKRGVQVVEPPLKRAALAIDRNIKANLKWASP RK LY89DRAFT_600948 MNINQSLIARHPSLTQEEFCEYWYTKHAPLVVPMFLYSGTQHYE QALLETDLSLQIHGPLTYTTPSASSDLEIQLHHFSGAAAILRRQPSSDNPSPTPKWVQ DYYTEVVVIDERKFLVSEAANHYVRVPPETVNGDKKVIIQDGKCLIEVGDEVWKVWRE YEARGGMEVEESKARED LY89DRAFT_742579 MKYFSWSVITIVGFAGSTIAQDLGPSLYNPPKQNFTQKLNHGSN DNTTFQQQYQINTAFFKPGGPILFHQSEEGPLVPLNSSVFTDYAPELGALVATLEHRF FGTSFPAGSAYNNVTTEAYAPLTLGNVMQDSIEFVNWIRQTTPGAENSKVIYTGGSYG GFLTAIALVQHSDTFYGGISGSPGLTSWGLSLTLEDNPFRYGASDWVSNVYYDANYAA ALRIKDALNELKMPFQTSSSNLDWSNILNVVLVQQYDEFAQFNFPTKTEIIEIALALE VVINQTLAANSTGELLRAPILMGNSIYNGTGCADWEN LY89DRAFT_601032 RDYTNGEIQHLDESLRHLLQIIQMEGPFVGIVGFSTGSTMALIL MSLAERGASAQLLRDCNLDPHLLPQFPFQFGLCFSGCILSHPRYKEIFFPKIVTPILH FIGDYDTYLPRLEMLKFSRRCKNSVTVYHPGGHFVPRSRPCREAIRAFVTEQMRKHMH RS LY89DRAFT_765881 YTGKVCIIGAGVTGLFIGMLLKYVGITDIDILEGNNRVGGRLYT HHFTGDTRHNYYDVGAMRVPEIPWMQPTLRLMHYLGLDVQPYIYKNPETIPPPPVSYW YRHEPVEDDEFDDFMEPIVSDFANNFQLAFTKWLTTDKDNFSTRAFLMAGPKDQGAPS PPWSYDQTAHAELYDTSTGLFDQSFTETVIDYTDFQNASKDQWWRVEGGCQLMADAMQ SKLADVSWPRVDSKPITVTTNSRVVALSNDNVAKKISVTVADKAPAKYDFVFNTTAMA PLQQMDLQGLQLPDNILTGIRSLSYDRATKVAIKFSEAWWNPSDVDQYGGYSSSDLPI RTTVYPSWNDGHDYPTVMIVSYTWAQDATRMASLVQDYDPLRKPSVEDPIVQLCLENL VKLWSDRSPRPSFETLVGLYESHHAWGWENDPWTGGAYALFGPGQFKNVYPQFQLLYC QNKFAMCGEALSSHHAWISGSLDSAYIKTVEFLLANKLTDEIEILRKAIFGGGPGGIP EEMDDELVKWTILLGEGGGPEGWGDELKLKA LY89DRAFT_352935 MSHVIRHPSTSHFVLQLQSHLSNATQHAMQSSSDEPKTFTLFPK LPPELQEAIWARTINWEPHVLPRPPIPELSVCRQAREVLLKIYRPCFHLDPGEQRNDL QFTGRDGRGPEVRYLTIRGMGTPFPRSPYANYSTDILHLTINFISQAHKEGSCQIFLF QEAIDNIQNLVTHGAMSGHLACTDMKGQLGSMECRHGHL LY89DRAFT_725553 MSFANGSAEIKGPGWTEAWASIMEVLYCSPASLGRHHRFIPLRP TFPPHTQHLLAPQEVLAFPLYPPLEGNLRLATAAADLPRIADLSVLGFRDSEIFRFER PRYQEFPQDAVAAFSNIYRTQLLDPLAIVVVVEDLRLPEDETGATRQTERVVVGVASW DLPEDSPRKGRASSSCLMWMTPYLSLIAISANVDGSSLLDLVRLQRRRNSICDKLVVH PLYQRRGHATSMLQWFLVLSRHDKIDAGVIPSHMGELLYLALGYEKIDEVPVPDDGDT QGFSQRVLLFHATANK LY89DRAFT_352943 MVGAGFGEGLEPALQGLLSASVSTEEIGRLFALMYTCSLLGDMI CGPLMSALMSIGRGENSASDGYFFLASAIHFGAMSVLVCTFRPLKRREGVDTENQSRG NILQSTVSLLG LY89DRAFT_691507 MAREIFVFQYLSEKFHWALKDTTVLRLALSLGAVLTTLLLRPVA TAMLIRQSVATTTIDLGTIRISLLVLVVCFVVAWAGWFG LY89DRAFT_630864 QLLSELDQPAHKGACRPHRPAETFTPRFDVTETAESYELYGELP GLNREDLSIEFSDAQSLVIKGKAQRTFNSETAQPEQSTTSEKGKERESDASSEKSHTA TTSAPQPKFWVAERKVGEFARSFSFSQRIEQDFVSADLKNGILHVVVPKSQKPKKVNV TVN LY89DRAFT_559781 VTGKHVRLERLAQDHFPDLWENMGSHQDLWTWWPDGPYETREEH DKMLSEFMEFLKDDLTVWTIILVSGPKQGKGVGLALGLSEHRDSHRIGELGLFFGPDL QATRAGTEVPYLVTDLLFKGNHRRVGWKTNSLNKQSTNAAKRYGFVHEGTFRQDQINK GRSRDTAFFCVLDSEWPLCKAAFEKWLEDENFDEQQRQKRNLQEIRESLK LY89DRAFT_702248 MNGFVRQWGESAPSRSTPKGPPRIFDPIPFVFNTLQFVFNNDKF MNRATQALENSPVVKFWFAGKPVYLVAGLKNYQSIFASRDLTYNGIMLQIGFPRLWRM SDSEVKRFADDRSGIRETPLPGTEHIAPEQRYWAPKYHVLYEFLSRPHQLKPIVDQFT NQFSQVVEKYPVGEWTNLSIDKLTRGDFTRCAITTLFGPTIFELNPDFLDAFWDFDSY AGVLVYGLPEWMYPAPFRASDRFLDRIEKYVEAGLKNFNWDGSEVDVQWEPRFGSRVT RELAKWLTDAGFRRKTVAGGLGTLLFGQNSNTIPVVSWMLMELNKDPALLKAVREEVA GAFVTDSSTGERTLDVQKATSLPLLQSLWTEILRFRVSMVIMRTVEEPISIGGVNIAK GSLIHAYSRISQTDEETWGTSQHPADQFWAERHINFVEEKDWTGQLCKRREFALAASP AGFFPFGGGVPICPGRHFAKSEVFAMLAILVDRFEMEFVSWTNFDGSPSDRPAESNKR FSGIGSLPPDRDMIVRWKRIW LY89DRAFT_691513 MSYACCKSGFKWDGKPVGHEGTLDKNKAYITGSNKDVAILLIHD VFGWTFPNLRILADHFAEEANATVYLPDFFDGEVLDPDLLTDAYTTGDPEKMRQLDMP SFTGRHSKKIRGPEIFACAKALKAQYKKIGAVGYCYGGWASFQLGAKGNNLVDAVSVA HPSQVTTKEVDALGVPTQILAPEFDQQLTPELKEYCNRIIPGLGIPYRYDYYPGLVHG FAAKGDPNNPKQKEGLERAKNATVSWFNQFLH LY89DRAFT_765896 MATSAQDFSNGVATNKEHEAPNGEYVEKLDPAPTHGTYFGDAKG LSNEHRQYLLGRHGTYDLDPIPDMGEADPYNWPQWKAITNLTLVAIHACMSTFTAAAI IPAYETIAIDLGVSLQRVTYLTSLQICILGVAPLIWKPFSNTYGRRPLFLLSLICSLV GNIGCAKSPSYATMALCRAIVAFFISPAAAIGSAVVTETFFKKERARYMGIWTLMVTL GVPLSPFIFGFVAYHVGYRWIYWILAMINGVQFLLYLFFGPETRYIRLNTSHPTSAIK TEYYNFSRIDPRPLTFRDFVHPLTLVAKPCIVIPAIAYAMTFLFGSVMITVELPQLFG AKFGFNAQQLGLQFLGIIIGSVIGEQLGGSLSDFWMNSATKKHNGRRPAPEYRLWLSY AGFILTIVGAVVFLVQTQNAGLHHWNISPVIGIAIAGAGNQIVTTVLITYAVDCHLEE SASIGVFITFVRQIWGFIGPFWFPDMFDNLGLAASAGVATALIVGVSILPMIGIQLFG KRCRGSKEESERSVGTGSGTDVEGNLRKA LY89DRAFT_742588 MTGEEADHEIQAARPEAATQHNFTPPISKKADPYTVEVMEQPTP ALKGRLVLDPQWPQRAGLALSTFGAFCLTRAVVIAYQQLVWVTLKKRSFKLSTIDAIF NALDDPLGFLDLELISRATRLSILAIQLWLIPISVLLTPATLTPIARTENITITCNNI QSINMNLDVQVTPTLLAGENLGPQGLVYGRAMPSNNSIIFYEEPSMALE LY89DRAFT_742589 MTPEDPQYNRFIGYHATGFIFRVILAETINQTNYTSITRSFSYI SQTPLLNQTTQYVQSNFIDALQDAFARQVLSLTTDTSFYQALNISAPSLTPLLNRYGT YTSRRIRRDLGVQKEWVCNGYTFQYPVSDDAEWESGSDYGGLFIGTGAET LY89DRAFT_691514 MYAAIVYANWFKKAWLVSIFVNIVVADETNPQTVQWSSKSYGPD GPWQAISVSIGTPAQRIDLLPGGVWASPVLAPSVCSNGAACQIVNLAGFYDSTKSSTT FQIGQTGQVVNSSLGGADAALGSVTGSANWMFDTMSIEMRDGVVGNTYYAVVQDFDLL VMSEALEKLPDGTTYPIQIGHLSLGAPEFNESWLHFPPNPKWNGTLLPSTLFGQGNAP SNSYGLHIGSADLGIPGSLNIGGFDPSRALEPISSQVYAIDRLPIDLLDIGVGVAEGA SPFNFTSQTGLLAQGNSSLGISTTVLVEPQVPYLYLPQSTCDAITQYLPVTFQPKYGL YFWNTNDPNYQRIVTSPSFLSFTFRLNSSISQNMTINLPFALLNLTLTAPLTSTPTSY LPLRPGPGPSGSYELGRAFLQTAFMGVNWQTASGDGAGVWFLAQAPGPNTPSSNPLTV IGAKDSGIVGSDVSWAETWKGSWSVLGAAGSASTAVSNSSSSSNGSSSHGREKVGVGV IVGIVVGALVVVVALSLTLLYYKRQKAKKEILETAGTVSYGPIGEITAGPYKDSGYGP RELEAKYELAKPVEMAG LY89DRAFT_789492 MNYKGMECRFCQKSFSKGEHLRRHERSHTGARPFRCKECQRPFA RQDSLARHEKLHTRKDPIHYPSPPSSLISQPSQRMTASLSPMGIPEMAHESPVSLPGG MSDQLSVAGDQNSAQTMPPPPPSAELDFDLMWPDSEDLFETLMASETNNQWQMPFTTL PISSKSLYTSNTAFSTPSSFREQGPSIDAIPSGESHRAVHNVSNMVTTLSSSVTAAVE ATSLSSVFLDECLHMFFCRFIPTFPVLHRATFVFRECTQPLLLNAMAIGSLYLGPKDS IAKGEALWRLAHVAVTTSWEVLITHRGPYDSCQGVQLVVTALLAQIYGALSKNRVIRT TSQAFHALGFFWARQCTSSLPSPLPSPNASESEKNTAWRTWAAKEIQQRALLGHYLVD GLISRMSGETPSVRHTANALGLPSTEISFEARTADEWLTHMRSQETTQFSFRKIISSL FLPEGQALLHHTFSAFSLRVILEGLQSLVSDVDSDEALIGVPTKSELRRALAQLHESI AASPNLSNPERLELFLRWHTICLDACKDSSLLCRSVCKQYNIAQHVVATKQADDQQLD LVSWANTEDARRALVHAIAIQEIVEQLPRGRAHVIHIPSSLFASATVYCVFSLAGQTT VRLPAVVDWQSVLSTRYEDVGSGQLGEGGDVCETRKYVRGEYAAGVFGATGAGKNLLY ELNSMQKLFRCLCSQWGIAYDMEDVIDQWISLCH LY89DRAFT_543915 MKVCIIGAGMGGLSSALALAKNGFEDIHVYETASNLGFVGAGIQ LAPNMSRILDTLGVWKEIEREAVFCKETSIRDGATDNELAHVELDGVEKIYGYRHMVG HRASLAGGLYEGCKKEPSIHFHFSTSITSITSWSPTPTFTAVPRHSTPYPVTCDILLA ADGVKSTVRQQMLKLLSHSADVQDTDQAAYRILLPRSQIAHDPELLELMDAERVTRWI GARRHIIAYPISNHTIYNISTTQPDTNFAAAPSETYTTKGSKPAMLGVFEDFCPKIQR MLNLVPDGEVCEWKLRVHAPLPTWIYGHVALVGDACHPTLPHLAQGAAQAIEDAAVLG VLLGKVRDGSGPGVEEVLKLYEGVRKERAEQLVGWAAASARELHLGSGKAKEERDRVF EELKKKGGKARVPDKWADADVQKVVYGVDIVKVAEEEY LY89DRAFT_789494 MAQILDPYRTWEEPNTFSSDTAPTNRVHQATQSNSLSSRENVLD LESFLSCSHCQIAFSDVEEWYLHCRSVHPSPVQLVPPQRMGMSFSMSKQPQKISSDSE STESCSANDNVLEDENMIAQQELQVLDQGSKSFRRTQKHQKWDSIKTEVHRLYVSEGH TLQATIAEIEQKYSFKASLRKWKMQIKEWHFDKNLTKNDMAILVAKAQKRARDEGKET IFYHQDQEVSAERLSNFKKRKVDAASPSAATPMNITYATPKAQSELDPEQETEQATKQ NDSHKSIISMLSISHALTTETACVKSLLTINDPWLTDKATHLSNLLTYHPSTRLSSQL ELAQLYLSYGPSYLGGPKIILSTMLEHITSTNFSLSTSSPRRVDTLDSLAEQWKIIKP IEYLSTSAVLEPEVAFSLSLRLTGIVHEMRRLLFHDEKYGTGAADGELAGLFISAAMI CSRFLPGDALVFFDEMIERAGACQGYVAQDVRFLALLCRGLVFKRKDEGRSEVDFRAA REVLKGVDQGDTDRL LY89DRAFT_765907 MEPLTRTATDARQEPLLQPVDEERPAKKSERSSSSWWWWLEICG AFIALTGLFMTIAVLATLDNKPIDNWKYNIQPSSLLSTLTTVAKMGVMLVVASCVSQL KWLHFQQANRLSHLEMYDQVSRGNPMGAAQMLWKMGRRLFKLRLDIIATAFALVSLLS LAIDPMIQQILAVHQEDLQLNNVTATIGSSHTYISNASQALVLDYFSNNHSCFRRTDR MLPVANTTETLVLHMALLNQLASASLQPDLSCPSPATRCEFSNITSLGLCGNYTDVTD ISSRTCKAYPYPSSTIDEITPPPPSHYIDCTYSVQGVTNYRNLTINFGGDDGATFDWF RSILDIIQLDGISDDILNYKPFDIARMFSFV LY89DRAFT_691517 MFGMVDFMSYTNWSQLTQNVAIAVTNQVLTNADNQNFTSTTGTA FVNTAYYHVRWAWLVLPLLEAVATAVLLAVTILLNRLPLLKSSSTALLAHGLEDTSDL RVVGIETPQKWEKLGDGIKVI LY89DRAFT_765909 MDSTVNRSKKRVRTARVTATVENPAGTMATHLPVPKDNGNGNGK SKGYESEEISGEHSQVLVPSPHAAVLVNSNAVALQIANSRINVDNLYEFEVSPLLNSS GPSRSNAILASDAVALQTANTFSNSRGLAHRPIPIPMSVISQLFAALKASTGGDPNSE VIRNYPGLHAAAEGLDALGIAALETILRIAKDQVAGVSHAFYCFSELPPELRAEIWRL AAPKPSVVVIRAGWMFVNGMHVPMLIPVLYKRPALLCVNRAISTFMTGISPPKAGQSV IQAPGTRFNVNMKTAFFLEEVRMGSQDTLVFRDLILWYNNARGPPLGPARLNFRTLRF PKVENLAVNYARGAYGGFSFPVLLEAAPWLHSLFPDLRKLTLMIARDLGGGLSEVVGG IDGHPILLVPTNVPLHFHAWTGVEIARIVQLFWDNYQKRISIEFSRVVLEPPPRKATT LY89DRAFT_789496 MKTPLPTTTISHDEIVAIITKFYTFLTTYPFLPATAIKTPPSEG WPEEYCDIWRKMGKSEEVVDLLARLPYIDDDNWVWYHDTMPINYTKPLNLRRIKEHYE EKRYVFEPSGVALPPHVFSLTHGKLYGKWVLLDIKARTITELGVLGGVQPAEATEEAK VGGDAWSMYPTRPIKEFFDACQDKMSGKSLVASRNYIRC LY89DRAFT_677608 MLRLRRPLMKSHSYLEDSKLRLDHMTYRDSDFVKKSVSKPSHFM ISFSREMGEIQSLTHFHFCRQASQLVCRPQNRNSVNLDQIKLTVSNRSVMACSTVGTP DYVDALRVIRQMQDGSFKLKKSVSKPAKKSVSKPAKAKYFGTPDYIAPEVCGPMRYSR VIARSWWRDEPPTPVWRTHAGLPPPRPGQSPMKERCWSTSPGYRGRSRIRQRTQQISR THFQSSKESAMIRSRRSFHKPGPYARPSDWYCTHLGARWLGTWRGAQMSSFCNCTRWG ALYRYGVGFSEATPVILKALKRLIEMELRLQNL LY89DRAFT_789497 MSSESAQQPPRSSSPGPRASRTNRVVCTACRQSKVRCVGTENED CARCMRLGLDCHRDTNFKRVSKSRKIAELEKQVQLLTSAFTGSSNQSPQSPQVSVHQQ SHVPPSFASQPTPPAMLTRTPSQDVSGRTVSRTPQYQNPGLQGPSVMSIFHIDESPRP RPGAALSRSIQSAYLTGQTIDELFEIFFEHYHPALPFLNPAKSPDDYYDSGTILFWVI ISIASRRYTNDMLLFPVLCEWIPKLIWESISSPPYTIPMVQAIILACTWPFPMPSMWN DTIVTLSSIAVSTAMQLGLHRPLNATDFLRKKATADENDSQTRAFTWAAANIVSQSIE ATYGVLPTTPFDRTIEASCKPGNMYSLPNILRFKLAIFRYCNRISNLVCRDLTDNGDP FSPGTPQTNPTLSWVTELLEFETRMQSWKQKYRDQLSFHDEIYAAAAAVYTTSMYFFD STSSAARKHNILKAYRTSTSYIQLLTSFDQTSNYLLHAPSYPLKIFVLACCVLLKVLR SSYAADVDFEEGKRLCNLAIIAANKSSVAPQDSAGKVAKMVSQVWHSGDLRPLGEMPV LMVKSRLGASILYDFIWTWRQEFGGQQGAYPREGDGMEPETEDILLPTDGVFDDASMV DLDFLNDPDWMESITNSIG LY89DRAFT_630884 MKDITTLLSLAALLVVAGASAIPPPHYEVHEKRETLHPRWTKRD RVESHKLFPMRIGLTQTNLNMGYEHLMDVSNPTSPNFGKHWTSDEVIAAFRPSRETED AVRTWLIENGIPSTRITHSDNKGWFAFYATAADAERLLRTEFHEYEDAETGGVIPGCD AYHLPQHVRRHIDYVSPGIKLLAPVESRRSLQREVVRDEESGLEKRNAHGLLQTQAEA NCTGSAPDDLSTCDVAITPACVAALYQIPPATTTTTKHANNSMGIFESELQFYYQKDL NSFFTNFTRNIKNGTHPVPANIDGGMQKVDDPYEAGGEVNLDLMLAYPIVYPQTITLY NVDDFIVQANQNDTYTFGFNTFLDALDGSYCSFSAYNETGNDPYLDQAYPDPLIGGWT GQLMCGTFKPTNVISLSYGGQESDLPISYQKRQCLEYMKLGLQGVSFLFASGDSGVSN YPSSAGGIDGPTGCLGPNLNIFNPTWPGTCPYVTSVGATKVYPGFTVNDPESAVYDPA GFPYSVNYSSGGGFSNIYPIPSYQAAAVGVYFESHDPGYPFYSALSNDTGDIKLLPDV GALAGGSGGIYNRIGRGIPDVSANGDNIAVYGGGNFSLSGGTSASTPIFSAVINRINE ERLIAGKGPIGFLNPSLYANPGMLNDIVNGSNPGCNTIGFSAVPGWDPVTGLGTPNFP KMLEYYMGLP LY89DRAFT_742602 MSHKRSPPTPPDSAPPTPGIVTHYATELPATASGTFLPETATPA GSKRWTRNFTASQQPIPRPVISPVQKVSPVPVATPRQAPTPPAVSATPTAPAAPGGGL DNLVKIFQFIDAKFSDLFKTTDLEPEDQAIKDARDIVTLRQTYQWQQPGKTDPSYPPC LKSIPPDDTTGLLAIFNAERLVDMGLSILPPKVVPDYLSQYLFGPTGGKTMADLESDM IRLTKQNKNIGSESSIANRPDWFSDAVFGQQSFTGPNPTSIQRASTVWVKGFADQAKK QKNTPMYSLITTSDPESFYIQDYSYFRDACGAFPGATLESDDKLKKRYGCAVVTLLQL SKTGNLHPISICIDFVMSLDHSVVVFNKRLRSTDPTKGEKNDWPWRYAKTCHMISDYC RHELGAHLNNCHFIEEVTIVAAYRSFTADHIVFKLLEPHWLKTLSLNKSAREALVPLI VVDIVGISKPATYNFIMSAYRNFHFTDSYIPADLSKRGFTPETLSHPRFHNYAWAHNM LPMWLVLQKFVSSVLAPHYPTDAAVESDPAIRSWCNEMRSPAGAQMLTFPDIKTVEEL TSAIVMCIHLASPQHNTINYLQCYYMSFVPAKPPCFSTPLPKSLAELQKFTESDLIAA LPVNDERVWLMAEQLPYLLSYGVAEDQTLVEYAKGLQDGAVGSGDEGMEKAAKGLVDD LVGLGVVFARNSEAMDDRVVEYAVMDPTRLAVSILI LY89DRAFT_742603 MSADKGKGPEIDLQDPRAHSRSRSRVSSPEQSYFSSSEPANEIV KSALESSVDPPESLTLGLAPSSPMTVLGAGRIDPFADYPIKMNEGELWLIDQVNTSQD PTFRTLRERWLPVAVKDAANFHQFLANVSLNVSRVRGEDLENAVSVGHHSLAIQSINQ KLSDPNLRTNDDMVVGILSFVCYSTVKEDFHSVSIHLDGLKNVIRLRGGFETIENNPV LAMLVYGVDMTRSLRQDTKPLFPLPKRMLSAQNDQSADDFVFFPQAPTSSPWKHIFPP DHPTVSAFDDLHHAVEIARLKTARKQQWRVVNFVIFRIYPIVHRLHSMDVDPNNRWSI IQEASRLGISLFLGEMRRQCGALGVSTKLYVIKLKVFMEGLGSTIDWTSSNLLLLWIM FFGLLESWKLPEQDWYVESIYAIMARTTLRSWDDVVDGAKSFLWIDEIFDERIEIFRH IVS LY89DRAFT_353222 MKISRECPRLQIKAELLTKSVMNASVIPDNSSPYHSLFVPDIHP IRKCSPKSQLIFMESISNVQSFYLSSKTTRTQVLALTIPNLFFNADFNHSSLSLNSLN AIFLAILELVVWRISSTDTPSHVESHQLSISWLILTRTMKCRPYHTKDSISAFGAVSS NNTTRYFA LY89DRAFT_353178 MSAPSSPKIHRPNPRRPYEQTSPSFLPAHQSSASLLSPSSYTDE SPSRTQSILNLTSSTLLGIYSPTGYQPDQEYDFPSTPWGTGAETPQRTSSISDPVPIR RHTAPSQPSSAFGTAAALALRGVLLFGIGMGYGLLVRHLHNDRQLAPFQVEGIIKPRN DWRYLVFWGVAGVCLGSLMPWVDTLFSYPAGMEEKQNASSSVAEKDEVEEESGLFGAD WTPVVRSVGAFVGIAYAIRKLPWATPLQASLTLFLVNPVLWYLIDRSAPGFILSASVG AIGTSLLLASNPDMMPSPASSTSTFRNTTFKPTTPYTSGTGIVNRESFEVGIWILSVL FCSCVCFGNVGRRLALSSGAKRR LY89DRAFT_353274 MSEVGVADRTRLLRSKRAELSGIVTSRKRKLRELYAVCDNKFPI PQVDLSNPDAPPADFHEAHFLDVTDILQDRLFNATNLPNQGRSRSYKLKETSPVRKGS TDARINDASRKAAKLQRDGSRSRQSRAPTPSSRSDGGLSTVPNDTIIDGSTGKGVPMQ RSSSKGSQTDLPAESSSVLNEIVEETFENAKQPTPSMPDDVVPAKDLESDRIRTRVES RPNSPGVDPREAMPISAEDAAQLPGPIVEGLGSHDGQHKAATAHLPPREVQETHLHEL EKARQLKEQQAHLSIHPPRDNGRNAEALSSPGSTIDALSATTPAMHEASTDTSPDNES RYDADRMDRDDEAATPPQLKPTPEEAADKAEHDRILQARIDIARDGIMGSSPIPAREE SREEQAPVSTPAGGSLEDTRPFQSTTDQLTKAASEIVNDNVDAEKETMGGPASDMPLN MSEDTEPTSNTGKQTEIADSEEDQTPVADLMDVDESENKVNQPAPDKTVVNDSFESTS AGGSTTATPAPIPQSTDLAKEVDDSQKNSVTPTSSTPRRTPSTPAVPPLERMTTRVAS GAMRHKSVSEILGEIPKPATSSNQDRRTESESAGNSHTPSRSTTPQSPGARLRMMEKN KERSKLSTVVFAGRPSKPAARDNALTTNGSLPQNLKDDYFMPLFLATASAGKSGGQSL DALIGSAHKTITTSNGYVPIHENQTAKVLKRIYNLQSSNKWALRQPKRAPEPVRQTTH WDVLLQEAKWMRTDFREERKWKMAVARNLAFACAEWVEASSEDRKLLQVKAIPPKPVE PESRDIEMGEASSQAAAHPTPDLVASGESDSAMEDFDEAPSLHLMETVAPAAIFALQD DEVVCALRSSPTTDKLLAELPMYGAPLAIPQSILPTSDLDPDRFWRRPALPLSKYVEG RMELKVEGPPRKKSRYDYDEEDDEEDHVVFGEQGTKRPILPPENTDVALFNPEHKHIR DRIHSSHQFRPPSEFPMPLQSFFENRMASQWTADEDVQLKSLVREYQYNWSLISSMLT SKSIWSSGPERRTPWECFERWINLEGLPADMQKTHYFRAYSTRIETANRNVVAQPAPA PAQANPNAQLQQPAPRRRPTTSLRVDRRRNNKHLTLVDAMRKLAKKRETNIQKQQHAA GMAAMRKAQEPHANANRIPIQTPQDFSRVKHEREESFRLRLEELNRRQEAQRRVRTNR VSQYLNIRN LY89DRAFT_691529 MSPSKDDPPAPKISIKGFSLSAKPSSSTSKPKPPPATLLGKRPR STFAAAHDDSDSDGEHSRGKVETVHGFEDGGAVNGEKKASGALLVIPKQKNRDWKAEA RGRGRGGKNLLPAEVQAAQEAARKEREGRGSGKEEGVDVVNSKDGEIEWGLSVRKRVK VDEEEPTPTSTPVVEEEKVEGKAEEKPKTADEEALAALLGQNKEKRGPDLIIKSETDA YRQAIASAPEASTLEDYERVPVEEFGAALLRGMGWKGESHGKVKDVKRRQNLLGLGAK ELKEAEELGAWVHKSDVKRLQAGGDKRGERRPRVSEYRREEERRRERRGGSGYRDERE RERRR LY89DRAFT_691530 MDTLLKTHIPTLTPYEKIYKNLHQNPGLSLQETLAASVAASRLQ SLSGFDVRTEIGGTGLIGILKGEGKGDGRTVLVRADTDALPVEELTGLEYASKKREVD VEDGVKKPVMHACGHDMHVACMLAAATTLHSARKEWSGTLIVLFQPNEERAGGAKAMI ADGLYTKHGCPLPDVVLGQHVMPFPAGTVGTKVGSFASAADSFRVTVYGRGGHASQPH RTIDPVIIAAHIVVRLQTVVSREVDPREAAVVTVGSVQAGQTENIIASEALLKINVRT VTPETRERVLAAIKRIVKAECEASGSPKEPLWESTSQFPFTVNDKDTTEHVSKTFEKY FGDKFDPEAHPLGGSEDFPILATEVPHKDGKGKGVPYCYWTFGGVDPGKFEDAKKRGK LQEEVPINHSAYFAPVIQPTMKTGVEALVVGALSFLGR LY89DRAFT_765910 MSLSTHRIRRSEQRGREKARLEPIGEALNKSQWTVGDYDTSPAL SEDEVGDLELQTRINSSRVPARESRLAIMSPIVIASFTKELLEHPQVIEWANEAATNT PADVLKAQLSNLLEDYYRKLVPFAAEFLGDGTPDLDPGDIIRRGRLTIVRYFREGAKE SGTVVDHGFLDDARTSTRHDLSWKSLSNWGFPAHSIDSTCDEVPSHGNSSNIEILIYY SEVRTHLIESQPFEHLVAQFRNWYLTQIDQHPMPLVISSEGIPTDKPDSPKSLVSLKM HWDLRSFLETQYGPNSHVKLESVITISGSAICCQALTVADYLKQKWPGYGVTVLEALQ SALDSPESRAEVSDGSPKPANKSGDNRIPTDMKVVEVKVQGSSDDIVLMKQIFSWVGA AIRTSKSSQAEYSECVSSPDGEDQFFMDFVQTPTPEAETTCWLPLFKNAVIATGFPTA ARTNHEIGVEIPLEMMAVLIGAERAVEHDGGILIKGFSSVLVPVARKNDSVQWHLLEN SDGTRLKYSDIRERCQDRLSIDELSQESLREMRVFLGWLRHAKSFFGTPEVAYKNITA SKADKASKTLSLTDITVGFSKLGSASTKFALGAREVRYISRANRLERLLDAAEEMDIC LYDFDFQRSWMASGTEVLLHLIHTKHTVKPFCIAGQEITLEFASPAENGPDACRAAIM SMASLSLYSSTSVSVTSYCVQDLVNDLWLRLEKLEEPGDQPGIPISMKFSTKLAGYEI MDIVLDKGVLRQKEVSLKNTSGKWLDIIKACDGVVLFGSHFGHLIRPALSNKGLCPDW TNLPDGKDYMATTVQKLLRFFGNANEVGHLTSAGLTLHKVSVLFESCRNQSSSCCCQR VLQVIPKSRISFKSATPPGSLGSTGCVIIGRAARVLRSRKLTSRNTASRSFGAVDYSC EDMVSSREESPENWTSDTLEDTTITVKRFYQTQTEDECHTYSGQEVAFPHPQLQQEEL DESYEENLGACLEGATAQLSIMNSGLPASQSLPTNEDREISSTYGYNCASTNDRSAAI DNMHIDSIEEDDNLEKDQLYRRRVTINTFGKVTRAQSQDSPRKLRHVQKKSHLRAREN RQVSAIDWEEDNMDTSCISN LY89DRAFT_789506 MKKTFQFVDGSGAKVDKVTRKSIRSHVMKDRNAGKTVHRRSRLE LPTPCDDSRKEARKSNEEDSGPVARNLGNVLRTFPFPVELSESSLKSIDQFFIFITEK MYPSQLGLSSDGYKHDWLVTTFIDADAAYCSLALMASTNAFFSSGGISSSKALSYLSN TFSLVQRRMQGEEALSDSTLCMVMMLILQEQIRHEKASRIHYEGLRKLIELRGGLSRL KSCSTLLLKMSKMDILYALRYGEPVLFYRDRMSEIRSMLPSIEINYSPGATSGQHQYD GINTDLRDILVDVMSIAALFNSPPSRRNLDIKTFLEIVISICCRLIRFRPLQSPKPEC RREAAYHIGLITFMTTLFLQWDNRRIQGYHLISRRLREVLDEEFDARDDDNLLLWLLF VASLWFKTTSVSYWLVLRIRRLAVRFQIDSWSRVCDSICQFPWINILHDQTGRAVWDL VYQGPPDGH LY89DRAFT_702265 MELPTNRAAWLIKADTPLEIREAPMPTPGPGELVVHNAVVGINH VDNWMLEAGVFVKQWPTVIGNDVAGTVYAVGSDVERFKKGDRVIGHGMTLVTGRPEDG AFALYTIVSADRAAILPNSIAFKDGVVLPLAVGAAACALFNQQPGEVMPGVPLALLGL PYPSVQRPVPSSGKTLVVYGGSSTVGAVVTQLATAVGVTVITITGAHNFGLSQRCGAV EVIDHKDSAITEKVGAAVAKYGGFIGIIVAIATPDTYPTALAILAALGGGHLACTHPP PADVPANVKASMIFSVNDASIPLFRDYLTPALEAGKLLCVPPPIVAGKGLESIQDALK KAKAGLSAAKMVVEL LY89DRAFT_677621 MASSRTPLLADPHRNSLESNYDHEDDEETPFLYGFATTSPTRPF ATSFKPSLTLRALALVLAIPAFIIFVTHGPLYAGVIVFLSFAIARQVIVLGSHFGSQF VLIKIEVVHPRLKDVSARAQESWIQKSAAATIDGIILLGMLITLSLIAHQVDVCGGPC DLPPSTTAAVILGFITFGLLLLSVPDFGNPNLLALAIAVEKPVGGVYKLATSVLFGEP EESEDDSNEYHDHRYIGKSRPQMSAGSDV LY89DRAFT_725576 MAYYLQIAATLIAIYIQHGCKPAKRYPNWDPFLGLDAFVLFGIK AIQGKSSIEYRKLQLKYGPTFTMKRLTKASFIQTSSSKNIQAVNTTKFDDWSVGPSRQ DIAIPFMENGIFTDDGESWKRARALVRPTFTRAEVADLEHFEKHLGRFLELIPKDGSM FDLQDLSRRLFLDTSSEFIFGQSIQSLLPQTPAETFEFMKAFDYSLLGLGLRLVSGPF LPLFFFDPTWKRAYTKVHRFMDKNIKIALERRRKIVETGEDTEAQGVRKPYILLNQMV LDTQDVFSLRAQIINIFFPARDTAAYAFSNAMFELARHPKVWDELRAEVSQIGDSKLT FELIKNLKVAKAIVNETLRLHIPATRLSRTALRDTILPVGGGPDQLSPLFVPKGTYIE MDINALHRDPKIWGGDALEFNPLRWAKGRTLWEARWEYLPFGGGIRMCPAQPMALAQV TYLLVRMAQEFKAVENRDHVSEYVEEIKMTVSSRNGVKISLVPA LY89DRAFT_691534 MDWPSPNAGLSDSDLSRVFQYVPQETTVVRRLSADPKLLLWSHE NAKDWDDWLEQSSPSIQDSSGLVLIFARKGGETKGSIQEILDGAASFGSSRANSEFKS SFSEKNRSYSWPKSSERITTGPATVGRRLSIGARNVQGGSHDVRQLPFEKETFRKICK KFFVHSSISRVISRADVPIFSRAQVKMGPVDSEGRSQPAYVYNCRSANTWKNDLALTV TYFPRRRLVFGILFGCTAAVERQVLNRIASNEEHAFHPLLLSGIFAELERTRMVDIVE KTIDEIEGAIFELDSGTGGGTNAEKMSIEDAEDYHPGGTRYVRRTVWLNTTFLRHRLQ IWKTQLSKMIAHVDELSETDFGSDIEPFGEKSGEDEIKQLQTQVEDTSLRRTSIMIKD RLRGLIEEFEDKIEDCTMRVDGMTIATQWSQADINVDIATAAGQDSSQMRSIALVTMV FLPGTFFATLFSMTFFNWNASGDGSIVVSSYLWIYFLVTGVFTVATLLIWWCFLGRRQ RWAQSSKSIRRCC LY89DRAFT_691535 MATEIFPARYTEERIILKELRKIFPQGDIRIMFERARFFCTVPA RLTAAQREIVKDAISAEQHYSRDDD LY89DRAFT_725578 MADIPSIQIEDGTVFRTVPTDLTHSMNVKSQPPAPWTDESLPDI EPISRNEKNIVQAIETRLRDYAVHHPGDTTKKFWPRALFDHLLDRTTIELIVEALLDR SKLAIAKGTSVEDAKRHWINRIWGCRPEEKGSRRLLALLILVGKEDSVQSFLDLDFDD DSIPLNESKYDFGSWRKVELDGLESYQRGMKVPFLSTSTIVGKVFRVTLEENDIEPWY RTSDLLQRSSLSQNAGSISSTAMQLQAMTLGAGGYGEVYKIFMHPWQHNFQEVLRSLS AHQNHFALKRLYTTNKSTFERETDMLQRFSGHPNIVTLLAAITCKKILGREEYHLLFP WAEGDLLAYWKEEAKPRRTHENTKWISAQVCAIVEAVRFIHEPGPTMRTDDGKNLYGR HGDIKPENVLLFKPNGVKVLVISDLGLSAVHREESRSNVPGFDIPRTPNYRPPECDMA GRDGHISRSFDIWTLGCLFLEFVVWSLDGWGGRQEFRNDRCSPYINSYRTDIFFDIMV VSGETSKYAFKIKHQVEQHIKYLHGHPDCTQYLHDILNIIQTRMLIVESDEPRIKRIR APELLQEMKALQERCRDRAYCLTKCPQESTHTPHLPVLAALNRMALELIDDNRMDICL EKYSGKTRSANLRARSP LY89DRAFT_725579 MDWRYNPDCTSCSYADLMQPPLLGSGVSRRMLPAPAGWTLPFSA RDLSPNHRSHHKRSKDQDDRTESSYSPNSRKRFEDAKNRPDKLTPESAYNQESHDGED EISIYTTLDRGYTVAQQIHSSSPSSVYPTTAELFKGIGAHSSAGFSLDSLLRSSIQPY GGSKESGTKKWLPFDKLFELVNESFVNQELLQAFNNELSANELGAYVKEICGPIIYKD DRDNNLKSSSRSIFAILTLMGELRSVPHFVDPESRLSDKDLPLDSRKNPGTNTYEFFS VMLPGSVWPGPKDWKGKEYDSFMDYQSYMLSPFFKLRQKNIVPFYDLYRNTVLPFIED GEKNRRREGHHGNVWRVKIHPAHHDFNMDNHGKNPYFAVKSLNSENDEGNGFKGEVNA WAKSVGTTGHRHLVQLLATWRQRGRWHLLFPWAVGNLRDYWITNKPPNTDPECVQWIA KQCLGVAEGLRKIHRTGSEDPNSDHHDWGIHGDIKPENILLFDDSEDTLGILAICDFG FTRFHSRETRSNAKPEGCTGTYRAPEYDMKRGISRLYDMWTLGCLYLEFITWYLTGYE GVDRFSVERKRADSEVIPADKFFECINLHEEGMRGVIIKPCVLDWIRYLRTLEHCSNL LHDFLDVIEKDLLVIDTHFRQTCGMTVQKLREIRDKCMAEGKSYCFTGNPTPMTVALV RVQNDPVPFRLPKVERSDFQPNAMPPPYSTWMADSGLSGEPEQNHAYDNIGNVLADQI ARRDGAPMKINLQKQIEDDNENVDRSEGTEEEMDEEYNEEMVGLQGDSHEYEELDEDA PLLSSPGSFLQISPQSQDFDYLTASPGPLDRDVFISDLYLPAAPTQSAERTTHGSTET RIEQIGGGPETASTERSILLPRGDDVLGSSPAPVTSDGRSNRRHEPRSCWNGTVKLLR FISSWLGNWLGCVRSRTRYRNRSRNTER LY89DRAFT_742617 MFSGNQIFQLATLAVTIESAVAITGIGCWTDNANRALQANSLTS GDMTTELCASFCNDYPIFGTEDANQCFCGSQLSTGAIFQDPSWVGCTLACAGNKNEVC GGPWALNLYIH LY89DRAFT_691538 MAKRKRNTNGEPVFTNAPIPAKKVKAATSTSNGPITIQIVAGSY DRVLHGITATISSKDEVQFADTFLFNAHASAIRCLAISPASAPAPKQTQKVILASGST DERINLYHLSANAPSKITVPVIPSLTSKAVVENPQNRELGSLLHHASSVTALYFPNRS KLLSSAEDNTIAVTRTRDWSLLSTIKAPNPKPVGRPSGDTAPMGTAPNGVNDFAVHPS MKLMISVGKGEKSMRLWNLVTGKKAGVLNFDRGILTEVGEDRHSSGEGRKVAWGSTDT GDEFCVGFERGVLVFGMDSKPKCKVVPEPRTKIQELCYVQVEEEDDVQLMALSTEDGR ILFYSTKQKDLVEGKEVEGKDTPLPSAKLIAQLGGKDIGLSGRIKDFSVLTIEEGSTK DFIVVAAGSDGALRLWRISASKDLASHDEKVKQIGKLLGTCETGNRITCLKAFVMLPK IEGAEDDDIGDLEGLEQGEDGEESNESDSE LY89DRAFT_691539 MVSQIETEKDHLLLVSEEGRDGSAPPPPPPTPPQNPHNHLPVDL KHPLVESQHDPRDLAGELMAILQNRSSALTWEQRSVLNDYHSLKGECLRLRNPDLELD DQALVYAYLINVLIFQKLLTGRATFVFKSACNFQHPSNTTVEHGYATITLWSQEYFPK PEICLSKYVQLILIEMRNAFMMIYGCSRCYQEEPNYFDEAVYGSSQMDMYYAILEAAK DPEFLDLEF LY89DRAFT_353594 MTKSHYDLCTSVTPECPVNETLYGYYPNLGANAFFAALFAFLLI AQLIIGTWTKTWTFMLAVGLGVFGEMAGYIGRLIMHQNPWSNAGFEAQICCLVLAPSF LAAGIYLTLKHMVIYCGPEYSHLKAKWYPWIFIGSDLGSIIVQAIGGGVAASAKNSTN KSLLSAGDALIIAGIALQSVTMSVCGLLVLDFFLARRKARTEHKAELEGTASLDATTL PNDVHTKSPLRFRIFCCAIGFAFFTILVRCIYRIPEMAGGWGNPRMRDEPVFLGLDGA MVAMASIAFTVAHPGFMFPPMRKGRRNPA LY89DRAFT_600960 MIQTPTAIPEKMWYKLGPKGLSDDATRWIDSCLTKNPTYRHEFL TDASGDYYVQEYYANRPDIVDTYLQLPIPILKADLLRYLILYAEGGIWSDLDVSCEDE PIHNWIPEQYKAEAGLVVGLEFDWAWEDDDFLHSQFASWTIMAKPGSPHMMMVINDIL EGMKTKAEENNVPISGLTTKMVGEVVDATGPKRMTRSIMKSMELVLRETLDDRNISGL HEPKLIGDVLILPGNAFAASQSGYPDDQGPKLVTHHYAGTWKNDHGGEMG LY89DRAFT_742623 MRKSFLWKTILPVCLATRTVGFGIFWVSPSPILRYEATLIVPSS PPTIPGIINHSSIWPGLQPSPTDAVLQNVIADMTGNWSFWPEFCCSPDTHLQDEQDVF TGDLVTSIFTKNTTSGIWTDSTIITRGSIGTAAGFPPFQGSFTFDPSQYGTHNEYTMA LVDVEIHNNGTWNYGTVSFQNLIIESQTIETDWCLKFVSRFPKKNDMS LY89DRAFT_353588 MFFRSELFTLTLFLLSHIFTTASDLNNMGTIADVPTVSALLSSA TTRSTNHLRRATPSFEPFLWKSSPNRIALTLAPLNSSTPMSQNPGPTWDPIPNSVLQS RGVCPVGDSTAPTGTAFPPAIVTGIQSSDGTKNEASSKVAATVAAGMVVAWFAVRVLL NGIVDVPVVHLEGLEL LY89DRAFT_725586 MSLPLFTDPGILWVTSKITHPDHLSEQTYLNWYDNEHIPEVLSV TPIASLLRFRNLDPNAERPYLATCPLQDMADGGELRKVSVKSEKLPDDSGVLGGSSHD CADLDYRFYQLIQKYEPNGSEATLGKTKTIVTGGFDMGPEVSEQEFHDWYDKEHLELL SQLPGYLRTTRYKLLNHRTNAEARAIKGLPSRPNDTAIEKTEPPMFHAVHEFSIEELD NEAAMKTIGTERAKRIFSNATKSEYAVYRLEKSFGDGKFHH LY89DRAFT_742626 MRLTILVTALFLALAHAIPVDDTADVALTAAMQSTIDLANAVLQ QAGVEQTERACDINFRKCYMRCTGAPTCYAVCFLKYCN LY89DRAFT_691542 MREATPLRKNVKIESWTCHLCLTSDNKSDKRCEFKIKGTDTTCG HTRCDFCSGKETISGQEMLDKVLGCTPS LY89DRAFT_789522 MSGYFEPHFFRKSHKNLTFISIDCPRTDEPSVTVTIFSAANGVR AMNIPRRPVPTTPRAGSDRRTAFPSNGTTILTEFEEPENEPFIHSHDAESRNLDPANL EHVTESNLKPHGRISIRTPTSYQSLSLPSIKDYPLSDHYSWRPTTLGGIYLFLLGFIS TALAIVVILLTWLSWSRSGLGDDNGTSILLFGWRFTPTLVAVLIVILESLLIEDVRRT EVFSRLSSPSPENAATTVLLGSRSWWHDPMDALSRRKNGGRWSQTLFYAAFMNIIGSF VLSPLSAAFFSSELTLMSQSIEFATFKSIQNSTFSISPDDETYFRSVSALVLNLSTSV WLTDNYAITPFWPTTLNEVPLGATVPEASQNWTAEVPVLQAQLSCMPMTLSNLGLTSF QLSSSDGCQVGIEVAVGPPPVSSALESAPDNEEEVATYYPIMEEGAWWVNTGISTSNF STITESITNNQTSLVNVTQNCQGRYILDINTPFNATFSNEFNDTGIVSNTSTFQLRSY LCSTSYVSADLNVDLSISAPNTSVAFSEEMFNKSTNAIPSTNFDSGMFNDAFFNNYWM NKLNVSQEGGSYVGPALPLAAKYGYNVTAMLADPDLISTAQQVLQRFFGESVLAAFGE ATPLISPPLAGSMTIQKQRIVASSGIGITLASILFLTTVMTFLVFFHSRASRRNLNLD RDPAPAVAIASLLKSEKTSSLFQGLDVLPDPLIKKRLETLTFALDRGGLIIQNENLEK IASKKDPPPPTKTYSKDWRPFVLQGWGGLALLLVLAFLITAISVLFAKSWSPGLYEAG LVYSHEVRFKNNYVASLAPYSVVPTLVAICIKSWWKALESVFKRVQPYVSMAKHPVTM STGATLSYNTLPPVWSVWKAARNRHWLLALVCVGAALLDICLTVTMSALWERNIGSRR SSVQLTRTLMLRDVPELFNVPVPADPDSGDTETATILSQLYGDSQQYLSWMYGAVNQL SYAGANLAWTESDWSFSPINITAATTFVICLAILACLAVITVIIFLVDHDYFRRLPRD VDSLASVLALVYDSPKLRELLEQNQLLGGPKKAASGYTDLNNEDTKAFIGHFTGSHGD IRWGIEVIDSENGDKKTRHNSDDGYEMEGLRRRKR LY89DRAFT_660131 MGGANGLSDPILTRIVEEDKVKWFRKPNLRLMYVCLFLCCMGVE MTSGFDSTLIGTLQFSPPWEAYFGTKNPKDPKAKKALTGGMLGFVSSCYQLGSIIGVP IAPWFNQRFGRRWSVMMGSVLMVIGALLQGFAQDLGMYIFSRMVLGFGIVFAIISGSS LIGELGHPKDRATLTSMFNASYFIGQITASAIALGTVNIPNDWSWRIPSLLQMCPSLL QIVFIFMLPESPRWLISRDRSEEAFAILVKYHAEGDVDSVLVKAEMAQISSTIKIEMD NAKQSWWDMVRTSGMRRRVLIASFLGLFTQMSGNTLLSYYQNLLYIMMGYTSSYAKTR INLANACWSLITATIAAFVVARFKRRFMFLLSSGSMLLVFISMTISFEKLREAKNHNT TNSAAGVAALFFYFAYSPCYNIGNNALTYTYLIELFPYSQRTRGIGIEQIFGKIGGFF SNNVNPVALDAIDWKFLAIYCGWIAFEFIFIYFMYPETAGRTLEELAFLFEDKELADQ AVVAVEKQIHHEDMEKTAVAHVEESGVIGV LY89DRAFT_765921 MASDSADSTFRDLDWLADLHKSQCFTTSEVSCPAKSSDFGADGY TASLTDWHELLQLTAPDETCGLVFLRGQFPNTADAILARAQRRDDSGSKGTLGTRLQP AELNTDLELGERRVQGWINLKWPYSQYELKKKTDIWDGSGGTLEQISFIRSGTIFQIL RLQWGHGTSLSDYDSADAQKHTITLRAGGIVQFGCPCSNGGPPNRDTFTSTSDHHGDH KLSCLSGRYQKRLEMRLFINGVSQNLAPSATHLDVEEVDGHEIDLTSHHKIELLDNEP VFIVSTYALKHVDDEEESCISGYFSEIEDHLGISNTSVNMTDRLWTACCSTNYEAYEA VEICVIGRTIEQIISVAAIPFSDKSSQHRETQSRQVLEIALVDNVISSQHVDVQSAFF QIRVLVKVYNHIATRHFEPDLLERFQTLDAIRTAYLGKLRNFTRASLAWLINTEIKPS KLLLALGSQEPPKDTETHPSERLAQCERDRVSVTHDQSYNQACYATLAVWYVIKHCPQ AIDDDFKSTVLLPKLLRAFDVVQKRASRDKEPTPKNDVLQWFHLSCFYLICSENFQAN SEGEVADGFNASGLDSHEVLKTQQKFEKYVSRLKTSQIEAYSIEHEELHRVILLGEEL GLDAIPTQFTSSLAASRALQTRRWLAERKRTTKFNPGPASWKTSRITSNGPWELLSTN HQSFLRITDDANIIAARNRLFEFMMSDFTFMASWDYADSNMVGSWWNQEPGSVITATL IDLRMEGKSCSLFEMWRRGCPFSCI LY89DRAFT_353854 MKKKQRKNFESRNTTDVVEERSSSLVITGDPSGHLWICSIWSSL TDAEAMSASVKSVLPVLGQFIHQQVCARCLAFLILLGHLCEKLATEYDTILTSLDGIV GLGERVLLEGLEWGTTEAVDKLKKMLWGLEALRVFDDRLSASLAQIQKGRESMERTIK QEAGQQHVDLLEAYNNVIEEFEKRFGMLSDVQIRTQLKIRQVTGLRDGVGVQFLIFFP N LY89DRAFT_630924 MENANTTTFAILVVIFVLGTYALALSLESIIDSFSKIADRRERM RSTQSSTSKSTQKDQETPSVDSSTSLKWFGLRKRHRAREDDQVAEKISFAA LY89DRAFT_691545 MPHARLIPDIQTPNPTPPSLPNLPNPSQTPTHIVQPLRSLPYSP PPILTPPDLIILTLITPRILMPTPHTNPPLALIIDPIRSLALATKPLPAALLKMRRNH VTRTDFLRDGLHHIFSAYDG LY89DRAFT_765924 MESTNNTASRSESVVVMIDLLRLAREFQGAGERVEVRGITKSTS NITREGDNENRGMILEFATGGLMFVFTHVGDKMAATSLSSSLPDTTTAENFSQSVLNL MSSERITSDPDRLLFQIESKERVSLIDVKQAIELRMLDDKVEKIRAIKPRLPYGAPKF REMYDLAVVCSNAGFMLPGFSAQLSFPTSPRFEVKRALGNLSKLTSDGIRFVPLLRSM TDLHKECDIREGMSDEETSEIRNSTLTTPSGQVVPLEEVEELLRDAGFGGKDDADIHL SEWSDEEMVQLVERLAKASIKAGWI LY89DRAFT_789525 MDSETVPRAQYRQLGQSGLKVSLPILGGMGMGDKSNGVSEEIFG KAIREYNIPRHKLIILTKCYNPARESNTPELLALNKKELEVHPDYVNQFGLSRQGIFN SVNASLRRLQLDYIDLLQIHRYDPETPIEETMKALHDLVQMGKVRYIGASSMWAYQFA MMQFCAEKHGWTKFISMQNNYSLLYREEEREMNKFCDETGVGLIPWGPLNTGRLARPS RDQTTARAKNQKVTTHDAAIIDRVEEIAGKKDCKMSQVALAWINERVASPISSFSSVA RMDEALAANQIVLTEDEEKYLEELYEPRPIKGHSNWKGRLAYRK LY89DRAFT_742632 MSSNGIPKEPWLAYNISKEEYFKLSIEEQLESILVLIPEPERTK LLTFFKSIDQAVAESQRMEIDPWKQEHEDTCGCQDCCEDPCGYDDEWPFTPESDADFE KCSLRLTIASGQEVALWSIVKDLWEVDVPTGDEEREVFAQEIVKLSQDKGWI LY89DRAFT_677639 MLKALRKVVGGRTSPQPTPAKRDRHHRHYPTTTYCRGRHSSHEV AKTVIDFAKRRGSTLHERQRVAISRYRSDNVFNTRNAGNHSEGAIFEAYFKFFDLMFF GGILKLGCKVVLTGKDVEKMNAYGVASTHGKLVNGRPKGVYGNIRIHNASHLKNPRDR RLAGLSTLLHEMLHCFLDFYTCFRSDCRDRFEDLGQEHGIAWQDAAYALEECVRDPTF INLPLVLGRRLALVNELNEWGHPKICDLRRWGFTKREVRFIKGKWRLLKLDDACAS LY89DRAFT_677640 MAYWKGLRRHVLRRIIVQSLHRFFAITYPRLGPGFAEIVLYTRD SRVNGESRKGGLQGYMATLLHEMAHAYIIIYQCPPCLNKDIESDPVNPGHGWPWSEIV EYIEKACLDQTVGLKLFLKTGNR LY89DRAFT_742634 MPYTNLGQLHAHEQSSSDLISRVTAAATFSNGITSVQMSGIERF GKVINEVSGKVASEQLVEKFFHLFDDIFFNRSLRYGVTPKLERKSLPINTCCRGLAPG GKLIVQIGDSLTTSKVTLLGRLLHDMIHAYLWRYAHRSFTDDKLFENGWGFSGHGKAW QDMALVVEDFTKQYLLPLDLGRNEALLMELEQFAEGLNALKASPAGVNKLENEIAKEQ LESEVLGASGKAVSKSKMPTTEERSRNMRRSSPMRRRTNRLTKQIPAHIEKARAQKLS QQTLPLSGHDLEVREILKQTADDCIGVLKALKVEAV LY89DRAFT_702272 MFTSTVLSLFSAASLVAAAVIPDLTLARRNLTENEVIVWGSNGR VEVMNKSEFALLASESSQSFNLTSSTPVLLNNTAFNHSLSSRALSSRSCSSDSYFTMN PASTFLNWDVPMSSVLHATSPSTSVSVTEGYTISNTIQIGVSSTLTIVESFLSTTFSI NYSESWSSSYSAAYTFAIPEGKYGVVVSNSMTTRHSGYVDVGCIGSTERTYFQADSYN SKAYGGLSWVDGTISLCTGDTYPVPMCLGGGTLS LY89DRAFT_742636 MQFSTLFCIFSLTATTLADLHYNAICVDQTDASNVYNDAATIQA CDWYKLRNKGDDWWNTCPDCVMITDGSPHCNSVAQHMGGDEITYYCQLAGAGIALTS LY89DRAFT_353890 MKYPLIRVSILGLLASCGASISVSAPTVNGDTTVTEPIILNSAG VQKGFVAPKPGSSVPNSTSVKLLPRSNILRKRDDYFCTGDAPDVDDCNEVINTALDDN ETITIPDNLCFEISYQTCVGYVCSTPCGGYTFSTTWFGNTLSDLLSLCVDDGQGGEIY TTSDVIYEVGFVTDGEGFPQYEAC LY89DRAFT_630927 MSLYSCLFSLTSFSILFGIVNAQTNGISLRDKMEEMEHIWVDNA GINSDGFVNAVTPCSNYVGFASDATDRGEQSSAQWVRVAFHDFVTGNLSTGLGGLDAS VGFEVARPGNEGLFINDTLQFMLPTVTAYLSMSDNIALGVIASVAECGGTSTGILPKV GRIDADGAASGLVPVPATSLENTLAQFEAAGFDQSDTIALTACGHSLGRVHYSNNPTI VNESYVTSTNLDGGEEFDSTPAVFDSTVVNEYLNGTGQRGGPLVTAPLVADRSDLRLY VSDDNATVESISEESAFQTKCTNLFQRMIDTVPAAVTLSDPITPMTWKAVDLMLDIST AGVVSISGLIRNLYTTTAPPDTVSYTTTSSGTNSTAQTSSTTSGNGTSIFGSTIYWPF NNTLNSPGTTSLNFETITYPVDDTLFILPSQSTVNSSTNEIVLRAAALTSSASGTTMT GVFYVPTSQTGTITKKITNTTLEMSSYGTAGNYTLFEGSATVSQSTSIVAKVLLGGVG SQTVKTKIFVGGV LY89DRAFT_691549 MDGRNPYAYQDLDLGDSIRLIELRSSSSLKDTIQCRLVQKSLKR ARDDIVTPYTALSYVWGDSGHTSIIIVDDTSLRITTSLEAALRHIRDETRLMYIWADG ICINQYDNDERAHQVLLMGEIYASAHHTVIYLGSGDPSAGDILRRIADSCDNATYSAA PGDAILIRSLREILGAPWFFRIWILQELVLSREPIIQWGRYRCSWRALSNMAHYLSSA ELTAEIFEATRESTGSLPKGSFWLGTDVLQNMQAVRDAHQHAKIEDAQNLAVHLRGNR EYFRRLPEQRRARDLMRLVEVMASRAGFGAYDPRDMVYAHLGLAKDANLQVDYTKTIA EVYNNLARETVRRFGSLRILGYLTDADLDQRGIMKCGTGEFTEDKLASWAPNWTSPQK NLPYSIMEYVGLDFDVSGQPRDAPCSFLNHCAALLFEFARIAGVLSDIGPVLEDIEVL PSIDMPQDQSWNDKQQSSWLTDIPGLTNSAAQMIWNDHFDLPGVYDYVPGQPPRCMMD HFMKRRFETSIRSIIDAVGLPSLTAMVFAWSQDAPGMATVSALFQAARCYSW LY89DRAFT_630932 MATEQPTSQQREEVALRLEDLIDALIEHPLWRSPPNQNPTLFFT WDFVMRSKYMLSEYDSILAGRAVQFPSQFQGGAGSGEAAAVKVFRDVCSRTVMLEMMV NDTSGRTAMMTGNSGPAVDFGQRVKDAVKALMEACPEDHVLAGMMD LY89DRAFT_691551 MSSKPQSVNGSESEFEFIETPKAPTPTFEKFEDCGVKTTSYPSI KNAPLPADGPGGDSFSNLVLFSLVAGVPTYFAWKIGGGLKTSIFFGIFTTVPILISFW YLASSFSPRKNEKVKFPGRPIEHYLTFKNAEDKAKYSGHSKIPYETFYTKFFDGEVDV NGDCLEVLEYRHDWASFRFTVGLFKHVFLGFAPEMIMHTRSQDEEQVRDHYDRGDDFY GWFLGPRMVYTSGIISDENTEETLEQLQDNKMAIVCEKIGLKEGEKLLDIGCGWGTLA KFASVNYGAKATGITLGRNQTAWGNNGLRKAGVSEEQSKILCMDYRDIPVPEGKYNKI TCLEMAEHVGMRHLTSFLQQCNDMMDDDGVFYLQVAGLRKSWQYEDLIWGLFMNKYIF PGADASCPLNNYISALESAGWEVKNLDTVGVHYSATLWRWYRNWLANKEKVVAKYGQR WYRIWEFFLASSTITSRQGGATCYQMTLVKNLNSTHRADGIHTQFSLHGALAASKAAN KATFPKNVAV LY89DRAFT_660146 MFSGSNSYLGGQSGRPGGPQQYGSFQPQQQQQPQQQNFLNPNPT GYGQPPLQPSYTGYPMQNQPTGFQPQQMQPQPTGYAGQPSPFNNAQAPQQQSFQTGAP PMPQIPQQYQNQTQQQAQPPPAAPVQKPQPTGFAAMADSFKTTPSAAPARGRRASKSK GARIPNIRLSFITAQDQAKFETLFKSAVGDGQTLSGDKSRDLLLRSKLDGNSLSQIWT LADTTRSGQLHFPEFALAMYLCNLKLVGKQLPASLPENIKNEVSSMVDIINFGVADDA VDSAPRTNAPDFNIRQNAASPPTIQQPQPMQSNSSLLQAQMTGFPGQQNNFSQGGFQG QQPGFQQSMQTGFGQNQQGGMQSNPTGFQNPAATGYNGPRPPMPPMPTGFQNQGLSPA QTGLGGMVAPLNSQPTGRPGQWGLVNAPASGLPNIDLLQSRMMPQQGREQGNFTTAGL SGNAVIPWAVTKDEKTRYDSVFKAWDGFGKGFIGGDVAIEVFGQSGLEKPDLERVWTL ADHGNKGRLNMDEFAVAMHLIYRKLNGYPLPAQLPPELVPPSTRNFSESIGTVKSLLS QESDFRKNSGASLLPQKTGVSYLKNHSFRGDSGFGNTGRKDATVFKNNDDDVGYKSSA RRRLGGTNSPRPSSPSSATSNDELSLEQLRKKIREKQVLLDAIDFKDENAADEDDALD RRDRREAEDLYRRIRRIQEDIDSHPDASLRNVDSGAESRILKRQLQSLTDKLPEIASA VRKTERNIADAKLELFRLKDAKAHPGSASSIVGTGPGGSITESDRLKARAKAMMQQRS AALTGRKVESSNEDLDAPKRLEEENVKIRNEKENNERMVRDVEDSVRDFSRGLEDSLK EGSSDSTSEHEERRWEDGLGVEDEVKDFIFDLQRSSRSARVRTEDRRGSTRETSRVDT PSRYESPAKASPPVEAPAARSTPTPAAGGTYAQYKTPEDRAAYIKQQAEQRMAERLAA LGIKAPTKPGETTQQRLEREKNERAAKLKQAEEEDAKREAERQARINEEQGIPPPAPA AAPAAPGSRKPPPPPSRKAAKSDASERKAEEEQRMLEEQKAQILATQDLQDDAARQET ELAKEGESAAARLKALEEQVKAGKVKKEEEKRRKKAAQAEAKEKEARLAAQRAEIEAA QARERELQRQLEAMDDSDSSDDDEPEQVTPQASTPTQSSQEFERKEISPPPPPVPAVV PPIPPAATTTSLPPVSSPDTETRNPFYKKLQTGGDVSSPSAAMSNNPFHRLPQETKAP EPLITQPTGSRSRARPEEDEWSVVGSDKEDDSSDDEGPGAGNARHLASILFGTMGPPR PLSAAGGAGSTPTSPAMRDSPASPPPPPPMPSGGAPPPPPMPSFGGPPPPPPMPGSGA PGGPPPPPPMPAVSAPPGGGRPAGLLGEIQMGKSLKKTQTKDKSAAAVAGRVLD LY89DRAFT_353952 MVNKHRITPTFSVRDVEVANISVDDSSGVDDSTVGSTSETNPFP DGGLAAWTCVLGSFFALFCTFGWLNALGLFQTYYERTLLITQSASTISWIFTLQLFFM WAGGAVFGRIIDTYGTHHVAIPCAIGCALFVFMINICDQYYQLILVQGVGFGVSAAGL FSCATTSVGQYFDKRKALALGIALSGSSTGGFVHPLYLQRLIDHFGFKQAIRWASLVI AVSGALACMLMSARLPRKKWDKNLSFIDFSLFKRSTFTMYCIGTFFVTWGLFAPWSYL PSMSLRHGFSEGTAIYTIVLLNAASTIGRILPAHLADRLGRFNLVSIITILNAACLLG FWYPLEISTTTAHVQILAFGALYGFTSGAFIGIMMSCVAELGQVETLGQRFGTYQFVV GVGSLTGLPIQGALIPADNHGFRYLILFSGSCMVVGAGFICTARMLRKSRSWKA LY89DRAFT_691554 MPTTSTATFTALFQTPNLIYRPLTTQIAHKQFIHTILSTQPTTW AQSTMRLLKPQTDADIEKYVERLAGSLLAVMIHKKKMQPTEEKNAESDEVPIGYLILS NDPLSAHHRCCSLGIVISDEYQNRGYGSEAINWSLNWAFDVAGLHHVGLGCYSFNEGG LKLYPRLGFKEEGRNREVYWYGGRWWDGVMFSMLEDEWRSMRQAE LY89DRAFT_765943 MVDLIREAPLGHIIRLLSGNRFLQYPEERDDFVLPPQYTAQLNG EEEKQQAATRVRTSASSSDIETDLENNTTSRVKSRAESMPYSQERFEIEQQLALERTK TIPIIPKKTTDGIILVDWYTSDDPANPQNWSPRKRGFVIFVMCAYTWVVYTGSSIYAA GEGGVMKQFTVNATEAILPLSLYVLAYGVGPLLFAPLSEIPIIGRNPLYYITFILFFA LSFPTAVVENFAGLLVLRFLQGFFGSPALANAGATFSDMYSLLYVPYTLSWWVFSAWA GPALGFLIAGFAISAENWRWSLWEIVWMAAPILILLLTVTPETSTPNILLRRAQRLRK ITGDSRLQAQSEIDQRHLTVSGVAIDALIKPIEITLKDPAILFVNIYTSLFYGIYYTF FEVFPIVFAPIYGFNLGEIGLAFLACQVGAGIGLITYFCYLHWYMIPDNIKNGLRAQE HRLVPGIIGSFMLPIGLFIFAWTARPNIHWIVPLIGVVIFVVGMFFILQSIFVYVPLS YPKYAASLFAGNDLCRSAMAAGSTLFARPLFLNLGVGKGVSVLAGLSTLGIFGMITIF FIGAKLRARSKFAQS LY89DRAFT_702279 MAYNSDVDEFQATEYPMLEGKTYLDHGGATIYAKSLIETVSADL ISNLYGNPHSASDPAMLSGQLVDETREKTLRFFGADPEHFDLIFVANATAAIKLVMES FKDIGNAAKADDASSDGAFRYFYHVDCHNSVIGVRETTDNNFHCFPSDAEVEEWVDGR GKQARRNRLGLFAYPGQSNMTGRRLPLSWPGRLRRSTHDAHQDTFTLFDAAALATTAP LNNVFADPDSAPDFTALSFYKIFGYPDLGGLIVRKSSGNILRWGRNYFGGGTVEVVVV MGNKPWYEGRKTLHSSLEDGTLPFHSIIALNAEIDTHDRLYGPEPMKRISKHATFLGK KLYDAMSSLVYSTGVPVVKIYNDNPEFLYGNPSLQGATVAFNVRTPQGAYIPYTSVVE SLANEKKIFVRAGTLCNPGGIATYLGYDPIHIKKLWEDGHRCSSAATTCSEVLGNRPT GVVRVSLGAMTTMANVDRFIDFLKEEFMSGALGTYDRNRSFPPSYVSDSRPATRTPSV DTTATNYESYRPTAPSRRAPIPIPTAQQTEAIRADFESILSFQQTVEPEVFEVETEKK GLKNLWKSKTRKHSSVSVGA LY89DRAFT_660156 MEISTRLNRLQPLLEELFDLSSSPGISIGVLHEDVPAWTIHLGR RRISEPTPPDNDTLYNVASITKLMTAGVVANLVAKGLLDWDTPIREYLSEFGERRDEV GQNATITDLLSNRTGLSAQNTFWGVMKEDIFPGAEQIPRMACHIPAIGKFRESFIYSS WGYGLVTSAIERVTGKPFSACVEEYIFRPLGMVRSTTNLPQSDKVVFKHWVGIDGIAH EFPWSTYRGWSDETGFGGAVGARTTFTGYNGSMILDPQSQTAIVVLVNSLPLFDITDV AGQLILGAILEETNPPDYTSLSKSVRHVNTLLYDAYTAGLEKKKTEVPTQFPLKHYEG EYFDKARIICYSVCVYGDRQLQVRVKGSSLTCYILESWGGELFCLRPDREGELSQSMW PFTSLKSRMFMFNCSPEEVLSFTWHHDITPGSKPETFTKDSQKSIARL LY89DRAFT_789535 MASQADYFAEVNPTSREMYHTAMAFIVIDTLVFGLFLASMYRQR KRQRNKNEIGIYFLMSVAYISVLGNALVGVLLVKIGGVGRHVAFWESTDPDTFTKFLQ LQTGIEIIYMASITAPKIAILTLYLKIFTDRLARQLTWIMGVILVMFLLSGLVLALAL CKPYEYKWNKTIHGKCGDIMAGYRWISIPSIITDFFILIIPIPTIWKLRVDTYKKVGV LITFLTGSLGFITAIVRCLTFYTSNLFSDPTWLAGKTMTWTIIESSAYFICACLPRLR PLLQLFLEKTGVISVVANTWGTFTNKSKGTHDGSAHDMSNENRGSRPGDNKVGFVEMD ELGLVSSNERTQCSGEDEFN LY89DRAFT_630950 MSIDFVSWTLKSWIVVATALVLTITISQIIYNAFFHPLSKVPGP FLCRISGIPSWYYATRGDRHIWLWQLFQIYGPKIRVTPNTILFRDPAAYRDIYTQKAN VQKAAFYEAWQKDKDDINTFNTRNKQAHSRRRKMLNQSFTEQSLRASQPFLIKHVDRW NELLVAETGDDQWSKPMNFADVSDTLVFDIMGDLCFGTSFDIKEREENPFKTMPHVVV QYMQFFYPLTRSPMLNLIVWLKPRGLDALFKSITPPNIKSYISFVEDCVTKRLELYQA QKEKTVSEQRQDMFWFLCDAKDDAGDPAYSEGELRAEANMLIVGGTDTTSVTLAAVMF YLTRDALRLQKLVDEIQSTFSTPEHIAHGPQLTSCIYLRACIDEAMRLSPSGPSELPR EILKGGAIINGEFYPQGTVVGSSGWATGHNDEFYGDSEVFRPERWIPDESTGVTEEEV NVLRANFHPFAQGPGSCPGKNIAILELSIAIARTLHRLEVRKPLEGDNSLGQGIPTNG WGMRNKNIFQLQDAYISVRNGPMVQFKKRPV LY89DRAFT_789537 MTSIEQSLSLEGEDRKASVEKFTVDEVSLPSEWIQGWRLAAIAV GTCIAIGMSQADSTIASTAILVITNDLGGFDMSSWVFTAYLITYSGFPIIIARVSDIF GRKQVFLTCLLMFTIFSGACGASQTLLQLIMFRWVQGIGASGVLSIGTMYGFELLPMD KWPAYSALLSLSVAISLSIAPVIGAALTQLGQWRWVFLINVPVGITGSLLLILGMPKK LPREPSYVFHNNRWFQKLRKLDLPGVLALVGASVLLTAALQQAARGGSFSTPTVASLL ALAPIFILVFLFWQWFSTKTQGRFVAEPILAWDLLSNRVFLGVLINAFFSGAIITTSI VEIPQRFVIVNSLSTIEGGVRLIPFAAVMAFTSTIMSLVLTKLNVPVLYWLLIGGLLQ VGGVAGFSQASTESAIKASQYGFQILAGVGVGIFNVALLLLTPHVVERKFLAVANGAI NQFRLLGGSVGLSLVVSVSNSRLRNDLASVLTPFQVTMLISRTETISELPAATQTVVR KIFGESYNLQMLILIGIAAANIPATLLQWQKIPIVFKR LY89DRAFT_691556 MRFLCLHGIGTSSQILKMQTAAIRYELADQHTYQFVQGVVPWPM ASGLKLLSDPSAEHWAYYDIGEGVASSLATALEQLKAYLAAEGPFDGVLGFSQGAGLA AMFLVQRYYEDPLATPPFKLAIFFSPVSVYDPVAYQKSGEVKILDGPVDQKYVIRIPT AIIYGEEDSRRHECEGLVSSCDPTKLSVLVQKGGHEIAGIGLRSELAGTVNTIRRVIY LAQLSPRTH LY89DRAFT_354188 MEPDCFCYGEPQNTANNEKGTFVGMDTNTESSREASFEPIAIIG MAFKFPQGAESSDSFWRMLEEKHCAATTYPKERFDIDAFWHSDSTRQNIINPREAHFM KGNIGDFDAGFFSMTPQEVGAMDPQQRGLLETTYHAFENAGLALDNIAGSDTSVHIGC FTSDFSHLSLKDAQQIPKYAAVGSAGSVLANRLSWYFDLRGESVYLDTACSSGLIATA LACEQLHSGKSKMAIAGAANIILNPEFNIALSNMNFLSPSGRCKSFDVKGDGYGRGEG YAALVLKRVSTALADGDPIRAVIRSVGTNQDGYTSGGITQPSKEMQAKLIKDTYRRAG LSLKHTRFFEAHGTGTTVGDPIEARAIGETFLQHRSEQDPIYVGAVKSNIGHLEGTSG IASIVKTVLALEHGVIPPNANFNQLNPQIDSEFLRLKFPTNCVPWPEGEIRRASVNSF GFGGANAHVVIDSAEGFLKGRECDTCQREVAVRSIPWSNQHPVHHTPRIFEENGFHHN SIELDKLLSRAHSNGVQNYDKSISENGFANEHNDYLGKLSIWPKLLVLSAADEDGLKR QAMTLSQFLQSHSRRWDPQFLYDVIEVFNTRRTLLSWKSYMVLDSASAFEMLESGLSK AVRRPTAPSSRRLGIVFTGQGAQWAGMGRELLQWPVFEKSIERSQKYLGMLGCGWNVV DEISKDAERSRINETQFSQTISTVLQIALVDLARHLCLNVSVVVGHSSGEIAAAYCAG FLSHQSAVRVAYFRGLLASELAESCGQKCGMLSVGVAASQMQQELSRIQSEQQGSGTL LADSITVSCINSPTNVTISGPLDSLDIIMTNLQARGIFARRLKIDLGYHSPQMSTIAK AYSAHLKDLRPGNVSCEIRMFSSVVLRIVSKEVVCTPSYWVQNMLSPVRFVEAMESCC SCPNEDSILHKLDLSHQAQIVTDGWLEVGPHAALKGPLREIFDLTNRSDNMFYASILM RNKSAVYTLLDTVGQLHCRNFQVDLGAIARMSGSPLRLTPGTISTLPSYPFNHSTVYW EESARSKGLRSRKHANNELLGAPVIDWNPLDARWRLVVKKEDMPWVEQHKVHGQMLYP AAGMLVMAIEGLKQLTEQKIIGYEIRDVSFVAPIVLNSSSDGTEIQTTLTPTSMQNIE AEYKFRILVKAGNDSWQAACFGTIAADTGRGSQDVNFCMEEEYKKQAARSKYEHAVQS CLTSIETAELYKRIRDNTGLEYGPMFQPLTEMSYSSDGQGCAQLLPCTGVSSKIRQPW TIHPSTLDGVFQFTYLGLGKGWSETVPTMVPSHLMRLWISTAGAGHADLGSEVSNSSS SFLSKRAAIGTATVFSEDDMSIRLEVEGLEVTEMGGTSVPEESTLQATCQYMEWKPDF STMTTMEISEYCGEHRKDHAEPEEWFQELGLMMLSFTACTFDKLSKLQLHPIESQVRY VAWLQSRLDEYLSLAGPGAELDVLDSGKLEKMRQKFFGDSKRGNLSARTGGQLFQILT GEVNALDFIFEDEAFVSEYYHELNQTGKAFDMLSAYLDARAHKDPGLKYLEIGAGTGA TTTQILGSIARPEIGTRYSEYHYTDISPYFITHATEKYDRFPRMKYLILNIEEDPTHQ GFEQESYDIIIAANVLHATKNLSVTLANTRKLLKPNGQLIMMEMTTPMKIETGFVFGL LPGWWLSSEEYRRNGAIMLEEQWHSVLKEHGFSGCEQIFRDWDSDQCHGWSIIITSVS TPDVPILAIGVKGKTRSLTLVADMTSQIQTQIGEGLQHDLGDSGTSVDLISLRDLFLF ADLRERDVVLLAGLEKCLLDETSQPAFQALQRILTSSKSILWVNNMGSAPDDAPYWAM TDGLTRVCRNEDISITMATLALEQSTCGNKDKVVQQIIKVVHQIHTGSSTDTMDLDWM EIQGRLCINRLSKADLVDKYVFSRTTKPVRMRQFGSSPPLKLQIKTPGLLDTIEWIED GGAYLPLDPDYIEVRIQTVGVNFKECLTLLGRVNVDQLGSEAAGYVHRVGARVQRFKV GDRVAVATANTYQTLVRVRDAVHLPDSMSFVEAASLPTAFCTAYVSLIRTARLQKGET ILIHAAAGGTGQAAVQLAQNLGAEVFVTVGSHGKKKIVMEQYNVPEDHIFYSRDSSFA DGIKRMTGGRGVDVVLNSLSGKLLIASWESIAPFGRFIEIGRKDIDSRGQLPMYPFIK NTMFAGVDLAAYIMGEADNRGQEQMQEVFRMAEHHIIRPPYPLTTYSLDQAEDAFRML QSGKNSGKMVLEVSNEAVVPVREGADGDYRFRPDATYVVAGGFGGIGRQITRWMARRG AQHILVLSRSTPEQNAEGGRMISELRLLEIDVQHASCDISDLASLQSSVQTAMTTRAM PRIEGCFQASMVLNDRPFSTMQYSEWNAAIRPKVHGSWNLHKTLPNQMDFFVMLSSVT GIVGNPGQSNYAAGNTFQDALARYRVSRGEKATALDLGIILGEGFVAENQDIHDKLVR LNMLDMISQERLFAMFDYFCDPSISNGTNAASQVVAGLTSPGMLLQRGSEVPLALRRS MFRAMHHVDSVQGQDDANGANEVLSSSLDVVKLFQESASVQDAGAMVAEALKAKVSKL VGVNVEEHTVDDRMESFGVDSLVALELRNWISKEMHADIAVYEILGDAKLSDIGLMVA RKNQQPHWHEST LY89DRAFT_354224 MPDQLPPLPKRVENILFLMLGDAKMNYRLRELYEYGSVGPDFYD PTAMNGKGRDDRPKLVQHEDMCYRVQGMETDRFESGQFTESNNLATSHPTVFQSLAQV LIFTYDVSSKDSFLNMCERYEGLPTPREGRIEIKLMRIDRNISKNGDVQDKLVHEERY ISMDHFPVVFAGCRYPAESVREVEKEDVEAFAHHHPDWKFAGECMLEEDRPENVDQVF RVALEVYHSIRRVALQQGALDHIVDSRPHKQQRSPHCCVVL LY89DRAFT_742654 MALLKGRFLHVSLLVLLSGTIEAAVRNSSTNTLLHRGPYQSKRV ANVSSSSDTTFNTSSFQGVSQSQLANAYVIVDNAVTEWSVYKKVRFENPKHNIYTLKG ATAPESNADVPVITEEVAAAAALVAEVEVAQNGGNTTKPMRKGQSGSFWMEDIERFGS QPYGGNSSYPVFRNVLHYGAHGDGTTDDTKAINAAIQDGNRCGPKCYGSSTKNAIVYF PGGNRTYLVSSPIIALYGTQMIGDPNDRTIIKATKRYLGLGVISTDVYTGAGGQGVDG LDPEYYINTANFYRQIRNFVIDITDATFDREGTAGIHYQVSQATSMFNVRFICSSNGN TKQRAIFAENGSGGFISDLTFEGGAYGIQGGNQQFTAHRLTFTNVRTAIWVFWDWGWT WKSIHITGTTTGFNLTSENGIVNQAGSILVQDSIFENVQNAIVIFPPTDQPGQNNTGV TLDNVVFKGVTNAIIDNKGKQWLAGSIGSVDIFLIGPVYNELQRTFSFGTQISSTRSP GLLGSQNGLPKPAFLEHARSQYEKVPASQFVSVKKNGAKGDASSDDTLSIQEVIDRCA ETSSIVYIDAGSYLITDTLRVQPGTKIVGELWAQLVASIGESGQKGSVEIQDLLFTTV GETKGLIAVEWNLEADEPGSTAMWDCHVRIGGATGSQLTSQQCPPVKSGVNSGCTAGS LMFRITSSASAYLENVWLWVADHDLDDADLNNANNTMTQVSVYVARGILIESRKPTWL YGTASEHSAFYQYSFYKAKEIYAGMIQTESPYYQPNPRPPAPFNSLTKIFPGDPSFEW AVRIERSANISFSGAGLYSWFNTFDESCVDGRTCQNSMVEMKENGKNIQFLNLITIRA KNMVTTEQGEIAGKDNEDSGGHPKWTHIAALRMSGDGGPDDNIVYIDPIVWTQPNPHL NCTPPCIFVVPPHSLPTRTTIQFPRFVTSLEVDWLGSKSGGGSELSSTIVTTTLTFSD VILNSIDYSNIHITGSGTISDIVPRMSIDPGTLVITDNYPVGITATPVLRTITTPPFP WFGISPTGQASVVSYMYDGAIILVTSTTTITFVRTDGHTVLFGPTGIVIDGNPIASPT TTTTTSNITIGPLLPWFSIFPSRTVSFVTTDVPGPTTAQINGTPVPVIPCLILFGFEL PGIYFGYVARSKTMMRECAAYRATDADCGRWGVVYPKDHTNEDMNNRIDAAIKAMITT GTVYRSQPKVKPKDGTPMGTMYWAVERISDDQIQSLKNMVELLYSEDVPNGNASWVMG PNVTIQVQEIQRRADIFQHDATPEMITISMPPEANMGQMEGRYIYDDSAGKDQTIYAV EIGFVPSDELSNLQLRLLYAEPWLSATKTASDWKDGHGACVAAKIGGKTVGIARAAKE VVLTVLNAPNDFLQDSYVDALIKIYDDIIGDSENEIPNKSKTSVVNISLSRELSTDKE VKWSQAMINKMGVIIRQLVAEGVPVVVGAGNRIVVGASMPRDGNENAYFSLGRPALDG GFGPGSDITVYAPGAELRCPNGMGGMFLGGPNEEDGTRNGKWGTSYASPTVAGLVAYF KALQGDQNDATKFLSPRAVKERVRDFAWRRNENADWPLFPPVIWNGQAGLFCGTSLSR GLTSMDLPMSADANFSAHAVQKRQSSGSQCTIDPSKTNPANNPNKGGPTWTYQSGPEG PTCTSGGCGKLCPTGSVFCGDLGDNPFNPDFWDPLDPRSPQNPANPDYVPLTTSKTTQ TPTSKSTMTTTTASPTPTGPAYVLEVNLDTFLSELNNINLWAFFDAMSNQTLHICDSK PVLQANAPSSLAQGTRVYPNGTFNVKTHGMNCVWTGDGKGIGIRDLVCPGKDVTCREA GTVSIQCPTITDFTDAYTERAYCSWY LY89DRAFT_630961 MSQVYSILVVGGGIGGLSAAIELTLKGHSVTVLERTSSLQSIGG GILISPQACKIIDTWGCFDRFLKLDVIRDKLQIWRYADGSLIGSNDFGWQKNVYGYPT LNITREDYQRLLYDRALELGVTVKFGCKVDTIDQAVPSITLADGELWKADLIIGADGI RSKVRTTVLGEVVDPRISDTAYICKISGDAMRADPLTAAIMAQPDPHGWLAPGRHVVA SPAQWGKSYYIILILDKEHDRHPPIPEDARDWQTRGDIKELRKIFDDHEPKLRKILDM VDEENCKLWRVGMLPDLKTWISESGKVVLVGDAAHALNPYLALGAAMATEDVAVLSEC LSRIISPSQIPIAMKAYETIRKPRAEKLKAASEFSGLEKHYPDGEKQRKRDELMMKSA GSQIAVPKKGEKNMHPSAWVHGYDARGHANTELDRLFGDDGTATFRNTVPDSFGEGLI A LY89DRAFT_691561 MSQRILLTGANGFLGTHILAELLKAGFSVRSVVRSQSKADQLSR IFPTYASKMDFGIVPDMTIPGAFNQVVQANPPFTTVLHQASPFFFASISKNEDFLLPA IEGTTNLLKAVKEHAPEVKRVIYTSSCAAVLNFEAPIGTNPQKVYTDSDWNPVTYTQA IEGNKAIAYRASKKFAELAGWNFIQEEKPNFDFVSINPPMIYGPMHSPAATVAEINES NVRIYNNFINSTTDAELPPGGVHMYVDVRDLATAHVRAVTTKEAGSERIIVSSKAISS QEISDLLRSNFPELDKRTPVGKPGTISLPEGAYTVSNEKAKRMLGLTFRSDEECFVEL GKQLLEIEKGSK LY89DRAFT_600897 MKIAITGARGTVGRLVVKICSEAGHQTVQINRTDQEYDGTKNSE MRTADAANSYDDTLKAFMGCDAVIHLAAIPNPVDIEDWKVHSNNVNSAFNGFRAAADL GIKRFCYASSVNAIGLAYANQPLSFDYFPIDEDYPHKPTDAYALAKQESEVQARALVN WFPGMNIACLRIHEVAPLKDVQKEHQENWDKAAVMQLWGWVNPEATARACLLAVETDK VKGCEIFNIIAPTMTQETSSQELAKKYFPKAEIRRDMSKNQAFWTTDKAKRLLGWTHD EKQ LY89DRAFT_691563 MSFNPSITDVQKYLKADFQPIHEDLFWEAAESKTPEKWQEELQS SIRDRLKDFDPIKQGWKLIVEKACITVSDIRLMLQQYPSEHREQKKQYVVASANKANY LVNVLLGRISTKWYPDVEHPAFDEDDEAYNNFLIQSLHELMNSNPNTAMYNRFFFASK LKCVNDHGRLPKNIELQGKENLPFMEHIQQWNDKYGFILVFGDSPLDWDTKLSKMAIE KANKCLSHNSPQCSTKFITCGTAMRPFGTTIVESFELKQYLLSHGVSEKNILIDTCSE HTNTNIWNAACLAFEAGMPIEKKMIAFMLGEQFAFVAGNKDGGMRQRTKEEVCPDMYD YLTIEKGDIDGSVVVGFKESFKDCPKWRLQWKNHVGKEIEVEDILEEGFEYL LY89DRAFT_691564 MMEYISDNWSPTTLSPITTFGLSPVVALPPVPTHNRGNTPIDHL QSCRLHDDAGLKICYGTLRRNKTSQCIKKAASFQPPMMPTCRLHQDQFKRAAYCKVPL ACGIDCGRICQWIPHSFQRCAAHTNHAMPCYFLKIPLELRNRIYDLLLPNKTIPSSYG HTNRRTDNEKTHMSILRVNQQIHDETSRLLYSSNTFTIQVSEHGLRMCNSASEQQLPL PHYGNHGHALQDYQMQLMLLDQQNKRRLMMARNHIIPPQNTPYPHPAPANYSIPPYLP DALQAPTQLEPVWQAPLSPRYFNLIQRFRIEIVFFGKFVLNENDGTMNGQARLQRLYE FSDHLHRLVNRFRITGRRINCLEIEFEFGEAYNDPDEVFSVIELLLRPSRRLCDIGRA CVDSITIFMSQAGSGGDYMLQLLDPGQRNENLSEFLQSWSRQLAASTPSLPPSPVFDG YWRLEVLLTKIKAHCNHVATQMNQDLNSGFQHFAELKQRARIAREDDDVTSFKEILET VISTWQRYLDWEKEFEMSTWKDVEGIWDVVADGELVV LY89DRAFT_711984 MAPSATEVLPIDSRIKDYVVQKKDTLALPAAARARLEKAGINLS NGYPERPAKPLYLDDAIEIRNVDREHVDAGARADKSKSALFSAAKEVIDLTKHIGTEI VGLQLKDLTDQQRDELALLIAERSVVFFRDQDLTPQEQKKLGEHFGEVEIHPQVPHVP GVPGVTIIWPALQATERKASFRQPGGASKWHTDLVHEKHPAGITHLHNDTIPSIGGDT LWASGYAAYSKLSPEFRKIIDGRKAVYVSAHTYLDRNDPTAGPKRIERIHPIVRVHPA TGWKSLFVNRAMTKEIVGLDKAESDLILNYLYDVYEQNVDIQVRFKWTPRTSALWDNR ITIHNASWDYEGSEPRHGTRVTSLAEKPYFDTDAPTRREALGLPDN LY89DRAFT_600931 MLSEKKAPWWSYIWDYGPGRSFEEVKFIQKLDIGLLTILSLGYF IKNLDQTNISNAYVSGMKEALKMNSNQLNLIDIAWTTGYVIGQLPSQFILTKVRPSIW IPSCELVWTILTFCLAAATSANQVIAIRFFVGLVESIFYPAAHVLIGSWYKPSELGKR ACIFHASAAAAGMFSGYLQAAVYKGLNGHLGKAGWQWLFIMDGVISTPICLAGFFLIP DLPENTRAFYLNEEDSALARKRMDDVGRAPRKKLGWSILRRVFTRWHVYALTVLYIIF INTGPSSSVSPMALWLKATGWPVTQINIIPTGQSAVQLVTTVTFAMLSDFLRNRPAVM SISTFFGFLSALLLAIWTIPSGLHWFAYFISKAAVPYGPLSMSWANEICGADAEERAV VLGVMNASGYAVNAWLPLLTYPVTQAPRFKKGFVFSTIAFVAQFGITGLVAWLHRREK GKKVSIEEGI LY89DRAFT_765979 MSKYCKLGPVLDEQARQAGYPPGHAEEMRIAIRNITMPRHKAIL KDELNLPLTNKHIDWYGWKHLFPPGNPAFPVSEPQRAKGHAVLLAAFENMGLPSDPNG INTSTLLLAGPPDLTTNPIGSTLSAHGRGGHFGGSNRPRPIPHSTILGISPSDNEHRQ KQQPQQTASRGRGGSRGGRGGGMVPTHSSHATDRRHLHWPWRDESGDIEFEIPIYTVF KCYLYGADRKSISAVEGYFKVTIEGEYPVADDKIFFHIWVQAQEKVQSESPPYHRAQS AYAFLLEYEKQLRWGYKRNGLSPYIDDFLFEYNQKGYVTVPDRIFAEVTKHTASSSLN AGPQYHQGVTLEPQTSGPSLANNNNTNTATVSPTAPTAPKGNKRKWEYFVEATETQSE ASKIDAQKEIKRRLVDEEVTGRLMLSKDHMLSQVDKAVAKLRFIMMEVFDNLPVGHSF FAQYSQLLAQDGGNFQKTGSGQESPPERAEGEKKIIEEGLNTDDHMDSDEELRWYGLA GSP LY89DRAFT_789549 MDGNEKNKNIDAIGPPTIKSSTNIESTGSENSQRFSATILPGSG VNTTQAAQAERGLKSGRSTIINAPTTIPQPNVILDGPPATRDSSIEVTSQMIEQMAAQ SCATPVAPPNSATTSGHLASLLDRFATMHKESMDLQREILAAIVTFNDNDTSADSPVF IAPSNPPTAKRKLIEEDDSAAPASSRVKLEPTREEFVIASPREDPMRNHRRGRGRRRR GGGQAQPIDNNTKMAISGHVFGRPKDRIRLLSGAWDPLREVPRHETKVGRRQLLQDDL EMTLATDYCKNNRELKARDIRHYTKEDCGNCGERHPEATCPVNFCGTCSSRGHRSSKY PKSQKVCTCSHHPGHLLKECKERCLYEPCNRAHSAINCPKRCCKCGSFQHSGRDCKEV IHCGCGRGIHFAQKHGGGCMTKGCGLYFCHLHCDTCGLSPALHPAGRCTASVVQDFVN PVAGDFNTDPSIRGTIRTLKCLHHEGTYNFGECCLSCDRELKSRELQKLIDIATDAEN LAVMEQQMYDGWESRVMVLNGIGDEAREKLLRRLDEEREREQKYLESIQ LY89DRAFT_765982 MKAYQFEDASTGLQLRDIPVPKAKAGEVLLRVEAAGLCHTDCHI IGGHVDDWIRKRPITLGHEVAGVITELGSASEFKVGDRVAVSLPGHSTEEPDWKNAVG MGFDGGYAEFMTVSTKYVVPIPANVSFEQAAVAGDSILTAYHAVVHEAEVDSTKTVAV IGLGGVGINGVRIAALQGAIVYGIDIDEGKFEGAMKQGAKACFKNFEQASDIRFDAIL DFAGVGTTTAAAVKAVKAGGQVVLVGMGAPIMELSTLAIVTRRIQLRGSLGASIPEFS QVLALISKGDIVPVLQEIPFEDVVEGLKRVERSEVNGRLFTRPNK LY89DRAFT_765984 MILQQFLFVTTSLFCFFICTYAQRLTVDVGYGVYTGVTNSTTGL NIWKGIRYAAPPTGALRWQAPQAPTTNRTIVIASTFGPACPQSFSQFQGAPFWIGNED CLFLNVYAPSNGSKLPVLASIHGGGYGQGDASQDMSSFINTNDNGLVAVTIQYRLGAF GFLSSAEIKARGVLNAGLLDQNFAFQWIQKNIAQFGGDQNRVTIAGESAGGASAMLHA IAQDGSLGTNLFKNIISASPFLPSQPNFSDPIPTQHYYDFSKSAGCPSSGTVFDCLIT KDSLTLQYASNLVSTNLPTPHGNWAFIPVTDGTYVTGLPSVQLNQRRVNGLRALVGNN ANEGSLVVPATIITENDLITWIKSNFANLSTTNITALLAAYPSTSGPVNSADPKYETN GYGPGTAVNISQVGTGQQQRAYDMYAEAAAVCPSYWLSTAFTSSNKTAYHYQYSVPFA VHGSDVSAYYGPPTDNQGPEFVTAFQKIYGNFITKDDPSIPNEIANGPSSADPSAINA ASKWPKWTDQNPQQLNLNETGGTAYTVVTAQGIPVTQFKEPGLRNSITVADASTWEGG RGERCEFWRRLSPFVPQ LY89DRAFT_742666 MSLARIATSWANSQVTLIRNSGVSNAQRGVHVPPQNKYGQSTSW TPLFNPDARPAPVFVTKMQQIFACLDPGWIGYLSPEAGSGVSCSADHLYGSSESSEHG PRIIENLTQKGV LY89DRAFT_630977 MSSPDLEKDIKPYVSDADYSEDPELPPNLQAVAHKGFLGTLRHY EDLLDKKIGVEAHGPARMLPEDRDPAYGKWSSQIVMALMWASGTMNLSCFTTGFLGWE LGLSLSQTIWITIVASFVGSAVTGWCATMGPSMGLRQVSISRYSMGWWPAKIIAFLNV IEQVGWSSVGCITGGLALSAVSDGRINLVLGVVIVAVIGVIFSFVGLRAVLSYEKYAW AVFFIIFMVMYGELAPSASLSTPAVATGLTNTGACLTLFAVVYGSSNSWCSIVSDYYC QYPVNTSKFKVFLHTTCGIAIPTCIGMILGCCVGSTMGINSDWADVYDDQGVGFLLQH ILFPRGFAKFLLVLLVMSGIGMNCIAIYSGALSIQQFAQPLSLIPRFVWTLLVFVAIL LIAIAGRNHLLTVLQNFLSLLGYWNTSFFVILFTEHYWFRDGWNGFHNYDLAAWNTAS KMPIGIAGLSAFAAGIAGAVVGMDETWWVGPIARKIGDYGGDVGNELALVFTLVTYIP VRYLELHFIGR LY89DRAFT_601018 MFFLYVFWILIQSSIYVQGYNFPYEGIQLNDSDVRNYSRIAFGV SSTISSNPTNSTGCKVYPGDAQWPSISEWNKFNSTLGGVLIKGVPPARVCYQPGYDAA QCAVVTSNYYYSNFRNDDPVSIVNEWLDGDSCAPSTTSNATATNSTCNVGAYPAYVVN ATTVKHIQMAVNFARNSNIRLIIKNTGHDMRGQSAGAGSLSIWTHHLKDFEYLPSYTI GDYQGKAARVAAGVESLELSAHMRAANSTIVVPGGGTVGSMGGFFQGGGHSSYTSYYG LGADQILAMEVVTADGRFVTADPNNNTDLFWALRGGGGGTYGVVTSAITKAYDAIPMG FSSVIFTTANLSKYVTTVSNETFWTGIRAYLKFGPQICDAGGIGYNFINHGPNGTLTF TTSITIPGMNFTEANDFASGLFTELNDVGVNITNPFATPVSASEVSRLVAAAYPSRGP GEMNTRLASRLFPRENFEDDDLLDDTLGVIRTFVEEGGYTFHGVNHCPTMEVAGNPDN AVNPAYRRAAMHAQGWNSGPAVGPIEVQKKDYERFSRYFQPWRDVSPEAGSYMGEADA AEPEWQQSFYGDNYEKLLGIKQTYDPWGLFWVNTGVGSEGWEVKTPVAGWPTQNGPLC RTNATVRG LY89DRAFT_725615 MDNSHRPPSSLIIEESLEPETLSATTSNQSTHDTDLGIGSQAET SITDVVSNAEIGWMEETSKAVTKFTTSESPVSPTAEILKSAILENITEVPATDDPEAS YFGFYAWMTEIFFCVLSLSSLIVITTVLRAYDGKALPNLPMSITLNTFLAFFTTLTKA TFMLSVAEAISQWKWNMLKNKERPLTDFLAVDSASRGMWGSFWIMGRFRMNHVAILGA VISLLGMATSPVTQQMIAYPLRLAQVEGIATVPTSSHFEDNNGFNVWHAIFAGVISTF DDPILPVSPVCSTPDCTFPQYKSIGMCAKAVNITSLLNVEVIPNSNADDWPYPAWTGM IAQNGSTAMNASLPNGISWVTPASVSYMAMPSNKTMAFANDTNMAFTAFEYMVLIWSN AGNITNFESNLTSQTPWKFEAVEVAIYLCLNTYETEMSQSQPSTNIVKSSYVPANVPN NVGNFLAGCWFEKFPGTPFGTQKFCDTGLYVDGNMTLVDPDDPSTTYSADAKALHSID INLQEADLATFVSNGNMDPGIYATDTQSLALANVIYNDYENDLLLDPAEQFERVGTFY NSTANSLTNLMRTSSSTTVNGTAWKDETFVEIKWGWLVFLASQLVLSIIFLLITVIST YRMRIPAMKSSALAMLLAPAEEIRDKIGDIGHFEEATKRSGGMKVRLINNGLVVSPGR FQADDNA LY89DRAFT_691569 MSSVKDAIPNGNGPSISILEQPILTRRKLRLVCVGAGFSGLTLA HKIQHEFKLEEEIDLTIYEKNPEIGGTWYENTYPGAACDIPSHAYTFLFEPNPDWSKF YSPGPEIHSYIKRTAEKYNLTKHVRLNSKVLETIWGQEAGKWKIKVDIDGFIKEDDAD ILINGSGFLNKWKWPNIQGLQDFKGKLVHSANWDNSYNWDDKKIAVIGNGSSGLQIVS AMQPKVSKLVNYVRGPTWISINFCAAKAKDGKNFEYSEAEKKTFKEDPQALFLLRKEL EASINSFFFGMYVDHPFQQGLEAACKQQMQSILQDHPEILSKMIPGFHPGCRRLSPCD GYLEALKQENASIVWTDIETITETGIRTADGLVEDFDMIVCATGFDTSFVPPWKLVGL NGATLTERWKINPDAFFSVQVDSMPNYFIFNGPNCPISHGSVLSQISWTCGYILRWVK KMATQDLKSVTPKPKSVADFNAYSQKFLLRTVWADKCRSWYKNGKETGIVTGTYAGSI LHFKDALENIGSEHFDIVSRSDNVFGWLGNGQSLHDKDGYGDLAYYMEQPTI LY89DRAFT_789553 MAFSSSEKPKVLALTPPKACGADYLEDFKSKFQLDILSVKNRAE AVPAIAEAVAKTGPYSAFIILMGTAPFEPFDGEFFTPLVPECKIVVSASAGYNEFPVN WLTDKGIWFCNTRNAVSEPTADMALFLTLAVCRDTTRAENSVRNGLWRNNHVPCTDPS DLIVGIIGLGAIGKHYARKVNAFNMKVQYHNRTRASPEIEALYGATWCPNLEELLRTS DVVSVSVPQNAETIGLISRNEFSQMKDGVFFINTARGPIVDEAALIAALESGKVARAG LDVFTGEPNVNPWFLKSDKVVIQPHLGGLTNRAWRDAERECFANIVALYETGRPVAPV NDIKPN LY89DRAFT_601084 IPAVEFGTFQDADAQEDAVYLALKTGVRNIDTARVYDTEKQVGK GIKRSGIPRDQIFLATKIWCNSYHPDDVKTVLDASLRDLDTEYIDLYLMHYPCTFARG AERFPKGEDGKMIMGETTYLDTWRAMEKLVKSGKARAIGVSNFNQSEIQNLMDNSNTT PAAHQMEVHPYLQQPAFNSWLKSKGVHVIQFSPLGNMNSFYRDIHWSKSQSHMPRVID HPVLQEIGNKYGKSPIQIALAWGINSGRTVIPKSVIDWQIKENLEADFLLDAENIEKI ATMDVKARFNDPSEYYRWPLYAGLDGTSHFEGKIY LY89DRAFT_766013 MDQRKGCMVAAHLEKRKLLIAINSVAALSIFFFGYDQGMMGGVN SSFDYVQTMGFGHVENGTITVTNTLLQGGIVSVYYLGTLIGCLLGGSFGDRYGRIYTI ALGSVWGLVGATLQTSAMNSNWMICARLVNGIGTGILNAIVPAWASEVAEYTTRGKFI AIEFTLNIFGVVVAYWLAYGVSFIDGGWSPVRWRFPIGFQIIPLLVLLGICWFFPESP RWLCKVDRDDEALYILQRLRGTEGEDAGKAQLELSDIRSIVELEKATTHGSTYYHMFF GIGSGKLHVARRVQLCIWLQILQDWSGIAGITMFGPTIFGIAGFTTEKAQWISGLNNI FYMFATLICVYTLDRIGRRWTLWWGSVGQALAMFLVGGFCRLGLNSTANGDISAASKW GAAAASMVYLYTFIFGATWLTVRAKGNAWGVVGWSVGNGTLTLVLPYIVSAINEKLLY IFGACNLISIPHWYLPMWAFYPESNQRTLEEMDMLFASDSL LY89DRAFT_742674 MSTNGANDAPAPASTQPDPTHSAYYWALIEELERVHDDNDASIS AIVNHQRHDDDFVALLNANPRMKWCFDNQAAILKLHSSSTPTPVFRVWCDICRRYFKH CMFSKKERKGWGGSTSCRWCQADVGISTDNQQFEVDQHVHCNRGGKKECGTFPPQSLE VPPNRRTVNESRIGEIAAPEVQFTIKKKMSQKERKARERKQREDMGI LY89DRAFT_789555 MALNHEEKDEISTNILHDLSQTAYACSSLIPISGGTANFVFRGI HRDGTIIIKHSTNFASCNRDFPLDISRCIFEESMLNYLQDLPISLVKTPRLLLFDQVA YTQVHEDFSDSIDLKAVIFSGSLSHSVAKFIGYNLGTWTRSFHTWASTRTLYAEQNEP MRKLKYLITYGSFIEVLERFPEVLGTHKATLEEIEARSTKELQTLADDGLQDWGVIHG DFWSGNVLVSQSHKNNEPGLFIVDWELAHFGHRSYDLGQMIGDLYERKYFRDAEPAMW IIQALIDGYGPIDDDMAFRIAIHTGVHLICWCIRGPAKDTPERMSGIIRIGMNFMLKA WEKDKEWFQDTILASLFN LY89DRAFT_742676 MKLFDVLLAALAFAGMMFVEATPLHGVERAEHTDYNLPHPIVPL YLNTTYNGVHIEGTGSLDEIFAKVLVEHPGFKLPERNNSTKGNSGIERRYGYGALYCI PVPGWSWVPVNMNTAWSQMNFLMQLGPLQLTIPAFACIPIVLNGNAQVWNLHTINPPF EWYGDLGYQILNNCGGYDAATDLMMVGGQQFDVNGFDLIIRQWP LY89DRAFT_766023 MQGAAETGNVELVSLLIEAGADVNAPAGNKWTPSLHLAASQGDN DIINLLIDCGTDVNFRVTSQGLYGRTALQAACNTGNYDTVHLLLRKGADVNASPGRRG DRWYRGLTALQAAAGGGYLRIVQLLLANEADIDAAGGENGGHTTIEAAASNGRIDMLK LLLNAGADITRTDKSADS LY89DRAFT_354453 MMSKNVVEPLGIALIFTTATIGNRRRESDLRYEKLLQPESSRPD ERTPLLQPPHSLPPQPKSHSLQHWLPYPDTRQFHNNIPSRILRKFPFLLEIWYWALTY WPYQLARARTAVWINSDPDRKAAIFAQAERNAIRVLRIEEWLSINIEHGLQHFILTRC RYWVMTVLCDVYLSHIAVGVAFLGYAYTCFPREQYQRVRRSIFLNNILAFLIMSLYRC TPPRLMPSSHHFVDVLHPNPSNPGATPPSDWANNRFQLTIAAMPSLHFGTALLIGLSV ARWGTHLWFSVLGYLYPVLMFVVVISTANHWVLDCVAGLCVVGLGWGLNWVVLLLVPV EQWCLWVLRVEKPGEVMRISGEDVQEGNEVVVVS LY89DRAFT_691572 MADSLGDEEKGVKREVIATQPTTADESLSLDDNEKRASPSPTPA ASGGFFERLNEKILSIKFLEQRGIERVPEDERHEITGSKYMQMLLLWFSTNITANNIA VGMLGPLSYDLGFVDSALCCAFGTLIGSAGAAYMSTFGPQSGNRTMVIARYFMGYYPS KIACLLNIVIMLGYGMIDCLIGGQILSAVSGGNITVVAGIIIVAAISWVVVVFGMSIF QIYERWAWAPQLVAVFVLVGSAGPTFDTSITSSGNHETINGNRLSFLSLCLSSAVAWA PAAADYYVYYPPTTQKWKTFTMTICGLTLSLAFANLLGVGLASGTFSNTDWLNAYDTS SGALILAGYSGLGGFGKFLGVIVALGLIANNIPGTYSATLGFQIMGRQLAKLPRWFLA CVCVLIYTACALGGRNHLFDIFENFLALMGYWVTIYLTIALEEHLIFRRKSGFDWTAW KDRSALPIGIAALAAFLIGWAGSIISMYQIWYVGPIAKMVGEYGTDLGIWVGVSWAMI TFPPLRWLELKKFGR LY89DRAFT_601132 MGYNDGGGSPQDLIAQTWIMYSIAIALFLTRIYARYVRLGFKWQ IEDYLMVLAVAWYTTMCVTNIAIITGGGSSLYLPGQYETFTAADIAARVKGSKIEFAS EMCMLNTMYTLKACMLIIYFQLTSNLSQQKWMKLSAGYTFLGWLATVLVLFFNCHPFT GYWTLPPPQEECASYFRYEVTQAVFNVSSDLTMICVILPMLFRIRMPWKTKLPLLFIF SMGLVVVICACTSKYFTFKDIWDDSYQFWYLREASIAMYTTNLPYVWSLARQTFRVLR STESDTSGRLPYAGPSHLSNLQSKTGGQSRRDKYNNLDTVNGSGALERTESEEHIIEM KGMQGMEMRPDGSETVSWAQSDGVGSGNEGLKGAIQKTVEVTVKNDEI LY89DRAFT_742681 MPPKRTSSSKRDRQKLATAAQKLMTLPSASEPDEEESVVANNLT QALTGESEDEEIIEEERYLSATSTLSKQPNPPTSSAASKAFKCECQQGHTDGIECIIH NNRKVHAGISARVLMRSNMVYINNKFYVHADLLSLHSERFRREWGQTTFEEKEKRKGS MLWALKDKDPLIAHFSGWLYSGGLLATACFETNELQEELTAYRTGRFENDGFNKHWMV PKSLRSIYKVATQGSPFRKLASDIMSSLDVLNSEKYGPQEDWESLCEDLPELEADVKA ADVEKNKGKWAGRGGRPWNNQYRKEYMLEEVPMEEVWENQILQQRNLGDLERMSKEKD VQAMIELEHVKGSQQSSEAKEDD LY89DRAFT_766027 MSSKKKVNKNVTPKKSTRSTPKRKAKEVSVAAQDEQADPAPASS TQALNDLDGEEIDGVEFHDAEEEIAEPSNQPNQHPVPLIPSRSIIELKKEEHISIGAR VAMSSPSVTILVNDRNKIHEFKVHDSERSAFTVNDYIWKTRVTSPHFAHFFGWLYTGT VLDTVCFDSETLDQELWDLGDFLEAPGFQNYVMDETRAAYRDGEEQKYYMSTRAIRSI YTMASVGPQFRLLACDIMNCLDVVHSQNYGSSKSWANLIKGCPALKKNLADREHMDWG VTRPWDDEYRVNYMVAEKPLGEAWEVEILGRGLRAEVEQRAKNEEVSGMVELEHLNRD NQKSKRGAKS LY89DRAFT_766028 MTDDAQSHSTFALWSVFSYDSIYFHKLTKLHIQDKRLKRGKQMA FGTATAGDPKWWPAWIRFTVLANLCWFVFMGNCYSSGITTGFEDMAEEFRVGFGPLTN IISWSVFALGVSNLFWMPLAMCIGKRPVILISMVIFLAGLIWSVYAPTLNSLLGARIL ASFGAGSVESLGPSIIADLFLERYFATAMALFALFLSGGSQIGPVIAGYLIADKGWRW FFKLCAILNGANLFCCLFFLPETSYRRPYVYGGETAAEADKEATQMIEHKHDKRMGDD LATIPTGTAPGVPYAGTYWKDLVAFRHRGQEETGLRAFPKQLTLPWRFLLVPGALYAA ISYGVILAGIVIISSQMPQLFAPPPYLFDSKAIGLFTLSSFIGVIVAYPLAGPLTDLL SRTLTRANNNIHKPEHRIPALILPFLLCPWGLILYSYTVADSKPYYAAAVGFAVQAAG LCFVPSVVLSYVVDAYPAEGGEALVLINAGKNLVAFGITKSNAQWLAKEGLKKMYGEM AAIQWAVLLLGLPLYFAGPWLRRSTQKFV LY89DRAFT_630995 MISIDELTIDQVHSAYKQGSHTCRQLVEAYIERIKTLDQAGPKL NAITTISPFALEEADFIDTHFKEHGKFIGSLHGVPVIVKDQCDTKGVETTYGNICCKH IPSQDATLVRKLRDAGAVILAKSTMPDFAASFNSASSVSGETLNPYDTTRETGGSSAG TGAAIAANYGLIGVGEDTGGSIRVPASFCNLVGLRPTVGLVSRFGLSPLVKTQDTPGP MTRTVKDAALMLDVLVGYDSKDTYTAAAAIAGPPRGGSYASNLSKYVLSRARIGVLNA VFGEGEECAPVNQIIRDTLSKFTAGTTLIDVDIPNLDYYLNFSSTYFSRSRYDIDNFL SAHPIIKNVTVESIHKSKQFHQALPLFDRIGTGPKHPYEDAHYAARLDERDEFQRIVI GIMTEHNLDAIAYPSVQIPAPTIKDVLGPRFKENPFLTNTTIGSQLRQPAISVPVGFT EPGLPVGLELLGMPYSEQKLLELAYGVEVLTKARRAPKFAS LY89DRAFT_789560 MMLSMIVTTLLTVLNGFVAAQCTSADRIGYASQVPYAYNLSPLG ASAAAFEAIPFNADCQTSIAANGAPAVPVAIDGNTTVVFAVATRQLFSTVTGNYIDFP ANGTTDIQTNVTLGVRGIITIPVTFFANVYLDFDDDCTITAVRAFAEVPTVVLGLLLD PPAVPPGILPDDLIMGLLGGL LY89DRAFT_725624 MASSPVHFFSHGSTMMLGEESDSATYWKKCGDEALANGIEHVVM MGAHWATLGDEIEVAANPNPSKSPVAYVHPSKFENYKLNPDLPMATRCVSLLKAAGLK AKTNTTFDWIHDTYLILIRMFPAGCPPTTIVSMNARYDPHYHVRVGAALSSLRAPAEK TLLIGTGGAVHNLYRNVWSQMLLYRDNFAMTAPPEAALMDFRQEFEDAMLKNSGPALR RAVTMLMKMPNYRDAHATDDHFMAACFVAGAAGRKEDVGCRAEMGAEDWELRNMCNSQ FTLGSWGGVKV LY89DRAFT_766029 MASDLEIAIETLRGAVTECRFENVRYRQDQFHALHGALREKSDA ICQAIAKDSSCSIAEAETEFFLAMDAVQKSYDSLNFEKALEEGYYVTKGKNNEDRRAG LGLVAIRPQRHTRFYSVVSPIAAALAAGNCILVQLDNSSQSVDALFTEIVTPVMDSDT FHITSSQIEDKDLAKFDLLVDQTTTQKAFASSCHSTTETRTIAVVDRTSTLETAAKAI ATARLSPNNTSPYSPDLVIVNEYIKDIFSSACLQYASNQAESTSIRKISQEEKKLQTT IQEAEIKGTIKIHRTPGTDLNIIELLDSTSPLIKTKIQGPYILLLSSTGLVDTVITQR STPLFLATYLFASPSAAKFLSEQIPSHASYINQIPPQLLFGPPSPTSHPHTLHPRYTP AMLSAPRPQFVAISAEMPDSLEMKKLAAKELKPTGQKPGHAVGFFEQGILIGLGSAAV VVLPAVGWGVYWVGRRGLGFVRGLR LY89DRAFT_631004 MSTTVTASQIEEVLGDYKIHLTGYDGSTEPSSTQETPRVVNPPN WPTDHRRVPDYRPIDRNRDAEGRPNGSILPERIFLTLMFTGVVLNASTAKLWGATAGP YFPGLFRYAIGGEW LY89DRAFT_631006 MTTTNAIKDLLEVTKDDENGLVFEKNVSIPRAGTFPIRCNVYRP IAAEGTKFPVLVTYGPYGKDIPYEKFHEKSFSEVNPEHKSKYSAWETPDPVFWTKHGY VVVRADERGLGQSPGVLDTMSKGTSECFFDVVEWAADQPWSSGKVGLLGISYYAGSQW RVAARRPKGLAAIIPWEGMSDYYRDRCRHGGILSDSFIRFWWNRQVITNQYGKPGRAA ANWGEDTLEGDLSDEVLASNRRDQNDDNAANKFRDDAYYSSKDFKLEDIEVPLLSVAN WGGILLHLRGNVEGFTWAGSKFKYIRFIVGRHDLPFYYHDEVEVQMSFLDAFLKDKDT VGWATPGKVPPVSMVLRKGNVGFNNPEFEATYKRREEPAWPIPRTKYTNYHLHSDGTL STTPDSSKSPSTKSYKALGTLDHPELIQFTTPPFEQETEITGHIVAHLNVSMTPEDQS SKSPKDIDLFLTLRYLAPSGEEVHYTGTAGDPIPLCKGWLRVSMRKIDEQSAKHRPYL PQRNYLSTDVQEVKPGEVYGVDVEIWPTNVVAEKGGRIVFEISSGDTQGSGVFQHKSE KDRTGELLAGTNYVHFGEGLENYVALPVIPQA LY89DRAFT_711997 MASSQPAPSTLENGLPEIHRYITTTNPSGQAVLSTTIPTPSIWT TIGTATKFFLAYTTRVFPVHLSRSSSTPPDISSYASDLASPPGLSISTGTVMRYVDMA PGSLSPMHVTKSLDYGIVIEGEVELVLDGGRGGGTMHAWRNASEEAWARMVFVMVGAE GEGLVEDLGGAEGVRGGE LY89DRAFT_766039 MYIPKLPFFLVLASYASLLFAAPNSTPPQYRYKDKLFGSDLAQE LNDARIRGYLYGAIRGQFHTYGKTFKESVVFGKVVYNTMSMENIQTMAATKFNDFGVE PSRKLKKPNFTGDGILSTDGPAWKRSRELITPTFSRKDIADLDSLEVYFDRMLSLMPS ENQTMDMQPLLKRLFLDTSTEFLFAESIHSLLPETQYKSQEFLAAFEESLMGMGTRIR AGPLAKIKLYFDKTWEKAYTKVHRYVDQHVERALAETKNDKSSISADETNPPQKYILV REMAREIRDKVSLCYELMAVFFPGRDTIPVLLANTIFLLARHPSVYKSLRETALSHTS QPVTYDLIKSLIFYKHVLFESLHLLPPVALVHRTALRDTILPTGGGADGKSLIYVAKG ETVVAHLHALHRDKDIWGEDADEFNPGRWEGRRTTWEFVPFYGGARICPAQQQVMIQA TYVLLRLAQEFESFKNRDEELRYIGLTRMVTESRNRVKVAFVKP LY89DRAFT_742692 MALPFVKSVGITDFILDVLVILVPVPRIYQLNTTLANKVAISLA FGLGVVGTIASCVRMAKFYKLANADEAVKLTADPRVSDTESVYWSMLESGLTLIAVIL PSTWRLMTKTASKAILRSLRSLVSLPSKPSSANTGTSKPSELPNMQLQNPIAGKGVER DGWYEMNMARDMEAQ LY89DRAFT_677692 MTATQYPPPERFGETSQSPNIPRHRNWLPGDAGLIQTWVHKIIE EVAAPPNPFVPIISEFQDLIDSDPAIYILFHSMFDEVPPKYKDPELPSNAIQRHEYKN LEGGNATFLNPKVNAMFKKLFNEWAQFLESPDSTTVLNTSKDGWFGPAAMEEMRHASR GDSNWKFEDE LY89DRAFT_631008 MNLSPITRLFVVATSFFPSIILALTRDQVPNLRNSRLAAAPGDS AAEVAAIAAASTIQNATFEQLIDHDNPSLGTFSQFYYYSTEFYQGPGSPVVLFTPGEI AVVGYKSYATTNRTSGVIASEIGAALIVIEHRYWGFSSPYQNLTTENLQYQTLKNAIA DLNYFALTAKLPFDESGATNADKAPWVLIGGSYSGALTAWTQATTKPSVMWAYYASSA VVETIGNYWSYFLTETLNMPQNCSSDIAAVIEYLDEVYVVGDHEEIRKTKEMFGLGDV THYDDFTDALANGPYLWQGNQFYENTGFFDFCDAIEGITSNTSVIPNATGVGLAKALP NYANWMSTVLVPGFCESYGYSVFNGTNNVLCFDTYNASLPTYSDYSVDNTYDRQWDWF LCEGFGWWQNGAPAGMKTIVSRTVQDRYWERQCPMWFPKEGNYTYGLAAGDTYNTTNQ YTGGWFGFTNSTRLIFVSGTNDPWRTSQVTSPLRPGGPQPSTAAQPVLEVPGGYHTSD LVTANGVANAGCAAVQAQAIAQIVAWVGEYAA LY89DRAFT_354578 MKSILMRTTSLTNRRPNSAARPSTRPSGSGLATVMQLHSSHSLQ WHERLFWVAFSVIPGVIPRILGLERQKIGAQ LY89DRAFT_742695 MDTKSSSAHESYLVLGAGCFGASTAYHLKQTLPASSVTLLDRGP FPNPSAAGHDLNKIIRADYQDIFYMKLALEAQQYWRRDPIYRPYYHESGMLYAEDKGM ARANLDNLNAVGEKHHAEILTPEETRAKFDGGEADRALESVISAAVKEGVIYNSSGVS TLCIDESAICTGVRTEDGAELKANRVILCTGAWTPKLLLDTAPHNKALHIGDRMVAAG AIQCTASYPPDQMYKFKSMPVMFNGCDHTEGECIPPTPQKMLKFNYEVSFTNKEFHDG SGQTISVPPARLDQSTWSQAVPQGLKKDEIHTVVDHVFGKWIDGIKIEDYRICWDAVT PNQDFIIDRHPNCKNLYFAGAGSFHSWKFLPTLGKYVLDMLQGTLDAEKASRWAWDRP NQGSALPAYVPRRDLKEIPGYEDVAKHFK LY89DRAFT_742696 MNASWRLIEFSLYASSSAYILQRIYPLALYPHLPTQMALANGHD LVREHYPEARRGQVIDIGDSQLTESLRGNRRKKLVGTESQSSHSLSDSFFSNNKGLAI WKITNELPNYYQTDHEVELLDIRKVAAPLLDRLEAAGKKVQYDALDLSRSAIEQNLAA YSSTYQHVTSLGLWCTFEDGLGVVAVSESSSCLHRSRIYLRERSFRARREVSVELGAR ITSS LY89DRAFT_725631 MDIAALLTFLQTQEVAFDTMLKEVSEPDSDKLTTTVREHNERMI NIVRAKFPSSDTVRGRSTTLSARTSRPMFTTPPDINSPKTTFESPAMSGTPTPARRVR SGPNTGMFTNVRPQMIKNPNTNVRVADSDDEEALEWDSEDESPKKKSKIGGGKNVK LY89DRAFT_691578 MTVPYPSKISDALPPTWTLAQVHLSSSYTGWGTSSLQKDVKELF KCVSYFRGIKSGKIVLMGHSTGCQDVMEYLTGPGHETRVPVDGSIIQAPVSDREAICM SMKPELYKHSCEAAQKMVDAGEGDEILPSKATNNTFGIPVTAKRWLSLLSPNHDGDDD YFSSDLKDEQLMKSFGSLPAGVPLCILVSGSDEHMAPSIDKAVLVKRWVEIVKKGKGV VDEGYSGVVKGATHNLAGDQEEVVLGLVGSVLGFLAGISPHSNL LY89DRAFT_691579 MASHSSIRTMMSPLLASFRSLALTPASSNVPRTTSLLNSSTRAF SASPNVSFRGGPGGGGGAKKGNSKSKKGGPAKDPRIGLIRYYLQHPQTPRPLRFSRTR ALRHWTIHRAWMLARRKKLEAEERELYRMYQSMHSACEELRLLDAPGTKDEGRLYRIA MEKKGIFGHGGVPIEYARMQTDTPGAEAWNHGWTR LY89DRAFT_742700 MAQQTQNVMRRKLVIIGDGACGKTSLLSVFTLGYFPTHYVPTVF ENYVTDCRVDGKSVQLALWDTAGQEDYERLRPLAYSKAHVILIGFSIDTPDSLDNVKH KWVEEANERCPNVPIILVGLKKDLREDPVAIEEMRKKSQHFVTTREATEIAHDIGARK YLECSSLTGEGVDDVFEAATRAALLTFEKGEGGGCCVVL LY89DRAFT_742701 MPANQRQQTAQSPASPISKATAKYTNKDGSKFITVPKSTSTESP ETSPAMAQTTIKPNGQMTIPPPGTNGASAPVNRKKQKRRQKQAAKLAAEQLPGSPTNG VSNSSIEQLAEEFNGAISLGGEEQYEDDGQFDPAEGDYYSNEDSEGYSGSFEQNGSPA NGYDMPPSNPPGKKSKHKKKTKGVQHEHPPHIHHGTNGLSHNHVSLPIPKLASTNMPR GPGISKEKIWNTSSQEERERIKEFWLSLGEDERKSLVKVEKDAVLKKMKEQQKHSCSC TVCGRKRTAIEEELEVLYDAYYEELEQYANHQGDGGPPMMPPPRRFGAMSGLQPPNRL PPAFSGQQPSRGRIVEQPGDDDDDDGEEEYSEDDGDEDDYSEEEPEELPRSHATDFFN FGNSLTVQGGILTVADDLLKNDGKKFIEMMEQLAERRMAREEDAREAYSNPNYGHPPN GSMHPHSHAHNHPPPPDEEEYDDEDDEEDEYDSQDEYDEEEMDTMTEEQRMEEGRRMF QIFAARMFEQRVLTAYKDKVAKERQQKLLEELEEESRADEQKKAKKAKDAQKKKEKAA QKKQAMAEEKAKREAEKAAEEAELRAAEEKKVEEQRLRAEEKRKKRDAQRKAEEEERL RKEAEKQRRLQEQREKQAELERKQREAKEKERKEKEELRQKEREAKETKEREARERRE KQEKEKRERENKTRTEKEAKERQKREEHAAQQAAAQAALAAIKRPPVPIPANLQPHAV ASPHIPVATPAIPKAPTPIKLRTTNSQQDSNPSVSVPQTPQTANLSQNVSPIPSTPLQ GSPGPIGPPGKNHSQNPYLHHPQATSPIHAALKSPPGMPQPSPFGGMPPMMGFQPGLP MMPGFGGRMQHEPMFPHPSMGGQFRPLGLNGLPLHPPMHQMPPGRGFPLPHGPPGFPQ MPNGLASMGQPFGLPKEGPGSQSHSRHQSATFDKPFEGPGQPIARPAPIGRPGSVVNG HRQGDIGTNDVDDLSNHLGSSALLDDSDEPLSSSTGARRPSAAPGNINRQAFAPPFGS MDPSAFASPMTGYNTWGAPPNPFSPSSLPGSSFMGSWGPSPNSSFGNVGGGPAIRPSQ PRSVMVRLMICRACKNLEGSTPDGYYDVKFIKDQVDHLNPPSEAPVSEKELLEICETE GNATNGGGSFDVRDNGEGHFSIRHDDDLPPSHRPVGAPGEIGSPIIGGASRFTGPPPG LF LY89DRAFT_556207 LVTISVGIGAIAETFTVLKSVICHYSPFFNAAFNSQFKEGDTQS MVLNDADTNAFRLFVDWLYTQEIRYDDAETSSMMTLARLWILADRFLIPKLQNQTMKE FVSTTS LY89DRAFT_742703 MEQIRHFMGSALEASTADAKRSIDKSLAFRGPQTFVKIHIGPGN KKESFMLQKSLICFHSPVFDRAFNGSFLEGQTQEMTMEDVEVEIFHLLVTWMYCGEIR EVLDRNMAINMAKLWVLAGRFLIPKLQNQAIKHIGNPDYLGSAITIGTISVHKGTLAR QIVGEFVQYVANEVDPESPLYRYCVRTILSNCILEMGSTSQEKVSKGRLQIGLALEEV MGKSMMTDLVKSLMETLEGQIKDIKLTALDMESYFVLET LY89DRAFT_742704 MGGFIARKPDICQSPTVQSLVLFSTAYPFSTSLEVNLPAVSAGI MELLGILNGHWAFTWVSQDRFSLPVVAIISIWIVLFSYMFYNLYLHPLSSFPGPWYAA ISDIFFARTIVSGHAPKTIRALHERYGEVVRVAPGELSFSSASAWKDIYTQRKSGEIF TKDHKFYITDDTLRAPHVNSIINVEEHAKARKILSPAFSSRSLLDQEDVVIKYADMLM VAIAEESRKGPLDLDMYYNWVTFDVLGELAFGESFGSVEARKTDLWISTIMSMVSFIA WAAALYRVSPMLEKMIGLLVPPQMKKAAFSHVMSSKSKILKRVKRGEGEKKDFCSYVL DIKDEMGLNDWHLTSYASFLIMAGSETTTTVMSSLTYYLCRTPRVYEKLKHEITSRTA TFPYLTAVIHEIMRIFPPVPFGLPRIVSKGGETVDGIFIPGGTTVSVHSWSSTHNAKN FKDPDSFVPERWLDPDNTDNFSASNPFLLGPRGCSGQNMAWMEMRILIAKMVFLFDYE LVDEKLDWVRDSPNMIIRQKVELLTKVYPRDVK LY89DRAFT_691581 MSVSNCCLTAFQWNGTPKGHEAKLANNNCYITSSANPNPKTAVF LIHDLLGWDFLNVRLLADHYAEETNTTVYAPDFFGGESVDRDHVLAGRFDKIDLHGFI GKNSREIREPEIFACAKALKEKYEKVAAVGFCYGGWAVLRLGAKEHKSPLVDCISLGH PSLMIKKDFDEVAVPVQILAPEIDQAFTQELKEYAFTTIMKLGVPFNYQHFPGVEHAC LVRGDENIKGEREAMARGKNAAVAWFKQFLDDE LY89DRAFT_766072 MTRAILELTSTDDVLVLNSQTFLDAVNTNRMLLVSFFHKLIPNC ARFVHVFERTAQTARGSGVQFAQIDITENATFCQNFGLASFPAVMMFQVVDNARKYGG VMEENQLMLYLTRQTSPPVSIITADTMQDFKASNEVVVVAYLTGADERLHDAFISFAT EMRDDFLFGVTCDEILHQKEQIKRPSIVVYNSFEDENKVHELSDDRDLMRAFVKAATR PLIVEFRPELHDSYFKARLPLGYIFIDSSKDRERLSKMVRPLAKKYNDEILFGTVDKK DMERFPTWADYLWFSDVKHWPSFTIREPIKNLRFPFDEQKELSYQELSEFVETFRKGH LKPEVKSQPVPDVQKSPVLDVVGLTYDEIVLEDEKDVLLEFCTDWCPHCIASRSTYEL LATVYTSTETLKNQVTIATIDLEKNDFPDRDVRGVPWFKLYPAHKKDAAVLYYGPTTL DDMAKFIRDHGTHKAYPKRDSANAKIELPAE LY89DRAFT_677708 MWCSILLLAGVSTLSTAQGTYPACAQSCVSNALTSVSCLLDNAT CECAPAYSPAFSKAVTPCVEAACSTDDQYLAIEAYDFICDEWTLSLYTAGLTTLFTTS SLVGGFLTTTAPAEVTSTSSRVEAVTQTSAAGVASATSVGNGNGNGNGNGASSGTILQ SSSSSGGLSAGAKAGIGVGAAIGGLLFIGLIVLAFIYGRRSAREKNKPEEAPAPNPPA QVDQFGKVELGGDPKAGFVEVKVDDVHPLSGDEKAELENRRRAKDLENGVSRNSSVLV SPIATERTEVEAAGAERFELEALRREAGVRYELG LY89DRAFT_712005 MTNCTAKDTPNSTWSFCPSEGASDLFIFLFGATTILHIYQGIRT RKWYTAAPTSTGAYALWFILILVAPLWINGFVYMVLGRMVWNFLPSREVFGIPARRLG VYFVTLDIVAFLVQILGAVSAVGNKNDPKSSTNALHIYMVGCGIQQLFILVFCIIAVR VHLELRAQVSSIKKDAAMKMLYVTYAVVLLITIPIIFRLIEYAGGFDHAIPKEEAYMY CLDSLPMFTALVLLNVVHPGYIMPGKESDLPSRKERKMTICLLFASGVLVGFEAIYVY HAIG LY89DRAFT_725639 MIRCVEISDSGALRYILDKDILDASSLMGSTDSSALSTPYSITD DRVTFFDQSNVDNYYQWSLQYPHGQPVLASSFVPSYSPGAVDPESLWLADKASRIMTQ NSFSKTGIETSCESTATAIGSIPRADVPFEAYGGEVARYTPRQIENDRRVSDVMLPRL ERAVSSSSLERTHGGQERTAAMVSTQREHILEQPPESNEYHAYWPPDSPVETHDSVSR RSSAVSSQSGTPFEDDRSERPMSLDGSTTCTNCLTQTTPLWRRDIEGAPLCNACGLYC RLHGMRRPLSLKTDVIKRRNRSSASKTASSGRDVSSGRVFRSSKYNEQEG LY89DRAFT_789577 MATPVPLRICITTNEQQNEHEIDIENDEYTPLLRDRNSKSSKYV SVVPEDDVEAAQCLSPLADKQPRVEPPREIAGVISILLLGVFVANADSSLVLATSGTI SSEFNDLGDAGWLISSYTLAMCAAQSLYGQLSDIYGRKNTILMSYMFFVVGSAICDDS GAGQSLPQVVFGRLIAGVGGAGINCLVSIVIADMVPIREVASWRSYVNIAATTGRSLG GPIGGYLIDTIGWRWSFLGQCPPTILAAFLVAWKIQPSYVEEGPIQSQLSKLRRIDFL GAILLSASIVCGLLVLELGGKRMPFTHPTILFLLGASLVAGNLFLLVEGFWAKEPIFP LRLMLSRDVVASYINLGFQTGAQMAMMMLVPMYFQISAHASMTNAGAHLMPSVMGNAF GGLLAGYIIRKTGRYKLISLIGAFASSTSYLLMMLRWHGHTSFLESLYIIPGGFGNGI ALSTSFISLTAGVEPCQVAIASSGLYLSSNVGMVLGLSLASAILQSTLRKELRISLEG IKHREMIISKALSDIGYVRSLHGRLGRLVTEAYIRCLTYTHGVSLAGAIVALLAAMSV KEHLL LY89DRAFT_691586 MESLSGGSTPKRHSRHGSSGRALPRRSTRGPLDINESPISPSTS TTPSNLVHQSSSLLSPSASQYATPRLPSPQPGSNLSTLTSPSFRTARSTLSPSRSTTQ LQKDFTFLLRPEIYHSLTLLDVPPPFRLASAQPSPSSSLSSLVSQGHYRSAAIKAAQL LTSTNPPPSSHTEIFDLIYTRLSCLTLCNQTTLAAQEVKALEDLNSNYYRDDLSGAHL VPWELRVLAVRLQGMGFNDARRGIMGYYDLAREARLSLTSLKKQDPRPLDEIAVWESR LEELGIRVASALIEMEDLEGATRFLATLKTSGDENGVRKALLWLCLGDVEAARSSIPS SSSSSSSDHDEENKTILALSHMADSSFSLAIPLWESLLTTSPPSPNSALYSQNLAIAL LYLGRMAEARTILERLVEQGNSFHALTFNLSTIYELCTERSQALKIGLAERVAGMGIE EQGREGEEGGKRGWEKVNGDFKL LY89DRAFT_789579 MAGPVPVAKSLNDIYTEDAIPSQKTRWETLLKGFKNNYGTLPDF VSRSPGRVNIIGEHIDYSLYSVLPMAITADVILAVKVIDDSQAPEGCYKIKVSNILDS RFPSHEFDIPYESVEIDAKVHEWTNYFKSGLRGALELLRKKHGADYKPKSMEILMDGT VPAGGGLSSSAAFVSASALAVMYANGEHSVDKTALTELAIVSERAVGVNSGGMDQSAS VFSLRGSALFVSFVPSLVARPVYFPKTDPELSFVIAQSFVTSNKQVTGPVCYNLRVVE CSLAASYLHAVLNKSSAPLPADAGPLGLSLHGFHDVYFANTKLSIEEQLTELIALTKS TLPKEEGYTREEIASAIGISVSELDARYTSIFPVRADKFKLRERALHVYSEALRVLQF LSLLESPSSHISGSNTEAYNQKLGDLMNQTQDSCRDVYECSCPEIDQLCAIARKAGSY GSRLTGAGWGGCSVHLVPAGKVDAVREAWEKEYYSKMDLNEEQKAAAVVVSKPGSGSA VLVVEGMGVV LY89DRAFT_691588 MLRTNPARSATRALNQLTRNFSTSPAVGGIAYVRKLPSETRSQA TVATASAPASSPASATREIPSPAFNTITPKRENPLQHQQYARSQEMDESFIGKTGGEI FHEMMLRQGVKHIFGYPGGAILPVFDAIYNSKHFEFILPKHEQGAGHMAQGYARVSGK PGVVLVTSGPGATNVITPMQDALSDGTPLVVFCGQVVTSAIGSDAFQEADVIGISRAC TKWNVMVKNVADLPKRINEAFEIATSGRPGPVLVDLPKDVTAAVLRRAIPMSSTIPAL PSQASKMAQEHVRKQLQATIKRVAHLINIAKKPVIYAGQGIISRPEGPKLLKELTDKA SIPVTTTLQGLGGFDELDEKALHMLGMHGSAYANLAMQEADLIIALGGRFDDRVTGAV SKFAPQAKAAAAENRGGIVHFEIMPKNINKVVQATEAVEGDVVDNLAMLNPLVEKKSM TERKEWFDQINFWKEKFPLSDYERAERSGLIKPQTLIEELSNLTAHRKADTIIATGVG QHQMWAAQHFRWRAPRTIVTSGGLGTMGFGLPAAIGAKVAKPDALVIDIDGDASFNMT LTEMSTAKQFNIGVKIIVLNNEEHGMVTQWQNIFYEDRYAHTHSENPDFMKLADAMGI QARRVVKPDDVRDALTWLIESDGPAFLEVVTDKKVPVLPMVPGGNALHDFLVYDGAKD KERRKLMAERTGGKHGGD LY89DRAFT_789581 MKYTTTLLFALTATTSLAAPITNPASIEVNIQVQLSAVEAQETL MRFAPVHAGEEGASRLHYSPVHAGNEEETRLHYSPVHAGEEGQSREK LY89DRAFT_677715 MESSTATVIMSSTTVSPSTLSMQGNERSSSQQSRSSMSPARSQP PSSSQRARNKGPSFRKPQAVVTINVGEADDLESFVIHQHLLTHYSSYFATAFSPPHTE AETKIMTLPIICPDTFGLVTHWLYTQQLDLIPQEQASNVLPLAKLWCLAAKFRIAKLQ NKVMSWLQPLTEGLQGDSLKEFLCFVYENEEGESLERGVLKKLAIDRMAWGTSAKALG VWIQGGFLPGEMVVDVLMALKGDKEGARLGAWEYFVSLDGDGVAKVEDV LY89DRAFT_564802 DAQTYQIYRDVLCRQSPFFAGAFEGETLKDGRLSITLDDVGPEE FGIFVHWLHYRVIRGKSNDSTIAISTLINLWILGDRFMVPQLCNDVMDILYR LY89DRAFT_536616 PAEVRTCWICQQDDTEDTPENNVWRTPCPCSLTAHDSCLLEWIA NEEAPKPGELAHNHKIVCPQCQAQINIQRPRDYLVAVVENIQWAAKWAMVPAATSATI GFAYSGLLVYGMNAMTLVFGAEETQEILRNGFREADPGPMGPYLPLIRSGLRVMDPFL PVMENSNPTLYYAVPLIAPALILFRTKVADYGLSILLIYLINPTHRTITWPPTPGLTL ATLPYIRSLYNTLYSTLFARLETSWDLAVQRAPRAGETAEQIFAQNRADAQNADDGGA RAIFELEWVEEPLPNPDPNPNPPQIDGQENEGVAPQPNDPNPNGQIRNQNLAIQRDID LPTLATRVLGALFFPAISSVMGTLLKYALPNSLIAPATVSRGFWKTEVVYRGLLREKW GRSIVGGCLFVVLKDAVVLYCKWKKARDFGKRRVLDFVGKG LY89DRAFT_601240 MIFGLQTTIDRLKDTVKHLARWLPNTGARLYAIVIETEEIPAED GEMKRLEKDFEALGMNVTILQPVRPIDTFPQRYFSLVPIMYAARDEKTQWVTLIDDDT FFPSLHDLQTELVKYDATKPQYIGSLSEDWWAVNHYKLMGFGGAGIILSVPMAKIIDD HLDNCKENLRTSAGDITVMDCIYRHTSTKLTHVPGLHQVDMHGDLAGFYESGREMLSL HHWKEGSAAGYKLEMEKMHLVADICDSCFLQRWQFPKETLLTNGFSISVYPQGLISGQ KPGLLGTGVGGPKIEKLNLEQMEETWGGDIEVIHSLAPTRPKLDEEAKVGYKLLDSML VHNGAEPGAVRQIYFKEGGEKEGGGKEMDTVMVLNWRKGE LY89DRAFT_702322 MTAITPATQAELLTKLSSNALGTAPPILPSGTVSLFLDGSWTSR RLDLQINDYLPYQRQRIQSSMGNQVAFVAFNLPVEWAPTSFLQGSTCIDLVGTGITQG ANLTTNEKREDISSFFWREVDLLMGAIELFSDTQFNGRRSTIFLSEWPARVVFNLDEW LLESLVSSTVVLYENVDGTGIQFTNIKGWGNTKQIASLSDFCFDNKMSSFRWDSILPV KEIIQPFDQTGENASSLGLPIVLQLSEADAQTVTATTTDQFVVGLSLSVGAEFQASAF VASTKETISVSVNFSYTRTNTVTRTDTKTIALSITETVTVPPNKRYAASLRADIRQIP ATHYTTTAQRWYTTQLSGSELDTTNGWYKRTEPMTVLVEGSLACNI LY89DRAFT_691592 MANIMRTDTRDAVKRSPSPSIESLGFDILTLVFEEIYDSAPQEL WALGKLSRMLHNATAPFRYRSINLRPSMVDPALVDLWAQIHVYTRHVIVDRELDWESA LDLLSGCQRIARIDWSWWEGRHDKQRLIPKMISDSIRDLWTHADLHIINYPWPTRLFN PQSTTIPYENVTYISTGCLENGTDQEILARMVAASPRLETLVLNGKRLNWANMSFKVP PIKHLTLKDCLWCYTSAELESLWDFTCLQSLVLTEGGFSGFLKILPQNRFPSLSRLVV KRVYAWDSDVTHEINQIIAGTHYLEEIEVVCHLPDLALDSIMKQGANLRVLKINGFAS FAISGSYPTISVSELSKLRDSCKGLKELSLDLDSNICDPYDFIEVLSSMRSLQHILIC MHTLLTEFEQYADGDDIDFAAAKDLATCCSSHKVGLPIHQLAMDIGGYRLLSSGHISR AWDNQRKRGHFPERLFTFSWSEHGHCQIESRKKMVDSSRYLFYGRN LY89DRAFT_691593 MVLIGWHGSGELIWRLNPAYRTTRLYCDSSWWQTEEYQRDDEGK VTQIKFANGPRYVDPVGFVTRAIPSGGMFDPTLGLCGPDAEDHDLSDAITNRGLNVIT FCPSVWKAGHPVTIPQQLADPTRIPPFPIGDLDSLTWTFFHEYTHLVGASIFFPEGVI DVKNNRGGMCYGFPECSFLGGSRLATINADNYVLLALASYLYMYDWSDGTCRIP LY89DRAFT_742724 MHFTKILSAVSSAALFTSTGMAQMTAHQIVANINIITGQSQALQ APANSINILSGPLFLIGQGPFPQIVIGFTQIVNTVTNDITAMAGTQPFSVLSDEQSIL DAFTTFVTVHQELLNILIGKSGILNDLPLIGPPVAQVLRSLEAVVDTIAFNLVDLVPD VAAPFTSQKNSLDVTIGLAITAYTPVV LY89DRAFT_677724 MRKYSFPQILAFILSFFLPPVSVWFTEGFGVDFWINVVLTILGF IPGSIYATYIWIVWIERKWQTHHGKEVTNHPFLIFSKEFEVRSRWKGNGQDGFFGYFD DNIKGGHGPNMVGGDVDIERARPIRNEQQVAV LY89DRAFT_766122 MEEPQFISTSLRNESYENVTIYPPGQRVEINTGFYGPQILSNNK AISKIERKDTLCLGGIIQVVNTMLQVPINLGIQLFEQANLNYFVAILNQINLLALNNT DLADFIYDLTTTPNLTFFCPNSATSLASFNNLSQSLSANELLDLFNYHVVYDFVGYSS VLTNGSTAKTAQGKDIIFTRDAEDNIYVNQVPITTVDYLAANGVFHVVDGILDPNNVT IPPQIPRAAASTSSPASPHNGLSTNGIVAISVVVPVAALAVLAYILFWFVRKKRKEKK MTADSQGPDPSISGKTAGELSSDSALRELDGDDAKKELGAVRPMSELDAAAKPVEFPS PPPAIELDATPKREHDHLLGEEGHGTPLTAVVVSPMTDVDVPIREGETTAMNGDATSQ LY89DRAFT_766123 MTPFRLMSFSFLQDALALSYHFSILTKFRCTRTTLREEMATWGA YFASYVKKKEDTALPALPDVKDEKALPAKPKAPTLDTSTKTAPNKLYGSPVDLTTTPT IPRSNSNAARVAKLFGYANANANSDPAPEATATPALAKVADKLVEVVRAEKRVDVSKV ENVPKPLSPIKSAIPANAFENVLVGKEPVVEKPAFSIKSVPRPPRTRKSTKPSISGLD ALMEELGSGPPVESAEAAPMVKPRAQPQRAKKGSLSDLDALMNDLGGGGGSLIPPRPG VESAKPARTKQGSGSDLDALMNDLAGFGQPSTTPTPASVPSTVVPKVSAPTSSPGLAS LPRLVTASIPPPKIQPVSAATSIPRSVPFSTPISAQSPNEPFSFRSMTSSPEPILSMN DFGSMKPNYITQSPISMRSRRDSFASRSSFGSRRNDSFNSRSSSVSRTSDLSSIIEPV LSEEDQLQLRQRVLESERQEEQLRMEKQQRALEHEQMLEYERQAEKIIFEREVMEEQR QKMLDEQARFDLEAKRLQEDEEQMKQFIQDVERERQKEEIEEERLRREAIEEAARLAR EEEERIMEEQRLQEEEEERERYERERRKADEERRRREDEEMREEVARQIRAAKREEDE LRQERQRARQMEEDQERDEEEAREREEQEIRDRRRYQQAEKKRLAREEAERLAVQARE YEEEIEAERLRFEAEEEELEELRMREEDERIRAEEAEQERRRLEEEAQEREAQRARDE RVAMQRRAAQDRAERERARQQEQMQYEEEDSEPEVLTKEQEMKKAEEKIRAAFAGLAQ EKAQIANGPRDVPRGRATEREDRSVKNFANGIRPAGRDSRIDADEGRGVARNNTVAAW PMPRQPLGLSRGNTVGGLPSGPKGGGLPRGPRAGLPSGPRQR LY89DRAFT_631047 MATKDKYSIILPTFNERRNLPIITWLLNRTFTEQKLDWELIIVD DGSPDGTQIVANQLVKAYSPHVVLKPRAGKLGLGTAYVHGLQFVTGNYVIIMDADFSH HPKFISRMIAKQKELHTNGGYDIITGTRYAGDGGVFGWDLKRKLVSRGANLFADTVLR PGVSDLTGSFRLYKRAVLQKVIESTESKGYTFQMEMMVRAKAMGCTVAEVPITFVDRL YGDSKLGSSEIAEYATGVLRLWMKV LY89DRAFT_355328 MADHPSENLIPEPSNTFPNLKASPREVRQWIQGWFKQQGHSLTV YDARIKNVHWNGSELHRISYHSMVHDLIGFGYNGYAGDLAHEIFKARTAEEEGTEFVT RMIITVAITILVVVFGFGWLMRGEVTCQ LY89DRAFT_725656 MDQNAPDPSADLLASQKSQPHTNLSPITPAPDKASQSKAAPVQT PVALEKAALITSQTPADDLKIAASPKPSSNRIEKLVSPTKSTPVSTQPSIAPELAPDA SQRKVEPIQTPVSPGTSTSTTSQNRPLNDSAQDVGTPAQPPIIPGPALSTTSKNSNSK STPTIPATPPARTVKTMTAIAQFQAGLTTSSSRHSPVTASTNPVSPVANPATHANNSF APIRQSRAHSSPNLARASNRRSTSLPARLRFDDILGMRINANIEELMVREAEKSARNS FHLFTTWLEAEKAKEKKKDEEENGTIWHDLCWISTTLSGTFASGLVGWLYATSNSMFI RLLPNVKQCRTDDFNCNPMRSMVMWSFIYGICLLLIVWVLYFATSGK LY89DRAFT_766125 MDLPVLRNAVRNPTVNNHVLVEDDVMRYQQYTKQMAGLSRYLGV EYKILPGFLRRGGDYILEVLAGYDESSYNDHAFRLTGMFKEAEEISEDAGEKGSATLG GLHPAYDGMRDLGKAISKGEHVELLSSRSLPKDHPLLLQEMAMQGIRYYRHQKYDQAR EYYQKIHYGILLFQSGKADEASEILEALLPEAKRVLGVTDKHVSHKLILLYCQVALNL TPLLISLPFSHAEPRHHC LY89DRAFT_725657 MAHPYRLGMHFIVSTDTQKADPETRKLIRSQVMRGKNRIKTPRA KRQSPTSWGFMVDPAHNPHVSSDRLIEACYSFVPRRVGSDHSCAQFSDEVDPAMFGDL IQFATITMRVMFPLAAYTAFEGRDKTWFDLLSLDAAYLHITAFAAENLIGQLTGRGNH EITPEATLHLIKGVQHLRERLLLGDEEAKISEGTVSVVLTLAIIAHSNGDYHGAKKHM EGLRKIVDLRGGLGSLRGRNFGKKLLMEMLRIDIGMAMHSGSSTVFFSDASSEPYVPY PDLKVWPTRKTIEVKHSSPDETSFLDILDKDLASAWTTMKQFCSLINLAAQSQRKLPP EVLLDTMASVMYRLLQMTFEIGSNDQAMRLGLLSLCHHIFLQWRDVKLPHPHFSLRFR SCLLDLKLVDSMPPHVMIWLFMIGAISTFPASENEWLKDCLRNSLAVCGIRSWDKTRV IMREFMWIDNVHDKPGKDIVDAIFVI LY89DRAFT_660261 MASARKSVLITGCSAGGTGAALAEVFHEKGYHVFATARTPSKVS QSLSKSANVTVLTLDVLSSDSIAAAVKSVSAETGGKLDVLVNNSGTGIVLPALDTSIE DGKKLFDVNFWAVFAMIQAFAPLLKSAGGYVVNMASVSGVVPIVFNSFYNASKGALVV ASETWRLELGPLGIKTLTLMTAAVKTNFFQNIDAVKVPENSYYSSVRDFIEGLGDGKA QENGISAREFATKVVREVEKGTTGKFWVGGGAAGARWGSWLLPQWALDRLLESMNPVS GRIANDHKTRKA LY89DRAFT_789597 MSHRAPEKRQRVLISARYDEAMESVDRSLREVSQTLQKLLRNNT TNTQSQETPKGSPAPLISTHVSNVSGLTEGYRGDSSFKAHVSKVTDALRDATTNPDFS ITGQSLSATFNASQVIQNAAESEETTPSVGENHVSSFKVEYPELQGKMLPSSEIVLKL LRLTHMEKQRFFIDVPIIDEHEFGGLCQKVYFAINDYSLSAWAIVNTGLFYLFFGLNE HNYTQIGITASEIQTNSQLLSGNLEAAVQSLRLCQDPSTEGCLALTLLATFCMKSGQS GLAWSLVASASRMCIDLGWHRLIRDSLESKISREERIFWQVYIMDKGMAFTLGRTPSI HQYDVATERPTFPQYLPEGPGYLYRGFLEFAVIVGDMHIQLFSAAAQRGSQQTRIESA KTFAARLIQVNSDSKQSDIDNPPLDLMFQSATTLLDIIMHCLITIVYRIVPCEVINSH PLQCNVGCIEAAREALLAIVRGSQTVGQKNPTSWSMFLNMLFSLVPFACFVVLAGNTI ATSSTEDLALLSAAVTAIEPIATSSPSGRKIHEICKSFYQAATFSVARQATIPRVPPF PATGTPFNHPVSELLDVSESQLYDHIMAPQDWDAVMAEFELGIGVGAMASFMEPYMPF DGQLP LY89DRAFT_789598 MPLVRNIPRSEARWSKFKSAYMWGNKEYYLRRTKFAVYQLATTN VNLVVGMGIAVLRDYKGLSAPADFPNATIKSTNIVYAIAGNTAAALLVSVLFGTAVFF ELFWPEREESTFFQWCVKLAAACTAIFQAASASALTYIVVKKGIEIHGVSAADEASIR ANWSGPALEYKHDTLALVVIAFSFIQLVFNTWSVLVMWKAYAHNNKYGPFKHHERKGR GSETASLELSTGHV LY89DRAFT_742738 MQNLNLSAETSLRHALSENGKAPAQDLLAQEEDLISTVYSRQSK DSFHDQKLEQQTQDTSSARSSSHAGSSGPAIHNSAPDANPPSPNARAPEAGFPLSDIT YDAAIDEFVHHSASSRLIPYDPTIHSKRLVYDPAEWMIVDEKLRFLPDGSCVGRRAAK AARVFKRADRAQTTNLERSSSRLSNYRVIERGDRHILLPIDDESREVFLENYSFLKRA DGLDYLSVGLQERFQVTTKICRLGCSKIFVDKKRDTFLIKLPVPPGLLRIDFSQVKYM AFHGPDNFTPPTLALVSPLTSGWTSLQAVDFILGGIYLDDDIWYYKCHLLEIDHDFMH MECGNASWDRYPHIIPRAQRRLQLAGYMDRADQTRETYANFTQSNGGVWRGIKMRVSM MTQIIGAAHDPRDYCYRNFIPRNPRYSGVCFSAKVDFKTDAQLNEKEIHQLYIETLDC VTPCSYDGALCIRETDYSGLGDLMDGKWI LY89DRAFT_742739 MLDALSPSAEDYPLSAPPGWLQPLMSMICIYSIILPHGAIRLSA GGLVFGLFFYLQFWTADRGVNGYATGTGCASIVLRWLDLLVMHRPETEFWSVEEIKQD GSHVKVQAKVPFDRWAKLKWFGSLWIASRGVGWNIQSSQLPPPVAKDYPKDRWLFRTI VRTFSMYLGYDLTSNILLHIVREGPFLAHPIAWQVFYAWTKAFRAYYSFESSYFALAI MSVATGICQPHQWPPLTGSFGRDAYTVRRMWGRCWHQCMRRPSGEAGRVVKETFRLKD GTYLSRYSQICIGFLVSALSHHAGATVGCFEDGGAWQFTYFMLQPAGIMLEDLAIYIG KKCGLRPDGLTRRFGFLWTILWFSWTLRFMVAYQPNTWVTSYSAPSIIGYVMRRSIG LY89DRAFT_766135 MAEPNDCTVPSSFMEATGATNVAPNAATPARQQRLSLIDLPSDI LILILEQVCQYSGTIKPLLQWGDSKFFLKGQSVANIRRANPQWRNIVPVALTAFNVAL VCKTFKEFIDDGNLFYKLNIFEFDIYQTLVDYLKSLPRRRRQAVRHIKLICDPTQKFG SPCAMLSTLKDLRFLTLDITLLASYFVRSSQDYHILASLRGLKRLRLVYQENDDSDLL DTVLQLRGEDMTAGKKKLLRKEIEEVEVALNKQVKKTRRITVWSLLSLGDYDRALRKS SVKLGGTTHLRTILSRISAREAFDQSFPAPTNITWEPSDQDNENWPIDPAANTAWAPG GEWSQDSTPRGWFQSLLPPFRRYSG LY89DRAFT_789599 MATSPSPPSANAPPILRLPDEILLKILKYACTSTETIRPELWLP GSSQFGQYYKCITLNTRQTFRFPARVNGRLPEALTAIDLLFTCRAFNNIVDVESLFYR YNNFEFSNTLCILDYLKALPTRRRKAIRNISVMWDFYHPDSGFLALSTCSGLCNLTVD ITHLAAFFEIPLNSDIHVAPGYSQLVALRGLKSLTLIYSSGSTSAWENTTWDLVVTVL ERVRGLPATDQNKLDMQLEITGIETMITDLVRLPQPADYLPSAAGLAQAFSHSTVVDG DYLLTLPRTLSVPPQASLQPH LY89DRAFT_742742 MKITGPLVEMLARNKLFAQKYQSPPPLMEMIKGMRASGAGVVVL SCSDPRLNPYQVLGIDPTLKATMIRNAGGRAFDAIRTMAVLQTIGAPGTIVVMHHTDC GMTHFHDSAIKTALLEIAPEAKASIETAKFGEITGSIEDSVREDIALIRASPLIKKTT QIVGLKYDIHSGVLTQVDENKSEL LY89DRAFT_789601 MVLNITLEESMPRSSVKDIIRISRSQPRETNLSSVDISFRRTVR IPERAYDHYLRTDHGAFPIYTVGEHKTRLPDQMVAKRGAFIPMYEREALWINLESKTP FRIKIHFRGINVVSGKSEGKKFCQELPSTQDYVVSGSQHYVDGVMSDDGSTIMQFIAT PAKSTSPEAISTLRFEITLLHQLDPGLVQTSFAKTMQILVKWCGGAAMTFVVLETTTI LELKRMISYKILVQRGWDLLSEDMVLKQHLGKYEPLEDGSTMKIEGIQETTTIHIHRC RPRGDIQPTMNPKQQKKQEEMTFAPGGRIQQTIMRDYFEPSSWDHQETIFFNVQLLNA TIFERVITAPPPTLITQQLYTTYGYPFLEIHTEKSAETAYLKMLDEEAAFKAEGGKHD DPFALKDIDRRRKA LY89DRAFT_789602 MAERAAQIAGHLNYPKGLLAGQVAIITGSGQGIGAETARLFANE GARVVVSDIDAAKAAQVAENINKSGGKALAVPGDMLDAEYIKTLVKKAAEFGNGKIHI IVNNAGYTWDGVIHKMTDKQWENIIALHNTAPFKLVREAAPFFRVTDGEPRCIINISS TSGVHGNAGQANYGLAKAGINGLTKVIAKEWGPKFGVRANSIAFGHILTRLTAAKEDG AFVTTPDGEKIALGIPKGQGSQSANANLDIPLRRAGTATEAASSILAVASPLFSYITG QIIMVTGGRNM LY89DRAFT_789603 MSLRQRRPSTTEAITDAAKNLEKSIENALTVLWDDLPSWQQDNH YIHSGYRPASASFKNSFASLGYIHNESVNIYSHLLGAVMFSGIGTVLYASIKPRYPSA TSADIFAFGCFFAGAAACLGMSATYHAISNHSPMVAKFGNKLDYVGIVFLITGSFIPS IYYGFYCHPHLQEFYWTMICSLGIGCASVSVFERFRTPAWRPYRAGMFVLMGLSAVFP VVHGVEMYGIEDMKDRIGLTWLVLQGFLYILGAGLYAARWPERSWPGSFDIWGSSHQI FHVLVVMAAGSHLYGLLKAFDFHHNILGLVC LY89DRAFT_601174 MSSRGGRAPSAPWGRLKQVSIDPLESIGLPSKGDDRLLNHRVQE QYYTKIVERFMAFCSDAGRGDELLRRLAQLEISPENGKSHTRSSIATDTRVESMMAGF ETSNEKDISSLMMGMRKLREGIVASKRVDDFSMQAYIFCIRLSIQVKHMESYHPAILH LLKHMHTVQPLTNVELQEFVGYLVLDLACRQNDLAQAYFVRHTYGLHDSKVDAILHAL SHDNYFLFWRVKHSVDGLKAKVMEFAEDAIKRQALKCLGRSYLVIDQKSLEEYTNTTW QVLVRDFGVGWQLDGSKVIIRKPKGR LY89DRAFT_677743 MPKAWHEYQNKNDYIRPFRRQTIDASTFSPRPQESATILLFSGR KEKWHCASLSSLYPKKFPLFFAHPIEERRYITRVLMMFSWSKKSFDSFFARVTPAEEP IESVDPLTIVPPKMYDVLDSEWDSDSDSDESRVMVGRPSSTELCCAAEGTIVSVDPTP DAPPWINEKSIIVLDDPEDPNPQVISSKTHHTELYAPVAHILMDCDWEEFLPKDEDIV ARNEPDNDHLSPNPNGTDDLDDASSDYYEFESDEEQDDEFPSSSEEPMMFKLEDLLPN DGVSLSLRARNEHHPLLFSGDDKKLDGKDPPDRDREYSFLDSDSESCRSDDLQTATED YNLLSHYSSAGKGPRPHPHEKADVPVQRQERHTALSPNPSAYRETMNDKQHNDSLILQ AQQKKARWQHSLDHERNLVQALAHWLEYDIDTDIWDAGPCQPSRKPSICGGTPESCPV CLRRCLKYYREWSFLLPVLNEAALRLRTGYTALKDLDEMLNALQNGISWQGQPNAASH WSTLDKTGTLKREISSSSNKNAYQPRCHPRDKPEPPVPQIVTPELEAQISEAEQRLER KQTAAEAWN LY89DRAFT_355562 MPPAYRYQAIAVVITCIRPITPLRLMQLVNSRPRRRQRCSFWTS CRRLLSTTVRKPSNLPLPQRRMYRPLPYIVSRCLRSIDVPCLVYGTWEHLLALVSSRD LGTSNDMLLLHKWIDMVRRASKMSMSDGWSHIS LY89DRAFT_355471 MSIFLFFFWDCLSCTELGRAGMLMKGRMVDTFFYERKHKRLDGW TDRWREDSLRERRDVKHTFCAHLFGLQVFLFFPLVEGMMDENHVDLCADEMIRASDGE RWREQYNTNNHHLTSPLFETKSSSRRDIISAYLPTQSPSYFPFPIYPNDAQQSINQSN SFFPSFLPE LY89DRAFT_541943 LPPTPESRIGIVLGQYLELKTILGTGAYGVVYSAFDHLTETWYA VKALSKTNANGEPLDKRQREFQSREIRLHYMASAHPNIVSVLKIVDDPECTYVVLDFC PEGDLFSNITERGRYVGNDALVRQAFLQILDAVEHCHRVGIYHRDLKPENILVSNAGT QVLLADFGLATMTPVSEDHGCGSTFYMKCLDQSSRNASYRCAPNDVWSLGVILVNLTC GRNPWKQASVEDSTYKAFTRNRDFLKTILPLSDELNDILGMIFESDPKERIDVSQLKQ LIFQCSSFSAPIQQASLPSPPQSPCSFTEDVFENSLSDDGSVS LY89DRAFT_691610 MKEQRRNIGIVWCRWIVCRGAQSHHGTVMLSDATLANGSLIALI AGSHEAGGAAERQEKQTRDERHQRH LY89DRAFT_355461 MVSWCGANQGTGVETRERESNEGVLHRDVSSASKGEREKKGGST GKRRSQSPMEGILPASRAIRAFEYSKFAPTSIRCRGQDGFSKSPGTSTARYSRLPCQA FTLAAAAPEACDSWGHRIYHVTVLHVNLHILPAAGLSPPPTVEMRLSLRLDRRHHWPT GLSPCVGV LY89DRAFT_766147 MAAYLSMASFLPTIGIPHLQARLSPISSSSSSSSYDNANYKSSD TTSAPSFSSSSSQTQRNAKDSGSIAKMSIFASSQTSVLPPPAYTSSTAAAAAATTKTW FGYLVASSTSSLPPPPSPPAPLPESSPPTYKPPGPGPGTAPPTITTHPAASTSTLSLS TISTQPSHEDLPRYEPIQVPKPVLSPSTSSTRPPTRAGNGNANANPAAAKPTWRHPYV ETDDDPWEEYEMRARAERERSEEGAGGDWCCGCFWVGPAQSWQGYYIF LY89DRAFT_677746 MASPASSDEGEIRDSSVEKANTSLPQYDGTSVDRQDRNRSSNSN SRSPENGYRTRDRKSHERSRSPYDQHARGSKRSRDEDYPDRSRDPRRFKVHYEDAPPD YKRRVRGYEDLDRNPTPSAGLHYDERDRQAEKRARTRSRSPYRSSRGGDRNWHGSQSR RDDSRYNGRTNDHRRPDSYGHGDLRSRESKDQSVSKRGPSPLPADNARQEAKTTKGYS QQRADQSANQSHQSRTNSEVKVPAIEAPEEEPLDEAALIEQRRKRREAIKAKYKGSAT PLLVQALQLGDKSGDSTPGQQDDTTPSARSTSPMISTPGTPNEAQDLGSPAVFAITDD QDLANTKGEQAGDDNDGPSAADYDPTMDMREDKQRDDQRHNDEVSSGAYDETVPTTEQ DVLLPTTEPVEEKPKKSKVDFDMFADDDDDMFAEDPLPNGNANAEKSTEVAKAVAIPQ AKELDIGMLDNWDDIEGYYKVILGELLNGRYHVQANLGKGMFSGVVRAMDVTTKRLVA IKLIRNNETMRKAGMKEIEILQKLNEADPEDKKHMIRLERQFEHKGHLCMVFENLSIN LREVLKKFGRDVGINLRAVRAYAQQMFLGLSLMRKCNILHADLKPDNILVNETRNMLK ICDLGSASDASDNEITPYLVSRFYRAPEIILGMRYDFAIDVWSVGCTLYELYTGKILF TGRTNNQMLRSIMDCRGKFTTKMLKRSEFAHIHFDEMANFRSVEQDKLTGKNRTLLIH HSPQDTIKTLAFAKPSRDLRTRLTSASKGLTEAETKELTLFTDLLDRCLALNPEKRIT PAEALKHPFIMKTLR LY89DRAFT_742751 MGGQDRAGGKAKPLKAPKKGPKAEMDDEDKAFQEKQKADAKAKA ELAAKAKGSKGPLNTGAQGIKKSGKK LY89DRAFT_677747 MPASHSRVQSDDIGESIVVQAESAEDLRVSSPLRATRPEVVIRM ESHSDDVGDKDDFEKRVSTEARPHLSIPKSWNSVRDSTFERPSDQEATKPRKSLPGQE TRPGEPSRGRKRKALSDEDRQRANLVRRLGACDNCRRRKLACSPSHERGEGHALRLAL ATYQKPEKPTNAAEPRVSRKDVARNHRASIRSGDASEYAAFSGSHAFTFHVDPDSISD VEIRTRHANLQKFGQSLTRAARSTFPITSPSMYTSVHVLLLRWQDDDTGVSKEAERLA EVFLNLYHFEVTVGLIPSDSPSRWLSRKILNFVELDDDSRQTLKIVCYGGHSFISETK QCMWSNDRRFSSTVSWQGCQSLLEEAQSDVLILLDACGVGNASSSVGNGATEVITAGG WYGAALPEVGPFSFTTTLTAELRQLSTREFFTIDQLYQQMLARIQSQLSSDDEKRRYP TPYHFISKKDTSLTQSITLCPLQDSLLPGRSGGRDTRTPGRKSSDDLHPGMALTVKFK DSYDPSDLATDNFMEWLRAIPLPAEAMNVEAGYAKF LY89DRAFT_355734 MKLSLPVFACVIAMAVAQFSTITTSARADGVKTFQGPKKVATRE DGSTGDTTSIRVLPSTTAVPYSSQVRHRGWTSETCSGNGKCPRKLPDGATAVIACLSI VALCMLVVYLLLERKMCSCCYQTKEIV LY89DRAFT_691615 MNLRLIIFATLLMALVPTSNTITAESQNEHLPLNMREFPIISTR PRVPISMRTYVSRENARRAQLSSEAAGGLVVGGIAGCFILVCIAIGLCRHIFGWK LY89DRAFT_691616 MEINLETIIFTAVFAALITWTLAQPDMIITANPTRVLEAEQANA IRKQTLRFYALPILVFLLAMVAVGLWQFCTSVPTIDPYYVSRLGRH LY89DRAFT_742754 MKPCLYILTFMCPFILASKTKQDGFAERSTLSPTETPYRIVKLH TPADSQIYFHTVPPGSTNSPVMTAPAIRSNGIYHGNSETSKTHESIGTRDLSLEAKES IGIAAGVVGLLAFIFSAFGCL LY89DRAFT_742755 MPPQFTTDQGPSVAEIARDVDVLNIIAVVIMFIAVVPLHIWIYI SRHRDTAARKLQAQVQKERQKEAQQEGGAWEIMKVARSEAGIFDGGQWIWITLELPWY REDG LY89DRAFT_742756 MAPILIVKDLLSRRAISKALHIFLGIFITLVILGILGVIVYLFC VHYLPTIFLNFAARKAKKEVQKQVKKQVSKQVQKHAQKRAEKHQREADGAAGAGV LY89DRAFT_677751 MSVQARQTQTPGFFSPEDKQIIGLIILYGTLSFWAVALPILIFQ NRANIRTWWMERRRRRQIWGCEVNVDGISGNLGSGDIVKRSEESIHDIPEDERVQMDL STRVSGNSPPDHRN LY89DRAFT_356596 MGGLAFSHQDPPLKTPRMPTEIYKIMRDSVLNLLLKDFTDAKTP IEAPGKDDYGDIDVLCHSPLTPDLDPAKTDRKQIAATIGTKMGAHAWIIGKGEQAMNF AIPWPKRKYTQKMDDGSIVEIEVDYNAGNYIQVDIHICKDYKTFRWAYFHAAHGDLWN ILGTTIRKFGLTVNDKGMFVRIPEIEQLDKKKSMIFLTEDPCTILAFLGLDHVKWFQE FSSKKKMFEYAATCRLFWVKDAAELEDGLNEGDVVGDIGNGGQEGGDTGKKKLKHNDR QRMSKRPIYKEWIDEFIPHLRAEGKYLEQRATRDQVRDEALAQFGVKQEWDARLKEWK LSRHNDELWRDVIKGGIPDMVNPQRRAATIRHMKEIIMEGGIFQGYYPEAAKTDEDGF HDLDKVRAFVAENWEAVSKVGWKKQVDRATEHMREVSLEKEQGQPQV LY89DRAFT_631073 MSYQQPGYGYSTPPPSNSPYPQQQPYGAPPVQPPYGQPPPQGYQ QPYGQPPPPQGYGQGPQGYQQPPYGQPPPQQYSPQGQYGAPPPQGQYGAPPPQQYPPQ QYGSPAPPVGGYGAPPPQQYPPQQYTQPTPPSLGYGPMFAPQGYMPDADADLLRKAMK GFGTDEKTLIRVLADKDPIQINAIRTAYHNRHKRHLLNDVQGETSGWFEEGLCALIRG PLQQDVVLLRQGTEGPGTKEKVLNDVLLGRSNADMRAIKEAFQNTYRTSLEHVIKGEL SMKTERHFLMVLAANRNEESAPVVPQQIDQDVMDIYRATEGKVGTDELLVCSILSQRS DRQIGAIAHTYKQKFTRDLETVIKKEFSGHMELALLQQLRTGTDRAMRDALLLEDAMA GMGTEDRLLVNRVVRMHWDRNHMMQVKGAYQHRFHKSVTHRIRGETSGDYERLMCACF GE LY89DRAFT_712021 MPPRPGDAWFSAGPRSSYPNVTSTSLSDLLPCKDTTAPGCKVFH VPATDISHATEVSLDDTVTATSAELGEQVVVFQYQGQFYGIDHRCPHSSYPLSRGTPF DIEDFSVRLSAGIRCPKHDWSFDLIRGNGDRGNYRLKIWEVQLRPGQSKEGEMEIQVW VRRKQRIG LY89DRAFT_691619 MHPKAILPFFFFLLTIASTLPETCAPNNGIMTTPTYGTTGAIFT ICAQTTILSSPLPIYDVLTNFPCYAAWNTFVYAIDLPSNYTTPYVGLQMTFHTSGLIL GFNTTSNELVTYLEPDATPPFVSWRLNAGVLGVLMQAEHVSALWDLGNGSTSYVSWET YYGAGALATLALEANLQTQFEVQGQELKERIEGGG LY89DRAFT_601220 MDDKPYSVFTHNEKKIIVICAGLCQFFSPISGQIYFPSLDAIAH DLHVSYSLVNLSITTYLIMQGIAPAFVGGFSDSSGRRPTYLVCFIIYMAANIGLGVQN NYAALMVLRMLQSAGGSGTVALSNAVVSDIVTSQERGTYISYISIAPQAGPSLGPIIG GLLGQYLGWHSIFWFLLICTGVILIPVALFFPETCRKVVDDGLIPPPKWSRCYMNTWI EKRLIGEGNAIPYEKRDELARKRKIRVPNPLETVKIVFTKEAGFILGYIGIVCCTYYA TIALLPSQFGTVYGFNQIQIALCYLPLGCGSLLASLVRGRIIDSRYKVHAKRLNMPLE YNRRVDLSNFPIERVRLEVAVPTLALGTACTIGFRWMIQYKVNLSGPLIFLFVIGFCT SSSLNIVAVLLIDIYPGSAGSAAAANNLVRCWLGAGATSGVVPMINKIGIGWTTTFFG LLNVVFAPILWYVMKNGPKWRRATKKRKEREQAKRDAKTDVGGEKGGQ LY89DRAFT_660287 LFKAWPELLLDVDDATSTDWNGSYPWDDENRPRYVEEELSLNQA WETQILASRDLNSIKIAAKNNCFRSIVELDHLRRRK LY89DRAFT_691621 MLPRNRVGSKPPEFRVLATNLVHQSDVLSNRPRVSWVLAVLPAV IHADVLECWSSEKAAQTPEVVGLSSTTKSRIIQFQKCASVQPSNKIRKQGGLHGRKNE FLFAFMINKAISEVSRVECQEVFLNVEDLAICTDENLNRWTEWHNFGCGAPQQFELYV ALALDLAFMVLSTVACEPRSLRSLAFLTCLKTH LY89DRAFT_631082 MSSSKKRKTGGDGVAGLSPTSILEPKAKIELSRPEKLEFHCSMP AKIAPFCPTILISVGSSKQIFTVPRDLLTLHSGYFKELFASPREDEDEKIALPLLSPM DFGNFVSWIYIGRTLSAATASATRFWVVGEFLSAPAFQNWCIDIYRNKLLLAANRSPH RDNVRLAYEKSGKGSKLRKFLAHCSARLGPLESHAEGSDQHTAWKVLFQEFPDLTYDI AAIAGKDWKGTMPWDDEHRALYVEDEIPLENQWESQILLARDKDAIKEAASTGCVCSI IELDHLERNKGKEAVS LY89DRAFT_691624 MSDANVDSTNKDSKTVLSEGGVREHVTAGLLLSVRQTKTSDELS DNDIPISEISRKHLDISAETMTSSHASDNTKPARVIVEPAPKTAKSASGIAEPLHGIT EPPHGITKPPPGTAEPASGIVRRPHGITEIHGIAESTHGIAEPSHGIAAPHGITEPHR ITQPHGITQPHGITESPHGISKPPRAAVDETQPVKLQRKGLSESPKKTRTPIKATMSS PSDIKVEKEIARLKEVLQQTSGVAAQQALRENWRFLLFESTSGDTENHISFLLRAILK NVTWTPRLLNIVLNDPDISEQILEQPTTLPKLLGSVSAAQLMKHVPTPVLDAALRERV KDVDGTSLLRWMGEADRLGFTLEDILDDYESVAPNPNSELMGRDKPEILDVEGPRTRP QLHLLPPPPSLQQQRHDPLLIEQERNLALTGQKAVAGRRPANGGQIGSCRHCDFVFPT FSGYSYHLAKKPCLRAVPPGGHKFKCGNCAQRFTNGQGYDYHMKERCCFPGNAGPSPS AQLQPETNSQDQYSPILPPNSVRHQAQGSLFHPAIRPPMHSQGPSSSTFIPLPSHQGP PASLSQPSISTPIQQPATSVPNMTGIRRHPSELSRAERAILDAEIKAQEAKHEANVAD IKRTYQDNPVELQKKLYSAKTGNDTKMSGIRRKHGVSLRMSKADRQRREEAGVPSPTY TYKACPQHAPTDMSPPTSSFSPVNGSGNKRRRSQEDDHGSPRPQPWFTNPSTRNVSTP KHSSSVIVLTDSESENEG LY89DRAFT_356736 MNPCTTSHLVLPTEVSTRHKQILRYRKQQRCLEKYILKLENYPT RNSCLSCRDMKILVAGGAGFLGSNLVNHLLKEGHDVVLLDSFIVGLESSINHLVDLPN LTVLRHDITKPLKDLRVDQIYHLACPASPKHYQRDPIKTLQTCYIGTENMLKCALRCN ARMLFSSTSEIYGDSLVSPQPESYFGNVNSYGPRSCYDEGKRVAESLCYAYQHMYKLD VRIARIFNAYGPGMPASDGRVVSNFIAAAINGQPLNITGDGSAVRCFQYVTDCISGLT KLMASDYSQPINIGNDRLCRVDELAHVVMEVATKNGILGLDKRITYSERPVDDPDHRQ PDIRLAREVLGWSPVVSLEDGIQKTFEWLLSIQGTSLRGKVAKTGAGLVSLPS LY89DRAFT_712024 MPVYESDIQDEEDLNVRHASLDIHPLKLFDIAKAEGRPLYAAAP MVRYSKLAFRETVALYGVDLTWTPMVLAKEFNRSLLARDSGKHVVIIFFILSYFTSCL EALSFISSPLYTHHTSTVTLLDFTTSPHAPPTIVQFGCNSPLELSRATTLIAPHVNGI DLNCGCPQSWACSETLGAALMHKRELVASMVSSAKSALASLGYEGQKTVSVKIRVHKD LRDTIDFVKTVQDAGVDFITIHGRMRSTPSSKPVDLEAIKLVTEHVYVPKLANGDVFT LEDAREHVRATGVDGVMAARGLLENPGMIRGDVRCEWDVVESFMERVVRAPLPFKLVV HHLGEMGGSERSQRGKTLFSKEERAELMACEGMVDLIDWFEEMKERKARNGN LY89DRAFT_356874 MAAPAVTGPILTLNNGVKMPALGFGTFANEGSKGETHKAVVHAL DAGYRHLDCAWFYQNEDEVGSGIREFLSQNPSVKREDIFITTKVWNHLHEPEDVEWSL KNSLEKLQTPYVDAFLIHWPIASEKNADRTVKIGADGKYVINQSLTNDPSPTWSAMEK LYAAGLTKSIGLSNFTSAGIAQILKFAKVKPAINQIEIHPFLPQQPLIDYCFSQSIIP VAYSPLGSQDQVPGTGEKVSTNKELNKVAEKNGWSLAQVLIAWGLKRGYAVLPKSSNE GRIKGNAKLVELNEEDFEAVDKVASGRWSRFVNMKDTFGYDVWPEESK LY89DRAFT_356892 MPAFEDSDFGVEAQRPSTPSAEQVARLQKIVSNGSPAKHSQPTL AVPNGEKNTGAIEVPATRSSIAESEALMHSLSMSPSQVDRRGSRNSFGASLPIPRSKR QSRLSSVTAADGRPSRPGMPPVQPTRDILSSQVQDMSSVKTAAAKDMAFAFDIDGVLV HGDRLIPEGKRVLEILNGDNELGIKIPHIFLTNGSGKPEAARCAQLSKILHSPVSTEQ FIQSHTPMSALAEYYETVLVVGGENYQCREVAKQYGFKDIVVPNDIVASQSTISPLKE FFTPEQRATSTPRDFSKVKINAILVFSDSRDYATDLQIIMDLLQSEDGVLGTKAQDPT SQRIPIYFSQGDLLCPTEHPQPRMSQGTFRIALEAIYKAIMGVELERVVYGKPELATY KYADEVLTSWMDTIHNEERLPKNIYMIGDNPQSDIVGGNMYGWNTCLVRTGVFQGGDN DEANPASFGVFKNVLEAVMAACRKELGGDFGFNWSDSMNPVTAGHSISAIE LY89DRAFT_356914 MKTIPFRSPFSPDLTFGLITKKRLSNCCLHLSTLEEIVAADELT TKRHLTTPTLSTNKFHLRNKTKRISRQHQAPYRSGILDSVTRDRELNTSSSGVPSVVA EEEIEGVMGRFIITSVELVDLSCNNEALGNASYIHSQRLIYSYESHSPSTTHTSHDLC LQFMPYPHAQFTSMLNASPICTTCIYNQHLIYPLDLYSR LY89DRAFT_356916 MDSLVSTTIRQKAKETKLVQNIIETYGGKGNEIKLAGNIMETYG EPHYNMHPPPPPPTWAEVAQPRARRSSTIQTVTNWQDAPQGQYQVQTPYTHPYDQGMS DFPRLSPATQEEFETPSREESPFHPHLPYIVDVHTLREAVNKDAGQIFDAIITIMEEL HRVRDENEDLKRDNLTLKENWMDVSHQAREAQKNLTSTKTQLRRTQNDLNAYWDEFSQ RRGDWYIRAQRYEELLAICSAKQVLLWKLIERIREGDTPEGDRNWENAVPSLTGTHFT PTVSDSQSEKSGSIITEFRGSPERAMSTSRGRTGRKELPKGETSNYKRSSERGLDPAA VSLDLPKTKASKVKGSPERGFNPAASSFVPGVGNPAASTISDITAPALPQVDLLSCIL SLPPRFYGICPNSLCASSEFKCRVPACRLQHVCEAYNDEKGGGCKDGRLCRFVHEYRS CYEEIDGFGCRFMGVTKDSKARQRHLERRAHQQYVSGEEWRSRVIIAGLRGAHREGKY LY89DRAFT_725680 MTTVERYRPPHHFHSDAPSNDRRPHSSANPITKDIPPAVPSPPR LFTRTSPPRRPQVPPIPSPISKMADDESSQWIFTDEEMLSTPSILDGITPVEERCRRA KGVNFITQAGILLKLPQLTLAVASTFFQRFYMRRSLVPERGGIHQYLPRSQSHKQLKS KEGSLTLTPEQSIAATALFLATKTEENCRKTKEIVIAVAKVAQKNASLIIDEQSKEYW RWRDSILLYEETMLEELTFDLVLKSPYTVLYIFLQQLNLGENKLVRNVAWAFLNDSCM TTLSLTQTAKDIAVAAVYFALKFQNESIPDDEYGTAWWETLGGNPEIITKAVDVLTTF WSENPLSRKDIPYAENPVSGDDLEQTRRRESDGSSTSVASQNGDAKRSPEQKPTPDEK PSPEDKSRPDTKLSPEDQPGTEAKPSPKRKLEEAEIEEGEEPEPETKRAKTQESTQSQ TQ LY89DRAFT_357007 MNKPTAKPPRRQSCDRCHAQKLRCSRQGTGSGDRCTRCSRQNAE CVYSTSLPKGRPSAHRLQLAGASAGAGNGNVKSSRSEGESRIKEGANGTENENGTASV SIATSTETTAIVDIGGHEIQAELDCITSSNTHGNDSDNITISLVQSPENLTPPSITER NRHYPPIPPPITTTWEREHGLLTAPFSSPGTNISFGDGDAGPWDSEPYYWSDWVGSDL SVSGRPLSALTPPSTSHGTSLAGHPCTDTVSPAAAAPTPNIFNPNSTSSNYDSHGHSA HSGHGRGDYGSIPTTQGCITQLSELLTRLSSAFAAAQQELIPGSPSLVINTAFDSLAA LLVSPTVVLPGADQASDAVRDTFSASQRLLEILHHLQDLQLGEEGGKMDVDSPCLPAP PPTPPPRSPPSGEQFSQRTRSQHSDTVVHHLVLACHSLLLNIYGPLLAALQHDALASD SDGVLAPSLVDMRLILLVQLCSYFIDRLHEGVSGLCLQTTVDLEAEIQQKLLRLRRTL HI LY89DRAFT_691636 MAKDNLLIIGSTGWIGTYITDQILKSKDLFGRIAIFTSLGTAET KAQLFEGFKEQGVEVIVGDILKLGDLERAFEGIDVVISAVGRNIIAEQISWIPLLTRS PNIKRFFPSEYGTDIEYGPSSVHEKPHQQKLKVRAALAKSEGFEYTYVVTGPFAYGFL ARMEKLPEIGSFDVAKKKATVLGDGRGQVSLTTEPDVGKLVVKALQHPEATKNRALKV NSFTTTPLEIVAEFEKQTGGEKWSVDYVPLEKLRELEEKAWETGNGTGFTLRRIWAEG GTLYEKRDNGLIDGEETDSLEDAVRVAIAKQTGHEKL LY89DRAFT_357405 MSSRLFTNAPLPPLPIGGIPLQPVDSAVVVHPPGYMAPPTLGRA RARRRCLPRWLSFLRGSPIERFLCTGWNGIIIIAVIAIATLVALLVLAAVNLTNSTNK MTATCLKLDPLGNCCKYLDMEGNCFIHNVTDDDTTLAKMKHSLDDADLVAADVLGHDT TYANMKRSLGDDNALIADVLSHDTTFVNIKCSVDNDADLAADVLAHDTTLTNMKRSCD DDDTLVADVLDHDPAVAKVKRSLDDADFAAKPTPFFVPSPNPNVQHAMNGPTTTTNGF RSPSSTLAPTTFLALATPTVALPVLFVYATSLLHLQQKLTNRQSEHHDMMGSHLRELT ENLAQPLNKEAVELANDFIEEMLAEMGAYEGFLEGLEDDVVDLVRELDIE LY89DRAFT_631101 MERRSQRAFLSPEDAYHIRTNTITNMKPSSESQLAEAYLELFGT RSPPPTKTTLTSSALTPHSKSIDRPEDNVHGLQQQARGRRYSEQDIKTRSARASIAAQ KHNSTGSYPLYSPSSLPTGFSSTPPFLPYGVSRSMENPRLYPRPQSAGSSITSRSSFE SRFESRTKSPLSITSSIDYGSSRTSFDSTYSEASSKDGLTVSRPAIRPMAHPGARRMV TEPIVPTLGKHGAGGEDGQQVRTLMTPQRLANGNNSYSAYGMRYTQRTPGDGFKKLPK EILLVILTQLKKVHLDVGSLSCSTCCMRDLTNLGLSCKKWWGAAQIALYEDIQLNGSD SILHTKKKFKMKYGTRLTLLRRTLRGRPDLAEYVKSLKVPAIPDAAKSKKEQDEYLEL VASVIMACPNLERLPGLYPTYNHDFTRLDHALSTRKKLVEKVWIVNPSPFQRQHRYQL SEDAEHLTPVMSPAQLLPEQYMTFKAHHSNWSNLQTLFLHCNPGGTIDSALFVDIFHS LPSLVNLHVSNFPASSFHDATLLSLPSLKSLRLENLPGITSSGLSSYASPSRTDDLTS LSLISIQLRSLPVLTRLFSHLKSLAQFTISQAPSPTLPTGEEIYLHPYLASSTLQYLH WEFTNPDNDKATQILSTAISFNGFPSLKTIRAPTDHDGLLQRLCKPKERIELPGDRYR NLNMAGNPVFSQTQSLPSLSPTRSTFSGHGHSGSINSNSTKSPTQSAFSLNFDHLSNS SDEFVSREKGMSLVTARRMAQHRIDTAMTQPKFHIIIWDESGEFLERFALGGFLGSLQ SQITYTLKPDVDGMDEAVISIDGPGGLLDKGEETNARDGCTGSWNLDAGTRVKGANKG KDRWWHSERGRWKDIPLQKLF LY89DRAFT_742776 MANDITALLGGLSFPTDEDRESDSFKLFPALPTELRLKVWAHAL PSLTVLRLTAHILVSDPTFGFYLTFFMTVDGKATLVTVIPSMIRRQVDLGMYEQRAIA LLRVSKESREVYLENFPISLPFALRGVGRLYMSRKEVLHFSNFSDLIHNRLFQQALKG GYRLQTWWAEIEKLAIPITSLIVKGKDWEHIPALCQRLPALTELKGVMWDRFQDVIDN GNAEGTKTIKDAMNAQLRNAEHELSRYRDENDQSLVVPEIRLMPTSGILLRWPFVFCY NFHDYSLAAPAIPILD LY89DRAFT_766179 MASKAKKVLKRMRKALSKAWRKLSRQSETEESPYIGPVAAVAPN AQAGTNYRRRVQPKPPTFHQFSRFPAELQKMIWEMAVPEPGILFMQSMPWTFQHCTFQ HLLPETFADALPRPTAMLRVCSESSKAYKNAFPFEIPFAVCRRLGCDCGADRPHLRTT SSGHGILRFGIHDQLFVRNLGVLRGSLLDRCSLIQPTWATGVRNLMVSIDELVDDKVD ALLSQHMPRFPSLDRVEAVDQVILRYQGLRLNRAGCQALVERTTTLRICLLKKNAVPA WIRDIFPWESVDDFITRIQRDYAGVKIDPEVERKLPTITYRDPFPGVPETGHYGITYV YRAGF LY89DRAFT_691640 MKFLLLHIILAAASVASAIAVPSTVADTNIEKRGWGAACLLKCA SVSGNVAEYAACLAACTAQGVPDGAVTVDE LY89DRAFT_712030 MHITRMEKAQASSQVQIQLAFVQSNVDYAVESLLKVSDPTSPEY GQHWTAREIVKFFSPQAKDVSAAVGWLHSSGISAAQLSGSHGRGHILIDLTVGDVERL FNTTCSRFIDGQKGKSWIDCGPYNIPDSLSSSIDYVATVRRSLQQPPPRAPNKPDFRR PLAQVPQAEADSSNSTNVDCNQYTALSCLRKLYNIPLSTTPHPNNSFGIYEIAWVTWL PDDLDQFFTMFQPDLIGQRPFVEPIDGGYLQTEYQLEAFNLEPDLDFEYAMALTRPQP VKNIQVGDELLGGDLNDMLAAFDKYYCDALNSSIDPTFPDPLLGGYNQTTDCGTATPP QVLSISYSSPEVDFSPQYLQRQCLEFLKLGLMGVTVIVSSGDTGTASGVLPGTCIDAD TGASNATTGKFSPQWPASCPWVTSVGGTEKSTEFNLSATLTTSTMNDPSLTNETAIYR DFGNGSILSSGGGFSNVFTVPPYQQVAISQYQDHQNVHLGTLEALGYFTSGGRGFPDV AALAYRYLAIIDGNLTAIDGTSASAPVFASIISMINNELLNAGKSVVGFINPALYSHP EALNDVVVGQNEGCGANPAFNAAEGWDPVTGLGTPDYERLRNMFLELP LY89DRAFT_789630 MKFITAFVLSVASLASAGSIHINYYTDGGCSDYLVSPPNVPTDG SCYTYQYGGTNSANIANCNGFGGCYCDFYEYSNCEGLSEPAGTPDGPDCASNYGIGFA SFQCYTD LY89DRAFT_677770 MLPNIESHEVSSTLAPRDILMLSLRSSQAHTNMKVGRTIQANSD TDPICSSSSIAIMDPITSPEQNEVGVVSKGRQLQTFDEFKFFPKLPVELRLKIWKAAL PVPTTIHVCARLSPMHKASKCTLSYQGYAQRINIATLSNSGMSCTCHEARNAYVAAMP STIDLINTLTGRSIVIRFGVDDTLYLFGASSPTMHDSLFWDFMSVQKWTKEVKMLKIS LHWASMGVCKRFLACFEGLEKVELSPIRWVPTQNMEEFTMLTRWWGMYATKKELLETA GSGSGGTRNLSLMVYMMWIRRNFT LY89DRAFT_677771 MFLMNGAFYEWDRPEHLICKFTNGDGKGHFRRLQQPNPAFQGNT RTVSAVLFGLFRVEEITMPAVFDKDLQDVLLIANPHPALRNTEGQYLMSTSFWLCLSR NREFLHGSLTMNIQIRHRYLACGMLLYAAISI LY89DRAFT_742783 MIDSFLSATPELLTCIFRSCDGLPQILSLAKLYNEPFFKAKEDG HDGFLGRCEDVLRAEAAGSWTLGDMSDEDVLFLRQFAVNNFDMVDHCPTGKWRNDYYE AVFGPFASWLIGDGRSRARQENVGSSSDEQDEDEEPGDGKKRAIQ LY89DRAFT_742784 MADSSDTTPSPLATGISPIFSTAGENNTSTEPGIAAASSSTNAR PIQSTNGETTALAGAETLTSSALIDFATRSAATEATALTEFHLFPKLAPELREIILQV SSDTYKFAIPTGHNGERMIPIKTDIDYTKLGRAALSFSIPPNAEAIDSRCCDVYDLSL SVTSREARAAYLSSFTRCIHLKQGFVRFAANTLVYIPDMDYIVYGPYFEHMLLETAHT TKFLAAVDHLVLPYSVMMVYLAIGPHHRSERFTLAWMDFLMTFKSLTGHPTSREGIWQ HMNAKTRLLLTERYEATSVRRLKDHKEKHVSLEAFPKLLCRGVS LY89DRAFT_357507 MQGPTLTMSPALNDNAAFPRSHSSPNIPDLINFDFKQPPIQDDE LPRSASFTSLPTLDLQYNSEKELQKYVTADVPVEPSTDSQTGNVHNGKGGNKLQKEDR PKLERVGRRKSLVSRPKSWIQKVKGGSPERRSSSDLIDTTPADAPPVPPISKAVRDSK TKTVSESFATFARKSWMTSSRSPSPNRNIGKTKDNDGQSESESKMTGSPITSSQSVVP PSKLESSPTKLSDSSKKSKKLSITPQMLKSRPQSILMNFTTLKSANSSTSSLPGSSTD ERSTPRTSTDKVPPLPKNFSTEKLQNLTVDLPPRRRDELWSAFRSLENDYSKFQAKSW SLKTNVVRASLLPFLRNHASHPSNKNLRPEDLDRRITILNKWWTGLLEVLDGRQNQTV SGVDRPILLEACYAIMTRPEWRLAPSHFAPLSERSPNRSPERRPPMSRKKSSGSLSSS ASQFMTESVYHNTRNLFIQNLLSQMSFVVDKMSLRHAPASLVTFCGKAAAYAFFFVPG VAEVMVRIWKLQAEVLRRVADELGMPRRPNKVDQDEVIAPFPNHMHCLGWSSVKQMSN QLRRDPHLAVLASKINWYGPWVARWCGRDSDLFFVFAKHYHVLAEEFMPAELPIREKA RAPGFILVQAQILTALDGTIHRQPAAEPPPITFDDVLAGADASAAALPMPSSNSARPM AENRLIMLLRDFISERPSDYENARLTFAETFGKMMQAAAKRTSLFDHNACFVLCDFME EALTIFVRFHHAHALEDDFIDWYFWMDVCRKMLESENSMSEIRLFSFLFGAWNVITND PKRKEVLCLEWLLTEDTFDKFFNHWCPMVRAYYMRLLVWRLCRDDGEGSDLDTKIYCA VSTRVKSVWANYLFLKQHAEKARILPPSTAPCYPAPGRRLLIIRNDNQSPAANLFLGF DGIVNASGTTQASAYKRHSSLSGLAKLNTAETSSPKPTSDLPTTAPNKKRWTFMGKML PSAMTPTQETASPTEQPRVSSPTKTLEDARRETAISRSRPTLHSKSSSTDSETPPAIS SHRAYCFKFSLEWARDFEKTPPQPQSQPARAGAERRICPPRLPSAAQAMLGAKVPGTS KEISAKDPGARGRTAKYAGRALAEWALVVGECNNFVERRRSEGVPGLKWVEVPTLGVE GFRRFGGGG LY89DRAFT_660315 MNKLPAEILDAILLWVVRICRCEKNVILPLRQICKAFDVALKPY AFKCIQLEFSRFMKFRTDPRELDLKALKDVGKLSESLYLDLMVVRDEEEIERLTTVFQ SLIPRVPEMTPLLDSLHKYCMSETTFDEMDYRLLVESVLFNTPNMTRLKLNLPFQVVG QQSRTATLLLATTVAALASRPEGSKCVEHLVLDHVSDTTLIDICNNPLDITNTMEVFK GLKHLILSIKRQESRYTRQSTFAQNLWHLIEKATDLRSLCLIGWNVKRTTDSRVHVHG VQQSVWNMRSLPFARENLAEKLLSLRSLELKRVDMDPHAFFDLLSQISKTLKELYLFE VYLKVRSRQTGNTSLWIGYPGIPKPSDCCWLAEELYRLDTLNLDILRVSGIGYDDFEP PLDNGPPEYDLKDPSDRDRSFDERFVQAVMKASSCSASSATGPHATLTSKDREAKRKE RQRLYHKMKAYDAETFQRTHNTTSWFKRCVDGYFFNHNEQALKELQNIITVADRGMTL LQNEMDRARDEHRHLGGVPPDQTPAPDGGRSANAVPTGVSIGTQTAGPGNGVGGTGAA T LY89DRAFT_691646 MGAEFRAKGVNVALGPVVGPLGKIAKGGRNWEGFSNDPYLAGGL AAETVKGVQEAGVVSCVKHFIGNEQETNRIPGYNNQSQRVEAVSSNINDKLLHEMYLW PFVDAIHAGAGSVMCSYNRVNNSYSCQNSKLLNGILKTELGFQGFVVSDWGALHAGYV AAQAGLDMVMPGPANFWAGNLTASIANGSLAQEVLDNMATRIMATYYQFSQDESSFPS PGIDIPKSLAAPHTFVNAVSPDSKQILLDSAIESHVLVKNLRAGNNSLGLPLKTPELV SVFGYDAAEPPMNPYIPNPGHGAQFLNSTLTVGGGSGSNNPSYVSSPLSALNQRAYED GFQLLWDTTNLNATAAVNPASSACLVFLNAWATESHDRPGLYDEFSDSLVLNAARQCS NTVVVIHNAGIRIVDRWVEHENVTAVIFAHLPGQDSGRALVKLLWGEENPSGRLPYSV ARNEGVYLEPVQPEGEFVLFPQDDYKEGWEVDYRGFDERGVVPRFEFGFGLSYTSFAY SNLSISQVEEAVLGEWPTGGVKEGGQEDLWDVLFRIEASVANTGSVDGKEVAQLYVGI PGGPVKQLRGFEKVSVEVGESVTVGFDVTRRDLSVWDVVEQKWRLQRGEYEVFVGRSS RDLPLVGNLTV LY89DRAFT_677777 MPSHPKSKRKSRKQREPREASSALSPTAREPKHATFSPFVRLPP ELRIKIWEMTLPEEEFIVVRAHRDKGSKQSLKFSRLSPSPPPLRVVSREAYEVALGRY PYRLPILSAIQSHSIGFGPGDTICFENVEKVVKIILFDNDYASKTMPSWALNIRKLAV CPYEDGYQDGHYRNISFNLVRRAIAQFRGVHTIQKIVLRKTKRHILPELAADQDNMLE VGFVPREGLYLDIDQHNLEIATKRWSAKHVQLAVWLVQCECMEEVQTLDDFLESDPQV FRDGRKSPHI LY89DRAFT_742789 MAQNAARSHSEILQRDVATIKNLEAARACKCAKFLPFRCLPVEL RLKIWKAALPDPQIIRIGFNAAVDHEDMFREDVISFYLRSYTHVENRAVTLLACRESR QVALESLCGSIPVEIISDQIHFHRRTHDLDEPPPYARRKIRFDPARDAIFFPDFNILN RVSDIFYGEQLRAAFKDIKKLVIPVSSLKRFMKDQSYHSASGQSFENLQEVFAVMDRV TGKRSYELVELTEGSDPLRPTKISITGGDTSASASDPARDFMLPYYDVAAQIISEGST LNITFTGLKDSKIENAFVKLAPIVESCKHCIEVTGPFFRRFSELPEKVRLKIWGYTIP SSQFIRVKVYRVGSKQRLQFRLKSDHEPLAILGVDKESRKVALDNYTVCLDSDGIDRK IRCSPDDVLYFYNIEKWFKFRGKIIANRHSRAVWQSFRNVKNLAIDNFTLKMCLCYRM AAMINQFESLETLLLIRSGQHAEKRLAKHRSTLVDPETKWYLGCDYFSDVDRDLNRVT QLFDHFVKARQDSWDDEEEGLWLLWQWNIPKVKMMMLEDGPIICNSCIGKGCSGRRRM FSKDYIMEEGRWYNADGYYTDHEEYSRPRAWGYGSLRAW LY89DRAFT_742790 MAPIFNITNEASTEKYLAANMPLIANAIVEAVKNKTSNITASTS EMVKRVANKATHEAYCELNFCHDKPPTHPAKNVTVVFGKCADDGFNQYGCDASGKPFK HPMIRAVAPIIEDLLKRASKAANDIKCQFKLCDDNLHPSKYIGMHSTDVFGNCPQDGE FYQFGCDASGKPYKHEHLAGRSLQTVLADEALATQHHSDDGKSNITQMLFILLVAVVA FIAMFMLYGKVKKILGERKERKAGRDLAQMEDGIPEMAVIVVEEEEYSDFV LY89DRAFT_601214 MPKPDLLHFDDLPEWYQDNPAVRSAYRPVSYSSVPCLHSLTYLH NETLNIYTHLIPAILSIFAQMYIQALITHHFPRASSRDRLIFGANILPGMVTMILSTC YHTLMNHSFPVSSLFLRIDYVGILTLILGSFFSGIFVGFYCEPALQRVYWTMIIALSV VTSVLVLHPQLQGLRWRSHRTAAFVATALSGFAPIGHGLWLYGWSEMWVRSGMPYWFL EGIVYGLGAFFFATRFPESVWPGKFDIWFCSHQIFHVLVVCASVVLLKGVWDAYAWNY HNNQQCLATF LY89DRAFT_571089 MWLIHTRSLELKYFVGDNIPPYAILYHTWGDEEVTFQDWQDLEK ASQKKGFAKIQSACAQALGDRLSYIWVDTNCIDKSSSAELSEAINSMFAWYSDSQICY VYLADVEDLQKFLSSFCGSRWFTRGWTLQELLAPRAIRFLAQNWKPIEWQGYACDTVM NPWERSHKSRQRLILRLLAHRTGIELKAILYSESVMSSSIVERMSWMKGRETTREEDL SYCLLGIFDINMPLLYGEGSKAFMRLQEEIIKQSTDQSLFAW LY89DRAFT_742794 MAQQFRRKPVGGSPIYEPLPVKPAISSHISEVSEPSYTSSFLHA PGSHDEVPESRVVSGYHSNGEKASIPRQKPTKWGIHWRKPTFIVSMLLLGLGLSLGHH FYYSWMNNQVTGDASRQAWPTRIGTGLAFLVTSFLKAATMTSLGQYIWTVVKRQPLTM SSLDRLFSLSTDPLGLFYWELMKSAKIALFLGLIVWLLGLASVAPSATLTVVAQNITG TASVATLDWSLAIWSGETTRWIETLAPNTVAVRAANDINVVPLPAPVNATEWSYDLQF FGPSLQCAVANSTEQVVFDNVTGTMAQQDNLFTYTKITNKDYSANTANRLLWSVWSTW FGIGAPNSDWIPRILEYGVYDAYIMPQIWVQTSTQGIVCNAVNSSFDVTISFKDGMQQ IIQNNIQILGNYSTPYVTITDLEPGGENSDEGGTQEVQYSPYLPHLYAIGTILAGNVS LDNVVDSDQYGNQEYAATIDSNMMTTGLTACDDIVNSPFKSLAESNASPNSTVVFMNT FPSTPWMCRNKTLIAAIQDLANNITISYLSSPQMTGNKTVLKTIQTSNTVNKYQYHPF YLFVSYGLALLFSFIAALVGFYSIFLNGVSHSMSFSAIVAATRNSELDALTGRSSLGA DPMQPENESLRLKLGPLLDVDELKYTGVDPNLGERGPHVAFGLENNVGRLRKGEIYS LY89DRAFT_357792 MPYKEETESGQNSGGNKQIMNESSNHRKNNQRRTPEFATSQRVN LRTTQKKSQKIRTDPPSLKYINPNTNLTLLGNTARLLAKKSQYLWTDQSANMNQVHVR PWFGGVSRLWMSV LY89DRAFT_742796 MQDPQPNPRAPHRWSPQHEQDPSVAEILSSPPQLQVNVFYQRKT RTSFCMSNCKNIEVAELWPLYADHYPSHDGHSDSDAGVMESASQERLKDEKRADQEPE IVSDSGTNLSLTATTTSHDPSTTTKPFPFFNLPLELRLKIYALLLPPRHHKITTQIPH NGYFYNTSTVPLHSATSFYPFGTSSPPKLTTYKVLTANFRSDFPSPSIEPQILRTCKK IKEEAEWVLYGGRGVVFDFETSVDAAVAFWGDRSREARGWVRGLRVAREVPSWLGKDS WGNDRRDEVWDRFCRFVKDELTGLWKLDVTLWSSSGSAVGFPSQIASSGTGDEEGDTK LKEQEDQRKWREWEYTASLLQAEGLREARVTWWGFGSGEEKGVEELQMDGTMMRVRPE RFDSWVARRMVGDRLVREKMVRDGVVVEGVVVVRGSGA LY89DRAFT_357804 MADESPFMRIPSEIRLMIYELLFIDNSQRVFQIRNQDPETYKNQ PHHQRTGYRIIGRDLVRQSTPTTYTLVNDVELHTSIMRVNRKVYTETAHMLYSNRTFD FGRDIEAIVPFFSDLSKHTRPFIQEISLVKQGSVYSRDYDRCEWSGVCDFMREYMRVE SLNLTVEGGRPSLGYAGLPEYSVQDFKTLESVAYEPLEWVWQLLSVKGIKKLEIESEI HHCPPSHSSAMAFFAAFSASIETGFADFLREELIGLQAITRAFQDS LY89DRAFT_691656 MRLNTSTSLFVASSLFCLGSSLPFFPRSVALEPRATYSVVPVDG GSAATTDSAGQGEVTIVKTIVQSPTPTTQTVIETDTLPPTTDIIISTQTVEGSKTTQT VDVTLTPSATPVTVTATEYSVIDISAPTTIVLPPTATPSQLSTGGSKTATSNTNTIPE TTPSPIKSTSSTADSTTPASPPSSSTLETSYTSTFTTPTSPASVSAASYDNGQWHTTY PTWSNGTATLRTAATPLQTTPPFSRDLSGSEESGVLTAVLRFFRRFSSGIL LY89DRAFT_660330 MATPPAVEDQARLLEDALTVVRQQTHLMRKCLETPGKLMDALKC SSTLVSELRTSSLGPKQYYELYMAVFDALRHLSSYLKDSHPVNHLADLYELVQYAGNI IPRLYLMITVGTVYMSIEDAPVKEIMKDMMEMSRGVQHPIRGLFLRYYLSGQARENLP MGNGDGPEGNLQDSISFTLTNFVEMNKLWVRLQHQGHSREREMRTQERKELQLLVGNN LVRLSQLVDLETYKNVILQPLLEQVVQCRDVLAQEYLLEVITQVFPDEYHLHTLDQFL AAVSRLNPHVNVKVIVIALMDRLSSYAARESEGQPKEDREKLEQEAITKLMERVKFNQ EKKAAEPAPAQNGEQPAATNGDAPKEAEEPAKPEAESEPAPEATSSTDGADSSKTSIP EDIKLYEIFFEQVMNLVNAQHLPIQDTTALLVSLANLAINIYPDRLDYVDQVLDYANQ KVKQHANSADLHSPESQTNILNLLLAPMKSYVSLFTALSLPTYIPLLHSQTYPTRRAV AGEVARNLLRNLTKISVLSQLEGVLEILKVLIKEGTQQPAGYPGVQQRKALETDETVE EQGWLARIVHLIHSDDNDTQFKLLQMTRKAYAEGNERVKFTTPALITSAMKLARRYKT REHYDDNWESQSSTLYKFMHSSLSALYTRVPASAELCLRLFVACGQIADQAGAEEVSY EFFAQAFTIYEEAISDSRAQFQAVCVIAGALHETRNFGKENYDTLITKCALHGSKLLK KPDQCRAVYLASHLWWAVPIAAKGETEETKDLYRDGKRVLECLQRALRVADACMDTAV SVELFVEILNRYVYYFDQQNEAVTTKYLNGLIELIHSNLQTNQESATIDMPKRHFQRT IEYIASREYEGVVTSAAK LY89DRAFT_357905 MPDEKPNKYIPLSIPSYEEATGSSSRTSTPSRAAEEREGLLGVP GGRIPVPTRRQGYRPPPTEGSDDEEEQDTFLGQDEHNGRSSEEVEEGEVRREMLELEI EDPGQSANGNGRSLWGKRISSLSQSLGLGRRWNWRWRPRIPDSMRGRFSRVRTAEEGE GEDGEGTATRTRWKCGWPVIDAGFCIVLGRCFAILMVMAIVYVIFMSDLFTSAAQRMA GQMFDPESVRVHVQGMADENRIREYLKIITENDHLAGTEGDFVLAEYVQDFFRANFLE DVKMEQFDVYLNYPKVGGRKVELLDSEGKVTWSAKIDEDHIYPDKQQTPVFHGHSKSG DVTGPLIFANYGSREDYKRLYDSGIDTHGAIALVRYYGTQGDRALKVKAAEPWGFKGV IIYSEPKDDGFTKGEVAPSGRYMPEDGVQRGAVSLMSWVVGDVLTPGWASTKGAKRVS KEDNPGLVNIPSLPLSWGDAQPLLEAIEGFGQPCPDEWQGAIPGVEYWSGNLSSPVVH LVNDQDEVEQQPIWNVLGKITGVEQKEKSVIVGNHRDAWVYGATDPGSGTAVMLEVIR IFGHLVEQGWRPLRTIEFASWDGEEYNLIGSTEHVENNMDKLRQNAYAYLNVDVAVGG STFKASGSPVFRKSLLRVLDRTSDPYQNATMRKMWDDRHGQLDGLGAGSDYVAFQDMA GCSSLDFGFDGPPFPYHSAYDNFEWMSTVGDPGFQYHKVLAQIWALLILEFSDRLVLP FDMTAYSSSVTKWAMDLENWAESKGVNQAGNPTWSTEPLREAVLQFATDARNFEKWEM EWDAVVLGGGGFESAIYAAHRKSHNNRMANFETHLLDLEEGGGIPNRTQFKHILFGPQ LWSGYDEAFFPAIRDAVEAGEWALAQQQVEKAARILKRASKKLVGNT LY89DRAFT_766236 MLFRILASLALIASAQASCSDNNLLRCFEASSAVASPFCTSLYA GETPVSTPVCASPSPVASISHACSCLGYTSTVSLPATSTLQASTLQTSSLQTTSQTST SQTSTSQTSTTVLLSSCSPTTITVSLAGASVSQCIPSTAPASTITFTASAASSSACMP TSIVGTRVTVTVTSSTAPLSSVPASTCSPFIPTCSSAGNEASGAPSLSVAQPEGFSPN TCALLCQETAGCQSYAIYVYLGYCELFSAPVDEVSDGASNGGFTFWDIACIKPAIVPQ VCNITVGIVTPEVAPHNTYPDTSLPECQSYCAGIPPCLSYSMDTSSTCLVWGADISQW AVANGTGYQAWDAACPTSP LY89DRAFT_789646 MSQTQTSTISAERLGKIPQYIQFPETIHELDWADLATLDLSKFN TPEGKQKLAQQLQNAIQQIGFFYITNFGLTQEEVDPQFAIGKEFFNLPTEEKLKYRAD LENGGYNGYKPRGLREIVPGHFDNTEIYNIPKFIPQYERPHPALIKENWPEIEKFGRF IHHEIVSKLLVLFAIILELPEKYFLERHRYDEKSDCHLRYMKYHHRTAEENEKLNNVW VKGHTDFGSLTLLFRQPVAALQVRTPQETWKYVKPYPASITVNIADSLSFLTNGYLKS SIHRVVAPPPDQAHLDRLGVLYFVRPEDDLILKPIESPLLERLGLKGEGGDGVHLTAG EWVKARVAKNVNKAGGSKEASGEQEIIKGVKAKYYD LY89DRAFT_725703 MAPDPDVSENIGRSSPEPSEYRTKEAISTAVEASNIHNGELHYI RRGQEVTDDTLSTDSIEGYEAEQMKARALLKYEEEKNLLRRIDWHLMPLLSICFLLKN VDYSNVSNAKIMNSGTHQNILKQLDMTANEFNFVSTIYYIPYIIAEAPSNLFVKRMLP SRWLSRIIVTWGATLCCHAAVKSKEGLYAARFFLGLFEAGLFPGVILQLCYWYRPDEM SKRLLYFYILGNFSTVISGVLAYAFDGVSGKGDLSGWQWLFLVEGIVTVVFGIALWLL LPDFPPTAKWLTEKEKAFIQARLPSNAPRESESNFSFREILVSLKDIRMWLFTLCWAT FTVGTFGLTFYQPTVIANLGFTSINKSQLLNIPTAFLTVAIIGIFGLWADTARLPRPL FPLCFMIVILACYSVLYTFPNTGGVYAATIIASSVASAWYPMMWPWRVQTTSRATGSA FSIGFVNSYGQIGGALGPQIFRSQYAPRYTVSFAIAMALVGVAIGMNLVTWWVTRRTE RDTRVLKLARLRAAGRGEAVLDDVVIDRKEKGGNAVF LY89DRAFT_358073 MAGLLGNVTNTLDNTLTGGDKEQGQGGLLGSVGGTVNKTVDGAG NAVGQTTDGLGNTVGKTTEGVGNTAGGLTDTAGGAVGGATGQQKQ LY89DRAFT_358474 MSGSEREREEQRKPEEMQNLPPTPESHPQDEFSAEGSESSAASD RRRYQRGGRKKSTSAREPRKSRIGPVPEPEGQDEDEQYEEAERALIQDEPDEPIGEEE HDDPEEGYYEEGEEEEEEQEAASPKNETLCSKCAAKTQQPEQQQQKAPSPFETGIKAF NLKRAEASGGRPIGVRATPNTSGKKSTDSKKSKKTKKSRKAKVESDSEEEGSDDSFEE QKQKPMSIRLDLNLMVEIFLKAKIKGDVTITFL LY89DRAFT_358166 MSDQEKQQTLQEDEQEYEYASDSDEGQQQVAQPQPKQGQRTMQR ARQQRQGQQQQQFAPQQQQQQQQVVPMEQRMTGNMGGRTGAIRESRIKDRPVPQEQRD SSLKIKIELDLEVEVDLYARVKGDVTIGLM LY89DRAFT_691663 MPLGIITSIAACPAIIGTTEAVQQGKKQNSREKHRSRKANLLVT CHDPSRKARDIHGGTVVLRNNKLYCTNVNPKSKYLPDEGYDNEASQGHLFAGYFFPYP ESSWGRRGEGYVSTIMDDPPQLNWIYVDKDTYEVKYGLKKDTIGHHVGPWNVTPIDRR LNLDGWEGFTVVEEEEGVWALYFDWDDDGLDGKVGLDKRIMEVELSRKEIRMMKGDCI M LY89DRAFT_691664 MDPSQLNQVKPDQEKRNTYYESTMRWVEDTYLKWFGENRTSYGV KDTLRQGEVTGNKDVDGVQRSVGETVGNQFGEGGLGEGVGKIADKGLLSR LY89DRAFT_789653 MAIKTLLLCFIHGFKGDEETFYQFPEDLKAAVTSSNPDIAIETR VYPKYETRGDLALSVETFKEWLQSQVITLETSSTPPNPSAIHSPSVAVILVAHSMGGF VAADTLFSILDNRPVSQDPNVKLMFPLIQGVLAFDTPYNGLSRSMFAYGAFSQYQNIS QAWNLLSTGTSFLSSAGAKSAASNVASNQLAARGAESKNSWTRWSALAARTGTYGAIM AGGVAAYIHRDQILQGLRGINRENLAKINYSNTRDAVTSINYSDSISQGLTYVSRESI GEGFAWMASHLKFVGALMKTTQLTTRLERLSEIEGVGVRCLYTSLGENGVWSGGYFVP KRTFCAVPTAAEKEKGDKMKELFIECPDTKAKDEIMAHCGMFKKDRNENYGNMLDMTS QLVKEWMQTDPQKVKDEWRPSGDQLKRTMSESKVWDDDGKVLQPPGGEGDVGQLDAIL KSDEMPQGEDGGVDEKELMERAAGVPLPVEEDVVGEGVLGSKSEEAESTGKDAEEKQV GGSSQEKEEAVEKKEVNEAPAEEKTSDEEQPPMTEYEKPMKVENNHLAEEMDKTTLKD KPQVTETQEPQTGTTAEDPKQQEASSAPKGTWMGMIPGMSSSATKDAEPETKTEQQEQ PTAEKDAAAQKGWMGYIPSVPSFSAKGQETANKEEGQKDDTTEQPAGEPRKKWFGVV LY89DRAFT_742810 MSTPKTPKTPLDDKTPTTPKSGSIKKKLPNGDSPTSLKKKIPKP PQDVPSEAKDVADNPSPEQHLKKKKKIPKDVGDKVPKEVKEQAPQTPSVSDMSQVPDE APQTPTPQRKMIKKKKPAPPPEPEVPEAPQQEDIKQPEPEAPKPEAVKKNQPNTAQKV DSPTKKPLLKKKKKPAPPPEPEPEPEQEEEEEEPEPEPEPVHEEESEPEETHEASEEE EDHDEGNEEEDEEEEEDQDHDNENEDEDEGYEEGEDEEEGEDDGAPLDKVQDTASKVP KQAQDTASKVPKQAQDAAGDLPNQGKQGLDKASKTSKGATDGAQKKVKGTTDAAQQTT KGATDKFGGGLPNTDSLPGGDALGGAKDSATGALGGAQKKAGGLFGGATDQANGLTKK AKDTAGGAQKSVGDTASKAKDSVPGGDALGGATDTAGGLGDKAQGAVGDASEKAGGVK DTAEGAVGGATDKAGDVAGKAQDTAGGATDKAKGALGDVGDKASGATGDLTDKASGAT DGLKDTSSGATDKAQGLAGDATSKATEGVNGVKDTADSTVNGVKDTAGGATDKVGDVA GQATDKVGDVTKLAGNTVDKSGNIVDEQGKVLGKATGDISSIAGKKVNEAGEIVDDKG KVLGKVQPPDTEDTKEKALGSLGSLSESASGTVAKLNLPEVGKSEGAKGNGIEISVKT TKEGTSLRIWIPGFISQG LY89DRAFT_660352 MVDQEGDILDEEGNVIGKADLENAGKEAGEKAESAVGGLKDTAE GAEKPDLDDTEKPELAAPFGVQDNGEITNAKGETVGKLSEGKPTDLVGTAIHHIDEEG NMKAETGSTIGKAEIAPELLEKASGAGSKLGDVDEKLPEGELPEGEEKLGEAGDKLDE TKEDAELPEGEDKLNELEPKPLDEEGNPIEGVEEPKLDEEGNPIEEKLDEAKEGVEEP KLDEEGNPIEGPILEAVDAEGNVIPEKPDLSILKGKTVNKAGKIVDSDGTPFGVLVEG DVKKLIGKKVDAEGNIWNDSGKIIGRAELLPEAEREAEASAPFEDFPDSVLDAKGNVI FEGKIVGKLIEGDAKKLEGKKIDADGDVVDKNGNVLGKAERYQEEEVVEEEPEKEDLS ALDGKKVNKAGNVVDDNGKLFGRVVTGVLAKLIGRKCDAEGKIWSESGKVIGTAELLP MDDRDEGGDSPFEDFPGAICDKHGNILFEGQIVGKLVSGDAKRLVGKKIDKDGEIVDK IGNVLGVAERWIEEDEPEPEKVDMSALAGKRVNKVGNVVDSHGQIYGRLVQGDPKSLA GRMCDKDGNVRAEDGTIIGRAELVPESEREGQKEGIFSGFDNPKVTKDGKVADSQGVI IGRLTEGDAKKLYGKMVDPDGDVLDKNGNTLGKAERWEEEEKEIAHNPVAGRKVNKEG NVVDENGDIIAKLTEGTITKCSGKEIDEDGDVYNQKGQVIGHVTLLEDIPEPEVEPEP EPEPEEPEETEEEREERLQLAQDKKLAGQMAMCVQQSIDKINPILKMITETIDAAERQ PKEELDEQKLVDTVKPLIEQGSQILTEANGVIRGLDPDGRIAANAKHKTAAREATPEE YRLADVLKELTTNVTGTIDNAKKKIAGMPHAKKELNPLWGLLAEPLGQILAAVGLLLA GVLGLVGRLLSGLGLGGLLDNLLGGLGLKGILKGLGLGMVTESLTGKK LY89DRAFT_358775 MFCVFSKIYLYTSGINGERTFPDDFLAVMSALLGVGLGQLMGHC TFFVQKRQFDSMADYDLTGPSGPILFILPYITEWPWSLVILYSGYSIFFPCLTFLPSK GFWPEGNTLLSDRERFLDYFFPSTEASLTDLDQATALLARMTMLGFSLYSAADAHYRP WWEEVRKRREEISRDEERHISDLIQRYQLVGRPGRSRVKMA LY89DRAFT_601382 MSQNPPRILIIGAGSRGFAYARATKESTNGIVVAVAETIASKRK RMGEMYIWGKAGPADGQQFENWKQFAAWEQERRTKASHGEDVPEGVDAVFICVLDEMH KEVILGLAPLGLHIMCEKPLATTLDDCVSIYKAMLPNLPDTTPSKIFGIGHVLRYSPH NMLLRKLLLEDKVIGEIMSINHTEPVGWWHFTHSYVRGNWRKESTTAPSLLTKSCHDI DLLLWIMCSPPPGSTKPAHIPTQLSSTGALQYFNQTRKPTAAGDATNCLSCPIEESCK YSAKKIYLGAQHKGLGSGNAHWPVDIVVNDIEECITQSGKAAGQAAVMSKLEENYTNH TPTSEVSSKNWFGRCVYESDNDVCDDQVVTMKWENEPLPGETDKEKALAGRGAKTAVF HMVAHTKKICERYSHIYGCDGEIYADSENITVENFITGEKTIYKPHIAKGGHGGGDDG LARQFILAVDAVKNHGVSAEEAQRVHVGCSLEEVIRSHAMVFAAEHARLGKQVVDFPQ WWSKEVEANLVKV LY89DRAFT_766250 MTSTKATLVLVGGGWHVPASYSKLTNALKSAGYEIHVPSLPSTN QTRPPNADLFTDTTVVREYVERLVDAGRIVVVIMHSYDGQVGTNALHGLGQNTRSQKG LIGGISYFIYMSAFALPKGGSMIDKVKEFGHEHLMPLAFDFAEDMSCVSRDPKLLLVG PGVDENEVEAYVSTLVRWNGNGMYQELTNTPAWKDIPVAYIYTMQDMTVPLDYQKSMV ENLEREGKKVYTAELDTGHCPNLTATKEIVDIIDDILAAGKAS LY89DRAFT_702357 MWKRLNNPRGSHPPATQERDRFNGNMSGEPGLGIHTDERRAPPR PPREDMDPRGLPRLPYDPNPPPPPEHRDSKFRPASSIYSQPSPNPVVTRFQNSYASYP EEEVSPPSSPELERPLDIRSQQHEPDVSPIDEMPDVSRLGLGRPPSRPGSSSKPSSNI PVLRREKRRNQVAAAAENIVQRKEIGDGPKGRIARDPRWDPYTGEITTSDRGKPQSAK PGQFAAPGLRPVHRDTGIVLGNESSVTSGVKAHTSFGDRVRKLKSNDTGPGERPEWRG ATGRQTIVSPVKDQFDIPPINIPRKSSKRVASPHSGTQSGASTPVTIIRSGDGETSPA STHMPEHIDPTIRTVLSNSGQNSPRVVESPSTISPDPVVAPLFSSTRRGNTDPVPASR VVQTPKAFEREDTLAGIERNFREAFKGVSVPEVEPYEQPPSRFSVTTYAPSEAHSTPR PSADSERPAMPTPPASYAFQEPSTILDRKRPAISGSPSSNISRKAVNPSSPVFISMSS SIARNKRTSTASSISKTLPMSPAEAASHDLVTQLQAQLDNLAHRRNNITRSIRQMTEL MPKDHVILTEEVRRKREDEKRKVEMLREEEADVRREEHDIGLRLHRAWKRRDKDAVYE PTGLWVRRVTG LY89DRAFT_742816 MDYVENRMAQEAAKAQSNPAVPASHTLLTPINGILLTLLLYLTY THFRPRPKLALSPTPPPIVFRTFTPPTLRPYNGENNMPVYLSVRGKVFDVSPGRNFYG PGGPYANFAGRDASRGLACGSFDEDMLTKDLEGPLDTLGGLGPEEMEALSGWEERFNE KYLVVGELVAVGDEKKEKGGKA LY89DRAFT_691671 MDASTTMLAHSPATTGTLMATSAAVSNNLTVGQIIFHEFINIHE RFRLPEFWNDMGIIFTFFLGCAILLYLIGISITFILMVLFPRAFGTYEPFQTELISDE ALMNQVYGGPMYVDPEVERILQRVRERRARRKEGMPPGQHVGDIPLREFTKSQLKEQT FTGQNHHNEDGEEGLHSLRLE LY89DRAFT_601207 MLLFAVLLALFAANIASALPTISVKGAKFFASGQQFYLKGMLFA PIFRWILLIIIGVAYQGTPADPLVDTNQCQLDAASMQAIGTNSIRVYHVDPYVNHDGC MKAFSDAGIYVWLDLDTFNTTVVQTDQQWTLEQFAAFALVMDVFQGYDNIGGFWIGNE VINTASGSPAAPYVKAATADMKSYMAAKKYRTIPIGYSAADIAELRPMLQNYLACGDT QDQSIDFFGLNSYEWCGDATYATSGYSNLQAMAEGYSIPIFFSETGCNVGGERTFQDQ TAIFGSGMAGTWSGSIIYEWVQETNDYGLVTYPGGGIYTGAPTPIQPDYNNLQTVWKS VDPPAVQEADYSPSLVAPACPSASAGWAVNGNVPLPTLGSGSWNNIVASSFSPPPPAT TTSSSNPISSSTSLASKYTSTSAALNSVVSPLSAEVSTGSSGMIRTPLLHSTLPPSLE LRSLYLPLCIFGAIVH LY89DRAFT_691673 MRFTSYFILLHRLAALTYSTPISDTADIETSPTLPKRTSGSPAH SPPTIPVYVPPSYIWEPNNATCRAMLTYSVGEMFESTYLGLEIRVLQRNGRLA LY89DRAFT_725715 MLSIMLLGLILRIASLSSAHPASSNPASKLTNSTWFSGNYGPTY NPSDWPTLLTIKTEPDIWHNCATFADWDSDNTLKVYESSTTHQVYCWVNGTLEDDAGT TLLRTTDDCYINEEFVLDGDQSTDANGMYNGTVIDYTDPAVLPWCGEVRPYGIRWPEE RWMKLGVTHYYGLPCWRRPDGRWNQTAGKVVGGMLDVVHEKTVWNSHYWCWVTGSVFN TSSKWYEYGVTIDNERCWLPEEFLKPYKALPGGDEECPWWEWP LY89DRAFT_631163 MDAQGRHFSVSHFLRQNQNRIFENNRAWVEAKLEEDPTFFERLS AGQEPDYLYIGCSDSRVPANEIMGLEAGEVFVHRNIANLVPNTDLNVMSVIEYAVLHL KVKHIIVCGHYNCGGVQAALSHSDMGLLNPWLRNIRDVYRLNEKELDEIEDAEQKWKR LVELNVLEQCKNVIKTAAVQQSYTKNEFPIVHGWVFDLRDGLLKDLKLDFEEALKDIK KIYDLEVGPH LY89DRAFT_660366 MTVSRYLVVIGGSYVGVNVAQQLATKFHSSFKVLLIEKNSHFQH LFAFPRFAVTNAVETPRAFIPYVPGTFAACPPGSGTVVQARVTGLDRSTVKLDRKVAL DGQRLESIPYSFLVIATGTKLTPPFSLPGSEKLDGVTYLKKHAEKVIRSSKIVVIGGG AVGVQAATDIKELYPEKSVTLVHSRKTVMNRFHTKLHDIIEERCNELGIELKLGSRVK LPHEGYPTDGSIFNVELEDGTAIPADFALICIGQVPQSSLLRDLSPESLDAGGYIRVL KTLQINNPDYPNVFAVGDVADTGAHKAARPGGKQAAIVANNIEHILNEQPLEDYEVTD SPAIHMSLGIEKNVVFGNPAPGSAEPMIKPRTDGTLDMNINGVWTRRGGGADALL LY89DRAFT_766264 MFELVYHDGSSNTTFQQRYQLDVSYFKPGGPILLLQGAEIIPGL EAEPIDEFDFYDDTIELNGIAAGIEHRFFGTSFPPGFDGSKASYAPLTLENVLTDTVA LVELIRANVSGAEHSPVFVQSVLPVLSEMLMVVPMSNAYYDISSAAATKIKSAMRSFE ECSASGNCNTTLDLGLCDPQPNATGYQNMYGAVLDLYKRIPQFSYPIGANLPANAFQQ LINLTEAANTTSEVLRAPIQVANWAMANLSQCVNYTTGNATGGLEAARSGSFGWVQCN YFMTNQYSIGANNMLPPNDASGRVEICEIPEWAGPRVNWTNEEWIQWYGFSNEQLSQE QRMVFIGGQQDPIAGISLPDLPESPDRQAPRSIVAPGGAHTGDMMGQMIAPKGLSVNL DTIRNTKLQYLKDWVQSYHMNESNSTSTELGRKDKLYFELFAWVDDFRAAPKFN LY89DRAFT_660371 MTDRKRDDGFLVYWKSILACLLVGMCQFQYGLDSTLIGGIQAMT GFLEVFGYRDPASPIGYNISTLRQQLISSLMILGAFLSSMSAGLIAKYIGRKFTLWIA CLLCLIADIIMMTTTSIGVLYFGRLLMGLSNGLFNTFGQLYIQECAPSKYRGVMIGAA TYWIIFGALIGTIVDNFTVPFGGKKSYLVPLGIALIMPGIIAVGLLFLPESPRWFLQT NNEEKARSALERLAPYPELVDGDLASMKLAIDNEAALARSTEIVDLWRSPVDRRRALL AIGAIVLQPASGASYIIIYSTYFFEMADIGKPFQNSCIMSGVGAVVLILNSLIITRWG YRRIFLTWGLILCGLTQVIMAAVYTAHPGTILTGKVIVGCTILNVTFYNGMVATYALL CGGEFPSQRFRSYTLGIATAMGYFFGWLVAFTAPYFINPSSLNWGPKYGYIWAGSCLI AAIWTWIFLPEVKGRTFEEIDEMFEARLPPRKFRAYKCTGPAALGAAGDGPEIEHALR NAESTPGDSKHGVTVFGNHVEEKI LY89DRAFT_358973 MSSVGGLDLPEVPYRQMDDEMKELDREVGVEVVVLYEDSIMKEV SLSNPKNAGPRFWEIPEQM LY89DRAFT_742826 MSLEYNHDDPDFWDLYLPAKISPNWFPVITLNFKSFSRWLFEAV LSLFRHTQLRQLEIHWATSDCPGYESIEQDHLYYGTTRLEDLKLIDCRFTPSDLTTLL EYPRALLNLSIWSDLDQDVIDDNEQVNHEDFFRAISGSRSCKSLEALRVDFTSRCWII VPAPGMDRLPNVKYLDVSAYHLQFKDESMERYPAPDIDCPLERLLPPRIEVLKIDPYD AQSDEDVVRQILPRKSEIVPRLRKIVLTNHYRDQKMAQELKEAVAVEEDKQNYCRARS VVRDGFPLYTTKAPMDELKSLCADKGVALVLLYEDSVRKEILREGAGPALWEIPEQIR LY89DRAFT_359221 MFHSRLLAWATSLTAEALNGALCMYHVGLVKTSIERQKQKNSLG NIRVSQEQAGSSSRKETGSVGLGIRLTVGDYEKLQLRDATSKRRQNRQKSENTSTKLK LEENNTKDRKLVVRGVGPRLSESTTPTKYETKAHYTFFDTLSCQDPAID LY89DRAFT_359021 MSDFVHGDQADARPSHDGKHPPAYDVEAAAHEKELHGETFAAQD DVHSDSVEVIKARELRSRGFFKTMRDGEEWLDAKMGIETQGIDRIHEEDKKPPSLINV FFLWWSLTCHVGTLPIGVLGPEFGLSLNQSIAAIVVGTILGALCTAYTGTLGPKLGLR AIATSRYSFGFWGAKLCSVLNVVIGGGFAVVNVVVVGQILSAVSDYHMTIAVGCVIIA VLSYVISVFGFALIHTFEKYSWIMTFILMLILIGQVADKVDPSIPGEDTGLGLAGSFL SFLAINFSSASGWCSIASDYYCNYPANTKSWKIFFLTLFGISIPTIFVTVIGACLGNA AVSSEVNDTLSAAYTNHGLGGLLSVSYHPLPWAKFCLVFLTFSVLGNNIAVNYSSGLS LQLLGHYFHAIPRLIWSLINAVVIAVLAIAGRQHLSVIVSNFVSLLGYWTVSFTLILL IEDQYFRRRTGYDLNVWDVPGKLPWGVAAVLALLTGYLAGGVTGMSQTWYIGELNPLS SFLIGNANELKGPIAAKFGGFGGDVGIYLSGVFTLIVYPPARWYERKLTGR LY89DRAFT_359115 MAEKIASIASSTPSNTWRGWLWDSADVSKEERRFLLKLDFTLLT FGTLGMLIKWIDTSNITNAFVSGMKEDLDLYGNQYNYIVVSWTVGYIIGQWPSNFILT RVRAHIWIPFLEVGWTIFTFSLAGAKTYHQMLALRFVVGLFEAGYWPALYYILGSWYN KRELGKRNGILQSAVSIAPIFSGFLQAGIYNSLNGHAGLAGWRWLFVINGVISLPIAI LAYFFLPDTPGTAEANWLFTERDVELARERMARVGRVPEGKPYTVKAILSYFTSWKTV LFTLIFTMQPFGSQPATSFVFWLKAHNKPGKPSVYSIAQINEYPTLNNAFTAIYSLLA VWISDGPLRGRRWPTIIFGNLVSIVIFVLLAVTPVFGPFSHRAPLYIVSTIGGSAVPL TMAWMAELISDNAEQRAFTAAAMNTLQYTFSAWIPLVWFQQVHQPNVTPGNRAAAVVG GLNVIVFTVITVLAHWEKVQKKRNGELGRASASLGSEVGVVEVGSEKKFALVDEEDVT PVVLKS LY89DRAFT_359004 MVYNLHSPWRKSAIMPIWSFQLILLSVDLIIAALLVCITNNTPA QTVSIGGKNVTIEAGTQSWDVANLVLAAIALLLTCAEIVLYVYSDLSPAIFLGSSALK AGTWTVVLVLDILAVSSNWEKGQWNNYIIYVVVGFAGAVYLILIVGVIYAVVVFLRQR GTVASNVEKYMMTTSDASFRNGY LY89DRAFT_601165 MVAPAVPEITEEVLHESIDSRTEVLAALRELGPPDLVQLIKQAP RNPGKQIGVYHHVTGVDASSSASLAAYINTLTYKENHASTTKIVEGVYCCYNAFSRLD MRVHVTIPGSVDSYCIDERGEKRTASDELWLETYLCSVLRAYSYADDGSGDTIRKIMG VRRFNPVTNTETEHRFLQAAEHLFFRGWQLGSDSVVQVPNNVSNHLTSGLLKYFHTTG RFASGINLFEKLRTRNVEVSSLLAKVMFMGNEEVQAVRVLHDSVKQSPMDYVMLDTQA DFLMKKALNPNAPDLKQDRLRMALGCADRSTVAAPSEFGTWARLAEVYVAMEDWENAL TTLNSCPMFTYQDKDAPIMPEPKEVYLPTLPETRLDEIDSEPESKYSEQVHPSLLSLR AAQYKGTFKHAYSILTEMTAKIGWDQLLKIRSNVFVMEEEYRSEKQPPQGEPTKRNAS TDVLRGSPGPPINGDDHTDDERSTNGDSTAPTLVAENGVRGSVADQTVEKPSHTVTPE EVKSGNEDVMTEEQLSRFNNKRLCERWLDSLFMVLYEDLRIYTIWRTEMAQYRAQQMQ YKKSAEEWEILGSLAERLHHPAEATEAYRACLSIRFSPKALTGILSDFEKEKVTRETV GAVIRLVTWQYRWYSEFSPELLYTIRTLIEDEGAVKVRSIIQATSLPQNVLDLTHHYA ALCAAFRSSGTEG LY89DRAFT_631177 MASFTALNIEPDDQIDDEVDNTKELQIEEALKLYQAALKLHSQG PASYPQAAAAYTTLFNSEIFKYPESETEFRRIDKHPELEYTDTYPLGLDIGAGGVDGA QTLPQILYLAYKNHGQFILDCVKSRLRLEPLPKPALDYQVQAAIDNFALALASDESDT ELWRRAARIGATLGSRRIARYCLEAAVEVDDDPTVAELDPAALEEGFAGEQLKEHLDV LGDETALSHPIMAPFKRKTMPDFLLKHMDPYPFLPDSTKLLDRGEEDGNQPEAAEQRK IVAVPERSWHSLGSKLCDVFLATMGGAEDYISTTGLVINLPPDVEQMLGVELAHTDDQ VMKDAPITESPTAESEAASATPVTGKSDEQSVEVITTTTTVPLAQEPRQSSVSLPTRK RSQSAAGIRETPEEDSSTQKRSKRIRNRDSTADGTADVTTQYAEQLKSFERADEDAFK FVGCLLQKLSVDDLGSLAELQEVLSPDEKTDAAEVTGNIVIRDLRDILRSWDDAKASE FINSNAAEILGSSVNSANAGLAAFLEHSKTGPQKLSNIPMLGESEGLADFVEKVDSSW MPLQDIVWEFVCTLMSSYHTSLWQEQLKFVIVRLITFLDSTVFDRIQSEIEQAKSPSD LQKLEDMVEGLFELHIDIYTRITNPNSVVPFETRLTTKERLDRWADLAADVVQSRTTT SSNDDLSLRYLWASVFYATMADNVSREHKVLCWSDLQALLQESGKPVIELQNNAVMLE ISAEAAEREVSKLTTMDFFFNLFQTDRSDPLAIIETLEPVLDPESACAVPDTEVVNGV EVSDGMDSTPAALREMWKFLQTGSTSLRLFLWQRLNEAYGSIGYSTKVLSCNLKSIEV IVGALRSSEYADLPPDHRHRRLLTWFKALDDLLVKALTLALNDAAACFEIIDERHIKS TCSALAQLTRILHAASLFDDEVRIGMTPLPQTPAYAQNGTFSNFTNKLREMQVRTWAL QYTLIKEAMTQNHELFPTPDNDLADYLALVHYALGMRKACKASNKIFLKMMKVEMTRF KHIEKWEDYLGQVLYDLFGLRLGVGLYELEEHGCPTEPLDRRTVLNIADQIIVLANRM SMKDLLKHELRPTIEKMQTAVGLAKSTPHMLHNLRNYTEYLKTSLRPLDMYKAWRGQV LVDSVPVTTPETPLADKGWYFLLGMINLTKFRSQKRLGPGAQTDDLRVGVSFLRLQLQ FTAEHWETWYRLAQCFDFELEEEVLWSADKINNHRAELTKTQRSAIHCYVMALSTAVR NADDSFATAEKLSEMYYDFGMRIYSSSREPFGMEAFWTDEYEKHMSGSTGMYKKPLHE ELSRYRAWKYAGRLFRESLRDKPNNWMAHFMLGKCLWKMYCKADEEWDEKLRATRPSV DAVLRAFVNSIRHVPKPRDSRQEPVLEPHYKLVSIVHKLVTMRALEPQAGADLLQKQP FAIRKGEDVTIADYEEWEPFVLESLRHLRNSDKQHWHHRMIARAANILFDENNPDYVQ AQAAKHEFRESIFTKTMHVMVWKPDAERPGRHCVYMERYVHYMTKLLWITNDKPNMEA LVKRVRKKGNDFHRFEKVWSEACNTYLKMIRRTSNIPPSMDDVFKNVSHEEFEILCDR LGTWTADPNVQHPALEALRESSELKKLNAGLMKPPPIDDLINDAWAVLYTQVAKNIPG PEPSSLHAAQTDGASASPGAAAVRAMGPMSLNNLVMDMNGTQISVPLTVAGSEASRPR KTGISRRDVLKRAELAVSRIPDIPRLIAPSTSRPRISEPSPSLILGSNAEQDQKQRSA SVSTPRVEAPSVQQDNEQGDQEEQEERHDDQESERGSVHDSADDESDLSDVPDMDDVD SATIFPNLVRRDGGSSDAEEASTPAKD LY89DRAFT_677816 MTSTPRLPPSILTMIVAELATERDEHIDASLKAEPNSSLQSSNW IDMHRQPSIEWTRLFSDLRLVSRTFNEVVTPAAYEIMNISDAYMDDNSSKWIKKNIAS SSRILQLPHEPEILYRQQTLGLLSQCKKLKHIQWRAADTSLGLWGSEPPKTSYLQSQE LASDYLEIFLQVTPEAKAYRFGLSEEWLVISDLGTMSESLDRVYREFVSDFSEDEASE ILDHFFYSKEIHMSPVSTDFPARVLDLLFLVGEHKYPTGYGASEVIFPPTRTLIVKCG GLSSQFDFIKSVDFAELRTLILVLNFTMPLVNIRDWDLYGRKKVLGKLLVTMTKLERL AITFVKNQYKMAPLDGIAGLGATLRKLSIRSRGRCDRQQPNVQDLVAILTACPKITDL TMDLYLEESTDCAKLLQQLPCFHQLQILILHSSIWPKSCTSRLDYDFNTAEGIMKTLH EEKQGVPFTMITIKLKESSSNDGKTRTFHSSMTPVGVYRQWGSKEIFEEGFEDSDDEE LVASGSGAK LY89DRAFT_677817 MSTMENNLGAEADTSSRKAKLNYDLWCMIFDEVLEKAKGNPLLF PGQWFEPDLIKWLRDLRLVSKDFSQILTPFAYETVELRRCQIPRRDLFGIVVSCSDFQ KNLSQFTKIMVLEVSINQNYLSSLVKLMAECESLRGVIFKTEDLPGSPVAEFMSKILE GFLRKSMLYRIWYDQGYCKIRSDNVSNHILPLPKNKGAFPKKIRNKKRESILPKEEGE PRALQLLRHPDNRAFSNSEQFSYRFPAVTHLSLIRYPWIHSASTYNNVWNFSKLEQLD LAEMNLLRFFEIVCAKDFENLHSLCLRVYLDERSRDIGMSVGSARAALRAIKKLKMLW IDHERWASIFPPILICEIGSSLQWLALHDRTGPVNRRSMSVKDLWNIRVHCPSIYCLQ IDWPWNSNKSEQHKFLEEVCRFVELRVLVFATAADPFEFAAVEAEAKTTYESQALGQY YEYVRPSKKPSLSPEYRATSRQIVGFLHEHKYGKPLEFVSIDQKLEYHANTTSL LY89DRAFT_766271 MNSNRDLTVFRSANPIALPPEVWYLIFEQIWLEAHIDPETKLPQ DWPEQSVAHWFGSLRSLSRNFDWAITPFVFEDTHLSSPEAMQEMEHKGTTTTQRSIQW GKFKEKIYRHVKYLIVPTTANTTMIDAIAKIISKSHKLLQVKLINGSLTKSQVSADDF HPALAAYLGAFLRNDMYFRIINHNSGLLFSKPEVRPVNVEGVEDLYAATSSQSLESES QPYPSPRLESLIVRDLRTKSQTFDFYTSEAFNFHFPPMRQLGLMSYIVTNPADEYALR WDFSRLDYLFLGKMDWVSFLTMVHPQSLSVLRQLILKHHIRNHNLEHPQDGMTHLFQR LNQLETLKVWDRRWHKVVPPALITQIGSSLRFLVLTGIFNTSEYVSMSVMDLESIRIE CPRISVLGIDWTNIPSERQQFLDTLASFSEVNTYTLYCIESIQVEGTPLDSTDPDYDS AWILIDYLLKNNRGKTICYSIVKLHHSVPPPNWHLMDEQRGTENPPNLKLREFYCTDG SGIERLGDVRVGDVPVVAKVQELEEGIEIDLSRTNSEDVLENEIQ LY89DRAFT_789672 MLVFRVLFCSLATTQAFRLAQPVPQPDISKRVTYNGGWALGLSG SSCPSDAPVACSTQSGSVNPMCCPSGQTCSGDIRPYCCPTSVDCSNVVENVPVCANST WNMYTQGGGNYFCCEPDQFGVLPLHGYAGICEPLDQTVASSLIATPASQVGGAAVTSV ATETGGGGAANTMTVTSTLQNGGVTTITTAISGTATQTQGSASATGTGLNTPKGGGTA TITLSRGAEIGIAIGAALVLIVGAIVLWRCVRAKKGRQTKMNNEGYAYQSGPAPMYSA VPNQGMQQQQQPYVVSPVQEYKTPVVGVMPQYGSPTPSPGSAGGYASPNSSPPPQFHQ QQQQYGSSPQMQGRYEAPGGPVSPPVEAPNAWERGIDG LY89DRAFT_359391 MFEALRFNLACINEYLLFPSPVRCVRHGKSRKLAPVQSHTSSDE SQPCNIRILNAPQPQLNLSFSQTSIYSSDPRSGSLPSCATFLLWSEDVLNMLGIVRMS ELKVRGIGSQSAKLCHPCRLRHRLIGVDGAAPLSWLSPSFGRASSCR LY89DRAFT_691682 MAAMFKRSFRSNDRVDKVVKLKKSYKDAAKLSKLQKSFDRSPPV GSTLTSPIVTFNVGKEGRLFAAHEDVLSASPFFAAAVRGQFLEAESKRIDLPDEEPEI FSCVLEYLYKGDYYPRLLHNKRRNSWELEDAVSSPNPENTGGRGSVEATTFISSVGEH LLKDTVIYCAAEKYGLEELKRLALRKQGLQSGIEVATILRSARYAYDNTPDSDSRLRA HYLALIIRCRKTFKRSGTMQTEMESGGKLFFDLFVALCNHVDDIVEMGNSKSPKTI LY89DRAFT_601189 MADSLTLDDYYAILQVPQSASLELIRENYKRLALKYHPDKNNSP NATKEFQLLARAWDCLKDEGKRREYDR LY89DRAFT_725727 MKSLTALLAIIAPVYAGLRFPCSELSVQRLDPVVEPGKTPSSHL HHIVGGNAFNATMLGDVGARATCTTCQMAEDFSNYWTAHVFFKHPNGSYHRVPNLPVS PLLGGSNGAVGGITVYYTQHDLSTDNLKQNAVKTFQPGFRMTVGNPTNTGKPQVGLRY QCLSASGGRSFPELSDFPPQACPGGIFTTHHFPACWDGKNLDSPDHQSHMYNTITSDG FVAAGPCPSSHPVRMPQVTFETVWDTSKLNSLWKTGDPNPYVWSFEGTGAGTHADYMF GWKGDALQRAMNKSECFYDGCGSITKQPMATANQCSIQNTVTEKTEGWLPALPGMA LY89DRAFT_601298 MITSTLSATPSAVNNQQSSSVAPSTCTSAAPNPTLFQELVLAPN AAARIALLTDDEFVFDFADPCKTTSKQGVTAGLGGETVRADHATFPALVGSGGSVTMG FLKGCGFNTPHVHPRSSELNVVVEGRLFASVTAENGARHMNHTLEKYQMTVFPQGAIH TEFNPDCTPAVFVASFSNEDPGVGQIAQEYFGLEDEIVEATVGGEITVDGADIDIFRN KLPANVVIGVESCLAKCGISKR LY89DRAFT_691685 MLKPIEADFKEASTATSKTSPNDPIANSFAQYKQFALLRCSTPV GRTAAPSATTPTLATSKQKGSVTLVDTSAKSPDLILTKWVDSEGVVRTRNTLDDAANQ ILFATNHTRLFEREMKRAFDLLVNKDDIREHILLNAATVKALRYYVTGDYPKFLVEVL ANKLITSDILVRIGRGPVFEQWMVKQLIFDAVMKSNVDFDKESADNAVAATAHFIANN DMVIGRLGGIGHEEWKPEGEDGLKKYFDEIDTSTTNSNIFTLFNQIILQFATPTNLGS STVEDLIARAGLAYAIIVQAATRAVEQLVRDTTAHAAKVSLDVSVIFAAITLGGSVTP SPYVTLVVDSVEGVIQTVITNYVNQPVTAITAVASNLSDQFANYVLKPALAGDSVPGL FPGNVSSAAGSGSGAKGQGATPAGMGAGAGGGTGKADASIPTPTLTLTPAGKRRQLGQ LFQSKYQDYIGMLDTVHTPMQKIQ LY89DRAFT_691686 MAAKDTLPYITTHREESQGSAKVDIESEAEGRVPTGEFSDVTLE GKSEDVCADCEKAHLPQRLVICHDGTWMLPDGAIGSMKGNASNVFRIWCMVKEGIVTD MNGKQWKQVRAPFIDGIGAVDRIIERIVTGATGEGPTGYEVKIRQIYKMCCDICPSRD EIFMFGYSRGAFILRSVAGLLHHLQALKPSLPDFDEQFLRGLRIYRAAQTKSQFLENS VFRHLSQNTRPAPKIKFLGCFDTIKAVNDSNLNDIGLLDSTLHVRHAMALFEKRDQLL VERFDVPKSEVSNKNDHSFQEAWFAGSHGNLGGAGEEDGLALWPLQWIVSEAEKQGLV LGFNRMPKSELEDPAKLIFPKGTHGMKQIDCANGINICIWNLAPVLDTEGFFPLLDSG RSSVFITTISDRAIFSTGGANSGKLIGYNPTARSGTFIHPSVFLLDETVASIANTVNA TPYCEALRSQAVFSVPNSEQNFWNIHKELAAKKEIQYPRIVVCGMTEVGKSTLINAAL GKKMTQESKGHQPGEHDIQVALESEDKSFIIHDSCGFEAGKESNYTAVQDFLIERRDQ PSFQEQVHCIWYCVSTDNRRIQGSDRKFKEIDFGGVPVLLVFTKSDRLKREMKSLAIE EYQIQHECDVEDISDIPNSDKAHVKEREKELYEEEMLRRSSEWFEEIGVFSDYVFVSG KSRDPESVNHLLDVSRESMSSDRMIQIHAHAQDVFVDRKLKTSITKLVSMLLETRPKV AFFNSNERIEKLVTSAIQTTASIFHYKNFNPDAYVLLIRTELWPPTPPESVASWIPGG IAGGVATGAVYGAMSLLLGPVGVFARAAVWVGGIAVAGVANAQKLGLGLIMACLDVLL VLERLFWYTGSQIDEKCVHAACLYYLRVRPKVFAKVMGRLGTNFLQAENWPNKLELEC LLTDIVKEFRYKRRSSGSSTVDSKEKSEIKGDLKDTSKVESS LY89DRAFT_691687 MAELSYRPLTTKQFRLLTLLPGAEASPIQTTLRHVNLEDKPKFD ALSYEWGPESTHNSEVFVDKKPFTIRHNLWLFLKRLRATSQSAQVIYADAICINQKDN EEKGHQVALMSEIYMRAKTVLVWIGEHDPSSELVFGTHFEDKIPRATMKIPFVNLLAV PVIVAGRVRRATSASSAERIAAWTAVLSRSYWNRTWIVQEFLLARSVVVFCGEDHMDR DLIFTKPLQDHFMRMMQKKKGKLEGIPRSFLLYFWKLNQKRHGIFSKGTPYFANIDIF ELAFNFQYTECQVMLDHVYGLLALEDVRKSVPITPRYGISGQILFIDICMLKLPRVTG NNSKVTDDGVWRVPKLFRGLRLTFDHAEEILDILFDNSQYKTQNNTVIATIFKGFDHF GLLKPERKQWILPQTKAFQTTDQIISVTRQWRATQKNNGKWYDLQHTAQIHEPPGLSY LQLDEPLWSHYTTLRDTTAAAAANARNAQNMNQQNINQMNQMNQMNLNTMNMMIVNNI NNMNTTGMMGPGGGGGA LY89DRAFT_742843 MLIATMILLSALQVLSFTEPTIPNWTYLACYTDTANRTFNSIPS EITLGIQSTVMTNEVCAGICTGYLYSGTEAGNECFCGNGIMNNGTVATDGRCTSACVG NATENCGGTWGLNSYMALNYVANITGPTTTASGFTTIGKPTAATSPILPSPTSPSPVL PSPTSLSLGPYMQTSWFFDGCFAPNLNTQYIFGISVYSTIQPCLQYCSTQSNVTSSLS MLTILAGLYENVCFCSTSMQAFSLTQDVGGSGVSCVAQCLQNSGVACSGVLAIEVTSL VPLLPLPSAGP LY89DRAFT_766283 MQSTTKFSADSLVEILVQPKPVAVITCTFVYIWATRNYNPTTAP ALPVESGPQPGAVPLSPSVEVQQSESHTVKVVMEVQEPGQPFHCPMQDSKLRSQAVSE VGEKVLPLPVYTPTARDGHTSTEKSSQTIRREKVEALKKEMHHIQETEDEDAYEEQEA SLLNDEARERLLIALDMDIGDDEIRQVKRAWNATKERVARARLTSGKLAARFRMAMPG ILPKRSTIALAFSALSVALGVIGIWFAILYTDCTSYASTFITTSTSVSGCIHFSELYM KWKLLEEIQETVVQIEGLCLKLSQLNHNDISALERSPYTSHTASKLGSFAHRLRVAFV TFQESIVPASGRPHLNNCPLTDSSDDESTTILTPAESEVNFEPPPLSNAMKLERLLAE KREIEKAQDDEMHKLDVCTQEMKEAQHRVKGVILFDIESGEHEKAQAEYVAASLKFLL LMQARDGQNLRLRRIALDIKSLEEEEKNKRQFEKKDI LY89DRAFT_742845 MYLVLLPTIILSLSTSALADCTREFLRNATDAYIKVQRDGAVKS PDPAFAVHLSPNLVYTENFAVTPLNNSILNTSLPITHNFSMHDTTACSTFTELVILPT NTTKPYLIHTRIPIVTTTGDWAFNVTGYLHYSSLETWDPIPAAKRDTRAVVQAGGDAY FNRFDNASVVVPWGAPRTRIEGGALVTGTLSGDNCTMVFPDTIVVTDRRYVVDEVMGT VDIFEGFPGLGRSQGNNPMPDSHLFRIEAGKIKYVHTVSHCVERGCGMNGTSPFG LY89DRAFT_691689 MEQSQKSQNALFQVYLRLRPPPPGQAVSERFLTVEEPGDDAPPT HITLNPPNDNRRRAVEKFAFTEVFEEDSNQLDLFHGTGVLPLVEGVLGPQGGEGRDGL LATLGVTGSGKSHTILGSRTQRGLTQLALDVLFRSISNNILDPATTTSLHATVAASDP SEAQVMSSQMFLDTMYGDPTAASRASSRAPTPMVGESYAPPPTPRRHLQRPSALPIVP DISNVTVDADSNAEYAILISMYEVYNDRIFDLLTPAIPNKSTKDFRRRPLLFKSTEQS PDRKVVAGLRKIICGTMKEALMVLEAGLHERRVAGTGSNSVSSRSHGFFCVEVKKRRR SRMPTQWGGSALTIVDLAGSERARDAKTQGATLAEAGKINESLMYLGQCLQMQSDLGN STKPNLVPFRQCKLTELLFSNSFPSTSHQSVPSTPSHHHSSQQPRNPQKAVMIVTADP LGDFNATSQILRYSALAREITVPRIPSVTSTILAQSTASHYFSPRAGRMSPTDTERET MEIAALEIARMSEEIDGLRAELLAEQQQRLEVEAHLESMTDRIMEVEAEVREECFSEM EETMEAEMRRWKASWAQEQDKSEEHIDRKLEIYTRSIDACEEDKENTPVRDISLESEN ERLRREVEILRREVQGRSPSKGQRAPLRDMHVGDLGSQMEKMRVSGGSQRVASGGSPV KKVRKLTAKKWDMMGEDDDLL LY89DRAFT_540925 IDNFSRPRAPSVRRRNEHSPKPLIHNAATFPLQIDEERTPTDLA SSRDRGFSTSSSQSAANVSAHPLRPSPSIPDYGAPESLYSRQRNLNNPAYRQRRDISR PDSNYSAHRREPISRPGFDEEEVRASFRSALTTNSSFLGTSGTERSSVLTKSSSATSI YGRDEGMSVDDAIGMYEGGFQTDSGIEDNYGPRPITADSEKKRISGLEEAMNDMLMVP PSNVIMRDSAQIFNTRGRGTPSPEMGSREEKLDVQETYFEPPPPSRPVTRAATQPIDE TRDRYGFKKKSQHISWEQYEAWNGPYKEHLARRWKKWVALMKDAGLPSDRPERFPPQS AKVKRFVRKGIPPDWRGAAWWYYAGGPKMLALHPGEYSNLVQKAEAGGVSETDDEIIE RDLNRTFPDNVKFKPDPPPGAARDSVRSIKEPETPIVQSLRRVLQAFSIQNPKIGYCQ SLNFLAGLLLLFMDEEKSFWMLNIITRVYLPGTHELNLEGANVDLGVLMSSIKDNMPG IWAKIGGELDGSSVPHSHLRLPPITLCTTAWFMSCFIGTLPIESTLRVWDCFFYEGSK TLFRIAMTIFKLGEQEIKAVSDPMEIFQVVQTIPRRLIDCNTVMETCYKRRNGFGHLS QDTIEKGRAERRKGYAEER LY89DRAFT_691690 MTQKRISKELQECLTTPPAGIKVNLVDEGNIHNWNIVMDGPADS PYVGGKFQLLLVLPTEYPFKPPKINFKTRIYHPNVTFDEHGSMCIGILKSDSWKPSSR IPNVLTAIQQLLLEPVPDDAVEQGAAQKFKEDRKAFDKEARDMTKKYAK LY89DRAFT_725735 MLSALQNPRQAAAQVLNFVLILSTAFMMWKGLSVLADSPSPIVV VLSGSMEPAFQRGDLLFLWGRNIFEETKVGEIVVYNVKGKDIPIVHRVVRKFGVGDEA KLLTKGDNNLADDTELYARGQDYLERKDIVGSVVAYIPFVGYVTIMLSEHPWLKTVML GIMGLVVVLQRE LY89DRAFT_660408 MSSSTALLPKDRKKDDHPIFLRVCHSPWSGIGQKALVGLRGLAA AYMLASFALIINYELQHLKHDWLTIFEFSNVQYLLQVMYHVIAFTWTFMHLHYPHHGS QEQTFATQVQKFLSPPRQHATTKNRTWFSIFYSAANAFPFTSTLIHWAVMIPSKKTSI PADQIFNHGWHTTFFVLNKWGVSSLLSFIEVLFFSSIRCPEPVGAHVAGLGALSFIYV GWQYVGYIVSDKFAYFFFDHKQVGWEYVIASWFFFAIVNNISYFFVYGVTAVRQQLTK KGDGKKSGYQQLPQ LY89DRAFT_766285 MSSSNTIVPYGRRLVPVVIDEIAQNDPERVFASIPKTTDLSEGY VDVTYDAFSRAINRAATFLERTFGRSGSFETLAYLGPFDVRYYILACGASKVGYKLLL PSPRNSLEGQLNLFKSTECEALLTSEGYNLSPQLISESGLTPISIPSLATFLAKGEVP HYPFIKTYEEAKNDLFIVLHTSGSTGFPKPIVLKHGWPSAMDAFNELEPIDGYEHLWL KLRNRRTFVGLPPFHAAGVITALLAPLWFNMITVWQPSNRPLSAVLVDEVLDASNADM IYLAPSIIEDMVQSPASLQRLERLAVIGYGGAPLDPRVGDLLSKKTKIVNTNGSTEMG PLSYWDKAPEDWAYFHYNPADKGVEFRPVGDGAYEQVFVRHPSTDRYHSAWWTFPRLE EYSMNDLYTPHPTKANLWLYAGRADDVIVLSNGEKLNPTNMEVTLRQHPSVKGALVVG QSRFAPAAIMELKGDVARTLTGPQDKATFLEDEIWPLVVKANEAAPAHARLSLDRLIF SNAEKPFARAGKETVQRGATVKLYADEIEEIYTRSEESQDGAHLPKINVGEDSNILEV ELGKLIQGVTKVTNLEREQDFFTTGIDSLHVMTVVRLLKKTLVGIPHEEISSRLMYSH PSLSRLAAALKIMAEDDEIANGDRDGSREAYMQLILAEFSSQLPKISPKLPETSQNLV VLLTGSTGSLGSYLLHSFLAFFGVLKVYCLNRSADAEDRQMKENAKKGLSFGWEDRVE FLYADISKPILGLGEHVYNNLKREVSVVIHNQWPVDFNLSLTSFIPHLQGVSNLIAFS SRSPRSPPIFFTSSIGTLGHWNQINPSSPLVPEIALKDPRIPLHQGYSESKWIAENLL DAARLKSGVSSAILRIGQITGPVNVGKKGVWNRNEWFPSLVASSKYLGMLPDGLPGQE DVGWVPVDIVAEIVVELVVGDCIGGESRPWTRYYHVCNAKEGNWGDLVGVVKEHLERK HRENIEVVAFKEWLRRLEDSAEGENIDIEKNPGVKLLDFYNAMRHDESGNVIMDVSET ERRSEKIRELKPVSAEWVSLWMEQWDF LY89DRAFT_555549 YDVLGVPKDARIDEIRVAHRELVLKCHPDKLQDQSSKVLKQKEF DEIQKAYEILSDPVRRAEFD LY89DRAFT_742854 MAMNEEDGALRQLQPHWNHGMIAASIAISLLGAFTSTQLFCQAR VSNGFQAVFVWTLLGSLTFGFCSIWSLHFVAMLAYELDIRIGINVPLTILSSVLAVVF TFAALASDMLFDTYWRERQKKARKSRKRRTTNGAKHRQRGRDSGVEIRPLLSHAEDAD QFFQDQETPESAPAEFQVDEVTDGNVSIEYNDEVNDYVSLRNGLGDDSTRKMFNFPTV EPMLNDSLQNSQPVQEPIIPESADSTTGLTDSGEPSVSGRSSSLMGSSSTSTFGISSI MNMAYRSTAPAKNIFLVTGETLYRGCTRKNIGKGFLWSVAITSMHYVGLEALRIPSGY CELNYTLVVLSAAISWIVCVVGCILISQMETHLKQQFLFSITATTGVACMHFTGMQAA TFWSRSPPTEARGYPPALATAIVSIAIATCIAANGLLAHAVTVSRNKLAEIVLTRKRL WRTIAQKENAEAAAAARSEFIASASHEIRTPLHHLQGYSDLLSQTELTEEGRSLLHAI QRATKTLSLITNNVLDWSKLERDSETICRPVALDIRTVCEAILVLLPNKDDEAEVELI VVVEPDVPKSIFLDETYIHRILMNLLSNALKFTRSGYILLLVEIKDGNLVATVKDTGP GVPPSFLPQLFEPFKQAQTRGSQIGTGLGLSIVKQLLHNMNGTISVESKHPEAEEVEL EHTGSTFTISIPVQSISTTNDLNIGMLPKIAIMYNGNRRSTEGLQLAWEKFGYDVVIA NNFGDLSDTDWTYIWADLTFLKRNPTCLQDLLKQKKWPVLVSYDTFNTLSRIPELASA PHFIPLPRPLIWHSFSQRIAAASKDPGKHEVARMVRFASKVDLVNGNGESHTQKPTEK NLLVLLVEDNPINQKLGQKMLTTLGYKVQIADNGQEAINQILGNDLKIDIILMDQSMP LKDGVTATKEIRELEAAGTLSKRHPIIAVTAVVSSQAQALFKAAGADDFLAKPLSLAK LEHTLAVHLPGKWR LY89DRAFT_359859 MTAAWHGFIHSWHTLAIILKWMCITIVGIPFAIIICVAIGLCAI AFAALCLASLILALMMVYYTFKAIFILLTRPPTWIREFRIARAERELMRLPLVNVRAP LEQRVLQTVPRGYFQTAPNTPLLPPPNTHLPFQAMVQISPPPMAHIGQAMSTNVASPI TSLPRIIECQVCLDEKLPEQFPPRNPTDNCFHETTDCCRECLARSITSSFESNVWNDI RCPICNLRLEHKDIAEFATPETFRRYDDLSTRQALESEIPNFRWCLGPGCSFGQEHPD DPTKQPLATCSACGFSSCSFHNVPWHVGQTCEQYGENIITNPTEEDKKTEKIIKRLAK RCPGCKRYITKVGGCQHMSCPCGKQFCWTCLHNYPGHTWGCTRR LY89DRAFT_691695 MSDPTSTTSFLPLDVLFNNPLFAGGIGLAGLGAAAALARRSAIK GASLIKRRLLADVEISKQDASYPWILAWLALPRPPGGFVTSKLTRIHQFSMKTMAGAV PNKPKHTQFFLQPGYGRHIIRHNNAYISVNREKQSTANHTTGEPHEIITLTSLYAQRH IFEDIFGEAHQLAISAHEGKTMMFASRGMDWEQFGDARKKRPLQSVILDKGVKERIVG DVKDFLQRQNWYVDRGIPYRRGYLLYGPPGSGKSSFIQALAGELDFGVSIINLSERGI NDDKLAYLFTKIPARTILLLEDADAAFINRRKPDAEGYSGANVTFSGLLNALDGVAAG EERIAFLTTNHIDRLDEALIRPGRVDVTVRIGETTRYQAAEMWNRFYGDIDHDGNGRK RFLDKLVELDLIPNESGVVKPKLHTSAAAIQGLFLFNKDNMEGAIEMAEGLIPRIYEP EPQKGIKVSA LY89DRAFT_601276 MNDQVSGDAPAGDAQDNDYVSRQGQKGGPIPVQSDGASVEDPID PNTADSDEQLARDDNEAIDQSNIVDGRTRGAKPSGGYREPGDEEGLPGPEDGTSSGAQ LY89DRAFT_677839 MGDYGITNMNSKEVSMLIFGLGWTSTFLVPLLQKAKIEHAGTTT TGRDGSYKFKFNYDPAREGLPTDNDDLEQYRVLPTAEALLITFPIRGKAAMEYFMKCY RETHERERYRVILLGSTGIWSIEGQDKWVTRHSKYDVKDGRAEAEDWLMGKGGCALDL SGLWGGERQVWHWVDRVAASKEQLKSKKSLHMIHGEDVARAIVAVHQKWSAAKGQRWM LTDLNVYDWWQLILGFGGEIDSQKGNDERAKTQLKWVGELMEEENVKALPRSMDQLGR CYDTREFWTTFGLMPTRARLSDVKL LY89DRAFT_691697 MSLRYRLLQKWPRCGLNPANLAPVHPLPSSPKTGTLQRCNISTL SLKRPKGKINTTRKTAGDSTLLSTLSSSTEASQGLRIRSFSTSLRQRMVEDFLKDLEQ AQKEPPSFYLDQYKQATPILMPPPGQIDTMDATTQPYKMPAGCHVIPPHLVDSRTDAE IQQDLATFKPVTSTKNFWGFYGTGFEEMPPHYRRNVETWVRRTSRQGWTVRILNQVKD HPLNVEKFLDTKDPDTVPKAFIDQKVGGDFSPQHVSDLVRFPLLLKYGGIYADVGCML IGDLDRLWNSTVGDPNSKYEILTFNTGDPTHRSLTNYFMGSLPNNPYFERSHKLLVQG LWNADGGKTSTKGMHKNPLLKGLPLMGDDTNMTIKDKDGNVTHGPQEVSEMLTDYIIQ GQAMGQVAGLIDHETGWNGPEYWAQHVYGIDYLQYSQLMNVYTQWNGQRAFDLMSLHL PAEGEQETPDQKEARTIVEHHLSNSTIVKLAQGIIVKVMGDTLGSLWQKNPGADNVEG TYAHWLRYGMLHWNQESIPPTQLFQVEPATKVGPLLRDE LY89DRAFT_742860 MPDSTDTDTREATEVAEVNAKKIRGRRSTKRSRTGCLTCKFRKV KCDEKKPECDRCITFGIGCDGYEKPKHKFKSSTQPLLPSHPTHYKLLPAPSGTLQFES ELEESYFNIFQNETASEISGTFPNAFWDRVVLQACHEERFVLRAVTALSAFNTYLKLA RDPDQSHYSRSLLSQHTDFALAQYQNALQTMRGSLSLGRNPRKALIACLLVCAFEGLV GNTLTALAHARSGQKLLEEWLGEYEQSKSYVAGISSPAPHIVEDQLIQAAGFFETQII GFFDHRPVETHAIMKTEGSETIRLMPSTFRDLEEARRYWDLMARRIMHFLCEISAKGK NVYKLSQQGPDDDDTVDLGSDLTHRAHGTPLDVLADFKSEQMRYSQEIARWRSAFSDL YSKIKRSSNRRLITGAHTLHVKARGLDIGLAGGMDTTNCAFDKHFTEFRDIVTISREI IRAKKSYSKAEFTFEYGILPALHITAKWCRDRVVRREAIEIMEWYGAREGHWDSVVFA DIDRCLMNLEEDGIEEDFVPERARVRITSLKANQGGRWASMEYIRGSRRTGEVRGEVR LEWARYVGNSPATQVQVNGMLRIPRGQPSTLELTHT LY89DRAFT_742861 MADANAPPAKKATKGKAAAAAKKTRPAASKVFKYSDPSTLANQE PVDVTFLMWPKSEEEEEEEEEEDDDSDDDKAEVGPLQAILDGFENEREKAYSEAFDSF VKEKGIFSGGELIDLRKKVSAPFEKRADVAREEAVRSGRMKKDEAKAIKGISDKDRKE KRKNKRMLQDRLNEIQRERNTAVHEALNKGGPKAMTVAAG LY89DRAFT_742862 MEKLKEVVVQHTLLTEEEVKAIVPNIELDDDGKEIPKPKSAAEL RKEAAQLKKKAEEDAFVAPRVVTEKGLPVTQAGMKRSFEIREEVDKRDPDMHEMYIYN DFAGYGVIEVMENVLLEFNEIIFKKEISPIEKWTIMEGLTTYLHIGDHMTWMMNDNSE AIEDVLNMMGIMFITALEMLHESKLIGGTSPLPDNVGIMTLLFLDFMSNTCSDFDLDW LHEIVRAADKYGVVLAIPEPKRIGVSQDQLDECGDECEERRMTAGLTWKTKKQHPGGD RYDITKMSKAEQARYKFSNVGDSGSGSEGDDDDE LY89DRAFT_702380 MAAKKTAPYGTWTSPITTEIVSGSSLSFSEVHVNPTNGSIYLIE GRPAEKGRAAIVEVKDGSSVDLVPADFNLRTKVHEYGGGAAATSSDGSIVFNDFYSDS VFLLTPTGEVKKILPGAPESKLRYANFDVHPNAHEIIAAVQEEHREKEVINSLAVINS KNGKAKTIVEGADFYSQPKFSHDGKKICWLQWNHPDMPWTGTELYVADWDGNKVVNST KIAGEARKESISQSKWHVDDSLLFCSDRTGFWQLYRSDGKSPDVQHLPVKGLEQAELG GRDYILGVCTYISLNENQLVVSYTKNATSGLTLFDLATKLTTELPAGLVKIEGTAVKK VSEKEIVVIGDTLDAPTGLYLIDITNPTAKKLLKSSTDAILPTSVFSPAQTIDFPRTH GSDLGSKSHAIFIPPRNPDFEAPSGTKPPLIVFIHGGPTSHVSPGLSLGAQYYTSRGY AYCYVNYAGSTGYGRKYREDLDYSWGVKDCEDTVSCIDYLAEKGLIDGSKVGITGGSS GGYTVLQGMCSFPQAFAAGNSLYGIGNLKSLGEDTHKFESHYLFALLFPEDTPEEEKE KIYHDRSPCYHADKIEKPLLLLQGDLDKVVPPEQSFEIERILKKKGADVKLVIFKGEG HGFKMKEHLQQAIEEEEALWKRTLL LY89DRAFT_359982 MSTSRQENVKWVDGLRGIASLLVVLTHLTRAFDDALFKPTSAEG ASPRLLQYPLLRILVQGRIGVPIFSLVTGYVCALKPIRLFATGNQERALLSITKSAFR RIPRLVLPASIATILIWIICQLGGFQVTKHVQSWWLIYTSPNQLPLGPAIVNLGLNLI TTWVREWNVYEPNQWTLLPLLKGAFLVYMMLFSTAFMKPKYRMMVELALFVYYYIAND PEYGIHFFFGAFLCDLSQYTPYTEWISTRKWPSRILSPILILIGLLLASYPETKPEWM PWSNFMLKLADYIFPPNHPDTPRFYTGLGLTFLALGIHFSDFVKVLLSNKYLLWAGKN SFAVYLLHGTLLRTVLVWMEYGFVAPADVVHEDGSVSEGKHLELGGRLRFWFWIPVWF VLQYWLANLWTGYVDPFCARMTEKLEKYVFNNEEEKSVLPQ LY89DRAFT_702382 MPDSSAPTTSNLVYFGSRIASAAISRLLTAPFRWRSWNGAPVVY KDQEPKPLHLKENDTIAHWIGEEDADIVILFLHGGGYTQPCSHGHMQYFHRLVTDINN LPDSTLSITVLLLAYTLAPEAQFPTQLKQATTLLSYLLKEGSRPASSILIAGDSAGGG LSLSLLSHLLHPHPDIRTLNLNAPLRGVFLYSPWVSFDTSQDSYARNAQKDSLVPNVP RKWAGMYLGTGEGEVDPGITMGGDSYSEPLLGNASWWEGMHEVIRDVWIFGGKDEVFI DSMYAFQAKFCMGWVAGGGVEERVVVGFVEAEAHIGPVMDVLLQYKKKSVTQLRFEEW LKGCLAEEST LY89DRAFT_631233 MSFALLKNLVFRQPKQQVYNKIEAFEGQSWASSPTLSSENSDVE SQQLRDEISEKLPPPSQKVEPSRFKVDARVISDAIIGLSDGLTVPFALTAGLSAIGST KVVVYGGMAELIAGAISMGLGGYLGAKSERDSYNATLHETEDLVNGNPAAIEETITSL FESYDVPEPTIRALTSHLATSPHLTSFMMRFEHTLPEPVGSRAITCALTIAMGYFMGG FLPLLPYFFVDGVRRGLAWSVGIMIIALFSFGYTKTCFVSGWKGWRKSLLGVRGGVEM VVVGSLAAGAAMGLVIAFNNHAGALG LY89DRAFT_631235 MSKPQPPPPPLPQKTTLSLSTRLLTYLGPPSLLLLTFSISPQTA LLSPLTLIPSTIFYRQWKHSPPSQRADLEPLIWTFVSAGTLGLAIVAAAQMAIVSIAS PLIFRSNPGLKDEFWVEFQRHSIEGLNAEVLGRRARIAASWQNWVFNGVLFFVGAGLV EEVLKYIPVVYARRCQEKKARAYVDYAIAGALGFGFVEALGFMYGSRNEAWSRFLLIV FERMVLGQTGHVGSAVLTALRAVRRDFRGEKIGIWGVIWPAVMFHGLWDFVAVSASAL EGNVGWIHPKGTGLTVGLIGMAIGMVGTILWQIKKEWKVLERELKLVR LY89DRAFT_789701 MQFLQALLAAGFLASSVVAHPGEHDIIPSMSEILKRSTLAKRCA GAVGDMNKKRWAKRQAKRSLEARKAENTTFEITTEAPFYDVLQNDTCVLTSTVTEGPY VWPRSQTLRQDMTEGQAGVPMLLDVGVLDMATCEPLENVLMGFWHCNATGSYSSFTKL SPDTPFEELLESMNITDSFEIGVTDLHTDETTPTDSDGMMEMKTILPTARSRVKNRVS TGQIYINETLSEQLMALEPYVSHTAINRTTNADDSVFDQDVVGGYNPVIDFVAMDGVD VANGIIGYITIGIDTTDVQTGSVSGAAA LY89DRAFT_360457 MKSSTIVAILSFSVASLAAPTTYTTPTRSSHFGKRSEQDAINDC QNTGNPSLCEQVVTAVSSWDTSVNMVNMFLNDAETSSGETLIDLENMALAFANLEPGF LSTLVGTPNISPDGVSAANTLMQVFSAVPGNLTLLINGDQSVSSTVDNINNVRCAQIL GNIGELWQQAAAAAGADVPGTPLGPNFCPKSGGNSGDAYN LY89DRAFT_601116 MYRIGVDVGGTNTDCAILNLNQLDTPSRGVLVSSKTPTTVDITS GIIHVVEDALQKSQIEKDKVLSVTIGTTHFVNAVVEADERRLSKVAVVRLCGPSTRDV PPFIDYPLGLRKIMKGPTYYLDGGLEIDGREILPLNLEQIEEAALDIQSKGITKVALV GVFSPLDHSGTHEERCKDLMMRHAPGLDIVCSHTIGGVGLLERENATILNASILAVAQ KTVNGFKKAMKGLRLSCPLYLAQNDGTLTEADDAARLPIKTFASGPTNSMTGAAFLAG LDKSRDDTASQVLVVDIGGTTTDICALLPSGFPRQAPNFVEVGGVRTAFSMPDVLSVG LGGGSRVGVDVDGKVTVGPDSVGHYLTTRALVFGGKTMTTTDIVVASGKEQIGDTELV RSIPADVIESVRKEIRGILQRAIEDMKVSSAPVTVLLVGGGSIVYMEELEGVTKCIIP PHHDSANTVGAAISKVAGEVDVIEILDGRDESAVLRSAEASAIQAAVAKGADPADVRI VEIEKIPLQYVSNKATRIKVKAIGKLKTLETVSRFSRDELSDDEYTLDTETEKEKTSA DPNSHRTRTKPSLEIDIGNYRPEVRDNVWYLSEVDLEFIACGTGILGTGGGGPSYLAY LVALDALRAGGKKMRVISPDHLKDDDLIVFGSWYGAPSVAGERLSAGTEIPAAIDALN KIMGIESFQALLADEIGGGNGLATFPTSVHYDRPTIDGDMMGRAYPSMEHGTPYVYGH PIAPCAMADAKGNVSIVMSAESNARLEKMLRATCIELGLKTSIVARPLPGSVIKNFVV PHTVSQSWYLGRAVHLARRTKTSYVKAIFDVMPGRQLFSGKVIDVTRGVSKGGYTVGR CLFAPLADDEVENEDSATEKQHLVIPFQNEFLYAAYTGEKGLNESEVVCTVPDLISIL EEDGEAIGSQELRYGLKVVVIGMPAHPLWTGDERGLKTGGPEYFGLDMPWENIKNQEA LY89DRAFT_360236 MGFMERFEVASETELTRWINDDIKPIEAGRRTWTFWTFHNYWIL VNSNISTYLTGSSLIALGLTWWQAIISIVIGNILATIFVVLNSVPGAYYHIGFPVVNR YVWGMYGSAFVIWNRILLSLVWYGFQAWIGGECLYVCLMALDPNLEKHIPNHMLASTG MTTAQFVAYIIFSIVSLPVIWIRPHKLKNFFYFSSATILIFEIVLLIWALATMGPEGF GDTISSTPTAEASSTGWLIAYGIISTIGSIAAGILNQNDYARFAHKPRDAILGQIFSF PLYAVICSIIGILVTAATQNRFGGALWNLPDIFTTLIQNGGSRERAAGFFAGAALVIS QIGVNVPGNALSGGFDLAATFPRYINIRRGAYLTALVSVACNPWRLVNTATTFISVLG SYSVFFGPMTGLMISSYFIINRQKINVDDLFVGNKQSVYWYTWGVNWRAIVAWICGVA PSMPGFVASVQLLVSVPIGLTHLYYICFLIGFAISSGVYCLLHFIFPAKGLNDFVASS PSPRSLMAEYQERWDGEISGVSDIDKDARVVDREINFN LY89DRAFT_360238 MFSTTVLLSVFVAAKAVFCSPVQRRAGYAVKETHFVPQKWSKIG KAADDFRIHLNIGLTQGNFHELERHLYEVSDPDHDRYGKHLSYEEVNDLIKPSEKSSS LVEDWLRDNGIENLSYSSAKDWVSVSLPISTVESLLDTEYHVYEHEDGGQLVRTSEWS LPTHLHSHIDSIQPTTSFMRASGQKTEFLQFPPWISADYTPPTNETISKVCNISSVTP ECFMNLYSTKGYKPKVPGLNTVGFNNFLGEIPIRPDAYQFLQKYHPGAESAAYRFPQI SIADGPVQDGPLTLNQSLEGTSREANLDVQAIAGISDPTPIISYSTGGSPPFNPDIST TDNTNEPYLVWLNYVLAQPIVPLVISTSYADDEQTVPKAYAERVCKQFAQLGARGVSV LFASGDRGVGINNTCISNDGKNTTTFVPLFPSGCPYVTTVGATHQFEPEVVAFRPGYF GADGAYREIYSSGGGFSNYFETPRYQARVVREYVKNLNGLYDGLYNKGGRAYPDLAAQ GQYFAYVWNGTEGVISGTSASTPLMSGIISLVNDDLLSNGKAPLGFLNPWLYTRGYKG FTDITSGSAAGCGVDGFNATEGWDPVTGFGTPIFPLLTDLAKN LY89DRAFT_766309 MRICLAFLSLLGLASAACPYGAEPEINGRSDASESLEQRGVQTD ADFLEQFVLDDSDSFITSDAGGPIEDNHSLKAGERGPTLLEDFIFRQKIQHFDHERVP ERAVHARGAGAHGMFTSYGNYSNITAASFLAQAGKQTPVFVRFSTVAGSRGSADTARD VHGFATRFYTDEGNFDIVGNNIPVFFIQDAILFPDLIHAVKPMPDREIPQAATAHDSA WDFFSQQPSSLHTLFWAMAGNGIPRSFRHMDGFGIHTFRFVTDSGDSKLIKWFWKTKQ GKASLVWEEAQVLAGKNADFHRQDLWDAIASGNGPEWELGVQIVDEADALSFGFDLLD PTKIIPEELVPVTLIGKMQLDTNPTNYFAETEQIMFQPGHIVRGIDFTEDPLLQGRIY SYLDTQLNRHGGPNFEQLPINRPHVPIHNNNRDGAAQNYIHLNTAAYSPNTLNNGNPK EANQTVGNGFFTTPGRTVMGNLMRATSSTFSDVWSQPRLFFNSLIPVEQQFLINAMRF EVSHIQSAIVKANVIIQLNRVSNDLAKRVAEVIGVAAPAPDPMFYNNNSTKFVTIFGN PLLTIATLNVGVLASIKSSDSLSQAASLAQSFQGAGVNVIVVGEILQAGVNQTYSAAD ATGFDGIIVASGAESLFTNGSSSTFFPADRPLQILTDGYRWGKPVGALGNASSVLKLA GATTTPGVFTGVDVGSFVTSFEGGLKTFKFIDRFPIDS LY89DRAFT_601410 MAARAALALGAFCTSLATVYAQSSSTSTPDATTSYRAIFTVPAA ADASVPLIPNIYDSEAVNAQDVCPGYLASNVVRTMYGLTASLNLAGPACNTYGTDIEN LNLTVEYQSDDRLHVEIVPTYVGDSNSSWYILPDVLVQKPTIDADANTTLDSDLNFVW SNDPTFSFQIIRQSTGDVLFDTTGTKLVYENQFIEFASALPENYNLYGLGEVIHGLRM GNNFTRTFWAADVGDPIDYNIYGDHSFYLDTRYYEINETTGNLTYAPNATDATGNYVS YSHGVYMRNSHGQEVLMRPSNITWRTLGGSIDLYFYAGPSQKEVTKAYQESATGLPAM QQYFTFGYHQCRWGYTNWSELQDVVDSFKKFGIPLENIWTDIDYMNQYRDFDNDQGRF GYVEGAKFLSQLHENGQHYIPIVDSAIYVPNPENASDAYPIFNRGNESDAFMLNPDGS LYIGEVWPGYTVFPDWIGAVLNGTGAFDWWSSEMTMWHQNISFDGIWIDMSEVSSFCV GSCGSNNLTLNPVHPGFGLPGEPGNIIYGYPEGFNITNATEYASAQAGSSSQASAAAA TAAPAPTTSTTYIRTTPTPGARAIEYPPYVINNVQGALDVHAVSPNATHHGGTQEYDY HNLFGTQILNATYHALLNVFPGKRPFIIGRSTFAGSGKWSGHWGGDNTSLFAYMYFSI SQALSFSLFGIPMFGVDTCGFNGNTDEELCNRWMQLSAFFPFYRNHNTLSAISQEPYR WASVAEASKTAMNIRYTLLPYIYTTFYLAHSTGSTVMRALAWEFPNDPTLAAVDTQFM FGDSLLITPVLAQGATTVNGVFPGVGSGEVWYDWYNQSAVTAGPGENITIDAPLGHIP VYVRGGSVLPIQEPGYTTKESRSNPWGLLAACSMEGTASGQLYIDDGESVVQNSTLWV EFSLTKSALYASARGLFQDTNPLANITIIGVQSAVNNVTLNGATIASGWTYNATSKVL AIEGLMNSTSAGAWVSDWVLRWM LY89DRAFT_742875 MTVTPLTFEESAGCMGACFEWADSYDSKDWARLRKCIAPTLHVD YRSFLDKEWEAMPAEEFVQMASDPKVLGNPLLKTQHFVGSTRWEKISDDEIIGWHQLR VPHQKYKDATMKEVLVKGHAHSTNKHWYKKVDGEWKFAGLSPDIRWFEYDFDQVFAEG RESFGEVEAAEAVVGGTKSEAVKVETTSIPVM LY89DRAFT_660456 MGIENLPEIEAGVTTVKPSTSNEDAEPQENYLHGVKIVMLTTAL MSGLFTIALDSSIISTAIPRITSDFKSLDDVAWYGAAYLLTQMSLQPSFGRVYILFPV RNTFIVAQLIFEIGSIICAIAPSSIVFIIGRAVAGISASGMYSGLMAIMRHTVETKKR PLVVSITGSVYAISGVLGPLLGGLLTDSKLTWRFCFWLNLPFGALSIAIVIWTVKIPS TSIGLTLRQKLERLDFLGALFLVSAITCLMLALQWGGILYPWSYPSVWGCLLGFGSII ILFILSQAYLKERAMIQGRIINQRTVISSSLYIIFLQLGMTTQTYFMPFYFQAVKETS AAVSGLHTLPYGITIAICSLISGTLVTKFGHYVPLVWIGTSLFTVGSALLTTLTTKSS FGAWFSFEVISGAGYGLSQQISFIAVQNVLAADDSVMGVSFVIFGQSLGGSLGLSIGQ NKLSTSLSQMLKKIPGVDAAAVIRAGATKIQSVVPATLLGSVLEAYDVALTKVFVLCA VGGGMALLCSLGLEWKKVDKRT LY89DRAFT_691711 MDGLLKLGIGPFQVHNFSSGNVTDQTFRFQPADFELIVCFATQG AVVWEIMQPLSGPSLMAEFLETRGEGIHHVAFDCNHVPATQRKAEFEGRGYSMVQSGL WHGKKGTCRFMFFDTEDATTTCFESYSFSEDWEDPESTVWYPANR LY89DRAFT_660462 MATSPTLESQHVEEKRIWYRGTLFNAFVIGAVGFLAPGLWNAMS SLGAGGAESPFLVNAANALVFGLMGIFCLLGGPIANRIGLNWTLFLGAAGYPVYAAGL YTNNRFGNVWLVLVGAVFCGVSAGLFWASEGAVALGYPEPSKRGRYMNIWLIFRTGGP LVGGAIVLALNHAATQKTKGKVGPKIYLIFIALQGIAAPIALLLTKPEKVQRSDGSRV KIVHETSFAAELRALGRAVSRRDIILLLPVFWAAYFNQYLGNFEVYYFGVRARALIGF VGNFASLLSSQLISLLLDYKGFAVKKRIQIGFYYVIFLHLVAWIYAWVVQSKFAREDP DLDWTSKNFTLGFFVILLWYFSQQALQNWLYYFVSTKTDNISELSRFTGILRGQESFA QAVSFGINTRDWYGGRVPLAVNTILLGLAVVPTWLAVKEHTPVEIEKKYGSEEDYVET TSEVLGGDARSKLVVVKSNAH LY89DRAFT_691713 MTASRDPIVQELQSFSTCDVSDALLKLKYPHGGFLSDLTLWSPE RQMGETKIIGPAYTVKYVSVNDKESPKHTGHYIDTIPSGSVVFVSSPKTVNAVYGGLM SNRAQVSGAVGTIVDGRVRDLQEHRDLKYPVFARDIGTASPYEVVRVSSINTTVKLQS TEQDASIKPGDYLIGDLNGVVCLPREFAEKAIALMAPQVEADLKIAEDIRKGMTFVEA SKKHRSGIPKP LY89DRAFT_691714 MTPKFTVYVLDPYHPDAIKLLQSDPNIKTILPTDPAQTCWHAHA DGIILRSETVLSAKDFSQAKKLKVVVKQGVGVDNIDLDAARKHGVAVHNTPALNSESV AELCMALTLALSRRICEIDRAIRKGQRVVRSQVLGVSMFRKTVGVIGMGNIGKVVAQK WLGAFECRILGFDPVAPKDAWNDVKHDRVWCLDELLKQSDLVTLHVPLLESTRGMIGE EQFKDMKMTAILVNCARGGVVDEAALLHALKEGQIWGAVLDAMETEPPTLESYGDLLA LENVIMTPHVGASTVENQCRSGTVVIDTLLKVLNEETAPGKVC LY89DRAFT_712078 MTSKDIVTVSPEKAHVFITSVLSKYGLPSDRATIVADALVLADL RGVDTHGINRLGGYLDRVKHGVLDPNPDLSFEMKTPVMAHLDAKNTFGFVAGSLAIDK GIEIASKYGFGIIAVKNSNHYGMGATYLLKAIARGYGAFAFTNASKSMPPWGGKEPLF GTSPFAVGLPGGKEGDFVLDMSPSVAARGKIRKAARRGEPIPEGYALDIDGKPTTNPE DALRGVVLPIGGPKGSGLAMMMDIFGGLLSGAAFAGNVNDQYKDLDHPQGVGHWFMVF RPEMFLDSSEEYTTKMDTLLSRVRSCEKAVGADKIYVSGEIEKELEAKRRREGIPYTQ REVNALHKLAEEVGSLVRL LY89DRAFT_766319 MGVDINSDHIEDVAGSNNTDNLDASDYAAPEIQKETQVLHDPEY LQAQKRYLWKLDCIILPTISVLYFFEYLDRGNIANAKILGLNTGRATSQEGVGSGKKS LSGTQWQTVIMIFYVGLVLCQIPGCIGYRVFPPSKWIAFGVCGWAMTSLLQDAALNYA GLLVCRVFLGVFEGLFGTGIVYYLSLWYHRSEMGVRVFWFLGPTAIAGAFGGLIAFGV GHIKSSVTPWKWLFLIEALPCFLLGLFCLYWLPDRPLSNSRFKGINQEIAQARYHSEA FDKAGAIKKKHVIWTLKDWRLYAQASIYLPTAALLASISGFLPTIVANLGYEGSKANL MTVPPYVCAFLVMYGVSWNSDRVKERGIHISVLMVIAAVMYALLATLPESQLHGKYAC MCIAVACVYATYPPSHAWAANNFGNETKRAIGMGVYTAIGNLGSIAGTWVYPANEAPQ FQEGHFICMALSLATAMLALSNSLLLGAINRYRDKKHGKPVSGASIDVTELADESPHF RFFT LY89DRAFT_766321 MAPIDYDTTLPLGAWDSHVHIVDEDIFHLHPDHPYRPRKADLND LLQFEKSNGIEHVCLVAFSVYGTDNRSILDALVKLKGKGRAVVCIDPDEITDKELRSM HGLGVRGVRLNLRTRSQTIDPILFTKLLRKYADKIRPLGWALQIYTSLDQIKHIAAVI PSLGIPIVFDHLGSPEGTRPPKALLGYSELMSLLKEKLVYVKLSGTYRFPNTPGIGEY VEEILRCAPTQVVWASDWPHSGGVSRNPGGDRKKVQEYRVVSTTDFIVRCKEWCKYDE DLIQKIWVENPRRLWQYDAQD LY89DRAFT_631273 MMSSSTKSVQHRRRKLSNATTASVAGSERTRDRRQNKTLTCTNC RVRKTRCDGTQPGCKTCEVYHDECRYERPPPMSQIIAMAKRLQEAEDIIANLNGHRST TSTQALGDDIVATSENASSTRTSTPKPSVAEDEHLPAEHTETQIDGITSYKTVPGDSL LSDLSLDEHGKICYYGPTSAVHEPPNPENHQSPSVDLQTRQSKLEITSMLTSNARELR AWEEFALGNLAFQSDLPRETITQLLQIHWTWISPMFMWVYRPAFMRDMTTDGPYFSQF LLIVLCAHAARFQNGQIGHMLISRARLLLGTEIHRPSSIPTVQALLQLSARDLAFGSI SQAWLYSGMAFRMVSDLGLHQSTAKMVELGHLSSEDLEIRRRLFWSCYFWDKAISLYL GRMPALTELPSDPAPELMDQFGETEYWSPYYGQTGNLSEIPGGSYPPMKSHSVSCFEN SCRLAVILNHIILQLYSRRTPTQVDLRSIREELDAWRSQSPHHLVYDPDNLPEISPPP HILTQNLLFYTTIILLHRPFYSSAVHHLACRNAAGSIEKLLLLLEKTFSFNRITYLMA YCIYTGASVLIQDVKAGDLDADAKMKTFHRALKGGVLTCPVVQRSIDIINNSLKNSTQ SSGSDRESHRDGFLRNYLPAFPYSDNYSEFPGYADLGITDFDANLLECFPENHIDINL ANEWEWPFNQTL LY89DRAFT_631275 MGFTDVEDLNSRQFTLLTWFMWGTGLLLTTLRFAVRYHYFRDFF WDDFFQVIGMLSLTALAVVTQLQRDKIYALKAAAPPDGDPAERTYKHVITDATRDQAK LQFASIFLFWICLWAIKGSLLMFYRRLFYNLPKYMKWWWAAAVACIATFIACVLTNFL ECLPMSHRFELDLQGSCPTDNGIRFVATTTTLDILTDIFLMALPIKLLIGLRISMKQK IGVGVMFTLSILIVIFSAIRVSEIYTSLSQLDPNLNVSLALWSILEAAVAVVVGALPS LKSLMRGKRDSSYGSHTRTPKGPYLRSTNAGTKGSRASKALDPTTSASENGRGKQRDV EDLQGDEIPLEALPPTTPDNLKRSGIMRTRDIVISSYTPKSMDSRDEVTIESFIRSG LY89DRAFT_691717 MKPAPALTTVRGFHTPLHRSEDEDSDYGTNTPSRRHKINDVVSA NSSPMLRGASSPGMSGISSGIKKLQKLQLDTLNLDSDSRNSSFVRSRSQLRSQPQSST GTCTSDGFSDDETSDITSYEVPLENDFVSESVVEKPLFGAIEGGLVQDTLARKMTASD FDPLRCLGKGTFGTVLLVKQQATGRLYAQKQFKKASLTVHKRLIEQTKTERSILESVN RHPFVVKLFYAFQDHEKLYLILEYAQGGELFTHLAQERMFTEEIASFYMAEMVLALEH LHRNLGVIYRDLKPENCLLDADGHLLLTDFGLSKVAVDENDRCNSVLGTVEYMAPEVI LGQKYGMAVDWWSFGALGFDLLTGNPPFQGQNHAKIQERIVKQKLVMPYFLGPDAKDL LTRLLRKEPNKRLGSNMPKDMLTIKKHRFFRKIDWRKLAKREIEPPIQPLITDPELAE NFSADFTELALSPVLTSSKAPWSSTSANENNPFGGFSFVASSSMLEGNGFADAGLLV LY89DRAFT_712082 MRLLNVATLQLEYFTGEVGNGIPEYAILSHTWGAEEISYSEYNS RESLTKKGYEKIMGCCRFEGYQYIWIDTCCIDKICYAYLSDVGSAEDPSIEGSSFDRS LWFTRGWTLQELLAPAEVIFLSSDWVEIGTKSSLCAAVSRITRIGTKALEECLWNEYS VAQKLSWASGRRTTRVEDKAYSLMGLFDVNMPLLYGEGQKAFFRLQQEDLKAIRRSIH LCMVSIRRATFSCAVN LY89DRAFT_742888 MRNLSFLIYLALAVCISADWSTETWDLIVVGAGPAGIIVSHRMA SAGLKTLLLEGGRTSYGITGGDLDSRRPAWLDGTNLTRVDVPGLYKSIFSDQGNLRCT GLTNAFGGCTVGGSSAINAGLFFEPPASDYNLYYPAGWKSADMKNATRRLYSMQPASN LTSQDDVRYLQTGYNAARQWIIFGYPIFDYANGQRGGPVVTYLQEALALTNFHMETGA LGTRTERVGCTVTGVTLINDRAGALRSPALLMFSGIGPADELERLQAAGKLSPDLPVS SWLNHSSVGAALFDNPNTFIALQNGSIQSYTYSTGPYTFASETSVFWDTLARPDGSIA GFRGTIDSSGFGDFNGNDAMTLNVYGTSGLKSTGSVVLDSNFIPGPDGKVCYSNPQDA EDISGFIYKIFQGLPAAGLASLNIPQNSTAKEIEAYITSNVGEVNHWSSSCRMGVFED TPCVTNDTTVYGMNNLDVVDGSIIPPLTVNPQFGIMAAAERASEIILRKWYGLEILRR AHENREILFKKASSCLPNFPKRSTDFYVAILPSNKSVRTGEWKDFFWLRARGKYTVAQ VKKFYAKASGSNVIFMDGARVVAEHTQIQSLNHLKTDLVALWTVSAERRPLGEVAPSK QNSLSGNEHSSRSTGRTTVGSAKACSVHLDCQNHFLISMTDFTPEINKHLTSHNHGER LRNRTLKIRNLRRLLREINADHPTSEFTVSEAEAAVSALPIIKCSACLEWQHQVMAQE RSSDAIDSVEAHIVSAGHKAKLHKFAPARLDSLSNHDASGAEEMETYHNARSVLKRKR AESPDGGTQNFQRSSEDAFTSSGTSWFQALASEYPEDRFQVSRIGAEEMFRCLDCFRT LSSKADIRNMKQHLDSGGHKYRVASRQGKFKKQKKR LY89DRAFT_660482 MAEVKLYRQISRHSQKFTWSWYIMPLATLGVALILDNEPHRFHA LTTIGKVVYLFAITQFVILTCFVTWRIFTETGWFRRSLSRPSEALSFATTWLSFGNIL AGGHSYAQPREGSHLANAMIVFFWIYVAIAYLLSIVLNVLLYTGEDHLMLPNMTPAWM LPIFPVILSGTIASIISTNIEPVHALPILIGGLTFQGLGTLISLLVTAIYLHRLFRSG LPDPGVRPAMFLAVGPPASTAIAMIRLADNIPTSYSYFSTHPNSAYILQVLSVFIAIF FWAYAFWMFSLALLCCLMSFPRLPFHLSWYSFVFPNCGFTIATIDIGRALDSDAILWV ATAMTLVNVVVWLFVMGAHLRTAWLGRTLS LY89DRAFT_725770 MVSTSKHVFSLLKSKPYYDTELGSMRRCTAEELPILKNLSMKRL VLAPGSIREPHWHANANELTYCISGKAFVSVLDSGSEFSNFTVEAGQMFHINTGALHH IENIGDEDAIFIVTFRHEQPKDFSLENSFGAMTDAVLGNTYDHPSSAWSKIPRDTKMK YIVKRKGKADVPSTAKLPDSHKFDVEAMIAPTAAPGVGSAKTARSQYWPALKNIAMYS LLVEEEGMREPHWHPFTAEMGYVHKGHARMSVMDPDGSVDTYTLEPGDCYFVPIAYPH QIEVIGKEEIHFCIFFDQPMPGDVGYRASATALSREVLAATFGVEKEELPEFPFTPKD PLLVKRLNPVDPVD LY89DRAFT_601279 MPAFRRIGKKKSSESHERDSKAEESDRVRDRSVHPLTQDEIDEK PWKYIGYRGYTDFIASDNDFLMVRRFASVSARIVLSLQDQVMVLEEQLNELDWRYSKQ EEEDVNNGTFRDDEEERVELLESLRLKILQYSKLNLADEFVLQQAEIKKHPTASPRDI NSLQNWHFNHQYRAIDGAEQAYLSHTRDLYSVVPKEKTPLRRLLERSATFRIHWLWKE EKPPELPLFERDNPFEVLAATAAYSAVLMVFLQLNTPAVG LY89DRAFT_601204 MSRNFRQKSASSPAQKVSSRGKQNIEESSDDDYGGVDQISDSEE DEPDVEVVEEQAIIESEDDQSTPRPFQDEDEQQWEGFEFHGQEEIMGQDTAFFEEQLL ALANASNSDLFHNASEDEAISTRRVRFNLSDDDTGEDEDDGFFPDIFLPKDELDASFR REVDRDKGEEMMSDGSWEFHDHELAEEEIAKAIEENEDEEDTDSDDVDEDSSGYDIPG DESGYTTDEDLPTAIQFAPPRALHREPSQASQSSAEEEIQRTPVRRLPKLGVWKVGNK PYIIAQNQVKLFMFNRRNMQRRFSFDAMAPTPVPTPSRNNSIVDSSPMISNSGNIMMS AMANFGDPFTFGNQFLGGQALGPPEAFYPFTSIDANGNMAQDSSSVYDEDDLDAGDMS DLNEFFSFDAAEVEDEKGEDNEPSSDSPGGDIDASSTPARPTTARSEDQVHPLLDHFD KGVVGSFRRNQNRHQLLTRNIASRDSLAFGGSHLDGTIRGVKSGRLHHANTPITPARK AKNLKPMVPSSPASPLAQLNRKRKYNGEEFNGHKRNKSLI LY89DRAFT_742893 MRITRKESAGALLASLASTTSALATFSPGPSYSVGIPSSSEISS SSTGALYFQLSAPTSYQWVGLGIGSQMSGSTIFVMYTDGTGNVTISARDGGGGHVEPT PDSGIQAGVTLLAGSGVVSGKMIANVKCTTCKLDSSSSSTSSPWIAAWNTGSAINSAS LSYTIAQHSTSNYRQFDFDLTQASISSDANPFVSSSSTTGSSSSGTATGSSPSSTASN GSSSGVNSGDNSGSSGAKVVGTSFTKIANYDKAHGIIMGVTVVLLFPLGAIFMRMGTS AWMHGAWQLVSLVALLVGFGLGIRLKDLRNYVGAPSSYPFGTVAFGPFFGGDFPGSSF GPNKTLNSAHTIFGIVIVSLFIIQPLFGIIHHIQFKRNQSRAGVSHLHIWYGRIIMAA AIVNGGLGLKLAANTKNGEIAYGVVAGVVGLIYILFAVFKRKGTPLMKEAMVEHGMGS ADERESQRRHRRRGDGRRGY LY89DRAFT_691725 MGALALGSLLCGAERAPLRRNGGGRARAAAHPKTAPLRRNGERN TARVGHRFHLDSDRGTALPFADSVSGIPL LY89DRAFT_766367 MSVDGEIEMIYQYYPPGVKKVIASGGSAFIGEVDESTVLKYPLA PGREAIRTEIERKLLEIIGPHPRIIGFKGFSDMRIYLERAVNGTLAHHLLESGLPPPS TRQRLSWCREAAEAVAHIHSRRVLHCVIQPTNLLLDDEFHLKLADFQGRHLSEDGQVL LDGWSGEPCRFFCPRNDFFDANIITDLFALGCNFYFIMMGHAVFPDIKDGEDGFREKV AARFEKQEFPQDFHACSTVTLNCWKGLYGAAGEVVEEIKALEQKLED LY89DRAFT_691728 MDERRGLRITTWNVNGIRNPFGYQPWRQERTFAAMFEILEADIV IMQETKIQRKDLQDDMVLVPGWDVYFSLPKHKKGYSGVAIYTRNSACAPLRAEEGITG ILTPPNSTTSFFDLPKEQQIGGYPTSSQLSDCSLDATTLDSEGRCVILEFPAFVLIGT YCPATRDETRDEFRIGFLNALDARVRNLVAAGKRVFLTGDLNIIRDEMDTAHASEQLK KQDITLEQYISTPARRLLNQLVFGGRVIGERDEGRERSIMWDICREFHPTRKGMFTCW EQKINARPGNFGSRIDYVLCSENWKDWFSESNIQEGLMGSDHCPVYVILKERVLIEGK EVHIKDIMSDGMFKEGIRQQEWSTKNLLPMSAKLIPEFDRRRSIKDMFSKKPSLLKGG SSISNDTQESSVKGDGTSTEEGSTLNTDPAIVGEQTNSRDEPTKVQPRSQVPSPENIG IHTPHVKSPPDAQPPKGTKRIIKTPFATTQPQKKGKSLSSSKATGSAKSQPGKGQSSL KGFFKPKQPQVDGTTDAESIVSSNVCESTRTPTSASATPTPIPLVADMHPESSKDLTP KKFNPDNEDSVIDPIVAKESWSRLLGKRIVPRCEHNEPCISLVTKKAGVNCGRSFYMC PRPIGPSGQKEKNSQWRCGTFIWSSDWTGD LY89DRAFT_691729 MCHQQSEPNGEQAFSSSYHTDSQEHSALSPAKSQTNGVQTAMTK RQAKDLANTLNDISRTQAGQSFKAPVEQLWPGCADAYAAKIPNPVDLSIIELKVKNNA YQSMDDLRADVILLYQNSVDFNGIDHIVTSAALEVRDTILKAISDMEEGKWSTRASVR GV LY89DRAFT_725776 MSQFSSLDAGASVQPQAEVSHDGLPPYSDEANNTAKEGVQNVLP KYPPGLPMHLRSGRQVAAQQHSERPPFSNQLPQPEAQVQQPFDNQLPQQPLLQQIRFT DVDVEIIGNHMAGVCHAMDACRQVLWTRMGVCHALQNAFEDERRAHSDYAKSYEMLYT QHQNALSELNTLSAECRSLSGRNDWYCRQIFFPPKDIEGVGIFQDAGPLQNDPLIAAL AEAPALFPAGKTPDFVVSLGTGRTPVAENTTVTPGNFWRNRASPRLWRMFSEKMRDRD IRRLYNEDPRYHRVDFEFSDVEPRLENIKQMRDLQSTPCKGVTIMKAIDNIARSAISC LFYFKLETLPVAHHGATRASGFIRCWIRQSDPAFQTLIQQLTQASAAFYLNERIIPGD IDDPSFWDRDGNFSKHIELHDGKFSIKPRQGVNESCNISGSPYCTEKLIAFQGLNAVF GRADRRKRKRRAKNNTTARQKRRLRY LY89DRAFT_742902 MSSAIDYAPMYPMSQQALPYAQPPEDWQLPTETLGRQTPLSQPL FQGQQSHLQAYDPFQGYDWGPQDPNTALDLLALAALDPELPPVPKEHAVSAETDSRIA QLEARIKRLEGTMKEQLIEFLEGVELYVDKLMEWAKLSKRVVDNFIADIKVAKESLGG NEGRGDGAV LY89DRAFT_677879 MDPEINTAPLQFHEEDGYSMNSLMNRLDEFQHAQQWECLERLTL ENSWLEQHVTRHREKSARVAFLLREIEGAANEMQRALEACCSEEVAADRAWLAFWHVR EKQGAASGNGSWI LY89DRAFT_742903 MSDNIDVGLQALFDTEPEFDKQVPRKAMASLDPKDSLGGGGFGR AKVNDYGGYTPEATPEPNPSKTSTETEPEITVTRVDDDDDDIVDHDPEMIQRAQALQR VSSTKNKKKILNLPSDWSSASISTPEGKEQALAAYQATILLIHPKLYRKTSDHEFLKV NGAALSYGVPMEYLDLISNWDGQGPLPRPAGSGFPTPNGTILKILYEATDYMQPLWLD PSNLTVLQALSKLNERIQAQNEKDGNTRDNCIIDCGTIGSAYLNGKPIRDALLHDLNN LSLMMQWKEWQDKMNSFTNERKYPNWTPPTPTKDELNNVNEAAAQAEAQISAQAAAAA AQAEAQRLAEEAAARKAGQTLNAGGSSDTQYPWNTLVTTDGRRVIAGRQHTKLGEDQG RSTLIVASKLGPDPIYDLISGTSIKAEEEIRFFAMLGIKRLAERRSNGEKAKTWTWRD KPNFIKFLWCTKGRRIMATFGKGTKAADTLCCIEMASGIEICTRSDFGDILGKGRADK EITVYCER LY89DRAFT_742905 MGSQGREISDDHPPPNNYATQELPQAQTTATTNKGSDLDHGHPP GIPCAAVQKTPERRSPQVAIKAEVLTFPFHKDVDLIDLTMDSDSELEVEEIANPALRV RTPQPNILSLPTRQVAFVAKNSEPKVEDKNFSPRPEPHTSAEVVNSEAVQKNTSGLNT VGHRSRPEKASLATSLEEPEVVETHVPTGAGKRKASSPTTGSKRHQVPFSHTARQVTP DAKAQILSTSSPSPDPGASSVLQESNQPSSLKRPKLSFMSQIIWLSL LY89DRAFT_725777 MERKFSGGVQKDHSSRARAEAEAEAEADNNPSFGSEEPEFLETN NHELEHFGNNNQFEHHTILACVLPNNSFTDFAFDDNAEDEINAKQVMDAFMEREREQE REQERWFFRIVALFFFIFLLFFFIVLLVLFLLGWGYVGYRY LY89DRAFT_725778 MLSSQEKQTKSPRARAEAEAEVEADNLSSGSEPKFLGSNNLVEH FGNNNQFEHHAILARLPPNNSFTDPTNDNTEDEMRANEAMKFLQEELKQGRKEREQER EDELRADEVMKVLQEELKQGRKEREREREQGREEREREQKQGREERERERSRFFFITT LGFLLLLLLLGWERYIGYRY LY89DRAFT_360971 MRKVRAQGSRLLPKEDQTKSKRKRSRKTNDNKVSRSENLMKEVL EETTFKPWLELPNEPNAKACKEYIASIDQIHDEELRGFTRQWLAKADAAWPTCANTCL ERFMHTNNVYISSVDQGTYETPGTIAFATQLRLGTEIQTIDQQDVAVYSRRFFEQVKA LSFSLVGGPHFLLHFDRHQGILRKTDKFERMWERRYEYWSIGPPTEEFDDEDLEPSKK RRRSKPLQSDTCIRGDPENVERIQQSDLARKAACALALEGTLPDLPECTIPPAIEHGK SGLPMYNNEDVTMVDRPSLSSTSYCDHNSSVSFDLEAGGSSCGASATSPTPQPSESRR KNTLGRTRPDMDGSSMSSSNTRKQSLILKLGACARTPLTTTSLTSPNHRSPRVKTESP GGHISGPPYRLQGGSKETLIVLGDEEESETLHTLDSRIGDDSGDQDMDQGNGEQARAA KIVKEMVRKWNEDRDRHRAKSLSRIATPEVNIENDGSTNGTVPPRQSKIVTSTPSNTS APPAQLEMPHIASTRSTASAPVSIPSLGSKKVIGNPGPGTIHTSNIESTSKEPVGKTV ERGSDKHTEEHLSRRPSSPHDSVKRVREQVLGIFAADSDGLPSVKSTQTLDTILQNGP NVLGETTASTASPTYGQTSRPRTLGNFDVSVSSGIGQKSFQTDPDPACAKSPQTEKMK HRPDDIASNVVQAKVETEGQPKSYQEKLLELKQKLSSAPSSPTFHTPSSPDRAIQAPN TEQTPQASVSSPPPPLRSGSFIWIRKTMPDPPFAKEPVKSETPPGSALKEPYHDTSSP SINSGVSTSLVAQPDTAPPPLGSKSNIPLVEAVLKSHSPSTSVAVNTSVETRTRNTPA LPPQAQTPQPKIEPPTLWPKLPATVSAADDTAMPKVYKSPYAIVPTPTPSSTKPSPSD QPTIPDTKPNLERSPFNPITLRDPNFKTLELVIALEDGSINFDNAFPFQHAENHKLEE FFDFCSTVSQVPIFRLKSLTLTLAFGKRQNFSVQRTGDLVKDEQLWKKTKNIILVLFK NAQKVEKDQDEFQVLVEVEMEMEMEE LY89DRAFT_742908 MEPDKTMLITALFEILGYHPGPRKEDLEKDLQKFLLNYQASGNP IPWTQDLSTEAVDCASSFLHEGQRAEKYWPATFRNVVSWPTDRKW LY89DRAFT_742909 MNHNRPVRPSSGNDSTMYDEPRTEDYYRGASSSQGYHNSRQDHH HGHQQARGKRMESDRAIMIGSARDPREEKAEVAIPIEIEKTISHQRGTEVAAVPHTLV VLQIAMLYSREYLLNGHRKTLAAPFPLLTDLPPSQPASTLCQELAIVAGLSDLQILQE LQHGLRLEGLEEIRLIKDKRTGQSRGFAFAQFIGISEARRFLDRFYPTVQLYGPAHSD LAATNDPSKVRIAYSRDRDDRDKAGKGEDDWKCEVCYLPNFSHRTLCFRCNAPRTRAT AHGILVAQTMSAFSGFATTGDSDVSPDGTASQFLLLRGLEPGVTEELLAKGVAKLCKT KASTPPSDIQGSKKRQIASTTTDVSLGAKDGSLRRVLLVRDRKTNDSWRYGFAEFTTV EDAQAAMAKYKSSDKFTISSKPVMLSYIHAGVFVPVLHPLSEEDAQFTFSPLSNTAIK LMYWDEAAYTSELVAASADAPSTIKTKESEHAKLAAAAANEGLIGSGKDREPRLKKRK VDKDPKIVAPHLQFWTNRHAELHDVAKKESEKDRLEPDLGLSHQKVPTTADATPSQTF ADLDRKCCLLCSRQFKTEAEVNKHERISQLHRDNMKNEDLVSKALAKLNKSKGPATEN SAYRDRAKERRQAFNQPKQPAAQHNRASKDSNGGSSPKRQDEENAPPTQSKGAALLGK MGWTAGEGLGAQGTGRIDAIVTELYTQGVGLGAQGGKVGDAAEEAHRQTRGSYADFVS KAKDKAKERFESLA LY89DRAFT_789735 MVNELQENNCYVALTHDYLDAKSMMDRVRSPKAGAIVLFAGTTR DNFAGKPVKELQYTSYEPRALQTMLTICKSVREKHSLTSIAMIHRLGVVPIGEESILI TISSPHRQAAWRAGEEALEECKEKVEVWKKEEFGGEDGGAWRANRDGAVGKRIDQDQS GPPQGPHGPILRAARPGERGHGPVVRGDHLGH LY89DRAFT_677887 MSKALLPLARRSLARNAVRRSAVNAHGGRTLMTLPAFSLEGKTC VVTGAARGLEGGKASIEHITEHISSQPTTRDYKPELRAYACDVTSEQQVQDTWKAVVQ DFGRVDVVVTAAGIVENFEAENYAYDRWKKMMDINLNGSFLFAKEAGRHWIEQSIKGN LILVSSMSASICVRPQKQAAYNASKGAVSLLGRSLATEWGPKGIRVNNLCPGYMKTDL IIDLLEKEGKHIEEGWVKDVPMGRLAHPSELQGTIVWMASDASSYLHGSDIVVDGGYC CW LY89DRAFT_677888 MRPTILLALLSASTISARVIPAWMLSPPASSNIGRNLDDSTIIR DTDEPTIIRSGGKSIGIRSLDESNVIRSEDDTIIRSLDDSNIIRSEDDTIIRSLDESN VIRSKDDTIIRSVDDSPIIRSEGQGKIIRRDDVEIVEIR LY89DRAFT_660500 MISYTLLSFSLLVSTLAVQTDAAWFRHAKFHIGQGRNIGAEQEQ ESWYPAGLTSSIASTTASESYTFSVPNASIIAPTLTSQGMAVTSIVPIYEVCNTPGSN TTSCSTVFETITTTTCSTVLTYAFSQTTITDCAANVTFSTQSSFALATTTLPPSVTPA QKRQQIPPTISSSPSSLPSPTPTTIAYVQSVVSYWYAPWQSLAANNPQDITLLVCKID YFGAENCTSVQEVWIVHTEYVLVSTTSTLTISTSLSSDAVFLLAPSQGVTATAGNFTL STQILYSSMVVNATTIVSTLTSSNTSSQIPTSETPTSTTMSTTTITITGPPSTVTMTL NVSPIGSQPTTTYQSTTVLTSTVTVLPKMQKRFRERAEMREEGLAEALLLD LY89DRAFT_712093 MGPKKPHSTTKPPSKSSTPPTPPTNWPIFKPLLPVSDLSLQTLV PSQIITIPYFWTSTLCKNYVNFLKTLPLTTTPVKPKKGDALRFNDRFQVIDERFANRL WEESGLRELVCGSGDENEGDEERMSDNERRELWGGEVVGLNPSIRVYRYSKGQFFDQH YDESNVLTLNTKPSPTPVRTTWTLLLYLTSPATGCQGGETVFYPGDLQIPGRKTVVEK EIVVGLETGMVLLHKHGNDCMLHEGRAVTDGEKWIIRTDLCVKREKSRFAE LY89DRAFT_677891 MSSLTNVISQISNLVYPSDEINRPLEWSKGELSEHLQKCPTSRS TLDWHGSVTAQLSGGSYSPVLGRLRNDVFTSLIQATKHLEKLRLYNAGDTFIHEEFRT DRHIVISSLQYITAAFKLFSVVRTDKSLETPQNREVVLKYLKKTYAFMIAISWLLYPS GSTNSWLTEEANYLLETKLRDFHFDRWSDYPKALDPTLSGVIDGFRAVPETCLPKYPQ APVLCRNPERYGSTILRNLWTPNNFPQTQAGSGPDSSFGPDEVPDMPSWCTIPGPDSS FGLDEVPDMPSWSTIPGPDSSFGLYEVPDMSSWSTTQLGWTPPYE LY89DRAFT_601492 MPHELTYGTESAANKAIGGVPVIHYFDFQSRGRGQVVRLLLIDA GAVYKDIRYTFEEWPKHKRSVPVAEMNPTGNIPIIEMPGGKILTQSYAILRHWGRQLG AYDGKTEDEKYWADAICDIVIDWRTLFISAFFSDNQKEDYPKHQQGDRAKYLKAIETH LKGSDLSRRGQYIIGNEITYADLVLFQLLHDENLIQDGRKDLRGYPRLVQLVDAVQSR PNIKKFFSGEAYLG LY89DRAFT_540973 MAEPQPERTRTSQTSSASDVQSAFFSQRARRQLGLFAAGAGFFA IASVITRRSLVRRYKQSIPKFFQPSNRLNYEVNGAMEALEALNLATINVISVGMMATG GLLWAFDISSLDDMRKKVRTNLGVDPIRTDEDAEQEIEEWFATVLARKEFKALRAEKG LY89DRAFT_742918 MDCKVSVRRYVLIKQPSRWHRRGDRVVVQSPMLELSNNVSEVLV KRFNGLEQNEVCLIPKTDIEKDSTDIYEKSQVSRATFSVNAHGVALFQSTSHDDFQYK VGHRFWIAIDATYRCEDTVPVINLHTYQSGVVRIDQVCWYPKIRGPDGELWTLGGVAR HLRLGGRTKLSTWSLVICQHLDGSQSMIKNVVIPTKDVAMADRSLLTLQESQALFERR YRCMLDGFENIDTGGSGMPPSPPASPDVQHAQKALEETLKIASSPTSVSTSINLPVWQ RILAYAIQGQKRTRAPYVLRNSTIHTHRPQHQIDRTSAIPVDVVDSVDDDSSEDLISL SDTRSFTPSLAAVEDEQLSSKDAEIFDVDATMMNATPDISKWHKDNLSRHNHLLDNLC DAKVPNCPYITISTSKHFHPPPEKCRTRVPTVMWDYSFPDSDPEPRPPTHEHCVTRLG PYPATGGDCLLANSLKTPSHTCCVWHSRDLVGISIAPDHGFNNVKLDEARRKRLKIYD TSSRVKLQITAGFDVEDDEGEYLTEEAKAIEDQT LY89DRAFT_601574 MRTNTAEIDSTITHPGSVKINVKGAFIVDQENGSPNGNAPITAS VNGGSHDTKDIRLPNHTAVVSHIAVDIGGSLAKLVFFSREPHSREPGGRLNFMNFETD RIDDCVEFMKQLKVKQQKLNGSRPGELCIMATGGGAYKYYDKIRDALGVDVLREDEME CLIIGLDFFITEIPREVFTYSETDPMHFELPHSDIYPYLLVNIGSGVSMIKVSGPRAY QRVGGTSLGGGTLWGLLSLLTGSRTFDEMLGMAEAGDNAKVDMLVGDIYGTDYGKIGL KSSTIASSFGKVFKMKREAEREAEDSGGLTNGDAGHHDTRDQDPPFSASDISRSLLYA ISNNIGQIAYLQSEKHSLSTIYFGGSFIRGHRQTMNTLSYAIKFWSNGEKKAYFLRHE GYLGAVGAFLKRQPRNWGRRGSFEDGVGGRPLGTEARESVRMTMDYPTA LY89DRAFT_361202 MLLKDHEQKPTWSAKRAQRCLSIAVIFVIILFLGLTSQNSWPRK AELKLSKIRPYTYGSTPEAGRPTIPFVDNPVRKSTEDPFFDVPYIEDDYDYDDVAQEP IQPRDLETKELLSREELEAREGKLIGLH LY89DRAFT_691748 MSDQIQELMDVPRDFIHDGTQFINRCTKPDRREFIKISQAVGVG FLVMGAVGYLVKLIHIPVNNILVGGA LY89DRAFT_361536 MMRSVQETLLMWIVCVSIPQARTIAKIKLESNNTSVLKSERLAL KETTSKFPPSFSLTEPCPQHRDSNPNPRCLSVSIRFLRQETCVFAVERLSECRK LY89DRAFT_691750 MADDEFDIDIYGDTNPEQEVDLSAKKDEDEEGDMKIDDLEPTNG HATEPNSIDHEEEQDDDFVDIKTSFNDQSSKMQPQQKIKSTDEPGGDVLNIPKQAPQI QGVKRKEGSDDRPIDPGATTALLISELHWWNTDDDIRGWVNQAQVEDELKEITFSEHK VNGKSKGQAYVEFSSQQAATAAKHKIDAFGEGQQYVKKHTVVYSNPNVNPFKTLPKDA PLRAGKDGQSNPSRGGYNNDRGNTNGNFGGNFRGRTPGYNARGGMNNNMNNFNRNFSG PAMGNMGGGFNSGMGGFPSGPMGNQFGGGFNNRGGMMGGGMRGGGMRGGRGGMNGMMA GMPMGGMPMGGMPGAMGGMPMNMGQMAGGMPGAGGFNGMQPHFNPAFFQGNQAAGGDW QNPHGAKRPRPE LY89DRAFT_766407 MNNYFGQPLWTDNDLGRRDDFQSSTSIATATPTPTGNNLRWSTE SKNYTFTVYHGTANFAMQFLASNILTTAALISGLILGLCGLNMTLLQLRASTGTPKER KHARAVIKLKKHETWMLCSLIIVSVGLGESFPFLVQAIYPGGKMWVSILVSTLCIAIV GEILPQYIIPRRAVAWGYYCRFTIWACMFITAIISFPLAWLLDTIAGQKDQTEIFTNE ELGGIIRHHEKSEKHGGDLGQDTSRIMLGALKLDSREVGGEIAAVPEPANDEKDLEKA DLVVVDGMIVKWSAVKAIRIDERVDEAFVKKIRGWSYSRIPVIGTAGKEREHEQADTM EWTENTRIHGFLHIKSLIGIDIKFPENPETPLLVKDLDLYPLPIVREDMSVYELLNLF QSGMSRMALVVPSDTLTCSAGAVWTVTERINEQTALNLEEAYKNHQWSMDYLVAARAA IEKGVDEKLDSPGIMSPKPIGIVTFEDIIDTILQKTSRDEKDFFERNTATPPTKTRKA GDFPVDMDALAHSQSSPQCQVKQVSHASKGPFEVAKNTLRKRKVTNEETACAIDSTGR VFNTRQSGPCAMDGAYENSSEARSNELQVKKTRSDCIGSSYTQNSNGGFHAGESSHSV DRGNMLTAEDIAELASAASSNHPKCSYESNTMRSLPSHKHHSRSLSDELKQTFRHVSA APKLPTLRRLTSFSKDTASSFEKQSGVGKDPAELVMPEPSTAAGSGMYYGRRGFNPNA SGYDVDESMEEFFERTTNQHVPEKSGDTLSLNSSDNEQDGEEDVTCLYDAFPVPITRA SPEVSHVHHSLVPIKEEAEKTYNGFPMELLDDDKEERTPKYISSTMPRTMGPTDFVRL ENAREKSAHEREQSFHDDRALLPSQRKSMQHDEESVSAIRSTSLWF LY89DRAFT_691752 MEDHEKFREWVTERGVKIDGITAHKFEGRGVGIIAEKRLKADST ILTVPISALRTAHTLPKALQPLLKSAPTIHGILALMLALDTSPTYQLWCAVLPTASDF ESTMPLHYPSSLQDLLPSASKALLAHQKSKLKADWAFASSHYPKLTYDDYLYAWLLVN TRTFYFLPPGTKTPKNHDDCMALNPFADYFNHTSRGCHVSFTAEGYKVMTNKVYEKGE EVFISYGNHSNDFLLAEYGFILPSSEEPGGNEWDVVSLDDYILPLLSAEKKELLKESG FLGNYVLDNAEVCYRTQVALRAQCLSTRKWQRFMDGSDDGADDQEAVNEVLLKALKRY HKDTEKYFKQVSATKEGEESHRDMLSRRWKQISNLLQREIDRIQN LY89DRAFT_660523 MAFRPNAIRTGLQRELIAACTISLQCRNFSVLSRPPPNYPGHIP LTRIEKAGLAIGSAIMSLRDPHRGDLIAALGEATATPYFIYRLRDAMLSSPTGRRILK DRPRINSKTMSMEYLRGLPEHTVGRTYAEWSDREGVSPDTRSEVQYIDDEECAYVMQR YRESHDFYHALTGLPIVREGEVALKAFEFANTLLPMTGLSMFAMTTLKPEERKRFWTI FLPWAIKNGVGAKEVINVYWEEELERDVRGLRKELGIEQPVNLREIRAKDRARRKAEK EAKQRAGDSLGIN LY89DRAFT_543495 MDDDDLAQIRKARLEQLKSQAGSSGGGGSSLGGGSQDDKQAQES EARQSILNQILEPEAADRLGRIRLVKESRAQDVENRLIMLARSGQLRQKVTEEQLKEL LGAVAEKKEEEKIVISRRKGGWDDEDDDLF LY89DRAFT_742927 MASSTSSQAQTSGDLAEGLFGPRPANAEQARFLKEETRYEAPYM QSYSGMSKVNIASPQPKKQKTDVTPTPAKKSSLLANIIFSAILIAAVYFASPYLGPTT AEMIDRVGEAFNYAVTSYSPEPSVTILPIEEPTLTILPITTSETPLVPVWDNGDNSVE NDPIDSETESFLGLVSGTRLPLKEDDTSYPTEEATTTVITANQVTTQTEATASMIKGF RYRERVHTYPNSFDRLLTVCGDLSDILSEHQIWDIAEHAFEAHEDLSDALKIIRLSQT DTVLVERNEELSRSILRFVQEVLISCLQIKKENFVFGAELEYILTTSKLQPPDKRALG ESILALQASAPGYKEMLQEKVSMLEAFELEIDNTARDTMKDNLDLFEKIRKEDLMRDL NANSFNFPWTKGRGEDKIRNEKLISTLRRTIFLDQFVKNIQKLRAQIHDGISLLDGIV KDKEADGKLAKRGVKVDAKRHVPVLEDAYREAVARRKNLEKHLIRLESSVSEREGGKG R LY89DRAFT_601545 MGHFVPIGPESDLDEEEQVSVVPGPQLENKTIHILGLGNVGKYI AHTLASLPHGPPITLLMHRPLVMQRWHDEGAAVRLVKHGELHVQSDFNIESAAAFRRE HPDQVFPHFGKNLEHSAEPPNTVIDTLIVTTDSWATLPALEAIKHRLRQTSTICFIQD GLGIAEKVNAGIFTDPDRRPTYVLGRISHHIVSTERHFTIIEKEPGTLLCSKLPQRSL DVTGEVPISRTDFSWSPQAKHLVGSLSRAPDLNTKPLGHKSYYKVQLQNLAIGCIIGP LSVMYDCSNDQLLFNYNASLTMKQILEEISRVVCSLPELKSLSGVYENFNLQKLQAIV VSAIAKTGRIESRMLQTVRAGKRTNVDYYNGYIIRRGAELGIPCPKNEMIISLVKGRV SVKSKEINGYIPLLGSN LY89DRAFT_691755 MDSTKVMSLLEQLDDEMDDLEESLSPLLKTALSETAAKLPLLQK AKTFTLVTYAIESMLFSYLRLNGVNARDHPVFIELTRVKQYFDKIKAAEAPAKDRNLV LDKSAAARFIKAGLSGNDKYDLEKAEREAKERARAHIRFEELAKHTAKGESEEKPEEE PDSSDSDSGSKSSAEAEEHAPIAPPRKKVKGDNSGNSSSSGSVEKAPRSKAEKKAFSK AKIEKKKAKSKAKKQKKSQS LY89DRAFT_702421 MPRSSRSGGGSRAPSRPVAPARPAPQQTRPATTAAYPPAKQAPH PPAAPAQQQQGSAGPGLFGQMASTAAGVAVGSSIGHAIGGFFGGGSSAPAEQAPQDNS VAAQGNQAQNNNWGARSCDADAKQFTKCMDDNQGNMQICSWYLEQLKACQNAASQY LY89DRAFT_361654 MRPIQASFRHKCIAGSMNSKVRPYLLCVLMEYIMELQSLMKMLS PCGISDLPVFESAYCAPIPGCFYTLMHLRHLRINHSRTGRSLVALVRGTLLQEQPGYP DYFVDALYYLALGGQQRLTTLGPDVADRSCVPEVNLLYHFTRMISNEGS LY89DRAFT_712104 MAPNFPTKKCGVLGCTGSVGQRFILLLAQHPHFVLHAVGASSRS VGKKYKDAVKWKQASPMGKHVEELVVKECKASEFADCDIVFSGLDADVAGDIEMEFLK AELAVFSNAKNYRRDPLVPLVVPTVNLPHFDVIPHQRKHYGLKKGFLVCNSNCAVIGI VIPFAAIQKEFGEVDQVSVVTMQAVSGAGYPGVSSMDILDNVVPWIPEEENKLETEAQ KILGKVNAEVTGFNNQSDLKVSAACNRVAVLDGHMACVSLRFKNRNGPKPSAEAVKKA LSGYISEAQTLGCPSAPQPSIQVMEEADRPQPRLDRNTQNGYAVSVGRVREDDSGIFD LKFVALSHNTVIGAAGGSVLNAEAAVLKGYI LY89DRAFT_553773 IRDRYDQRAPTYDQETGFHPKQAADYIKWMSVQPGFKVLDLACG TGAVTIPAARDAGPSGTVIGVDISPVSLKIAREKALKEGLKINFVEHDIENVEGLEAD GIEERKFDIVTCASAVPVLEHTAEAVKGWAKLLKKGGTLIFDVPSGGTLIKNVLLDRV AQDLKVPLFNHSLTDSTDKVRKLLTDAGLDASGTFVTEAYEGSMEELDVKKADETFE LY89DRAFT_725803 MGKGPKKHQKRLSAPSHWLLDKLSGAYAPRPSPGPHKLRDCMPL IVFIRNRLKYALNSRETKAIVMQRLIKVDGKVRTDATYPAGFMDVISIEKTKEHFRLV YDTKGRFTVHRIQDEEAEYKLGKVKRVQLGKGGIPFLVTHDARTIRYPDPAIKVNDTV KIELATGKIQDYIKFDTGVIAMVTGGRNMGRVGIITHRERHDGGFNIVHIKDAIDNSF ATREGNVFVIGTEKPWISLPKGKGVKLTIAEERDRRRAHAIAGQ LY89DRAFT_361739 MSSSYSSCILCQMSRPASNDWTYWLPRPIVPYQACIELTRTIAV PVFLLRVLSRASIEYQLRQRATTKTTPPRHITMNPRGHVLIHILHLKSVRLRSSPFFH TDHRSTEHFSPSLTESHKPNKPQVSTTHETLFPSRVPSVLPSKHLQAPHLLPHKNHPH TLNSANVQIPLNPSNHGPWNHPENLRDDLTDRHRLSKALCK LY89DRAFT_702424 MGASAVAAGAVARWQGKEKSGKLRDYSNPQKFVQPKYASIRDME AAIQEIRQATGDEDTISTDSEDLHRHGYSEWSSTNIDGLPVAVAYPKTTAEVSQIAKI CYKYRVPIIPFSGGSSLEGNFSAPFGGVSVDFAFMDQILQLHQEDMDIVVQPSVSWME LNDELAKRQTGLFFPVDPGPSAKIGGMVGTNCSGTNAVRYGTMKDWVINLTVVLADGT VIKTKRRPRKTSAGYNLNSLFVGSEGTLGLVTEITLKLAVVPQEFSVAVVTFPSIRDA AAAAASVMRAGVQVAAMEIMDEVQMKVVNLSGSTAPRKWKELPTLFFKFSGTKAGVKE NIAMVSAIAKAHRGGEFEFAKDAKEQKLLWSARKESLWSMLAMRKEGSEVWSTDVAVP FSRLADIIEISKKEMDDLGLFASVLGHIGDGNFHESILYDKTVPGEREKVEQCVKNMV ARALEMEGTCTGEHGIGIGKKEALLMEVGIDTLGVMKSIKQALDPHWIMNPGKIMDVP I LY89DRAFT_691758 MANLKFITPFLDELGHCLSIGQAPSQGLVYALDSDIVIKLPFQY IIPDDLDDDAIFYINHGVRSFVAMERELARYDAAANRRHPNIARRLKVDSSDCLFLER LQPLEQTWVNADEKICHRWVRELLDGICWLEELGFTQGDMAVRNLAVDSSNHLKLFDF GSATTQHHYDYAADVKRDHFGLATCLHYILTGVDPFANVYSVQEVRQIETQLLEGCGT VGAGAEILTNVIQAGWTGQAALTKFSKVKEHVEVIIGVAGLETASKTSEEHYQRLESR CAEWLKRATLDQRWMDPDDYCAACRAKGYETEMDIWR LY89DRAFT_361884 MLDLPTSSRGYLTEAAAMYQTNHGYKNHNKIAPASRPRGSVLAG PIVALKPTFEWSNWDELTVIIRDLRHDETTYNLWRNFSTQGEISWIDITDRDGNKSGT AKVRFSPPPRKPFWTEKGGWYLVVSSKGDEYYSVHVSLVQRREGGLRIRSPLKPQVSY EPSMKMYAGALHFGIMIDKQSMMPHHQLTPTESNDLCFCVDLRKSRIVATFNVEFNDP GSPQTTSDYQYNAFHRVNKYRIEIPFTQLKTIHRLEINKGKGIFGLLITLDHPPQYYR KRQDERSCHSDEQVQWNEYDTWYRQTDLVYNPYLLQTAKVTLHKEQPVIDIGRWTTYL FEFSERRDETALFETIKQALQDYNIGIVQISPPKKIPARPAELWGLIDPPKSEQASVE LSSLAGAMALPFEVRYQLEVCISHEYINEYNISAPFVKKLADLAAQDPAQARNIMEYV AGQDKRVYNPMSIFDDPDALAFSAKTDIPHYCAYVRKATITPSTIYFNSPTVETTNRV LRRYARENLDGRFLRVQFTDELSEGRINACAEKQRNDELFTRVYRTLYNGIQIGDRHY EFLAFGNSQFRENGAYFFCPTEHLSCNDIRQWMGNFSHIPVVAKYAARLGQCFSTTRA IPGLSAPDIIKIADVERGEYCFTDGVGKISPFLAKIIAAELKVRAPTAPSAFQFRLGG CKGILAVWPEAKDKEVHIRKSQQKFTAVYNGLEIIRCAQFSSATLNRQTITILSSLGV ADEVFVDMLTKQLAKYQSAMHNDDEAVDLLLREIDDNQMTINIATMIRNGFMAKRDPF VMSLLHLWRSWSNKLLKEKARITVDNGAFVLGCVDETGTLRGYTKPKIAHGEEFAEED LPQIFIQVPDKVDRSQYNVIEGVCLVGRNPSLHPGDLRVVQAVNIPALHHLRDVVVFP LGGDRDIPSMCSGGDLDGDDFFVIWDENLRPPEWNCDPMNYTAPKPKELSKPVEITDL MKFFVRFMKNDSLPTIAHAHLAHADFQHMSVKDTKCLELAALHSKAVDYVKTGDPAQM PKRLRPGKWPHFMEKKFKSESQTYHSNKILGQLYDKVETVNFIPQWQEPFDKRILQAY SLNDATLKSARQVKTQYDTAMKRLMAQQDVRTEFEIWSTFVLSKPRVGSGYKLQEEIA RLSEGLKDQFRAVCRHKAGGDDFDVLGPFVAAMYKVTKEELDIALAECRDTKLVSGQQ VPKRKMEPKYMPLITFPWLFEKELGRIATGIDASDELEDLGMATVSIPGPSKRRHGGT LLDMDDFITQEDGVIVHRGEELDLFRKGHDSDDEGGIDEAHIFEDDHLLFMGRSGEAV LATEFDMSNPTPDYLSGGTGVEDVVPRTELEGLIDPHHAAQVADEFQAGSNSPLNDWH FVKESPDFLTGSDVAVGRKDRSLDNFELGAQLHREEVADLKKPLEELADLMDFPEVQE EDVNIDLHDSPLEELSESVPSDKAVDDEEEIIDLAIEESAFEKLARALDS LY89DRAFT_725807 MMESGQVTLTKACISCIKAKRKCSRTLPCQRCTLKQLKCRYKNP PASASGNLSISGNFQPVSANTSRRLRPLNNVPENHSAFDFSAPLSLDHLHLEFPKVIL PMDRCTVSFLRNHLLRFPGTYVRSGGTVFMHPRLYQEQSLPHAPLFTTYTLCAIYTQL TSQNVHIVHQAISTTTAAVLDAISSAHTFTSHLAALQSLILLQILTLFSHTTTIPPHV RKQAEARNPLLRAMIHKLYNLAPTSLPSSMSPYQAWIVGESLRRTLHIAHMILGVHSV LNSGTFTLTLFVEALPLDKNGRMWDDVWTPTRSHGWIGGGQERGPTTSDLISYRELVD GWDGGEIKKPTLFEEMLLVACKGIDALKLA LY89DRAFT_660555 MSSPIKNVMIIGASGSIGPAVISSLLANNFAVSVLTRESSSSTF PSDITVHCTDYSPTSLIKAFQGQDAIISAIATMSTSLQSSIVDAAVTAGVKRFIPSEY GIDTSIPEVETLVPLTKGKRDTIAHLRTKEKEGLSWTAICVGSFFDWTFALPRVQGWN LPERKALIFDGGIYEYEATNIEQIGRAVAAVLLPGHLEETENKYVYVNSFTVSQTQVL NALEKATGEKFEVEHAKVEELVRLKWEGLGLKGGWFGSEEITAALYGNGNVNNFSKKG LLNDRLGLPKEDLEETLKRVLEKKA LY89DRAFT_702428 MTSLFTALPHDADTAALIIPSPQFSATLSHGDLSRLSLKFQQKL ANTGISPRHTVALALPNSVEFAVVFLATTFQRASSAPLNPAYTQDEFEFCLQSSNVPL ILLPKGAVAENGEAVRAATRCGTAMGEVYYDGSEVNLAIMDFGKTKKRVGVEVETPSE SDVAVILHTRESTGQLEAIPLTHQVICSTITQEIATYNLAPTDRCLAIMPLYHIHGLL ACFLAPLCASSSLILPSRFSAQDFWSNFTTYSATWYTAIPPIHQAILRSLPNKLPKIR FVRSCSSSLQPNVLKRMELVLNAPILEGNAMSDLSHDAASAPTTSPISPTQKPGCVGK AQGLEMQILDSNDRPLPRGQTGQVCVLGASIVPGYTAISNLPGVTKSGYFKTGDTGYI DEEGYLFLVSTNKKRMSVVANEKTSEKVWDIEMEFGVLHIPKLYCIII LY89DRAFT_677915 MSNSKLLTPNLDSYATNSIAKSVSMSRGFHTGSGATSLWKTLST TAASGAVPRPRTNHSEDAMLSLVLLCSLASSEVPLDLISRGASPRRRWNAHGGIEEKD ALYIALSSALVDLLSSIAKLDNALCELVSLSAISKSSDETYMVNRAVQARVSDSLPPE LHSFWRLQALVVAYRSIPWKYLELGPFGVRELFIPHLRHTLQGVRNHDHLIAQATAMT LCGFIIILILLEP LY89DRAFT_631361 MCRWFAYISATEPCLIEDVLVTPNHSLSKQIHEHYLPKLLSHDP AVHAEPTTEAEITERNRLFNVDGFGMAYYSDAHSKFSHGLGNVTLYPACYKSIQPPLH DSNFRSLAANTYSNVLFAHIRAATSTAITPTNNHPFTFGIHTIMHNGYISSFSKIKRK MCQEMTQEAYEHIEGGTDTEHIAALLMSYLCPDEPDLKQAQPTADDEKIPKAWGLYHT PTEIQSALERTISTIIKIQQENFGQAAEPNDLNIAVTDGRSFVACRVRNHPTEQPPSL YYSTTAGATLNRQFPDHPSGPAGPNGPNKKEGKHMEDHGKHVIVASEPTTYKDKEWTL IEKNRIVLVDSSGNIQVEEMK LY89DRAFT_660564 MAKFSIKTLLFASWIALSSAHRKDSSPIKCPITLWGGVPSNATL SLFDTNASPFSPNYTKGMNLTWSSILRFPSVKPSRFDLPSDKAIEVTINDSSIFLPGG GKPQIGFRRAGLLMGNGSDASNVGVKTFHWSVRQDKEMKMNLTHEYMNVWHERNDYAG NQFSINAGVMLVQDKPTDSNVSTTGLDRRLWKILDRDNDVVWTTEIDWDGWQNFAVTL DYGNNTLQVYYSSGQESLKAVTDPLPNDNSGGGQYQIGMAKKPTETLGVVYDGFQESN IHEGQIYGGIFVEDSSGGCISK LY89DRAFT_725813 MHSLLPLISLCGLTTSLSLPRSPASRTMIPSTSFDTQSDFDASW ADLYPWGSDHNGGARMESSKIAISSGVLTLTASPASGEKPASSGGKSIPIHYLSGTVH AKQHFNVSRTGGYDFSAEFKATTTKGTWPAFWLTAVDGWPPEVDMAEWKGSGKVSFNT FNTSKEVEAKDVTYEDAGSWHSFKTEVRDENGRDLAITIYMDGKEVTRQVGKDFLGKP MYLIIDLQMEGSSGSPGPKDGPESGSSELQSLRKKIET LY89DRAFT_742942 MLFFHLIYAFVVARAAALPGNSINDFDGCQNTREIVVDAAHVVG KFKNLQGTNNANTALVDDPNDIIDDMKPAIANLWPEYGIKHVLIYTFPSVFLGFGKNG TAGDATNNDNYNWTVTDQYIKFVTSRGAVASIQFNEADGTNSSISSPEILGQIGYMIT DHAIELYDFYAESDLTNTPTIEEAYENSFKYFAAFARGVANASTKAGVVAWGSNRIVP THANYSVYDPYISRFYADCAAQNVPIKAATYHFTNVQFSFDPYAVKTLTDRFREEILV PAGLPDLEVWVTEYEPNPSGALPTSASALASYNDPAWFAGFLLGTSMYAQDTSLTQAL SWTGFGYGGYGAGHAYFQPWFSLTSNNKTVPLNAAAAWKLQASLVTNTSQRLDLQGSS PDGFAALAGESESGEIVQVMLNNYQLDYDIVHHISIHPQQHIPSSKIMDCSTENKPAN TPVMLCLKYRVSNVLNQSRTSPLRTDTVCQIYVQATIRDNHSDSYRLLLNNLPWNSTD AYTLTIQRVGGGQLHQVYKTSHGVGNCVDITVPFPANAQDLVTI LY89DRAFT_725816 MTARQEAGCWQKCKKVETPEEDEWKRQQDMHGHGAACLTVLTGL RSCRMSAAGHKAEDVCQLMDHDPLPAASVPSTANIPIFHYFSGSIDGRGGAHINDRRT PEDGGSHGGFSRPVLDVLDCAERGVAWWRLRCNGQRAVGSGQWAVGSGQWAEPLADFL EPRGVLVAVVVVVAKVQIQTAKCKVQRASKNKNKNRRESIAQAREENTALKANSDPVF HAPHAPTDRSEALLGATPVTLKQPC LY89DRAFT_742943 MLASNNGLLPGHYSNTSLPVEHSASPNLGPLVTSAPTQYMLPPL SPESYSKLVAEGNPDVMFENYLNFDGYDQMDATTTAAQNSHAQSSLGQASPMDMTSHY GQTERLSPEPETSPAAAPASVPSSPGPSAAPVPAHQHAAVANHVHANGGANVHTATNV PAANVANPQASTNTDSLWPTICYFCKPDANGQHVLYYNWNEWLLHGWTVHPNVELWTA RPCFWEETKNGVVEKCNNGVTYLTARQCIYHIFAVHKKSVHCDHADCEYGPTGKALGT RQDKVRHVQDMHEPAKTCQLPFCTHTKRRLNRTDHRKGHMRKYHGRHCCPVATCERAR LEGDFYGFSTEEELQAHKQARRHRHARRQN LY89DRAFT_677923 MSDSEKVFKPNKILMNRFLKSIFLNKLHPDLDLHIPAAATPHFA LLVSTFHPERLHCQIEGGFLHGSYNVGQKVVFSDGTAWLVRFPRVGNVCDEYGDEKVA MEVEALSLIREKTTIPVPQIKGWGLAASNPLDLGPFIIMDFIEGVSLNSLLKDPDAEL DTRLMKEDISDNDIEFIYRQFANFLLQLFKLDFDRIGSLPSPKTKFPVSIRPMTFKAH DIMQTGGVNTFGDRSKGFSTATDYFQYVVGQDWEQLLHQPNSIAGEWDARAKYASFSV LKSLIPDYIDMEYDRGPFKLICDDLGLANMIVKSKEDLTIIGMVDLEWSYIGPAQLFG SAPWWLLQDRLTNWDTSLDKESPEIVARFFKYLEIFKRVLEEEEEEKCQATKRKSSRT SCSGIEKWQQQKEEFYETEGMETFVTQKLTQLTQYEEDFEKAKAHKADMDNREMTRGN FMDTLLSWMKMLA LY89DRAFT_789770 MECINMNCAHDMGEAIVADVTPHDGILRDDKQTHERHGQKLLVY TLKVPNPFAATFLRRLFKTFEEGNELGAKVCHDIDSAERRAQAVELARRYKNTKISGE IKTIEETIENDFLEPELKMNSSEVQKFAELLSQELATSLSRHRDICIVFVLGGPAVGK GTQCKQLARKHGFHHISVGELLNNPSPFADFILESKREYVIIPAQLTISEHYFTILLD CSELVMTERSIARAEVAKKAGRERVDDNPKTVASRVKGWRKKNELVEIHVKKHGPFQE IPSDGSQDEVWSSFELAVLEKISRKA LY89DRAFT_361958 MEWPDFPNFLSSNIHPSQSRRPILLPVAMDQISSSCIPFIHVME GLKYKTPTCWEYLSIPSFESAADHMWQTWAVLLAYAQIRLWTELKKESLAKDYLRCLL QTANATLAREFDHLWSEYKQGESQVAKRVRDACACAYAVQDGSPNGTIRTGEELSQLR KSVLSLDLENFMDLLLDEIKAPDQTESATLMKAMTVGQAYWNTPSESFELVSEAMETI PSTSPLTFLRLTQRLAKIGRAGWVRRGISSTKVEKVSGHSWRMAILGWLLVPQVSLTE FRMMSSLIIF LY89DRAFT_362203 MISEDFEFWVRLALVRPFNHLMNGRLVQLYDRFLSIHPSIHLMF PPLLRQPTSLQLQSSFLPYLDFCLFQINIYLSIEMDAHFPNDIPYPQPVCSAAESMAP IPCYSSSLPPPQENMTIRCASPTEVLLPLTREHVSFVNNPNMWLYRLGRSFAFQDDKR SNRRCQRRARFAWPTILTVVEGVRVCSMIFLFTCSSPI LY89DRAFT_362820 MDTETLFDYSNLSRSSNDFLPTLTEVTFRPYSQHCCSFIVVVQE GCDGQGVSFRQVAKLIETIGYVGKIDDFTIKPLQRHSFLMTSFS LY89DRAFT_362851 MPKLYRIRRCLHAFRNGFSRSNANRTDEHQAQHLKSWVGDRMSE ALKSLPEAYPQDAAALLETANEYDDKMFEECLKPFIARFVGGSNFSNALAVEILSFSQ TSSHEKYLATRLFPLVLDAAATQFELQKYSSASKGSRSRGDYPYSSWPDCYKDEERGR RDAGIIADLYQQLLQSDRKKASDLLDKLQSQTESLPHTELGRLVIPLLERMIYVVDRR SPDACRFYQSMMAIYITQVVQKEPEKPKDWSRPSERQKCYRENCSGCQLLHDFLMDPR EESHRFVLAKDDFWHLRHSVPAHCKISSDELQNPPVLIVNKTLKGWEENHTKWQNRAS EAQKTFKRFPQAELKQCLAEKYDATMDLRIVKHQEGSIAQTNDTPYQSTVPQKRTRSN SSFGA LY89DRAFT_702432 MDGPVVLGRISDVHSAGSFATIGCFGNFVLPGIVVDKVGEISLP LSPHDAQALIQASHQAPFGKGNQTLVDEAVRKTWEIDGRKVSFSNKAWHGWLDGVVGT VAEELGVAGGRNSVRAELYKMLLYEKGAMFKPHKERRTCLERLLSAFLSEHVGGVVRL THGQKEKIFSTAEFSAFNMTYIAWYADVTHEVEPVESGNRWILIYNLVNTSSGFPQSA TRLEAQRARFCQTLAEWRNLDEECECLCYVLGHQYTNAGLKLVNLKGDDYCRTRYVAD SCAEAGDFCVFLASLQKVVTFMNDEGGKEDAESELRLNRIVDLEGFLLRESLLIPDDY ILQDGLYDSRDPDEQTGGEYMGNQHAEFDQFYNDTAMLIIPKSLATDFLLGNGHNLGD FQGLLQRLQKCFKMQNNDPRIRELIVQTCQNHLTTVYPDSGTKDLFLGPIAIAGMVIA DQGLFRNAVHLVTDGFDENTFFILGELICFQAPAVLEDK LY89DRAFT_702433 MRLLNGAPTTEALVGLPDGTDPVNDEHELWFFINGVATGQSNLD LLARTFQREVVGIHNITAGFIFDLLECLIQRDLDYKTKDIRIGRAQLRSALAAPATKK VVLITHSQGGIEGSSILDWLLTDLSTEAVSKLEIYNFGNAARHFSNPLIKSPSPGGVG EGKGGRGERVIKYIEHYANSHDFVANIGVLNFTSPQAQPYADGNPFYGPVFIREGSGH LLNMHYLDTMFTMKDGRVHDEGNEFMNTILQDRRDRGEMVNGNGVKRIKDVSRLWQYR NGGGPKDE LY89DRAFT_789773 MSNLSFFGVPMYWTLCMIPHGYAINIMKKANNGRWNNTSPRSSN WDASLRKSTPADIYSRYERAEAAHKNGFENLPLFVGAVLAGNIAKLDTKTLNTFVASY LASRVLYTLIYINVSKNSLSYFRTVVWLTGAVMCLGIFVKSGMAMA LY89DRAFT_691774 MEDGFQQVKMIPNAYSAASLNNKYLPVVEEIVQCITKAGIKVRQ FHSEGGPGVFEMSTEPLSPLQAADALVYCHETIKVVCRKHGLHGTVFPKPFEKLTGIG QHHHLSISPSEKEDSFLAGLLDHWKALAALYMPNYDSYFRLQPGQQVTWGTANRSTAI RKINTGHWELRAIDGTANPHLTMLAILTAGMLGLEAGQELKMKDCQEMIFLKHLDEKQ AEGHGVTETMSTSLKESLNALRNDKALVDKLGPEIIDKYLKMKEKENENFSQLTLQER KDISMRIF LY89DRAFT_362947 MNSGDFGYNEAQTVTSNEQYNIGKKKKLAMRILDRELAIGSPAK QKINRLSMAQGMIPASNADMIIHYNQPVYSGQFSDDGNFFFSVNKDYRVRMYDTSNPY KWRYYKTVDYPGGQWTLTDASLSPDNKYLAYTSIRSQVCLAPTDPNDTGDPYILDLAA DTGTARGYRQRFGGSFGIWSIRYSGDGRHLVAGTTGGSVVVYDIESRTPLHRIFGHDE DVNAVCFADKSSPHVLYSGSDDTILKVWDTRSMGDSRAAGAFVGHIEGLTYIDSKGDG RYLISNGKDQSMKLWDLRMVMPTGDFESIRHRRRATRENRFDYRWGEYDEDDWYADPH DNSLVTFRGHRVLRTLIRCHFSPPASTNSRYVYSGSEDGKVFIYNMDATLAGVVDVCE ATKASRPRSRHFEMMGWNDDDDDVMNARWRTCVRDASWHPNAPMIVASAWNGYGMSTG TCTVHSWNDGAEDDEAEPGMGLRVDQKLNIDPDFYRDQDRPARRSLRTLRAYVPEE LY89DRAFT_691776 MDLRFEAASLDAVVGFYSLIHLPREEQGVLLKRIWEWLKDGGLL LVNLSVGDSEEMSNGDWLGSGKKMFWSAWDEKGNTEMIKRAGFKVLEGEVISDTEDGR EVKFHWLLTSKGGGCRPETIDERGVQWKV LY89DRAFT_601538 MNNTIEPRLIALLNEAPAELYTSPSLELPPLHDPNILKASGRPL LLEPDASKRNGKPNSNPQLAPKHNSLLNPIDDDEKRHKEKRSGDGGERALGVSSPQSL RKILDDDTDASVSASTKKRPVVEPAKDEFVQLPQPPKKQKTAKQVVPPIIIGLFEPPP QATLFPPIASSSFHDSHGRNSLNTVPPKIKVAKESTRPDSNKHPEKDEPQEKSFKRRK SAPGRNKWTDEETSTLLLGVAKHGIGNWTDIIKDPSFSFNQRSALDLKDRFRTCCPDE LRGKKGTATNSKTDRTKAKSSLMSQNILIGEEDQAQGSNDGDLASSRHQKTRAHRKKL EDLAQLGIKSEVPFKPSDRRERRPYTEEEDRAILEGYKKYGFVWSQIQRDPQFNLQSR QPTDIRDRFRNKYPEKFKKEAEAKKKISVPDRLTSSSQQPNNGATGKEESVSGPSMDA SDSLPYSQSFDWNSSIAAPFYNNSGEMDISRLLLDETWPDIPSSSGKEKQSFTDLNSI MNTSSAEPVHNGPSFFNLLNDPDQIEDINDSSFG LY89DRAFT_691778 MTSILPPIPRFVMTVFEPISLIAGAVAPFISPEWFVAEQIPGNP TQPITTQTAMVAYQLGNIYLLLAMVGIAVLYTTTEPRVVRNYVIALWLADIGHVAVTC WVMDYDKLIDIMNWNPLTWGNIGATVGLFSVRTAYLFGLFGPDIKSASKLQ LY89DRAFT_601514 MADASEAPKKSKKERKAERRAKETAANGTKKEDDAVEPVVETNS DGVKKEKPETAEDKKSKKNNRNREKKRKGVVTNGDAAATGEEKDKKPARFIVFVGNLP FTATTESITSHFASVHPQSVRHLTKKEDPTKSKGCAFVEFAGYDHMKTCLKLMHHSTF DDGKSAPRKINVELTAGGGGNTKERKTKIEAKNAKLNEERIRKIHEEEKAKLVKAAEG GKNGAAAIDESAIHPSRRGRVPTMN LY89DRAFT_362989 MAAPGTQSLKCVVTGDGAVGKTCLLISYTTNAFPGEYIPTVFDN YSASVMVDGKPISLGLWDTAGQEDYDRLRPLSYPQTDVFLICFSIVSPPSFDNVRAKW FPEIDHHAPSVPIILVGTKLDLREDPATLESLRAKRMEPVSYDQALIVAKEIRAHKYL ECSALTQRNLKSVFDEAIRAVLSPRPQPTKKKGSKCTIL LY89DRAFT_691783 MFYEGDLQSGISKAIQEDKLVACFVTDDGGESLLWEEFLREENL KSSLATHTILLRLKAGSQEAGYLAAIFPLPKTPVIVIIKNGELKEYLAAGISREDFMR RIGVVLETKPSIQSSSTSAPVAPNPSGPPTGSSQTGESSTSTPQRSTSLVAQSLANEL AQSRAAQDTQAMLAERSARLEVQKKEHDKKEKAKRAEEAKARREAAEKAAAPGSKAGT DAKYALMQKKRQQEARDERARILKRVEDDKAERREKEAARRAEAKAKAEEVVPPTGSS SIAPPFASKSAECALQIRLFDGSTIRSRFPSQGSLRKDVRPWIDEKQSGDVPYTFKHV LSPLPNKNIETSEEERTLQSLGLTPSATLILLPVTNATNAYEGNSGLVSRGVSAGYGI VSSGVGLVTGVLGSLLGAGPTQPANDAAQVDSTDTRPSANIRTLRDQNAGPDDQQFYN GNALNFEPRHDDEDKKDD LY89DRAFT_742956 MSIVDNPPNLVRAGKRHGPGLIILALIPITAFALGTWQVQRLDW KSKLIAKFEDRLVRDPLPLPPHVDPTAIHEFDYRRIYATGHFRHDQEMLIGPRMHDGH DGYLVITPLEREGNGTTVLVNRGWISKKFKKQDKRPDGLPREEITVEGLLREPWKKNM FTPDNSPQTQEFYFPDVAQMAKLTGSQAVWVEETMDPDLLKAWDREARGIPIGRPAEV NLRNNHTQYIFTWYALAAATSVMLWMVVKKPPADIARRVRQNKEWS LY89DRAFT_601512 MSTNPGRQTLQDATNTDLGGPAARQPRITPRNTDEHAANETDIS KETPWRTLNQLANIPRPTTPLRRASSAGPSSTRRSARRTPGTAHRTNGSGRKAVVVTP HGRAARRELDLRRAGLTPGKDRRRSGKQQRETPRDILRQLSRHLAPKTLPTVPTAEGQ SASVTRSRFRIQDEDDLDDGSEVQRPRLSLPLDEDEDEDDDSLLLPPQSAGLEDENFT VQSVELGRRAIGEQPPGKLSRGSFGSIRMSDVFADLNDTMRGDISGDAYDSSYVVGGN LGDEDMANALEDGVFGVENTVTLRDFGLDRGIFGLASGRDSDIRPANLPADDTENTFV FTVPPREALEKEVAPENLELSLLDYGQDVEELVDVENEQDGDEELDEEDNQHEESGAE ELGDISNINALGHDPSILDATMQESEVAEIMKTRNARKKTVKVSKHGTQYPSLPAGVV KKLATRFARTAGSKAKINKEALDAIMQASDWFFEQVSDDLGAYAKHAGRKTIDESDIV TLMARYGQFRVLCSFGPYSNTYLHRQRQTNSATTPFSLAQKHLPRELLQELRMVPPSK LKKGRALETVEEGEED LY89DRAFT_677939 MSVEDDLQEPLLEKFTPSPEPDAIPSSKKRKRSTEEPTAQKSAK KTKTKKAKDVEEDELDTEAGINNAFSHMDNQLLADYIAQRTRRYESDLSSIELEDKYI PATAIADTTSWNQSRTLDNLPGFLEKFAGNSTKLWSASKKNGAPHTIIVTAAGLRAAD IARVVRKFQTKDATVAKLFAKHIKIKDSIKFLKSTRTGIAVGTPTRLKDLLDDGALQV DRLERIVVDASHIDQKKRGILEMKETQVPLTAWLGQKEFRERYGAPTGGIQLLFY LY89DRAFT_363312 MVLLRVVCLVAILPGIVGQIDPSAPDCAINCWENTKYVSKCSTD VGCLCSEPDYTNSVYQCLFSQCDTVHFGSALHHVIAQCFGSGNEILFAVPPIPNRDDL RRREAEYAAGAILYGSGSEAGFPTESVDYPIQSANYPTQSVGGPYSPSPTVPHFPLET ATSPALTSATPTPAPYSALAETTTASPVLYTGSSSSMTSSTGLALLFALLVIILTY LY89DRAFT_631408 MAYQTSFLQAIKVRRSILTLAKESPISDDRIEMCVNHAIKHAPS PFSVQSCRAIILFGAEHEKLWDLGMAAAKNTMPPPVFAQHESKIQGFRDAYGTVMFFE DTDASKSLPPPLQDLMAQNPDWYEHSQGMNQFIAWTALANEGLGCSLQHFQAMVGKDV TKIWNVPESWSLRAQLVFGTPTGPPRGGVDKQFAPLETRVKVHGRKD LY89DRAFT_660608 MVSKTRVYNCKAAMVAAGCMVLYGYDAAVFNAVQANKHWLAWFN TPKGELLGLINTSYSIGAIVSGWFLGGPTADLLGRRWGMFIGCFITIIATFIQTFSPR HEIGVFIFGRVLIGIGQGMALTAGPVYIGEITPPTIRGKVMSFWQLFYSVGAFIAYWV NYACAKHSALLGEWDWKMVVMFQMLVPFLIICQLPFLPETPRWYIQHGDRIEDARRSL VRVRDSDQEVEDEILAIREAITFEKEVISGSYLALWKDASVRKRLLLAFVINIGQQLS GQGTLNSYSSTIYKKVFTSTNTINLINALNATCGILFTLNATWTVDRFGRKFLFIGGA IGMACCMLIVPIIGLETPDTAAGGKSEPVGIAIVAMLFLFIFFYKPSWGATTWIWTSE IFSMNVRSQAVGMCSQMQNVAQSVFNQFFPTFYNNCGLKSFFFFMTTNICLAFFVWFC IPETKSVSLEEVDVLFGGANHTEKGGDLLHVEDAHHAHIGVDNVDHIEPATTVPVAAE LKM LY89DRAFT_789786 MECPEVVSELARAYVWHVISTSSIGKLVCGLDASGREPLVAKGF SEIYPIIAAIFQDQSTNFNVPSATIIESFSKSTTKELEIIGTDIGTLPCRHRSHMVCL EKHLSYQNKCSLCRTILKQGIDRADIEDTQARQAVRSMLGARKPGVALVFAEASLECI VLRARQNPSTMNKRNFAMAMVACSDCYLRTGKYKVAYEVAQNALGVYPQLSRLQRAEI FRLQANALASDGGM LY89DRAFT_363462 MLQRVIDRISFACQPQLSHRRLQGLQVSARKAAVVGIPAPSCSS VATAFLLLLSTLGVCTSSAAGASLGTSSSTIAGALGSLVFFFFSLFSACRCLRASFSS SLSASSFGGTVSCCVGLGCISIIQAKPLPAGLSTSLRLLLHQVDSQFPTYRLCLQRVL RHHHSSDLCHVSNREISVRQYQPRVSLCSMWLYFHECTFQGLLLSSRWL LY89DRAFT_691793 MAIKKIGEGKFIKLFGWAARSATASDNTIKFPHLKALLDNSDAN KPARFIILTNLEALAALGREMTENDLLYDFYKHLREVFREWCDSKGYPQPEEFFFAAP VVKNSALDANERGVFFSIRGGWNVCYVKLVSRMHVSQPLRRRRGSCRFCTMPGGKKEI FQGRLPWLTPGPAQCKSFP LY89DRAFT_742963 MAPNYPVDDVQVKVELDGSNFQEQGRIHSNAQSTRLPSIVSQSL LDWIVEYKSCERFFLIHAQASPLVQAICTYMNIRLQYQKIAVSPPTTPIPWLPSQPNL CVPHPQNLPLPHLHTPPSGNSNLQTVSLVPYIRRLVCTGLNTELILMEFFGRGWSSGI GQILQQEEQNYLFAAKSADWHQVKLAYDISSEETAPFLVPLKEATMQKIVDAEHNWSE WLAMQDWMLGPRRPASLDS LY89DRAFT_742964 MESRIVPLYLPKGVDKVIGFGSSSIIGRLDDWTVLKYPRIPGEQ WDRFEIEEHIYNALGSHPRIITCFGLDARGLKLEFATMGTVKDRLRHYGSASSVSCKD RLKWSRQASEALAYIHTKNVVHCDVNTRNLLLDKNLDVKLSDFQGIYADSNGGTLYGF ALENSKSFLPRSANHSDQKFDLFALGTAIYEIMSGYEPFPDLDGIDNEEEIERRYIKG QFPVLDDVLAGQIIYKCWSLAYNTVDTCVEDLIALEVSGHAETVS LY89DRAFT_742965 MSHSTRPRNQPLDHLPTNRNAANKHELVRLARNSDVFDGEETQQ QTKQDKDGRQAQQEVKEDAVAALMSGKVGDTYLSSKNDENPRLADPGLSPELSQDKLV SHSDRCSDDELNNPVSDDDGKLRPAVLMHKKRKHHPEQRSTRRHGPHSKPIGTLRNLT PLMTSVRESPQYPVMADSRGQDTAHRSVMKTRMKRTRRSNRERSGGTRTGLRRPAAAS ISPRADHGHPPTLAGDGQHHHHPPRASRSLSAAVKSAPLAEYQEWPFQGFPKRTRIRS ETTYNLEFQLLHIPEHLHLSMLSEALGMCSDVRRGCNPSRHWRTFQDASVRPRIKRVR WTPKEDATILKMREVDSCSWEEIHADQFMSLYS LY89DRAFT_789790 MAKSINNDLVNESLCPTKTSSARNDGLSTHGDCDSREGYHPSAI SARNDSCTQSSDGCDSGEGYLPTSSINSNLDRKNSKRHHPSSINSDLDRDFGESHHPS SINSNNDNNSILLEDPPPTYTMSPAATPAAAGPLLPLFHQAPAATPAVVVADPPATTT TTNPPTTTAASPPTAAVAGSPAAVHIDARGCCNNHIDSTTTSAICGCFAGALITMGYI LAQYFKEESKTTT LY89DRAFT_766502 MATTHQIPVLKQSKEPEFTRDQRLRIQTLFYDANLTRDQICLQT RHTYRQVYYTLQHPSQENRQTPWNQIPQILGWECGEYAIRTAFKREGGLTDEQWDEVL WSDKTWAQLGRHTRIRVIRRPEEKQNKDCMFWGSISKKYGRHRRLFWEKDWETINKGS YYGIIVLVVNEILQQYLELQFQQDLRVIEWPLCSLGFSLIKNLWDDMKDYIQEHYPKV HKSYKRLRQVVQKAWESITHERIRELVHSMRERCQAVIDADG LY89DRAFT_789791 MTSGQCIEGKVSTYVLSKQLHKDIWTAMYQTLPIDRSPSPATLN RSSSLGKVIIKSAPKYRLDNERDVLKHFHARPGIRQLLDETRDPPSLVLNHLDDNLLT VSNSKRLENPEIKFIAKRILEALQAFHEDGYVRTDIKPDNILINYGSEPCRFREVELG DCGDACLVNPKDHLKLGENGHMIGAHMFRSPEAMLNLRWGTPTDIWSFGTTLISLIWG LGWHIFKPDPKDAGPDDEAYPNHVLVKQIAFFGPFPLSYFDFLPKEDKR LY89DRAFT_789792 MSEQPAAGPPAALLQVVVADPTANQPAAVARQPVAHQTGISVIR IGGNNIHLDTESVGIICVIIFGIVVVLALISLAIFG LY89DRAFT_766505 MSVKRLANSLETSSRDPRLYRVIELGNKVQATLIHDPKTDQASV TMNVNVGSMRDDNDMPGLAHAHPNVNAFREHIAIHSGKFSAYTSDISTVYSFRVMETK RNEPDCNQSEGEKNDKEKFPGKKLDAEQLHTVTLSSTTQLETLKTQPEAKGVNIRDRF MEFHDKHYLANRSQFVVFANELLDTLEAWVSNLFFRFKNKNLPQNRWETEVLFRESDL MTQCFAKPVTNSRYFDLSFPFIDEECDYESQAGQYINQLISYEGPGGIMACIQSMGWA TSIGTCIICPGTPRMFHCKIGLTEKGLKDYREIVVVFFEYVSLLREPQEWFYQELKRN TENKLESKVSVAAKSLTEQISLAMGTKSPTKWLLGIPRMRQFNAPKISEGLTCLTPRQ LRLYCLSDIWRSKEKWYGTDYTYEKIPAEFFFQLEAAASFTTKNRFSDLHFPHRNDVL VTDPEVKKEDMGPPAIAPRLIRKDGSIRIWHKKDDHWRPFKMTALTSAKARLFAKVFL DSVNRCSHNFEIQGFKYSVLGHSEGLEIHVAGYNSKLHVLLEELLLKMKNLEAKEDRF EKQVSTIMQWLNTKNHYIEEQILPELPRVTITDVHPQLPPPATSAPVYPSLVLPQGSN FVYNRSLKDPQNTNHCIQWYLDAGDGTQRSLRAKRLGYITWSKCCSLGDSIGFKCTIQ SKQRPQYLESRIDMFLTGFMKILKEMHPMKFEQYKAASCNRLTHKFGDLDFELSKEDA AAVNLLTQPDMIEFFERCIDPASPERAKIVIHMNPQNSTTDGPMTVSGRLDGEEENMA IHVGHQGNGTLLKVIPDVADFQSRMPFSCGFQPVKDFKQFERI LY89DRAFT_789793 MGVVLGKPTPPPSPAAPPPGAPPPGTPPPGARAPPPPPSSRRPR PPGPPPPPGVSKVPAVLEYQFYEPGTYGAMRYYTPVTFLPTSDLEKFSIQCNYFIHFH QSLVIKYRLDEMPRTGSFLPISILDHCFRAEKALRDNPSFDCEILEEPRALAAESVEE EIRVLKKWADTLRCKLRTEKLQEVEMLKIWLLLGESVRVERNTSYRGRCFVTKGTGIL EGVDIDAEGGDGGIFVNGQRFCFSGADLLKQEWKVTPLGEEVNIEGLSDDGGSITSEF EEAEGEQEHVEQSEKKKDMDE LY89DRAFT_789794 MPVRRRASNPCEACRIRKKRCADDQNPCGFCAGRRQPCLRSPVP EPGKRTAFTVPHLTRPAMRATELLFLPPIHKLCELVLQEEQIRSDRYALEAETQCIVA GRPMQLDPRLYLPDCSADTVHRLVIDNYRDCISTRQPLLPWVDIDRLVHAFLEHSHPL FDGRDIGTAIVLLMMALGEAYQYQDTVSGQNDLPSSVYFMEGMTILDEQHAAYTLEHI QGYLLASLCHSQCGHTLESFKYTSNACQGLLFISQPALEGYQMNKQTGAAGNAADNPY LFAFWTCIQMESGILLELPCPPTGLLRFYEHTPFPHLEDMDYGLSPPNIRYLEKQYSL IKHTARLVLAPDAFLSPEYLQTTRKTRDVLDSILRSDGGVERGSLPARYHSIEVILYR QYVLNILLSHDQTSASLLKDRHTKDQTRKGISALIDSASVSNSCLGNQVMENQWMRAQ SQWGNMLVLLAAFSNPTLQPMVLDIIAKEDLARLIQVSSVLNAGLASPTLQVESKILQ HLSRSLRMGGADR LY89DRAFT_363751 MADVEFSERYHLVVKHHGAELLFLHDRLQDQPWLHLRLPTDDQT PQSLIVITGILCLSDLIRGIDEGYFDCLRHELHDYEQYHAPPSLQIIQGNLMLGLCQM CKAQFKQSHLRIARATNLARVLNLDNPESMDNTIDPMEARLTFFTCVILERMVTAISA PPMVVLDRIQVPWPCSRENIRRGTDTEKPDPLLRIYIKALEWFSLLMQHKSSAHDHLR KECDSKFTEPELAACKEGRTNPDLARRISVVLHFCLFQRRREEKRITEFLEELEAYSD TDLSRILEAPFFAWCVKEMMVVAQEFKHDLSNACPKLESRVVPMMDRLHIIRSHRAYP DGLVRIKSESGLVMEGISKSRTLAWYGMIFGYAWQVIAVGLAVAVAVAVAFCCCCTMR LFALPPFSS LY89DRAFT_766512 MDINSMLNPMDKHMEKATKGEKQASTTPSNGHSHFHHGPLPSVN HLLCLANPPATLEAALPARTLQSSNSAGTNLQLGDIETRATYQVVERSYHDLYYPMAY TPRSTTSIKRYHSNERYSTEQVHWIRYHKTDLKWNWKDIAGRFVIVFSTERPDASEGG LTSSFYRDNDVPRIDRHGNFLYDATTNKILTLDMKVRTKDEGECSDEKCPWSFVERYP WAALKYDWVSPAHKLQAKNIIADIKRRRHYTRKQQYILAFRRLEKKRALELAN LY89DRAFT_691803 MVPSALNGDGMGSLEEIKAPQGVVLPPREIKAILEKTAGYVARN GFVFEDRIREKEKTNPKFSFLSPNDAYNAYYQWRLSEICAGRGTAVAAGRAEDVEAEA EKPKGPPEPPEFHFSARMPNINAQDLEVVRLTALFVAKNGRQFMTTISQRETGNYQFD FLRPNHTLHSFFQRLIDQYTALLRAGGMDGEGGKIQQERIKELQANVADKFHVLGRAK QRAEWFKFQDEQKQKKEEEAEKERMTYQQIDWHDFVVVETVVFTEADDQANLPPPTSL SELQFASLDQKAAMSINHNLRIEEAMPEDTDYYNNYPQQPMEMAPPPIPQQAYQPQYQ DTRMRNTDEEDEEEQRIQERTEARQRAQQAQAEAKGVAAPMKIKENYVPRAAARAAQK QNMVLCPNCRQQMPASELDEHMRIELLDPRWKEQKAKADSRYSTTNLSTQDVANNLKR LASQRSDLFDGVTGQPVSEEEAARRKKAASSYDGQPLEKGDRRLDVMQNVNVEEQIRA IHQKFGGEKK LY89DRAFT_660618 MSDFIQVAGWTQHSRPSSRNSVQHLRSLSSSSTSKNIPKPTRPV SKSISSSALHTMATVSTSHAVPSPRVLSPSVDEEGNLSTLPDPRSRAMSPANDNLPSP SHHPDLSNEVATLSTKLINAINHQTNLDDSLTATRHDLETARERIAQLEQQNKEHTDL VVQGVLVKKTAFDLEKAKLVAALAEEKRQRGIAEQEKKKMEQDLENLSISLFEEANKM VIQGREEAQQAEEASQKRIDQLKAQLADTEGLLKSQQEQLSELKHVMEQLTEERDDQT NQTAPSTPGLSKFDSKDDLRDPDSANSMHEPISPSYPTSFTHLLQPVLRTDLSAYEDF TSLLKMSKNVPSASRVSSGSYSHIGLGLGLGAYQSSAHPSNGSTTSLSTSGTAGSSPT TPASTASNGSTNGPNSLTPLKDTKFYKRALAEDIEPTLRLDAAPGLSWLARRTVLNAV CDGNLVVEPLPSSLKQHNFPCALCGENRKDVAHTRSHRFRTSENENAQRYPLCRYCHG RVRSTCDLLGFLRILKDGHWRADNEESERAAWEESVRLREQMFWCRMGGGVVPTQHVH GDPRSPRISEERKEEERKISEEVERTGEIQPKDITPGPGTGTLPENSHSAFVVEGVAT PSPVSSPLREVESVDDQVDDAATEAGEAHDKSRDSTPSATPSKADSPSEGEKEGAQRL SITIPGSFD LY89DRAFT_691805 MAGSFNVCIPVRVNSAKSHSKRVIIRFPLPYKVGDLQHPGNAEE KIRSEAATFIWIRENCPTVPIPYLWGFGLPDGKSVCDIM LY89DRAFT_742976 MNSNEHFHLRTQVPPKFSLRPPYLEASTGKISAFLELPMALANL DVSKPQTSLALGHKMIMFQNLWDNVGGLSPNDERAPAATPAATAAAPPAAAAAADPPA AAAANSGTLFSYFPILTVKRILNVFGSPSSPKEMTNICKAIKNR LY89DRAFT_691807 MIGQPANTLGEFPISVSISSTLQQTCVANSGAVAGVSCFAICPT QGLVPLDTAVRPFQLNQTTPPSGPLNTVSQVFFNKDSTMLLATVKGDPTKNNTGFLSM FPVTNGSVSRNEIRTSPTGTAVLFGTALLPDADQIFVTDASFGSATLSLPSNSSNLNL ATVSSSTKIADQKATCWATFSSLTSTTFVTDVAVNHLVEVDPSSGQLITEFQSQNGNG GMIDLESDQEGGLIFALSPGGGEVGAQGTNVVVFDVSGGKGSVTEMQNFAVQMGVAGG SAQGMAIY LY89DRAFT_537957 QPTCLSRLPIELRTYIWRYVGLTTPFSAFIIVITETSRLARHLC PPLSRDVILQRGSRLSAKMVSVFGTQYIQNL LY89DRAFT_545051 VPLQQKGCVAGFYYENVTSGTTIMRLGVTGDDTPSRAARLPPLY HNCGFPSPPIGSPNAGLFLSIAVLSGLKRVDMCRINKRCAGMLLQYLDGHTTILGQWH SSPPSQQSCIYNN LY89DRAFT_702451 MGHPAGLRAGTRYAFSRQFRAKGMIKLSTYLRQYKVGDIVDIKA NGAVQKGMPHKVYHGKTGVVYNVTKSAVGVIIYKKVKHRYIEKRVNLRVEHVSLSRSR EEFVRRVKANAELKKKSKSEGTHVHLKRQPAMPREARTITMKENVPETIVPIAYETTI LY89DRAFT_789803 MAPRSYSKTYKVPRRPFESARLDSELKLVGEYGLRNKREVWRVQ LTLSKIRRAARQLLTLDEKDPKRLFEGNALIRRLVRVGVLDESRMKLDYVLALKIEDF LERRLQTCVYKLGLAKSIHHARVLIRQRHIRVGKQIVNVPSFVVRLDSQKHIDFALSS PFGGGRPGRVRRKRAKASEKKDDGEEEEDEE LY89DRAFT_691811 MPPPGVQPIKPNAPSAASKEANEYIPSFISKKPFYIGEDDDHND YLEHQRLQKAAQSDQSKWYDRGKKVGPAATKFRKGACENCGAMTHKTKECLSRPRAKG ARWTGRDIEADEVVQDVSLGWDAKRDRWNGYDPKEYKTVIDEFSQLEALKKEAQAKQK DTDEEDGEDGEKYVEESDLGQKNAAKNLRIREDTAKYLLDLDLDSAKYDPKTRSMVDS GATVDTIAALVAEEGFMRASGDAGEFEKAQKYAWEAQEKGGDTKLHLQANPTSGEILL KKEREKEQAKKEAHKKMLRDKYGGETNPNAAKLRDVAVTENERYVEYDESGLIKGAPK VVAKSKYPEDVLINNHTSVWGSWWSNFQWGYACCHSMVKNSYCTGETGKEAFEQADQM RTGGALVEDESTKTIEWPSGEMEEVKDKIASTTIAKKRTAEEMKGLVTEDEMDEFRRK RVASNDPMAALLGKDDLL LY89DRAFT_789805 MVTALDERFRGPELTLRLSMDLFRVRLNNIDHYQSVPTRFDPLL RNNVKPSQVHKEPKVPVIRVFGATETGQKVCAHIHGAFPYLYIEYTGSLIPDDVGDYI HRLHLSIDFALAVSYRRNTFDGNAKFVARITLVKGIPFYGFHVGYRYYLKIYMLNPMV MTRLSDILRQGAVMKKVFQPYEAHLQYLLQWMADYNLYGCGFLDSRTVTFRSPVPQFD EMDNLFHLWHDRSIPSDLVTEEATLPRVSHCTIEVDICVQDILNRQDIKPRPLHHDFV ERLNPLAPDEKLVHSMAGLWRDETRRRKSKMTNPEPGSSPFPPEVMVSMSADPRNSQP GGWIHEEDFREKMRSLIVDEKNRSDGAKLSFNNFVKQTTFESSVRSSLEAVEDLYPEN LCLALTATPGGVNDNIDIGNGTEVDENRILSLVIPGDDEAQYDFDEDAMREIELSQRR SGDKVEEKYLDSLDVQKPERDIDDELRPGGQDYSDQFLDPKDTAGISNTESSHEASQS STNSLHVSQDSIISIVGTNDPGSVKSGTSSIVVKKRSGVPEDVLESEMKRRKLSSHGE DNSTVGAVDASLETSDETFSFMHTLDEPKIRRPSSDSGNMGCHTKLTKLQLPTVNGLH TKSVSTARKSSQESLTSISQPLLFPVTTDSHEPAIALRLSQKSTSQPGQSEMKRQATF DPSVSDAYHASRNSSPTTPTSNVQSIACSTALRNMDHEFTKQGHGLTLLLNELPPSVH YVTSTMQDYNMVPIIYQDAFYNSEVDVPERPREWAGREFRIESLTVPFLPGFDPTGTS EATYGDRSGIVPDKAREERLYQWQRRNCTIRSWIIAEIPPSFSEVANWSRKQVVKELQ AATKRTIQPHAGTHPLSKSKLSQIDGTTQKNKHGFKYTQNQKTTSIKHEAQYMSTMSL EIHVNTRGNFVPNPEEDEVQCLFWCLQSDEEGLENNGTSGNTHVGVVVLSEDGALSQK IAKQVAVEVQEEASELDLIIRMVEIVRNHDPDILTGYEVHGGSWGYLIERARLKYEYN LCDEFSRMKAQSHGRFGKDNDKWGFNNTSTIRVTGRHMINIWRAMRSELNLLQYTMEN VVFHLLHRRVPHFTWADLTRWYTNDKPRDLAKVVNYYISRVQLDLDILEQNELIARTS EQARLLGVDFFSVFSRGSQFKVESLMFRIAKPENFLLVSPSRKQVGGQNALECLPLVM EPQSAFYNSPLLVLDFQSLYPSVMIAYNYCYSTFLGRVVNWRGTNKMGFTEFKRQQRL LELLKDHINIAPNGIMYTKPEIRKSLLAKMLGEILETRVMVKSGMKVDKDDKALQRLL NNRQLALKLIANVTYGYTSASFSGRMPCSEIADSIVQTGRETLEKAIALIHSVKRWGA EVVYGDTDSLFVYLKGRTKDQAFDIGEEIAKTVTNMNPRPVKLKFEKVYLPCVLLAKK RYVGFKYESRHQTEPDFDAKGIETVRRDGTPAEQKIEEKTLKILFRTSDLSQVKEYFQ QQCEKIMKGSVSVQDFCFAREVKLGTYSDKGPPPPGALISTKRMLEDARAEPQYGERV PYVVITGAPGARLIDRCVAPEELLENDHSELDAEYYISKNLIPPLERIFNLVGANVRS WYDEMPKVQRIRRVDANLQLQGQSKELTIRKKTLESYMKSSSCLVCKEKLKLEGPICA GCLADKPLSVFTLRKKLNDAERMFLDLRKVCQSCSGVSPLEDVRCDSKDCPVFYTRTR QKARLATERSVVEPVIKKLSGLIVNMHDLDW LY89DRAFT_677964 MYRRRRGTSRRTKAKAQGALNRAEEERRRRHDETLRRKDILERE LRVRNSLAREAHRENARTLGLANSKNNKREACVIGLGGVLGLQRATFVKSNDIRER LY89DRAFT_631438 MPRTTHSAKSVKTAATTAPSAKRTKKPGSKAPAKTVVGKHPTTA FSSKPAPSKKRTSAHEPTPAVAKRLKPDSAINSALSKTLDVFVFGSGESGELGLGPKA TDGKQPTDVQRPRINRLLDAKTVGVVQIAVGGMHCVSLTQDQKILTWGVNDNGALGRD TDLDSEDEDEDSDLSPKESTPTAIPTEFFGKGVEAFVQVAATDSASFALTHDGSVYGW GTFSGDDGIMGLFTADAIEAVKRKDDKKKSQRKPARIPQLTKIKSLAIGTNHILALDI EGKVYAWGAGGQSQLGRRIVHRTRFQALTPCRFGLPQITYIAIGAYHSFAIDVKGQVY AWGLNNFGQTGIMIGAGEDDAFIEKPTVIENLRPYKIREIKGGSHHSIACTEDGKLLI WGRCDDGQAGIKLEDLRPEDLIFDSRGNLRILLKPTIIPEIQAVFVAAAIDNSIAIAT DGKAYSWGYSDNYRTGLGTEESVKTPTLLQKGDVKGKRLTFAGCGGQFSVLAGPAEK LY89DRAFT_766533 MEIKEIVNSKGPKDAAAAAIANGTAQDLHLLYSISQANSSPMSD TGSERGNSSHDSEHSPYSGPRFGQLNGMNGGPNAMRYPSPQQENNRSGRQSAEGKAFP CSTCGKGFARRCDLVRHQRIHSGIRPHVCEHPGCGKQFIQRSALTIHMRVHTGEKPHV CERCRKPFSDLSSLIRHRRIHSGEKPYKCPYADCQKTFTRRTALTRHQNYHTGTVEEA AAAATATATATATAGALATRSGSNRGGRQRSDREPSPSQGNLSTSPNSELAPMNGIPR HPGEYQDMNSSPLPGYLRGEYHVPPTVPATASFSNSRLPTSHPTGYGSPSILEPPANM EQRQPGSASGSPYMSSVGWQSPSQNMPSPSQSNGYVYPDPDLYGSGAAMNQHMYY LY89DRAFT_742986 MDRTDLHCEEKQDDTCIRMDSGLTTQAYPSLSSTFWQKPHSRFV IVLVGADEVPFGIHKDVLCARSPFYGGELATLDQNGLELVCKLPDTDIEAFGCLQSFI YTGQVYRMKSGDSIPDFSVLFRAWKLAKTLKMAHLQTNLLDCMAVRHYQMASIPGVQI IKEAWEDTEEGCPLRIKLMDWMAEYMRDGNGAAKLAKRLPNEILSELCMLIARPPAKP TPESLAELLPRV LY89DRAFT_691813 MPKSINSDLVDGGSARNDGLSTQNFDDCDSGEGYHSSSISARNE YTQNSNNYDSKEGYHSSSINSDLDRGSRGHHRSSSINSNFDRDSGESHHPSSINSNLD RKDSKGYYRSSFIKSDLDCDSRGHHRSSSINSNNNNNSILLEYPPPIYSMSPIPLLPL FQIPAATPAAAAVASPPAVVAAADPPAAVVADLSEVVVANPPANQPTTLQPAASQRNA RQQDAHQAGVTIHGSRGCCSPSINMDSTSCSIICGCIAGGLITAGYVLAVYFKGLFKV LY89DRAFT_544882 RPTRTKRYSISREQVLAIEEDMDGHWSRGSMTAAELVEHYQLGC VPQTLINAFKREGIGHYWAAESKYLTPNNMKERNTFCRVYRDDKGWKLPQYKKVLYSN TCHFAVNQRKRQKVWRR LY89DRAFT_725857 MPESKDSDLVDGGGLCPPGTSSARNDGLSTQNSDDCDSGEGYHP SSINSNLDRKDSRSYHRSSINSDNDNNSILPQYPPPVYEMSLIPLLPLFRRTPAATPA TTTVAAGPPAAAVAANLLAPTIADLHEIVVANLPTNQPTTTLQPAASQRNAHQQDAHQ AGVTIYGSRGCCSSNINIGATTFAVIITCIAGVMGPVIYFLTRK LY89DRAFT_691816 MQNQYPEQQYADLQYMAQAAQAAIPPSPSSIAVHFRLHPASEGQ SQAMRFDGRCLCESCYSKQEKDEGVLHMLDN LY89DRAFT_364119 MALCADLLLMLCTGTDPAIVGSPLTKSLGDMLVIWVISWLFVKL YCSSTSSLRLQTSNNVCWDSRSESFRSLLQLRRRCRLNSRLFFHCTALINVFSGTFRH FVFGAYRPGPRLVRFQTAWRA LY89DRAFT_742991 MVQRQNPSQPGDYYQREGTWKDRFGVHNRFVPAAATAQAIEDTL KKCVGIPFKEFGLIVRLETGEDKVYSSLALARFRDTIFTEKFKQDFHRSIRKALAPTY PSPTAFHQDVMFNDFDADGSSGGYNRKHSSSGESSSEYNRRVHRKIEVSDNDDTPVLE NAQQLMIGDSGEVEKFYHKRFQDMQQSSCKVIGKAFVKLMEPKKQTHHPYTKGNDRAP PWWPSTTGDNKVRHIEPDHILKPERIRLLVHILRMVVEPANKQHPSIEKLGLNVKKLE EVTMEAMYNWFNDKEYPNNARKKPFLNEIFKIARAEEMYKNGEIDKSTCFSVMYSERL GTDDSDNESIAASTPFLEVVSPTTGHNSHMLDDTMRMRHPTRSSVHNQMEEQPQYNDF GNYRNMGFHPQSPCIQDRQSFVSTPKYSSPQPQVYNNWSMVSNSGGQSFYTTSPQQNL PPSSGPYLPLPNAQPQIHRPPQLPNYDYDTSPGPGDSLRTGSIGHPHPHQQHMPVFEN YVQDHGGFQQHNHDLKDQHQQNLHHHQ LY89DRAFT_691820 MRALDDFVTRARSQNAQYYDVHSKSLKSLSITARASYDSFGSQL AITHERSKSLDDDISEKQKLLDEALATLNSTLQQPLSDLRANISRTTLQEYKPTAETP QRTQYEYPTELPRTKPHENLIAAGSFSPLIISPSKSPLFSGPNPNANDESVKARPLVT SFSTSLPPREFSQTLSGLSASICAGGPSVDPWFLDCDDVV LY89DRAFT_789813 MAVSKMAATRTTLRLRNIIQVRCCSATREDYESKTLFNLPSQRL LDDTNLSKCGTWINGEKLVLRATQMQSALAPDSSNDIRAGDFGCSIHPIPHSSQKENQ ISMFPERIAYPQSEETLTTSSTQITGTYDPSYVPMEAYHYLPERNIVVQENSEVYMAV YKASGEYCIAKVYREKEEEKAYRQFEVVQRLKKEHHIVPYKQMVNTEIGSRIITPYLH ATTLSEYLDPSSTQRPDIDLSFMVYKHVTDALAWLKEKGIVHNDVSENTIWLDVWTVG QMLPTHNGRPCCDPQWRLTMSQVIEGLKTAREVVSSLEAMSPGYEQAPFRISLTTKRM AIKRIVDQNGTEAVRLLDFLRVVLCLDPRYRSTEHAIQKVIRKRDLFYIGDDVYCHLR DAEKLLHYLNRNQHVIIFDLTPPFHSKACWYEMTYVASVPIIYHRPTGMVNVTQILNF FDQETVCDLSEHFNPSIQQIVGSPKWEGYYVDGASMRGILHHLHLDPLPEWANASDIK GEKETSTIVATQEMIGNILYHRDDASVRWEGKTMAAETAINICEGHGLWIAHDALRRF YEYSHEPASTWTSICTDADIIGLFDSSNDSDFTASATSHNSFQSNHDRVNPSKKKGPT NIPLLPQQKLRPLEEENTPAKKVEEWIFYEPQRRSQTESTLSHLTDIYDDTSSICEE LY89DRAFT_677975 MYDLPMPYQDLYPRCCSCNATTNDARRDEQHQNFQDPALCHHQS SQLYETTTTASSLRSKMKMSASADSSSKSWYDRLLARLRQEAPAVDQTLPLGHLPVET LTELIVTTFKSYETRSIAYAKECLHEGDEKAFVDFIKAHHRIIEQFQLQSPHYYRDMA RTLNNHFIRKTQDETGTINSTIEEACVPRIPDCPLSGPPTPDTISS LY89DRAFT_557586 SVPAFEAKLQHEGLVYRHLDEVQGELIPVYLGNIFFIHPYFFEV RVRIVHMLVMSWAGEQ LY89DRAFT_364252 MALTAPRTRANDRLTPPPDPAYNYPASTRKRTRFYDAYDKQHHL KSFRQLCRDEGVDHTTGLRWKRQRETMGHLAMRTTRGISKKPLGRKSKVTLAMCQMLV DPTQNPVRDQDLNAQIQYYKLPIKRR LY89DRAFT_766588 MERKEIKGIAFYITAWINWWGKSEKLEFYNNEEDTIEYPPMPLK PRCRPTTESQEEYKARVEE LY89DRAFT_725865 MLEHQASKAVSAAPVAPQMSVSSMSQDCDNRLSQPSEVSNTLSL FALERLSASQNRIKVHDRITPPMTRTGRIVESGEESADSPEALQREFRDDGINRDIDM EFRAGYISIPPSYAFERRLDTLPVELLLAICLYLDVGSLVRFAYVCKHFYVLAQRTLP SLNLDRLLSDFVSSVSGFKRQLMLSGLAAWGWDDSVSSCIGEKPRCQVFCRTLGDGYD IAIYLSKFEKYSTDLSSTTTMDLCTGHLFRMVRAAFQSGMPFELERYDGEMVVIDWGD KFVGSRGSSLLHWKYSWGLEQVSWTGFLGDPSKSCLLHKRHIAWEDGKAAVRGCLVDI LLCGSSSGRFLWVRFSAERWSPDLWNENEDIVREGKEYFNSRKGGETYR LY89DRAFT_742997 MVVSLSTAAFDSFQSAMVSTASNDLFRNRLNIWWHSPNLSYIGS RLGINHTGVGHWLVRSLLLVERLGGILTVFIFGTIYFGSAMQGAKLIFLEQGLYGNDW SAFGAFVAAPFGGLLWGFAALAVRLTYQFTNAKLKGERCDALDRPTYLDNRIQEGPEY ADADEIHSDPQEGLVTVDHKGRFF LY89DRAFT_691822 MEEHALVPAFGEVIDVGDGGRLELAAQRPGFTPRLSSFSINAND STTSLLSSSRKPNGSGLKQSVTASEDPDPLEVIEKLLNLPHNGRENTDGESSVGNVTT ISSSSMESTEPGEFVCALRLLRSQRPRPDSSSSASPPRPADHGQIKKSKGKISEDLRK KAQKKAQQKAQRMAPTKSRVETESILL LY89DRAFT_364284 MASQKSSGKLLCASCSPNREICCASCLVPVSLTWVVTGGYGTVP TPCRALCMFWAASLLLLLLGWSTSPLLRPFPLALLPFWMTDPLLRSFVSRFCFGSSCF PCFG LY89DRAFT_601683 MSLGDDHESEPLNGDTTTAPPPASAPADLNTKDVHDVVNSELGV TTLLNRLKQSIASAKEFALFLKKRSALEEEHSNGLKKLCRATTENIRRPEHRHGTFLQ AYESVTHIHERMADNGAQFAISLQQMHEDLLEMATTIERGRKHWKTTGLAAEQRVADT ETAMRKSKTKYDSLADDYDRARTGGGQSGKKFGLKGPKSQQQHEEDLLRKLQAADADY ASKVQTAQSQRAELWSKLRPEAVKVLMDLIKECDSALTLQMQKFASFNEKLLLSNGLN VSPLKGSEPGSQSRSLREVVHAIDNDHDLGTYISSFATKVPKAVPDIQYEKHSTLQQP QQNNVPAPQRQSDPPASFASRQGPAQSFGGGQSPHQTHMSQPFNQNPAPAIQQHERSF SQGPAMQQYNPGSMGGPPRAPGHVPPYNSGSISANGPPQISTLPFQKPQTPPPAVQQQ SPVNASMFSQPPVTTNASTLPPLKPVFGLSLEQLFERDGSAVPMVVYQCIQAVDLFGL ELEGIYRLSGTASHVTKIKAMFDNGKHNYASKVDFRDPANFFHDVNSVAGLLKQFFRD LPDPLMTAEQYGAFIEAAKNDDDIVRRDSLHAIINSLPDPNYATLRALTLHLNRVQEN SAANRMNASNLAIVFGPTLMGANTGPNIQDAGWQVRVIDTILQNTYQIFDDD LY89DRAFT_364300 MSSCGQGTPVLLGIWLVGPAGAVIRTYNQPGRQFGSSGVPKEDK ETCFKTYLKTERLIDSARRSGMMKWAQSVTICTVIVLVGWLLWSSKTKPTPELQGQTA ARYLHLLIPSNSRNVNLCKTLLSAAALDYPIPTAVNWNQSFDDASLVAGGSHLAKISG ILDYLNTLGDERNDDLVLAVDAYDVWFQLRPVVMIHRYYELIRMAKQRIIARLSRAAV EAEKIEQSVIFSTQKRCWPREADDPGCFAIPESTLPKDVYGPETDTDAGTADNPYLKY RPRYLNSGVSMGDVAGMKRLFRHALQRMQQDRNFGSDQNIFAQILG LY89DRAFT_601477 MPITQVPIVGSPSYYPSNGQILFAAPPIYSARPLIQAPFPEGCY NSHPTPFPHPAPPAHHSYVPGLQVIQHPPDHSTYIPNRLEHSHKEVPGLEQRCGSNSS VECAPDRFYHRSLAHPDQSSSHMIAVDRPPLRPTPSQLPGPTKVHQSSKTAKTDTRIP IDVDIDALLIQAPSIPPGVLTTRSNWNLDQSLSNPIDGNRNVYICGLHPTTDHDTLTA YARRFGRIETCKVIIDISTGACQGFGFAKYFNVQCSELCIRGFHKLGYKVGFAKDSLN ARLKAKGDELSTNLYVSNLPMNITKSGLCAIFKGYKVISSKICPSTSSYSSGVETLLI RLCGFESRKDCDEIIKKFHGRPICGGGHSLQVRYADTSAQKDLKSVTFQARKHNVSAY RGDTSSPPPASASPTLRRTESAHQLSRSSSHSGSNLQR LY89DRAFT_601469 EFRIVRVAPGGKDDRIMCWLVVTSISQPLNYEALTYSWGDMRHF NKIELQSSEASPSKRFTIRNNLYSLLRSLRHPSNTMSFWVDAICINQNNAHDKARQAK LMQNIYNSAQNIYPSCNTLAARSGCKT LY89DRAFT_601458 MISPGRSTAGAQKGLKRSFSQTSTPLPPSKRFCSTSPTKAGSNA LPNRVHRRVILRDYGEPIYKASSRVALLTALEGCIEGHESLHKAGFLHRDISINNLII NEDEKNLSWPSFLIGLDLGIKESRKEASGAKSKTGTRAFIAIGALCGGQHSFMHDLES FFWVLFWICIHYDGPSQERVVPEFDKWNYVDMEELAKQKLGTVGEEAIFMKTITDNFT SYFEPLIPWVNRLRKIVFPRDRPQEQEDESLYPRMKGILREACEDLT LY89DRAFT_660655 MSPQRTMLRTSQRFASQLRSPAVRQSFQRRFASTESSAFHGAED NAFNRERKAVKDHAAATSDLWRKLSIYITIPALLIAGANAYVLWNEHWGHWAHMEPLE ERPEYPYQNIRTKNFFWGDGDKTLFWNDKVNHHKKDE LY89DRAFT_660658 MTRFLTPAKIGVLALIELYTDAIVPTSSTLPVLSFILNQLIPAT AKSYPREADSAQSLPFILDIKSFEVLLAAHPAASGLPGRSLWDHFLKKLWEIDSLDSL HTFFTQRTNLLAKTREDVKKDGDLGIPPPSENMILLSRTSPFGSFVRRSKVEFERLRF SDALALWTAFTRWREQSRTYWTRRNGGLGRWAGDRALGEGEEEWGTDATEMLELVAYG GVSLEDEMDGSVSTDDLEKLLEFQVEQMQKLGNRVPPEVRDKIQSIFGESIMIPSLSH YLNFLDAWRSGDYPTSFDNLHRYFDYTMQNRDRLFYQYALMNLAVLQADFGCFDEALA AMLETVSTARENKDMACLNFALNWLYHFGKAHPDTIRGTDSTNMLGVEREGLAYLRVK AKKTGMWTLWGSSLLSEAKLGMSNGESVATAFENMLRSSQLIVDKNMKTMMGPQLAMY SSMWARLGVSHLSRQYCQIFLSCHARYTLFDDTLRFTCRLAHLLTEKGHYDEALEKLD SLDENSLRSWKANQYWLRYRGVIRLKRDLRRDNLDGANQLLSQLLQFTGTDMDSDLAF EINILHIEYLTRRTDYGAALAKIEQMASVMKQDGDDLNLQVKLLTMKALLQDKCGRPQ KGFSVAVRAASIAWRARLVPALWVAMGFIANILTSLFEFQASAQILIAIIPQALEYEN CSLNAQLYSFLADACMGMAGQAGVGSTKRKENLTKCLEYIDRAFSEYSSIEDIKGQCE MMAKKATIMKVVGEKILANDYAGAYMDLKKEAALAQA LY89DRAFT_789821 MRVTPNPLAKGFFGRSVDELKRLSGIALRAEGTRRATNPYVLHT FHEPDSPESCKLMSDGDVGGFSRAHLDWIPPATSLHPLPSENNKGYAKFHGSISIELP ADKPHIQRTGYAAWRTKDRPPTVFGKSLWDIDPYAFLALRIKSDGRKYFVNLQTESIV PTDLHQHRLYARRPGEWETVLIKWNEFVRTNHGVVVEPQTELLRQKVRTVGIGLIDRI PGKFELCIERIWATNELGEEYLKEDDRIEEGQLKSKHGEKIRWNEEKA LY89DRAFT_789822 MSASAGTPSAPAMKLAGSGRASSLEPSPASTYGDSASSDAGDEV PQTPNDEGAVSKKLSKLTIKVDKDVKAGIERYSPPFVRNGSQGRAEDPFDSPLARRPQ GSSQRTPTGSKFMSRASKSDNWRSGSSSGSDSGPISPLEALRSAQSGRSVFVRDYAAE ESHLRTAEAIAIKAEDAQAHYLPSSCVFVANLLQSESDEALEVAVTEIFREFGTVFVK IRRDSKQMPFAFCQFTTDDDASRAIRDGRGRTIKGRPCRCERAKAHRLFYFERKYGAD LTVSEVEALLRGFGRISYCRQINPADAALNNLGNGIIVQFELYDEGQAAFQAYRNHEE FKMQPVSNMGMNSSPRGRVPQDPVGRRYLEEYDIERRSIYVGNVPLDAIIDELRDVFQ SHGRILKVTLHKNNSIVDATQQRCFAFVEFEMQPSVASVLRANASLGFTLHGMALRVA QKDSNAALARSQRRRSGYGAQASATPYKSPAPQPAAQQQHATQFASVSSQAYGYVASP VAHSPYNAFYGYGGYGGYGGYGGYGGYGSPIYATANGAYFTQFPAPACYPGSPAYNTG GSPTSSPLSQQFIYGSAPSYGFSSPAQQGTFQAAYGQPMASNAPAEDDRSSTPTPVGH GSGFESLDS LY89DRAFT_364932 MTSRIVGPPGREPLQRSFSSSTTTFSRPSPHRTLSQKFPSSSPT RRTNDVLIDLTVDADAAGRHAPRIGTSRLRLEVSTDSKSALVESPAPTSDSTSTWKPS LPIPPRGRPVLHFDVPSVSNLSPRPSQDGGQDESTIKPMPLPVRPRQHAPPALGKSRH PAGASVKKDVRPKPYVLEVPTIAPHYPPNGHADFFPWTGNHTEDQFSEHVIRHGYLDK AQMTQNETASARSSIFPALKHKSGLQTLSSLLTNVLAQRRAHGQITSASTFKPPPRVT VTDTKREMWLKDLANPTISLRRLSRSIPHGIRGKVLLDQSLSKNIPIERAVWLAKCVG ANELRSFRRKGASGTFAMGGEAKWIRDFTVCVEQFIESIAGTCGEKDFRVRIDYAIRL ATHFHAEHLLDRDHYMDWLVSSVENSPQAKLPMWLLITEIYWKDILQYRKYGRRLATA LMNQLTETLNHPDHDILAPLSDRLVVLLDGMMVSNPESFIAPKSWLKYRDALMSSLSG KSNSLSTVLLEIDRRNNRLAASSAKKELNSRRRFIKLLDRTLSHPFSHELARQWWQTD EDKDMLMQTVLEWASSFHRPGVAKIYVATRLLRTWSHFGADVTAAILHFIDSATYESG RNRNALYHLVSELARSEHFSTPRYLQWLIARGGLHDEADVVPSAPCSTRLLAELPTHN LSEGILELRMTLLTRANFSVDEEEEQVREYMAIINVDLPGMQTHVDQDLDSIDIPPFA HRIPLISTASRTSKSELGLWLRQKVRIQMIQPTIPPLDDWDDCPMKRGTSAITASEFG TIRQYLELINDYSMLADVMMIVASSNDPEVLASCADTLDLHLETFAAIGALKGLFDNL ISRLRTLSKETDSIPRGFLVSVAELAARLPEQELTAQHLAQDLLRSDRKTAADACSPV SDHMAIVETAEVDFTDEIEKVLASGNSMDQGTLDRLFHRIILRSEESWEKSPEQQRSC GLLLTRLRTFDSKHFDVLMAAWVTRLLQTKARPALTKVLSPLISFGCLILRDVVLSYN LLVDTPDNQGAALEMLALLMEPCLMPDIITKQEAYRLRLKQSHMLKDYPRETLLIIRK AFESCPSDDNSPQHLHATELQSLLDSSPMCEVLGTLVLADPDVFVQELVLPLLQCGMT KPTASINAVIDYMLVGRDGPNQVTTEVLLNSANDLSLPFCQIKLASVLREEDTVMQDG DAGRSERLDAFDKAIKSAVDNGNTAWASIVPLLDRSVAQHLQQRAEQQFLALFPSPKT LNVEHLPDIQDRVVQARNVLRIINTTACIGSTISSSAIPEYPSLGPDIVAACNGLWLV LSNSYPQELKDCIITHWLPMLLSFIILHESAFDTTKSGHESRAKTILSLVAIYLQLQV LDVDIEVANELMKQTFDLSLSLVDLLPEDMRQQCIRSLRDTVCSPSVSYMLSYCANPS EWLVMVQKERVPARSGASADSRPVEKEKLTPFSLRRWENLGEPTPNVGENDTSLSLTL FGARRG LY89DRAFT_660672 MATKKDMRRADLIIPYQIPAAKEGASDISGTLGSTLPMAAMFTR NKFIGWASVVFAIQNWLGESEDAKKTASQPAYFSVGMSLMALVVTYLPMFLPPPSIPG QGTGTEAPAAVPVS LY89DRAFT_691834 MSIENLKSFDPFAEADEDTGETKQSQNYIHIRIQQRNGRKTLTT VQGLPKKFDQKKILKVIKKKFACNGTIVVDTEMGEVIQLQGDQRKDVQEFLTDKKEGL ELDAKTIKVHGF LY89DRAFT_712144 MAALYEESWNIFFLESIGTKTLDCPCQDPGLASRRPPSSRNRTQ RSPYTTSSPPNLHPAEPDQKDSVLHPRVAVLLGVEKHWHLPLLFCRALSTAPAAFWGL RIAFTFLGELLLDENGQDIAAPWSVEKRFRVTEVFLAVLWCCSSAWLSFYFTDCLMSR WLLNYTPQATLVRLLTINSVNAYITSWVLYLSGGSEDPRLLLPAWICIVSTLTFLYHL THPRLAILKETSLSISVFSIASFISMVSLLLQLHMTRANDPPVPLFMFVKQGWEFAKL GVGKLRMAGTYI LY89DRAFT_631479 MRLVAKDVQQIPSDVGILDSTNAPSLFRSPLSYLKLQYKRIAFS FRDQFSLIVLKFSSPKAKGFMKRNLKLSRSTIAPTAIALHRQMYSSFAEGDIASLRKI CADGIYDSFRARIGSRPRGETVQWELVQYNKRARVVSNRGARFPTEGGAIRQAVVRIS SRQKLTRSLRTKGGASEVVPGSGKEKDVVEYVVIQQKFEAWRPGPWQVWGTTRETTLR DVEEWQKRV LY89DRAFT_365096 MGLVAQAHSCYKSSRLFEAHQLSTLQHSIVLVHGLTGDREKTWT ANNDDSPWPQSLLPSKIPNARVLTFGYDAYVADWRGMVSQNRIGNHSMNLLTSIATFR EDDDTNDRPIIFICHSLGGLVCEDALSAAARRPERHLRAIIDCTCGILFLGTPHHGSG LAKWAGMLAVMIGILKQTNPQILAVFEKESEVLARVQDEFHTMVRSRNQERLQPIEIT CFFEEIPLPGVGVVVPKDSAILPGYIPIGIHSNHMDMTKFEREDEPGFIAITGELRRW IKELTVPSRQQHGLQDSTSSRPTPSLRIPFRRDQDFVDCDILPEISQKCSLAASRVAL VGLGGVGKSQLAIEYSYRIREESPETWVFWVHAGTRARFEEGYKAIAEIARVDGLDKP SVDILQLVSNWLCDEVNGQWVMIVDNADDPNVLFKQATDYGSATVARSLSDFLPQSPN GSIVLTSRSRDVAFKFTGRNSDIVTVGPMNEAHALALLHKKLEGHLDMTDAAELVQTL EYMPLAITQAAAYISQRAPRINISKYLHDFRGSDKNRASLLQKDAGDIRRDSTASNSI IATWQISFEYIRKERLSAARLLSLMSLFDRQGIPEALLLQNYQDNGDVKANFEDDLST LTNYSLVTMNVEGDEFEMHRLVQFSTKKWLELHEELENWKEKYIDIMGNAFPIGRYEN RRVCGKLFPHAQMVLLFCPTNEVYLAQWASILFNAAWYSSEIGSYNTAEEMDRRALEG REKVLGKEHPNTLTSVSNLASVLQNQGKYEQAEEMNRRALEGHEKVLGKEHPDTLTSV SILASVLQYQGKYEQAEEMNRRALEGYEKVLGKEHPNTLTSVSNLALVLQYQGKYEQA EEMNRRALEGYEKVLGKEHPNTLTSVSNLALVLQHQGKYEQAEEMNRRALEGYEKVLG KEHPSTLTSVYCLAYLFHQRDEFENASILYQRAYIGYQKVLGPDHPTTKACSKHYVSL LEHSRG LY89DRAFT_789827 MTLPEPPSANVCEEDPKQLLDCRLTYLDQNLTSLLPVISDHVVF AQDHYHHKFLCWLTCLSNAVKQFQKIGLEPLTDTGLDYGQRVVEYIVFVLRAFLSTQL CSPKTYELSKAVSEIIDLVQSHPRDFFDPCYDEFMRGREIWRAGEGLLLLVAVLLWDS DSSSERQKIALEEAVKYRAGRQLKHAAEVSIANAYHYKGESDSISDRDSASDTTAYDS DTQEPPPSILTENKDTSTAPDNLDTSMTQPEAKKIENKGELPKPPCCQLPSSFTFNDL TNRVSWPRSLPSSYPPVDNLTLNKDNVTQALTSYTAFHITLPPAVTYRKADVSDQEVH QLSTGGWWGFFKQNPTTVRARLKSHECKYVDELQAAIERFEPWPETFDLKLAGVGREC KGELKVIFVRTLKSGLDITSAYKQAMSAPQQQHRFPLHCRSGPPPPPPLPARVTRLWP SNHPKIELYTEEYHNIALPHDVKIKDQAADRLSKYWLKARGT LY89DRAFT_743011 MTMETLAESPINNSILSKHCALINRHYLPLLQFWHPSFESKMRQ SLQTDILSDDLWGQILINGMLCLTSKFDTRLEGGCKWYGKKLKIIRRTRNCREEHLAI VQGLILLGIHKMEQGSWEDARKAASKATRLAKAMELDKAGRSNRPEESLHKIESHSRT YWSCFILERLIIGVNSPPISSWNCRLPQLQERFVLEKDLNLGSADPIRRIYCLVVQAF RATTNFIEAGRPKSLQSDYYSIIEKTNKSFPPGFEYSIENEHRQLALSETLFVPTHIL HYFNYFRIYADRPDGDEMKLCVDKIITIVKEAQWHDALSEYPPTIWCLQEITKFLMEH NTMNQALVEILQPLALRWKSIRNLLEAYCKPGEAATTAIDDEARLISNAAATGAPGRK RKRRRTRYIVQNKHVSMTHIRLSKTMLWEGRDIQSGTMLQSMSGSTTFRNITLPTSHP ALGLRNPTIPNELKKQHHITLLDPHHPTFRFKEIPPATHAGFFKIHIKAEGTTRAGLP VRRVSRRTEEERQAQRTAAIPLLVNMGDLGADGAPWRQKDGTSQLCNSKEVSTIVSTV TTIASAEAFQYLKQICTRIRTKMAAVSCTSPPLSSTNDEIVAISARLDTLDSDAAYLS ANYQLSLARLAEGIQNLRTQEKLNFGGDPCLKFIKLENEDPDSYAELSEGLANLIRPA PVEI LY89DRAFT_677994 MDSPGGYQLIGRTVPVWDRWVATKGKERMWMFKVIDRICFYPVS EETLDDAREFKTTDSLVKIEEGELNLTEYEVWIEETSKEDAEPGRLRLETLKESGLVD ELKPSYQMGSSQDERKDEDEILNDTEMIVRADIAGWCWQRNVEEGNMVEAVQELISIE SMKMEIKILAPMSRRVVRRNRSDA LY89DRAFT_725880 MDLAEESSAYIKRPCDHGLEILEEKADLVLHENQRIGCTSRDFK MQLLPESEIHEVACAALQELMALVMLPDLMVDPSITLSHAARSLLCRIASEFTNEQRL LLCCTKWNFKSESWDFYYFAFGEQDALRQCMKMAVRTYILPRVHAMTVEDGLSCFAQL KEVVGEKLRKQAYPLDIHRTICHPPISVKSDQAYVELLVDQTYHFCSACMSDVRYDLK RPLLKLWFMREGWEA LY89DRAFT_660688 MCDYTQVEFRCGHVRYKVRAWCTNYEETHKRCPPSVVAIEFRLD QRCGDIRPPAINPIWMAHQTSNSSIQIRGVGKGSAGGCP LY89DRAFT_725881 MPAATSSSPPPIQGAAEESNEILVTLEVEQTFEQTRFDINELIG IPLGNESWMSCFVPTPQIEPKTSDWDQIKTAPGICVIGKTCDGKFYEVAVCKSYSPWL VGHEFEFELDLHYNRTEPADAEVRVYGILPARKRSHAHFLKNVIKEISFGRGRRFEQY CRRIAPYELQIPLERAILNHHVLVNSTSPLPNIHTNFSQKSNSQIRNELCQWLVLIGL SLDSNCSLVSELVGKDQDQLLQGFRQDHTDLLEDIPKSQQNAEFHRISLHWLLFVSCG AKHDFRPRKFDPRYTAGENANMIGSLIGIKGSNRFGHFDLQAMANALSHSKVFTKYDI SVLGITNLASKIMKSLPIGFQEGYLDLPTEVLYRIGTGAVNEARTYWSHIYHRQPSLK KSDNNILLLLIWSSITSDVARNFSEWAASDDGLNLRRRLLKDVQKSQTTEQLALTLAA WLCFQRLFPCSSDTTQPIKGSFLADFHALLTGERLSNECTVLEHMISSLFRALNNLGG DYQDTCQLLNAVLDTDTLAL LY89DRAFT_743015 MSSEEVAGESNRRKQYSWRETSTGMERLLSYPERMYSILNRELH GQHCGFIGATIQIQSNASGAAARFLATSQLHARAIEAFKQTRWRFPSLAATVADDKRA IYKHDSIDGINHWAERTVSLVIREGGWLGLRQHLSRTSPLPNGAGDFHLAYIVVSPDE AVQSAVSNFDVVLHTSHVFVDGTGVKCIFNEFLDRLASPMGKGEIIWGQEIFRLLPPS VVLMKEEAEEQTSKPVSNTPFFPTLSTLTGFTKPDIGLPIYRPELGPPKSEHRGTQLV SHTFEAAFLPRLLDASRQHGLKLASVMHAALLTAVHQSTDVEPGPEDVFRSGSVLDLR NGWMSQPYSNRRVFVNIATSSLPIVVPCALFKDPSSFWEVARFIAKVWAGVSSMKGIA RTMEAGAKAVVEASENKSAVLNAGPTYPKTCPYFVSDPPGSQILSKTFSIAGTEDLSL VLESYQMATDQIQSFCSGRAHSWDDKLTLCLVFNAVRNPAEKIQAVLGKWVETLQTVC EQHERGLRKSSSRL LY89DRAFT_365200 MQLISILASLLVLPTLTFALAISDTTNSALSAFGKRSTVSDILT DIEDAASCTACEALLVILKVLAHTGNDNFVDVITEICILAGVDDDDVCKGAIALEGPI LAHDLRVMTIGTRTSELFCLTVFGLCQWPNVEAYTVSMTDKPDTSRPAVSGKTPIQVV HISDIHVDLSYEVGASYDCTKNICCRPYTSADAPGNNSYPAGEYGEPTCDSPVTLEES MYAAIESLVPNKSFTIFTGDVVEGAVWLVTDTEVTNDLNDAYTRMSSIGQTYAVVGNH DCSPVNSFPPAAVDTTITSQWAYDTMSSDWSTWIGATAAAEADDNYGSYSVLTAGGLR IISVNTNFWYKQNFWLYEATMERDPSGQLAWLVTELGAAETAGERVWLMGHMPLGSTD AFHDQSQYFDQIIQRFHATISAVFYGHTHKDEFEIAYSTPEAPTAATANMVSYIAPAL TPTSGNPTFRVYSVDPETFAILDYTVYYANISSPTYQSGPTWEKLYSVKEAYGSLLTP PVTDAAAELTPEFWHNVTVLFEDDDDVYQAWYARRTRDYSDATCTGTCKNTSICELRA SQSQYNCGTVTAGINFKHKHKRDTTTTTDHSECEGSAIIPIMTSFTSTGMAAFQSALT ETMGESWLSTTIPSNYTVAGWNSTTS LY89DRAFT_691843 METMITQARAELVMPRRARESPERRREKKVTYRNYNNNNNAAFT ARNVSSYPLAPEESFPRQNNQLHLQTPNAQLQINDLMGEYFEVDRPSTRNQNLSPILH QNFPDDSSWFSLSPTYTLASNQPSSPQTAAGHDGYSPRSEVLQMSNNGQSLSWNAATP GTGQGASEMEEMSFSDMQLGSSLGSFNSMVFSARGSATSSLSDGWNFPILDSTIESSM TTNQLRDLTLPDEYFQTGPIFDVANQTQMNPVSSLFPQGISFDNPNWCDQVYPTEGFQ PYDSNLITQQEVQSPHTLPGESFVPNDPWDSQNVSNYQLQADPGMVAFEMPRGDPYKT HIARQELLDTGQRSTRFFSPPQPTSPGDSDSDGWRLVSYPGSSCAASQDSPEAAVSPP AQPPSPPQTQVVISRPSPPLPKVEPQSPPRLDHERPHSHIFSTISGISKQKLPRGRQR GLTAKEKKEARDVREAKACWACHISKTKCSPCSPGKPCEQCARLAGKRRFCLFSCFND PLETLFTFLVPDYLMGHFTEANVAQFVAKNASSWGTQYFHIRMDWGYRRYLTAEVVAL ALRNNSEMGYQHQTLEQEGTRPALVRKQSPPLGIPLAAMDDMQDMYSRYIQDIVQNDL PEYVPVAYPDQETDFAERLLGVIGNFYSAGHQMDNESELLRRALEMHVTAVILERSLI LDSSSLHQVEQYLQQQYPERSAPRCAQRQIKLAFFLLQQRRIMRVLKDWGSMMWATNS SISKDKEWALAFSVFVTLILVMDKTLGAAYYFCEGRIRHHGHQAGPERAEFENLVRLT QKELFERCKEIFHWKFKTRKGGKEACNPIRDGAEAFHGKSKSVDPEVMQFVRDLQNVV GEFELDVRSHRSSRSDTESEYTDAGRLACIFLDDFLSR LY89DRAFT_691844 MCFATLVVVSGWRSGCDLDSLYLTAIPRHLLVRGFRFKIVRCSI LVLSHEEFACAGSNMEERYPQWESRH LY89DRAFT_365263 MIPSYAYSQIRYLSLPIPPALALFTLVLPLITGISTRGAYGLIQ RSKNEPYQLTIPLIAVIGFQLIYETIVVTLSLTYILPPSSLVCGLHDRWQKLYAQKSS AIRTIQDSFDCCGFKTVGDRSFPFQDSKRPTCAADYHRSSSCWEQWRQAEQTNAGLLL LVAVIVFIVKVLSILSLLTTREDSSWSTPWIRRFKRRANGDAEDPEDDHRASMRRLIE DNANREGYHDGPDESVERGNRSGDQGPRVEPSPLTVTNEWHDEGTSRA LY89DRAFT_691847 MQAMPDSRQQSFEEIYGPPENFLEIEVKNPRTHGMGRSMYTDYE IVCRTNIPAFKLRHSSVRRRYSDFEYFRDILERESARVTIPPLPGKVFTNRFSDDVIE HRREGLQRFLQIVVGHPLLQTGSKVLAGFVQDPNWDRNAW LY89DRAFT_743021 MNTKTSPAPSDHDRQQAHPTHLLQSRETGELGPRQPRYASLATK PAPVAKSWAHFVAGGLGSMTAATLTAPFDVLRTRLQSDFYQAQLAQTRLAKGISPHAH LSPLQSGILHFRETFQILGSVHRVEGWRALFKGLGPNLVGVVPARSINFFMTGNGKRI IANNFNDGKEEAWVVLWAAAVAGIVTSTATNPIWLIKTRLQLDKNVVEAGGATKRQYK NSWDCIKQVVRIEGFRGLYKGMSASYLGVTESTLQWVLYEEMKKSLKAREDRVAHNGR PKTLWDNTVEWTGNLGAAGSAKFVAALATYPHEVVRTRLRQAPYENGHLKYTGLIQCF KLVWKEEGMVALYGGLTPHLLKTIPNAAIMFGMYEGILKLLHAPS LY89DRAFT_691849 MEMARASSSDSILSAITVDDLNAPPSFSSTPPTSIGDSASISST SLQLDDTSATPAEPSTGRSRRVRTSVGTYNVKVLSGTAIHAPRKFRKDNDDTEARRRT ISGDTLIGALASGNTSTESVQKEASRLVRDGIEALDLQWSVKGLYKSKSQTELSGGAE KSAKQIELERRRSTRSAGEKIESFTKKLTGVLGKRSRKQEDTVAGPKKAKRELRNLAD TPEFAKIDTKPVVHEVWSKGKLVVAEPPRKKKKVEAPEPEKTRVEEAKSIEKKKKFGG KRAKTWLKKGLYAGQDPQVNRDWFKDDSLDMRKKMEDIAPYKPNGFMPLPMWHGQRLL QTGRDFKLPFDVCNPLPHGQPKPNEWQKTSRNRFVGNAGTLWRTSSLFDSFSSKCVCK PENGCDDNCQNRVMLYECDNENCGAGIERCTNRAFADLQERRKLGGPYRIGVEVIKTE DRGYGVRSNRCFGPHQIIVEYTGEIITEEECDRRMNEDYKDNDCYYLMSFDQSMIIDA TKGSIARFINHSCMPNCRMVKWIVGGKPRMALFAGDNPIMSGEELTYDYNFDPFSAKN VQECRCGSDNCRGVLGPKPKDVKPAKEIVKEAIKAGVKASKRKFKELFGMDEEDDGDR SPKKRKMKEAKGVKRSASSASMKLVSGAAETIKKTVSSSLLNVRRTTGTKRVIKKTIK ASALQAYGKSQKNASSRNSSLTIVATESNSLSTKGNASSLGKQSVRKYKAKGIRGNHK GSRDASAGTIRVVAGSEDDEE LY89DRAFT_365861 MASQDNAAPPSNIDDAEPHGAQSEAGDGGSILSSRMTDIASDDG GEQVADSTTRSTARAPAQRRSIQTNASRPNTGVTGVSSHRGAWAQAPPSRRGHAAQNS ASRVSAFGSVSGSTGRPQSSTSRTHVPSLTSHAFFRPMSSQRLQAQRGGSRPPTVGQK GMNEDSSAEGGSSIRQSVNSTQTMRQAGSPDPDGQPPPSRGTEMTEQETIERVTANTS PTQGHHATGSLSESVRPLQKTPGNSKGLSLNIDKSYKNGGGLPTPSKSPRSFRSSFLL PTRGDIAPNSPNRSTQGREKLSSVASSPGLTPVEPSKRIPKPKLGNNYEYFTGNTIFF WGGRLQNTRDRPVNIATGMLVVIPAALFFIFSAPWIWHNISPAIPITFAYVFYICMSS FVHATVTDPGILPRNLHQMPPPDENEDPLRLAPPMNDWTMIKSAQSKTAAMEVPTKYC KTCNIWRPPRGHHCRICDNCIETQDHHCVWLNNCIGRRNYRYFFTFVSFTTILGLYLL GASLAQILVYRSQQDISFGAAINHFRVPFAMVIYGLLGFPYPAALMGYHLFLMGRGET TREYLNSHKFLKKDRHRPFTQGSIIKNWAVVLWRPRPPTYYRFKAKYEEGDQRFGERK GKRTAPLTKEAQGGGLNMEMQAVPLERDFQGPSALRGSTPAN LY89DRAFT_743024 MRPTLGRLAAQAAKESHVLNKGAKRDPELYVLLAVMSGAFGLAG FYFGRKPTSATSETKVNVAAGGMPWQTESSKGDSAREHFKYQYHPGGDPKNPPKDAPS ALHSVIVPNVNLPKELHEKYNKWGKDGY LY89DRAFT_691853 MAKSTKPAVKAATPEPVRSHQSEKVVPKSTKPTDYTSEGVQDHD IFLLPISDYQVMLVATLMAAVVRLFRIYQPSSVVFDEVHFGGFASKYIKGKFFMDVHP PLAKLLLTLAGWLAGFDGEFDFKDIGKDYVEPGVPYVAMRLLPAVCGILLVPTMFLTL KAFGCRTTTAFMGACLIIFENGLLTQARLILLDSPLMIMTAFTALAWVSFSNQHEQGP SKAFQPTWWFWLAMTGLGLGATVSVKWVGLFTIAWVGGLTVLQLWILLGDVKTVTPRL FTKHLVARIFCLIVIPVSFYMAMFGIHFLCLVNPGDGDGFMSSEFQATLNSKAMQDVP VDVALGSRVSIRHHNTQGGYLHSHNLMYPTGSKQQQITLYPHKDENNVWLLENMTQPL DLNGEPNNGSLAWDAITPPTYIKDGDTIKLLHLPTSRRLHSHDVRPPVTEADWQNEVS AYGYEGFEGDANDYFRVEIIKKMSDGEEAKHRVRTIQTKFKLIHVMTGCVLFSHKVKL PEWASEQQEVTCAKGGTLPNSVWYVEQNEHPQLGEGAEKVNYRNPGFFGKFWELQKVM WNTNAGLVESHAWDSRPPSWPILKRGINFWGKDNRQIYLIGNPIIWWSSSLAIVVYVI FKGLAVLRWQRSCNDYSNTVFKRFDYEVGTMVLGWALHYFPFYLMQRQLFLHHYFPAL YFAVMALCHIYDFVTARVPGIGLRENPLFGRLGATIFVALSAVAFMLYSPLAYGNPWT QSACNKVKLFDSWDWDCNTFLTEYSQYSTQSMHANADVHKTDSPLIPTNAAVPPPPPP AGEQKPIVQEERVGISEAPPLVSPPPAGMAQSVLSREEKIEYRDQDGNLLSPEQVKEL EGKVSFKTRYETRTRLVDAQGNEINPPPEAAGVAPPHPDVEGVDSSTVGKPEPESQGE PASQKEVKADESKEESIVKSDSGAAKPASEGNEATAN LY89DRAFT_743026 MTPELAVAVVEALYLLQAFVAALDAFQITQFFYFTGYRDAHKIA IWTVAPLLGFFLLFIVLTQIRCIGRSHKLNLDFEWIKAGIVTALWIWMVVESGVSKWG SDAHMTVACILSIVFIALAFYSTLIMAMSKHRGHRDGRVVLPE LY89DRAFT_365946 MSGRQQPTMRPHHKTRTGCVQCKSRRIKCDELKPRCSRCVRYGE ECIYQVSGESSSKSSRSTTASEISGPTRPTRSIEVPKDVNNSTSELNLGDLGLLHDWT LPSGAYTGFADSPGSEHLWQVEVPQMALQHPFLMRGILAVSALHISRVKPEEKPHYLQ VAAYHQNLALPSYRYLVEDLNARMNKENAGAILAFAQITTAYAFASPHPPGSILFAGL CASTGVPEWVYLLRGCRKIMTIAKDWISSGPLVFQNQVLDEPIDLSLSPDDYHLVALE QQIDSLPVSSPDDDEEIEVFREALSLLRRSFALPWQPGNTLGPKYSCFIWVDLVPQRY LELLSILRPVALVLLSYQCVLLHQCDHNWYIKGAAKRIIGEVYNNIFGGNWRPWIAWP MQRILNQ LY89DRAFT_678007 MSMLPIIQPHHILSLSKKSSISRRADGATSEDLDYDDDLDKARA EGQAEGASGEVDTGRIELDASLHNSLPTSELASAPTDSIDTSSPCPGTWVSLAAQRAF CSRTSRWQPLQDQTHQQGVGSITGSTSVESALHSLPKSSDSSDDGWTDENMAELEKEL GLALGEQQVESSSARTPISPSPRRPRHHRTRSRVENAAKPLVVDQKSCEMIVDVVP LY89DRAFT_366224 MDAQNKSLVNIFFTGNLCVKFVRLQWVDYSGVLRTRIITKGRCQ QLASGSDYYQLAQNCMVIPLSTAPRCWPDGIEEWNLCPDWTSLRVCGFAPTHASVMCA TANRNLSATDHFARCPRKLLSKVLDAFDKQDKSKLLMGFEIEFVLLDETFNLAKSMDQ TVGYSMTAGLRTEKLVVMEEIVAALEVSGIEVYHFHTEIVDQFEVALSPMPPMQAIDA LMMAQETIRTIFLRYGLRATMAPKPVFNGPQSGCHVHVSLNPGTKDSSASFLAGVLCK LKPLCTFGLANYDSYYRVSGDCAGEWVAWGTHNKDLPVRQVNPNRWEFRFLDVTANMY LSIAAILVAGIAGMKRQQPLTISDVQLVPSALSHAEAGRQLQAYGVTESMPSKLEQAL DAAKHDEELQGWVGTELFEQYVKVKDKEVEYFSKMTDEDRKLVFVRHF LY89DRAFT_601668 MDRSFTLRALLAGLFLGVLVNLSNTYYGLRIGAGSQMSMVSGLL GFVGFKLFSKHTAVRFSAAENFLVISVATATGCMPITAGFVGIIPALEYLIGAEENGP LHLHWESLVLWSMGLCFFGLIFASLLREHFVVQERLPWPGPKANAHLINTLHRRSPRS SAPPGSSLASTVLVGPNGDEYFGPSAEEPLLPRENDFEWKLRMNSLFRGATVSGIITV FMYFVPIFHSLPILGLQAANKWLWTLDISPGFFGQGIITGPTIPLHMLFGAIVGWGIL SPYAKHNGWAPGQIDDWETGSRGWIIWVSLAALLADASVKLAWFLVRPIWRQYLAGSN LQKPLNAVSKNVDQNSETQSHGGEFIAAPFEMQDDPLSPRVLALGFFCSVIVCTLVIH FIFGNIIPWYYTILAIAISLPMAIVGIRSLAKTDYNPKSALIFASLISRSNPNRIIIN LLLAAVAQTRANQAGELTYDFKIESLVSARSDAQVYRQIIGSIFGALNSCGIYKLYAS QYPILRTFFKILSSFLVFSTARLVIGRGLPDRVALFAIGAASLSILVTIIKIRYLTEW WQKLILSGVSFAIGIYNMLLFTFARAAGGVFFWAYQRRNKSRERNIIVLANELVLSES FASLISLALSALQVPQVGGG LY89DRAFT_601650 TSLALAYIHSKSVVHGDMSCRNILVCQDWIVKIGDFGGSMIDDG ESLGIGEEIRYELPLRGRTWEARPSIKKELFPLGSALYEIAAWKMPFDGLQDEEVEKN YAEEKFPSVEALVLGDIIRRCWDEQVDSATDVLKFIVLCILGKMVA LY89DRAFT_766707 MSPLKTPANKDPERERDFRFRTNGTACEWGESYHPGGFHPVHLQ DIFNNRYRVIRKLGYGSFSTAWLAIDLRSSRYVALKIATANSQEAFLDSFDHHGPNGT HLCLVFEVMGPTPNSVMRLSPECQIGEPWERRLPKQWAKRILQDILLGLHFLDANGIV HGDLHLGNILFTITLSDIESDLPKTLQQRPNQAVPLRRLDGKIDPWAPKYLLEPNSLY DYISFELDPLVKIIDLGSAFLEDSPPRTTVTPAALRAPEVIQNGRLGRGLDIWSFGCL VFELIIGYPLFNIERLEGNRFDETTNDEHLIQVTEMLQPLPDALLNKWRRASSYYGPN GERLDIQDEVYNSDGGSNGERLKHPRRSIRRGWSSTEQIPASSQCYDSLEERFRAVKP ADIGEREEEEIRRASAAELLQQAWFNE LY89DRAFT_366343 MDTTLAEVPFEEHMKDPVLVANIVKVCLEAFVELEKEHLVYSDL KPANVLISSVDGLSKVKIGDLGLAGPEGGNPRWVQPEAFRAPKVWTGVRCFHKADVWS IAAMILQRFDPNILGNADNIDKTLIHPMAWCLAKIILLFDPSCSSIPPPSDVDKTLQA YFELAQNLTTTQAEEDSDELLLQIPSFEVWADLAMDAGIPKVVLDVIRLLAVPNPTNR PSALQALQSPEYKALQKAAAAYGN LY89DRAFT_725897 MGGRQRKSAGKKSTGQSSTTTTNDKDFGTKLQQNNIIYTAFDAR AADDAVKIRELLDQPRASEPPNQLAYNRYLVLTEDYENELAVEISAYPLLSKRTAERE VSGYFQKPIPLEPQQLSVPTVTEPREDVDFNARAHRKTRARIRHAVAVAVEGPREVVD NSKSRKTWKRNSRWRLWLGKFFPALDKDQDSERRRYEHLQNRGQGHPNILKYFGRSPP ECELLRSGLLLEYHLRGTHSACFGKLDAIGVPETERRCWPYQAVSAVDYIHSRGVVHG DIGVHNFLVHDDGRLILCDFARSILYELDRGKRLFEGQSSRDIYRHLRDREFPDLSMV ALPLRCIVKKCWKLPGYKARDALAELGLVRSAGESGEQGQSLIRRRWGSVAIHEVEGR SVTALWLSCAKISDKSDIDGALR LY89DRAFT_631524 MDPAANTEVDVAAYPTAQKLVTTCKQFFSEIDNLTPGKDLEERL NKEYGPGNPLYDTMCACVRTGLKEGWVATTQLDGPKYRRSKVLLPSAETGYFSITTVY MDSQEEYSGQYHVHPYGEINCVVQLDEGSELKGMQGWQGAGWTSPGAGTHHYPQVRGG ALVALFFLPAGRIGYDKKPGEPQPLCV LY89DRAFT_702482 MKEAHVSAETTVSLHEVPIPTIIHPYSVLIKVACTSCNPKDWKL PTATLMTIHSCPNSGDDIAGTVVSVGSSVLSFKPGDRVAALHELGTPHGSYAEYAVAY EWTTFHLDDGMSFEEACTVPMAAYIGVIALCGMLRVKAGPWEIVEQSVKKGEKEGEPL LVYGASSAVGASVIKLAGIMGIHPLICVAGRGGTFVETLIDESKGDVIIDYRQGPEKV LEEVKNTLGGKKLKYVFDAISENGSHENYWRAMDVQEGSVTFVLGGHREDILESIHQS TTMAGSLWKELQPLGEKDRLGIGAGGKDFGFAYSRLIGSWLQERKLKIHPFEVVENGL RGLEKALKTLREGKSSATKYVVRIADTPDLRSTEKPESQSSS LY89DRAFT_678016 MPTELEELVEFISHGNTQIRQLAVENLVPYSLSQPTVFKTNQLL PIKDLKLLVRDYKQIARDAITILLNLSTDREVLNHLASDQEFMNTLLDRVTNPSEPNA NLISMLLANLAKYDDLKEIISLERPAPKELSSNNRAIDQLLDLFVKGADGTYNKEADF DYLSYLFADLAKHKEGRKYFLTKQEYDGVVPLTKLTVFTEHKSDIRRKGVASTIKNVA FEVEAHPSFLSEDEINILPYLLLPITGNEEYEEDDMLNMLPDLQLLPPDKTRDSNPTI IQTHVETLMLLTTTREGRDIMRDIKVYPIIRETHLQVEDDDVREACERLVQVLMRDEE GEEKVDAGMAALDRKPKRRLEPPKNSSVLGGWANQEGGEDDDEDDDDKIVEV LY89DRAFT_691863 MESSQFPIPKEFQNAVHFVEAKDQRTYEDILNSLTNFTPVKSEK NIWTFWHSGARSMPSWCQRNIINWVRLCGPSWTLRVVDNVPGSQNNALKWFSAEALPE TFVKGTMDGPYTGPHSADFLRGVALYEYGGVWMDVGIILIRDLDRICWRQLEDPTSPF EISVPWMFGTHMANHFVASRRKDPFIKRWHDLFIHLWKDQKNCDHLIENPLVQFGKAV KFEDSQARGFHWDFAQTPTMVMGYISQVLSWDRLCMLEDPTDGFNGREYYAEKVLLFD SLTEDWSAEATLGFAGKDLFNALATKLDADPTSANYQMAYKATWRMLTQASMQKITHG KNLTKTEALGVLWDKKENEGKDIELGTFGSLLRYGSVHFEQTREQIDYVKAEKPEFVI AKGLLEA LY89DRAFT_702487 MQIFVKTLTGKTITLEVESSDTIDNVKSKIQDKEGIPPDQQRLI FASKQLEDSRTLSDYNIQKESLGETSSS LY89DRAFT_366521 MKVLTRKHEIAGAEIVKSIRQQLDIMSAIATQIVKDFIADIREE ASAGVVALETTAVAGDKHCPDGSFTFRLAEFPSVVIEVVHSQLFKDLRKIAESYILGS KGETRVVLGLDITYKGTKKAPSKRGMVSTWVSNGQGQDVDHPHVVEYGIKKKVSYATQ TVYLY LY89DRAFT_660737 MPSSSFTTLALASALTYLYTYSSQASLSITNAVLLTCSIFLLLY LIWSLFLRPVYFSPLRNLPGPARKSWKHFFKIYNPNEVLEFVDTVPNNGIIRYLDILN SEMISITDPKLVAEMLGPRADHLNKRPKIKVIMESILGNGLVVAEGKDHKYQRKHLLP AFNHKVIKNLYPTFWSKATEMTSLMTEQIQSSSPKDLSMVVDFDDWAGRVSLDIIGLA GFGSAFHALSSPETDLNTAYRAAFLPNKNSRMFFILSLLTHPTLVYMLPIEHSKRLRE GVNAVTRWIRGFIAKRQHDKPEKTEGSTFVHQDIISAAMEGGAFGVENLVDQSKTLLG AGHETSATAVTWGIYLLSQPRYAHIQNRLRQEVREYLPSPSSGIEVTEDMLEKLPYLD AVSKEILRVYAPVPLLGRIVHSPMEIGGVTFPKGTVLLVHMWAINKSKKLWGEDAHEF NPDRWLKDKVNGGATENGFLSFGAGTRSCIGRGFAIAENKALLAQLIGSFDIKPPHGE TEDLDISWGITARIVGGLHMKTSVLEGW LY89DRAFT_712164 MFLRSLILFDCPPPRSKACVIPSQYISSNGSADDSPAVAAAFAK CSRDSVIVFSERVDYNIFTPISAGNLSNVAILMHGNLHLPQDVVAVQNLVNASNALTY SSALYWFTFAGPNIDYVGTSNVSTSWINSYGQAWWDANSVNGTGIANRPHLLSFNTTN GSMSYFKSRKPIGWNVQLIGSNITITDTIIDAYSTSGSFPFNTDGFDVTGTDIKILNS VIFNGDDAIAVQSGSHNVLFRGGTIGYQSHGMSIGSLGQNQASFANVSNIHFDDITVV NAVYAARFKSWVGGQGLAKNITWSNMRVYNVTYPVFVTQTYINQGSTQTQLESGAVSE RPNNSSVVMQDFTWANFTGTINTFSPGDGSCVTDPCWYNVGLVEEVPGLHTEAVVIEC NTNTSCQNFALENIQVIPQDLSQPTVVCLNATQELNPRLGFSCQNGTFVPS LY89DRAFT_366598 MNSQASSSSGTMSAASSFEIPTTDPALSTAPTVLSVSSTVTAND VFDAIPSVNPSYLTPIAKSSTDSTYSTGPNPQYGNYHVQQYSNAVVAVRMTSALNTST LDELESQISILTRERDEMCSTPHQSLDLQLSRPLLLYHTLQPRINIWIPGSAKQLLRS ETLLEPLALLQFPTLLDPTDFDLTVPPYLSFTDPTQDNVGVCNNGKGSCQNLRTKKTA RGFQVLCVPCKAQRTSAPGKTGGKALKPTEKVVTAVETEEEKQQKKRDVLDKRAQKAT TVARDTDIGTQLAAMSGRS LY89DRAFT_366556 MERYIGVLKGMVSLMSNMGMDLANRVITKERLDHLPRVETIFAP QQVDLTQGAEYPKFSQIMVHNRCEDHGQIMVKSWSDLGQLSMIFRLMLPQGVLDGFER IRVSKSSGRDACRSSGETYSSFGSAIQRNLIRPLSLGTRE LY89DRAFT_725906 MDSKQFREAATSAIDEIVNYYDTIADRRVVSNVEPGYLKKILPN GPPQDGESWAEIQKDIEAKIMPGLTHWQSPNFMAFFPASSSYPGMLGELYSAAFTAPA FNWICSPAVTELETVVLDWLAKLLNLPDCYLSTTHGGGVIQGSASEAIVTVMVAARDK YLRATTAHLSGIELEDAIAYKRSRIVALGSETSHSSTQKAAQIAGVRYRSIPVSKETD FALTGAALEGVLQECKAQGLEPFYLTTTLGTTATCAVDDFASVASTLAKYAPPNKPGE IWVHVDAAYAGAALICPEYQHLTASFEHFHSFDMNMHKWLLTNFDASCLFVRKRKDLI DALSIMPSYLRNEFSESGLVTDYRDWQIPLGRRFRSLKIWFVLRTYGVKGLQAHVRKH IKFGEIFAALLETRKDLFEITTKPAYALTVFNIVPKVADKKEQDRITKDVYELVNKRG EIYITSSVVGGVYVVRVVSANPLAEARYLRKAFGILVETAEEVRNGKVRQDAPNGTVL DIKGVGDAVLVKENGLTK LY89DRAFT_789857 MSNTTAEDPINPDWLNKGDNAWQLTAASLVALQSVPGLVVLYAG WVKHKWAINSAFMAFYAFSMVLLCWVVYAYKAAFGEQMLPFVGRPGPAIGMDYQLRQS VIPAGDLTQNFPQSTMVYFQFVFAAITLVLIAGSYLCRMNFFAWMLFVPLWLTFSYVV GAFSIWGGGFLFQMGVIDYSGGYVIHLSAGTAGFVGAWWIGPRTEEDLEDNHPNNILM MLVGAGILWIGWNGFNGGDPYAASPDAGAAVLNTNICTAVSSLVWVAMDLAYFRKPSV IGAIQGMITGLVAITPAAGVVAGWGAIALGVGSGTIPWVSMNIAGRRLSIFSHVDDTL EVFHTHFVGALVGGIGTGLFATSAGCAAFGITNPGGAIDGNGKQVWLQIVGALFIIGW NIVWTSLIMCFIKYVLRVPLRMTQEQLDVGDYAVHGEEPYTFAHYNIKHPTPPLMKRR TTKKGDEETPRGAVLMGTNPAETIEKSSSGGSVGKKEDSNVAVSTGAQPIDE LY89DRAFT_366630 MKLPKSDEHASRGLPITISASILDGLVLSLTPFHNSCNYRSAVV FGYATVVSDEAEKMWAMETITENTIRGRWENSRVPPTKTEMTSTSILRVRIHTASAKV RTGEPLEDRKNLKDDALTAKVWTGIVPSWLQWGEPIPTRTRSPIRRST LY89DRAFT_366631 MEQMLQRMQYYKFIIVAPLKAISIPSSRVRFVQESSYVLKPEFT VDQWKLCTLIPLKDAWDRSYNPDMLSPMPCPGLQTLAEQHLRIDFQFGGADQCGIFEF AERFLPQLGYKKRAHLMNPMLPNLVGGKMSSSHPPDTKIMFLDDPEAVTSKICAANKT WKNSSMNSVLSSLRDILILISELRLRRLREKGTDYTQAISVANYRRAFYREDAPVGTV FTIEVGGEYLLYKSYEEVEHDLTENKLPPQTLSIAVATTFNQLL LY89DRAFT_660748 MSQATPVRVILRYREQPFQNLNAIINAFVKWRDIQPLEDYCTHI CSNLHSSLLYLVLDLYCKTCPNVHLNELNLEVFKVSGIDSLCDLGEAARKKVYQRSVS LDWGEGNQSSQRN LY89DRAFT_601544 MKLHYIGIIKNQEKPAHELCAEKDLSAYSRFTRNNYGEFMTLFS KTVAERTRPGQRQDVEEQDYTFHAYGRTEGVAGIIISDHDYPALVAHQLLSKVVDEFL AKHPRSSWATSSPTLSFPELKDYIVKYQDPQQADSIMKIQKELDETKIVLHKTIESVL QRGEKIDDLVAKSDGLSAQSKMFYTQAKKQNSCCVLM LY89DRAFT_725910 MAQSLEAKIVVLGSQGVGKTSLVHRYVKNEFAPVGTTSTVGASF LTKRVVDEDSDTTVRLQIWDTAGQERFRSISRLYYRSANACILCYSITDLSSFEEMGV WLAELRRNLPADIILHVVGTKSDIVARDPSLRQVPFERCIAYVADNLAPGMSSTPPAT ATPGSSGGILEGMGGGGMYGGNWLGQGGRNSNNALDGARSPSSKRSSGFWGQEVGWDC CHEVSAENGEGVEEVFRVISRKLVEQNRKLTDGLASAAQTPNPTPGLDGGDGYFDHMR PGGSFRVGRDRRSWFGVQVESYIEETEANGTAVDRHVKTRRKCC LY89DRAFT_691872 MPLTGEEIAKHNSRESCWVIVHGKAYDVTEFLPEHPGGPKIILK YAGKDATEEYEPIHPPDTLDKYLDKSKHLGVVDMKTVEKEEKEESPEEIERQQRIERM PILEQCYNLMDFEAVARGVMKKTAWAYYSSGADDEITMRENHTAFHKIWFRPRILVDV EKVDFTTTMLGTKVDIPFYVTATALGKLGNPEGEVVLTRAAKKHNVVQMIPTLASCSF DEIMDAAEGDQVQWMQLYVNKDREITKKIVQHAEKRGCKGLFITVDAPQLGRREKDMR SKFTDTGSNVQAGSTTDNSQGAARAISTFIDPGLSWKDIPWFQSITKMPIILKGVQRV EDVIRAIESGVQGVVLSNHGGRQLDFARSGIEVLAEVMPVLRERGWENRIEIFVDGGV RRATDIIKALCLGAKGVGIGRPFLYAMSAYGQPGVDRAMQLLKDEMEMNMRLIGCSNV DQLNPTLVDTRGLNMHTTSVPSDTLGMSVYDPLVSPKEKAKL LY89DRAFT_660755 MSDDGRVAATRYNNGNRAFLQSFLARGTLTFAEAKPMLAAILSV QEASDKEVNEDQIVQADLDSFIEAAAEAVSPFDYEIKSTRNQDTKERIWALVNSVSDP LTQLATTRTTEEIYYIKRFLDAMFETYNTKRREVMAVSDMQALETKIRKGTTRQSNGE AGAQSQAADKGLMSDQTEKLLAQLEKEKWLARTRSGYYMLTPRALMELRTWLVHTYND ADEPEEWQAIKFCEACKEIVTIGQRCSNLDCNTRLHNICEEAYWRARPGKTCAKCDTA WDGKHFVGPKAVTATDDHLREKQRSGVSKRRRADVDEDDNGGEGSSGRRRRTRVEEAP EVEEENEEEGEAEEEEDEEPGHNGSEVVNGGRRRRSNRVVEEEADESGSDE LY89DRAFT_691873 MSHSLDHTEAVADPLFLKPGMVLYHGSMADQFAHQEAGGPSPTH YVHPPTPDGPAWFANNEKFSLHAAVRFTKPQEKATITLHTYTVKSEINMFSMPNMAAF KHLLKEQFKITANFNGIMEGLALAKNSLESLLEGYALMEDTVRGEPEYVLFESGMKRL YNHKTQTVLVIPINAKQSNLVDEKTQKHIAVYTYFPPPGKLE LY89DRAFT_712172 MFKQLIPDSGLPQPRRQYRPKISLSITLIILLVLGLQFLVLPRY VYKISPSQQSLNSFHLVKLDARLEQCREILTPPIKYGVTSAGSRTNPRWNPSTGQKET TVLQNATLFDGEQILTGKFDITFKKGLIESVVTAGSASIASDAKVMDLEGGFVTPGLV DMHSHHLAFAWPLLSSTDDTNEVHDRTEPITSQVRIIDSLKAYDPGTAIIASGGVTTS LILPGSANIMGGEAVLVKNMLNSGEDSEIVVEDLLLEHGIPKSERRRYMKMACGENPR RVYHHTRMGNAWWFRKHMERAKELKERQDAFCLEAAAVRNNGDTAAMSEFMHRLSQEE SATEMLEYDSTIAMLRGKMGINVHCYEPEDFEDMLMHSKEFGFRIQAFHHALEAWQVP EMIKASGENITIATFASFALYKQEAYNANLYAGKILADHGVPVAYKSDHVETETNAKF LLFQAATAHSFGLAQDLALQSVTSVPAGSMEIDHRVGYVKPGYDADIVLWDSHPLSVG ATPLQVFIDGRATLDPEIVESSRTAVQSSNTRKTATPSIRANPSDQNKDSLCTEIGKS AAKITIQGITKSYLDIPSIEANGDKGLTMVIEGGRISCFDTEDQCLSVSANSTVIRLR DAHVLPGLTAVSVSLGLGEIATDDSTGDGAASSGGLDLESTIYAKYGVHLDGKSFARA RIGGVTRAITAPKSRGFQGGVSVAIKTSGKKNTLNGGLVKDEVALHFKVGSASKSDKL PTISSAVAKLRQFLAESKAKDDIFGRAANGSIPLVVHVENEYDIMSLIKIKQERPALD LVIMGGGGAHLVADEIASANISVILTENRGAPDTFEKINTLPGPPLSKMAAEVLANAG VKFGLAIEGESDSHIHNLPLEAAWAAKYAGLSSNDAINLVSRNIEQILSLDVKEDSRD FVIYEGNPLEFGASVVLSIDGDDGMVATCWPEAT LY89DRAFT_678032 MFEFKTYKMEYQHVPNTAISKTYSPNSFKMLRRSRTPRRSPTPP RRLPPLGRIAALMANHFWRPGDPTAEGVPPNQAQVEEVPNCSIFRNVLHNNEKAELHP CGHGFHWECLKAYFLTPNRRAYPFQVVNESSYELQCPECRGGGELSFRFATTIRLSDL FFPPLMGRLASLPRRRRFDQSVCNRQLGIIPIHQVITLEDDSDDDDDPGTPTSRNTTP RSSPDPFSPTPPRFSLTPPRVLTPQLVPTRTPEALQFAGNTQDIYLPPSPSDGVALHT NRPPVIPSVSRTQHTILPIVIIPPSIHKPAMAPGLRQPTRATTRLKIEQKPYLIRSLV NNWHLREVITIEKDWYAYCVEDMYLNAGGWIAGGKASVARMLETRRREPRAEENATGE GQAPSDFATFCNELSELIDAESADRARTLEISTQRTVRQAADRDMIANNRDQIMMNTI SATRIDYA LY89DRAFT_601723 MEATRATNQEYLLGVGKADITGPVVELNFMGYASLAQIGTGLRQ RIYSRAFIVGDVNNPDNRFVYLVLDTACGDTAIRYGILEALANLGSDYSMYGQSNVAV TGTHAHSGPGAWLNYLLPQITSLGFDKQSYQAIVDGVVLSIQRAHESLEPGYLSYADT NITDANINRSLWAYLANPAEERAQYDTTTDTTLTMLRFQRASDGLNTGVLCWHSVHGT SMLENNTHVTGDNKGLSSYLFEQAAKDDSTAASGFVAAFSQSSVGDTSPNVLGAWCDD GSGEMCTLEHSTCNGTSEACHGRGPEFQKVDLGVSSCYEIGRRVYAGAKSLYDSFDSV ATAVSDSSVKFYHTFQDMRYFSFPLPNGTVVQTCPAALGYSFAGGTTDGPGAFDFVQG DNNSNAQNPFWEVVSDLLTTPSAAQVACQSPKPVLLDVGEMSVPYAWSPNIVDIQSFR VGQMIIIVTPSEATTMTGRRWKAAVAAAATNNSIVTSTPKVVLGGPANTYAHYAATPE EYGVQRYEGASTLYGPWESWAYIHLSESMIGYLAAGNSSTAPAGPSPPDNVNNSLSFI AGVVYDGAPIGKSFGDVLEQPNAAYSIGDVVNASFVGANPRNNLSLEGTFTEVQMYEN DVWTTVRDDSDWFLEYTWYRDDSLLGTSHVVISWETESYATAGTYRIVYHGDWKEALL GTITAFNGTSSSFTLS LY89DRAFT_743055 MTQGKATATVQGRQIASTDEYEVVEGNIYFPPSSVNMAMLTKTD YTTKCPWKGDASYYSINLDKTELKNSAWYYPAPSDKAKNIKDYVAFYKNIVDVKTE LY89DRAFT_789867 MAKRNSQWPWSRRANMFDPPTPLDRFLESPLRALISIIYSVFLF LRGAPFKPPQHKIPVKIICISDTHTNTIPVPNGDVLIHAGDLTNAGTVKDIQSQLDWM ASLPHKEKIVIAGNHDSYFDPKSRREEDKGKKLNFRNIHYLEHKAITLKFKGGRKLNF FGAPDIPKCGGSDFAFQYERESAPWENRIPLETDVLITHTPPRYHLDLNLGCAGLLEE IWLVKPRLHVFGHIHSGHGREPVFWDQGQAAYERLMGRSKGGLIKDIFPSMAWWDSLK VLWFGVKGVLWQRLMVGPRGGNGGLLVNAAIVWQSTTDPGNPVEIVEL LY89DRAFT_743057 MASLKTLYEPNPDLGSALHTNFDVDYVLDYRFATTSKAEAEEGF VKLVQVLNDVGLSTEVRNGDNCAVLIFIKIASERHLRAEVYRSRVQDWLYGVRTAAPE KEMQTNLNQEPITEAERLRLTYLLITKPRNEGGAGITPKSGDWKCVESIFALHDHTFN KKWIKELTGKTFLGVKDLEEIKDKFGEKIAFYFAFLQSYFMFLIFPAGFGFCAWVLFG KFSPIYAIINALWCVFFVEYWKKQETDLAVQWGVRGVSKIQLKRPAFKHEGIIKDPIT GEDIKVYSPLKRLSRQLLQVPFALVSTLALGSLIAGCFAIEIFLSEVYDGPFKSYLVF TPTVILTVVMPTLSALLTGFATRLTDIENYETEDAHEAAMVSKIFVLNFITSYLPIFL TAFVYVPFAQILVPHLDIFQIAVKPFVEDEKQMTAPKVGFQINPDRLKKQVIYFTVTA QIVNFALEVIVPYVKRKVFKKVKEVQADRAAKRGGASGPTEDDHPEEAAFLVRVRNEA ELGIYDVTTDFREMVVQFGYLSLFSVVWPLTAVSFFINNWIELRGDAVKIALETQRPV PWRADSIGPWLDALGFLAWLGSLTSSALVYLFTGDGLGPDGAPWNIKAWGLLLTIFFS EHIYLGVQLAVRKALSKIDSPGLQKERSERFLVRKQYLSEALGPEAAEKAAIGGIEAG EKISRSTLEDEARESTLKGHGTPEERFWQRQQGQAETIAIGRSYIAKAAPNETKETKK EL LY89DRAFT_766815 MHFSASLFTAASLLANTVACLPGVLPRGSSDTTSSSSPTPSNLA LFQQLVLVPSSVDRFNILTDADLLFSFNDSSAHPIGSPGVSTGQGGQLVRADQSTFPA LYMQHGAMALGFIGPCGFNTPHVHPRANELFLVFEGRLNTSTMLENGGRQIHNMVNTL QMTIFPQGSVHMQFNPDCTPAMFVASFTGSDPGLAQIAQEFFGFSEEEVNAAVGGPVM VDGADVDGFRSKIPPNVAQGVESCLTKCGIKKK LY89DRAFT_743059 MSLDTPIRAMSFSYNMPITTYHIRAYLKPFIALRKACIYISKHL VNLRSLILFITLLDRDLGKVLNNPKANWIQSLRLLRPQNKFEIRCSLHESKSPDHSPR TEEPKESPNHADAEKCLEMLLKPEGLFERDQTELAKSDVRGFIEVMDCEMQAGLGWME MESAEGVVEYSTGAFLLVDDSVAV LY89DRAFT_743060 MADEIVAPVRNILKAFELGIKLANKVSKSASDTSAAQALQITES SQSLQRSLDRSSHTISDSYKQYVASCGERFGKALQNDDELKQRLKDLRSDVRDRIDEC EDFEDDPGSFKAAAFSSIEREAQNCADQCVAIFHNVKDSVGLAANQKTTMASSPKDDR SFLTSMRPRGPSINLPLPPSPNQLPPATSQKAPSHNDDRATMVSMRDPSMVLPSPSQY QLPIPATSQYPTLVSTHKNDRSTVSSMVKPSPGQHQLPTPAPSPQENQPYLEISPAEP VKPKSPWSIESPSQFDLGAHMNPPARSPKRSSREVSPGTATDAQGRLISSQVVQSRVR ANDEFLARRRDSRLMFEKEFRHSISSIDEHRVSEIYTSSPILTPVSATGGMPISPIDG RTSRSSDYQTMMTRQRSQGGASQANRSSIASSNFQERPAARRHDSEDDSIFGLRVSAP LSPPLSEHRSSGGLEAIATTLKLPDFGEGVEQGLEVVDTTNYSEGLIPVDDDFDNTPH TNEPTVAVPTASVRSIDHPMRHDDSFFKFGGFCEGARALIRGETGFKIYKRPAGHYSA TVSAKCIKCSYEVGWNDIEKDRLLDRAGIYGNSGIRFRQRFISKCHLKTKSIEESCYA CLFCIEEHKTVEEHDATIFFSVAQLFRHLATHPRPLPKVAGIIMMYGPQPPEAIDFDI HFPNPEPIPHVFNIKEIAQKVAVRPTATAVVTHHPKISHSGFRDPAGDNAMHFAAGAK IVGVTFPTQFGGTWCMGYHDGIRGAFPASTVTLDLPAREDLLMNQSSPLVATAKWDFK PKDAKDTTRGWLKFSKGEWITNIGYTFQDQWCWSGCTNKGKNWGVFPQSFVENLHEGG PLSSSPSVRNRFGLKGRMPSMPIGRSPTSNTPMHGRQPSVRSTSSNGSGNMVQHQSGL EVVQSPIHASPGSSWRR LY89DRAFT_601706 MHSRLLFLLGGVARVLAQTQYTSTGTAAVAKARATALTESPTSD VVGKSFNRFVTIWCENTDYSMAAADPNFAYLATQGILLTNYLAITHPSQPNYVAAVGG STHGISSDSFSRINSSSQTIVDLLEAGGVSWSEYQQDSPYSGFEGNYINQQTGANDYV RKHNGLMSYDSVTSNLDRLAKIKNFTMFETDLANNQLPQWMFITPNMTNDGHDTSVTV AGAWARSFLTPLLSNSNFMQNTLILLTFDETESYTSNNQVYSILLGDAIPASLVGTTN NTAFNHYSQMATVEKNWNLGNLGLGDATAASFFVG LY89DRAFT_743062 MRAPFIVLPLLAIGVASDSILESLGFGMLESGSLLQKYSRLLRR QSSSNGTCRLSLQTDIWTSCAALLSEFNLTLDYFKSANPNIGANCANFQPGATYCLSV PSGSPIPVSTNGLCGAQQNWTNTCIGSSAGACCGIGGFCGTGEIFCGLGVCQEGTCDG APIPYSTDGTCGSQIQWTECPPQFGDCCSEFGFCGNGTTFCGTGCQSGTCTDSTVTTT TTTTSAHPTQTAGSISTDGTCGFSGGLICKGSTFGSCCSSAGYCGSTEYACLDILGCT ASVPTSSSAAATKTSSGTTTIIFSTAPGPTQTGIAANCNAWVLQTPGLFCADLATEAG ISLSLFYTLNPAVNNAKGDCQGLLAGDAYCVGTTTTSSSVNEQHGNVNGY LY89DRAFT_725922 MEDKISSLSPLIRITVIKEHDEMQLARRTRRHKFRMLAQRMRWN PNGLLFGQAGYNVIANQAQTGPSGMMWTCDEWPPAIVGMLIVIFLQDIQGNIHSALRK RITDARAAVSPPAGQYPFSFQTAGQDIGGAPSAAVVWFQLLQTVNSVYQREIGQTLMY VDLVYENGSAASFARPLTETEEAGHTEFYKRVKRETEAVKLISSGNASQFPVLPPVQQ RFETLLVAPPLPIIAIRDIFVKRLARLPFHSYMSGIMGIFQGAIPTTAVPQPTISKPI PTSSAAAHGLNLTRRTVDDSYPLTHDLHRRQVTTCRAGNPCSDGSCCSSGGTCGYGIQ NCGTGCSSNYVILQVGTDFCSSPIPGSPCQASFGSCSLVTAPACGGSSALGRNIAYYQ VGNNHGRECQRISPAQINTAGLTHIFLAFASIDPATFAIVPTDARDVPYYTQFTALKT STLQTQVVSTASNRAAFISSLKSFMLQYGFPGVDIDWEYPGAPDRDGVPSDTENFVSL LREMRASFGTEFGISAALRYLLRTGTVPEVVRPGGNGTGAHGLVLAIRVPACTAYPGI MSLQEIANLIPQLGITPMILDSTAMMKYLVWSNQWLGYDDLETIAMKKTDMGQFSLFR RNNDMEY LY89DRAFT_566089 HLTEPQRNYLRSVGTFRILPRATQDVLVTTYIASINGLLPILDS GKLFRQYSSGQASRYLIQAICLVACKTQQAVPYLRLSEKGALLTPIEFARKIYIGLDA AMKADFEPNRVTKIQILALLHVYNDGTYGIEDSSAHLSQAIQLVWALKIHFHWPGRST EDELSMLWWSLWSLDRFNACISCTPIMIRDRDIQLARPPLGSDHQLQVMAIWLRLGDL LGEVFDLYRPVVAENLIEWGDEFISFSKLTDGLEARMFQPSHWVALELCFQVVAILSC RYNKPGSVSYKRRITACDRIQTLMSENRHTQLPPLPVIPYAATLSLTGGLCVLRDAQR ERKIAQKDVAVRSQILEKLSSCWQNTEVVAKLGR LY89DRAFT_766872 MLDSENNCLNFRYNGEHLQIEAWGPGAFRVRSTKAQSFPPENWA LSQEVPDLKPTITIKDSSITNGAITAFVTATGKLIIKNNITGKQILEEYARNRLDVFD PKTSALEIVAREFKSHGTGAADYHLTYRLESQSQDEKLYGMGQYQQPYLDLKGLDIEL AQRNSQASVPFLVSNLGYGLLWNNPSVGRAVFARNIMTFEANATTVLDFWIVVGETPK EIMLRYADVSGHAPMMPEYGLGFWQCKLRYQTQDELLSVAREYKKRELPLDVIVIDFF HWPLQGEWKFDPQFWPDPDDMIKELNDLGIKILISIWPTVDQRSENYPQMLEKGYLVR TERGVPIAMDFMGNTIHADFTNPDARQYVWQIAKKNYFDKGIRCFWLDEAEPEYNVYD FDNYRYWKGSTSGVGNIYPVNYAQAFFEGQLEAGQKLGGQDGILNLIRCAWAGSQRYA TLVWSGDIASSWSSFRNQLAAGLNMGMAGIPWWTTDIGGFHGGITADPAFRELLVRWF QWGTFCPVMRLHGFRDPVQAKVGNGGGSECRSGADNEVWSFGDDNYPILVKYMKFRER LRDYTRNLMKEASEKGTPVIRAMFLEFPHDEACWGREFEDQYMFGGKYLCAPIMEPGA TQRKVYLPKDARWALLKIGEVKDEHVREFDGGQTVVVETNIEDMPVWVKL LY89DRAFT_702506 MEATAGEESAIANDWKVVTADGRQATIAEHNLTLKQAIKKYPRA IMWSVFVSLAIIMEGYDIVLMGSLFGQKAFLKKYGNYYPAIGEYQLSAPWQSALGNAP NIGAIFGALANGYWVHYFGYRRVLLASLVAVVGFIFISFFATSVEMLLVGEILCGLPW GVFATMAPAYASEVCPVALRGYLTVYVNLCWAFGQLIAAGVMEGFQPVNSKWAYKVPF AVQWAWPVPLFCILFFAPESPWWLISKGKIEEAEKTVMRLTSKSMTSTDAKQIVAMMV HTHEIELEVETGTSYFHCFKGVDLRRTEIACVVFGAEIFSGLQLGGNPTYFFEQAGVP DSKLSRTILSWFLIAAMGRRTIFVGGLFLSACFLCLVGILSAASSSSGSSYAQAGIVM FWMFLYYSTIGPVSYAIISETSAIKLRNKSVCLARITYYLSAIVCATVSPYMINPTEG NWKGKSGFFWGGTCFVCFIWAFFRRTYEELDVLFANGVKARDFSSCVVDAYAEDETAR VKRE LY89DRAFT_691886 MIPTAAWPLGLGLLVTSPILFGNVGLSLVGPVPIIKEEIGTSRL SKQDKVRIWSLFFNSSATYIVGGTFLTAGLHAIAAFTAPDHFIRNLSIISALSSLSII PWTLTVIMPTNKALLQMNEKQELSSQEEGDVMRLITTWDTYHKVRYIGYGVGWATGLA ALMATVRIA LY89DRAFT_766888 MAHIEKVAIIGAGGRIGSAFTREILRTGKHAVTAITHKDSKTAS IPGLKVAVVDYDDDASLIPALTGQQFLIITLSMNAPGDLHARILKAAGEAGVSWVMPN IYGGDIQNKAVMEETLNGKSYKARLAEFEGVNVSRVVLVCGFWYEFGLASKEPFFGFD VADRSLTFYDDGNTKIDVSTWDQCGRAVAAFLSLPVDGPSPCMADWKNKPLYINSFKV SQRDMLDSLNRVLETTDSDWTIDSEVTEVRFKRGLAELQSGNAMLGFPTASYARIFYP DGVGDFGSRWGLSDRLLGLPQESLDDATKKVVEMVKNGWTPF LY89DRAFT_743076 MARGLQKIEAQQKALAAKNKGGNSILKKDKGHRFTCAECKSEID NKNNMAIHWEAKHPKLATPTDDFASMARAPPPPNPAKPSKKVVDPSKK LY89DRAFT_601886 MAIKVPGIPELRLHSPFWQNIIMGYLVALTAGLYVALNLLGAGG GRPNNAQTVQIVNATLCSVWFFSSSFGGSVLNTIGPAVTAPLGVCGYMVYVGSLWYFD ATGKEGFPIAAGVLIGIGSGLVFVTMGYIAMSYSEEDNRGSFITMSINIQACGSIVGG IIPLIINRDSTVAAGVPPSVYIIFLVLQGTGAVAGFFLLQDPATIIRDDGTPIAAIKA RGFVQELKANLEIFTDWKLLLMVPAFLPAECFLVYGGSVNAYHNNLRTRCLLGFISVV LQVPFGYGLQLILDHKAWHRRTRAFIGLAVVGIPLIGAWIWEIVRTRNYDRHATPGHL TDWTDGEFVAIFFLFMLNWIASSLWQYLILYYLGCFTNSPRKAANYAASIPLGVFRGF LGAGEAICFGLDSIGIPYIKEAGGIFAFYTTGVLIFLYMAAFHIEETKYFSGEEDVVI PKHVLEEHAVVGSRGDENQSGSKDGETQQKGSALEKVADASI LY89DRAFT_601887 MGSIEDAGNIHIPLVDISPYFSGDAVGKQKVADEIRDAFENQGF LQVIGHTVPLDIQDRYLAAIAEFFSLPLADKESVSQSNSKCHRGYERIGGQKLDELDE NATPDQKEGFSIRRERPLGRFLEGPNQWPATMPHLKDVYMEYFDAVHQLSKTMFRLIA LSLGLSEDHFDYFASDPNSICLCRAHHYPPTPKDSAGRTRGVGAHSDFGALTLLLQDN VGGLEVLHKPTSTWHAVTPIQGAYVVNIGDLMQIWTNNRYKSTMHRVISPLSEHDRYS SAFFNDGALDTIVECISTCLGPGEKPMYAPIRVEDHCIKRYQQSYGAGGTKITV LY89DRAFT_712181 MGKRVLVGYGIDVDAVSGWLNTRTGARANPTDVSRGIFGATVGI DRLLKLWEKFGIKTTWFVPAHSIESFPEQLAKVRDAGHEIGLHGYTHEFVGTLTEKQQ RDILTKSIDILTKFTGKKPKGWTAPAWDTSKKTIKLLEEFGIEYDHSFMHHDSQMYYA PSGSESWVETNVEKEASTWMTPMTALKPSSIVEVPANWHLDDWPPFQLSLKQASTHGY VDTRVVEQLWKDQFDYLYREYDSFIFPMSIHPQVSGKPQVKLMHERLFEYINNHEGVE WVTMEEMVHEFKAGTFGGIEVKGGADV LY89DRAFT_702512 MSTTATTPPIYSDSPLALIATPQFETGKDDPFTVEASHMALSHN AFIRGFNSIYQTAPRLTLLADKKDFVGYCLAWVDCVSTHHHYEETELFPNINKNAGQT GLMAGAVHEQELFQGGMEKFRGYLVEKAENFKSDELISIMDCFKEPLWNHLKAEPPPL SLLRSKKQVGLSIMFNILPVFFLNMETVQFEGGMWHEVFPPLKGLGRTVMNKVVPKWQ SGQWRFCSCDADGKVKPLAV LY89DRAFT_743082 MHIDQEEVNARLAVQRQEYISKKTNSDLLLLDLSRGTYYTWMRT TAADTSQCSFTFVFFCCLAAESGQTFFSGVCQHYISSALSRHLANLYRQYNDYGSVAR DRAKGNLNRLNFPEFLEEIAYKEANNEEFMKSNLLFIADYERECMNHALMRLQAEMAH DKQRVLKVNALKVYIDTVDLYEQVYAARTYQIECGDSRE LY89DRAFT_789882 MALQEIGFYGGMALLISSITGPGLTTIPLLYQEAGWLTPTLAFV LFGCLAGITSLFLVETMSTIHGNEAFQARVEFSTVAHLYLGDKAHIIMQVLLYCALQS VNVSSIIISEQTMDSLLIQLFHKSCALSFTKGWVCVSSIDSSGLIFDSYILFSFGYLI AAAMVLPLSVMSLVQNIKFQLISVATLFFVMIVWIFLFASHGLDLAVPAIGHNQSALV GFTLSNFAFLDPTNKLQITTVPSFINELSRRVSIRRSIAYPIVICVALYIIIGLTGAA SFQIDPSSDLLATLSAAKKHNILIIIVNILFPISVLVTSVPVFAIVIRYNLVRGNLCS NRWAIVWASLIPWVLIIPFQTKGWLVLVMNWSSLIFGSSTNFIIPLTLYISSKTHTAS TIDTSGGDLFVLHRENASKKSNEAIDVADLGQESSSSGLDPSSIPLRVVYSPAVSRNP SIGHGSQRGTAISNSDLPSESTEEILIPSHLAPNAPSLEAQRSSEGHTLRRRSSTASR DNTRSSYQPSSNPNAPPERSFSQERDRDEEHIQGVFKALPRRKWLPETLLARICLAIV TCCVLGNLIYTIVETARGHSPLSG LY89DRAFT_367734 MSAKNVSGPNGKKPASAATNLIAGGAAGMMEALVCHPLDTIKVR MQLSRRARAPGAKKRGFLTTGAEIVKRETPLGLYKGLGAVVTGIVPKMAIRFTSFEAY KKLLADKETGAVSGQATFLAGLAAGVTEAVAVVTPMEVIKIRLQAQHHSMADPLDIPK YRNAAHALYTVLKEEGPGALYRGVSLTALRQGSNQAVNFTAYTEFKELLQKWQPQYAD SPIPSYQTTLIGLVSGAMGPLSNAPIDTIKTRLQKTPGQPGETALSRITAIAKDMFRR EGFHAFYKGITPRIMRVAPGQAVTFTVYEYLKEKLENSTVPIVGGKYEE LY89DRAFT_789883 MQSGISASQELQTAFQSLVSSEDQRGLLCTITKESLTPLTVLSP ATSSFSDDLSLLAPHLQPNVALYIILRRYASTENAPFVAITYVPDSAPVRQKMLFAST RLTLVRELGIERFRETIFATTKEELTTQGFEKHDKHVTLEAPLTEEEQSLGEVKRKEA EEGRGMNERKSHVSSGVSMPVSDDALLALKSLAAGGDNLVQIKINIATETMELASSTS TQISSLSSTISATEPRYSFYRYEHTYNGTSSSPILFIYTCPSGSKIKERMLYAASSRS AVQIAEAEAGLTIDKKIEASSPEDVSEESIESDLHPKVEVKKAFERPKRPGRK LY89DRAFT_691891 MEGAQQTTSGLIDRENATIAELLTRFLNLVKLAASPVEEGATKE VAAAQAFEMECESSALVRASEDLLQLTRELKELWLFGPLRGIKEGEGEGKMDEDSIKV GEMVEALLKKTSGTA LY89DRAFT_725931 MADNPPAAQQAAGSGDSAPKTQHSSPTDSRGRGEGRNRGSRGRG RGDRGRGRGFGSKDQGGRNKKREVGRKEWDSTRVDRRQRNDDQQEAKRRKLEDGAIAG ETASPFSKEEIEAESRKPKRKVAVMIGYAGTGYKGMQINASERTIEGDLFKAFVAAGA ISKANADDPKKSSLVRCARTDKGVHAAGNVISLKLIVEDPNIVQIINEHLPPQIRVWG IERTNGAFSCYQTCDSRWYEYLIPTYSFLPPHPQSFLGKKLVESAEKEGVFETYQSLQ EDVASFWKETEEKYVNPILEKLDPELREAVMTSIHSSEEENLVPKKEEAADVKSEGVE QSDKLESERDEGTTEIKNETVDGQTDTKTGGDVDIKTEDDGLKSKIEGSANLVDIKKE SNGESTNVKSENIEESKSVLTPLEAAIKEVKAAYIIAKKAYRVSPNRKQRVQETLDCY IGTRNFHNYTIQKTFSDPSAKRVIRSFKVASEPVIINDTEWLSLKVHGQSFMMHQIRK MVAMAALVVRCGASKDLIQETYGPANISIPKAPSLGLLLERPVFDSYNQKALKEFMRE KIDFDKYEKEMNEFKQREIYDRLFREEEKDHQFHAFFHHIDHFRTDYFLWITASGISA AKQSKAASRVENLSDDEADVNGEEG LY89DRAFT_691894 MELAQSLVRSCVRSFYETKHILVIDALILHSALRDDDMAYLMGM NTKELHKLCGRLKEDRFLAVHTRAEVKEGQQRPMNRTYYFIDYRATIDAIKWRVYLMN KKVQGNTVPEAERKEWFCSRCKSEWTQIEVLDKWLPESGFLCHKCGNVLIHDVENNRG GHEQSTKYHAQFRFITDMLPKLDEVIVPENNFDRAFASQLKVIRDETNPANETAPVDA AAAKPTAVRGMANVGPTSISVTLTASEGPTEADIAAEQARKEKIASQNAMPVHFSHST ITGEQVKFAQSSHQPSQSLEHDKKSVGADTPLMNGDGAEIDDYFARLKAEQQKEAERE KDEEYETDDEEDEEDFEDVVPTGTGSGIGTPASSAGDSKPNLVSLANGLPGVLKKGGS ASASGTSTGATSPVTGPGTPNDEGRPTKRVRIEEPVPKEEESEEDMEFEDV LY89DRAFT_602043 MTVGGGKEVIAIDSGSETESDHDLLPATTTPRESSLPLHSKDRP PGLANSSLQDLGTNNVVSVLKLSWYKDSLNSGGLLPYDNYLVYQGKITSGLKHQPGKV TVRPKGIQILTRAKEDAPPPNAKRSHGRHTSRASRAHYSQLSSQPALLHETTVEHEGT DMLPIPDFLHTIYSCQRPTPLHCPNEAFLAQLRIIKQARKLEHEEMRSRAYSGGIATI ASYPHTLMSAREVYRLPNCGGKIATLWREWHETGHIAEVDRIESDPRMQALNIFYGIH DVGDSGAKKFYERGWRDLDDVIEFGWDNVLTRNQQIGVKYYDEFQLRISRTEVESTAN IILDYANRIRDGFQMVICGGYRRGKSDCGDVDVVLTHPDEEATSMFLQTLLENLEEDS YITHRLTVSTRNSDRGQSPVSWKGKRKAGSGFDTLDHAFVVWQNTQWPSQVEDLKKDP NFKNPNPHRRVDIIVTPWKTAGCAIIGWSGGTMFERDLRKYCNDKLNLKFDSSGVRKR EGYDEGAWVDLEAGDGDLLVKEKRVFSGLGLEWRDPTERCTD LY89DRAFT_367763 MSQHSTPTRAKRGVLSQAGINNRTELAKRPISMGDCMFNLGIVE NSQLHKDVVQAIRQFTGYTKTYLNARGRIAPRDVRPDGRPSLVFSSAFIEVVNTFLEA CKGGTIFFPPILPHDAGWENSLVVIDGQSTRLIWSELEDEQTIRVNMALLFECLKSNG LPNALDLEEANFFKSFDTEDCFVCGSPQSNMADNALFKCHQCRCHFHQRCYAIARTPE DHEHWHCRRCTKLRDEKASRKVKDEAEDTKTPLKRRKTKKEPNGAYSIGNRSVGSPSR RSETPNRSIGTPSQSVGTPSQSVGTHRRIESLSGLSTPARVSVAPPLPLLPPHHDHLV FLVRRKIRNVFRSVDLSNVHSIDGLFNVCGATWQMNVTKLYIYLPDKDGVLEVVAGNR SSFTNALKIFPENDGKGVLVQMLLLAEGEDY LY89DRAFT_540969 NASSGLSKGAKPPLKANPKKAPVSMYGDLSSAFTSKKHAETAEE LDANIYDYDAVYDSLKPQKKITQEDKERKPKYMSNLLAAAAVRKRDATIAEEKKLARE REAEGDEYADKEKFVTSAYKKQQEENRRLEEEEKIREEAEAKKNKGTGMTSFYKSMLE KGEQKHAEVVRAAEDRLQQGPVEEEEQEKEKTEADLAREINEKTGAGIAVNDEGQVVD KRQLLKGGLNIVPKPKNSVAANASRGGASVADRSRGSGFVGAGGSKQAMRERQSRMME AQLEQATKRALEQEEEEREKIERASKSRKTEGDIMSAKERYLARKREAEEAKKR LY89DRAFT_712188 MTGLQAAASFKSKRKSSRRDRSRSPVEESHRDKERERHKHRSKR RRHSPQPELDDPSLYDDTHLPNASSGQYLDPDAAFRESLFDAMADDEGAQFWEGVYGQ PIHTYPDVKQGPDGELERMTDEEYTAFVRAKMYEKTHQHLIEEKARRDGAKKERERAA KEGAKEAREADRFRRKVEESLRRGEERKANKAWAEKWNAYIEKWERLEKGVLGSVALA SIPWPVESGKRKDIGSKEIERFFLYGPTAGQPTEAQLSKVLKAERVRWHPDKIQQKLG GQSVSEEVMQAVTAVFQAIDTLWGEMRDSKK LY89DRAFT_367817 MESIMWVHLLNLKFVINMHLKYTCLHPNSKLVMNLGQGSKRPMR LFSSPFPSPFSSSSSSSRAGQGTFCTSAPRVIIIDECICFFGIPRNARRQLVLLVTAQ HDARPLSGCPFNRCCNPSILRSSSLPATFSSFTSNLNLLLPPLYGRRALN LY89DRAFT_743093 MPTLGFLKKKRTKESPGQKDLASPTSTSSQATSPVTPTSSRGFE NSITTVSTHSTTHSTTPQTQSTSQPSASAQQEAPTAVGEQQPMTTQGVSYQQQAYAPS QYQDIKSATNADHQNLPTINNLINPPQTDGATQSKADQTPSDASNGQARQPESQQLKP AQAMPQITQVRQTKGKYSLGDFEILRTLGTGSFGRVHLVQSKHNSRFYAVKVLKKAQV VKMKQVEHTNDERRMLQEVKHPFLITLWGTFQDSKNLYMVMDFVEGGELFSLLRKSQR FPNPVAKFYAAEVTLALEYLHGKDIIYRDLKPENLLLDRHGHLKITDFGFAKKVPDIT WTLCGTPDYLAPEVVSSKGYNKSVDWWSLGILIFEMLCGFTPFWDGGSPMKIYENILK GRVKYPPYIHPDAQDLLQRLITADLTKRLGNTHGGAEGVKNHQWFAEVTWDRLAKKDI DAPYVPPVKAGVGDASQFDKYPEETESYGQHGHDEYAKLFHDF LY89DRAFT_368255 MQFKNIVVLALATAVAGQGGALSTVTSDLSGALSTATGAASSVA SSLASGATSDASSLSSAASSVGSSISSAASAGSTSITATGSSASSLASSLSSSISSRE SSLSSSISSKASSVSSAASSKASAASSSSSNAAAYPTGAIGLGALFGGAALVANM LY89DRAFT_368173 MSVLQVEDLVSYQLRINYLDTISDGVGERLITINDGFLNTAGFK AAGWRQNPANIRRTHSPPIPTAIASEYFQAPPRSAGLAQNGLEDEIEEGGLVTGGGAG DTVGPGIATKRRRRREQMEEEDSSDLSDESDEDGDQRAAQQIKFSKMPIRNRSGSSPI RGSNLRQSSTVTSPSRTPGGQTRRGSQSALEAVKERARRDTVTSSEMSSENEFDASAF QRQREATRNAAKASKALLRHTSDPNVGVKRQQSDLLEGEEEDSDGSGSDMDSDFAGSI DSASLLDGVDGPINTSPTSHVVGTLPRDLQRSPVKKSKPAPPVLQALPPPRPISTIQP KSLLSAAIKAKRTKAAMPFESFASLSGQGESNPLNLRIWAPFATSTTKPFEVLIRRSV HENEANDRAVTVADLIGLSLWRYTEEKLEPVIPPEKLNVNRWTLRMVEDEEVDYDFPA LERTKPVAAFTTANNRAARSRSNSKPYDEFALVEATEEQFEQNQKVTPQFKQEAAASA ADDDLTPKITPLPSSTLAAAQPRQNPLLTTMSALRLNSTLADAPAIGNTTANARNGVK KFLRIHIHSADAAPGQMITLDVTTDTYLADVLDIVCKKRQLDKANHVLKLTGSGTVVL LDRTVESIGNCTELDLYRRRFATDGPLTMSGSPSSSSPKIPLMTERPDPRKIRKGPMM GTHPLAQESIKQDELGSANYRKYTVWRKQPMRFVGLNERVLAIDGEYLHIMPASTGKT MFEGQGKTTTVHFSKVVGCKVTRRHPTNFKIVIYKTTETKRYDFEAKSADEAAEIVFE IKKGISPYHSV LY89DRAFT_702524 MALVDYSDSDASDTEQLSTAKPTTAKPSFQKVVDRSNPGKIRVS LPQATAKEDRPSDEPPAKRTKLGGGAFSGFNSFLPAPKRTNVTNTTTTGGTTKQVGLG AGVNLKTGSAPGFSREPEPEREYTETEDGGSAFEGSGLNLPAPKGADNLDQKPAGEVK LVGKPLMFKPLFVSRKPTKKKKQSVTPNSITTSTAAPVTPDSKAEKPAQRPKVSLFSM STESETLPLPSSTGEYQPIIYEPIENREASDEPEDNSFEIEPSYENYTPNTTRTAPPA VPTPPVSQSLDDIAGDLNLTESQKRQLFGRQKGGRMQSATKVINFNTDQEYLHNEELR AAGEQVMHNPVRSIAPGKHSLKQLVNAAQTQKDALEESFAKGKSNRAEASSRYGW LY89DRAFT_743097 MATYEFLPDAFDIPIDVLSPLDPTRALSIQLPAPLKTHHPSHIQ DLTPHLSTLFSRNLGITNEHLLSAHKASIIFPKPVVRYTLEKQNLLGTHLAILDGVGN EIATWKSPILSLGIGSSKTEIKFPGQSQMLEVKKVIETKENEKLGYNRDHGLHHRHRY AERFVKDGTTYFWEAEPESCHQLALMEVFADNRDGIMKKEVARYAQKSAHEREGLLVL NGTEVDELIVVLTLCALLDGKDSFLN LY89DRAFT_743098 MATLTIDPNYGYVLLAAASTFLLNSVHAANTGKYRKAAKVDYPS AYAPDSRTDTAAHQFNCAQRAHGNFIENQPTALGSLLLAGIRFPVTSALLGIAWTISR YVYSQGGAGGKGRYKGISFFLFQAGLTGLAIYNGVAMVMQW LY89DRAFT_660823 MDGISNGLGFGGASDPKAAVMNQVRQEAAMANARQLIEKVNEHC FDKCVPKPGSSLSSSETTCFTSCMEKYMQAWNTVSRQYITRIQQENSKGAAGGGMF LY89DRAFT_367868 MWFSRALSALGLAACALAAVHEQTTTDENGIRSIPLRTHSLHQP YLDSDMQSRWFDFGGNTIVRADQYIRLTSDRPSQEGWIFSRVPLTATNWEIQVEFKIQ GNGNLHGDGFAMWLTKQRATPGPVFGSTDRFEGLGIFFDTYKNNRPGTVFPYVMAMVG DGTKTYDKSNDGKDNEFMGCSARGIRGASIPTKAKLTYFQDKSLKLELQYKVEDQWEL CFETNEPPTIPSVAYLGFSAETGELSDNHDIISVATKNLYDTKGRQDTSSKSGPGGKI ASTGSGRGVKKQGGSWAWFFVKIMFFFVVLGGGYVGYTAWRSQKRSHRF LY89DRAFT_712196 MVEHIDNDTRGWIMCVVSGIACVLGASIICVDVLIRYIPSMRNF KIQESGAFLAASMSLSFGVMLFSALYSMLPSSKKYLILSGFTPSAAAWILLAGFLGGF VGIQIVSRFLHHYMPSHAVGCDHTHEEGHHDHDHSHTNGKGHSHAAHGGINGHAHEST PLLSAQNETSSKLSPHRHGQGFGGDGASQSRGKKASKDKKNKKSGDDDTKSDKRRPSM VEVQKRVMSFVKDTKPNCDSTGPCFGYTDPCGQGCFKFNVNSRRSTIPSRISSSTSLA AAPEIEVVPACSASRTSKPHVHLHEEDDEACESDDEDLEAQHHHHVPENEFLSIGLQT SIAIALHKLPEGFITYATNHANPSLGFSVFMALFVHNITEGFALALPLFLALNSRLRA MFWASLLGGVSQPLGAGIAAAWFKIAGREGHAPGHAVYGTMFAITAGIMTSVALQLFV EGLSLNHNRNLCIAFGFIGMGIMGTSNALTS LY89DRAFT_691906 MDLTSLSTVIEAAKSFASKETKLHGLILNAGIMAPPYEVTADGY ESQMQVNYISQWLLTYHLLPIIESTARKEGPGAARIVCVSSHGHREKPFSVQKMLYDK TEIENFGSFGRYGLSKLANVLHAKTLNVQYGPGSEHARQGKGEIWCASVHPGFIATQL NEKNRDNASWKLSWIHPVLKFFGIMRPWSKGCVSNVFVGASPAFTADMCGLYFDEKAR VAKENAAARDPNEREKLEKWTVEELKKGGWI LY89DRAFT_660834 MSQSIIRFDNPKLSHVWKADDDWTGLKEKEERKKRQNRLNQRAY RVRNAPKDQPSNKRRAFRVERFRITDPPLPNYFGSNLATSIFNVAYTASQALSSLDHT LMNETYFPLSSDHLLHLIHFNVFRALISNKLLLNDTTVLLRAGEEIVLPIHQNLCEGL TLVRTKNEKPIPRTLYPTTKQMSIAHSSWLNMFPYPQVRDNLIQHQKNFNHRDLCNDL FGELFFKNVQNSPYPNTTSAPNETASEVWETWEDDVTARRKGLIVWGEPWDVNAWEIT PGFLKKWSWIIEGCEEIITASNRWRAQRDEPPLTYVTSSGPDPNAREPTYELPKNLYS LY89DRAFT_766916 MGLPTSFHRFSDLSTAIRDRIWALAAGEPLFPTSRRMIPLRVQM IPRLSTVSEEDIPGFDPEQDEFDGDGVYIRWHFRVFGPGPAAVNAALWHSSTDSYRFY LRRNPNYIQMRDHVFCSPWSLFVLWMYARERDPVWSSFDPNIGGLGPSEEQRGRDLVG FDRIRVLETSLPSNVQGLRDDMFLIRNIFTYRSPAGGRVRVAAGTAFSVARLYRRIDW VDAFRETIYQLLAPMRFATRTSDDPDMPNYYNSGLRAADGALEQDGQSAEMLPVDAWD EFDMNVRVFLAWSEEEESGNDTQSNNGSSNSGDSVVSYSGEIPSRDVIWLLFSFGRYV LGSHWVGSYPIVPPSVEELLRRAALDIAIRERGEEVRSEGVGVNMEGGMI LY89DRAFT_368314 MASDEKFMMTVYTDTGIEHRLVTDEEAAMLRIKSITISLVLAAK HNLGYPEGSRHPHAPSNENDLEKIKTLFLFGRDMDVSKWDTRGVASLNALREHLKAMV PGDKLTAGEVASMVALMEKKSMLRVLGLQKWSKAPCSNLKCPTHGKGKK LY89DRAFT_691909 MPLPLWLQDSVALLFSLSSSSLIVIYSAVLKTLPGRFLSRFSCI ELDNVSALLSNEGNVEK LY89DRAFT_368333 MTAHFPHGLRSVDWRPPLPSLFQIWAVSARVSALARDWTTNPSQ APTCPSCTTDPVLDTVAHADLSRFPSSRASVTPIRRTLGAQNGRCHQILYRCLLSSGT TPDWHSISHILVTPAWRGRIIVWICRNEEGHLVTNRNLHELPHLAPLNLCATSTRSSA LG LY89DRAFT_601901 MVQNYVGVSRGAQITGVAVCSLMLTWLSFGFRLYVRCGILKFLG REDWITVAAMIIFSIFCALCLQLSSYGLGAHMENIAGSAFVPGFKIVFVCELLYVVST TVTKLSIAAYFLRLSSKRYQRVTIYLTTAVVLILSTMYFFFLIFQCNPPPAFWNRYSP DGGRAYDAYCLTGDTLAGITFAHCAMSAITDWIFGILPIFFVWKMQMNPRTKWSVVLI LSLGFFASTATIVRIAYIQKLRETYDYSWEGINLAKWSMIEPAIAITAMNIATLRPMF KNVLNFASKRFDSAADIEEAKRPSGESRTATIRTRNSVSAKEYSVEFAELLGLARVGV TTHISAGGGNQPEKDSLRKRFTLRKSLTKSSQKNESQTELNSVPSPLSFSDGIDWTGG IKATTVVTHDVS LY89DRAFT_368386 MGPACPTLLTLSHSPQYPSSPETSCPTTPTLTYSFDFRPLIQHP LHNSHPPIIVNTSCIITNTTLTKLISSNPLPPTPSSQPTHFPTRTSHTNLSQSPNTAT RLTPPRQ LY89DRAFT_368393 MASTQKPLLVFGTTPIYGHTMPLRAIAKNLIEQGYEVIFLTGSH FKKEIEAIGAQFVPFQGNADFSETTGWALVPPPPEILRGPQFLNFILRWFFAGPLVEH YNTLQSVLEEVEEREKGREVVVVFDQSWWGALPTRLGAPGLKPSGIVGIGIIPVGLSG KDVPPFGLSLKPDSSGQSTEKFAGLTKKVYGEDYATTQNEFIRNLKKIGVKHLREDAH IMDLEYTLPDKFLQMCVPSIEWPRVDLPKNFRFAGGLPKGHRDASPSLPEWWDDITHG KDKIVVVSQGTLLSLDFTQLIIPTLEGLKDLPGIIVVAALGRKGATLPEDYVVPSNAR IGDFIPFDELFPLSDVFVTNGGYGGLNHALSHGLPMVFSGVQTDKPENAVRAEWAGVG VNLDVESPAPLAVREAVDKILGNSEYREKAKAIEREMDSYDPMGAIVQAIEEAAAEAR LAKE LY89DRAFT_601824 MRSPFFDLTALFWAKNPPEISNSSPLPQATIYRTLDKAKREIRI CDLIPSSKLSAPLQCSLRTVPIAQAGNFVAMSYVWGKKAASIESILIDGVKHPIRPNL AAGLRRFRATFSEQRHTISVWADAICINQDSIEERNHQVPLMRAIFSECESAFAWLGE EDETSDLAMECIVRMDK LY89DRAFT_368396 MALCAITCYYACIRKDWLVRGRERGEINKCCNARSFVMSLLTIL VGATLANMHTSRWIIHLALASES LY89DRAFT_691916 MSNYDSGNTGSYGDDSYGSSGRQQGGMGGNSGMGGNDTYGSGNT DSYGSSGNQGLGGNDSFGAGQTGQSNLSGGTDSYGAGRAGETGQAGGLGGDNYGSSGR TGQSGFGTSGTDDSYGSTGQSGLGGSDTYGSSGTQGGMGGSDTYGSSGTTGQSGIGGS DTYGSGNTSSGNYGSSTTGGSDTYGSGNTSGGYGDNDTSNTSGNKKGDSTMGKLMEKA GGMMKNEGLQEKGAAKRAAAGNDEYSSGGNTGSYGSGNNNNNDNNY LY89DRAFT_691917 MTTAHRPTFDPAKGKEANRGPAYHQRLLPAHTQLKVRQPGQGGD ADHQVRDLRAELLQAEAAHFAKVRGGPALVADTPEPATNSSKRQLENGDAEEEDPEAK RRRILEESRDIDADSEEDEEEDSSEDDSDDEDETAELQRELEKIKRERAEKREQEERE KAAAEEAEREKDIALGNPLLNPKADFNVKRRWDDDVIFKNQARGTEDKGKKKEFVNDL LRSDFHKRFMSKYVR LY89DRAFT_660847 MPKEVEPSLNERQFFAKALQEDVRLDGRSLDQFRALELDFGDEY GVSDVRLGKTRILTKITAEVTTPYPERPFEGLFTITTELSPMALPSFEVSRPSTTETL LSRLLEKTLRRSGAIDLESLCLISAQKCWSIRADVHVLSHDGNLVDAACVGIVAALRH FRRPDTSTEGEGVTVYTVREREPVPLSLLHHPLCVTFSVYGEGVLGKEAEAEEKVVVD ACLLEEQLREGALTVGMNRHGEVCQIAKLGGVPVDALTVLHCVNVANVKVKEISKFID SRLQLDAKKRDKGGLMAELSAENDRVS LY89DRAFT_631653 MATRIDTATVTSAEVRAAGPIKRSGNSHVNLNGFSTPQPLAPIR THTKKKYRHVAAVHSKPRTSCLSHDADSTPSFLGFRNLMVIVLIVGNLRLMIENFKKY GVLICIRCHDYQRQDLILGAALFFIIPCHLFLAYVVELVAAQQARRSLRGGKKRSGTA TPGGSYVPSDEEKQNFHSTWVLIAWVHGINATLCLLITSVIVYFFIHHPLIGTLSEVH AIIVWLKTASYAFTNRDLRHSYLHPSNREEDILPEIYQQCPYPHNITLGNLTYFWWAP TLVYQPVYPRTTKIRWVFVAKRMAEVFGLNAFMWIASAQYAAPVLRNSLDKIATLDLP SILERLMKLSTISLVIWLAGFFALFQSFLNALAEVMKFGDREFYSDWWNSPSVGVYWR TWNKPVYQFMKRHVFSPLVGRGWSSGAASVFVFLFSAVLHELLVGVPTHNIIGVAFIG MLAQLPLIAITAPLEKMKGINGRIIGNCIFWVSFTLIGQPLAALLYFFAWQAKYGSVS KIVKT LY89DRAFT_660852 MAYEQRGDRDYGGQGGGGGGGGYEGVFIRGRAKRPVTDYGATIV HWMRNRQPRFKGGYQGEMERPSASYIVDMLPPLARLNNPADTIPSRFLHASLNKNKHP VNVVCWTPEGRRLLTGSSSGEFTLWNGTGFNFETIMQAHDVAIRALSYSHSDDWLVSA DQDGLIKYWQPNFNNVKVIQGHNDAIRDLAFSPTDSKFVTASDDSTLKIFDFAGGNEE SILTGHGWDAKSVDWHPTKGLIVSGSKDHLVKLWDPRTGRCLTTLHGHKNTITKTSFE KVRGQCLASSSRGEIARVFDLRMMRDIVILKGHEKDISTLAWHPIHSSMLSTGSADGS MCHYLLDEPNTPPGITSTLSPYDSPDPSVAPIQTIYPAHKINHAHEFPVWSLDWHPLG HILASGSNDRTTKFWTRARPGDTDIPNDRHHIGEAAAEAQGTWDRRGGRKQRQEEEEQ EMEDETEGLVDQKMPPKLPGFPGIPGLPLPQFGRDGTSQGGAQPLIPGMGGAHAPPPP VFGNGLPPPLPGMDPNNPPDFAKLAEMMQKAGLPPPPPPVGGQMPLPPGMLPPGLLPP PGFPNGFPPPPPGMGAPPFQIPGMGGGGERDNTPPTAAGTVRRRGPLPSQEESLRMEQ RQGKYTKAR LY89DRAFT_691921 MSKTTIAIIAAVSAAAGASVTAAMYSTRSEKKIQAVASSTSVSA TSTTSVPAPVPGAFPISAKDILPPVDPAGLFQYGFPGPVSDLATRNALVSSFDRRLRN PSWVAEHITPQSLATSNGDRKHSVFVEDPSVPEKFRGKLKDYFRSGYDRGHQVPAADA KWSQEAMNDTFFLTNMCPQVGEGFNRDYWAHFEDFCRRLTLRYPSVRIVTGPLYLPKR DAVDGKWRVSYEMIGNPPNIAVPTHFYKVIFAEDGTTTGPVAVGAFVLPNAAIPNTKP ITDFEVPVEAVERASGLEFATKLPAQRRKRLCTDTVCALVIKEYADRQRAFVKEDKVA AVPTRTSPRNLTPASNL LY89DRAFT_678086 MTPQAPDMPVHSTVNSLETAMEAVQITDKGPHDTDETLQDFNAP PQTFDKFTKFPDLPIELRLAIWSFVPETRIVEVEFSKEKKTWFAPIESACQPVLLSVC GESRKVMLEGWLPLLPHFSSDPSRQSRMASKIARGFPYSISYFNPKLDTLYIDDSVRS ILPEKCLKKLGQLVKLPALKNLRHLACSESLLPYSFHAQERTDEILEIMLKFKHLRRF SYIIGDPWLSLDHESWKKMCKGVIEFRHTGFRPGLDPVRFKSPLEKYKKQSVLVDEKE IWRGDKDMWKESLRMIERRIRGLRPSGEHWAQ LY89DRAFT_678087 MTPNTFHKFPKLSLELRWMVWGFALPEAQVVEIEWSSRTQSWFC PTESAHKPNSFLETCKESREYYLRDWIPLATDFGSDSPHHSLMRSHLAANIKFPVVYF NPSIDTIYAHSNSGRLPDAAVEAFPLIFGLRHLAIVRLMALRITMDIHRGWRQIGRSA LDNLTKMTRELKDLDTVTIVDNNGPVRYKSWKRHCRGAIELRERSTFSRTYIPSRASS GLEAALSKSHIKVVEAGIWRGGKHMGEWARFFDEQGQSGDIIQPEISGGVLRS LY89DRAFT_789916 MPSEILLLVATYLPPSSAACLSLCNRYLKQCIGSGAMRDLRGAG KECRISFLELLARDLSKNYACHTCVRLHKTTLVEWPRTLARYQMLPCIVFDQKYRFDF HSRYKINFAHVQLALKNHRRGPLHGIPLEAFSHTEFWIKRGYANNLLSVEARIDSDEL LVRSQRWILIPRYRREELLTTWHVHGLCPHIWMRRPVEPVQDIFTQIVMEQLDGQERR LVYKCDWCHMDFSVDIFDEEGDTGMVVTRWVNLGNGSSNSSLDWECHIPVTYAHTPGH QAGAIKARFETQQGQSFEEINLLNFKRLLSGQRQEFKDGNKEALGSPGCIWIQLSMET PWWYINPRADREVESLTTTLWSILLEGAPSRFCLCLVCFLIWYYEDVLDSL LY89DRAFT_743120 MVTMTQPSFHLFPKLPIELRQHIWYHALPAAAVVEIEFCTIATQ WFCPVASLPPPCGLSNACAESRNMYVKYYNTKLYAGGREHEEVTQLGSCNHNLLDETN SADTGDEIIRRVVRVDLNIDTIYIGPNTQGELCFTYSSLQTLLAIPMIENLRRLAVED LEWSNDLTTDPGELDALAFFKKLEKVSVLVGDISWISFVHRSKGPSERTKDVIEIEDC RNTEWLKRSVKRIERKWNQILEGPDVEVGFEFDVKMIRRGSEK LY89DRAFT_702536 MWRDRTNLYISYRQSYAHHPAKKPKYSSSGNGYSSSIPSGSSER QGLMSAGAFEDDGDAVIEMDLLPPRWADISDEVTEYLTDIAAKSVKLDKLHQKHVLPG FDDEEVKRNEEGEIERLTQDITRGFHQCQKAIQRVEQMVRESQQQGGISKGEETMARN IQISLAGRVQEASAGFRKKQSAYLKKLRGLSGMSAPIDRASTPLYSNYNDPSLMESDA DKSYSQSTLQQTSQKQLTSNDSAIMQREREITDIAQGIIELADIFKELQSMIIDQGTM LDRIDYNVERMATDVKGAEKELKVASGYQRKGTKRRIIFLLIILVVGMFILLLVKPKR HSGRGGDQPPEDVPPP LY89DRAFT_691925 MADSLTEEQVSEFKEAFSLFDKDGDGQITTKELGTVMRSLGQNP SESELQDMINEVDADNNGTIDFPEFLTMMARKMKDTDSEEEIREAFKVFDRDNNGFIS AAELRHVMTSIGEKLTDDEVDEMIREADQDGDGRIDYNEFVQLMMQK LY89DRAFT_660866 MPAVRRWRVAALTIVFTVTCLYYLTHERNVWNYGYTPYIPALPK PFADDGHVHWSKLPERYPVTSYIALPSGRPKTIPPIQVKQPAEDVKAKEVRLQRREAV KESFTHSWKGYKDHAWLRDEVGPMSGNYKDTFGGWAATLVDALDTLWIMGMKEDFETA VNAAQEIDFTTTESKDVNVFETTIRYLGGFLAAYDISEGKYPLLLAKAVEVGELLMSC FDTPNRMPITRWDWQKYMKGTAQTAPQRMLVSELGSLSLEFTRLSQLTGDPKYYDAVQ RIGDEFEKSQNSTQLPGLWPISIDASKPSFSEDTMFTLGGMSDSLYEYFPKQYLILGG LLSQPKTLYENFIEVAKSKMFTRIYNPKNENLTISGDIRTKNKEPELQPRTQHLTCFV GGMVGLGSKIFNRPADLSIAEEITQGCIWAYDSSPNGIMPEIFSVLPCKKNYDCSWDE QYYQDALNDHYPSASTTNAEDKQTHVQKVIADRRLPKGFTSIDDRRYILRPEAIESVF LMYRMTGKQIYAEAAWRMFQAIEKVSRTSIAAAAIMDITQPPGGSGKDDANEVGIEGQ KMDSMESFWLAETLKYFYLCFEEWNVVSLDTFVLNTEAHPLRRPHA LY89DRAFT_678093 MSHPEDPTSSPTRRRAVEDYYCDHDANYPCPPPPYAPGAEAVTK TPGVDASHPAKTPPLQIRNIVPDTRSQTQFSLQTDSPPQYQSRPPPPYGIVTNSQRTL VEGMCRNKEVLKLKKQQAKQAREVRMETQAQSAQIEAMRKNRAGTETWKLREAIEWED EKRRIDAEARRASVEAARQERRRGDRIQ LY89DRAFT_789921 MEEISGYISRPVSPISDGGEGVSVTWENLKVDEGGMSQPDRGSL ALQLNKSLKYNPGDPQHLGFWNISIIAFGSLYVIFLLGFLWFLWGGHEDIPFWRWLVV QGYVQRAVTLCATILRIVVAAQSSLGVSMLAALVIESAGSALKRSTALSLQRFLGGTP FTLMELRLLTGIGKVISALIVLLSSTTIGAYFTSTALISDFALLSILGYPVQNEFLYS LNNVSTLGSEPIYSNNRPTSYPAFAEFSTSVEVNQSDSTQEFVDDTGPTLRAFLPLSA DIRQNVGSYNGIGTLLNSHVVCVRPVIQNLTYTMQRTDVSNDFKSFISGSISLESMPE GLDFDQLEGENNLQDFPYEGYGWGPTLSLNFSCQMTLPSIMATGEWPISMCVAGNMLN STGATLSDMGRLPTLGLRATSLLNDNVLFDPLSYVLVNYCGLLPNVYDVAAPPKIEPA NWTEIASSSPTWRTFTQKLYGNFTYVSLSYCFSHFAAIDAQISVNSSTPRAEPMLSKS NTSNTPFDATDVLRQLGADGVNRSLNDRGILSLSKDEKWPSYTDTKTSLALDGSYGFG MQRNAFSPPHSGKLDSEYLIAYGDAISGTWGLCSGCLQDENDGSSLKASGRVAIALQA LFTVVNMMQYYDRLPQFDLEAPISISTFSPKIQPKHRMGLLFVTIGLLIHIATMIAIT TIFHFRTKNPFIGQSWHTVAQLQNENMIPILEKASLMRDNEVESMMREEGMNGDEVFY MDSVVEVGGEK LY89DRAFT_601789 PGSPFTPREFPEPAEVQRRAKAGSEKVLSDWNTLRKIVERHAEV LEKRWVKKTKKKQRDMLLQAWPNMSATHRPDYEAFRQENTGRHWIGLSNFQEAYKWPY INQQDLCSRSLIIFITSRGRNPPSSFARADVEATRLGTVGHFIPEPAFLQGFTLFMDG ETPETYGKLVSWDENREAADLMFSQRQFTPGHGLRVFELQEKVYPFLIKCCELILHDL VQSGSLLDDKFPIVPEAVSASDPTDTEMLPSLTLLSAEAPYRLPANLDLDRLHAILAA RLSAAEDHLWALREDPGYFAETVRDWSEHRNDALPDTWGNPHPTGPHTTEFWTRVIRN VIADGYTGFETWNLLHRQVNRLRALRDKYQDEITYDKQLPEEYLLEILKFQQMLKVSS EYPLHNLKNIQSSPPLRHWFIREPQDPNTINMHIRSINEYDPHFYKLWGLLFILWKLF GLINVIDAFEQIMQDPAEKRNLSPYIANLFSDLAIFSRALREIEIYQPWAATFEEEQK VNTNLHGQKHTKAMLKMYEEATFQLYYLEHMDHVLNGITHLAMPGDRKFDYPVNKKRT QQTTEKMIAAEKNLDYFWSRFDANWKKLAKKSINDCMGDHTPRQRESGQQIERTAPWV EPMKEPKMASVSREPKSWTGSDAKDDRISVKSKQKVKTKGVGVEEATAPEPEAPARDQ HDKQPTFKVDKSALKVFNALFFTPGQTSTPGELPWIDFVRAMASTGFAAQKLYGSIWQ FTPTTLDVERSIQFHEPHPAVKIRFPVARRMGRRLTRTYGWYGGMFELEETKETRAAI LDG LY89DRAFT_743128 MCFGSTKGDASYDPYNSRKVNKRKNRYGNGGSAGVPVYVGGDSG NAGGGDGGGGWFGGDGGGGGGGGGDGGGGGGGGGGGGGDGGGGGGGGGGGGGA LY89DRAFT_564422 FQKRNRDWKTFFKCGRVFKTLWTDPYNESARDASDHSQFKSEVV FQVALGQYVYSKVRRFVVVSLRDRSCQCLPITTYDGKGYEKRGIRLNEHGLIYIGDRR PTNVRGITKIPLRLRPPGQGGERLNKTSYINYGRTYSVDCHVKVKDLGIL LY89DRAFT_691932 MAIGTSDRSTGTETCQAPASENGDRQLSLPMLGPRSSSQIDHSI SAYARNETGHVVNQRVLLSNRPNPATTEQLDFGLQSLNVRDQNHDSVLGRNEATAMVE SPAGSLLRPPSPEAKTPNRPREVTYASSVAGSSNRETRPPRQDSAYFSARGLEDNGSE VDFDAQARQLRARERIIIRRERVRRTRRLFRNCRDKVQAYRDAVRDAVDKLTRNINEQ RALNLDVRESIDPYYDKLRIAQDQLGPAEDEYDALERRLDEEEDDFEEEEDHFYRHYD LFNISVPEADIDAPLSPLAEPCQPTGSEPAEIMLENSLVREYLDKMEEAERLKDDVED LENEYLQVSGEATFRRRHDLPLSEDSSKFLLDYPKLHAEALNDLHDAEDALFDTRDKC IEQGVFTESEYAYEPRDALRDEVMDYVFDAEDRSSIRNAVHHLHYNEKSTDFGDKKDY VNKWLLQWVEESAVGALLLRAFIYFEYPDDTNKLGEEKWSELAVQNWDKDQAGDSANK NNRESRLDTIAGIDPGAVGKKHATSTARSGFSSSFGTLEVEVVADDEALTSRSESVAT RSTQHAKSPTTPKRQIFSSTDSQHNDSTPIAASQAQGTGSNSFELVIHAAPIQPDISF GNVNFSASFQSINSIATSGGALSPHTSCTDTATFSTENDDSRLDAAPVDNSKDSTCKG SNSSAIQEGIATIHPSNVCLPDIEKSETHVLAPSLLEESQTFHPSRQNDTTSTPKARP ILDNTENINMATLNLYETAMNETPKAPATNLEAVELDPPPLLSTHNDFSSTEHLSTDS NTDTNLNPPLPSPSTPILTIPPDPPDLSKHQRAKSDDIIPALRTSSDPVRSQRFLDSL QVSTDTQKKRRRSVSPTSFMFVASHTLRKMSSFSTLPHHVDAESPI LY89DRAFT_368817 MSSSSRSKHRSKRSSSSQSSSYYPTQWSNWEWDVERSDWKRYRL KAPGEYEFEYEKTATAADPAEPRTPQASLDPIQEGSYSASANYALSTGASAEAITRGL ARTTLDASVTVTSVPLRNPTPVGGSDLTHITTINPHTDKEEFDPNYKVHKTFEFKQGR VFKVLWSEPQGTGGQGRGDGSSNPKETYTEGVNKFGEPSFQKVRRFVIIRPLAGHCIC LPINTYSRQGVTKNGVHAGDHTIAYSGGKPVYFKGEKAKGLTKKPLKIVAKNPRHKLA DTSRLNYAKTYTVEYNVKVWFIGNVHKDSMWHLITDFNQVHPPLGTQGLSEPSPYQDG ETSYADGGMEEQPTTYVAPIPTYGAGKSTWATSSHVNDPQTWPQQQQSSTYYSGSHDQ PQSSYSSYTAAGTYPTSQPASSYNESRPSRSRGKGKNVQRDDVPAEDIIEGEEREDAY LQPSTDAAPKSYPSSRSASAHSERCPSVLVGRRKGGVETDGIPQDDIYEDSEEEEPVY GKAPYEDAADPAYPSQYDEYGQAGHQDDSYASHEYGPAAQSEVVEDTLQEPDQHHDTT EYQAQAAYEYPAETEEYTQPAHHDEYQEHHEEAHYAEHGEVE LY89DRAFT_691933 MSHRNASHRNASHRARSSSGSQVLEANPERRHTTGSSRNISMSR QEERLQLRLSSNKHAPGAATGELIVEEGQTSSNRRLAPRTDASPRKEIEQAVKPLQTS MRQKDAQQTPNPIPDENSSKLPRARPSAPSNSLSPQSYSNSSQKDLVKQKPVLDLQGR RSVTFQLPTSSPELVTIPNTPLGRPSSQDTQKSQSRQEWQQIHMLAASVLRADVWDLR SQVHQMRASLREKQHAKAAADDILFRRISYMTARGLNLTDSGDSSPLSGQKSLTQLMD DCQQARNEYGPLEDDCTILEDRLSQQEFKLTRLEEQIYARNNEPQQNPNSPQSPYQDD EPSLSPSICSDDDNLEASGYHPLVNEYLSKLGDLDLMQERLDEFRDEKAALEGEKQSR QRFGLVLDAIDQEWLDDAEAEYSEIIGKIRALEKDLERLKQICLSKNLIDEGGDPTDF QSQEGSYFEKEEDLSSSDHVSEYVKYPLLLPHPGKKHQDTQIYEPQPDLASETAMTRI NEWLLDKLRISPLDVSLLASTFESLYGTMSRDWQFLVLNAWYEDDTARMMSDLDETIR SSELSQENPRGSVHVLLAPSTRPPSVLSNDTEHSFPLTKFGSSKSITEKIVTPFGAIP QTPT LY89DRAFT_691935 MNRRCFFRSKGPDTDDHSPKFTTPCYEFTTVLPHSLVSKPSAYY WTPRPGPETPKKRPVIRPTWGIYS LY89DRAFT_766945 MSSPAVNQISFRTPLGAVNNGSSIRVYSQAVDSGIRESAYEGVW TGGKNPLVTAKLNSPIAATSVGLTNISVYYLLPDNTLGEQCYDQGKGWYNGALTGAKF PVAAYTSIAACYLPGSGTDARIRVYAQVEDNTIQEFGIDNPNKGWFKMTNLGAALPGA GIATCAYALKNSAISIRTYTQDNNLTLVEHAYDTGKGWFMGSFSVPDAVTRASIAVYA FNTGATSSNVSLRVYYSGKDGLMLEKGFDGLWYQGAFSVPSIPGSAVGCIQARIYRQN GTDVSAVSEWMWTGKWIAGQAALPPA LY89DRAFT_660871 MMLWTEPARSNAKRSSQLSTVWLGETAFSEIRRFVVIGEGHGNV ICSPIHSYGGQATLKENLPDLEQHAIIHTSSEAPAGRCEYDAAGYIIAQEQLSKVPIR VIQELNHADGDLGDCSRINYAKIYTVEKYVRVLNIGRVHESSMDALLASSFFARPKDQ PVQGPRRSAHKPRDNRERKEEPRDERDSNKRGQSSSSGGQHHHRRK LY89DRAFT_789931 MGVPTSTMETGLLEQGETGHKEPSETDSHNQPTMEGSHTIDKLR WADLMHALQSIEILQTAATMARIEARQKTREASFKRQDVWVWDAKFMGEIQRLNAQGN LKGFEELSRLATQCQTARDLLGPVEQDNIEAESYWLGQVWKLKEAEKDFYTEFKDEFR DAAEYVTTHGGESVSSYHPYSDSDSQIADGPVMEDDDAQTEDATVPLTELDHAEVEEQ IPLNLEDANAQEFENTAVLSDLDSGFGDIESILSTSPPFDINKYGQPRTVPEAGHTGI ELYHDLLVDFSTRRARINKWLKNIVLESRLEGLSVYSILQDLLAVENQEVPSNWAQLV IAWWEFDEASAPVPVEKGTGNTAAEETDPVRDSSKDRAKNKTSTIPDIQSRVLSDLLS ESHIEPPKSDGDRGNNSFNGKGLAPPTPIPPSPPFSHPNSRSASRDTREAETSTL LY89DRAFT_743137 MAGERNSDRLHLLEHSSSMPKNSPTDGDSSWIHEMVDFDSSDIG IQKSPVVTQYPPAYSSTWEQDTENDSQRDLKSFNRYSSSLQDRSPTFTTIPTTKPAST NGFLKFLAFVRRSGRYASHVSYEDTNPKGNPPKFSGWRGGALAAAISAGTMLLINLIF TIWAAAKSRSGTQVGTIYAGDCGKVRNVDAGLHVAINVMGTLLLGASNYTMQCLSSPT RKEVDAAHSRGRYLDIGLPSPKNLNGWKKKIVFTLLVLSTVPLHFLWNSAVFTTTQQL DYNVFVVSPNFLSSSNVDCSQNVSVHYDGSTTTTGPDARDYSYRTPLNYTSLNNQSYT SAALWYQENVCTISRALLDNSTQGLLTRLSNEDCIKTYGPGDNLLKGYGELLVVTKEQ PASTNTSILMQFTYEMFVSNYTGNGWVCDAEQLIDNDYHCKYKDIAANADDWTLGPLT PDPSNRFRLTTSNQWPIDYCLAQPTNLSGSCQLQYSLVIMIIVLIANSIKFSCIVFLL WTHLEPVLATIGDGIATFLERPDVVTAYRPFLDRHHARRFNLAAKRVPVRWYPPKHSL RWWRAPSKTRWFLTLVLCTLAIIVVSILLGSGNSNVMSSDNGYSTPYALGFGTYNADA TLNIFPTSNNQDLTASDFSSNGILIGMVAVANLPQVIVSCLYFAYNTVYTSMVSADEW SRFTSHRKALRTTDRKGDQRSTYWLSLPWTYALPLAIASSVLHWLISQSLFVARTEIM NTYGEPEPNSYMEVGYSPLAILIALLFGTGMVLAMILNGFRKLKGGILVGNNSLAISA ACHRSTDDVMASTAETFRAREVYRYYQPKNSKDVPEAASTKPHSPRARLPKVTNFTTQ QSFSDTALTAFAQLIALRLSAQRAIVSLIDHENEFFLAESTSTLSLIDDDSNALLSIS GARVPRGKSLCEQTLQLVPNQDTTAEKTPVFLVPDLLLDEKMSKLDCVKGAPHLRFYC GVALTNKTGINIGAVYIVDDRPRTDFSLEQAQFLTRMAATVMDHLENIRAKEDVVRMT RMSQALHAFIEGEGTMDGDWQRLKRYNLPANAGVGFSWESNTNDGARGFMKSKREYVA SKSIEPGSAPGGLEVPFNPGQSPLQHSGISPDARFNFNNSPWSSTSEVPQIPTLKSVF EGFDDAAAKLNNGKDAQFANDDFSNLLHDTFSRASNLVMEGMEVDGAVFFDAPFRFYQ GRSTLETDPRRIEEKGSEGSSDSEFDDHEARPGPRPRVLRSDTHTKHLDVANNGVSIA VKSDVLGYSTHGHSSWDNQDMGTTANFTAIDQSLLTSLVRRYPQGELFVSDKDGPISP LTHNPLAGSQGINTYKLARHRARKRAEILRLLIAFPGARQIFFVPLYDSTSGCFIGSF TWSTSTTRIFSSENHLSYLIAFGHSVMSEVSRLNTLSADRAKGDFISNVSHELRSPLH GILASVEFLADTALDGFQRNLVDTVDVCGRTLLDTIEHVLDFSKIKKYKFGVESSQPM GVVADLDVSAVIEEVLEGVFAGFEFNGLSSQGLADTTQSHAREIPKATQTLQANDVSR SDHAKLQNNPTVILDIDFREKWTFPTVPGTWRRLTMNLFGNSLKYTQNGYIKIKLAAQ SMSAVNSSAKHDTVKKTMVTLTISDTGRGMSTDFMKTKLFIPFSQEDETAPGTGLGMS MVKQIVDLSGGTIDVRSELGMGTEVKLSLPLEDCLEDFDKLSNNPPEISDPLYSENVL DAIRRRSRNRTVSIHGFDSTSGKSNLQIAATEGLKASIKKYITEWFGLTIASSSDVVD IVISDESAFLNSTQMPKTKPRLLLILCSNGTRRDLYKARSGFAQHVEFVSKPCGPHRL AKALLNCLDKEDAGQKKADTERSSIGFSPTTTNLNKLPGQQTKIQGGLNHGRRPSLTH RMSSGNDVPRSEGVSRNTTPLASPSSSATSVISPSSFDDGVTHDVLRFDPILEGSPPL KMLLVEDNPINMMLLATYMKKKNWDYETAANGLIALQAFQNRPQGFDIIFMDVSMPIM TGYEATLAIRNVETERRLADELQRQPQSPVAVPHQVPSSYPFPDAAGISVSSPTNGIN PHGPTTHRFRPALIIALTGFSSKQDQEMAFESGVDVFMTKPVRFREVGRILDGWMKNH EMEQANDTTGIGQNERRELDEEKTGAKRSG LY89DRAFT_691942 MDALGEGYDNSSQSQTTTTHHNGNHSTILWTFPSRSPYYLPISL FLGPMGKTIAGAREQLLSQRRHVVIGKTLHVKMWNTHLHQFAFFSDFIHTGSCYAILG AASWIVGAGR LY89DRAFT_678106 MPNRNLSFQSSVSGSDLLKLRDLSCQEGNVFDKEFHADLDMKDW NEWMQCEGTINQLESPMIDRTQSIASTVSWLEGTSSANIDRAVEKDAAVFSSFLNDNE FSFEDALLEFDEVPPPPPPCYNLPMGIPTKDNNRASQDVPRKFRGFSSLTEAEERSLT DIAMPYHILAKNTTTKRKSPSSEEPTSPSAASASFSSPAPSPSPEPVTTRKSRTNKKR KSLVVVDDAESRNALCQSRKNGHNMIEKRYRTNLNEKIDCLRQSVPAFPRRSSSGAKS DGEEEEDEEGQEDSKIGRQKYGKAAILTRALEYIKHLENTTERLGGEVDLLKNRAGAF EKLAMSGSIVLSDRVGLVAGGLLVVKSETLESVRADFKQVGLKYRPLPGPNTRRRNSR QVKVDLL LY89DRAFT_691943 MRGSENICLFCRHALSLRSSARSAKRFASTRQREPYVLPRLVID LDAADSKYVRKIEELDEWYPASSTKPHASASADKGERRKYQQIHFPLKTIQVTPPDLL TFALMGDPGPWNRKTQNLRNIFRYRKIQPTDGIRSKAIQLSSEFIYDPVERLDEAGYI KRRDDAYVWQLMSCSERGGFLELSRLISMLSTTQEGCAFLAARAETVRKAIRQCRKTQ TQEFQHTLVSSNAIVILLNNLCISLAAKGVKVSSLLCEAGLHYAAKSWNLPSLRRYLE LLSEAPQGARPTVKALSTLMLRLVFEPEMTAGPMGAAAVKLITGWDRDESPVANRRRD TSFMSIFYQVETLNFYASYLVGLAEMGLKDILVAEWEADEKYAIGQSYWAQNPRLKAQ AYALAFQIAGDRDYALSILLPALEVPGEPIPVELGQLSEQQSDGNDETSEIENNLPPN IRKLLLMILRNHYRYHNVRPGESLSNALKDFQENIPEDPWQFLDTFEKFLVRGYKAPF GPPPLSAKEIHWLDEAGVQGLVVLPEVDGNTTAPLYWKAASQAVVV LY89DRAFT_601929 MPPAKKRKTKATVDVAEEPAQVTIAEAEPASEQPEPAQKQIENE LPGASSSDAATKAKERMERFKALQARAKTGAQKNLKEATLESQRLATDPNLLTSLNRK SAVASHNLLKADVEAAGGDFERKRAWDWTIEESERWDKRLKKKDAHRDDQAFQDYRQD SRKVYKRQIRDLKPDMDHYEKEKMAAVEKAAASGGLEIVEIDDGELVAVDKDGTFYST ADSTGFVEHKPPKDAVDRLVKDLQQAEEARLKKRKERMGQNGEDGDVTYINEKNKQFN QKLSRFYNKYTAEIRDSFERGTMI LY89DRAFT_601800 MSATKRLVVCGGNGFLGSRICKSAVARGWDVTSISRSGEPTWSS VTASSTSPPWAHKVTWERADILKPTTYAPLLKNADYVVHSMGILLEADYKGVISGKES PIAGLQRAFSSTKAGSQNPLTRKSGEDLKPQEKDGQLTYELMNRDSAITLAQEANNEK VPAFVYISAAGGAPVLPKRYIETKRQAESTISSEFPTMRGIFIRPGFLYDSSRAFTVP MAAMTGMGAVFNSVTGGIFGGFMGAAGVKPLKADIVAEAVVEALSDESVKGPVETKEI EELAQKSWRKGML LY89DRAFT_712210 MAPPNNQKRVKGVQIHRPFIYGSTAKLFSEDNPKPPGTPPDHTH SWTVFVKGVDDTDITYWCKKVQFKLHESIPNPMRMIDDVQPGGAFEVHETGWGEFEIT IKIYYVPESLEKPQTLYHHLRLHPYGQTEEEKEVMRKGPDIRSWIYEEQLFNEPYNEF YDILTSPMDRGKGGGKGTRVMKGGMVGSVGERTASIPLTTRPDQPFSRETEKIEINRL EEAQVKVKELMEKFGDELREKETELARLKAKYPDVQLKR LY89DRAFT_691946 MAITLYEITVPVFIKNLKTLSKCLEKGVAFTKDDGAKISEAGLA ESRLIEDMGNLVYQIQRVSDTAKGLAQRIGGVEPVVLEDNEKTFPDLFTRIQKTIEVL EGVKPEHINAQEEAEVVMKTRSGETKWKGKDYALTFAIPNFFFHVVTAYALLRKEGVP VGKADYLGRN LY89DRAFT_789939 MTAHVSAAGELLQFLDQVSCQSHIDEHEEEIEQLRHLIQLQGDD AFAWPLHKHFTDEGLLAGPELDRGEQAVLTRIQSACKELDYYVCLANIERITEVNKEI TYSRYWLDFDYDERPEETYKCTAKTFVDSEKFSLFGSVKLPFDNLLDEDYFSNEDPDH TKADDDHDERFKYTFNRTVSVLKSFWFHTFFMLTQYGPSLSFLCLGCLSSSNTGILKT MQAFYDDSMSKQSLLYDVDDSLGKEILSVCKAMVAKYIEEPRHALGGVENETVLVASI CRLNEEDLFESILPLVFSYDENYATAKRMILRHDHDRLHKMLNRWLGNIASANSRLHK IDQVALLLADQD LY89DRAFT_369135 MEFLAMISTMLQVPNHIFQDLVPAALHRFNPQIPSHHKQMATIL CYLNNPSLGNNLSEFTTNITLDALSATHEALTRQYLPFLSELALHLDNAGFLRVLPFQ MMYRYIINAYVLKVSVVGKHVNSLNKREASYKPKLEAWRELKSTTKRTLKLFNQGQLQ ILLGDLHKPLLDLVSVELPRVPTAALSKAITSTSSNHGKPGDRKPTNVSDPANKSQGQ PLEQPTLPTYTDETPISDPAKKFQVTILAQPRLVTNKEPIINSPDSATMLQGISLQQP VFPSRFSAAVGKLNKSYQHDRFEGMMVLCTTDPRTKSKAYISSGQPVPSHDTIAYETH MRCLDCVEPSFHCMYAGLLGFKVHLNSALHKLNAKTRIDKDKTLVEERERMKADRKRA REIQRSPSGKPPAKLTRTSRRAGLREIV LY89DRAFT_369158 MVMPDHDTVFTTSTSDAIELNEGHLSQDHEARPTTEIIEPTVDC RALVKAAREMPLSPPAKVEALAPPGEIKRRLGLHFNKVTQEMPIACNQELKDAPNPFL SITGFGKVGFPLGPEDINRIKAASGQSDSESLQDAINVDLAAPGPHRSWEVPGTLWEA RNPAWEIFLQSICDKVEDGLRIQHLNKGVALKPSCMILYEPGSRVAPSSNPSCFSALT FGTLDIALLTEGGDVSHQFMHDETTLGFPRLETSEFDCSLTAWDRCLPRSIFS LY89DRAFT_369166 MVKRQPATWLQSNLDEDLKKINVFATRSQIIELVAPLLSDPTWR FRQYQSALDSLEVSTPDDFTSFSKLIGLWANDPLLHTSLMPVLQKLAQNPDQIFEFLY KSLQHPRTLPDAIFLQLVPTIRPLMDPTRQRRAHGISVVLCHMQELGLTEQVREITVE ITKAAASTSDTSAREYLPFLRVMVGPLSSRKLLDCLAFRMMYLHILNTYIRSFSKKKP TSNMKRQKCGCGCFPCKDLDKFMESLDRKVLKRYRTDKERKHIRQRVHAFETRGLLRT TVMVPVSLEMIKTSPELEKWDKSCASIVQYISKIGHSSLKVLLGVCYTSIMALEPIPV ADAPASVLSQVIDLTDAGAGTPSQPAKNPSPIHRFGVPAFSQIQSSLSGPSRTPESNT SCSETASKRSETRISPPLQATSINKVTALNTLKRIHSPPASPTRVTVPVPATDRVVPQ APPPPAWLTTSVASLSAQFPTHIFADFMQPVSVDIATGQRVSVPSEGHSLLGNTNLTF FPRIACADCHTSHAPGPGQTAETFRIHITSSQHRNRVAARIARAQNETGGQPQDVPVN LEAEPPAKKVRCE LY89DRAFT_766965 SATYDHRLRRTRHPVRSAIYKPQIGRSVVKWVTISESLLLYVFF FFFFWAFLGLERLHSRLCYPVIYQVEQERKAVISCRGKKEELHEEEERVTAFNNGYIP DQLPCRGCK LY89DRAFT_712212 MAFNFNWSPLTADADFYKRAQEMLTTALNKSPKPPIIVDDILVN ELNLGSVPPELEILEIGDLAEDRFRGIFKMCYSGDAFLTLKTRVQANPLNTYLFSKPS FTSPQPLAASSGLTIPLQITLSEIKLSAFIILVFSRQKGLTLVFRNDPLESLKVSSTF DSIPFVRDYLQKEIEMQLRTLMMDELPAIIHRLSLRLWCPEHSAKEDQEMEAKKPEED EVVVDPFGSPPQDAVDARGNVLDASEISSMTLDGGSEIHSLFSQKNLLRLATLTDSQR TLSLFTPSIRDAVFRAWAGPSERSDSAGSSTPATPSLMRSHSSVGSTSTTYTFNRSSD DGQGHIPSRPSLVSMHSATTGLGLGAGRHSRSHMRKKKTRVVNLRRTKTTDDLTSESG ESETASIVAVSEPVAPTHIEEEPEDNIITPPHSPSQRVRFRTSSIDLGDSPRKLRLST SEPIVDHLPVEEPSVPIREQRPAYTSFSTYTQEKFSNSAPLHYTPAPVLSDTSSAAGS IIEQAWVMKMASEIARRAQDEKATSQGFWSRDEREETPPPAYAPKAN LY89DRAFT_743147 MEPKTAKIPFVPENCYLHYLAEGAANIVYRISVRPPTPDPSILE EYSGTTPPPTIIETDDGEEVDLAIFDAKLLRVRKDVPTTIPVAVAQEQYVRLIVPLFD KEDLVQQELVKLGPMDIVEKLNIELNKLELDSAKDMKRPARREGIYLAEDKFGLLVTD MSANYLREVIEFKPKWLSQSPSAPKDSVRCRNCAVTARRNQERHTANPPEKLLEGFCP LDLVSRSTDDVLYVASVLLRPKTNFASVERLAKWIYNNSLLVRLRDAQVYLDKKGPMK ANPSNEDYRVAMTLRDCTLYIRLPNNKEDDETGIEARLGDLDVKSAAKGPYWKETERQ LINEGWYNGNERWTTPEQQEITCALGRKFRTRDRI LY89DRAFT_766971 MDRRRISNKSSGRSRELAGASGNSGEGDAQDGLFGSPIMGRTQG NNDAASGLLAPPRARVSNSFRKGVAQPARARVSNSSRKSGAQVPQSFDSPMMDLTSSK DDTDSDIFPPPRARVSSSRKGKGAVQVQQSPDSPMMDLISGKDDSDSDIFPPPRARVS NNSRKGAQVQRAPVVPMFDLTQDNNETTPTPFHSARASVGAHQSDTQVRQLSDTALLG LPLDKDAINSGLTQSARVSLSARQSGAQARDSPDSPVMVGKQGKNDSLSIFRRSASLF SNSRQSGAQLRDSPTSPTMDVTQGKNDSGSIFRQPDSLFGNSRQGGAQVRDSSDSPMM DATQDKNDRGSSLLQSDSLFDNPRQDGARDGNASDSPPLFVFRPNHDRFTSPVAPSSS SATRLPAKRRITTPRARATPKTKTGAQSKTSAQPQKPMGARAYAKLALFEQTMNEPDL RPIRRPLPSKNLPWSDDEEDFNTSSSSSDDDDEPVLPKRLKRAAADSDPRKYYDNNPD NSDGLEPEPRGAVYPGFDFDSLMNFPPPPSASDSKPKSILARKSPAPMKEVPMNFFDD PSTPNMSWIIRKAEEMHDVENEKLHPGRKASLAAKKMVRRRTAEAKPKRKYQWKTENK NKKDLYQKKGRTGRERDYLRAVKKAEEAALEAEKAKKELDDLEEKTRINTNGKRSRIE GSTGRNKDHDEALKKAEETAREAEKTKKDLDEWNEMTQYNGNKGAAEAEAEADPEADF EPEEDEDGGFDGEEDDIYGDD LY89DRAFT_369252 MAERNAVDRMRAIFAKDNEQEYAPIHSGSESVDSLIRRPVLSID DEDDGVGAGQVAAPASPFSWIEYFVFMLLGVAMLWAWNMFLAAAPYFQTRFADNESIL ASFQSAITSVACVTNLGSMTILSNIQKKASYPHRILSALVINIVVFTLLAISTSHFRD ISSAGYLVFTLIMVFCTSTATGLCQNGAFAFASGFGQPEYINAILTGNAVAGVLPSVA QIVSVLAVPAPNAWDTTAELDQVSSKENTTSAFAYFLTATVVSGLTIFAFVPLVRKHN RLMEASMMNSMTSVEEAEQASRKVVSMWTLWKKTRWLATSLFMCFAVTMFFPVLTQKV VSVVPEDRAPRFLRASAFIPIGFLTWNCGDLAGRLITLTPFRMRQHPLVLFILSILRI GFVPMYLLCNIQGHGAVIKSDVFYLFVLQFGYGATNGWLASSCMMQAEDYVEEGEREA AGGFLVLMLVAGLTTGSLLSFSVAGIS LY89DRAFT_369247 MRSTKRFRYFRASLPSSRWDNSALMMPAVEFNYKAPVARAGGGI RIAPNFPTDTGPINMALATARNSEVPRLLKKSGELTKEDDSILYYHLQSNEPVYVGKD ESDEIFNGVWLNESAIQKLPTAWNNMLKLREMLLKRGEGEYRDRSAFEMILAGRDTRN DSFKSPRCYKIGFTVFPLEPETDMLECGMVEPNKVMIEHQELIVDASRLAAAITTEIV EANAPPEVLKMLARRAAIDVPMMIGSEKNIYSTTCQLNFSDGDEASLEIALGDDGTLH VDVHDDIRQWTTLISLSNNVTGYWPGRTLITSHRIYAVMAPFTVLLCKAANPHLSIRP ELMVGSDRAPYLPSVSSVPTLDPEEHISARVTAVNYSNEAIMNRSPLLAREHSSILLQ KFETNGELPRSPHALPSALMAFGTRKNQFEWLACATAWEKALLARMNDSYVLPSTIEI SRLFRWREAGVTHMPDVQRIQKVLDGNEDTPENRQQDEEDEEFAERCREQLSQGCFED AET LY89DRAFT_789946 MPPLRTYGSKRSASARATAAAMFGQAEEDLAYAVGSLSLQPEEE EELTLENASIIPVPASLLPLVTAYKTDIGRPLLVQDWNDILDDHADVTKIAEASYAEV YRVNTAAGSSILKVMRIRMEEQPESMRCYTASDVKAIISEIRIMNALTTVPGFVTYKD AHLVQGMIPPCITKAYRKHVKALKRDGGWSHFPVPHTLPEETIYLVIELGDAGDVLDE VGLDTKEQVWDVFIGVTIALARAEVTNEFEHRDLHENNICVKLGPKRPVGDDNHDDAN GPKYGSTGWEITLIDYGLSRTQLPNGEIAFNNLEDDLRLFGSQGFGIARVQYETYRRM RTYLILGTRTVKQAGWQKDFRFRDVKTPRSWSEFLPYTNVLWLRYLLLMLIRKLKDKH PSLAKNVEAEAKELKRQFEPMTKVENGAFSTAQDVLVWLVGAGWVTEEQISGEDGTSF LTMGEPETEAEVEGDNASGDNAEENVEEDAEEDGEEDGEEDAEEDLYSAD LY89DRAFT_631697 MAQLFNSLFGGSKPSASPIPAGDSDFADFAGAPDPSPASFSAIP TAASFAGGSALPTGRAVPYTKWYNIHERYSLSDFKQEGVILGLILVIMAIHLFGTSTN KKKAKQWISAHAPVLQKEFALVGFGGPNSPTAEDVESEGLAKSMADENLELPGDILKE KSPQEFTTYATGRQNVAFLDVKLTLLKRYSPLSLLAEYGMSLFFDSMPAPFEQMEAIL YPFDGREALTVPGQIPGAHELRKDTKSSYDGFVWAIVNKETMKQLRDDRYDVSITSTK DTPKLPAWATVMSESAEITDFLLTSELIKAVEDAGDLLNHLIITDQPIDQPLKLDDTV PKKRIYLSMRIPSSGDYSKVIPLFHYFVRLADLLVQGAHFRPEVLRKVRTTRDDTVRR LQKADEEEKAEERNIEREKAKKLKRDLELKGLDAKGQKKYLEKEKEREMKKSQKKMTT RG LY89DRAFT_369328 MSSSNVSSTSSRVSMSSSSISSINSRGPMSSSSISSTSSRIPVL WSTHLGRLEQDRVASTQQPKAREPHVSLEDAAPQSHDQQYKPLDTQTEVPKRPRFIAS TEGNIEELDPSFRMRNTDWETFFTCGRVFKTLWTDPAGPRIHANDTNQFTSQGKFKVA HGQYVLSKIRRFIVVSSNSSSSSCQCLPITIYGGKGYQKRAIDLAEHGQIYYVDEVDG TAPGISKLALRVKPSRNGEKLGPNSLVNYGRAYCVYKNVKVRDLGQLDSTSQKLLRRY YKEIHFPVDDDSDPAPPQGSEQYRGMQGVRSSLDSSYESEPRPSFQYQPFDSHYSDEN PLPLGYNASGYEIPEQTDKRPGSPPGNRNLPPGSDMVEEQIYQVSEDWNSRDSHEGGS NSTQSRLSGKKERSVEESDLAPEIQELMARIERLEQGITTQRHSLSRTSSESSLNSIS SGGFSVAESAPSSASSSTPNPLHLQRFVDLLFNDFELNDLLETAKHRISFDKFEINFK RCLRLFSEHLRAESAPSLPRYLPKLIRRLVTNAAYTVRRSLELSLQTEVQTKDEAQQR TVPLAKPHVEDINEADEDDIGDLDIEFEEQEQEHENENENENEGVQPNLEAMIVTTSS FMKLRENFRIFLSADHSRRAIFDCWPAALPESETTELVYFVLPGLEDYLKYRLPGDCQ LGSFLVFIGTDEAAEAISCEEYLLREWPEVGRCVVDFINGLLLNTQQDAQEDTAKISM RLCAIENARSQAGILMMVQTSYCRHGQVADALSWLSAVLRVSPHQEVCTSRVSVQARN TDAYLKRKLQNCPNKIIQVTLNGLERLKHPSTCWHSLFPHIVMAQGFPVRPRMEGIGL EISFANALHASKCLSFVEYDNGLIAHGLKSVLIPMAELNEDDAIQWHFENKTKVRMRR ISSIGQILRILAVEDWYKVADPGLLMKRRCFLGWVEEANVVLGTSLYTPHFRPSRAPL CPRLAHIQRFEITASVSAMSWATLQGTFIREPVAISSSVSTSQIADLLDLLNMAEDEH GIVFEHDARTGWWVSRASIVLQMAHSTIQTCHYDLYNGDNQVSKDDQQYFAQKFSDGA SSAVAALKQCLHLKIRKPTGGSELFVVENFSETIARIWHTLSDIAESLESTSNELYLV GQSVPRWLLGVDLIDAINNKRPMAIRRVEVNQPWTHLTTERPLVLFCKDIPPPIVAVS NSCKSCSNVPPQQNLLVATASTVHSLLDYQDYGLAEGLNWCASKNLIDRHESTSNAPV QYIQKLQSKKKPSSNTKIRAAVQSCLRGAFIFGNGTEKKCNVLSTVHPIRTTQTRDSA GSDNFRRSVSQISSTASATSSELTNTSITSTQENSDPSDESEADVSSPGLENPSAVAQ DLETRSERISNTEVEVEPKVASSNSPSDMSEKGGVMKTARLSSMFAKIRKDR LY89DRAFT_369319 MSGTVEIIDDGVEPYSSDPEKHPKSAGEDTHEAWGDQITHEMLE ILAPNGEGEYILDIINNMTEEEAYAIIDESVKFHADDWNFPSEMRERMVRLLQGRKLY GDFYDRDLRIDATMMRYSSPYPGVRAVAEPLDNVDVPIETFRAYFLGIGWAVIGTFMA TFFNSRFPSITLGGSVIQILLFPCGKFLALVLPDWGFTAFGTRHSLNPGGWTFKEQMF ATITYNIAIYTTNSYGMILVQKSDVFYGEKFVTFGYQLMLTLFVQLMGMGFAGYLRRF SVYPVKALWPTILPTIAMNRALTRPEPKENINGWTISRYRFFYVCAGSMFFYYWLPGY LFTAMSTFNWMTWISPKNVPLAILTGSESGLGLFNPVTTFDWNVATSSYAALAQPFFA TCTMYFGSILGAFIILGIFYSNMYNTAYLPINSSSAFANDATTYNVQKVVVNNKLDET LYQAYSPPFYSAGYILTVGANFVFYPVYFLYIMVNQWKTVGTAYVDFYKGLRYGKKNY EGAMDVHSRLMSKYKEVPDWWFLLILVCAIVVSIIWTKIYPVDTPVWLVFLMIGINLV FAVPLSFLSATTGTNLGLGALIQVITGFVLPNNPNAFLFAQTLGSWALAGYGDNYVQD QKMAHYCKIAPRAVFRSQIGTIIITCFVAVGTQDFILTNVKGLCTADQPSRFTCANDG FPLYASSLMWGLLGSDRMFNSLYPLFKWCFLIGFGISLIFLVGQGYGPKYLPGVKENL RLKLRPRTFEILDRTLFPFIASLLWLNPILVIQGVQHWAPSNLSYKTPGMILSFVFMY WLPRHRLAWWEKYNYVLSAALTAGVAVSGLVMFFAIGYNPISLKWWGNTVSGAGVDGA GKGIWALPTRGYFGPEKGSFP LY89DRAFT_631704 MKRKLDENDVPVVVQQVEGKKEDAGFSALGLDTRLLQGIAKQNF KIPTPVQSKAIPLALDGCDVLARAKTGSGKTAAYLLPIIHSILKRKQTSSTPCTSALI LVPTRELAEQVFKVVESFSTFCAKDIRAINLTQKVSDAVQRSLLADSPDIVIATPARA SVNANSGAFSLDNLAHLVIDEADLVLSYGYDEDLQNVAKVMPKGVQTILMSATLTSEV ETLKGLFCRNPEILTLEETEEAGEGVSQYVVKCAEDEKFLLVYVIFKLKLIKGKCIIF VGDIDRCYRLKLFLEQFGTRSCILNSELPVNSRIHVVEEFNKNIYDIIIASDEHEVLG DEDAEKVGDGDEYAPTTNGKDPATESEAPTKKKRKTVKKDKEYGVSRGIDFKNVACVL NFDLPTSSKSYTHRIGRTARAGQTGMALSFVIPAEQYRKHKPTSIESAKDDEKVLAKI VKHQSKKGKEVKPYNFDMKQVESFRYRMNDALRAVTGIAVREARTTELRQELVKSEKL KRHFEENPEDLYHLRHDGELRPARVQSHLKHVPDYLLPKEGKKGLTGDVGFVSLRKTT ENRIRKARMANKGRAKKKNGRKVDPLKTFKGKSRK LY89DRAFT_631706 MSTQGRAMIADKACTRCSQSKRGCDRAVPGCGRCTRIRRRCEYE VSKTSRLSPPPSQSPGPGTFLVSEPTAPNQLKNATIQKLEPLTPESAVAAYRRAVEPW FPIAYRLQDRLHPTWDETSLDVALLCLSILLLTTSPSMNVNSDGKTSELETLYLQTKS SLALAEGLGLNSFPIVQSRILITLFEVSHGFYPAAYISIGATVRAVDALEDHPTGDVL QPHGSAGAVSEEETILTWCGILVLDRYIATESGPRSSLTRSRTAWLHNLLKPALCPTH EPNQDKNSPRARFARLVEASAMLDKIHTTINSPTSEQIFNLEEVMLTVKTSTTLQTLL TEEILAEDHLYSGTLGLCHIALLLAFENGTKISFSASNATENWNSLATTSLLSILSTI TSTVEPFTVGTRSIDFNSFPPLVTFLVYKAAMITTERLSRGLDAANDDGMARLRTLRK FLRIVGKRWLSCERYLKLLDEDTTPRMLKAIEQG LY89DRAFT_789952 MEGVGAVANVRREMLPIKTIYANTNLSRRTAILLSYAIFIISII NSVAIAGASGALGPHVLKGLIDTKFQVKILTRSKKPGEFDACPGVEVAEVDFTSVESL TAALKGIDAVVSTVAGTAIKYQTVLIDAAAAAGVKRFIPSEYGSVTTNPKLEKFPLYE NVFMIRRHLQEKADAGLMSWTVLACGAFMESLLVPGAAGLLDFAERKALLLDEGDNRL SCNSLATSGKAIAAILKNTKATKNRVVKISEVILTPNQLLNMAQRMRPQDK LY89DRAFT_702552 MASHLSSPSAKKYFLTPWTLTTPTHPALTFQRATPSHISHWLPL VTNPANNIHMDDVKSLLWTPSDISAWKTRTITNYNKSLTTYHQLAVLIAENGKFVGYG DLWLLENGNFNVGVVLDVSVQGRGLGRLCTAVLVQLGFEVGEKGVEAGTMKVNGGFRG VMRSLGVVEEEKLVVAEGRGILAELSYTVDREKWRDVELDVVWGEGTLGRVDEEV LY89DRAFT_725998 MDPLTSLDLAASIVQFTDLASKFVANEQRQSIAENGTFEKTELN DVNAIIGQLLIARAELPDWNDLMGDRDDEAEKTIMEMSVEYRTLATQIISEFEVLKGP STSKWRAPKVVLPTDRIEDFNRRLATIRKNLDIHLMTIKNDLLPIQRSERFQELDSET QTIVRALIDESELKVPAPELRNQVIAVHHLLALKDRADLPVKRGGKQPTNANVLDDLR SGFVPEKRQQTEEEVREQVGNRLLEHLRFPVMSYRMEEIREAHTSTFEWIFDRAPPDD KWSSFSEWLSRGYGIYWVNGKEASGKSTLMKHIYSSPITHELLTEWALPHKLSTAAFF FWNSGTLMQRSQQGLLRSLLYTVLVQQRELIPVVFPHHWALLYSGISDRLIEDLPLQP GHPQEIQSAFRRLITQKIVPLKLFILVDGLDEYDGDHVEIIDLFHNITSSPNVKAVVS SRPLPAFMHAFQPFPGLCVNDLNGNDIRAFVSDRLEKDKNFQRLSEKEPKAAAALIHE IVDKSDGLFLWVVLVVRSLHSALRNRDDIAMLERRLQQMPQELELMYEHMLAGVDPIY MAAASEILQIVLASRSIRSSPTGSDDDAEPLTLIDLTLANDDPEDGISAEIRPWDEGE LLYHCEEMAMRLRGRWHGFFHVQPQLNRKVDPFSKIQFSHRSAREYLCRPEVQEKFLA CTKGSSFDPYLSLLKSAVHHLKILNNPRSRTLLWHFVTAALTCGHYFESDNDTNKIYD GLLTQLDMTMSHHHENMFKDSHGHWFEKTIVFKNMTKPDNGWEAKTHAALHWSNFHPT NPQPIEWESSFLSLVVQYGLSKFLSGRLTQSSKSMKSKKARPLLDYALNPPPGIDYNL ITPAVVRVLFNHGANPNQKFQERSCWENALRWQYEQFVVSKGQIFEIQSQGEALAAVR VKICELLIEHGADTGISCTSGKRKLPVDVMLSETFKSWTLSDLDELLKLLAIQSA LY89DRAFT_725999 MAAVTTPDRADRLESSGFSSHRYLSLAMTMDKDEGTAIFRRFGD LNMLNLLSLQAELMKLRNDLQESCVQNATEAEDYARGYLNAYSLPSRANEEETEASIE KKEKEKLQLLISIRNKLREYNSALLEAAQIRNLEPPDKDDIEYLRAWLRPEFRGKDLK DSDRACWDPENNDDLVSIKSQLEKGNKIITNFKFAVQIIQYKLWGYRKMTSNQANSNV IHFGKEEGRLSRTKIERKTAFVSRLVMALFGGIALIVPTIIMAKHQDLNFSLGTTSIA TLLFAIILAFGARDSTGKDVLAATAAYAAVLVVFIGTGLASTTTTSTISGAGITSASS TSAQATT LY89DRAFT_712221 MSSSIKPTVLILGGAGAQNGAVTKVLSAAGTYNIHVLTRSLTSP HAAELGSLPNVKLLEGDCYNEEDLVSAFKDIDLCFVNTNGFAVGEKNEIYWGIRMYEV ARWAGVKHFVYGGLPYVSLNGRFDPKSRVPFVDGKGKVNQYLQSMPTDPMAWTIISSG PYAERLWEATNVPVKDKDGVYVFRLPLGPTGAMPLVSLDDLAEYVKWAFEHPDRSQTA AAFEAVTGKKAIYEDVPLDAVMAMMPKGKIGLKGSPGYDDPTLWTAAEHFGPWWGIFQ QSGGNTGLWARDYKLLDEIMPNRIKTVEEWMRKVKYDGKTKPILKTGLN LY89DRAFT_726001 MGECSSPTQADLNEELESILQANIDAGAPGLSAAISASRGIVWQ STAGFANIESQESISKTHLFGIGSITKVFVTVIILQLIDEKLLKLSDIVNEFLDKSIF YKIENASTATIGGLLSHTAGVESWEDDPKWIVEGRGKELDTSWIWGKTDTLEYIRRPS SLTRGKYSYANTNFTLLGLIIEKITKHSAESEIRRRILEPLGLKDTFLEGFEECPRPE SGRVPHRYHYATDKFRENAGICPSFSQHMWKKNSLIDATGSNLSVEWVAGGMVTSPSD LLKFVTSLRDGKLCSPASMKILKAWQPADGAEMGHGLFRIKSPSGMWLGHNGSVLGFT GAVWWKEDADCVVCVLANVGTMHCGDVPSSSAHVALNPRFLELATELAAYEA LY89DRAFT_678133 MPRTLLKLVGAFLTFITMAAAATVPISRGTSVNDLEAVDMAFTG TIHGVAVQLNGSSAQFSTLYPEVVADHNANNPAVGTLEDYFTWYPVNASVAPRGTGTP LIARNKMQPPLCWPVGNWGWGTTYVDRIQQGISYLNHLGGRIYLDVGARSRISCSYSD AIYANNDNPYPINPATVYLASYAQDLINFCQFQDPNSKFWMVCGQEFDSDNYNVVVRY DSTQGCG LY89DRAFT_691967 MMTIHWQLGLKIFPKYSPLMRDHKFQYPGFDWFTTYLRCNGQDL CNVLLSPICFVAQQELTRPPKKNIRRVQISMLRICCRITKYERTSIQKTLNCTKKAFR LLCSSIKEISDVLPAHRLKMTFHGHKYSTSNDGQDAQRYIGFVIQLPAYDYHLENVFV VCYDTKTHMTQILLFSKQPHFGEELLSLLEKRLGCYSSPIWTALDVISFATKFLSRRL SDQAYNLGDINQRTGHFKYLDDAAESEIREFYEIDFLSTSRALNMIGQDAAILEAHFT SLLKVLEELRMFKKSTDEFQQSSTSHESTLVDERLHLLLRFCESNLIETNTLIKQCNV LLQVFYQYMAQKDAKVNIELARSSAAIAKASKEDSAIMRTIALESKKDSTAMKTISIL GMVFLPGTFIAAVFAMPVFDWDADGAPVMKPASRYYWAITVPLTVTILLTWCLMILLP WNKWIDGLKGKGEGEVESEEKGDGSGI LY89DRAFT_789960 MSSKKKQSISRKTSTPKPRASHLLAKKQQIASNKAPFPPTRASI KSKKVKSRKTVLKTMPLAFSRFPPEIRENIFSRCIDTDAEYQPRHADLILDPLYATTH GIITDEEDLLSLSTNENDARRSPNLIIALRGHPTFYAEAIRCFYRLNTFFISQKSLKT WKRMKGVQEILGMMRHIAIVSELHTQVKYRILPTLFTASTNNVRSIDLNVHSLDGFVN VLSTVFTGFLRLKYIGVWIRSVLADVVYDGPQKYEKLHAAMTEVNKKLGIKKWMSRVN IGDFEHWFWEDEKGRTVV LY89DRAFT_767004 MLSNRIIELAAIISTNTEKVNGYLEAQGIPTPSFNVDAPPNLGI PKEAIEVDNARRKALAASIELQDLLQGPSACLRPVMNGTSLDAIYVYDIASKVPLYSN ISFSNLAKQCELPELDLRRILRFAMCWHRVFCEPERGYVAHTAASRQLRDDPKAMDMA GLMFDECWQSMARTVDAMRTFSSSEPNESGHALAHNTKDSMFDWLGKNPEKARRFTSA ISTLVPAGREAAFLPRAFDWASLGASTVVDVGGSSGGVSILLAQEFKDLNFVVQDLPE AINGAEEKVPAELRPRIKFMEHDFFTEQPIVAETFLLRAVLHNWPDHYCVKILQKLIP ALRHGARIIVNDGVVPELGTLDLLGEKNIRAYDMLMMTLFNARERELEDWITLFTQAD KRFKFVGVKKMEVGTMSVITVVWEDK LY89DRAFT_660914 MAMLYPSLVLLLLPQYTQAENFKPNCTIPPPGATYVSGPNTRGT TTILWNCLSIIFLCTWNIQHLNIPAGRPRAKSWLQSTWWAILDSRTKIKWMIFTILVP EYLVGKAFGEWLAAKEGVSYVKRWYPENVIEWETVHVYMANMGYFVVDFRDLISDVQS ENETCLAVGNAIVQKLIHQSHIPAVDRYIGDKLVKGLALAQIIYLIAQLAARKIAALP STQLEIAALAFSASSMITYIFYWGRPQGVNSMRLLKAKRIPDHHRLGIILSGRPVHLW TSVRTPERLDKQLDLDNFSQHLNTLPLAFRAVIGGTLFGGVHCLAWNFHFPTPDEQLA WRFCSVLIACLPTLSIPALGGWMYLNNERKLNQCPVMSFLIGSICILLLAAYILARLF LIVEIFRSLFFLPLEAYIETWSGNFPHFG LY89DRAFT_726005 MDFSKDNIETLLILLCVTHLKFEDILKDTPSQELLIELAILYAT YRCQNLLKPWVGGWIARNFRQKPELETPENRQTMMLLGRVFQPDGEVNYFDEAVSFLY GDTKEDYSNTTSRALASTSRYHGKDIESPYGDSQRHRGHRPRSTSNVSTTKKKRCVLS TKKKCKGRLFDAHKAELQNRPGSLSLGTCGGSDHGP LY89DRAFT_602008 MAAPAISSQALLTLMKNRRTYYALSKSSPIPNDKITAIVQDVLK HSPSSFNSQSTRVVVLFGGEHDKLWGFAKEAIKAIVPADAWPASEQRLNGFLGGYGTV LFFDDRTTVAGMQQKFALYADKFPVWASQSNGMTQYAVWTALEAEGLGANLQHYNPLI DQKVAEEWGLSKDWELSAQLVFGKREGEPGEKTFMPIEERVKVFGA LY89DRAFT_601769 MSRPVAIRTHTTSHCIPEEPSPRSSVPSLTYSSSSSADETEEPP RPRRASTKLISQNAADVQRLIGESGTKLIEKCCGGGCMMAITKSDGLTFERVPLPDND AYRALQLNIGPIPTTLSNIPGMPPKTVHLEQIKKGATETSSTDSAISMGETKEESTIE VPNNDSIDFTPHLTSEHHEIDTKIHPPSFVQPHPPYHVYSAKIFSARELTRPGAEKRT FHFDLDVTDYPEELGVDFKVGGAIGVSAPNEESAVDELMDLLSIPRFLRDREILLKTD GGRWPTVWGDEKARELVTTRRALLTWCSDIQSYAPTKPLLRVLAEYATDLNEKKVLLY LCSGEGQGVFCDFRTGPHVTVNQLLHAFPSSKPPLDDLLSNLQPLMPRFYSLSNDPHE SCVPAAGCSRLIEIAVTVHETPNWRGGPRTGVGSGFFERQARKYIEAEAKGEKIDLRV PMFKGLMANPLAREHVADGPMLLIGAGVGVAPFRGFMQRRLRNANCANKIWVLQGVRD SLYDELYSGEWGVHESEVKKVVQSRKSSGNNKYVQDEVIAQADLVWFIINSLDGRVFV CGSKQGMGEGVDGALIDVAMKKGNLGREEAKKFWDLKKEAGQYIAETW LY89DRAFT_789965 MKHFLELRKRKTASSSPADTIPSPPEFTFTPSDARIVVIYKNAP AYVHVSSQAMALASPVWKKIFCPTLSDHPSKVPLDPLQREILKDTPSQELLVELAILC SAYRCEGLLKPWIGGWTERNFRRWQCGSSSRLDSPNVWAPEIVNPKGMQRLMLLGWVF EPDLDFDYYEEAVTWLYQETKEDSLPKIPGNWPLPRGVMGKRALRCSRGVKTACVLEI LQARKSAIPELMVVARMYFSYLEKHQEEISYKHNKKCKARILELYIDWLKRPSMNLYP WDSTETGGPKTVREAYDLEYTRIVDRMSVRDLDCHNIMQGHCNKEAKDAYGANWNRMW LFAHNGSPKLRHQWRAAHEMKRIKNLTGAWSNPKLELDSYEDDDNEGL LY89DRAFT_789966 MSEPIIHHTTLNTEFKGIRHSISSSGNQVWQFRGIKYANIPTRF RQSTLHEAFSPVYDATSYGPTCPQPLFPTRMEEALIGIPSPIDPSTFDEFECLNLNIT VPANTKPNAGLPVMVYVHGGGGFTGSNADWWCDGGGIVKRSVEIGKPVVVVAINYRLS VFGYIGSEELRQVNGKENTANFGLRDIHTSLTWLTHNIAPFGGSPTNLTLYGESHGSA AIFTHLHSLQRPLFHRAITQSQLLGTALFSTPLSLPFASSTYESTKTALGVSTAAELA AVPYQDLIAAYVKSDPRNGMGCMVVMDDVFFPSDWKGRLGGNFAGREILVGCTGNESS VVKMVMVSSPVVNPRPTTASFVSSLETVLSGSKVRDIFANYGIEDTTEAELVADKLLQ IVEDACWYNPQRVFSLHAQKSGVKVNQYSFEQKNAFEGPFKGVPAHSLDLAYLHGDER IFDSCEDPEGERRLQGELRDAWIRFANGEGGGEGVKIFGAEGNVKEIKEDGFLSEFRR GEKWGVWEGVGEAELEGLIGLVVVHLSGLLGQAKVEKKD LY89DRAFT_370709 MDVDNLTLAREERASAWALAKARSIDDLEPSERQLYDNATLENI YYSTSNTVRSDGENSKTRNVIRKLGPLVSAIQSYGTAMDAFSQIAPLYLSPIWGSIRV LLVAADAHKKFYSKIVDVLERVGDILPRFRDYERIYHPQKHPRLTQAISNAYLDIITL CTNFRQTIRDHQASKVRRIFKPLSNNLQSDEAIEKFRQHRQNVEDEANLCHMIEASEE RETRMTLFAVERRRRLLARLSNVDCTRKHCKLKNTRHKGTGIWLPQHPEYIKWESNPK SAVLCCHGIPGCGKSVLASTIIDSTEDNKRAIFYYCDYADKRTLFPSNVFGTLARQIL ERQETLPEPLAPTIERAEHDGDRLTDHSEAFRLLVQCIEIATHPLCIVLDGLDECTEH SQKIICDGLQHLLHLDNLKLKVFITARAELESSLKLRPSISSLSISISSATIALDIGS YVRASTRHRISNGSLVMQDPSLEELIVDKLVNGAKGMFLWVEFQLNDLCDAESDCGIK NVLENLPRSLSETYDRLLSRIEGRDRATMIERMFKWLVCARQPLRVEELREGVAFTID DLEWDQDKIVTDLNRLVRACSNLVVIDVETQIVQLSHYTVEQYLLQNDAAAFHFTRED AEIMAGEFCLAYLSFSNFETKVTPYRENTNTNLVALGRIAQNRLLVSPYHPGQAAIRA WNSIRKSDVSPIDFDMTEYTRKRPVIHKTSEFSCLQYVIDNWLVHTGPVLQQGVDQDN RSHRINQLFRDLVLHKELPFEFRPWAGHLPIDDTRVPKALFGWGLMKNHSSLIQLAQN ILSSQPPPSQWYPWYDDSILGWRSFYRHNCFDESRYKHPNADCLEDSYVLETPSPSWI FSKLLAACRKGHIEAVKSSGIMDMAAAYDMSSDRSQLLHYSIVVVAMNGHLPVLEYFL PLSVASRTSTKIMHAGSGYFLNALEYGILSGHLEIVRVHSLRGRRLSCLLITIASTL LY89DRAFT_370779 MPLAIAAGLGNLAMVELLLACSPEISAENVNGNCFPVIGYPGDA EESPQLYIFPTPLYMACAQGHMDVMQLLVDHGADMSLPSPQDRIGLSRHNGNIVHTLQ PPTRPFDGSELYVNDIQPFGGSYDYDSGRSWKTPFDAATFGRTDDTLNIMRAHRATAG HSSVVETLPILSVTNFEIWETILQPKEDVSKTVINLEFLFAAGLDLRATKVEGQSFIL WVLDHDVEYIRALNPGRSRLLKTHIPHFVSAILELLAIDNLKIHQYYKLFLTILQVYD VLTLARILSGILKHSNTETAKEFLFNIFLHIIRRMDSSIEALDIVTAIIRATRANQNL VTRGFRVARKPLPASHQEITSRKNTGSKSARGDINVSQRISIDFLRKYFDALCFDRCG ATRQALTNIMLIINMIECDLVNFLLLVDNDNELDSSSGFESLETNLETESQFKTHQAN EDLLNIQKSHSAAKYHGPCLI LY89DRAFT_743177 MDDTSAAQIESQSYSNESQDTPPTDSALAETFIHDWYIRVKASF TATSTSAIVAQIAIVEQKVAALYENLKSQLNSQNTKLFPGRFIDESDTRTDKAYTCYW LIGLPVIALNEITKARKLVREWETQIRAELPMDKVQGKFVTRDAILQQSLKPEIPIEA LTSLKNKKPEVEMRKTGESFKKTKNSNPKQIRQQFLRHAMGGETSAKGSSPGGKLRPA KDVLNRLKYDSRYNIKDYVVGYIDRKAGILEKPVEEWQEYEEEELIAYFKHVPDEELV WDRARKVDSVSHGRD LY89DRAFT_691972 MADTQQQEKTQEHTARTYDGPDPREMLPPRQEGYRAAAIRESRI KDRPYKPTNSSLRIKIELDLEVEVDLYARVKGDVTIGLL LY89DRAFT_691973 MATTESNPIIKVLITMHPGMDTMDFVVPLEILSQARHNPADDTT KVFQIAFCAEKEHTVSTQGASFRAHMEYDEAHSRLDEFDILIVPGGRATELLWDNEAA EKKHEPLIEPLALIKAYSDIQVKDPKKERTLFAVCTGSMFLAQQGILNGLSATTHPDF YTQFENVCKESAQKGLSERCDLMEERYVVNNLRYDLGNLDENPYVRRKADARRPSVMA RKGSNAWKESNTRRESIARRAEIKLGGLRVITTGGITCAMDASLYLVSIMANEECAIE IAKAMQYEWKKGIVVDGIDI LY89DRAFT_601819 MGSPYTVTVSPPATLGPVPDTANEKRHWVRDRTGKGEPKSFINP WDSAHDFTFPELFKTMMHHKFLSGNSQKPDTTKATISVMKPSFLSSRTGSTALRATWL GHACHYIEFPTGLRVLFDPVFEERCSPFSWLGHKRFTPCPCDVSDIPIIDCVIISHSH YDHLSLPSILSIHKHHPSAHFLVPKGLKSWFTATGITTVTELDWWEDVDLTLTPTPET SSPTSTSSQPEKITARISCLPAQHTSARTPFDKGLTLWATWSVSSGPKSVFFGGDTGY RRVPLPSSSPSPSTSLSHLPVCPAFKEIGEFRGPFDLGLLPIGAYRPRHVLSAVHSDP FDAVEIFKDTRCRRAVGVHWGTWAVAEEEVMEPPRLLAEAVERSGLGEGVFGVCGVGE TREF LY89DRAFT_767020 MVGRPGRSKGCITCIKRKVKCDEQRPTCLRCRDSFMICDGYRND GVAFINEGIESQVALAASTSKSQHRKSPPARQRPKQGSHAEKRKKHAYRSGTTPTNIP ELTSVLVINQRLGKTQHPGQVSNIEFKFVTDTGPEPTPEPISSAALPTTALLASVDIG GFREQIWVSYIAQRLFPGSGYNVPSKTHSWILDFVETDQTSVAYSTACCLGAACFTGQ TTILEKDNAARTWYCKAIQQLNANLQSTNLRNDPSNIAASLLLGIYELAISTDGYGWI CHAGGVGRLIEMRGPEAHQRGLGKRYFMVARLSIISQALFTRRRTFLEQEKWKPDSSD EPEIQKCNTEIFNIFARIPGILEDSDQSTTASTDAHLHDAIVQILADLFAWRWNWEEV HPNFAYETPVTKHGLATDNQGPLFPSIISFRIFHQGRQLILYDTALILMLDLVHTLQI SNAPALALATLSTHQIPRATNPLIMPHENISIPETAREILRCIEFHLQGEHIMAGALM LLMPLRVVSAFVEDEREQVWLRGITKRMARECGWRFSEGLSGYGSICAGLKRAIEGRY RGK LY89DRAFT_563829 AANSSLPVVDLGYGLWQATLNGTGNYYNFSNIRYARPPVGELRF SPPKHPLVNRSVVNDGQDGRICPQGFVSWSKERSLFVDAYRTNPNNISSFTHVPAPGI NTTAKPPKQQDSRASEDCLFLDVLIPTNVYESAASCENNTSGAPVLVWIFGGGYYEGS TESEGNPAGFVSQSESLPSSSPGVVYVSINYRLGALGWMAGPSFTAGGGTENTGFYDQ RFALEWIQDNIHLFGGDPNQVTIMGSSAGASSGLHQITAFGGTKGKAPFQQAFLQSPA FSPNPYNALHEEAYQEVLTIANATSLADLRALSSEEIIAVNEAAIFRADYGKSGFGPV VDGFFVPELPTLLLARGKYAKNVRAVMSGHNTDEGLIFTDPSIQNTSAFNTYLSTTLL PDAQPEIIDYVTNTLYPPVFTNATGLGYNDTISRLSTLLADTLLNCNVQALMSAFGAN KSYAYLFEEGPSLHGEQGDYTFYDYGGVTKDTYGAVVNATVAKTFQDWMVTFDATGNP NGPGSVPIQPYGMGHLMGSLSNKGVGTPLKDPAGAERCAFWQKALF LY89DRAFT_743184 MHSGGARNASGFDKDEYPLETSQVPVSPYTMDPVVAASAIDSLS VSHEDPLETIKDLKRQLANTKRAKIIAAKLLTESVAKAENMERKLEKLKSIVEEQKVF AKRLEGWKDAYKAMEKTRDDFDEESNGLVLQHNELVIEYDKLVQEKGTAVEKCNPSLN TGARDEMEVEAQGGFEDAVSQIKSLSEKLNTLALEKAGLERAMDSFRVTREQENRAIE QNVGRATATYDQVVRNNGALEQQLSLTMATNQEFTAAGTALEQEMNALLQAKHTLEQD NCNLHQEKAILEQILATIKTTIQQLIADKKILEMDMEAVKAAKVELTTEKAAVEEELT VAKVAIEGLATDKAAIEEALRVAILNNDKITTEKTGIEAEVKKLEAEKEVLKGERSGL EEKLATSEAACVQLSTEKMEAEKYLERMVAILNAITGSEK LY89DRAFT_743185 MAEPEQPTLGAGSSSGTTEDLLKLSEIEKNEIASIDWASIGWEP PEMLLPVSESKMEEIAQMLAEHEANKQTSLPKDNDPVDSPTTPVSNSSSLNEAQIVKV PEAEDESGGHESVSPARETAIPVASSPIIPQPIAPHPIAAQPVTPQPITPQPIIPVVT ENWAQSIEIDELEGKYDWIHGKILRPKPESTRSILTRKLDRHFDSKDIGDPPKLPIPS SEPEVDELQVSPIIETQRELRNNTSTPLVKDNEKDGLESEITEVKPVSPVEAPKDCPF LLRCLWWLYPAVALLSKWLQPATTDLFTSPFYMPENQGLSTWEAICYAPSFPQAKIGE EFGMLDPSWKIEEESLTSVEAGYLEDELLGRMEGLEFKISISRLRGKQILKKTVYYTM VTGAMWMEWKRLQYRHAYWV LY89DRAFT_678151 MSNLEKSLPKPKIELYSGRYFAACAIGGIIACGPTHTAVTPLDL VKCRRQVDANLYKSNLSAWSTIYKGEGLRGVFFGWSPTFVGYCFQGGGKYGFYEVFKY LYGEKTFPNANKTVIFLAASASAEFLADIALCPFESIKVRMQTTLPPFANSLREGMSK VIKEEGYGGLYKGLYPLWGRQIPYTMVKFATFEETVGQIYKYLGKPKESYSGLQQTGV SFLGGYIAGIGCAVVSHPADVMVSKLNSDRKPGEGAGQAMSRIYGNIGFKGLWNGLPV RILMIGTLTAFQWLIYDSFKINEFDDLKYPQGSQVSELSHHFKDFLLTCKYDTTRHRI LY89DRAFT_601785 MASQDTLVELRNVVDVVPKLETDRESAGADLSEEVDLVDWDGPD DPQNPMNWPKSKKRGHVALVSIVNFLVNLSTTISAPGAGLLMKDFHQTNAIIGSFSIS IFLLGFALGPLVMAPLSELYGRLPVYHLSIITFIAFLLGCGWSQNIVEFLIFRFIAGC AGSSPSTIGGGTVADLIPVEHRGAAIAMTSIGPIFAPVIGPVIGGFIAQRLGWRWTFW IVAAAVRVWLAAAMTFMRETCGPVILERKAARLRKETGNIDLEAKGRGKLSPLAHLGR GLSLPLKLLVLSPVVLLLSLYVAFIFGLMFLCFSTYSAVFVDQYHFAVGVSGLVYLGQ GIGMITGLILFATLSDRILKARASKHKGEMTPEERLPLMVYFSPIVPIGFFWYGWTAQ EKVQWMAPIVGTSFIGLGNLFVMVRTPLTRNLPSQIYLVDAFKAEAAASALAAATVLR SLFGTFLPLAAPHLYAKLGYGWGNSIFGFIALAFIPVPIMFYKFGARLRERFQVRL LY89DRAFT_370839 MISSTQRSTQPAKTHLDMMTTIPASTYLIVSAAFQDAGDTTRAI ALALALREYCPKGHDLKIDFLSCGSRFEPIIQNAGFNVVPCQPRVPGLSVADDLEWDF PEFIGSEALAKNFIEGQLEALRRLKPDVVLHGMWPATSLAARLLGIRTISFLPLPLHP ASFSNGLIRDLPDMIPLFTRLPRPARQWLAWHLSHLMVYAPIFRQHRIGAAAAACGWP IKGPLSLFDMAKADLNLVNDLPEFHADYSYRLPEDVVITGPLFARIHDTKELDQDIAA HLHNDHGPSILVTMGSSGTKDMVFEAIRALILDRHDNWKAVVLAAPAICSIEEARAVA NDDPRLLVTDRFIPAPEATPLADVVIMHGGQGTVQTAIAAGTPVVGVALQVEQQTNLD NVMNAGAGIRIQRRSWHPQAIRAAVKTVLADPTYKVRAMELAETIRKTDGARTAAECM WRFLLKDE LY89DRAFT_543952 PEMKKSVILTEPDHWNIGSLMTCEKIESGHDISPNILCQWTDDG STYCLRKRSVPGSEPGDGDSEAGHIYDVNTSGVWTLSPNVFCKTQRWTEGTTTDAESI RFVNKNIPSIPTEKIIYDWIDHRWYRWFMLSWRVPGERFFEAWPQLSLNQRLDVA LY89DRAFT_712231 MTSITTKIDKAVANSFVGRRFRLDGSGHAKSRQNARFLTEIRAG LATFFVNTTILADSGGTCVCTDTTDPICTSDTDYALCVGVIRRDFITATAAISALTSF CMGLFANMPIALAPGMGLNAYFAYTVVGFHGSGPVSYRFALTAVFVEGFIFVALSLLG LRQWLARVIPASIKLSAGVGIGLFLTIIGLTYSAGIGVIVGATDTPLELAGCPQSDLD PTTGLCPNSSKMRAPTMWLGIFCGGFLTAILLAYKVKGAIIAGILLVSIISWPRTTSV TYFTYTPVGNDSFDFFKKKKVVTFHGIEKTLVAQEWDLAGVSGQFGLALITFLYVDIL DCTGTLYSMARYAGAINEETQDFEGSAVAYLVDALGISIGSLFGLSPVTAFIESGAGI SEGGKTGLTTMTTGLCFFISIFFAPIFASIPPWATGCTLIIAYFGDALPAFLTLAIMP LTYSIAYGLVAGIISYAIINTITWLIEVVSGGRIVVPDRELKEPWTWKIKGGLLPFWI VRLAKGKRDFWREEEQNSSGLIQSDATNEKSSPTAFAETTSSTDSTPTHERSNKVI LY89DRAFT_743192 MVAFLEFATYHYKVINMQPSNTRGLDHQPTSDGTKLVHSFFKTN WGDVICFIIGPSKKVYRIHKDRLCRKIPSLLSIIAQRESEMEAPDGEENDNVSERADK DAEEDSESDLGKHQQRETASEPETHLGEEIIIKFLDQDTASFDILMKWVYHDTLPSLK ADYGQTSFWNWDPYAFYTLVDKFELPELKDKVMSSLQASQAKTKQWHKIEQLDWVWAR TSPGCGMRRYLAMCMVRNILVYKDPIHPNPQQRMTISTQKISQVLSKYPDLLLAVVDA MRGNDGVKVTDPREVNPCEFHEHWPRKTCGYGKGFEDVEQDVRNDDRHDQDLEANEEY QKKSVRFS LY89DRAFT_371216 MPSKGVQCYSYIAIPGCEIQFSVPGKDIIKNQSKTFGNDHLEVD KKNIKGAFDFVGTFSFKVLHDGNQIASESVNINVLSGNLEAGTLKTMENQQSVSSNGI IVAYGFYDAGQGVAGLPSSDQCYVTVTPDYSGWMGQVAPQGSGEAGQPFSKLFLPAAH DIGMNSMQNADAVLSSNTIVDALIKIDPTFAKIAGMMSHDAVMAIAPNIVRGLAITQK DTLSTILSLGCRYFEFRPAYLHSAIRPFHPIPDVLYFSHSAIPGMAYQQFLNDVVAFL VGHPDEIVVVQLRWDGIPNECAHPTDQDLANYMSTALASSHGGLLQGSIDDMLQLTIS QLRQQKKRLILFGPVDSFSTYTDPGNATLNGDSIIAEFNQLTPQLQAGKPFTNLQCQA TASNVRDAVVYSVLAANADSSCLLATKPICDSKTLPWIVANAGRLNGSELVVAMNDFF DGATADVCIDWSKKRLL LY89DRAFT_702580 MSRNILLSASLLFASAFAQQPGTNTPEVHPTLMSQKCTKAGGCK AVNTSVVLDAGYRWLHNVGGYTNCVNGGFNATFCPDVATCAKGCAVEGVNYTSYGINT AGNSLTLNLFKQDNGVTTQSSPRVYLLANDTTYDMLMLLNQELTFDVDVSKVPCGING ALYLSEMDATGMASNLNPAGAKYGTGYCDAQCPKNTFVNGLPNINGTLGACCNEMDIW EANSATTAFTPHTCNITGTYACTGADCSGSGVCDQGGCDFNAYRMGAPGFYGPNKIVD TTRKFTVVTQFITSDGTANGTLKTISRSYVQDGKVIANANVNVTGMAATNSIDDTYCN AQVKTFGGVSTYERQGGMTGMGHSLARGMVLIFSIWMDSGSGMQWLDGSTGSGSSPGS LRGPCSATSGNTTMLTADYPDAAVTFSNIKVGDLGTTFMAM LY89DRAFT_601881 MGVQMVDINVGKGKAETHFRVHKAILCAKLPYFDKMLNSGFKEG TENRVNLPEDDPDSFDLLMVWVYNDTLPPLEWNKSISGFTKTSNWHAFNLYALADKLC LEILMDQIASSYISATLALDALPGPNYLGTIFQTLPGHTAFRKYAASCLHYILHGLPK SPELMSTWPVEKLNLIMVANPNLTMEYLQLVQQHPLDTPVPDPRLPPYCKFHRHAADA PCPVAARQSI LY89DRAFT_789980 MATPPPTEKKRSARSPADEDQVAKKARSDDPDKGPAFSDIMGTD MLDIYVGMGTEKKLFRVHEKILRDKVPYFDKMLSNGFQESIDKKIELPEDHVNSFDIL LLWVYTSNIQPFSWILNSANGSDMKWDVFKVYELADKLCLEELMDEVTSTYIDASEKQ DILPSITSIGEDCSKLSAHMGLKKYMVLCLDYYLNGMSRESNYYGSGIEEFVDLLSVN RELFLEHMQLVHQRPTGQLAPDPRKMPRCTFHQHDAGVECPLKKGSSQRTS LY89DRAFT_767043 MFLQALSVLGLLVTRSLSANSTYHNPMLPGFHPDPSCIFVPDWE NTFFCASSSFLVFPGIPIHASRDLRSWKLISNALNRPEQLPGLNTAKRATSGIWAPTL RYRDGTFYLVTTLVYDDYPQNASNRFDNFVITTTNPYNSSAWSQPAHFNFSGYDTSPF WDDDGQVYVTGTHAWQIQPGIQAFTLDIETGSVGEIINIWNGTGGSSPEGPHIYRKDG YFYLMIAEGGTGSGHMATIARSQNILGPYDSNPHNPVLTAVNSTRYFQDVGHADLFQD GDDNWWAVALSVRQAPDGSYPMGRETTLTPVTWEEGQWPVFTNVTGHMNGWHLDNDLP VAGGEGSLVNSSEHLNFGANSSFPLELVHWRFPIDSSYVISPPGHNNTLQLTSSIANL TGSDGRSAESLGQTFIGHRQTHTCFTFSSTFDVTSVQAEEEEVGVTVFLDQLHHYDLG IVMLPNQTTNSSTLSPYFRVRGITTVPAFLSSDIAVAPVPAAWVSSHLTFEIRASNMT HYTLSVGPAGKQSQVMDIGFAPGLGLTWGFTGALLGVYATTNGATGQFQTYISDWKYE GLGQVIE LY89DRAFT_743198 MAKSKQPLHGSTAIKNIVKQAKVEKARRMSYAGDAKKYSAIREY GNGLKEAFNEVLTANDATGKEAAIAEADKIYHPKARVDDTENGADHMPVQQPLDLVVP EKQDTARELRMQVREGKRSQLTQESQAKLEKLRAEREEKARKRKKAMEEKLAFPSVPQ ENAAPISTAAAKRKSNNAAKPKAAPAPKTGAIRKPRKSNKKISTATPFENLVMRPKAQ PKSTSVKKEQRNKAPRTGSSAMTSSLPTVDDVEEEVEFPGLVYRTKPKE LY89DRAFT_743200 MSRKTKVLDSFEGVEKDLRETDQALQGLLSGGHGGPSWERFRVS TNEPQNLEFATSNHDNQNLSSSNQVRRPSLSTITGIELPQSMRGFVDGSYPNPNSNYF DVEEEDEDEDSREIDYGEGFNNNYAREFGLDSNHESDDHDLEEIDRLYALRLKEIRVQ RQAYDATERAGEAMRRIAAVLSTSWPIAHSTAALRSSSTITQSPAPSPDVPLFISNDL PLNSVALEEFTERQKLRRAVIDSTLTKPRVNAKPSVLRSTLMNSSDDPPRKWKNEPSP IKKGSSYIDSATKTPGMEDQGQGNGSRTFGDISPFNNGDFAQINPALLLPRQLQSTSK DTSATPRLSTPSKLLNNVFKNVFSRDEEDDNLGVKNILASEKVDWAEQLRIDKLEVTE LELGVSTTQPIPDNTTGDLMILNAAGSNPRLDPTLLARLNSFGSSSPSPKKVSRRSKA TAQLANPAAALSIVPLSLGPSSSRPVNNTQIAAKAQAGILESTKPPPPKTKRPGTKNW KTNTMILDGEGTESCSWFPARCQDPNYTSVSEPKQAGKSVSQSVISRAYSPID LY89DRAFT_767049 MSTSCNGHDINRSEGGQSAVKGPGSGLVASEATLPDYESQIPNL ATSNPVIKYIKARKPADNPLLPHQKLRPATLVVRSVESSPFDLFEPSTMKGLLPPLTN MNDPNNIQTCQQCILLQSTLALNSKQLEDQELFHNVKIQREKEIHQDAEILWEAERIK LNADAATGRHQTILCQNLHIGIAEHRAIIALAEKELDDAYGRIAELQNLVGELEGQLI CKGTRVDDVDPTRFGNGSKELNPETTALNQDSSTISPDNDVEMVEDLLLA LY89DRAFT_743202 MDTNTQQNSLADVCSHPEAARDIESRDSTAPEIIVERTSPARSE GTPNYHGTHSVIEHQPANITSIHTPSESDEEPSATNVRKELKDSLAKVQLEMIRLNPT LFQKEDKSTKSLQAQLARLSAAIRAVDTVKQDTTEELKRQHKQDLEAKVSTLEKELEG KRKNAKALAEKHRADTLDLVQQVFDAGERILSREEALLEQDLRWMEAYEAKASRESEA DKELEQRPDSSSLWMQEQATKDCSVIETY LY89DRAFT_678167 MSRKRPHGECDRPEDLSLRPKKRAFQGYIEDTDTRVSTPSKPST SQRPLASEDSGTRQAVLNVAVNPIDNPSDQAEGKESGLLFTIISFIYYYVISLAAVAF AWHIHIWKAWFTRATFDQAPQTSPRPAQEGQRHRKWVGTYRLYGRHAFCSSRRTKDQS RLLFSKHERRQRIQKNGRVSKATLNRRSLSPKPRPQVAITKPSATAHEASIFSLFPKL PIELRVEIWRLALPPPRVIEIDCCLRGQSFDSLIFSPMESRSQASGLLRANLESRAVF LEHYKLLCNQGKSARNATDTATCESRHWSSSSPVVYINYDIDTLYIGPSSENGVLVAF HVMHDHGMEKHLSRVRSLAVTASNHVCFSFGVEMAQVPQLQELIIVLGEQQQPCQPTP GSWIRPRGAVRFAEAINTTDDVSNNWSYDSYKTRVASITESPNITVNVRQVTRGDPPQ NSRWRVN LY89DRAFT_767054 MSANTPSDLKTVAVAPLIRKRRLSNENPTNAPVQGTASSTSALN PQVSTTAPSPMNHSQASTENKTPRVQIKLTSKLSSTAASTSPVGARPDTSNVGAAAPQ TPDHNVQPIIPSSSASDNKANVRVLLGPLLDEAIAAVGYTPKEGGSRRDYILDSANHI NHLKENISTLESRASATESKLRLSEEKVVSLRREVGNANMRDAESSSEARSLSNQLVL SSRKLAEEKRSNARAIQERVAVWTDNQSLNADNREHLAKIAGLEKQVKTLQKELTEST QLRLEGVDRIDTLENLLRDSQALPRALQCIQYCFAYLVMILSFFPKFGRSCYEHLLPH LPTIKAYARSWFHSSISAIQSLPGMIGFCISMIVWAAPPTFWFIWSWLLKPLCVAILR LLIGLWSQAKTCVVQWFWTFCHRVQITWAAVVAWPSTNRWKIWTAGLTILLFMVPTAL NLLSCGPFMTHQATTYFMHPHTSTPVLLPTWTDSREQVILDFAKSCPAFGHVYGTVRD CFIEYDERRLVVGMSHTSMLPIIEEHKKHEARLEKKLAKRPANKSSQRSDVPENRWLR PLGVSVGIFVVGMAVRAMM LY89DRAFT_691980 MRPKLQRLLSRPSSLKLLRHLVKAPEAGRCSTPPRRFGGTAGRD GAVVQVHAKSKEEPDAQFENNHESQDKDNETQQLKSYKRNDTMVLSKQSIVEVASNKP AIESRPAAFRRLRLHNVYGQWHERAWTHAELEFESDLAVPNVVGRRTRLLDTEAHKHD MDLWGALFDYRKRIYGDEGIETFLNAVIQRGVSIPTTSTLADRFWSAFLDLGFRDPQV LDRILKYADHIWTTEKKRWSKLYTHVMSFFLINDPSEALLWHQRLFQNHPPGLTRFAE MCRFVVFQSGNLDLLKKIYMQNTHRNVYSKVVPLLCQKEDFETAMDWHFFLLENGDLP STSRIVEPLIHYLAVYKPRSAIRVTRSLVAAGVSFASSTPQYLVDNTKISREMMNLIH GKTFSISVKKYNDNLGARWFATCWISLDIAINGVHALGVEEIGPLSLQAIALRESDPE AIKLRIEQLRDLGISIGTSLYSRAIQHFAESGHRNHLEGLLNSDQHPDELEDSKLQEE LLSSFAKTGDEAQYRRTLCIQSLASHSPAIESRNLELRAQIALGDQEGIRATLNKMKD EKCPVKSHSISQLIRFTLTPRQRGSRPVTLPRVTNGRKHDDIYECVALLKEVMESSTS IPVIHWREILRRLGMLGRFNDLRSLVLFLTMWYSSGSRLPKTRHNYVPRSVSTSHPLH PLKILFNRDFQRAVVEWGFITNLRKNPSTINPRHSYVRLPDSSLPDITSGIDILKLLH HRGVHIHGPTIRKALMNRFITYYGPGRSSKLYNRLAKRRMQGKLSMVIKQLDKAFDGR HSSTVDMPRLIQVVATKRWDKIKRRKIKQLAQAPVLKALPGYKQALYDDLY LY89DRAFT_789982 MAGFVNRENRVPYYQRMFQEGGRKHIRQWNQTSKSKIFLYPYYT VLFGGFAGSMYMMGRMVLGHKTWFGKG LY89DRAFT_712237 MAYTDDAVLAKLSALNETQESIVTVAQWVMFHRRHADRTGQLWL QRLKDSGSNKRLNLIYLANEVAQQSKARRKDDFLIAFSPVIAEATATAYKGAANEVQQ KLRRVVEVWRQRQIFEIPIQEAIEARIDELDKSRSSGKRVGGGSIFSANPNSVPNELV PLIAPQQDLSKLVLSTKTSVNAANQDYDKLTDPSSVSPSAPVHAARLNGLLKTLANAE GAVAESIKARKILIEGLEKILDTNRAALAAEEAQVAELSSRRNEIDNKKRDVEENIMR GFASNSNPGTPVGAAEGSPGTQHSPVTPAPEPDRPEVEALTPPGYPQPPVAEPVSEFE LNTSNGNGNDYAQYKPPPALPGSDLLSSLSTSYGRTSSAASGGSIKKRKLNSGDDFPD LGEDGLDADVAEILRRDSGGGS LY89DRAFT_789984 MEDPQVQRVLGTIYSATHFTPMEHRLLSSFVKHSVDPKSTAAYL LKRTSSRSGTEDAVELELRCVLEDWKTLVEMVRCPTSPSFSLSWYITKRDRGMCCLTR KSRLWWDVFGWSRTQVVSIIPEKFLLVVLDYAQYAHLRELLLVFLTEDQFQLLRSTFA RESPCRNHWTLAPDAAAAFREGLLYLAPSWDIQRQPSEDLKRNCLYILYNTMPIATSL PTSSDGQVLRSGSWVEMETIDEGSFPLPSACFFGIHQRFCNSLRALQIDRELSRRWQP LGVTRWLSVTLGACSSRVFPLIRRLWSYFPRRGRIWVYRSLIDAGVRLYGKANPWTQQ VPFGLYIKHGKGKLIPRGEGPALRLVEKSTDIPAPRLVETLVDGDYTYLVMTRLPGKP LMQAIYTMSYPERKRLAKDLSQCIAQLGNIPNMNKASICGADGGPVFDYRLNGRLAGP FESEAEFNNFIITQDRLRSPTHDCHHRICFTHADLNPNNILVESGRLSGIVDFGCAGY FPEYWEHIRRIPSLYERELPTFKSRCPAFAGTFVIDQYKLYPENADFDFKKCVLYLGC IWNGIYDPYKNIMIDVLEFPGISHNPECHIGGVGVDQASGLLSIVVDAGEAFTSSGAN IPGTNWIIQWDPVTKEVLYQLNITKTVDGKYGGYQDVEQDPEGNVYVVGTYPSSILKV HTDGKTVTPWYLSPPPVVSTDTGYCGLAAKGWLLLANDGGAGNIVRFDMREEKGVPVV VPLQPNTTLIGTDAIYFPPRYEGTVALVAQDLTGIAVLRSRDGEWHWAEFLGIVPNEL NGTFAVAPVQVGEGLYIVALAYVDVNTPGSTSGNRTLFPFVDITEQVDKFLLS LY89DRAFT_371514 MNADEDMNGQIPTPGIEGSLEDLPEEILEIIKLQNSPQFLDALA IAALKPELTLKLFTRYEHLFADTCARWTGMRDGEHQKNGIIEAFARVLPFAPQLSTFL QKYLETFPTRPGHERQRLRIGFLKRNYGGHSELEPLHLQRILLATFRLLNFDRRTLST TVSTSSIQSYLQHANSGVRYLAVRIFCQLCSAADCTLEAMLEEHVGKTEAVTGDFDGV LLDYGFLSLLEKRRYKDVADLRSAERFRPSFFPQNLSTLVVQYGSVLLPRPRGAPLEE SHLIHTLTTCQNQEQFAKALLSSFPILLHGLAGSGKTSLVNDFARELGMDSKMVTLHL NEQTDAKMLIGMYTSGSTPGSFTWRAGVLTTAVREGRWVFIEDLDRAPNEVISVILPL IERGELVIPSRGETIKAGSGFRLLATIRTSLSVTGKENPPALHMLGARLWNRVPVQMP SQNEFKDIVEGQYPILHKFLPGIIKVYERLCELSKQTDFASRSKTSLGRPISSRDLLK WSRRLERVLIAAGFTSGEELMNDTVLDEMFMEAADCFAGSLQTDDARKRVINTIAEEM HIDPQRVEHYFGAHVPKYEDTEKQLTIGRVRLPKRSRVTKSSQKQRPFANTTHARRLL QQVGVAIEMSEPVLLVGETGIGKTTVVQQLADVLGHKLTAVNLSQQSEVGDLLGGFKP VNVRSLAIPLKDEFDDLFASTGISATKNQRYLEQLGKCVAKGQWSKASKLWREAPKMF EKIIGELSKKEAANGRPDEQPMKRRKTESRLQSLLQLKPRWIKFSQSLDQFDIQLSGG SKGFAFTFVEGNIVKAVRNGDWVLLDEINLASPDTLESIADLLHHGSNGSPSILLSET GEIERVQAHPDFRIFGAMNPATDVGKRDLPMGLRSRFTEIYVESPDRNLDDLLGVIKA YLKGNNSNDERAANDVARLYLNTKRLADEKRLVDGANQVPHFSLRTLTRVLSYVNEIT PLYGLRRALYEGFAMGFLTLLDRESEKLLIPLIDHHLLSSHGNFRALLSQTPKHPEDG RRYVAFTNEKKNRQYWMLQGVEPREEQSHYIRTPSVDRNILNLVRATSTRRFPVLVQG PTSSGKTSMIEYLAKYSGNKFVRINNHEHTDLQEYLGTYVSGVDGQLRFQEGLLVQAL RKGHWIVLDELNLAPTDVLEALNRLLDDNRELLIPETQEVVRPHENFMLFATQNPPGL YGGRKTLSRAFRNRFLELHFDDIPQDELQQILEYRSQKVAPSDCKRIVDVYKELSTLR QTNRLFEQKDSFATLRDLFRWALRDADNREQLAANGYMLLAERVRNPAERTAVKEIIE RIMKVKVDPNLLYSGDLSPEIKAFNATSNAQGVVWTNAMRRLYVLVANALRNNEPVLL VGETGCGKTTVCQMIADAFGKELHIVNAHQNTETGDLIGAQRPVRNRAAILERLKQDL IVLLNGLGLLTDQDDDDSLHAKFQSLPDSDLARAPHDLLARIRLLQIKAKALFEWSDG SLVQALRDGSFFLLDEISLADDSVLERLNSVLETQRTILLAEKGVENSFVQAADGFQF FATMNPGGDYGKRELSPALRNRFTEIWVPSLSEHEDVFQIVEAKLENNFKPFAKAMVH FAEWFGETYRSSASTSISVRDVLAWVKFINISNASDPYFPILHGASMVYIDTLGANPA ALLAINPDTVQQERAKCLQQLSTLLGYDVSLIYSQPVALVHEEHSLTIGNFSISKMAG ADIDSTFAFEAPTTKLNAMRVLRALQVQKPILIEGSPGVGKTTLISALARACNRPLTR INLSEQTDLMDLFGSDTPVEGAEAGHFAWRDAPFLQAMQRGEWVLLDEMNLASQSVLE GLNACLDHRGEVYISELDQTFKLHPSFSVFAAQNPHHQGGGRKGLPASFVNRFTVVYA DVFRNDDLQLICRHNFPNVASATLNSMIVFVSQLEQEIVYQRRFGSQGGPWEFNLRDI LRWLQLLSSSAPFLGAASPSDFLSLIFRQRFRSLRDRSEVDRLFSEVFNTEVPFRHFF HNTSASSYQVGIAYLPRDRLVQRLPFPEPEVKIHLPELEFIMICIQQNLPCILVGPSG CGKTTIIEHVAAMSGKSLVVFPLNADIDTMDLVGGFEQVDPQRAAGTFLEELRAFIST RVLASLPNEVPDEALSILELLGSNDDRSQTQFSEIASHLRSLQTKTSLPKFGTLAATC QQFSEAPMALENARFEWVDGALVKALEQGQWLVLDNANLCSASVLDRLNSLLEPNGFL SINEHCGPDGEPKTVKPHPDFRIFLTMDARFGELSRAMRNRAIEIFVNPDAEQSIITP GLKPEAQLERYQQLLDTLESMQESSLAPKLLAVALENLSWSDAPLLRRFFTCFGQVIS AKCGKTTIGVLEAYLHVLENLRNTPVRREVEDMFMAVAKDSSLAPAGFGNAQIIHPLQ NSPLVPLLAKSQSIEKVYWSATVFDHFILIRHGVHALKSQELGVETLKPSQMNRLQRS LVKDRVSAVSKDSTVNISRFLDNLLNTLQHFLRNYFYDANDWKSRQDTLEALLLLWSR TFALSILSNFEEATFQAHLALETDALKGLSGDNIMGGVVQIVQENMQTAFSSGFKLTT GLSLEVLWRGLRPVVMSNLQTMETLAQLEDLAVRFDALRWRSSISVNELGNVMVSLVK AYGLVLTSDVDGQTLLSILDTELKNLEPSIGSNEDVVTPFFACQFVALRQFQTIACMR TNDSDGGQSLDLQTAVLANSPTPLEMRLGASAGPLQMVDYLWGTATNLTPVVGTLTQD LLKKIGGITEVNLQSLKLLESELPMLGEELITSSAVLSRDQILDLDGILSNLIVRVVD MHDTPPNATYARLLQDYTSAIDCDVLGLTLATSVLGDVKLSIPGAPQHLQEVLNNHYN KAVLCMHAAPSQSAKRLQFSSLAWVHFAIGTVKLYVPDRAFDPDKRQRLERKYHEEYR QELHNRLDALKAFEALFTGQNSNLRCQLLAKKIDELGEPPKILQEIYRPEISEMDQLQ GEFTNLLKVLLGSTSIPDVLSEYFTSGSKSLLQQLRLLQHNVAQIIRRLSERYRAYND ITAPVASMLRCLQLGLFMAILTHVEDNSDTSETGSYLASSRVTPFLGGSPTQAPAELI SEKPMEFLSLMATTATVEKLSSFNIDARQSLLKAFHSCYEQWKQKLETDRAEAESKSG LYRFRGSAEDEDEDDQEAFNELFPAYDEDDTDAKAGTSPTGIVRDTAVQVSKLHGAIF LGQGQPADSILSLIRNISKKIGSSYQDNPESQDQKTTKDLLPGTLLLLDDRIEALDPK ASLPSSYNFYSDANLPEARKLVTLVHQIQARFRQLQAVDEIGHMQPLADVLASCRELL QFRHTEPLSKIITKVEKIHTFMHEWQFGGWASRANSALTQYDNLTATIVSWRRLELST WAKLFDTETKKCEEDAKSWWFVAYEVVIAAPLQMSESPEELRSYAQKLLKDLELYFST AIMGQYVQRIQLLKQLQKHLELLMLDTPNISIIRDALANFIALYKRYEGPVLENLKKG KLSLEKSMRDVLLLASWKDTNIVALRDSAKRSHHKLFKIVRKFRALLDQPMESILKQG LPDQTFPDGLEVLGQQVQPSVDQSALTVCSSTVPSWTQKAKRFVNVDTTVSMMKTAST LPGSALYGSSYLDSFLANIIDSIAELQKATPSVLTEDNKVTVKHLKSRKRKLFADTLK ELRLMGINYNLGVDALARQDSLSLVLANAGHLPELDIPGLEYYFHKAVDLAQKARVSV RQHSEDLSSAEIARSIGFLEGLLQTLLVQRNSLSINVQNMTKLQVPIEISKRLWAGDR YSIRHLQAFSGHENLLRWLPSILSVALELVRIHSKLGKKESQSITDNLLTWREKFVEW AETWVNLCKFPSLVTSTDQLELQETLDQNVILVQEDLETMSRDRPDLAFIVDQILPWT NTTSSSVINSKVSKDLEDLDIKLSTVCDTILVAIEKYKKTIAEIPTSTEDPSWLIKSH ANLEDSIKSLHCANVNDQIEEAFEILRHLDLADDSKSKAAGALFAVTQPIVQQYFNIL QDAITRYGQLHRATCKSSYILAKNFIHIASQGFCTPSEKSDAQDGKTEKLEGGTGLGD GEGTEDISKDIQDDEDLDELAQEPNTGEKEDIEDEQDAVDMADGDMEGQMGDAEDKGE DDKDLKGDEESGDEMDEEAGDVDDLDPTAVDEKMWNGDGEQAEKDQEGDDSKGKASKE EPAAAQESNKEAGQADDGNEGEEEEEMVGEEQGEEVKQEEVEKHDPHAQEGETLDLPE DMELEGNEDGESVGGSDDGMNDLSDVEQDENSGEDVVNDGKDEGDGEDVDAQEDQQPD DDMDVVDLEEEPEIPGEATEEAGEKAEEDIEEQQPEDQNGLLRERDDDANADTENAVP SDVQGVGEDQDENSNDQNESTSKAQREDGGKGGDSSEQKDSAAEDGEKGRQANGEAPQ DSQDETQDSTDAQPFKKLGDALERWHRQQTKIREPAEQKEQGKDQKPETDNDASEFQH LQDEDAEPDAQALGTATEDQAHALDESMAIDQDSKDLPDQFQPDEVENDEVQHDDVMD IEDATTPKEQEPSDAYEGRAGAMIKQANQDLADPKDTRAHIQDDLDEEVEDVDNQLES THLDSLDVNLRSAAEARQQWTHYEAVTRDLSLSLTEQLRLILAPTLATKMRGDFRTGK RLNIKRIIPYIASQYKRDKIWMRRSVPSKRSYQIMLAVDDSKSMGESGSGSLAMETLV MVSKSLSMLEVGQICVVGFGETVKVAHEFDAPFSSDAGPKVFQNFGFDQGRTDVTRLV KDSIELFRTARQKASGSPADLWQMQLIISDGVCNSSEHDTIRRLLREALEERIMMVFI IIDNVKEKKKGESVLDLQQATFVKGKLHMSRYLDSFPFQYYIVVGDVKELPGVLATLL RQWFAEVVDSGS LY89DRAFT_660966 MSLSCQSVARSCVCSLRSSHALRISSAAVAQRRNTPMKRWASTE AASSPKISGIVDQISQLTLLETADLVASLKSRLNIPDMPMGGFAAGPAAPAATPVEEE EAAPAQQEKTLFNLKLMSFEAGAKPKIIKEIKSMLGLSLVDSKKFVESAPKMMKEGVP KEEAEKILETLKGLGGVVTME LY89DRAFT_660968 MLSNGKEESEMILTVLGCGTMGIAILSGILASLYEIQPPKQQLL ASASGTSTPAEEIPSRLPSRFIACVRRPESAKKIKQALLPHLKVVKIVQHDNVAACQE ADVVLLGCKPYMVNDILAVEGMKEALNGKTLISICAGVPVEQMQKALYGEDVLAASEG CTLVRAMPNTASAIRESMTVIATSVPPLPADASALVTWIFRRIGDVVHLPASTMDAST ALCGSGPAFFALMLEATIDGAVAMGLPRAEAQRMAAQTMKGAAGLVLAGEHPALLRDK VSTPGGCTIGGLLVLEEGRVRGTVARAVREATVVASQLGKGVQGVNGTRWN LY89DRAFT_601797 MDPFEVRVRFTAFLGSLNASVTSAQKTAQYAIKYRDMDEDLHNC IIEQLERSGNSMNNRANIMYFLEHLCEMAARENHYDYIRMIQRDIMRIVDAVAPDDGS GAANVKVVRKVLQALQVKTFLLAQTVTEIDEVLKERDTAPDAIGLSSPIGPPDNQTPK KPSISQRPDKKQIEQRIEEDRERHKRARENVWATPKPGTVGPGGNFDDEFEKLWDETS DAGEDDFELYKEEAEERHRCAKQWKEDFKKMHSSHE LY89DRAFT_789989 MTSYIGLVLGIDGGPGGPGYPGGIGGDGGDGGDIDFEVPAHTEI GEAKGGDGGRGGDGFHPGHGGAGGIVTVPPLVKSKVQGKRGADGAVPKGATGGTGGNG GKGGHVTIRVASSSSSSSHGAAPHATLHAVTPAPVDKWKAGKGGKGGKPGKDFGEWKG GKGGKGGRGGNAVVAWHATKGWVGKDGEDGDPGQDGKDATHGKNGADGAAGKDGKDGK L LY89DRAFT_660975 MLKWAEVKERTDVYTWKGTTGWGNHDLYPIPAKEHTYGLTAYAF FWAQAGTSLLSYTMGSSYVAIGLSAAETIVACILGAIISVFIGAFTARTGQDYSIGYT VLNRSCFRMRGTYLTLVSGIQAYYGGQAVALMLRAIFPSFKNMANTLPASAAITTQNL IGFLVCMASYLPVFCFIKPHNLRWGLYSAYIAVFSTFIGILIWALVSNGGTGNLISSS IPITKSEKAFRFIQCVSSISGNWGSCSDRYADCTRFEKKRHTSVVGVLALPVVICLSV TFGALTTTATSHMYGTVQWNPILLLSSLQDHSYTPACRAGTFFVGFALWLSQTFLNLS LNTVPFGMDLAAAFYRYLTNRRAGIILIIITLIMQPWRFLSQALIFLTIMNCITVYFA AATAILISDFWIIRKKMLKLPDLYTRDGIYWFTGGWNLRAIAALTIGMLPSMPGFFES CISSTVDGPAVRIFEITWFVSAPLTLIAYMALSKIWPVEGRGIKELLPQGDEPITVIE ASKGSSSDEKIPSGTVAEKDLEQ LY89DRAFT_712245 MPSRLPEIKVTPITPSAGSKVDYGAIVTGLDLKNLDDASFKALE DAIYTHKVVVVKDQQDLVPLKQFELIHRFDPDTKPVHGFGDPDAYKDNEFVKLVVPNS GGVRLVGKGYHGPDHYGLKDLIVPTVNDYNKLHGSNLDPEEFASGQTRFFTLRCIQAP KGPDLTVRWDNGSGLTMKTKPGETAFVSGVQLHNLLSPEDQMLADHSRRELAPHPYTW KGTRKYRSTGMGLEKGGEVLPLDKLPAWTPDKVHTYPMIWVDERTGEKASQIMPTCVR KLHLRTSFDEKERVVEDLEEIRLLLNGWMDKILRPEYICFPPVEDGDVAMWNNYIWSS NIDREEAYIPLWKNPPVPRHTSISFIFSSEASD LY89DRAFT_371620 MDNYRPLSLTERRASMVFNNDESDLSPTRATLTLNTNTNNVRLP SRVDKIVQTRPRGPPTPNMTTPSADPDSPTHSSHDPPPPPTPAASPGPDHPQPDWSDA GDQEDLFLSKIRHHFKESSPPERMRILADLLNLCTSQQLSFVRQYVDPLLKKDPFTSL PNEICLRILSCIDDPKVLARASQVSQRWRELLADDVTWMKLCAKHDYGRRMSEAHLST PLTTTLRPVPQPVQSGFFDGATTTTHSFPGAAALASHAAGSSRSFDSSMSSTALRRPK IRSYKSHFKQRYLVETAWRTGGRNIARHITQDQGVVTSLHLTPKYIVVALDNAKIHVF NTEGNQQKTLQGHVMGVWAMVPWDDVLVSGGCDRDVRVWNMATGEPIHTLRGHTSTVR CLKMSDSTTAISGSRDTTLRVWDINTGLCKNVLVGHQASVRCLEIKGDIVVSGSYDTT ARVWSISEGRCLRTLSGHFSQIYAIAFDGTRIATGSLDTSVRIWDPTNGSCQAILQGH TSLVGQLQMRGNTLVTGGSDGSVRVWSLEKMAPIHRLAAHDNSVTSLQFDDTRVVSGG SDGRVKVWDLKTGQLVRELTAPADAVWRVAFEEEKCVVMASRSNRTIMEVWSFSPPED VLFEAAATTPAQRNLLNAGGPPRPLSAVEYRSTGTQDQPMTGMSGLSVDDNTPTRGHV NTRIDYTTERISNLEDVEMLDQQPSTAPLPGTGPTFFHDD LY89DRAFT_678179 MCLTSEMAAWICRLMEAKRSREAKVKDACEWQTEHNDGSGGGGG SSRSVAALDAQRLPNMTPVWAANQSGSSAHSETPTAAGAVSTTEYEVERHSTSTSTST STSTSTRTSETPETETGRERERQSQRHRRLNPSIICSITTTTTTIVKLPPPQPPPYYP LPHSIPHHRFE LY89DRAFT_691989 MSGTKTKMKSLSIFIFHKDENSQPRQIINTQHTQLFPPIPFLST AQPPQPLPSSHPQRINPIAQSPSRAPFFPPSLPYPKSSPAQPSLATPYPIPIDEPNTY CRQPPSLDYTHSFRPLAQLT LY89DRAFT_660981 MFSRALRTSRAAPLRSSAFLRSALVKRTVTTDAASAHADKVPEE DDAAFQVHLSDESFETYELDPPPYTLDTTKKELKQMYYDMVSVRRMEMAADRLYKEKK IRGFCHLSTGQEAVAVGIEHSLTKEDDVITAYRCHGFALMRGATVKSIIGELLGRREG IAYGKGGSMHMFAKGFYGGNGIVGAQVPVGAGLAFAHQYLGKKNASVVLYGDGASNQG QVFEAFNMAKLWNLPVMFGCENNKYGMGTAANRSSALTDYYKRGQYIPGLKVNGMDVL AVKAAVKYARDYTTSGNGPLVLEYVTYRYGGHSMSDPGTTYRTREEIQRMRSTQDPIA GLKQKLLEWNVTTEDELKQIDKDARSDVDKEVAEAEKMAAPEPTPKILFEDIYVRGSE PQFMRGRTPDENYYY LY89DRAFT_660984 MADTNEDWSSNSNEAVEISLVVPGAGAPKALHTFNPKFTYPIFG DEETIFGYKGLKVNLRYNASDMRPSLQITWNSKFKTVGETEPLDVKAVLEPYLPKTAL EKTSIFNAAITDPMYKDWKPPGELWKTIQSGDQTFEVWKGSLADLAIQQMVKRIQILV PLFIEGGTFIELQDPEWSLERWTVFFLYEKKKGFSEAVSPYLFMGYSTVYRYFYYQST ASSRQRPKNQLISNEAKFDFKLPLNNISFNSLPCRSRISQFIILPPFQHGGNGSRFYN AIFDFYLGEHQTMEITVEDPNEAFDDLRDINDLSRLRTMPEFLALKVNDSVKIQRKGP VPRDILDLEKLEAIRRKIKIAPRQFYRVVEMQLLSLIPQGVRQSLLPEHEKGKGPEPQ THVYRLWKLWVKKRLYKHNKDMMLQIDKSERTEKLDQAVSGVEDDYARLLRQSELRKG PGRRVSATSETNGGSSNGNGKRSSSDDEVEDEEPAAKKAKIST LY89DRAFT_371777 MLDGLFMLLGLSVVMALASFLAGALPLSMTLSSSQLRLISTIGM GVLVGTSLIVIIPEGIEAVYSSGEAGHLHTRRSLQSRGSELKWVRSGVHTLMVSRDVE SRKLADIDSFNSVGPVIPDGLEIKAEIPTTTAGEAKETEAPKPGDTPHNDEEQHKDPP TFYIGFSLITGFILMFLIDKLPRHASEHIAPPPPTRHISLDNLSQGLHSSSTISTGDE ESESFLQSLAPTPKQTRSLATTTGLVIHAAADGIAMGASASSSNTKLGFIIFIAIMVH KAPAAFGLTSVLLKQGLSKRAARGHLIIFSLAAPFGALTTWLIVNILGGDHMEGESGQ WWTGMLLLFSAGTFLYVAMHAMQEDGSHEHSHNNSNGYTDGGGAPRTKPKPQMKDTLA AVGGMLIPLLTQIGHHH LY89DRAFT_371793 MNLHRGSVTEDDVAGLLPVSMQQTATARTFLQNVDFVLKAKTEM LGGIVKKFAASMVIWLLSTPDFITTNEDGSPRWPFSTPTERLAFINAMMRKYVILMPA FLYKVSPGLDLQWVYGMDSKATPEEIILRNNMKKEMRVRICQWLQATFQGLLKVNTSL RKDAAASLQYSKWLRAQLPACSNNQDTGTVDLDDWVVRLDVPKIAKQGPWCHFQIDAD LPSSPSLLGTHSPQHPLSDM LY89DRAFT_743224 MPDPRYLVNPYSTVGDPWPNDEESTPHQETASATPDFTQRNLRL VIPSSPTSKKSTALSNYCVYESGYSTDDGEDVDVTLSELKIDSEGEESNKKGAVFEEQ PISAPSNLTPSIVPKGKELDSLGLQVLGKSLDEIEFLPLDHYPDEDLMNPQAHRERLQ NIHDYASRNSAAAKLSGSLCLFKGNPLQFHADQMAPTSPEDHRSIKDQLAKGDPQTLT LDPSSFSSMKTFLERCSEITTCISDNVSQLRRSNFCRQQLNFLQLDRSRPNVAILRPI DLVTLLSLLRAIDRSCGIYREKEVQESHLDNIHKCLSSLDLLCGDFFAGAFSLDESLF PGDHAYTLLRTLDLIIVSYAGAHVSQFDQCCRKKLNPSDRIGILSPAPDECARRGIVV HRRTFLCLDGFLGGCEAWVFHDFEACQPNSTKLYLRTRPESFADIWGPMWKIVGPDRE KSTIRYDLENGSIIMADQADTITLYPSVDPIVREDEDLSHWISDRQLRSMACNRPSIC KPFSHAANLLVGASNRFSLRDNTKACHCTSARANNSLRKDGFICPFGATRTRRRVDSE AVGINIGGGALGPGVSYAMTTKVIPGRSWKEAFIKRWTLERTARNVHGLSRYCGIEMS YCTYHSRRVRIIDLFGTKTISSLVQAIDPVEDGSTKDEIYGALKDDPESLVALYKQPT TRKGVGDLVARCLDLLSLTGTTLEDDAPLSALWVIDQVENIVEFPRRYYRWCGLVQDC RDNCAFVVLEERCLISEFRRLCQNSSSSDSALPIDVYPPILETALVINDRKTLPQGMR LKVTVGEKRSLWWNVRKLEKGSFSVGPHGSLEVVGPLSSREVLMNWNGATFGASTMKY LTRRVLRKDPDKYHNERMFDELDAEQASMPTFITSV LY89DRAFT_767136 MSHSTDASLPKVVSEFSLNISDPILNKSNADLEMSDTISPAGGV ADGDCSVIGTSAVAGEQEDPANRYEGPLKARLYALDCYKDFIERSEKLCYGEYADKLK AHVLLMRVQLKLGFEQLESEHLVHKDIEKEVIEYFRQSNAPTGEHTDPLLYKMVSRQI EKLELSKQTAVSPSEGISQFWNIFYTGLPDPMKLPPAISARGDRMEE LY89DRAFT_371846 MGSWSEPNIQNALPQYIDTIEQVRTGKARPFHGLSTMSGIFKTP HSQPVKVNTIGLQGDERVHPPHQSPEKAVMQYASQHYPFWQKELPQSAPLFKKGGFGE NIVTQHEYMSEENVCVGDIYRFGEEVVIQVSEPRAPCFKLNHRFQVKDMSKRSQDANK TGWYYRVLKEGYIKAGDEIVLLERPHPQWTMIQVQWYLYRERKNAEVMKELAYMKELG EETRDVFLGRLQKGVFREDNDRLIGGEENVLKWNPYRVISKRRETPRIYSFTFEAVTP HEPSTKVQPGSHVRVKLGEGSKLVRAYSVVGGDSNRFELGISLEEGSRGGSKYLHEKV KVGDILPFSEMKSDFPLEEDADNHILIAGGIGITAFITSAQAMKTRQLKYKLYYAVRS SEDVAFSSLLADLGDNVHIMDKSKGQRLNVSEILGKGDFRTHVYVCGPERLLDAVKAA ATTLGFPQSNIHSEAFTASTSGDPFTVDLAKSEKTLDIKQEETLLDVLRDAGFDVGSS CEVGNCGTCRVGVKSGRVEHRGTGLLDDEKEGSMLSCVSRGIGRIVLDL LY89DRAFT_631798 MAGRFVRASKYRHVFGKPTRKEACYDNLHISRNAWDTNLVKANP EYLAVNWEASGGGAFAVIPVNEKGRLPEQIPLFRGHTAPVLDTDWSPFNDRLIASGSD DGKVFIWQVPQGFTLYSDAEEPQDVAPVSKLTGHSRKVGQVLFNPAAENILASASGDY TIKLWDVGTSKSHLTLKHGDIVQSLSWSANGSMLVTTSRDKKLRIWDVRQERPAHEVP GHTGAKNSRVVWMGEHNRVATTGFSKMSDRQMALWDVGSPAEPIGGFAVLDSISGVCM PFWDDGTQCLYLAGKGDGNIRYFEYENDKFEFLSEYKSADPQRGIAFVPRRGINVHEN EVMRAYKTVNDSYIEPISFTVPRRAEVFQSDIYPPAIGLKPAMSAEEWLGGKDGIPAK IDLESVYEGNAPVEVAADYKPPTPAPAPSPSKAPAPKKEEPAPTPIHRSPPPTMSEQK GSIAAMASKFQDDDGADDDEDETSSFEEISKPVQRSIPAAAKVESKPMSPPPTKTEPP KPSPVAQATPRQPPAASPTSTMPKSSGPIAAAGSSNGSVDETLQQIKSILEAQTRTIT AQSEKIGQLAQEVDTLKMKVGGGSPDQSERIRQLELELEAARS LY89DRAFT_691994 MCGVLITWCIGRNNNGPIGTDNQVRGEAINTRVVKSNPVNPSPD TKSKSKGEGDGIAQPERAVYPKQEGNSHEEDSRVKVGTEDPDTSLHSNEPKKSSHVCD AFSDFTNSLVIRAGH LY89DRAFT_691995 MSEKIEYTNLPTPPACVADFCLIPIGTPTASVSNEVAAVQRLMK ASGLSYSMHSAGTTVEGTWDEVMRLIGQAHTLVHQNGVLRVQTDIRAGTRTDKKQHFS EKVTKVKSILAADGKA LY89DRAFT_631807 MSPLPSGPFTLHGGCDCTAVRYTISVPAGTTTPSEENRLLKIFF DHCNKCRRVSGALVQAWLSCPQEWLEWSVGSTGPLPSEEKVRTWVTTSTKDVLKSVPG ASPVANYASSPGVTRTFCGRCGTNLAYFYAGKGEPEATPMIDIVLGSLDTESLEMEGM RPDRHLYWESGINWVKELVTGGDKSLALGEEKLPRHPSGSRLETC LY89DRAFT_371883 MANINYEEVYPTHISHLESPMYSHNASENQQNVPPEVVRDEVGL EHDPSQAQNFISDKGKFQELVASVPATPQYARDDKMAMAGEDAGPSEPRKYCGMSKKM MYAVSALVVIIVIVAVVGAVGGAVASKKSSKSTSVSPTSTSSATGVSATSSATPSATA ASSAKLGAIAASNFQDDKSYLSVYYQTGSDIMYMIYPSSGSFSSLQNLTLSTTPKTGT PLAAVSVNGTSGAELSLYYLDTFNNIIEATFSSSLSSTTLTSNAITVIALNSTVSPLT SLAALYLDGYGYRAYYQNTTGYIYELVNGGSAWKAGSKLSALATSGSPLSASMVTVPH INIFYIGETVDELYNIAYDSSWLATKMVTSGTLTSWNSSISSLASAGQSDPNILRTYY IGSDEEIYEFGIESNSTWTTMTAAPDQSPHWSTSDSVGPGAIASLGWSDQMRLYYFHG GNLRQATLDNTTWSVQDLVTV LY89DRAFT_726043 MLQKKEPSSAPMAEKTQYANTALDEIPEDGVAQNQQLQQMSQQK SEVESRPHYRTYDNGRSYPIRESRIKDRPASKGAREGSISIKIELDLEVEVDLYARVK GDVTIGLM LY89DRAFT_678194 MSPTGSVQAIPQGGFGGFGSSSAVSSSTVATATTGSSSAASVSQ AAESTSTVACNNSPELCNRNYNNITHMGAHDAAFLRDSSTSFSTSGNQYYNATKALSA GLRLLQAQVHNSNGTLELCHTTCTLLDAGSLESWLADIKTWMDDNANEVVTLLLVNSD DEDASTFGTAFEASGISTYGYTPTSTTGPISTWPTLQTLITANTRLVTFIASITYDST YPYLLSEFDYVFETAYGVSSLSGYNCTLNRPTTLSSASSAISSGYMGLTNHFTDTAEA FGITIPDVTDIETTNSYATNTTGTLGTQGAQCESEWGTKPTFMLVDFWNVGPSIQTAD NLNGITATGRTNVSTAELTSSSSSAGVRRDAKGWCGIAALALGVVAVGNFVWL LY89DRAFT_631812 MGSTARSASTKVVLVPWDPDSRAHAERMVQQRIACGWHQDTVPK WQIRQREGKKNLQWIVIHVEDANCEDYLAKHLTAHPQESTTLVDTAASLGGKTRQPDS TVEFVPVGHISLDTEYESPEYNDPSPGTYFISTFYVSQALQGSGIGRGAMDIVEKTAI SEPLCAKRLALSTTDKDDPLRVAKHAAYGKPVPKVTNQDWYERRGYEIYRKVENMWSE VDPTGKIWQAPAVFMRKEITS LY89DRAFT_790008 MADPISVLGALSAVTQLADQLARVISAAINVYKRYKDPRSTFGQ LSQVEQLVQIAGVIKSKPSLQNSAVQNVLANCLQDAEKLRDILDKIVVIDGTDSRRRR IKKSLAAMMKDKEVMSILNSLERDKSALQLCISVIEASNIADISAITRGTSSNVDELL KFFPEIRDLINNGLSKLSKLSSTIVSDDTLEPTNYFLVPNGRLVSEFVGRTDILEKIE SGFLAPFRRGSRIVVLHGLGGQGKTQIALEICRRAKAKGTNAIFWVDASSAHTVKKSF KTIAEATKRPHEHVPDDAIDMILSKFGDWPLSWIMVFDNYDDVRGFDDIGDLIPNGAN GCVLITSRNSESSQLASTSDCSIELLGLSEKDALEVLFNNAKQQANEKVLDHAKKIVH RLVYHSLAITQAGSYIRQKKIHLDQFLGYYETHRANILRHTPQLTRYRRHLSEGEKET SLNVFTTWELSFEQLLHLPAGDNKAALLILLAFFDCKDISEELFIDYTDRAQRNPWCY DWPVPCLEYCLGEELKDEIPTPLNALLEVVRPWDGDLFIEIVSELAQLSLVQSWSRHD ENICQLTIYPLVRDWIRLRTEPDVCNKFCIVSGKVLAATLEAHSDGYFFHFSLPATQA LFSHIEVYNENLEILEARHEGTKDWHHERLDVVDEIIGDFLYRSRQNDESSVFAQRVL DARLRELGQTHSDSLRAKTQIATMLSADHQYEQAVSIIREVLETQEANLPPDDPEVLK TMISLSWALQKTSNTENLGEAEMLARTIVNTRLLELGLEDEKTLKSLHHLANILRKLR KYDEAEGITRVVMDVCFEILPPNHSQTLCILHDFILVLRAQEKYEESETVLRECIAGQ DMGDENPERYFLLGTLAFILRKRGKHQEAVESLKQAIAGFGKILGPEHQTTLRYSKKL SKLLGEIIGNGQNTAPSAENTGSSISSEEVQLTQQVRIGTALFIS LY89DRAFT_743236 MEALPPVSGWHTSLSVTIFVVCVVFAILTILFTVLRFIARSGKL ATYGPDDWVLLCAAILSVAHAIMTAWGAGYGSIGTSVLVMSPLQISTFDKLLFASEFI SLAALALVKISVLLFYRRLFSVTRFPIIANVVIGIIIAWFIAFFLVMLFAGDPISDSW THLGHPRYNVAAMLVASSYTDIIIDIAVLALPIPLIKGLHLDTKRKLSVVGIFSLGLF CVVASSVRLHYFVQFSHLYTGENYDGFSRTVTLIFLWSNIEPCCSVIAACLPTLAPLF KDSHFGFFSRLRSYFSSRRSLASSTGEKKATLHQQQPFGRNSDSSVSNSSMPPRADWA PKISTSAYASAAPREDFDLERQEAAYGREIRVKSTTSLY LY89DRAFT_790009 MKTSILLFLALLQVFALAAPVPSAEGLIDRTASPATLEEEGCDE AKAAAIAAGADPDLADVAYPDIYKRE LY89DRAFT_661012 MNGSLDSASELLLSKEDRQLSLEDDVDRQSKVSQSPRKFFWIFF VAQALLIALYTIGSITIIRGLSSPTPRPSPPIPDLTLSYATKKFVSLQDSPFAGPPGA EVDHSWSNLVQGVNLRVSADELAVSSQSSVPLPEGGGHLAWLSVYHEIHCVKMLRQWH FRHHYFANITEKDELHWTSHAEHCLDLLLQTAICHADTSLVTFRDNPHTCVDWDQFTG SLRERVVPEEEMHRLRNPLLLFPDV LY89DRAFT_601883 FIHNETFSEGPSERSALAWNEMFPQRGGYFSHPVIAPERSTMSV FHQLHCVDMLRLGFYQFYDAAVSGVQIMPNDPQMKYSPAHMRHCIDLLRQSLMCLADT TIEIKEGPRGAKGFGTTHQCRDWDQLVSWTTKWQLEDTMPPKGVLGA LY89DRAFT_631820 MSSASRLSELASIISENTKKLEEYLASINHPLPSLDPGAPLALM LPEEITVYQETALDAATELRALLLGPIGILQYQAEHNLLIGLQAINKFKLYDFPPGEE RTFAQLADQSGVDEDFVRRMIRQAKTSYIFKEPKPGVVAHSAASSAIGMVPLLSQWLG MVSEELYPAASRSIDAQIKWPGSQEPNQTGFNIAHNTDEPTYKAISADPRRAQQFADA MTLFQHSPGMEPSYFVNNYDWSGVSKVVDIGGSHGIVMQELASKVPTIECVVQDVAKV VEEGKTQVPKEISDRVVFMEHDFFTPQPVKGADVYFLRWIFHNWSDKYCIDILRNLVP ALQKGARIIVNEFCVPPPGVLTPFQEKPLRSFDLVMLELFNAKERGGEEWAALFHAAD EHFVFKGVQFPKGSKLAIIEACWEGEDSS LY89DRAFT_790012 MASVGEGTTREKEDAFLLTASFWKRFVVVLSISLSVAGLILSAI ASLMHEDISHQRRLTISGIIINASSISLSALTSLDWKRQKTHDRVFADQLCRIAHAQV DGDGQIVIGAKDSKNLS LY89DRAFT_790013 MARIPAVKRRKLTPPRTDGEDSSPSTLEHEPEQSTFFQQASSWN LEQDYESRPRKGRKKEKESTRLPIKTFEGLVQQATPVEDVDSDLEWLGAEESEEEVQE EVVKKPKVPVKQQILEAQEEMARIALMVTQEDPEEHVGAFKVLSQFGQSHNITIKKLA LRVQLAVYKDVIPGYRIRPLSEEDMETKVSKDVRKLRAYEQALVGGYQGYVRALAKLA QTSGGSRDGGASLASIAISCACALLVEVPHFNFRVDLLKILVGKLSGRRVDDDFVKCR ETIETLFQVDEDGTPSLDAVSLLTKMMKGRGYRVDESVLNTFLHLRLLSEFSWKASTN YIEKPKYDDDSGGKKIKEKRVFRTKKERKLMKERKVVEKEMKQADATVSHEDRDRMQS ETLKMVFVTYFRILKMRSPNLMGAVLEGLARYAHLINQDFFGDLLEALKDLTGHAETG DDVEDGDGDGDEDTETTRNLTREALLCIITAFALLEGQDAAKAQASLSLDLSYFITHL YRTLHALSLNPDIELSSKSLHLPDPNDPSSLTTSKNKVNIQTTTVLLLRSLTSILTPA LAARAVPPLRIAAFTKQLLTSSLHLPEKSCLAMVGLLGKVTKTHEKKIASLWNTEERR GDGMFDALGGEVEGSNPFAATVWEGELLRKHFSPAVRDGVKVMEKNILGLR LY89DRAFT_790014 MAQTLIDTSFVFINRDAITSDWKFTKTGKFLLHISALPLAEHAR FKVWVKAYGEMPFLYGSHIVKAHVGRWSEDCPEHQGMVVYSMNDTRLGFGVTARSTQE ARRLDPTGITCFRQEDCGVYLRDEDTLFATS LY89DRAFT_692002 MLRPTTSKCLLCSFRATQKTGLSVFQWPIQQTAGLQMKHKPNRD NGRPSRMSLSPARTVESSNSKSGQEKPARRRQDGPFGGMNLKVAGIRGVPEGGRTERK DGKKERGFKALKMQRALAPVSYDQRVKIRARIAQVDSFDNFDLLESVKKSILTQALQG MDDLTPTPVQKIAIPALLEEKAARRSKKAGMEQFLIAAETGSGKTLSYLVPTIHAIKI AEAEDAEVEEYEKALQEEKRRQNDLTLVSPPLSNMPHPTTGRPRAVILVPTSELVTQV GNLVKSFGHTVKYRSGMISAQFSGTVIRNRLFSPKGIDIVISTPHLLASIADSDPNIL SRVTHLIIDEADSLMDRGFAPLTSSIIDRSTPSLKQLILCSATIPRSLDGYLRKRFPE IRRLVTPNLHAIPRRVQLGVVDVEKLPYHGKKDLACADTIWSIGKAAADHDGPVKGVM DVKRILVFVNEREKTEEVASYLVSKGIDAIALNRDTSDHRQSEMLASFTTSTPLTTAK DSHPGSLSDNQPNYVPLGQEAPPVKRRLPNVKVVVTTDLGSRGIDTLAVRHVILYDVP HSTIDFIHRLGRTGRMGRRGRGIVLVGKNDRRDVVAEVKEGMFKGQALI LY89DRAFT_692004 MEEHNGSTTRTPVWLPIVFVAQFVLAVIILGLSAYIIHGLYFDT MGFTIFTSLLTWFVVVYNFTTIYVGSLKRFRIQYAVLGIDAVMMIFWLSAMGASAALR ASFKYSVTIDGCYNDGSLVNSSTCVVERGMEKRAAVATSTGLSVLSAIAGLSALEMLL LFGCLVFNVLEWNRSRKQASTTADTPQKEHEGYQMEASQQVYEQSSQADAHHESYGQA PYGPQPIPLHEHRTSIQPPTGYQPNLPAY LY89DRAFT_569344 LLPVPTQDPWFSPPTGWESTAPGTAMKVRYSPYRNISIGDCADT FQVLFRTSDTNNNASWGVTTVFIPASHTECSSTQPQNCSRGLVTYEVPYDSADPDATP SYLLQYGEPYGEIYELLKRGWFVNTPDYEGPLSSYCAGVQSGHATLDSIRAVLQVSQE FGLNASTARAAIWGYSGGALASEFAAELAGVYAPDLKLAGVVQGGTIPNVTSAGNKMT SKDTAGLAIAGIIGITSQQPAARKYLDSVLRNTGSFNSTQFYTATTMSGSQVLVAFEN QNISDYFYNGTEALAAPVLVDVYNDDAVMGHHGVPNMPMFIYKAIHDEMSAAAETDLL VDSFCQQGANILYHRNRVGGHNEELWQGRGRALAYLSAVVDGTDEIDYPKTGCSIQNV T LY89DRAFT_692005 MSDGFLYKPEWQGLLCTQCGVCLRPGRSVWLRHLRQKPHYLRGA PLKALVELFATYGLLVPEQVAVPTQVVAGLRLQDGF LY89DRAFT_372159 MHTSFAVPKNAESEPELFLMLEVIDEIFSEAHSWCFDGPDYMLT WPRQLALSRFHTGAAPGQKIRAFDPKKEPNTLKTNFGYWKQFLTFCYRVVYRGSHFTT ADDD LY89DRAFT_692007 IGEILGWRLLLFTVSKEVVRPHQAQWDIDEKVLTYRNVDLHIDH MPRLLLSDF LY89DRAFT_692008 IVPLLGYNPNVSLNLFGIFVVSPIVNLKAPNVSCKLSFNDINYY LPLVGYV LY89DRAFT_661027 MENKYNEKLDIIDGSVAGVPTVEYGQVLEYPNEDVKEHRGDLHR TFKARHIQMICLGGCIGSGIFISTGKALRYGNYTGMFIGWTLICTMSWTVMQVMSEAC CIFPTSGAFVDHAARFVDPALGFAIGFCEWFGWITVVAAEGAVFRVIISYWTELIPTA ACMTIYLVVVFAIHIFPNKMFAEFEFGTAAIKVVAMIVILLTCIAIVAGAGSTGSTHH AENYTSLPAFPHGFKGVAQSFLLAAWSTGGQEIMGITNGEADMPRWNLPRACKNLLIR IFVFYLGSVTLITVLVPYTDPRLLGTSSIAASPFVIAMNDAGIKVLPDILNVVVLVGL CAIGAESLYISSRISTAMARMHMFPKIFGRIDTQGRPYMSLLIAMALSTIMTYINCSN TGAVIFTWFSSITATVYFLAYMTICISNWRMRAAFKAQNDNPLTLQYAYKNKFFPLGS VFLFISSIFVLASTFYVSLFPIGAPTTAENFFETFLCVPLFLVLYLGYKIVYKTKFVD PKEADLQTGRRPLTESDIAFLEAYYSQPWHKRALTYLTF LY89DRAFT_372228 MACSSVTRGRYSKSAQTFDVWDRRIMRPKVGRNQESAASLLRVL PHFNIVTYPRLSTRKFDNITNPSQGGHGQGQRRKIFTMLVSDLLSLYQETTAQIFRNP KFTPIYACCKALARNRRVTMSGVGLSTMRMRCMEIKHKSAHPGCRANLQSFLRLTFAN LL LY89DRAFT_692011 MSVATATSLRVIAVSIDGSLGGPIRNPLRSVGGAMMGRFLEDRQ RYDAIACGVPTILKEISPKQDIESTLKTLRTLAPQASGTSAKRNEQRDGGDSGVDGGF QRPSYSRCGGGQS LY89DRAFT_372318 MLRRLPVKMLGKCFSALYLAGNPHETSHTIKMAPNSNTSSLENL NGSVRSTHSNHTYHSIGLARTTMSRAAGSAHTHANRHHTYPAPVAREYHYADNSAAGH NLYHSNRNFNQTAVMYQEPAPLNQLYTNQTPTRSQTYLLPAATYTMETYDSEISLDEE PDNNTETVPSQPEGSVSDTEQMSIADGSQSSWNATYGIYEHNDTEYSPSRSNTDHGDG EDEYYVEQPDTPPERETYSTRPHSPTTQRYLQEDSPQERIVLGLPRQSRREERRAYER SIRRGASRRETTRRR LY89DRAFT_726057 MVREWMNDPYSYEPNFVNKSDEDQQAMILLAWVFRADSKHQFLE HGIKRLFDRSTNESLPAIPDNWPLPADMMENIAKARHKAIMDLCSLAYKYVNYLKDGQ SDICPTHNQKCKVIIARYFMQMLGDLYLRKTKTFQKSVHVIFHRPDYLSITFVKLEQK FLTCDEAMQRVFNNKSIKINNQIWKRLWESCRPIEQANPPIAVETNRIEKATGEWRKW VKRERGEEWSDY LY89DRAFT_569891 LNQDWIDLELIKQWTAQCVNQHGRKCDSPLKTPHVAPAWLIDTV SMCMVSGESISEFVALSYRWGSSSGFRTTTDILEDLKKQGALMQTRFADKIPPSIKHA IGLVSAVSERYLWVDSICIVQDDEVQLGQQLELMGLIYASAKITIVATNGDATDGICG LNGISPHRRLDQKSFSVFENEQVILSNNPDFRSGLSPYFDRGWTFQEFYLSKRRLIFA DRSIHWQCWHATWHEDLIDDSSHDVYRESDFLQFRNILDGQPDFDRLGRLLTEYNDLE FTYPEDALPGITGLLNILSRSFEGGFLYGLPETCFDSALMWCRMMPSDLDRRTNSGKS RPLPGSHLPSWSWVGWKGFGISIIKEESFASAQRYARTTPITQWYTHETPHSETKRAI RSTWLETLQNLNKAESCLAQGWIQETYNKEIHGPDVGLPPTGLVQYIYRHSTIPDRYF WQPVFIGKVENQVSRYNVLQTPYISCHTKRAWLTAHRFAMSNFTDHDITYHQHLMNVR LANQKNGKYCGTLILHTEDDISYFSEADSEETLEIEIVAICRQELSFFEMRFDMEKEE RIGKHVYAVLWVEWIDGVAYRRASGYIKKERWEEHELEDVHLVLG LY89DRAFT_602230 MASKSLSPTEALAQPLTLPCGLVLPNRLVKCPMQETLAAAPLFD PPIDKFRNLYGQWAQANYGLIITGQVQIDIRFLSITGDVVCHPDSLSTPHLEKWKEWA QIAQSGGTPCIVQLAHPGRMSPVGAGNRPADMPPLCPSSVPVKLSDAWLEKMAIQSLL GTPKAMDLSEIDHAVEDWKRGARVSAAAGFKGVQLHGAHGFLLSQFLSPHTNRRTDEY GGSPEGRMKLLKRLVTEIREEHPAPFCLSVKLNSGDYMAKGGLSQDEALEQVRWLVSC GMVDFVEISGGNAEQKKSGLHNSFVEKSLSKAPKIKESTRIREAYFTEFAERVQQIED KKCPIQLSGGFRSRTGMADAILSGACDLIGLGRTAVMEPDIPKRLLLNTELNDEEALA LPHIVRGQWFSNMIPVKVVGSGLPIQFFYLNMRRLGNGLKSDPDKSIPGLVVSAIVDT VRSGFVGLVEKVMDTLGSFSKVQKIE LY89DRAFT_790024 MPLETRTQDYSTATQGSVFLITSDGRTLQLPIPSQSQHDPLTWS KWKRFRALCAISVFTVVGLVQVQGTSLLLDELEHEYNAVEIAPLRVDTLSSVPCIFWG IGALTWVPLSLVIGRRPVFLICTILLTFSTYLAAVSQTFYVHLLARCLQGTAGAISPS TMILTVIEMNFIHQRPRYIASFWCLTTVFSNVGLAVTPYILSLGTWRSFYWIWLGPCA LTIFLALFWSPETYFERPAMAFDGHILSQAENGRVKVYSKWEDVPGGKPMPDTPHTWK TSNIIKNIIFWNRTKMGGWPAMKAFPRQLIICLFNPLIFWVLILNAFIFGSMVVTLAT YVEVLMAPPYNFSFNAIGLVKFSPAIGALVAFPVSGFLTSWMVQRLARGNKGVREPEH YLPSFIVPVLTSSSSLCLFGVAMDRHWSSIWILVLVGVNYFSAISIFTANTLWVTEAF PRWAAPAIVVVGAGGYAVSFGLSTGIFGWIQSQGLSATYIELGMVTFAVGLVGLPINF WGKQCRQYIYKRWGDNDDQ LY89DRAFT_767200 MEDGSKVHKGHARLPRLQHNIRGFNWPPSSPDLNPIEKVWRWMK EELKNLDYVPKNKVDLKRELQKLWDRVDPRDFRHYTEQLTYKIEDVIKYKGMATIN LY89DRAFT_767202 METPLILSDPIEKENNEWPTPARAVIRSLQRQGKSQREIVSETH IPRRTVRRILKQESSRRERKRKLSRHHLMSIRDIRYCIRTISKNWSSRRMTFKALKKQ LPYLPSVRTIRRELARAGYRRCIACPRPYITLKQARKRYVFAKEHRW LY89DRAFT_726062 MSSTPSLPKPERTLIGGSLEIPRMVSGLWQLAGGHDEHIDFAVA VKAMDSLISAGLSCFDMADHYGDAELLIGEHHTRSKLNIKAFTKWCPPENGITSFENA EKAIDLAMSRMSQRHIDLMQYHAWDYTDDTYIHNLNHLQRLQKQGKIEHIGVTNIDAA HLELLIDCGFTIATNQVSCSVIDRRAARGRLSSLCMQHGAKILAYGTLLGGYLSEKWL DQPEPQDLPALNWSLRKYLRFIHAAGGWIAYQGVLKALSTVAKEHNVTIAVVATKYVL DLPAIGAVIVGSRLSGESGKYTASNLAAFSFKLTEENLSLISKAQESLVDIPGDCGDE YRRAPYLTASGDLSHHLEKSEQDNKIAKAIREGRRIEFSSGSKWEPIAGYCRAVRTGN VIRVSGTTANSPIASIPVLGGASARSQTVAILDIIARTIQKLGGSLPNIVRTRIMIRH AEDCDEVSRAHGWVFEWVGIRPANTIVVSGLIGSEFLVEIEAEAELGFGKVLRI LY89DRAFT_692018 MSLSIRDQLRQTARAYLDAHNNKDLDNIRALCDETCVHRNGPPT VKSPDRNNEEYIAFNAEVFKMLYTYLATIISEVVDEVTKTVALGLEAKATADAGVYEN EYIITLKMTENGKKVVDQYDFIDSQRMIEWMNKMGDFAEKTWDKK LY89DRAFT_726064 MSPVVTALSLALVVINIKAGTPDSSLCSSIWLTVADHHARPPPE TYVLSSETYTLAALPGQTFGLIPPNPPITNLPGPIPSYPNFWNPTVVIIPPIVTTPSL IIPTLPPILQSLLISKTPTSPNPLPTIHPTTPVPPYDRTTTQLAGTRVSTQEPQLAQT SSFPDPVSCFGNICPAGECCSQYNYCGTTSDFCGIGCQPEGGICWSPIQSSSLLAPLP ALTSTTPTASPVPPGNAACDEWAAPDAGVCSIPDQSTPLANTPAPSPSPIVSKVPPPI DMTSTIIVPSSTPSPSPIPRPDYLNCDASYTTPQSGTWHEIFFGKGVTESPVNPGNPN NTPPVIGSGYSMDLQTSITYACLVVEYCLEFGYANILESIDLHLVEVSGDTVYWECVG YPKNVGSGNYFTVSNSSVLVTYGYDLTLPVASSAAVDIIPATSTQAPPLYLPSSTALG PSPTPCIAPTALAYHNCASTFTPSNDEGNSWNQVFYGSCATEDPNNPGNSDAGVYPPL TQEYAPGPIALMQDVSCSILDDCTNWAYGQYYENIDLHLLQDSPSEAHWGCVAYYSKA QGNYFDVQNANVLLAFGYDFVFSG LY89DRAFT_602331 MSTSTPAVPLAVNAAPLPSHDVKPLSGVEASKRLAAYKAVEDHF DTSYSYIGIGSGSTVVYVVEAIAAKGRDVTNKMSFVPTGDQSKQLIIEAGLPLGSIDA LPPVESEQTKMGGVSALHVATGVQDLGLKGKRQSLDVAFDGADEIDDDLNCIKGGGAC LFQEKLVATAAKKFVCVADYRKLQPRLLTSWKTIPIEIAPLAAPTIKRILITLGSPDP KIRQGGSAKAGPVVTDNGMWIMDAPFPPLLLASDLKNGDKGDGEHGTWEVHNLGRRLK RIVGVLEVGLFHGRNGIQVAAAGEEGGGQKPIAAYFGMENGEVEVRLAKEVHGVKSRP LY89DRAFT_726066 MTEIITDPTLPILHGPSDKEKKYDRQLRLWAASGQKALEDAHIL LLNSGSGTVGVETLKNLVLPGIGQFTIADANIVNESDLGVNFFLDEDSLGNSRAECCV KLLQELNPDVKGHWLPLQETHTVQNLLENDRKYTLIMYTYPIEPQDLALVQEYGNKHK VPIIAIHSAGFYSYFRTHLPGNFPIVDTHPDSTATTDLRLLTPWEELSKFANEFTSDI DNLSAHEHGHIPYLVILLHYLEKWKAEHGTLPSTYKEKTAFRATVSAGARTNNPEGGE ENFDEAAAAVLKTISAPTLASSVKAVFDYKPNEFEAKSSFWIIASAVKKFYEKNKQLP LPGSVPDMKAQSTVYVRLQNIYKKKARQDVAEVLAAVRALPGGKDIDEGEVETFCKNA AFIKLIHGSEASPDNITTIAEAEAANDETAAMVGMPLSLFPIYLSLKATSHVPTACTS DILAAIDKTIPGASSNPRLAQVAEEVTRAKGGELHNISALTGGMVAQEVIKIITKQYI PIDNTCIFDGITSRTQVLRI LY89DRAFT_661055 MPPSLGSSKMFHTFQGLAPPRPLAEHNQEAPKPTTNGVSKRITT PHACAECKRRKIRCDGRQPCGQCLGCRSPKPCYYDKHRQRVIPSRKTLDALSQSLEEC RSILKRLYPTRDAQSLLPLSRSELISLLDRTGPHSNPSQRSPPGSNPSPSSQEFQSPI ATTITDDDRSLAHLEQIPSRDTEWDEDRRGRDPIPAEADDVNALSLSVDRQTSYLGAT SIKAAFLVMLKVAPVLRSFLAPNNSNKQISSASNYPTPRPGSSVQKVHSSILWSSEGQ TLIDAYFNRVQIFIPMLDEPSFRADYLAGRRNDSPWLALLNMVFVMGSIVATKSDEHN HITFYNRAKEHLGIDTFGSGHLETLQALALMGGFYLHYINRPNMANAISGAALRMACA LGLHRESPPEPGNANAIMIEQRRRTWWSLFCLDTWASTTLGRPSMGRWGPGITINPPE GGLDPNVIGQHTGILPLIENIKFCKIATQIQDVLAQSPLMRPEDRQNLDRQLVEWHDS LPWILRSTEPCPESIYTARCIMKWRYQNLRIVLYRPVLLNLANRGSEGVVATQEELEA ISKCRAVAKQTIEDIAREWTPNQMLGWNGVWFMYQASMIPLVTMFWESWNTEQVRDCQ AQIEVVLEAFEGLADWSLAARRSREVLIKMYEASKRPLTRQTSPRLGPMMVNGNGNVP GIMLTNGVNGTHGMNGNGMVMMNGMNGHMMETNEMQPMEMIGEDGMLIMDHGGVWDLD GMLWANLPDGLDMPYDGLPMDYDDGMIGYEGNYMMHQ LY89DRAFT_692022 MGPSPFFSPSSPLHFSHSRTASSATFTDPPSTLHPAPQTLVRNS NPTLERKVTFFLCLLMYLPCLIIESAGPPLALPSPHHITRTYSKPSIMMYRSCT LY89DRAFT_767219 MEFVLRCNVLKCRKELNVSAIVTTCSHVFCSDCANQCQLSGQRD GQQRTCPACDAQLPNHDDVAVTDLNPTEDYKTSVLSGLGPNIIMECAGRALSFWAYQS TTEIVYQEYLAKNLTDKFSTLNAQMDKVIHDANSEISNLRNKIQNMQVDQDTLRRRNK ELAQAFREKSKKQMQTQELYDKLKRRSLLGQVQDAASDAVDHTVQASVISSRFVDRVG NQPQNQAQRPTPPLFQHQSASSMQHPGGVGVGGTNVGPQIDHPRRVENAWAGFSSQGS NPRKTK LY89DRAFT_678223 MAGQSQVRGLRRVNKSSNLTVDIPKLSWGNAFFQATVVAEPVSA TQHSPLVSSIHASSTEIAQQANPRDHSPIRMHHFDPVSRQDPGLGSAKVSHRYATVID GVVRSPGGALHAVGQFATSAITTARSRSLHRPSRSRGRSFWTSGNRPSISEPSRPRLV SSVADPQALEQPLVRHTSLTLEGREFREQSTTSLPRLGSRHDVDTPDPLRCHPAQPGA DVNEYAPPSPVHSSASYHATSQYLTTSQRWKRSYNQEHRLCMDLQTQLATATTELEKL RPELEAQRTRAQHFENAEAVASKRSMAPLLAMLPLEHSEKIAFLVKRNDVLEEQFIIA NGARIRAEAISAKETKACDFQMQISASKSDRIDALYQQLDESQAELKTYKQRCEDLEA AARVPREAIVEEEQRNEPSFPTIKISDIPVEEQLNGPSPPTTNTAELPARSFSVMMRR MGRTQPEVNSSSNISHLLPVPSTVSRSPDFQPPGLTSRENKRVSQVAEMKIMRGSSLR SLWLPQRVSLASESGPKQEVPAVEAEMETEEPCAASSPFSAYEDPFPEDTAPIQVIVT EPFPAYEDPYPQSSTLYFHQSVSPSNQSFQPAQEEEDETSLYSTEPFPAYEEPYPAHQ EFEMFLQAREEENPTLPAQTERRGEDKENVDPNDLERLRKYSQYFSYGFNCGRAMVKD LY89DRAFT_661060 MVYAPPSYQAAAYRQLWAIVAPYVQDEDLYSTCLVSRQFHQAFA PRLWGSPASRFGSDSDTVYLSLTRFKRLLNRARLEVRRLAHTLHIPPAETEFYGGPQA GWLRDILDRLPALQALNVSNLSFFDHQSLQTIHSGCQTHTKYPLKLLVASGCLNTTAS SLSMALSHFPELIYLDLSGAQGSRNPYVLRQIGTLHNLRVLKLRNCGLRDDDIDHLSF TPRLRSLDLSQNFLTQRGVYKLSTLLPMPAPLRRETVDSLNPVSPFARRYSGIPLPAR VLSQGVENFVAGRLMRGMDGDVAIEEGLPKSFSHLYLASNYVSIDHLSRLIERPDLQY LDAGSLSLNQSQDMLSPKSAGSGSARFSNPPETETLSPALFTHAFRNLRSLRLHYSVV TSNPFSGKELAVEEQCFELHGEDLRYELDSTQVLKPGTFFELEDTSQNITQETESLQE STKEKEKETETEPDVENGTEIHATFESPDDVRAKVNPTAPMEIKGSSSVGREQNDAPP KPTAPKDIIEEVVQRKHRIEARERHPGRFKPSMLPNLKALTLTDVPSTTRRRNVTDSL TVFIHECAEEEELARLEEAARHHQAANTVNYDGTFKLERLVLEMTSLADPILPSRSPH RNNNNTPHNKRHSFTKSSTEDADSETFMSHSETDFSFFGEDDGGLLVSEGRIDAPMNF DEGMMLEVDDGHVLDVVSELASFRREKKRRFEALERFHGDRVQKALLGHWRGEIKIVK GVLTA LY89DRAFT_602348 MGGKEENEYKGEEQGTNPEFNLARGNHQLERGLQSRHIQFLALG GAIGTGLFVGSGSILSDSGPAPLFLGYLSMIFVVWTIMNDLAEMVTYLPMKGISVPYF VARFVEPSLAFAAGWNYWYAYAMLVAAETSAAAIVLDYWNAPVNVAVWITMVLVIILL LNVIAVSFFGEAEFWFASIKLLTILGLIILGVVLFFGGGPTHDRLGFRYWEHPGAFKE YQTSGATGRFLAYWHAFVKAGFAFITSPELIAIAAGETVDPRRNIPKAAKRFVWRLAI FYGFSSLIIGVIVPSNDKGLLGASNASASPFVLGIQRAGIHGFNHAINAAILTSAWSA GNSFLYSGSRVLYSMSLSNQAPKFFSTTNSRGVPYVAILFTWAFALLAYLNVSNTGAT VFNWFVNISTISGFIAWIVVMITYLRFRAAFEYNGLLHTLPYRTPFQPYATYITLFII SILTLTNGFQVFWPDKFNVSDFLAAYITLPIFLVLYLGHKVWCRTPWAIRVEDVDVLT GKKEMDELAEMEVERVPRNWVQRVWFWVA LY89DRAFT_661066 MDQVMDHDGPDAKVLDVDSQPSDSDSALGASLVSDTSSMRSSLY EAVEENGRTYHKYKSGNYQLPNDEMEQNRLDLQHHLFHLTFDGKLALAPISNPHVVLD MGTGTGIWAMEYATQNPSASVLGTDLSAIQPQYVPPNCRFEVDDAEDEWTYSSKFDYI HGRAMFSCFKDPSSVFKKAYDALQPGGWFEMQDVYFRPHANDDTVKGTRLEAWNAKVV EGAKSFGKDWWCTPNYAKWFQEAGFHTITERQFAWPGNSWPKGKKQKEMGMTMLANGL EGLSAVSLAVLTRAFGMSVAEVEEMLEGVRADMKDRRIHAFYPV LY89DRAFT_712269 MLFTNIFTASILLSSASCLPSSQSPLEESVANDNGPSPKYACEN AQHVFNAIHGAMRQWDSSLKHNGMSYFAATIPNNTLLYHGTGSKEPVKTMEWLAFEIE HAEMFARGRRGGPGRGPGRRPPGEGPPGGGEPGNGAPPPPFTFMEEDSNAADENLEQH GYLHTYRTSRALTKLLYLDGMSAGKTSMGTLDTQDLVLGDPSKSPGSNPNGDYGRAQV LCAIGAEAGIEGFIRMEAGFELILCNFTDGLEFVSAFSRPDQNRGPGPGMSEMAQFEY VRGVAARYHGIGGGRVAVDYSSMVSAFFYNLNLTNPDPKKADLPRLTSTNPSEREHIK SDVISLFSPTNSQHTVIDWQGITDMIITRYSDRLQFLASNNLTNSTMLSEINFLLNVY IDYTNPTISTAIEKCTNIYLTPAIPATIADHLIHASLSAVSRQICSTLFEVRTILLSE STEAVEKVNQAVNDLIEYLDWPVWLECGKCAWDEVCFVAIWPWGAPEDHEKPGCRGFD DLRSRRGYWDFGVYEIGFGLLICGREM LY89DRAFT_555345 ASDLKRRLLQDVAELQNNPYPKITLHVQDDDVTQVCLVLEPDGY QSMHLTVYFPDDYPLRPPRVQMNSDVQHPNIYDDYICASVLNTEEDYTPAYTLKSLAI QLLSFFSSTSIEQVHGSVVKLDRFRDLQSYTHGCTYLCSKCGHGTAGYGAAPRPTPSP ASIPAMYVSDSNVASPTNLTTIENLANELLVLILDHLDIEDLMRFAQSWPQIGKVITE FDVIQQRELQCFLLKKDYTSVNLGIGVDYHGTSKKIESEFDILSYEAFHTHQIRRSIQ GVTFTHWLPLAISPGHWSRVLPDVYSTLIELGAAANMGNVKPVEVIYRFMNDVVVKFN DQVEFSETATSSWHQYEQLLKAKSTLTHASEKAIQSYFHLFHLLLCLATEDPSIVEAA DKALLAFADGNVSKTTCPNLGDLLVASLISTVDMTEPLLKSILKEAIVRNVVWMFKNN APELSYMEPSAVSEYRLLTTFRATKTSYRILMFQNLFRRTAVTSPRKSLSQLRDEVFK RHGAPPP LY89DRAFT_767255 MARSLNILQFLFLATGSLTHSVTVAPNPVTGNTTTSTISWTDCS PDPSIALKCANFSIPLDWSKPWGPQINLGIGMIPAANASQRIGYLMTNPGGPGGADIQ ANGKGDLGLTVTGQYWRSSQLHQYFDIVGPDPRGVASSSPLECDPDLWTTASQTSLFP EDEESYNELVNAWKAAGESCAEKSGERLNHVDTLSVARDFEAIRIALGDEPMTFLGFS YGTQVGLQYAELFPNDIRAMVLDAVLDHTQEEVYMLETESGGYEDTLDQFFLWCARNS SCAFHNTTDFPTKFDNYITAANQNPIPAPQCSNASFDFYPCASTASGYDILTFLQELL IVNRPDNGLGVPGLADMSLLLQLAFEGNDASFFATANTTSPISSDYPYTAVICQDWHH QSLSWPEFQTEMIFANIISPHTRGQGEFWRLQVRCMNWPAPVVNPAHSIAPTFQNLTL KTPVLLVNAFYDPETAYPFSVNLQRQFGERNAVLLSRNGSGHTSWYSMGDTHFAINNY LIDLKVPQPATILQS LY89DRAFT_712271 MKFSVSLLSSVLLATTALSAPHTKNAGLSRRLQRRARTIRDSGN RAKDSSTKTEASQAASSSSSSIEYSENWSGLAYTSPPSGETFNAVSGKFTVPTPSIPS GVDSTDGEYSASAWVGIDGNTYSTAILQTGVDFTVSTSGEVSYDAWYEWYPDYAYDFD LTISAGDVIAMYVNATTTTSGSATIENLTTGKTVTKSLTSTSALGGENAEWIVEDFEE GDSLIAFADFGNVTFTECVASTSSSSEGVTDAQVMDIENSDDEVLTSVTLISDSSFEM HGWPGQSIVNTYSR LY89DRAFT_692028 MDIDKSRNKPLYKDDHTPVDIAPNGDVLFIVGPLKRRVRVYSLI LKNASKPFATLLGPQFSEGQALLEHNPSEPVHLSLPEDDFDAIVTIFSILHGRNRNFN KELSSKEVLNVAITADKWCCVVPLWWAAKDWLKCDGITDSKVLWALTLTAYLLDEEKS CAIQLQPWFCTIRGRNRMTILMKLLVLMMIRWHLFESHSVLKGLASAYLWLPS LY89DRAFT_767260 MAAHLNSFNAIEGLPKQSDVVVVGGGIHALIYAIHARTIEMKEG NTGMLIVIHPLEPATNFTVLEKSDTPGYKIGESTLTVFGLWSKLIGIDSPMLWRLFGP KDGLAFYYFGHTDDPEKYTNFVANGPPGDFVPTLQIERKVSELMLTLFAQRLGITVLH GMVAIIDNETVHQEGGDKDTSLKVLDKESMVQEIIDTRLLIDATGRFHRFISKGKQRV QRPEGAEGFNTSAFWAYFDCPVDEKEIPLREYESVNTNHICVPEGWAWVIRLPTWEGT PLPNLTKMINYLLDLNAAKTPPDAYPSTAELVSMFDLKFRWVVSIGFALRSDVVYPSN ISEYGSCEAAQKFSWVVSRYPKVCDFMKKFTLIKDLYGPGTTWYTRKNLAFRSPQVSG PNWMAIGDAVGFTNPLYSPGINANMATSIFVAEQTKEYLKAGDTRKDELLAKYENFCK DRVPNLQRMNVFNYKLMRSPLLGPIGPLFQYLIGTGNARFQGASKYTLDNCAELLTTW DWGANEPEYIHIADIICEILEGAADTPLSPAQLFLVEIVSQWGIKNTMATGKYKGRWS GLFRYYNDDLVFCKHKIDRDVLARRCDSCGEWRMLRPDALKCPFCGTEHNIKKCTKVL YSS LY89DRAFT_712272 MRVIIVGAGVSGLNMLYTLQKYASQVSYVIYDKNPECGGTWYEN RYPGCASDDPSHHYQYSHTPNPSWSSVFAPAGEIKEYLNRFIDKNDLRNNIKTGYSVS SAEWHEGCGEWVVKVRDERTGSDLEDRGQVLVDATGIFNNWVWPTIKGLHAFKGPLIH TANWPKDFDHHGKTVAVLGNGATGVQLVPAMQPDVKKLIHCIRSRTWIAGPSEPVQFC QQFTTDSSDRFPEEWKQNLLDNPEEYAKLLSDIDHLCKKERFKTILNGSNEALHSLVT ITEYMKQELEEKPELIDILIPTGPVGCRRITSSVGYLKSLCALNVEVAKTQIQAVSTT GILLESGEHIEVDAIICATGFNTSFVPRYPVLGDNGRNLQDEWREENGGPIAYMSVMA EGMPNYFRLLGPNGPLAHGAIPRISTHICDYVLAHIRKMQFEHLASVRPLPSAVEDFN EHVQTFMPRTAWDGKGCGGWYKSKNVNGSEQKVIALHPGSQTHWEKMLANVRWEDFEF RAKSGRQTNRFGYLGNGFAVSEVDNGQQSDSELDKVRFCI LY89DRAFT_678235 MAEHFPVVIIGGGIVGLSASLFLSSHKISHLLIERHSGTSIHPR ARGFNTRAMEIFRSVHFDDLVRDAGAELQPSMGICRGNSLAEVMEPRKRKEGKKGEMP FAFADGVSPVKGARCTQDMLEPVLVKTARERGGNLRFNTLCERIEQDENGVTVTLRGQ ESDTTSTVRAEYLIAADGAGSPIRKQLGIGTTGKGSLGNLINILFHADLRELVKGREI SLCTIERPEVRGIFTAINNSDRWVFHLSYDPSKGEKASDYTMERCEQLLRIAIGVPDI KIDIKSALPWHPSDIAAERMKQGRIFLAGDAAHQTTPYAGQGATTGIADVFDLTWKIA MVLKGTANQRLLETYESERVPVARFVAAESGKMADQYGLLDTTHSLFVFMLRALWRIP MYTGFGYQYTSQATIPEEPTPSAWTSWWFVPWTIPSLVLGFNGKPGTRAPHTWVQHEG QQISTLDLFGKNFVLLTGSEGKSWCHAASEVAENLGLELDAYRVGPTGDLSDPKRQWE TAAGISSQGALLVRPDGFVAWRVKDQDGDLRERLGGALKHILGL LY89DRAFT_372825 MSVGALVPNHTGKSVYLTPEQSPTALHIYDPFANPEGLDDLEDE KTDKSEDSLLKGAHQPGQNGTNAPTEKPGLPEAPPRPPGQGHSGYFDLKKEPPQLPLR SPNEPARSLKPTPTPPTRAGPAPFRQRATIPPPTIDEDDSASEDGKNGPPRYTRELHK LIAYLIPLPKPKLTKNTENEDSLPERFLIYTPPQPHFLKPAKGIKEPKKHWCKRKLQE EVQKAKKFDGKTLSLRGLHSKTTKGVVWAIHRIKSTDIVFLGRIQSEEVDEIVLISPT SVTHTIPEIRDEFVAQITRTKKKATKEAAISTFLLPVTLTIDTLAAIVWPFGGLFEID VVWAYASIKGWNTSRIITKRLGARDSAFGKYGGKERDLYLRFQQDEKMEVLSKYLAEC CHKKNPRMFDSAGVPPTEIEVMRAIGWTPVVRGRTGGERQEGETGWADEEWQSVVFED DFKATMERGAGSWSKWCEKFEKKPEKMLKK LY89DRAFT_372847 MDEFTELEAQASSDEENLSMHENQFHPRNYGFKWPDAETADIRA ELMRRGLSQYGCREELCDRLRGDNEFVLSSEGRHDIYERKLAVIKDQEAAANLVPFQR FSDLPTELRFKIWKLSLPGPRVLSVSDFRKGSAAMLHFREHDNKPNPAALTVCRESRE IALRRYRLCFGTPNIYADLTSDILYFGSNWDCVNVFRNGVVAWASWIKTAMRARGQEM PNVLISDLQQVKNLALPRRMWDMITHLGTGDRVSAGIELRRKLILWVSLKQLILVEDD KKDVDMLFSLTPGHTIFEDSTHPCEDAELAREGFLK LY89DRAFT_702610 MFTGNVSRVPSSSDVARQTHHEIYSVSTNDRKYFFVDFIDRRSI NPNIIPHPSLEDTWVIVAQRHDPDSRLPMTFAEITCNATFQNGTLRCLESPSILPVIT TPGDKCDGDYLVLNLNIGPHDARVFYGPDAPYTIYGSNSRFTCFGQFVHDLRSLLDWG NGGTSDTGFKNATELQRPLPYGKVEKNWFVFWDHKGEIYVHYDVSPKRAFAKLELDGS VGEDLAPQAARAGDDSCMAKYLPTVGPDHESLHQATNSLSVTLCKRSDPSCKMNEENT FILTIFQHKRYRFFHSVYEPYAMLFEQKSPFKIHGISSKPFWIHGRWKPSSLNEQDRL AEMMIKDGKVRNYNQTEMMYITSMSWKSSKQKYHGFLDDVVFLGFGIEDSQSAGIDVV VGDLLTGMSLC LY89DRAFT_790047 MRGLDHLPTSLTHSRPNNISFRSLLAITITFLASLCMTTLIFTT YKSVFTLPVVFSVNYDHHLPFTAASVEDLGFVNDPVFHDGGIYHDGGGGASQNGYHVQ IFADSATNSSGFNFVHNSVAYFGYRDPDNPLNLYQFGLKGPEGKAVFSGIVPVGPEGN ETDVGPIFAVWMLSGMTPMPDGQTILGVFPCLNEGNSTDFYNTMVQMNVTDPSTVSPG ESPPFKRLGNGRLFYANEVYYGTFALEAKPDGYLYLYGSDVTGIKVARTPSTQSSIAD RNQYTYYNSATKTWQKDPLTKDEEEGNIITWSREGPKGNGTRVGPNVGDVWYSNYHKT TVMLWNDAGIDATFWFSYAKTDKVEGLWSEPVAIWTPPIPPQCASFPELNFNYQGHAH PGWDPTGKTLLISYASCANFVSMARITWA LY89DRAFT_726084 MTTSSPYLIFGVGYWNNEPSSLQKQQELLSICRKYGIKAFDTAR HYGHGESEKFLGNEGLASSGEFEIITKAAMGLTPEGATKEGIIKGWVESSEALKTEKV ATYLLHVPNPSTPISSTMDGIQALYLAGHFDEFGISNFTPAQVLELHTYATSHSYILP TVYQSIYSLCSRLNEVHLFPLLRRLNIKIQAYSCLGSGFLVKTPAQIRSNSGAFDPST VLGKILHEIYGKPNFLQFLEEYRALCEEMGERPAGVAYRWVVWNSGLDVERGDQVVVG ASGARQLEETILEIRKGKLEGWVCERLDAMWKKVEAEAPGDNFTTFKKLMDAGLL LY89DRAFT_692032 MSQSPDSLSERLGFSPVDFDVWSLNHSNTSTPTFARFGPDAKDI PATQTSASQSRDLQAQPSKLSPRLLGGNRLNESSKAHNRQTSIVHGFTHSRNGSASSS PLSPQMLMAAGGSDASSMGDTAFTPNLGLNNGASSTSSSTTLPERTSSAADANNLTQR RVERNHSGKSSRDHRHHHSHSRHHHKEELKTVGEYALHVLFTSFIAQAEEKISQCITI PLDPEPQIEQICGPGVDPTFDQLISALGHIASQKPKPLIDSMMLWRKNKSDAANEARI QLQQSRGNALPGPMLRRNTEPIHILPEDALAANSSPSIAARQEFVAQAERRSTASIYI LCRVLMEVIGQSTLKCITPEMEEKLEGIIFGQLKIADADQLNNSPLKMANWFLFSQLL GTMSEINFESVADRFITDLEKSQRDLVVKSPVNRETEGRMELVLGGMKHLRIKIYPED AWDHACDFMISLGRFFARSHGHRLKYAYCQALEILLLPIAAKANTELNLPKWTEVLGT IGPRLASMFVKPRHWAIAFPLTATLLCVSPVETFSTQWLQLILPLQPKFKDRFARSVC LQVISRLLWTYLYRTSDTQAATIKKLEEVLKLVLPPGRRTYLSTEPTIADPLIQIIRI IGYKNQDFCFRTIVFPLINADLFTSGKELKVEQLEPERMVIGIRAFLAIMSDLEKGEQ GRPPFPQHYQALPFYDRMPTSPIMTAPRSPPLPPEVRSSEDRLSRPVLTTSLSEVGRE YYAKFCEILGKITIICDNTFGGQAVLDEKFNSPAPKTPLAESFNFARRDDHQSPNDQK QGFYELLHVAVQALPRCLSADIPFNSLVNLLCTGTAHVQYTIAESSAQSLKSIARQSH AQQVTIGFARFIFNFDDRYSTMSDGGMLGAGHIESTLKLYVELLQIWISEIKQRTKDA AADSSEDGSPDKRSMQLDLSAIWAHVDEVESHGLFFLCSQSRRVRAFAITVLRLITEF DTALGKDNGRLIHILEGDSMRVMDFNDEHLSVAERSRLQRGMRKSNSQSALIELCSSE VSYDTTLWFKIFPNLIRISYERCPFAVTLGRELICNRILQMYKVIVALSEPIRGPPYG SFDRFETGSGRLLSRSSTTPPEVVIEQWKLYLIVACTTLSDKGGQQPQSPQAAQHLRK GSKQSQTQEKITSARSLFKYILPLLSAGPASIRDAVVVALGSINVNIYKTLLEELQGA VNKCNDEARARIHQRTTSSPKRNRKFDLLRTEITHVYRLTSSFLKETEVHQDDWILNN LVVYTKDLKLFLMDDEVQMDWEFQKLRRHYCGLMEELFEGINRTKDPSRWMTFESRKS SFALMEDWCGFSPNQNQIRVREDNMRQSMIDQQTLASERGTVTAAMEIEKRNLRTAAL SAMAALCGGPVSITTESRATLQFDIRRMLSWIDTIFNSGSDRMHVIGRRALKNLIVHN KDYPYLLEHSIAKCYMSEQSKVLESYFSVVTDVLIEHPDYPMPFWKLLCIGLYTLGSE VSGIRSKSAHVLRALEERQQKSSKIQDYDISISDKTKAVYKLAQFEISKRLSKQHSEL AFIIFSEMTMYFKEVQASAQRNMVAAILPWVQIVELQLDPNGGPTAQSYVLLANLFEI TIKSSSHLHNEVQALWQALATGPHAGNVQLVLDFIMSLCLDRREQNFVEFAKQIVVFL SSTPAGVKVVEFLLMQITPKAMIPNEKRELIPPPPDTGKLPYLAELSEALPIGAKQAG FSLGQLSLILLVDLMVSPVQLVAENVPLLLQVVTVLWDHYIPLVQEQAREMLIHLIHE LVISKIDDDQSATKKSIEDFIESVRSHDPKVIWAYEDNNGKVDDQDNKVPAGMELLST EVVKKFEITYPGIQEQWAKLSLTWATSCPVRHLACRSFQIFRCILTSLDQPMLADMLA RLSNTIADEDPDVQTFSMEILTTLKTLICKLDPGDLINFPQLFWTTCACLDTINEREF LEALGMLEELLQKLNLNDISVRLLLTEGRPPRWDGPFEGLQPLVHKGLRSSVCFESTR KVLDKLVQLPNDELIGDDSRLLFAVLANFPRLLHAMDQDLIDAESIKSAEILATIAEL QGYSSISRALGGFAASRYRSSKDFLAQLVSALREAFFPQWEFGSLTFLMGLLTNSIPW VKVKTMRILCSIIPDIDMQKQEIASHGPDLISPLLRLLQTEFCMQALEVLDQIMTMTG TSMDKHHLRMSMTKSSSKAIRKEYERTQSLFGIPEESGWSIPVPAKHAETTRANVHAA FYMCQNSENMDPETARTPEVEFHVDDFQYGYFPVPERTETMMSDEGRGDGNIGDLMMK LDSLDDFFEDSLQSPTSDGRSSRTITEAGFASEGFESGAQLYDEQTLPILHQSLARTS SVASFQNGFADMRSSTAMSTQQPAMNPGAFSIGPTSRPGMHSRSVTSPSAPASSSSQT TLVPLTSYTSDDDYTEEVFSDGDEDRVAASGEGSFFLENMIKPLAQGTRSGMRRLTGG RSRDNERMRDNLRVERRGLSQPPKSPRVPKVPREYLGKTTSPGASSSLGDV LY89DRAFT_602190 MAQLDTLDIIVLAVLLIGTAAYFTKGTYWGIVKDPYASSYAAAN GNKPGKTRNIIEKMEESGKNVVVFYGSQTGTAEDYASRLAKEGKARFGLETMVADLED YDYENLDNFPEDKIAMFVLATYGEGEPTDNAVDFYEFINGDDVSFANGGDPPLGNLKF VAFGLGNNTYEHYNSMVRNVSKALEKLGATRIGAAGEGDDGAGTMEEDFLAWKDPMWT ELAEKMGLEEREAVYEPVFGITEREGLTKDSPEVYLGEPNKMHLEGTSKGPYNAHNPY IAPIAESKELFTVKDRNCLHMEIDISGSNLTYTTGDHIAVWPTNAGKEVDRFLNVLGL ISKRDSVISVKALDATAKVPFPTPTTYDAIARYHMEICAPVSRQFIATLAPFAPTEEA KAEMGKLGSDKDYFHEKMTNKYVNIAQALESVCSSAKWSDIPFSAFIEGLNKVQPRYY SISSSSHVQKDKISITAVVESMEIPGRADALKGVTTNYLLALKQKQHGDPHPDPHGLT YEITGPRNKYDGVHVPVHVRHSNFKLPSDPAKPIIMVGPGTGVAPFRGFVQERAARAK AGENVGKTILFFGCRKSTEDYLYADEWKQYQEDLGDNFQLITAFSREGAQKVYVQHRL QEHAKEVDELLKQKAYFYVCGDAANMAREVNTVLAKIMSEQRGIPESKAEEIVKGMRS ANQYQEDVWS LY89DRAFT_692035 MVSRWVSGIQHRNSKTQTRGSAENDKQELIRLHEREVQNTTDLN CQPYSCSLVSESISNLKSTGKPKYHFIFSGSTYLLTPLPRQSHLPLQSDLAISSTSET TIPSQTSIRSHVKYKKQTAGNTALPFQRTSFLPSLLPYPRNPQQVRARAISKI LY89DRAFT_373642 MSFVSTLTQTTLASAKPPRLGCTGAGSSSKAKGLFVISPTTHLI LPHFLDLLFFPLYRSGILLLVAFFCFCEICAANTFQQDGVVILDLLLLEFGKVLEDGS TPGIEESLVIWFSVLRAP LY89DRAFT_602320 MSYQQTASQSETAFHNRSSSNMYTQSQARAARESQAQPATFPQA AQTDASAMSQMMNQFASFSMPGANLASAAALGAGQFYYNPADNTIMMAPAMYPAPQLG PGQLPETGYSSYASTMPYISQGAYSGYLGGFPIMPYTPSRATSGYYPDRADQFHKEVP GLENRRSSYSTTNESAPGTPNYGPLLHHEQGTQIAAVNRSPFGSTPSPQQLPIQHADQ HVAKSLAYKTIPINVDLDALVVQHPPIPRAVPAVFTPRESMRTLDQSLSNPIPGNRNV YIRGLHPQTDDETLAAYAKRFGKVETSKAIIDTATGACKGFGFAKYEDVRDSELCIRG FYKLGYEVGFARESFNSRLKAEGDEQSTNLYVSNLPKNMTEAELGAIFMDYTVLSSRI LRDERKNSRGVGFARFESRDVCEEIIKNFHGQPIGEEGLLLQVRYADTPAQKDLKRIT TERRQFRTNEYNVGAYGAPAELLALSPVMPSPLVPRTSQIARHLPVSRASGSWKRDSA GTSSGGSISNFKDIDRHDRHVKLSLTEATPNKNKDDKVSSSTPTISEDGSNEDTVHND SPAIVHGGASQSPSVRKS LY89DRAFT_631902 MRLDTKAMRYLTVEDWKTLTAVETGSRNHEVVPTPLIGQISGLR GGVHRSISALAKVGLIARLKNAKYDGYRLTYGGLDYLALNTYRKRKDVYSVGNQIGVG KESDIFVVADEKGVQRVLKIHRLGRISFRTVKANRDYLRNRSSGSWMYMSRLAALKEF TFMTALRENGFPVPEPLAQSRHTIVMSLIDAFPMRQISSVPDPASLYAEIIAMILRLT QYGLIHGDFNEFNILIKEETKTETVDGKESEILTLTPILIDFPQMVSVDHANAEFYFD RDVNCIKRFFERRFHFTSNQKGPHFANARKLIGKDGVPRLDVSVEASGFSKKMAKELE AYMKKVGVDGDGDPNAARGDETSDEEEDEENSEEGDMEEEALDGAEDTIKVPAPHVGD SVIHSSSAAVDDVPISSLHIAEKT LY89DRAFT_631905 MAQDGEPPKSVDKGKGKAIDGEPSKAEEVKKDKDGKPLVNGKTE EGVIGAPEELSEEDLQLKSELDMLVERLTESDKTLYKSALEAIKDSIKTSTSSMTAVP KPLKFLRPHYEPMTKLYEEWPAGEDKTSLADVLSVIGMTFSDEDRQDTLKFRLLAPTS DIGSWGHEYTRHLALELGEVYTKRLTADEPYQDLIDLALVLVPLFLKSNAEADAVDLM SELEIIDELPKFLDKDTFPRVCLYMVTMVNLLTYPEDQQFLRTAHDIYKHYDQLTQAI VLAIRLNDVDLIMSDFNSTKDASIKKQMAFLIAKQQIVLPDLPSETDDDQIIMECLGN NKMSEHFKALGKELNILDPKTTEDIYKSHLESNRVAGLTNLDSARHNLAAGFVNAFVN AGFGNDKMMMVEEEKSSWVWKTKEEGMMSTVASMGTLLLWDVEGGLDKIDKYTYAPED QILAGANLAIGIMNSGVRIDSDPALALLGDEEKLNSKSPMVRVASIMGLGLAYAGSNR EDLLALLLPIVGDTSLDMQLSAMAALSLGMVFVGSSNSDVSEAIVQTFLDDDRKSQLK DKWTRFMALGLGLLFFGRQEEVDVILETLKAIDHPMSKPTSVLAEICAWAGTGTVLKL QELLHICNDHIEESDDKKGDELLQAYAVIGLGLVAMGEDVGQEMVLRQFGHLMHYGEA NIRRAVPLAMGLLSPSNPQMKVYDTLSRYSHDNDNDVAINAIFAMGLLGAGTNNARLA QLLRQLASYYHRDQESLFMVRIAQGLLHMGKGTLSISPFHTDRQVLSRVSAAGLLSVL VAMIDAKQFVTDKSHYLLYFLVTAMHPRFLVTLDEDLKPLVVNVRVGQAVDVVGQAGR PKTITGWQTQSTPVLLAYGERAELEDEQYIPLTNNLEGLVILRKNPDWDDGKS LY89DRAFT_631908 MAEPMEIDAESLRGTKRKAGELSAGVTAPRRIKALDPDVVNKIA AGEIIVAPVHALKELIENSVDAGSTILEVLVKEGGLKLLQITDNGHGINKEDLPILCE RFTTSKLKDFKDLESIGTYGFRGEALASISHIAHLTVTTKTKNSTCAWKALYDSGRLK PAKPGQSADPKPTAGRQGTQITVEDLFYNVPTRRRAFRSASEEYNKILEVVGKYAVHC DGVAFSCKKYGEASTTISTQSNSSTVDRIRQIHGTSVANELIEFTSSSEKYGYRARGW TTNANYHVKRTTLLLFINNRSVDSNNIRKGIEQTYSTFLPKGGHPWTYLSLEIDPHRV DVNVHPTKREVNFLNEDEIIEMICTDIRTKLADVDTSRTFMTQTLLPGARVPQPTTGN DDSRAPTPTGATPKSRPYENNLVRTDAKLRKITSMLPPSTARTISETPGPPEAGTNDM EYEQSDREPVTCRLMTVKDLRTEVRDDMHNELTEIFAGHTFVGVVDERRRLAAIQGGV KLFLVDYGMVCNEYFYQVGLTDFGNLGVIRFNPPLDIKELLAMAAEYEKESSPPASEE DDFEIEEVVELVSAQLIDRREMLLEYFSFEISEEGQLISIPLLLKGYLPSLAKLPRFL LRLGPHVNWTSEKECFETLLRELASYYVPEQLPPSPGPEGLDDELKARREEIVKAVEH ILFPAFRARLIATRPLAKGAVVEIANLKGLYRVFERC LY89DRAFT_661108 MLRGNSTRSVLSRSLKSFFKINNGPVRHRKLHLAPPFLLDDYIP RYHLLSSVDASKKRSAAYAHLRNCNLCPRLCGVNRYEKTGTCLIGAETVKVNVIAPHF GEEPCIQGHNGSGAVFFSGCNLRCVFCQNHDIAHQRNGFDLTPEELGEWYIKLQNVGN IHNINLITPEHVVPQVVLSILHARDHGLKVPIIYNTSAFDSLASIQLLDGLVDIYLPD FKVWNASTSKRLLKADDYRTAAVESIQAMHAQVGDLCFTPDGIAKKGLLVRHLVMPGY ESEGEEIMKWMASNVSRDVFVNIMEQYHPDAHVGKSKRPRRSVPVPIEPGGEAKPSES VRYEEINRHVTKEEVSAVRQAAEKAGLWRFCDPPRHDGFNL LY89DRAFT_790056 MSNFALALLLFSFLLALAAPLHINPRDFDAPFLDCYDYIIVGGG VSGLVVANRLTEDPNSKLYIHFTVLVLEAGPLDDYEDFIMFPIEDGYGLGTEYDWNLW TAPQTFLDGASRPYDMGRGIGGGSLINGMCWTRGGSADYDAWKALGNDGWGWDDLLPY FQKECLPHSFHFINTDRLQTENYTDNVDADFSRELYIQPNISTHGTSGYVHVAYPRYF YNQSQLFLDGLQELGIPILTDPNNGTAAGGMLIPDSIHPDNQTRSYARLDYFDGFINR PNLHVTTHQHVTRVLVSVPHNLKGRDYPAGFWISGVEFVTDGSLTLHNVSCSREVILA AGAVHTPQILELSGIGSEDILNQFDIPVIINLPGVGNNFQDHPYVGVVYYFDNTSYVN IDMIENNPGLDNQAAQEYYANKTGPWTAGAINTVAFPSLPSISQNWTNMMTDASSQNT TLYLIPGLDRTVIAGYDAQKTILTDLLSRRDVGAYELLNDNIGLLAVAAMHPFSRGSV HIQSTNPYMQPLIDPRYCSNPLDCQVLVEALLFNNQLINTTSMRLLSPTPYYPFFQGA TRDSLMPAISIGIRTEFHGTGSTSMMPLDLGGVVDTHLRVYGTKNLRIVDAGIQPLVP AAHLQAPVYAVAEKAADIIKADNSGLVLSGCGANSGFAGQGPVIANHSIISSTSSSVL VPSTFPEFLNSSVLSSMNTAQRSANPTSPLSLASGAVPDQLPVSSGVNTNAIKGAVSV FLNGAGILTSPPFLLSKGFVAPLTFPLQPSTVPAVPTMSLIHRARGASHTRHHAVLKS SSPSHRTTTSAPFSPTTFDTRHISATSASGVIAETPGIVTVKIAAREKEDGR LY89DRAFT_790057 MAPIKAATLPIIPLAKDTVLLPGIVLRIPVAGNRPDIPALLSSV YSRAASKTHAERLDNVNIACVPLNSPSLSQNGQKLISQDENKSDAKERVDINPSQATK KDLFGFGTAAKISGVEGRGTGEFALLVEGVARVRIENITQDRPFFGADVIYEYDDAIN PEDVAMQGLFAHLKQLSRELLTLLRLSSLLPRTSGSSGLSPVLARRLEIFIARKGLQD AGVLADFMANIVESSFEEKLQILAALDVKERVEKAIELLQRQVGNIKNNVSITTITST IPSNIDLDQMNKINQRTRRPGMTMPPGMASMGGGPEDENEPNDMDELKKKIDAAKMSP EAAKVADRELKRLKKMSPAQAEYQVTRNYLETLTEIPWSAMTEDQLGVETLPRARRQL DDDHYGLEKVKKRLLEYLAVLKLKQSINQDVNTQIIKAEEEKAEEKVEILKSKRLIDK SPILLLVGPPGVGKTSLAKSVATALGRKFHRISLGGVRDEAEIRGHRRTYVAAMPGLI VQGLKKVGVCNPVFLLDEIDKVGTTNFNGDPSAAMLEVLDPEQNHTFTDHYVNIPIDL SKVLFIATANSLDTIPPPLLDRMETIYLSGYTTLEKRHIALQHLIPKQIRTNGLGDGQ VEFNQDVVSKIIESYTRESGVRNLEREIGSVCRAKAVEYAEAKDSGHEEKYKPQLSVQ DIEDILGIEKYDEEIAEKTSRPGIVTGLVAYSSGGNGSILFIEVADMPGSGSVQLTGK LGDVLKESVEVALSWVKAHAYELGLTADPSENIMKNRSIHVHCPSGAIPKDGPSAGMA HTIALISLFSGKAVPPTMAMTGEISLRGRVTAVGGIKEKLIGALRAGVKTVLLPAQNR KDAKDLPQEVKDGLEIIHVRHIWEAMRHVWPEAHWPGEQNFAGIESQL LY89DRAFT_373828 MFVLSQLSLCFWGLNIPVVPYTCCRTCLSLSPFNVSTCRSHLSS DNHIRTCGWISVSFLHHHHHHPREPSSKFCQNATPLSFLHLRCKQSMHACSRTHVDGF LQPGNAGCISKHACILLIIHPKSTCTFFLANNNKCC LY89DRAFT_661113 MQSSTLRRDHSYTSLGERRGKSDLARFSLDLSWARAVSDSARSR AYPSPPMSGSPPLPPRRNPESSDRGHGSYGSSGQDVYRGIQTPQMEHAEQQRGPLMRG YVPDQGPSMPYPGPYSLANMPHAQMQYQPQLPQMVPQPQQQQQQLHGYAPHPPQPPAP FSTPDRPPISQTSEFTSPKQQRKTKGHVASACVPCKRAHLRQRPCSRCLSNGKEDACV DVQHKKRGRPRLRDEREPRFEGVGQGYPQHPEASMRRPLSSYNPSDQSGFSDTMQRSN SYRVLKSQGGGPMGGPMAPRYLDHASAADANIYGAPMPLTARMGPSQEPACAYLNLEM QIVKATPSFGETIGVPSVYQRKLQDIVSPNDRDKVARLQRIFEDERREREPNILPPIY LVKFEDDRVIQSLGFGPEEMGQPRADKPEMFTFHAPDGQQRTFQIRMGLAKKESTYYI VLQIHVPPTQQQFPQQVASQYPQDSWSRDSQQQYGYQTPQQSFAPNPALSPFTATPGF GDPRGDMTAYRTPGPLGANIPQSASMSYAQAPPRQDYPQGQTPYQTPRSELQQTQPQR QHDLQLPPIRDQRGESSSGDPMRRRDDRSGRVDIGGLLENPDRSRRGPGGP LY89DRAFT_726096 MASHLHNQTNTLESTTPVPPSQARTAQIPLQSFTLPTFPPEAFS TATNLSALILTSDVKLDEYSSELEKPYEIPDLPAGIKTLTLELFSLGFPSGWLVALGE KLRGLKALTLYSQLFAGTTTASREDALMFIEKQKELRELHLLDVFLPKGFLTEFKGKS GGDVRFLEVSYTFRHSDPEFLGALNAREILGVVEGRKGMLGLSVRVESPDVRVEDEDD REGTEEGVLVVGGLGERVVDVLKEGGGELRLCDLTMFELRIGEVGSLLEVCKEIRVLS VSVGLEKGWKEVFDGLGAKEREIEVLELVGVPGKEVVEAMKEEGGFRKEDVEELGRSW KGLKSIKGSILRTKGEEWLKEGEEHHGQAAYYSSATVRVNNIVRISPRMICVRLLQTH SKKQHYQACTSYIGIRKLDNGTKAALYKANESSTEWYNPKTQASGVDWLATSGGGATL ICLKGPQ LY89DRAFT_374286 MDWLKGGDGARHMESEKMNLQEQDTLRVHDHDQLPIPIENERTI PLNDSAYASVALRAGQDHQQSLRYFDQQNGPDVSGLGTIARPITKSPSIDDGNAGNIG DLYVQQGILGRGAYAIVKKVVHKTSGQVFARKCYIVAGPNAEYIKHQFTNEIEIMKSL PEHHHMISYVALIRRADNFPFLFSRLPILDHWQTISMTSEKEVSHLGKSD LY89DRAFT_374327 MSGINHDWDWYMPPPATKQTPVPEAMNSKPGNVTELDHRKRFEE EDASVDGELLSIAHFDSRYERVSRLSVETQSDTASLSTNSSWRHSIRDPTPRQSYKSI TVSRSVRTSAFDRIDEELENKIVVGEFKSLHRVPCRRHASPPTTTSQKSDFETRSQAQ HKNVPTCPDCLYSAIHNLSWSATYLSLHVFQAELKLTSLSDTTAVDVVGNSALHYAAA AGAHVACIISLIQAKVNPYHINTEGQLFLHCLQPNWTAGQNSVQLWSVNLITTLKFLQ HLDLTGSVASFRWRDNEGRTFLDTIASRIQDDNTKDQVFQLVLNAGYSMQLSDHFLEM IVRDPLNASDDVSTTKKKLQNACTTFDHALSDPNYVDTTTRDNVFHALSRLKLLENNT LISRIEDFASKDVDLNHLNRERQSPLTAFILNRPWPGFENQETGATMSKYLDALLWKD RRRFIPNKINVNMRDGKGATALYYAAVRGRPDSVRSLLDAGANPNARVGVEGQSRSIL EATVSALESDYTQDAVKLHEYDEVISYLGHEGAVMSPTLLQERRVCANTVRLMPL LY89DRAFT_661119 MEETQMYQYSELWGSDAIRIIKLYPSESLDAEVQCELLCTSLTE CEEDIHSLYTAISYVWGDVNNTRAIVIDGKKLEITATLHSALCHIRLKAEPARLWADA ICINQSDVEERSRQVRQMGAIYACASHTIIYFDSPTPEAALFLEALRQYNANIRKGFP PSALALRTCKDLWILSRESFLMQDWLSRIWVLQELLFSRDPWVQCGTTRCRWDDFCQH VRSFQEPETTQLSAIETSTSTTTPKNTSESNLLDFIPSYSIYDIGATSNGLELLLAMA RFRNDFYDPGSNMYGDLFLLTLLQARRALGVTDPRDMLYAHSTIARSAELVDGYGELI RVDYSRSCQKLYMDTAHYFFEKRSGSAILSFVEDIELQKRRAGLVSWAPDWTVAQPPK PWTSIHEWSANHARFQHPREQYSEIRPLGLDWSSQSVLTHAWYEGVLANLGVHVGSVS AMSHEIKGRLSNFDIRRFFSDEYPDPRNHTSAIDFKVYNKFCAALDEAVGPGLFSSPP DNPPLSNPFFLPAGLSADKQHSECRARIVGALYTVGILKRNHTRILAPDGPNLRADTQ FILPNLVKDTLKPDKYSIFQNRRLTQLPDGRLALVPACTKEGDFIVCLAGSKIPYVLR SFEEGGAIPNKLVYDAFTRPKDFKKHALNGCRTCQKGSELCDRKPPLCGYCETLRTKK CNYDNIESIKDLAIEHCKLIGECFVETLMMGWTAPEIERKRKVIFAIH LY89DRAFT_692049 MASQAVTNAWLQDARSHADENELDEPNYFASDPESLISTSITSN FSIGTGERILTEAFSKCVSTNHELIIVTCFWAKSSSQEAISSLLLGLSEKALSQNHKI QVRICFSSSSILQRLTQTSSLDGKIWPPSSWTSLGLPPQGQLEGLEMIIKSVFVLPFS VMHPKFILMDRKLAFMPSCNVSWENWFEGSIELEGGITEKLFNFWSSFWSRGGASLPY LPKDIQESTTSDENDDEEKEIQTILLPSPHHINPRFQPFTKSTPPPTPLNLFVLQLFT QAKRDIYIQTPNLTSQPVINALFSALERGVDIHLITSSGLMILEQLATAGTITEYEIW KLGRRYRALLRNYSKCSDPENMMEQPGVLRIGYYHARQGRRDEKEPVKSHLKCVIMDE EVTVLGSGNMDRASWYTSQELGIALFSREFAGYVRGVVGEGLKERVRYVC LY89DRAFT_374372 MAGGGDNKDSKGESQVPKINGRATADTLKIGCIAMVQKDGQHRR AEILSIRDIKSGRQFYCNFDNFNKRLDEWVPVNRIDFSQDVEWPSPEKPKEAKKSSAP VAKKTIGQKKGQKRPAVTREVSVASEASTPRPWGDFDDSQKGTPDPEGEEKLTTPLDL DNTPGAEDDDPMDLDEVAARAVSAKAEPPEAFSRQEEIEKLRVGGSMTQNQAEISRIR NITKVQFGKYDLFPWYFSPYPEAFTQEDLMYICEFCLCYWGDVKSFQRHRQKCTLQHP PGNELYRDDYVSFFEIDGRRQRTWCRNLCLLSKMFLDHKTLYYDVDPFLFYVMTSRDE KGYHLVGYFSKEKESVDGYNVACILTLPQYQRRGYGRLLIQFSYELSKIENKLGSPEK PLSDLGLLSYRQYWTERLIEILLECNEKDEKISIEMLAQRLAMTTADVESTLQAKHMQ VWHKTDHKIVIPMKLIKEHEARVEKAKTKPKREIDPDLIQWKPPVFTASTRTWGW LY89DRAFT_374386 MAPELSSNWKKLQAILKQEEKDKPDRKRKEIPTERQRNTIAAKR RRLDLKPSKAVTARPLQLAKKGKMGGAMSVEASESPDPTDSIQPANDAPSASLALWAE DNDISAKDLAEAYGGGLKDTTFKGTKPDKINGGLSRDVEIGKYVGIDCEMVGVGGEED RSVLARVSIVNFHGTQVYDSFVRPKEFVTDWRTHVSGVSAKNMATARDFEQVQEDVAA ILKDRIIVGHAIKNDLAAMMLGHPRRDIRDTSRFSGFRKYSAGRTPSLRKLAKEILGV DIQMGEHSSVEDARATMLLFRRHKSAFDVEHAQRYPPQTAQGTSKAKPNLKRKKRTKR LY89DRAFT_602064 MASYIPAAITKPLARGTDLLRGAIGGVSWGPALSVSKAAVDSLL SRIEIGQLIINDETTGERIVYGQKIAKEHSKKANGVNGVNGTKKSGGVRRVEMMAKRE AFWVRLFLFADMGFAEAFMLGDFECADLTGFFELFILNRSQLANATTLTSSIAATITG LARSTNTLSNSLLNVSAHYDISNEMFAAFLSDDMTYSCPIWKLASSADEEEEILEEAQ MTKLNRFIDGARIKPSDHVLEIGTGWGSFAMEAVRKTGCRVTSLTLSIEQKALAEKRI AAAGFADRIEVLLMDYRALPTPKVLYDKVVSIEMLEAVGKEYLETYFGCIHRLLKKDG IAVFQCITMPEGRYESYAKGEDFIRKYIFPGGHLPSINQLVENINKGSEGTLVVERIE NIGGHYAKTLRLWREAFCRNFESRIRPALMIEHEDMGEKEIEVFRKKWIYYFEYCAAG FATKTLGDVIITAGREGAMELMEGIPL LY89DRAFT_692056 MLAIEKKTKGHEGKCTPNVLPCRINHNGPVDASKRYWNPTQTAD DKTSAYFRGRKLYGKKVTVPEGYRGVVLSTTDRILPKPAAETNEDEEDAEEEPEVKIM EEQSDFDEIMVWGHESVPENAADPYVKGMDEWIAFSQQIHSYKPADSSTA LY89DRAFT_374393 MSDFVKPSKSHLMTYRIARGEQGVLTYEPYKSYLLPHWRFRTVS IARASSQTLWQKFLEFYEQNDFVGMDMSRKFIQMGMTRSKRYANYKGGRKYVDGKEKG EVIEKSKGHEGKEEKEEASRIFREVWERCKEHEGYQEMKKTFLKEQKEWLEMEPGRVK EEDDETRSSARPTSRQSSRRKCQAEEESIKQELSPRLKSEND LY89DRAFT_661134 MASVMMSDAVESDIPAMSSRSGTPRARANRASSVRPRGPPSESV APLSDDEGFADDQVPTGSGRPRQRDRAIPRVEDIIGQYVQSNFEDFLENFIEEPSSSG VPMSSAVTTDKYYIAQIHGLRTYSLSTLYVDYTHLSQYKGGALAEGIVEEYYRFLPFL TKGLHNMIAKYEPRYFRDHRQPTSSSNQTSSGASNAASASESDFQGRKTTNQQTDKLF ALAFYNLPLVSRVRHLRTANIGQLLSISGTVTRTSEVRPELSLATFTCENCRSTVPNV EQTFKYTEPTQCPNQECMNRQGWRLDIRQSTFVDWQKVRVQENSSEIPTGSMPRTMDV ILRGEIVDRAKAGEKCIFTGALIVIPDVSQLGLPGVRPMAIRDNQNRSGDASGVSGLK SLGVRDLTYRLAFLACMVTPDTSTTGAASSQHLNGQSNNILASLNQTAPIDPNEPGDH AQEAVLASMTHAEIEDLRKMVHSDHIYSRLVNSLAPMVYGHEIVKKGLLLQLMGGLSK TTPEGMALRGDINICIVGDPSTSKSQFLKYICSFLPRAVYTSGKASSAAGLTAAVVKD EETGEFTIEAGALMLADNGICAIDEFDKMDISDQVAIHEAMEQQTISIAKAGIQATLN ARTSILAAANPVGGRYNRKTTLRANINMSAPIMSRFDLFFVILDECNETVDRHLAEHI VGIHQLRDEAVQPEFTTEQLQRYIRFAKTFKPEFTPEAKEVLVQKYKELRSDDAQGGI GRNSYRITVRQLESMIRLSEAIAKANCVEEIVPSMVIEAFNLLRQSIISVEKDDVDVD EDDDEVLGANGASRRDGDGDSPMADGNDDDEDGDNGPDGGAGANGTTPAPTNGERPKA KITYDKYISVVNLLVQRVNEDELSSGEGVDGETLIQWYLEQKEDELAGEEDYHAEMAL TRKIIKRMVKENILMAIRGQGLVGDDGQGSSSAAEQTVYVLHPNCAVEEY LY89DRAFT_726106 MRLVGVPSCTSTKTGCLSWSYHNRPVAPWFVRSTQLVPSLPCFL DVLLVHIRVSYVFVASQTFTFKYISFIFDVTMLTGLRRRWSVVSNPPEGRSPLMPAII LCTMGAFGEVCLTSTHMYLIEQAACRKYFGAYDPAQIGFNGLMDEELCKIAPVQTQVA QINGLYAVLGFIPPIFLTGPYGKMAKILGKKKIMLLNVSSLTLAGLYFTLVCYFYNTF DIRWIYLTPFLDIIGGGQVIMASFIMTYISECVNSKRLSHVFYRLSALQLLFAFFAML VSSVTLRRNVWVQCLIGIIVLICMIPICLLFPDSRRTFLAPPQRPTLIPSSPEDSASD DSDSITSSQSSSETTSLLPIGPLTPEDPDSQPALFRTIFSALASHTTHSLSLFHSIIY ANKFSRYTLFTYFMLTLGTGIRIIFAQWGSITFDWLFAEVNAITGFEMVVSGIILVSL PYITHSLLKPRLGSSSSVDIFVTKASVLAHAVGVVLIGFAPSRVGFIFSMTIWTLGSG LGASLRSFCTGMMESREAIEEIYLGIGMMETLANIVSTASWSAAFSEVLEMKYWVMRL PFMVSSSLLVVVFACVWVLGRFDRVLPTMSEGLMNDDHDSNESDDML LY89DRAFT_743306 MAPPRSGMPRGRTPWLIGAVVGTGALFIGLKWKAVLARSEAAKK SGTKEINYSVAPNRSGGGV LY89DRAFT_602176 MHSFFLLSTLLLSSAVLSTPIPAPTGIPTTTDAKSELASLTVAV AGSQSGYARDLFPTWITISGTCDTRETVLKRDGTNVVVDSACKATSGTWVSPYDGATW TAASDLDIDHLVPLSNAWKSGASAWTTAQRQTFANDLTHPQLLAVTDNVNESKGDSGP EDWKPPLSSYWCTYAKMWVKVKSVYDLTITSAEKTALTSMLNTC LY89DRAFT_692060 MSCLVVLRGGKRMKKGWSSICDHEQVKKDLYGALVKDILSSRRF PPEEGYLPVIFIR LY89DRAFT_743308 MAPTDAVQIKSETFESSSSEALTRITQRSTERLSPSSKVVFRRF RRHASSMMETQAAGESCWELLEQEYPDHLECYYCRDMHSMDEIHKYATGSAESIVFSD PCWKMNSRVRTATFIHPNFSFTVFRMIMKRHRQGKNCDKELNLLAYRSGAVREGAQVK QIVAVPKIVDGRMFMRSQTIYVIPSKEGSQMYLVCNNLVECPHTDAFSRDNGEVTHRL YQRLAAIETIPRGRLEKVMSCQCRFCPTEFEINLQRFEGQSAVIVVNKWQDLGSGLSP LPTELPPVVGPSKVIRRARRAETQNLHPSPRAGSEDSQAVSTLEHKEVKEVFRKSNSM LARINKAQDSFWWGYLAAFGCEELPWQSTREGSGDRL LY89DRAFT_374431 MLLFFPAAIMQHSDLLAAPQPKLDLNLRCLRLLHCHGIPGSSGS KLEEKFREGYLEGPNILRKWNPSFNVIA LY89DRAFT_743309 MEDTNVSLPTRSRAPGHRACIPCARAKAGCVLVPGLDGKCERCV RLQKTCEQAVVRERTRTRRTGETRAYVKKLEEKLDGLVSLIQSRELGAEAQSSSFGDP TVHDHLNKSSGSHEMGSDAYLDSSIAASSMLNTGSYSTESVSTPPSSHPVDEMLSAQE VEMFLNIFKNEMCPSFPFIRLEESVTATDLRRDRPFFFLCIMAITSGNTDQKNVMTNL VMETLASRMYVHTERDLDMLLGILTYIAWSYHLVMYKGQKTALFASASVLINDLELNR PSRMKASANDTNLFEAAVPSTSQFRLKIKSFETVEHTPEVRRALLAYFYLSSIASSLL YTAEPPRVTKYIEDCYQVLSASNDPNDEHACALVESQLIVERMNQAPWNGESPLSTTP SVFFVKTIQEQLRMFRAKRADQIQRSFKLSLVCDYMDIYLYKIGLFPAYSAELGPIAP SFERLELVYSCLLAVKSFFDTFLATPPNKFRSLSMPDTCLFRHSLFTSHKLVTFEHPD WNLDSCRQTISLCDLLGKLAVQFEQAAVVLGFDTHKPEKQDWFTRGGKHLHRVKDYWE KKEAAELEVNRDNTFPAYPQIGDIDLLDDAFMMDVLGSWDDQNFAYQSFG LY89DRAFT_743310 MEDNRGINNPSADEKRSDDVQSQANLESLKEDPTKQDNNDDEEP EYIEGIKRLLVVGTVTLAAFLMLLDSSIIVTAIPKITDQFHSVADVGWYGSAFLVASC SLQPLTGKFYTQFVSKYTFMAFLGVFELGSLICGVAQSSNMLIVGRAVAGLGTSGLVN GALTILSAAVPLEIRAMYFGFMMSTIQLGVLLGPLIGGSLTQYTTWRWCFFINLPCGA VVVGILCLIRIPDNTAKTALKGTKLQILHSFDIPGFLLFAPSAIMCLLALQWGGTKYA WNSATIIGLFCGSGVNFILFLLWEGRVGDRAMIPFSMLRQRTVWVSCLFMFFFYSGMM MWAYYLPIYFQTVRDATPTLSGIYMLPLIGTQIFGAMLSGVLISRIGYYLPWAVAAGA LTSIASGLMSTFKADTSTAKWVGYMLLGGFGRGIGMQTPLVAVQNSIKKEQTSTAMAI LVFTQTFGGSLFLSFADTDLTTNLKDGLKKFAPGVDVEAVLKAGATGFRSVVPAASVE GVILAYDHAINYVFYITIGTSIATFFLAWGMGWKSVKKAKVVKPEA LY89DRAFT_726110 MEDKVESRNSHDLPLQCVDLTPLSTTLRGLSLQPDPTDDLCEVC CKVDFGLLRNWLHLCETEHGDRCSQSSLVSETGRKIRLIDVLNRQLVDSTTNERYLTL SYVWGSWEQFTLTSRNIGDLRASHSLKGEDMIRTIQDAFDAVARMGERYLWVDRLCIL MDDEQDKLEQMSNMDQIYNAAVLTIVTSSACCQCGSNACIPGVQPDTRQLLQHSEVIR GKRFVTTQSDLVSAIRMLNWSSRGWTFQEGFLSSRCLVFTPYQTYFQCKSEEWCEDSC WVGAKPLEPKAPVGNALCHIRDYPDTFIRCDFNQYVDAVEAYSTRNLTLETDALWAFT GITKAFQLQFQNGFAWGLPIENLDAALLWCPLKLQQGSRKGLHAAILDHHTVRLPFPT WAWVSWRGGVVYGAKCEKEVTGLVEWHPPAHYSVNPKNPLSDQVDKHSPTSIHSSEST QSEDVIMDERALGLLRFTAATAYFDLKAQGAYAHEDHTKDCAFCKQWSLCHIRARSGK NVGGIWVPKPWFEARSASQAEFILLSKHIQNAEDETCQQVWTPGTDPRYPNKRIGKGI KHVDGCEHQSGYNIMLVDWKEGPHCRVAVRMGIAIIHRNDWQEAETSSKLVVLS LY89DRAFT_790076 MSFFRFDTNLPRDRGHNSGAPGFSQAADPFAGLSGRDNDDDDAL DFEDTYDGLGDQLDETDDAFNDDTFGGGEAVAAKKPVGMDFDFFGQTAKVSDAISEEQ ARFSRQPPPAKVAPSTSMFTQPSAKPARTGYERYKEPEYMPEMQVDANLWGVAPKRST PVVAQPLESPSTPGLASGGRKMMSLEEVEAAMRAQAKKPAPAQAPVPQQAPAPSHPQH PQQYSQAPPQQYQPYPQQPRNPDYPVQGSGPPRDDARSVPVPQPVQILQRNQQQPSPS QAAPPQPTQILQNPNRYSAEQQNRAPAVQHPGHQSRPSGSRHIITHPQQLANLTEEER AAFLMEDAKRAKRNHKIFLLSKDNGLMTPQDKNFITRIQLQQLVTATGNPNEHGTDAG LSEDFYYQVHNQIRGGPRQHPGQPLSNFAQTYLFQTGGRHGGMRRQARGGDNHMQRME QQVQRAVEAAKNKPKNKQLVIEGSLGKISFSNAKTPKPLLNIKRPESGTDGHRPGSSA RDRRPPGVNGSDRKTVLRDIENVYNTLMKMEDHARHMPPPITDDINPELVGLHMDWQE KAKSLNEQLWQQLKIHEPIGGPSTHPFIAFLSYSKGKKAIPRVFRHITQEQRTTILTM IVVHLDQLDVVRQAILLPGENQVNAAVREQVELFSLAVMPPLFGFLNEAELNIVAAVL GVICSRVNVDVIARTRIGVSMLTMILSRAELIKQGGDIAEQEWEHWVGQYNTLFDLLE PTLPNIFPGTVHTGEDVYVWQFLAAIGIGASPEQQQRLVMAVKDRVMETVALSKTLPA EMSSQRLANVNLFMRSIGLDVDLLVA LY89DRAFT_374684 MASGTARYLRYILFAFFGLAVLYFISSSSTQRLPSPGQILGGNK DYNGIPKAGTTGVPSPSTDSSTSLTGTTPNNLGAFPPATADGPKMNATFVTLARNSDI WEIARSIRQVEDRFNRKYHYDWVFLNDKPFDATFKKVTTSLVSGTTHYGEIPKEHWSF PEHIDQDKARKVREDMAQRKIIYGDSVSYRHMCRFESGFFFRQPLMQNYEWYWRVEPS IELFCDIDYDVFKFMSDNKKKYSFVLSLYEYVETIPTLWDSTKKFMKAHPEHIAEGNS MGFLSDDGGDTYNHCHMWSNFEVGNLNWLRSQAYIDYFESLDQDGGFFYERWGDAPVH SIAASLLLKKEEIHFFNDIAYYHVPFTHCPTGEKTRLDLKCHCNPKDNFDWKGYSCTS RFFEINGMEKPEGYENQQD LY89DRAFT_631956 MAVSMLRRFLMPSVRMPVSFTASKPTTALSSLSRNFSSTPIPFA TYNQVAKGCRKQQRARKAVSPALRDVNAPELKGVCLKVGITKPKKPNSAERKTARVRL STGRVVTCYIPGEGHNVQQHSVVLVRGGRSQDCPGVRYHLVRGAMDLGGVGNRATSRS KYGTKKPKKAAA LY89DRAFT_692066 MTSRKKIIAITKAAKRLSCSVVIKTGAPPGIMLAEGKELDAGDW LEVVRKLRYKDYRLVKREEIPGRRLDVEPGNLVETTSLKELRDFLSRDEDLYKWWGLQ MKHS LY89DRAFT_661156 METNGYPNQNGTIYRPNGMNGASPMHANRQTRPRVLTVDEALPY SPFSSVVPFNSDIVPVPSIGLRSSPSIFSTQEERDTARHGLDSLNREARVPNNTSDRL QRSLNDLKDLLKPEGIAQFKFKIKPKPSSHHSPNELPKLSLTPFAKMVYDHTNVEFTY PSPERPSPPIASGMPSSQKTRPPSKSKSQQASRQASNQKAHDDNTIVVASPSNGRSTK RQYATPPYQAPPKSNSSFAVVIPKPPENFNRQEYVSSQPKAKSPVESPVTGPPHISPE QRAPVHAVILPPTKPVQPHQPTSSHGPTKSPATVSSQSLAVVIPDLPPTFRPEEYQLA PEEPDTPKHLSRKRRHSSGESDEDRLSRSVDQREKAEMAIRNLREYLGEIFEAEDQMQ PGAVHSSHLFTAAGEGLSLTISAQTKVESLLQKVIAVGRFSQVPLDDLLRLQKLSEYA LKDAESVDVKIEDSMGESEIEAWVPQLHIAELGIKAARTSLRLMSGGREDKQLYSEDV ILSALNAFKNVTETCIVPVVEIRSTGPTASLFKLLSAEKKTIINLLTQCRRLFGLMAT LVANIELSETVINTLEFTTSQLVFVENAQSERDSVLGVAKFDSLRVVAMDVLAQIFLC NPAQRSGILDDILTSLEKLPVTKQSARQFKLPEGGSIQLVSALIMRLIQTSATKSDDA KDNRRRKALEALNGDGEVNDSAQHAAGRTTINSETRGEEQPVTAIQELREAVSPLLDT ARGNANYVVNFIVTRAMKSTKTGDTPYRNLLDLFVEDFITCLSSTDWPAAELLLRFFL HRMVLLAEGEKTPAPARNMALDLLGLMGAAISELTSHVRKTAGSLENGDSDLAKYLAR LAEASLERRASEGEIVSWACGPYRTCLEFLEDRASADGDPQLVSAVGFFTAEWAAKVC STFDMIDDENYDHANIEKEYGRLAYRIRMMINDRSWLSTEYSFDKVSPPHARLAYSLS LLHSPFCSSFARVFSILLKSTTSDQATVRSKSLKSVNQVLDTDATILDTDHNVMHLIK DCASDSSVQVRDSALGLIGKCIVLRPALEGAMIESILHRLCDNGVGVRKRAIKLSKDI YLRNTNREVRSQIADSLLFRVVDLDEGVQELARQTIEEVWMSPFYQSSASGENSTHFK LAMADHVSLMVKTVQRNKGVATVLDKVLQHLLSTEAKYKAANIKVCKALVATMFETII DNPAGEGDDAPSARDALQILTIFAKSNPQLFTAEQIQLLKPYIKNVGAGDDLAIYRSV VIIFRHVLPVLAQVHQTFLSDVKIVLIQSVSRHGKAILDDIMACVWIISVALGGDIQH LTRLGMSSLTGIYNMKNVKLGEIEAKKVCKLLLINGTCGKHCNLDPQIDAFRKAFPNA FSSNREPKDDSVSKLMVDTYAPFAAQTQPEEVRKFALDAIGMVCQSWPKNFSSANIYT TFLGVFDEQDAALESIILRSFKEFLSLEEKRSEAGKDGAPNAAAESAAKLGVMGGGQG DGVALGIAQRFLHHIIRIALSRKDDLGLLATEVVSSIARQGLVHPKETGSSLIALETS SNAKIADLAFKEHKALHEKHETILEKEYMRAVQLAYTYQRDVEDNTHGATLNPFASKL AMMLEMKISKVKNRKRFYDNLCARIEFDPAKINLEDVPHHLDFSQFIIENMAFFEYAT LDELLSAINGMEKVVASTGTGIAHSIESEIFHVSLDQPSLVVDENGHAHPIQQSIDPM RLKLLTASSMLLSTLWEARTYLRRQYGIKSNRQEGKNKGQTKDLNRAPVKAPFVTGDK FWEQVGTIMNSMATEDSMINQCRAFVELLSVDQEFKVAAEADEDAEQTRPSTPSDDED NGTPGTPGGPGSGRGRKRKGSDTPGGRKKRARSSSVGPGRGRGRPKGLGKKQGAEKSD DDGDW LY89DRAFT_374777 MSAIGSLVFCTDCGNLLDSSTGNKNTILVCDCCGAENKDTASKT ITTSTKAASFPSLLRQKRSDVQTVERSDMQNEATIAETCPVCGRQEVRYSAVQLRSAD EGSTIFYTCDCGHKWNTNN LY89DRAFT_692069 MAPSATDPSPSEDIALLTLRKTLTSETEPLARRFRALFSLKHLA CQGSLPAISAIAAAFTSPSALLKHELAYCLGQTKNLAAVPYLKAVLEDRDEDSMCRHE AAEALGAIGDVGSLELLRGLRDRVEEVEVVRETCEIAVARIEWENSEEGKLEKLRRSD FESIDPAPTAPAEQSESIEKLETTLLDTKQPLFLRYRAMFGLRDLASPPDLPTAVPAV HALAKGFSDPSALFRHEIAFVFGQLSHPASIPALSAALADTDEASMVRHEAAEALGSL GEEEGVEETLKRFLNDKEQVVRDSVIVALDMAEFEKGGEVEYALIPEASTA LY89DRAFT_692070 MQNIPKINPSELLSRAHFFLVVLVVFLSLKAITMCITPSCIQNR LQAVLRLELGAIMSVLCSLFVLGVKLYPGSDIHQAYELVGRSARTDFEPLLCGFGLLG TY LY89DRAFT_602266 MAGGVPIAAPTLASGFLRGKDLIFPLSLVISLFFLWGFSYGLLD VLNKHFQTVLDITKLQSTGLQVVYFGGGYLLFSPVAAEVMKRKGYKVTILMGLSLYSL GAIFFWPTAHFSTYEHRIASFGGFCACTLVIACGLATLETAANSYAVVIGDPASASAR LQFCQSWNGVASFIGPLIASKFFFSGKNANNLVNVQFVYLAVACAGVAIAVLFLVAKL PEVSEEMLNSSTVENYATDEFGQEIGQGPIYKQYNMIFGFIAQFCYVGAQVTIASFFI NYATENATFTSAQASNLLSYALITFTVGRFVATALATVFQADFLLMIYAAIAIALNAV ICAAHGNAAVGVLIAIFFLEAPMYPTLFTLGTANLGRHTRRGAGILVMGVSGGAVFPP IQGAIADSAGTRISYVVPLVGFVYVLGYVTAHWLRTGRHIMRVKDIVAAGTAGGALGG VVETVHYDGDKDLKVEEAELSRSS LY89DRAFT_790085 MASATITTNPQPLANTSHSRQNHLNRPRSFSSASVGYEDQDSAS TRPNPQMSFSMSQGSQGSGLMMQQGNSFRQYDGSNGVNRRNSAPQIYSAIYSGVDVYE MEVNGIAVMRRRKDSWLNATQILKVAGIEKGKRTKVLEKEILIGEHEKVQGGYGKYQG TWIKFERGLEFCRQYGVEELLRPLLTYDMGQDGGIAGRGGIDTPTKEQAMAAQRKRLY NAGADGRNGGQSGTFFKNISSTASHAVAAISKARFDSPVPRIRNGNVSRPASFSRQSS SQQHIGSQESTFPGGSQQSFASVDSFTIGGQDSAYATQFSHTAREEMRNGDFEEPPRK RIRASPSMDDTHILNGNYYGMSMREASPTEPNDSFVYQSQYAHGIIIPLPPLPIPAGL NGSEKRELLMTLFTNEHQTDFSKHKAFVNLTAEELDTPIDASCNTALIWAATLGRRHL LKALIGRGASMFRVNSSGETALMRSCLVTNNLELGSFPEILELLGPSIEIRDTRGRTV LHHIAVTSAVKGRSQASKYHLETLLEFVVRQGSQPNSQQSFNNGPPALKTLGIGRFMS EVVNIQDRSGDTALNIAARIGNRSIISQLLEVGADASIANRSNLSPMDFGVGDPTEFD GRTGEERALNKGVGTDAKESSSEIITSITTLLTETENEFTKEMEKKQNVIDDLHSQLR AASGDLGEQRRRLEGYQAEANERDICKLKTANLMRAYEEERSRLSQMQGQYGQMNGEI ELQLGDADKGYAVPEQAANILSRINPHPHQPLVISQPERQALASSLPPVHVLRARLNA YKANNQALEESVRDLQSKSSELAGKYRQVISLCTGVEESIVDSVLENLLRAVDSEQDD VELTRVREFLQRVEGA LY89DRAFT_692074 MAPPEQVLHLYRRLLRATTYIPDSFTRSYIHGFVTSRFKANCTP ANLFKPNARALASNRLKKARHWAKIIESASQGHIADLQKVLIQVHGRQGPRKRVLLRQ LLQPEEDRLPKDDSALEDLIHKPVADSSLRFERGTKLYALCESQRENHHPEHNKSKLR HLEPKIPAENGWGRPTPRKLAENLRKRWWAESIDKLLPPVPQGEVQRLRDLASGAIPL DEAPPRRAKGTISDLYKAEQKHISGDQLLNVLRSPARTERLGVPKLDFDPSRGLVIAH TEESAPKPFSPSHARSMRRMYSLISQLTPLMIQDEVTKKWIVQWGGRKSKALNGVVSK PSAQHLELFEGLNGVDDSARPLTRRQNNTLKKRERAKLEELEGENRLEL LY89DRAFT_692075 MPSAQEGVIVRHAVREDVPTILQLIQELADYEKESAAVLATHES LSNTIAFAPSGLVSPSNTTQSTGDAISASRPARCLLLFTPSGEAAGMALYFYNYSTWR AKPGIYLEDLFVKEKLRGKGYGWRLLGELAKEVVAMDGGRLEWSVLTWNTPSIQFYEK IGAKAMTDWQTMRVDGEALVGLAKNAPS LY89DRAFT_602123 MSSPTPHIGIVGAGVSGLRCAEILLNHGFQVTILEARDRIGGRI CQSDKLGYRADIGPNWIHQVCRHPIVDLARETNTPLHPWNDKQNIYDSSGKLLPDDKS DRLSSLLWQFIEEAFTYSATHQNEISESDSLYDFVKSKAEEHIKEQEDVELLLQMSQM WGAYVGDQVSRQSLRFAWMEECCGGGEMFVESTWEAILGEIAGIPLEKAKVCLGEKVV NVQTSSRASEGGKVTLSTEKGETFLFDEVVMTTPLGFLKRNKGAFEPVLPQRLLDGID AISVGHLEKVYITFPTAFWVDAPSTPLAPNLSPQERAKAEDTFPGYTNWLSPSYSDAT NPSRWPAECWNLASFTPPNRHPTLIFYLYGESSAYLTTLVHRKSTEDHHNLLNSFFKP YYSLLSNYDATSPDCEPKAILSTEWQKDELSGFGSYCNFQVGVKDAAENVKAMRFGVP EQRLWFAGEHTAPFEELGTVAGAYLSGEGVALRILDGYGVNGSIN LY89DRAFT_743325 MENLDTNHSETNEAVPPEPPGLMLENQDNDHHSPVAAVAAMNVP HSPRITSPQPCNKNPTLDSKSVDRGYAPQNLAPNASKAEFIDGGIVSGGKNLWPHPFR KRKQLKPYSKPIPTLIHERFLDLRELYADSLNQFTRSLPHCQGILMSLQVLGEDEASA EPYVFVQCDRAIFKRVNNFFKQPFIKFVFEPDIPTETSPRLQVIVCPLKPRQLAESLV TPSQHNSPIAQDLIRVFSHLLKKLYRLVLYVDKVSTEDDGFELDLDSFDAITGEERTN TEGTEDTGEISEPLDGRSMIGRISMTSPNCIEDGRNLDWALTTIKKKSMSLPNMIKAT GGQQEPRPLEKRKGYDLEERVVVISSRGPILGSLSTAWSFMMLSPGKSLVRTFLLTFD NGTAFQSGDSGAWVVDAITYEVYDHIVADDVLGRGYAVP LY89DRAFT_767316 MTSQFPLGSVNNAHLQQLGEVLWSWEVCTECLAGKPCKSKDCPW PRSTHLTLYFQHYKLLTSLYECNIEEGQTSGLVSHEDIFKLIRQLQSNPELTKAELLR KLFPNFPIRDDQERALNLAARITMMVDCSASRQSSVLLEHGNHKIRWNNDVTFPQFLC DTFPQSVHPSIQDITQELRGTKLKKHARLCFKPTDDLRNHLRLDRKAAVVEIFHHTAF LKEQLRFTKDLKVESLSATDLAKLGVLPRALALEALDSIQKVLFPLAEPDSYKLLESL TSTSTSNFDTDVLRYVSSAIRKPEEDSMPYRYFGTRLADLHEELMNPTPRGVEKWFER NSGARFIMMATIAGVIFAIFLGMLSLGVAGFQAYVGYMSWKHPVIPLPTPQATAL LY89DRAFT_767318 MASSLSILNDPPQLLNGPQLLHELIPWDQHIDACALDCTSNEIN TTYSYRELLLCADSLRVRIQDSLSESQAQSSRQHIIPVLLPQSPELYISQLAILRSGG AFCPINLDAPKERIKFVVGDVSASVIITTSTFKHTVSWENGPKVIIVDEFPSVDQEQV VEKRPSRDVNPDELAYVMYTSGSSGKPKGVAVSHLAVTQSLLAHENHIPQFKRFLQFA APSFDVSVFEIFFPLIRGSTLVGCDRAQLLNDLPGMINKLDIDAAELTPTVVGSLLQK RSNAPGLKLLLTIGEMLTRPIVEEFGGSETKPNMLYGMYGPTEAAIHCTIYPKMEANA KPGNIGIPFDTVSTFIAAASETEDDSSKLKFLPVGELGELVLGGPQLAHGYLNRPEQN KAAFVNFEGRDYYRTGDKARQLEDGTIEILGRISAGQVKLRGQRVELGEIEEAVYKHQ GVKTVSAVVLANALLVFALVSDVNIHTEDVMNTCSKWLPKFMIPSEIILLQKFPYLPS GKVDKRKLESNYQEERASMDHDDSISSSKTEKAVKKVLHDILGPFPRSMRLASVGLDS LVAIRVASRLRSEGYAVSTIAVLQADTLTSLVRLCESIDSKPPSEQSRGRATNTEGIT AVLNGHAKDVEFSMPCTPLQTAMLSETAIDERAYRNWVELELPAMDNTDYVVQALYKL ASANPILRTGFSESQASDGYVQVIWRELAESRIEQVDKLDYGFDRSKDMSLHHPLRIQ ILQATSAIKVLIHIHHALYDAWSLELLLDDFDALLAERPTPLRPSFGDVVDAYMDGTL DVDDWTLKDYWKDHLAHLELARMPNFHTDVDSPPGLALIRQETSISMTEVEECSRSLS VSPQSLFQAAYALVLGSYLGSSDICFGSVFSGRTLPVAGIEDIVGPCLATLPVRIDLS TSTTLRDLIQELNATNRKHLENSILPLRDIKACTGVHPRQLLFDTLLIWQQTLHSVDH KRENVSLVDTLDNLEFNLTMEIIPSAGNIELKANYQQALFPASQVNLLLHQVEQIVRD LVRDPDTAITSSFSHFSLNILSIENEAPDFRPKGETLSSPVERIAAEEPDRPAIEFAA SIKGDAIDVDRVSYSQLNSRSNQMGSYLLECGVLPDELVCICMEKCSDLYTTILATAK IGAGYLPLTPDVPRERLEYILREAGVNILMAQSASRSLFKSLPSVKVVYIDEVKFDLF SPRDIPSRSMAENVSYCVFTSGSTGTPKGVLVTQSNLLSNLDVLQDLYPTSGSSRFLQ SCSQAFDVSVFEIFFAWRVGGCICSATKDVLFQDIENAIRALEVTHLSLTPTVAALVN PKKVPKVQFLVTAGEAVTQKVFNAWADRGLWQGYGPSETTNICTINPLVSRRHAINNI GPPFRNTSAFVLSPASEFSPVPRGGVGEFCFGGSQVFRGYMDSRQNIGKIIEHPRYGK LYRSGDFGRLMPDGSLAFTGRKDEQVKIRGQRVELGEINNIMLRSDEVKDCVTMVIDG DTENSQRLVCFWASTLSTSEKLEFLTPETTVIERLYTSLEAALPSYMIPSALLPITFL PSTAQGKIDRRRLVKMFRALDLNYLDMISQGPKTAEDHAWTGLENKIADTVAKITKQP RADIHLDTSFFNLGVDSISAISLARTLRQSIELQVEISDILKFPSVTRLAQRISSRLG EDRSASASDQTSFHFGLKEEFKTSVITRFGRAGKAVQAILPCTPLQEAMLSAAEVSPE SLYDNRVTLNVCGNVNKLQTCWRDMVRRHEILRTCFMSTDIKQHPYVQVVLEKHDLSF DRDSKDDLLQELKPPYTLQLTGSESCHKLSISMHHALYDGVALSVLYEEVESLYRRES LAPPVSFVPFLHKIETMDLDIADKFWESTLKSCRNTSLKEISRPSSASIQLQPITRID RMTSRGPLHSIEADIKKHSTSLLAACHAAWASSLSELVEEIDVCFGNVVSGRTVPVED VERLVAPCFNTIPARLQNIHNLTYLEAFRKLQTLNADSIPFQLTPLRRIQSKFSPDGS RLFDTLFILQQPPRALDSSIWTIEEDSGAMDFPLVCELVPKHSDDTLEIILHSYTSVL SDTEANSILRSFEEKLRFALENPRRQMMSSEIRDKILEKIQSREESESQVSLGEATTK PMSPQETQLRDIIACFTDVPVDRIGRDVSIFRLGLDSISTVQVATRLRKEGHALLASD ILAHPTIAQLSDFLSSKTGGHISEIDEFDFSAFDGAYRESVCAKNGINPLEVEAIRPC TAVQQGMLAQTLHSEGHEYVNSVWFNVLPDVDISKLKHAWAIVCKNHEMLRTGFCSTE DSNHPFVMVTYSSDKFELPWVEAKDNVQSSSSTSEELRRRPWTLQLSEEEGKQTIQFT AHHALYEAQSIQMILEDVAQVYADSQMAARPSIASMLGSILRHTQDDMEAKQQFWQRD ENKIVVNRFPDLTPLRLSDTTSAVKEVISQSTLTELEARCRNHGVTMQAASQAAWARI LAAYIGETSTTFGMTLSGRSVSEDADAISFPSIVTLPFRCDITGSNSELLTRTMNINA LLHKHQFTPLTSIQKWAGHPEGKIFDTLFAYQKLPSNDQDIQFPWTIAREEASVNYAV SLEVQPTNDDRLLLRLTYRQDLIPNEQAELILQQYDAMLLDLLQNPNSSCDAVPQIQP DLLSITAAIEHVLPGPVTLLHEFVERGAQEWPEKTALEFATSLETGNFKSRSWTYSQL DQEANKVAHLLMQHDVMPGDIVAICFEKCAEASFATIGILKAGCAFVALDPNAPADRL KFIVEDSAAKLVLAAGKPGQNLRGLLENKIISLDSPEIFNGYSSERPTLARNIKPDDT AYCLYTSGTTGTPKGCLITHENTVQFMLAFSKLFAGHWSEDSKYLQFASFHFDVSVME QFWSWSVGICVASAPRDLIFEDITGAIQQLQITHIDLTPSLARLIHPDDVPTLCRGAF ITGGEQLKQEILDVWGEHAVIYNGYGPTEATIGCTMYCRVPKNGKPANIGPAYINVGS YVLKPGTEIPVLRGGIGELCVSGKLVGKGYLNRPDLTAERFPTLKAFDDRVYRTGDLV RILHDGSFIFLGRADDQVKLRGQRLELSEINEVIKKGVNEVEEVVTLVLKHSTQQKEQ LVTFFVTSSSDGDGSSISTMRDACKSRLPGYMVPTHFIPIKKLPLNANNKADSKQLAA MYNELSVEELQRLSQSGLEDKKWSENEKPIVGIVARTLGVEAGTLTRSLNVFELGLDS ISIIGFSRALQNSGLENAKLSVVKSNPSIGGLVRALLNNSALDTQTENAFVAASQYIT AFSQKHMVGICKELGVESADVESVTPCTPVQEGMIYRFLESDLPLYFNSFEFKLNDEI DVEGLMAAWQRAIQHLEVLRTKFIATDDGFAQVVLKEVDDSWRNPATNYNTVEKIIAL KIPYKLDFSAREGFSFRIFHGLYDGNSLTMLLRCVVDEYRGMDVDYGPSFTSSLPYGP LAQVPGAHEFWTQHLAGWSANELAITSYATKDVVATSHIQDISKIENLRKKLGVTPQA VLQAAWISVLQKFTSSNLTLGIVTSGRAIDFEGADRVIGPLFNTVPFHIVLQTGTTSA ALISKCHEYNMQMQDFQHTALKDIQKLSSAKTGEPLFESLFVFQRENEEDDETLWKSE DGGQIADYPLAFEATLNQDNSKLDLTIVAQGRIMDQAKADDLLTAVERALQDILSSDG KNVVPVNEIGQGNKKRNGLPTSDDIDKSSTTNGEFSWTEDAQKIRTEIASLAKVSEDS IQNNSSIFELGLDSIDVIKLSSRLKKRGIEIPVSVIIKCQTIANMIGKISKNGTEKVV QGKNLDDMSRDLTAYLQSTGKLPEDVEMVLPATPLQQSMVNEMINSGYKRYFNVDGFK LGDGVDMEKLMEAIKNVVDQSPILRTTFIEIEDPKLPIAYAQLVHQVGSGHGAFSNTK LAHGESFETFMDQFQADSANLAAKTGALLQIQSVSCGTLNYLAIAISHALYDGTSLRS IHEDIQRSYHQRLELRPDFKPFLEQVFESTSEDAKKFWKTTLSNLPSAQFPRKSEVKD RDGSMRIERRSRVSLGQVEELCKSSKMTLQTLGQTCWALVLSHLMGQLDVVFGSVLSC RDSEEANEVMFPLMNTVAVRSVVHGTLNEMLRYMQDMSDTTRQYQHFPLGTAQAYALA SRNNQAERKDTTLFDTLFIYQGRRSTKVKDRLYESVYGASDVEFPVCAEMEIVDDEYI YWTTACKSIARNATETEGVIDALEAILTRIIADSQAPTIVSDADGVSVCGLPKFKIHQ SVSKNMHSLSTPAEDDKWSNAEMAIRKALHEVSHVPEDTIKKDTSIFHLGLDSILVLK LPALLKHYGIKLSVSNILREHTVFSMAKAASQSTPETNGTIDIDHTLANAMESIDISS ELQVLEQEVGEVDYTMPATAGEVYMIKQWRASQGAMFYQTFTYALPGPFKKQALANAW KTLLASHDILRTGFLELGTQIVQVVFKDPKNEIIYCSKGEILPVTRKANADLRLPPLD LVVQDAEGASFTLRIVLHHAIYDGMSLPILIEELQCLYAGQQPEISLLSFKSFVAESV CSMSATTKDKWISYLSGETLYPLKVVQSTTPSKTKKRTEVFHPSNKIKPLNKLAQSNG LTIDALFLAAIAKIYARHLQGSSASQEPIPQVTFGVYLANRAPFGEDLSSLAAPTLNL LPLCVPNPIERSIEEVARDVQKGLSMISEKSMVGASLEQIHEWTGTRVNCFVNILKSP NPGTTHEDTKGDWEAVQDLGRRAEIVTEHVNENLIVDEEEVRNGAYLPSLDIELRYKS EAGEVDMGIFAPNEMIGVEEAEGMVREFLGFWQG LY89DRAFT_602139 MVNYLRLLAQETGSYSALSSYCALYRPLQDFQSRAILVCGILLA IAAGAPLPIIGVIFAKVIDNFPLTEDEVRNRIWQLLAVAIAYFVVTWGYVFCWGVIGA QISRGLRTQMVNRALGLDQTYFETQCPDITSRLTADAQTVQSGTAEKVGIFIQSISYF VITFIVAFILNARLTGILFAAVIPSMILVIIIGTSILNHYSNRVLEGTSSAASIAEGA IKAVQVVQAFDAFESLTADHENHLTRAMKDGVKKANTGAIMLGSIFFIAYAANALAYW QGSKLVRNNISTGSAGTVYAIVFLILDASFVIGAAGPFIQSFSHAATAGNRIYSLIDY PDIPIDVYSQDGVRADDDTFGPNKEIIFSNVSFAYPARPEEIVLNSVNFEIKTGSTVG IVGASGSGKSTIAALLLRLYDPAQGQIQVDGHSIPEYNLSSFRNQIALVDQNPAVFSG TIYSNIKDGYKGAEISEDEMRGRCIKAAKAADAWSFIELLPNGLDTRLGEPSGTKLSG GQKQRVCLARALVADPALLVLDEATSALDTISEAAILTSLGTTRSMGNRTTVMIAHRL APVRSADNIIVMGKGEILEQGDHESLLSRSEGVYRKLIEAQQFSSDDASEVSMLDKAD LVVEATPGLDSDEDVMKVSDIKEVVSEAEIQTFGTFAILQRCLALSRSRAFFTCLGLV GSLTTGGLILGESIIFGHLVELLNGDVPSDRVNFFCLMFFVVALAALAGYTISGSSFG LVSEHLILRTRDLSLRTILRQDMEWFLQPGRSASLLTSVISMDAGHLSGLSGVIIGTI VSALVSVVGGAILAHIVAWKIAIVLFATSPVVILAGFFRFRILSKLEEKNQKAYTEAA ALASEACSSIRTIAVLGIERETSQRFHLAVDKFKDQTFRHTALGNLLLAYTHSLMWSR YFVYALAYWWGARQVRSGENTTLQFFTVLPAILFSAQAAGQIFSLAPDIGRAKGAASR VFALHDQKPTIDIRSSIDAPRESIKLPPTPSKSMGNISFQNVTLAYRSRPDAPVFTNL NLDIKAGETVALVGRSGAGKTSTISLIERFYDPTSGSVLLDEVDIRSVPVSQHRARIS LVAQDPDLFSGTVAFNVGLGARPGHKATDEEIIAACKAVGIHEFISSLPDGYNTQCGN NGSQLSGGQKQRVAIARAYIRDPEILLLDEATSALDTHSEQQIQRAIQLAAKKRTTIM IAHRLTSVMNADRILVFEKGKIVEEGRHEELMKGGGIYEQMVKAQSLG LY89DRAFT_743329 MAPSAISSSIDEQDSFHSTGIFPLNEQLNNTHLGGNMTNGHINP LPSSSNETLDLLCIGFGPASLAIAIALHDTQSILSKSPKVLFLEKQPQFAWHAGMQLP GAKMQISFLKDLATPRDPRSKFTFINYLFSQGRLNQFINLSTFLPSRVEYEDYLRWCA SHFEREGKVGYGMEVCSVKIGSTSPSTGKVTGWEVTARDNTGDFVTRTARHVVVAVGG RPVVPTIMQGLKHVAHSSQFANAISKIEEQARESKRPLRFAVVGSGQSAAEIFNDLWE RFPDSKVRLIIKGASLRPSDDSPFVNEIFDPDRVDGIYAQDPDQRALALALDRGTNYG VVRLTLLEHLYEKLYMQRLRSSSPMDWRANILNNRQVLSATQSKDSGVLLKLGEVLEK DGAEEELEVDYVFTATGYKRNAHEEILSDIKDLLPGREKEKFGIKRDYSVVFDHGKVD EAAGVWLQGCNEGTHGLSDTLLSILAIRGGELVQSIFGSDAASSTSASTAASSPA LY89DRAFT_661190 MSNNTNPTVAGGKKIVFTGGSGKAGRHVIPYLLSKGHKVLNLDL VPFPDTSVDVYTMKTDLTDSGQVFNALISHFNMTGYSDGPIPAAPDVVIHFAAYARNM LVPDSECFQANVRSTYNVVEAACKLGVKKIIIASSETTYGVCFAQGDADYHSFPLEED YDVDPEDSYALSKICGEKTARTFSRRFKNDIYALRIGNVIEPHEYERDFPSYISNPSS RKRNAWSYIDARDLGQICDLAIKKSGLGFQVFNATNDTITVKGTTREFLERECPGTKV TREMGEFEAPLSNRKVREVLGYQDVHDWRKYVKV LY89DRAFT_375029 MSSYPKANTSAATCPPKCTLCSWNLEHTPEDGHALKRDEDSLTE DQIYESVLLNERLALLLLHDQTPTVLATLSPGHQANHPLKLHLVLAIGAGNAAKQRRY NEQINSLFTRIETAPPKKLGCLQQELRAYLSSALRPR LY89DRAFT_375047 MFRSSSRSQSDPVAASSEASQPSTWRPSLRGIKKGLFSNKDDPQ SSKDPRIGTAATTGPPLSASLQEADAYLTRTTSFVSSGYGPSKASSFKRSQTTRGREQ PRTSREPLGSKGFTLIKQSGSRSQRHRPTESQGGLLCDLVDLDQQETGPYIPKHAASD FSKSTRQKNQGQIVIQPSSTPYTPTHAASDFSKIKLAPKMADAAATPIQSRDAAPITP PAKLDDSNDYQVFLAAARQAAARTYNTTGVMSPNRPKPCPQVSQKMKEIVAKHQETVR QRATSVSQQSVASKPASIFDKVGEYIKPSRAESVYSQRTGYSDEKSSTMGSVTSRERA GRKVSRDKRSFS LY89DRAFT_790095 MGADVASKMTEISLDKSPGYEPTPFGKEMLKHFMFAPDYKNLNH GSFGTFPRVVKEKQREYQDLCESAPDEFIRRTYPRLLDENREAVAKLMNCPPSTVVFV PNATTGVNTVLRNIVWNYHGKDEIIYFRHIYGACLKTVEYVCDANHYIVNPRELVIQY PMEDAELLSLFRETIKASRSEGKNPRLAIFDTVSSLPGLRMPFEKLTKICKEEGVISL IDGAHGVGQIPLDMSESDPDYFVSNLHKWLLVPRGCAVFYVPERNQHLMRSTLPTSHG FVPRGAGLDARNPIASNAATPFLASFEFVGTIDNTNYCVVQDSIKWRQLVCGGEKAIM DYCINLNKEGGKAVAKILDTKVLDNSTGTLTDCSLMNVLLPLKISDTKIEGVNTIKPG DGMLATQWMQHTLMDEFKTFIAIYFFQGEWYARLSAQIYLDLSDFEWAGNALKQVCER AGKVDYLISTGAPALSHSTTESFAQKK LY89DRAFT_661197 MSVAQLSKLLPLPESDLQQVLDYAATLSKQGAADHFNNLLGESP QSIDFISSFNSRRQDPASSSKAPQTDGVPKPSKKQTKKKPPLHTPAPRQVENTYAQGV AYQKKNEDDYISKRPIPAGSSSLDPKPAAIQAPIPKAPPSAAGTLISDFKTKSSSSSR VPSQNPSRTSSPAPKATKVSITGGTSMHGASTVLTELDAAIRSLEISTNSTLLLPAAQ RACNCIATRHPLLASAPNCLNCGKVVCVKEGLGPCTFCGEPLLSAAEVQGMIRSLREE RGKEKMSLDNASHRRAEISKKPAPFSGPRPGQDSVEGMTDAERKAKEHRDRLLGFQAQ NAKRTTVRDEAADFDTGIGGRGEGGNMWASPAERARQVKRQQKILVEQEWNARPEYEK RRQVVSIDLVKGKVMKRMAAVDRPKFEVERDDEDVQVEDLPLNEMSGNGERGRGTFSR NPLLGGLIKPVWDSGKGKDVELESRKKKTWRRVQDSLEDNEEIILDGGAYGGTADRIE HEEPS LY89DRAFT_375069 MASEPWDNGTFEWMPQPEENLDMSWGDIPFPAVKPDLSMSSSGT SNFYVPFEEFEQMFYPSPDSQPQHPSSESSFAGSLLSDRSSPSTSETISDRPTSETQS PSPPSTGPAFKCRFCADTFEKRHLLNRHENKHTKPVPCPVSGCPHRTAKRRDMQRHVV VHHSHEAPVAVPKFLCPVGGCKYAEAGFKRKDHLVRHLERKHP LY89DRAFT_375071 MVFSSEIAFLSPSTSYAQPSSDIHSYRDLLIPSTLLMTSPACAS SLSSQASWLDSGPSEEDDLLLCSRIEPVTAYEALSYCWGPKLDCTRQRYSDEYVLALV KSGIVRIGLYENANLDHELGQSVSPHIKDKDPSTFDDDPGSLLRQYFDQNILVKDDKP SFPDFGRNDQKDNMGGEIIDDLETLFDNIYPISLSITSFASKLFVAWMLWTVAWYTTM VAILVYVDSLDLPPSHRNQDYLLLLEYSTPLCGIFFWVLCPKFSTMAYGSFVALANWD TFINLATCLPFGLWIYVWTKYLVLNRSLSYCRQAYSNDMSMSFKQKQATASSILDFAF RCFLNCGAAVPTIGVFLRKAWPTMPDSQAFEEFQGPSISGILESGYRHVRFYRPINKR SSQSGERKEFARTTTWDLPHITIQMPVYKENFGKVITPTVKSLKLAMARYERSGGTSS IFVKNDGMSLAKLELSERDRFSVNMEQLSMGTSEDDVHDKSHEHRLLTPLLIMISSPR LNLSAGTQLLSHLGARWLRVFENFKPALTLRIMLVPT LY89DRAFT_790099 MIDLLYFSYPAFQGNHQSCHLICTFVVYTHYCSLVNIDSFAMIC TVCYDMLRGHSNIQWRGTFQYLYFCHHSNIQQLRESAHRSCSICRILLIELLRIEDKK KKPVPQNMWSEIYIYIRKLSWNAWFGRGQSVSEEQLNLIEAYLSEHYVAGHDGIYRLD FELVDKTKIGTFVLQRLDCISETSERGESSTPQRIEPLTLDSLYTPSTTRTSADSVLT LAREWIEKCSREHDACPLKEDFDSWYPSRLLDLGEATESNSRVDFDHVRLISTEEVLR NGPTKDCYYVTLSHCWGKAHFTTLTVDKLASFHEGIKIEILPRTFQDAIFVARRLSSK IRYIWIDSLCIMQGSIPEAYEDWLRESAQMYQIYRYSYCNLSATAAVDSSVGLFFQRE PRDLWETDINLNVEGVIRESLAVSLRTNQALTAQLGATVPESNPEIQRQETPRIERCR ILDVSFWTRYVEDAPVNTRGWVFQERLMAPRVLHFCQNQIAFECRHHYAAESCWTGLH NFRMQGGDIVQRDKFKSLIPHRDNGSLGNLESDNPFLDPTSQIDIYRRWKRVVEVYSK MRLTNPKDKLIALAGVAQMTFDENHDFYVAGMWRTYLESQLLWFVDAVYEDGRFYYRS ERPKFYRAPTFSWAAVDVPHGINYGEITDHDLLISVVEVDISPDSENFKFGPVKSGYL TVRGVVNKVELAEIPMNGYVRYAWHLVTKTDTRTVMHRNVYLDSPASDINILGRNGRV HCLAARKDTSGYLICLLLQLETEGNMETGSYKRIGLAKIPSYEEGQERMLEFSDEDEP IPYGNWDANCQKHTIRIV LY89DRAFT_692084 MAKQDGLEWIMETFGLEPRWTRDPDTAKIEQLARKHLHIAPNSP CTIKFHARGAFNKLYKIETETRNALMRVTLPVDPHNKTNSEVATIDFVREFTDMLVPQ IFAFDDSNEIELGFEWILMELLPGGTLRSKWRKMSGDRKRDLVKQVVKYQAQLFRHRF EAVGNIFFDFEAQSTLDIVTSTPPVIKEPRENPLPTIGQLVSMIFFWGNHINQNVPRG PFTNSEDWIRARLTLILTDQETILSTSTDEDEIEDAQSAKDIAKRLLKMLPKIFPPDT PPETTILFHDDLSMQNILVDDNEKITGVIDWECVSALPLWRACQLPQFLEGRERNEEP KREQYALDDGKEEDEGEKEPLDNESMNSLYWEHLMEYELTQLRLLFREEMRIVAPEWI QEMQSGAEKADFEDAVRNCDNGWRSSTVVAWLDAREKGEKWSLRKKMFE LY89DRAFT_692085 MAIDKSAIETLKTKLSPTSEIVPPDSEKYADSIKRWSAAAEKPA GLVVYPTTAADVSQIVLFAKENNIELATVGGGHGTSGAASTDGGVCIDLSKMRKVTVD PEAKTITAQGGALWRDVDAEAEKYQMATVGGTVNHTGIGGLTLGGGYGFLSPAYGLVI DNLLSVEMVLADGTIVTASDTSHPDLFWAIKGAGIGFGVATTFIYRAHSQKSPVWGGL MIFPKPHLGAVIQMANTVVQESEPGPVMLMGFAALPPTCQPVILALVWFNGSADEAEK YYKSLLDLGPLVNTAKEIPYSESNTLANGPSAHGLRRTMKGSAFLAPLELSFADTIWD EYIAFIEKVPDAQETLVMFEFVPFKKILAVPQTATAFANRGAYGNILLQPTWRDKVND GVCREWTRAVSRMAREELERRVGEGTDEVTKSGEGEYGNYDSLGASGKTVFGVNFPRL VELKKRYDPQNVFCKGPSLQE LY89DRAFT_375144 MSLSGQHAALHEFFRWESQSWVEVVKDITTDEKSYFMPLENLRT YFKANDSKKLNRILCEVFGTTFPPVDSDFILRDHTAVFVILLRIGQGKLIEHFAQYEE LSDRRLPFDPVNPPRELLEITEDRDILGRFCEKQIMYCVPAFNGNMVNKNFGRRLLPI TSKEPQGTEGLAGRYVIKVFGPLNKLVPAGQETTNNPNINTFVLKRYPTKEGEVDYTK EVNGFRSVKHADSIIKFYGSYILGNDRNILLEYADKGSLEHFFQKETPPSHGGEVIKF WEGLFGLIKGLKAIHSVAEGHHDVKPDTISVLSNGLDSADCLFKFSDIGLSARGKGNR QSTSNEMQAAPTYGAPECYMPYNSDDRAVKGPSKVTRAADIWSLGCVYSEAAIWLADG YKGLLDYRKQRKAETDRILFKGGECFHDGERTLQSVLDAHADIEDRLRRSDYITKDVL DTMVDEMLWEEDRPNAKALARKAEMVSSRARQKLNTNSAGSSDGFSRPGSSQSRALPL PRLQPPTGPLPPIPRPPPSLSSISERHPNDVETWRTRIEPSSRSVINGPPSVITSPTL ANIKMMSASTTESLYDLDNEIAGSLASWQMSDQMTDINSESPITPITSPHVSVNYDFH KHISNEGRPRVLRTISNEYRGPPRAISTGLSHANTDWDTASTVAPASEHPSMFIKDAI NMPLPSATERQAMRSDSRFDDVKTLRRATSQASSQQSSARSAASRHSVQADSRSIASS NSDQAPIPPKSSKRLGFSLFPTKSRQASPLSPLSPDNTRPTTARVDSSEDASMPRSMP PLNPGMMPETADRGSAPDYLSLNTALQWKMTHKKVKKASKLPPLPGADQLEHLHDRDH VFIIDDSASMTPVWADVKRLFEALSYIVKPMSPKGTELIFTVSYDAWQRRDTSELCSF LDKKTCAGETDISWRLGVQFSLYKNRFINEKKKELLGKKFEPVRPVSFYVLTNGEWRK EGGAVRKVLEDMVAWMKEISKPGWCTVEFVSFAQSAKAMGHFGDLAGLEFDMDVHDCT RWTGNVLKMLRGPLDKALYADDSNAGAGGPPATANGGGSQVSSGPSSVGSSVMFSHPN VGSSFELA LY89DRAFT_767343 MIRRGEMRRLPPPSPQYGRSSETYRIVFFFITRTHITADIAIGI RLNCLQANRPSTVSLCLISSRDNGYTEFRNQTLAVRRSIFGRHPTSSSSSSQGSSGCE SANQKCEWEHVSCSALLASESDILVSREINSLVDQGAKRIARAANKEQMQVNRPDMRD GGPPRSHQPWANAENSSITRAPSGLRFVVVLVLLSFPLQSLLGTVPWPEGAGGDGRGW LY89DRAFT_692088 MDNMCLSFMPIILDPTVAMEVTSHNTLPRPTLSLLKMKRMSAQG GGSCFSFINRTGVDLFVSVRPPYFARPKTRGARRIGPDRWKP LY89DRAFT_743341 MTVSAALGTNPEMSTEQSTSSGALEETLDFGSDQDNLLGPIEQT EGNVFHHIPYSSQSPSSTKDGPQLMERLSPSRFLFSRAIRGNKYNNQHCKIPGEHIPD TSQSNIPHLHRNPQFPARRRCELVYGTRAATSFFTITVALRSPHPNCTNSTNDST LY89DRAFT_602291 MVECPICTKPVKPANINQHIDSGCQTFIEGHSHEPSQQDGVSSV SSFFQKPAASRTAVNVVPTVDAPISVDMSRSTTRNAIDGNKRRVESIMPSRVSDVNPV DVVGEGLAFKKQKTSNPFQKELPLAARMRPQTLDEICGQELVGPNGVLRELIIQGRIP SMILWGGPGTGKTTIARVIASTVKSRFLEINSTNFGVGECKKLFSEARSEQKLTSRKT IIFCDEIHRFSKSQQEVFLEPVESGLVTLIGATTENPSFKIQSTLLSRCRTFTLQNLT VSDIETIFERALELEYPNHSRPPVLDPEMKAYLSAFAAGDARTALNILELAMSLSTRT DITKATIKKSMTQTLVYDRGGGSRYDTMSAFHKSIRGSNPDAALYYLARMFEFGEDPL WITRHLILIASDDIGIADNTLLPLATAAYSAAEKIGMPECRISLAHATVALAKAKKST SVFLGLASALTALKEPGISALAVPIHLRNVPAELMEELEHRKNLKSNPDYKQGTVEQE YLPDVLKGRKFFRE LY89DRAFT_678297 MSQAINTSAAIYDPGVGSKHAVGEAQSSAITNTRRGRTRDRRPS EEEPLSILTTFQEADRSEVVQSPDRVIRRNDALQRRLRYCSRRELSVDGSTNHKLYTN AAIVSARGIVELARVKIDGGSLHNLLPRSIASRLGLPLHFGSSIRVNQLSDLGNYRYY IPGSHGNLNELPVPRPIIDAEAEMEFAMEEEIAIGAAIIREGTLMAEEAPTALRGDEG HGDEEYELGELANEVVWVSV LY89DRAFT_375218 MSSLYSLTQTQREVYFKYSTTPIRNEHYYASQASQSSSAPADPA RKREHQNAHTEISSDTYHSTNTDDNHNACDISDEDPRLAKKRKPRLALAVILATSRGY TLELHIGQPRFFVALSIATPKINDAQL LY89DRAFT_678299 MRLLRNKPGGGFELSNFNTDDTPPYAILSHTWSEGEEVTYDELV AGEGKNKAGYAKLRFCSERATKDDVSYFWVDTCCIDKRDNTELGTALNSMFRWYQRAT KCYVYLSDVHVLDEVIDAQAFRITWEDAFRRSRWFTRGWTLQELLAPANVEFFSANGK QLGSKITLEQEIHEITQIPIKALRKYNLREFRVDERMSWVVRRRTTVEEDRAYCLLGI FGIFLPLIYGEGEEHAFRRLRKEIQGQADSSDSLTAARAQKVSVSSQLPFPRNEFFVG REQYLRTLEERLCPSLKHRRISIYGLGGGGKTAVALELAYRMIAKHSPFLVLWVPAIS RETFEIAYREIGTLLCIPGITDNNADVKQLVKNKLDSGDFGDWLMVIDNADDPSILLD NTNDDPRPGRLYDYLPRSDRGSILFTTRGRKAAERLTQNSVLELGDITKAEAKQLMAR RIIKGTLLDDQSATNELLELLTYLPLAIVQAVAFINSNQVLISDYVSLFKQVDTEVEI FSERFEDPSRYRETESTIARTWQISFDQILKQDQLAADYLSFIACIDRINIPQSLLPV EGTPVQRLKALGTLTGYAFIAERQQDSQQVEGERLFDVHRLVQKATVWWLKEHNDWTA WTETAYDRLEELVPYGGHEGRSKWISYLPHAIHIADLRSTLSETERASLLDRIGRCQV TLGQYAVAEETHRRVLSLRRENIGNEDVSTLISMNEVGVALDNQGKYEEAEVMHKQTL ALKDKVLSKEHPFTLISMNNLAGVLGSQGKYEEAEVMHRQTLALKEKVLSKEHPDTLA SMNNLAGVLRSQGKYEEAEVMYRQTLALSKKVLSKEHPETLTSMNNLALVLDNQGKYE EAEAMHRQTLALREKLLSKEHPDTLISMNNLALVLGSQGKYEEAEVMYRQTLALSKKV LSKEHPDTLTSVYGLAHLLAQQDLYDEATTLYQRACDGYSVVLGDDHPTTRACQRHYL EMLQRKEQNEL LY89DRAFT_767351 MSAPRNETTTLNYAKWLPIYEKEVPYQIISAFAGNYKKTNLELG PAPCPEVIHDMCGMESNFTADSHGFQVCHQETTIQNWTNREVVESQYFAEMESLLKKE PDDVDEVFFYDWRRPRKNIPFKTEGIVEVDLEVNSQYLLPVGNAHVDLSAAGVFKRVR SHMGDRAESLLQGRVRAMNVWTPTCSWPVRDRPLALCDGTFVKDTNLLPTDHIKKGYI EQTSNLMYRSGFRWYYLSGQRKDECLIFKM LY89DRAFT_726140 MTSSELKNTDFSPVDYAIGSPQFIYFVWCPVNLTDVFATTPLLF SRPTEAQVSGIPGVSVLVINQGEIVHSNNFGFSNLAENILVTSDTIFHIASMTKSFTI ACINRLRVEGKLTLDNTIQDILPEAQSRDPVVAQFGTVADLLGHRTGFYKVDSIWLGA EGELMFKQEQATAVFNQLRSVQSVRSKFMYNNIYFAMLGEIIAKLSGMTRSITTKVNS LPDNTSLTYNAFSDATPFNVPLPGSSASGALGASGSLMSTSNDLSKYYKALMKCWTAQ TNHKERASHIAENLLFDDVSWLFTPLQIMDLPTAREKSYAGGWARSQLPGTHQSSLVG DTSFVMLLPEAESAVVVLTNSMALNDAADWIGQLLVEKLLDSPIRNDYARLASLSAKR AIKKYEELTNKLEAGKDLSNPPKDLAKYAGSYVGFGGVFLIDIAVVKDSLELRWQGRK SQALPLHHYREDVFSWFLTFDDQIKHGLFPAYRPQYYLMSFKSEDEKGFKILNWVHDG DVVEGENFYRLGDSQSRVSGISL LY89DRAFT_632023 MSELLTLAKGLNPWPFFALQLSTSILTLGFTSPSSLFRPTILPF VASCTYITCLNLREFTSRGSWAATTACFSTLFFLQYLEMGVLSRWSFEARGPTSTSSK NSQDREAKKEDTIWTRLKFGTSSMISFRDLYTPHETKNSPHFSPKNPSYTPSRSTFLL TSIPKLVLCYLILDLIEFQPPPPDASSLFSPSKVPFLLRIFSGEEAVTGEDVLVRIAS SIGFWISLYCMISGMALFLGVVCVGLGVSEVKEWRPIMGSLSSAYTIRGFWGEFWHQG TRKTFSYPSNYLIDHLPVKLPRLLARYAKIFLTFYVSGVVHVIGDWTGFMPWAESGSV RFFMAQAGGILVEDAVQWVWRTLVGKEKGKGNEKEEKKGGKVWMKIVGFVWVSIFMLF WSTPAWIYPTARLNAGGVEGKVLPFSVIGFFTR LY89DRAFT_726142 MLAAPIVWSRAHKKSRNGCNTCKARKVKCDEHRPVCDNCSRRCA DLIACDFPHPTDLSKTRKLVPRDTSSDTSSNDSYRPPPIHVVDTHPIGKSTSSKQRRL EMRLLHHYTTTTCHGLPSFGKHDPHRIFSTVVPQIGFVSDLVLDAMLAFSAFHLRSQS PSSPISTSELQAAEAHYMTKALSLQRHALQNLTTVNPEEVLNAALFIFHYIYLTENQF AVRPGPDSKYHIPTRALHLGRATIDLFHTFPGTRPLYYELYCPRTDLILAARPHSSTF IESALRDLDRVENFLETNNSSQPFTYGQIEKRVLEEMLKSEKQMINFLTGNKEEVFPA ARNMLALFPLRSEKRFEEMLDEGREVAMGLLARVYAVMYVLG LY89DRAFT_692095 MDQDAENSSSSRRPPLADRDREATDNENNRRTSHRLRSTSTSTP SAIPVADLNSSRSDLPSAASVSVSNASRNVPLIHRDDWASDLPDTEHIRFFKETDWAA TRLGALSTWGIALRLHTMTVMADSQAGCVYWGPEHVAIYNEAFMPLAGKTHPKLMGSS FQEGFPELWSAMKSVFEGAKQTRAAVDVVEMEMFVERNSFTEETYFSGNFVPIRGDDG MIEGFHNAVFEITRNKIDKRRRTMLNSMQVPDGRSTRRLASFVMPALESNPKDFSMAM LYTADEDTVPDSIVLRLRGSIGVPTHHPLSKEYLSLESDEGIVPLLRQARWRMATVPV DERLDGIEWQGFGEAPKSISILPIQDTKRLFGFLVVGTNPRRPIDDDHFQFMRDVSSI TYQITAAILSAEETLTREARLLKEIEESTRLRRYMAENASVGMQYISPDGRTLWANDE YYRLTDHPRDRETQYKLSFIDVFIEEDKPRARDVWRRLLSGETKVTADLRATKIFTPP YGEPEPVTILAHSFRVEENGELKSIMAFTTDISAFKWAQASEARKAAQAEEAKRQQEE FIDFVSHELRNPLSAIFQLAETIITSFPAGERASMSKDDLIAALQGNIDNANTILMCA KHQKRIVDDVLTLSKLEYTMLSVSPRPVQLPNLVCKWMKMFDSQLLSNNIKASIIPNS SMLEHKVNWVLCDESRVQQIFINLMTNAIKFTKSETRREITVEFGAVPSDPRSAFSDK ICWAQTHRDMEDLTRSAEWGLGQPIYFTLSVTDTGIGMSDDEIERLFGRFEQANARTT IKYGGSGLGLFLSQRLTEKQTGEIGVASEPGHGSTFAFYVKSRRTEEPLAITASSPGR VALPVRALTSATLPVPSTTFESPKVNLDNIHVLLVEDNDVNQKVVSRQLQKAGCVVYI ANHGVEALSILRESDLWFEPSQSSTSTSTFTPKHIDIILMDWEMPVMDGLTCSREIRT LQAQKKIVRHVDIIATTANARDEQISVALESGVDEVVSKPFMVADLLVRIRERLSMPL RMAVERAVTAPVP LY89DRAFT_743351 MLFSRLPLSLLTLIFAFAFNANADDTNNKKDGDDTSGVEYVDIF AVDYPIVAYVVDSGRHDTAATTYHIACSSDADSASCPFAQPYTLIQGPSTLSFEFTAP TATFTQSFTLGCNLDSTTSMACSATGLAEADSPVTTSQTFSFTGAAAQAFFKEVQVVA KTEDLLTYTPGASSSSAKATITGSSEGGVFFEGKQEAATTSATVVGGIVVAEAASASA TTTKSSGGIQVRGLGGVVYAGLVVLGVLGLGLL LY89DRAFT_743352 MHLSLPLILVLTTLFAHQTTASYLVTSPNAPLSKRDDSAVQGWA LVEDECPSGTGTCGARSCCPLDSWCDTSETEYSNICCPTREGCGYNVTHLSSRCAVDT WVLFTTNKDPICCLPGEVGTDAAASENYGHCVPAGVALPASVGSATLIGSGGTTIPPA LPVGLSTTAGATTSSMRAESSLTSTNGVESSASRTGSGVSTGSVQATSASTGATATVT VKSGAEKLVFAPLLLGTFFGVLMVF LY89DRAFT_602159 MTSPLSLDFRDVEPAELPKFPPLHHSPVQSTNEKRPNSGTDFGD DEECTAKPFQRTTSPNSDMKRNKGAEYEKVGDRGVCQMHKYSLYETATRYYVVGADVM DRRFRILKIDRTADAGSLSIAEDEIVYTKKEMSQLLNAIDDGNKSTGGMKLRCSTWGL LGFIRFTGCYYMLLITKRSQVAMIGGHFTYSVDHTELVPLTTGAASRFKPDAKNSEEA RFLSILSNLDLTRSFYFSYSYDITRTLQHNIIRERAAVAKGTPYPHAHDYNSMFVWNS YLLQPAIAALKNTYDWCLPVIHGYIDQSALSIYGRSVYITIIARRSRYFAGARFLKRG ANDLGYVANDVETEQIVSEVLTTSFHAPGPKLFANPNFTSYVQHRGSIPLYWTQDNTG VTPKPPIELNLVDPFYGAAALHFDNLFERYGTPVYVLNLVKAKERTPRESKLLHEYTN AINYLNQFLPADKKIIHKAWDMSRASKSRDQDVIGTLENIAEEVVTTTSFFHNGDGRT SMPRVQNGVARTNCIDCLDRTNAAQFVIGKRALGHQLHALGIIADTSIDYDTDAVNLF THMYHDHGDTIAVQYGGSQLVNTMETYRKINQWTSHSRDMVESFKRYYNNSFLDGQRQ EAYNLFLGNYIFAQGQPMLWDLATDYYLHHADPRSWSGKGRRSYIKWYTPEFLEKRVL GKHVDPCGPTAGKPLGYFDDYWLEYYKPLALSSTMKIFSYMGKMNSTLRYIPFRSTQE GRYDLSPFKVRTELDQEVPDRKKPKKEVTIVDPSEEGADDDGLSIKSGVTVNTKRISI QRWLQPIHEKNDTHHGIMKESQQPEPEPPKQKLTPLDKSKAAQWTFTQVVHESLNPSV SAQETDDYARYISHPQTLPLVVSTEVPAEIDAEYLDYVNGSWRDIGIVSEEALNGGKG MGPGMEELDEYREFLRVAENPLTVVEEDFTKKRFKTYRKWLLGKSLFKQQPID LY89DRAFT_743354 MSDDTVFDQKDVIIQNVLDEEDLYKILGAQRSASAAELRRCYLE RSKICHPDKPPFHPLSTSAFQRLGFAFDILKSPSARRTYDRASKPTSSQLPDKTTFLG GEHTFRSAVEAILHEFMTGDFVIVRRWLESLHAQYPNLVSEEVVINVERSFIRIRELV LTTRTYALLIYIELGRIHRVQKRLMGLGYLDVVGRARLTIHLVRVTLAVPMRVDRALK QREEKAWRAKVAGLQARGLQPTETMGRAGILNDRVSKVLEFIVGAAGKDEGADEAWSA NMGKAA LY89DRAFT_602311 MTTSIQPNIHTIFEPTTCTWQYIIACPTTSHAAIIDSVLDFNPS SNTISTTTADSLLSLVEEHKYTISHVLETHAHADHLTASYYLKQRLSPSQTSSPKICI GKRISTVQSTFGAKFSVPPQELENVFDHLWDDNEKFQIGDLTAEVLYLPGHTPDHVGY KIGENIFTGDSIFNPDIGSARCDFPGGDANALWGSMNRLFSLPEEVKLYTGHDYPPAE RGEKELAYTTVRDQRERNKHVKVGTKEAEFVSWRKERDSGLGEPRLLNVALQINVRGG RMPGDGMLLLPVKGEGDLVGMMRSGKL LY89DRAFT_558296 ALQHLRLKSVVRVLWIDALCINQSSVEERNNQVCIIMARVYKQA VCVVAWLGRLQKTVPT LY89DRAFT_632043 MFAAARKVAQSTSTNLLKEDKLFALPRECLPEKYSFSQIFDEIN IIDDASSKEDIFEQVLVLHSCLSFEEKLQRKDGDDKIILEISSWIAKYMLPDGRVILN DESPDDSSSAQQQRAVYLARFKGAIGLSSLNTLFELRSTKGPRQPDSKILLAILAFTS SRDSWTSPIAKTLAQGLLSPYTQQIHSKEFIIGHVIQLFIRPLFSKSKPEAITSTGRK AMPTSAPPRRHDVAELERASKPWKYEAPYSVTVFSWAVENASQEIITAHWNMFMPPLL TLLDTSTTPILVRGLETLSAFLTKFSSKLLNQTGLGEVFEDAVMPTLLYLPSITPIEE SVQILPTAFGALFTLVDVQCPIPTLSISQSTALSVTTSNSKTSSTKPKTPDQRLAFLD RLLRKGILTAHLHASEHPQITAILLSSLSTLVSKMGISSVKHLKDILQILTATLTDPF ATTRPEGLREGFKCLKSVILNTWPRMGLKAGGNGMEVVRMLVVCWGIVREGSEEDGNV ERRTVELREVEGDIKSTGRVLVKAIEAVDTGVNLTVELKPLLVVDSTLADVFGINQGA LY89DRAFT_602116 MATAKITLTPRESQLRDLLLDVARFIDESKEIKEKIELRWAGGW VRDKLLDIPSHDIDTAINSMTGFTFSSKMQDYLKNEDNMKKHSLKDIDLGGLHKILAN PEKSKHLETATTRILGFDVDFVNLRKETYSADSRNPQMEFGTAEEDALRRDATINALF YNLHTDQVEDFSTGLEDLSAHRIRTPLDPKTTFMDDPLRVLRLVRFASRLTFSIDPES EAYMSDPAVKDALKLKISRERVGVEVEKMLQVGKNPRSALQLIDKLDLYSTIFIDPTI HLSPAPSTETWSVVYDCLAALESNETPKSIYHSLVRSQDARYLGWILAALAPWATAPD PVPVKKGKLPLPLGSMVAREGIKANNKICNVVTGSLRNHEQITRLKGDIGRNESYVNE RDTVGMMIRGWDGQGGHWRVQALFAILVEAMKRDDPKAYEQLFSEWQTFVDHLEAMEI MDVASEKCIIDGGKLSKALGGVKPGIWMRPALEVVIAWQLRNPGVRDPSGAIEEVKKR SEELQIPKS LY89DRAFT_692104 MTPPNHAAPFEPPDHPLAPSSLRISKIRGSLDTQSMASVSTLPY RASNASVSSLFASTSTPSGSRSVSPSGAGTPSRMLSGSVFGGLGTPGEQVSPADRPED PRNLILQAFVPHIAIHTSSDTRELVKDKGFKDGLWELLRPFGERIQGKVTVRDSIGAG RAFEDFTVRFVELNDGLEIPDAIPSSRKSQEGKVLAANGNKLSPDVARRARTGGDIQA IETLVDRHLAYSEDFPSINGEDYLNFRDGGERNPNIPSPFYTLYLRRLLSGMPLAPHE TFSHPVACVIAISSRNPSPIETLRKLYDESSFGDKRLPLWVNTEYLRYYVLVHDEERD DIAKSMTLFEQMKRHFGLHCHLLRLRSSQCVATDDDSIPLPRCEWLTASEEIAEIEAR EVEEDPEDSVPCIYESDTTAIKTFIREMVTQSIVPSMERSVATWNDQVASRRRGFTGR ITNMTKKWSVFGGNSRASSNGAGSSGSSSNYDGLQGFYRPETPEALMRKLADYAFMLR DWKLAQSTYELLRSDFNNDNAWRYHAAANEMAVISTLMSTSALSSKIRSETIDKMLEQ AHYSYITRCASNYGAIRSLTLGMELLRLRGGSSSEDAARWGLKLLELKVVPVVGDALV KERVSICYASKKGAGSGQWGARTRKSAMWSIRAADAWLTLGKPQQSKQRLDEAKAKYA SLLQPDSIKSFTAANTFLQSLEREVQLALYPESGIEGPGGMDDLIDTSIEEESEAFSS RPHRRSLMSGTAPVISGLGNAPLHGDLLGEEETGNPTLQFE LY89DRAFT_790118 MSELLTYLQNNEPQFRKARLAALYSDFRYLLTTNPDGYSANLSA WRTGLSSAAKAGVLPNGDHFSITFDEELLRSLETKEWGRPLSLGTVVREAVAGAKTGK GEGRWWMEKEFLEKEDSVYTKGWGINIQVPGPGDVVRWGLRQLGLGGNEDRLVTGKVV VLENLEEAGREAQKRLEGPRGRIERILSKDEFKEKVEDVFGEKKKLSGRDMELLLRFL ARDKQILAYDGETVKVKAPNEKVAAPITTEDTTIASLKTLIKDLEIQTTVLSKRIDEL LLTAKEAAARKNTVAARAALRSKKLAETTLVKRHATLAQLEEVFAKIEQAADQVELVR VMEGSTRVLTGFNQEVGGVERVDDIVDQLREQMSQVDEVGNVITEIGQAGAVDEGEVD DELEAMEREEREKVEAKERAEREERERQEAAETKKRLDALEEVERSAKKQSEEADVEK RLSTSTESLKRMSLDPENVAA LY89DRAFT_790119 MDGASKDDALEVPDSTDWLETPLRSLAQVDSALRCQVCRDFYTT PMITSCSHTFCSLCIRRSLNNDGKCPACRAPEQAMKLRNNNAMEDLVDAFKRARPDVL AFARTPTSIPTSSASKRSLEEVDDGGEDMSPQKRRRSERTKSSSQKVISVADSDEEYT LDDTIPCPICEQKVVLAHINKHIDDGCPEEPRVTVTKSLSRSSRSTANPTPSTEKPSA RPERLAQISYSMIKDNPLRKKLTDAGLSAAGNRQLLERRYTEWVTLWNANCDATKPKS KADLKRELDIWERTQGGRATVSSATQMGSQIRNKDFNGKGWATDYSNDFQQLIANARR NTKAPSSAPTPSEPAESTTPDAGLVTDTPDVDTVMTERQVDAPIMVEDTPEKLASQTR FFHETNSEPPPSSQYQEKLEALEADAGLASNIATVRPMQP LY89DRAFT_790120 MADYKTYLASSILTEDKIVSYRLLSRALKVNVNAAKEMLYEFHR QQNGKKPGTIHATYLISGTKQKEPEVANDAVEQDGEDTHMQSSPPFMSSSMPQPQETS DDSGVLSITLVREENLDEVRSQYEHITSIHVYSIGPHPLKDLQILSDITRQVREICAD EDPLETASTYGTIINKNAKRREGKRPPPAPAPVAPVPAKAQPAKPKPAESKPEPPPKK EISSTAKDFFGKSNSKPKANPASNPSSKETTPNPPTLKRDSSSIFKSFAKAKPKLKRE GTDSSVADSPALSPAEDSPMKGLSDDDEEETYVSPAPADMEIVNKSRKERKENEEKLR QMMEMDDEDEEEEIKEIEEPEAVEKEASVEKEVPPTVSGGRRRGRRRVMKKKTVKDEE GYLVTKEEPVWESFSEDEPAPMQKPKAHAPVASTKGKKAAGKAGQGSIMSFFGKK LY89DRAFT_726152 MHQSLWLWPLYLGAVLSSAPEDQDILSEAQKAKHDAEQIAKACP DYKKYSMFMHRPFSEGPRALPFQRPAKQCRTFTSPLVEKVIEDMNEKIVDKDMARLFE NAFPNTLDTTVRWHVDGQVAHTELKARGQKDDGKWAGPQSFVVTGDINAEWLRDSTNQ LLQYQPLAKKDDKIFNLILGAINTQAEYVIQSPYCNAFQPPAPSKLHATQNGQDDNVH PAYEPSFVFECKYELDSLAHFLSIGNSFYNHTGSTAFLTTRWYKALDTVLTVLDQQSQ STFDPDTGRFEKNIYTFSRNTNQGTETLPLSGVGNPLNYGTGLVRSAFRPSDDATILG FYIPANAQIAVELKKTAEMLTAAKNVKMAQLLEKRSQTITDGIWAHGVVQHKKYGRVF AYEVDGYGSQIMMDDANVPSLLALPILGFVDRTDQVYQNTRKMLLSKDGNPYYLEGKA FHGIGGPHIGTEYAWPMSLLLQAMTSDDDKEISECIGLVLNASILGLVHESIHVNRIH DYTRSWFAWANSVFAQTILDVARRKPHLLFGDGAEPYVIE LY89DRAFT_557824 LSACLERHNGCNNRTSFRPERLIDLTGRNPRLRLESQLVENEYI EYATLSHCWGKPQTRSCQLTTLTLVDVMSVIPLEKLSKNFRDAIAICKELKIQYIWID SLFIIQRDAADWAAESITMVNVYGGGILNISASGASDGSQGCFFDRKDMRRCQFPLKI NKYKHVLYDSYLHCPLEARGWTLQERLLSPRAVHFTRTEVFWECNTQFVSESSPF LY89DRAFT_375673 MSLHFDMSGRPFRRPLRHNFLIFHPPLVGSCIVVHLTSVGGRAG IRSQKRVCEHSPHCATLKLTPDISSGSYASSSPMRRGGLAACSVSEVDMSAGEYDSSQ RESNH LY89DRAFT_548991 VSIGDYSLFLRAYDASPRTSPSQPVVIIEAGLGGTSAEWVAAAR LISQYAPVYTYDRAGYGKSKAKDSAPLPASAQPLTATKRCEDLTKLLDVGGIAPPWIL VGHSYGGVLVREFLAMHGKEKVVGLVIIDSAIERTKLPDSWPALLGDASYMEVVGLEK NRVLTDEEWATLKANDQGNEQSVELEEAGMKESTDKVNKKVKGKQLLGDGRLSVIFAN ESVDLSKVYEWGIKNGSGTPEAREALRKRLEDMAEVDEQGQRAHLSLSSQSRFVYAEG EARTHNLQIVKPQLIADEARW LY89DRAFT_375732 MLGSTHNGARYELTSPTAMPKAAGFLWNQRMMIQMTCRGYAVAQ FMQPEPTKYSHAPNIEAKTFMQPEQSYYAHHPGRFVYIKDEETGDLFSAPYEPVREPV DRFCFSVGQSDIVWAVEHLGIRVEMTLGLPTQDVAELWTVKVTNLSGRRRKISVYPYF SIGNMSWMNQSAEYRPDLGGVVASSVTPYQKATEYFKNKYLKDKTYMMCGTPPTSWET NQQAFEGEGGLHDPSALREPNLLGTDARYETPVAAVQYRLALNPDEQREYRFMFGPAF DDEEIRGMCKKYLSKGGFARAAREYAWYIEQGRGCLQIETPDEDLDNFVNNWLPRQVY YHGDVNRLTTDPQTRNYLQDNMGMSYIKPEVTRKAFLTALSQQESTGAMPDGILLAEG AELKYINQIPHTDHCVWLPVTLDTYLAETADYALLKEKVEGPDGDELTVFERISGAMD WLLKARDDRGLSYIAQGDWCDPMNMVGYKGKGVSGWLTVATGYALNLWTAVCEQQGHS KLAAHYREGVDELNAAANEYLWDGDWFARGITDDDVVFGTKKDKEGRIWLNPQTFSIL SGAANTEQRAKIFKEVEKQLSTPYGVTMFAPPYSKMREDVGRVTQKYPGSAENGSVYN HAAIFYVYSLYTIGEHDRAYKILRQMLPGPSEEDYRQRGQLPIFIPNYYRGAYHEYPH TAGRSSQLFNTGTITWVYRCFSEGLCGLRGDAEGLTIRPKLPSTWNSIKVTRLFRGAK FELDIRRKNGLRETMVMHAGKVVPGARITNIKAGATYHLSVLVPQ LY89DRAFT_678316 MASGVESFESFQEDIDDSSFYDATYALLTQDLFGGSGPNEICST ENVNDKLEPYQKHNNASPTTYSSKDVEGTNTLNCPPADSITSPHEASVSFSSSSSLPP SLPSLPTLALEQLEAQPRPLKSKGSRSADSKLYERINKWIEEQIAQFNKLYTWIKKDL ELQREDWIRNIYAKSLTNGDFRYAIMREHPRHPLHSKSPRHIVRFMVANDITESLWQE DPRLRKLHQELKLDEELFQGWFML LY89DRAFT_726156 MAGDSLAWLSRAIKKLTVTKNSELQGEISGKERTKCTKTVLDNK GRDKFDKNERHSETDTKAEAEVRNIRPGPSATELTSLYQPLDIESKEIRVLYLVPGAW NDMICCTMETRSLSDAYRKYEALSYVWDAEPGFQAIVVNEQPLSVKRNLFLALRRLRK SNEPRIMWVDALCINQQSNVEKSHQVALMRNIYRSCVRVYLWLGDYSTNPSDTRSVED EINIFTSSRATTKKKDKRPFHPEILDAYISLVSSPWWDRLWVVQEKILSPECLLNEAM LKSIRKIRDFGQMTDRTKSWMDFYRFILHHRNRKSSDPRDMVYGLSALAPHIPPELIA DYSLSKEEIFERLVLLFIKEHGTLHALRGQRTLIENSKSWVYDWSSDVDDRLWYQESM RLEIVLYKASRNKKPVVESCSDGQLSADGVFFDLVAERGQTCDNSPESRIKSLREWYN LCQNASKQMPRSMWREDFLRTVIGDVAYKVDNNGIMKIGMRTPADMKDVFDDWLVYRE RPSQYKSTGDSDLAMFDDLVKTMATGLKFFLTEKGYMGLGNPEIGDEVWVLLGGDKPF LLRPLPSSTSHWLIGDCFVMYLEREALRSTETLNELGVKTRLVWCGEEGKKALANGGD SVWEVDSEVDSEDARVERKEHRRQSKYESKHWQGLI LY89DRAFT_726157 MSFSTREGGKCVFCTFRPSACLTAPFSPANGARQYSQTVEFRRT PTINRSRPSELGPPAARFMASHRYNNSSVIRSRFRKPGAEFTLNSTEMKSGSKRILSK STTYSVFGQLLVEEFTKLKYSLRPESAQTEYTTWGITSREMLAERLNEYEGQIRNSII LIEDGKLHKETNPLFSRFRRAFVVGGVNALRSETKFAFINYVVEFKFSQQDKTNQDRL SDLRHPFEWFPATRAMHRTIHLHVGPTNSGKTYHALKRLEAANTGIYAGPLRLLAHEV YSRFNAKGKSCMLITGEERRIPEDGLMKMSSCTVEMMPLNKKVDVAVIDEIQMMGDID RGWAWTQGFLGVQADEVHLCGELRTIQLVSDICAAIGDKLVIHKYERLSPLAAEKRSL EGDLTKLRKGDAVILFSRVAIHAMKADIEKSTGKRCAVVYGSLPPETRAQQAELFNNP DNDYDFLVASDAVGMGLNLSIKRVVFESISKHDGMSFRVIQPSEIKQIAGRAGRYKTA HQAVNESSPQHERTIVPLAEEADRTNGLVTTLERFDLPVVRKAMDLEVEPIKTAGIQP PAHILFRYASYFPKGTAFSYITRRLWDMCKLGDLFHMCRLKEQVDIADLLEPYDLSTM DRITFMAAPVALREPGFGKIVQEFAECVATQSGGELLDLKTLNLELLDLDKDTYVLGS KAYLKSAESLHKALTLYLWLSYRFAGVFRSQALAFHVKGLVEAKIDECLAEVQFDSAK KKHFNYLRKKALELEMAEQEQQRQAQDGLGLEEDVEIEDESRESSSLENEFSEEEADG LLNEASPASEAGVPIPPKELVKSETINP LY89DRAFT_692110 MASSSSPPTPLYYACIAHNTTILTEHTTSASSQTSSLASLVLPK ITHTSAQKLTYTHDQKFIHYIADAPSEYPPSSSSAGGLTYLVIADASLGRRIPFGFLV EIKKRFLAQYPPESTDFTSLPNYGAGSFNTELKKLMIDYGTTKAGKEDAISNVQGEID NVRGIMSQNIESLLERGERIDLLVDKTDRLGGNAHEFRIRSRGLRRKMWWKNVKLMAL LIVVVIFLIYLFVGFGCGLPGWSKCVG LY89DRAFT_743368 MISSLQPSRPKVPPSNSSPPLNQQPTRTFTSTPGPPTFQYLPPR NQIEAMPPTVPLPTTLVLGHPQQQFQSAMRHPVFFTDRFKKAPPGVNSGNGSWSTPVA EGYVYNDGVRKGVDGSARL LY89DRAFT_375904 MNSPIMVPVLGPATSYNSFTMDKIQSPLLSSSELDEAIIKITDA LNSLGVSNGIIGSAAISLYARHYGFPHRPTSNISIVIQPTNQASAAEISARFSEKRFA DNFVCWMVNGIRVPKVIITRTERYGEKKIAVSFKLLDHYMFPERRLYYDFSLDPSGSR RILWAINRKRFKLLNAPWLLRQKILAWGERMDAEKRRIDVVDIKTICDIMERQNQRLQ IRDREEVKVLRTFVRNVHEDPTSFRISIDCPEVLGPLWEIRWVKVLLFIFGVLALGLF LDRIYSTNDWKLEVDPLSFGELWQEL LY89DRAFT_375927 MPIHFFAEVVYKGIPWLPDGRAMFKLTVALVIVGLIKWYSMGAS NTAERQMHGKIVMITGGTSGIGAATALRLAQRGAQIILLVHQSPQDIFLVDFIEDLRE KSGNEMIYAEQVDLSSLYSVRQFATRWIDNAPPRRLDMIILCAATLTPAGKPRAVTSE GLEEVWMVNYLSNFHLLSILSPAIRAQPPDRDIRILFATCSSYITSPPIEDGTEAMKK KDWTPTKAYARSKLALMMFGLAFQKHLDSYQRPDGAPVNARVLFVDPGYSRTPGMRRW LTRGTLWGLALYLVFWQNVYLILKSSEGGAQSFLYAAMDATFGRGPGGKLIKECQEMD YARTDIKDETAAKKLWEASEKLIERVEREEAVRRALEKKEQEKGKSEKAAGEKPAEQT AANPENKQSKSRRQKKAK LY89DRAFT_712331 MSDDDTYEIPLQDQRVFGAGIKRKRVKFVPSTNNSSTVSEPTTK LPATSVSDLYLRLVLPGDQRTSSIISQVTQVCEICNLPLSSGLQTASDANPSSSDYPA SSRPHEASNRKGLTYLSAYGWDPDSRRGLGAEGQGIQFPIKSKPKDDKLGIGVVLPKE GDRMKKVKPEKLDAGKVRKLQEKDKKKAERLREMFYRNDDVERYLGGG LY89DRAFT_661268 MVSAIQEQRDIILDAIKSITRGDWKVLVIDETAKKIIDNVVKED DILNENIANIERIEEKRELNPDMDAIYLLSPQPHIVDCLMADFERRRYRKTFLIWTAL LDPQMRRRIDVSRQAQEQLAGFETLSIDFFPRESHLVTFRDPWSFPILYHPACNNLVR DHMQILAQKITGICVSLGEYPKVRYYKPRFPSHEAAVLCSHLARFVQEELDAYAKWNQ DFPPPASRPQGVLVITDRAMDIFAPLLHEFTYQAMAHDLLPIKEGDKIYYKTVVNSGA ADQEEKEMEIGEKDKIWVDNRHRHMKDTIEKLMGDFQKFIDENPHFTNSEGDATSLNA IKDMLAGLPQFQELKEAYSLHLSMAQECMNIFQHQKLPDIASVEQSLATGLDEDFRKP KNLADQVVRLLDDENIGPSDRLRLIMLYIIWRDGLIGEDIQRLLAHASLPPQDGEVIT NLELLGAHPTKALKEVRTPPQPVFPRKTSPTAQSEEYALSRYEPNVKLMLEELTKGTL DQTIFPYVKPPLDNSEELAAQAQTSLRSAKPTWAKNRMSTVESRQRLIVFMAGGATYS ESRACYEVSKTSGRDVFLATSHMFTPALFVRQVGDLSVDRRRLDLPMDRPKPKAPAHL FQSNEPRPAALPTGPGPRQGMGLPGRPGALPKSPGAPLPPQKSPAYDVHGNSYDRPSP QPPTAGLAAMSMNTGGKPIQLNGANGADTSGKGKLEKKSKHKDENGEKKKRGFFHR LY89DRAFT_375984 MDYRDSKRPTLTSTVLLDAVPIEDQECNVGPGESPTALGIHVPA DQDPSQFLLYQWTKPSEPNTMEEQTEKDSNNDAIYGMPPLFSNTYRHAYSNLVSQCES EVVMEMESFSRKLAESFKKSMSELHLKKEQNKASLSNQLEEVQKAAEADRTALEAQRA LEMNNFEIQLIHKENKENTINSIRLEIETSYTNFEARVRERPAASETLQPFLAHIKGL EDRLSAENERLNELQITLADAKRRVREEFTAKLADIERIKSDKETMTMKDNEEQFRRL DEEHRGLAETLATYSNDISELQGQWLSLVQGVQKYTDLEESDSGYETSPDELKSAPSW LDEDLDDAETELGGDQEHSDGHDSDKIPEEENTPRSPPNGKSQHSPDARQNGFVPPDV SLDEQINDDEVCSGQKKQERSMERSHCHRQAWKAPLPFQSGTNILSHILSLNIEQLWF KASRDEYKFYLGSRPEPFYTVELVPEDLRNFQTAKFEWTVLHKAWANDSALRALGLGF VYKEDSDGHVWIQKELSWVSDQHDRAQF LY89DRAFT_712334 MGVFSRKSAAHEAPDDIFSSPISDVEAGDKPAAIHAETLSPTAT APHSKWHLSSKNSDGDTALALFSNPDELHESISPADERALQWKIDLMILPYLAVCYAF FYIDKTTLSYAAIFGIKDDLHLKGTEYSWLSSIFYFGFLAWAFPTNFMMQRFPIGKYL GINIFMWGVFLMLQACAKSFTQLAVLRAFSGAAEACSDPSFMLITSMWYTRRQQPLRI GIWYTANGLGIACGGLLGYGIGHIKGSLPSWKYEFLIIGALCTIWGIVLFFFLPDSPV TAKLLTPKQRRWAVERLRENQTGVENKHLKRYQVLEAFKDPKLYLFFILGVVGNVPNG GISNFGTIIIQGFGFSTLVTTLMQVPYGILIALSILTCVFLNDHVSRHGLQTRCYFII LFLLPNIAGAFGLAFLPSDNKGGRLTCYYLTGPYNAAFVMILSLQTANTAGHTKKVVT NAVLFLGYCTGNIAGPFFYKTDQSPRYELGIWSMIVSHLLEVVVILTLRLLLSRENKR RDRVQGRERDRTAFSDMTDRENLNFRISTLLN LY89DRAFT_632083 MSLLGRLLSGAGPTTSAPRNPLDSNLEDQFTHNLLFPDPEALCH NDQVFPLTSGTILTPAPISNFDVNGDIDLDMRDVRVIIMQEATNLTGSAYLLYDSHTP PKPTPPLERQASDSGSAYPARAGGRRSISSPRKTSVGQNSRPVIIQQEPTAPRAFGGG AFDRRSHHNKTASYNETEEQRSAREYREEMTVFSNCIFGNSEVMAYKGAGTKVHILPS ESRAPFTSQSYMADGSFGRSSMRSSKLAQSFTSDTLHGPTSAGFSPSTSTRGQERKKV LITRMFPVPLPNDDAEDPAQNTTPTPQNVNSGDAAGYPFPKVGENGTHKSDKKKPEPK QKRTPMYAVGLVVHLPPAPHVSLPPASRSSLRGPGSFTEQESYPSSYNSARRSGWTML GHGFGVESLDSSYSSDLDDRIDAITQHWDIIMRTLSHLQAVATATLFPMLKQADISSP DPRREPVHVRAPSASVSISGKRVMEDYKASKPAKTNIKLVQLNLHCLMHVPKIHSEVD AARQRIVSGMKANQVITGQGRWGIWREEARLVGKWAGGKDEGFFFFNLLTGFLGNHTE WLQALGPSWYRRRHYQQQRANKDDDISLPARTIIVSDNKLMARRLVFLLSAFLPANQQ LPSGRLHRPSTSMSFGGYSQSPPNYAVPMLREESLRRRLNKRTGVSKGAHARTMSFPN QTMQGSTHLGPEIHHDRRTSDATSMKTANLPIPGSDIGTRKSSAAATSAVIPVTTMPH FSTRPPQRGTGPAPRPGSSGSLATDDLLRSLKRGDSTGHYSTTSNESQGQNSRWGSMI SGFWNSKRRDSTASTSVEPLSIDGLDINDPQYKGPESPQKSSKLAQMVEEAKETQFNK TKEQQEREMRKSESGSASTARPDEDQGDEIPEQIVQAMERIPDPSGAFESPVKTSINE EDGVIDIDVPLPDYLSFETAVSSPSSSGYLSTPGFGNGMEGFEHYSRAGPDVDTTTNV GGWLPRFHPDFALQAIPVQGDLLEEVKASMRAEPTPILTPTPQPDHGRADRWVDISSA VIADTTNFSIKRIRYMRLVKPRDVENATPSIPQTLDTRYGNVYSAAQLTPSVDVYESH VEEKFIEEAIISMDETLIEAVERIIAQSGSGSKTSSVCSSRSTSRKGGNRERSNSDAK IPPIPEHKLEVPRNECKKMVLSALEDIAREVAESRNEQGEALIERESFLREGVRNWLS SVETTE LY89DRAFT_726167 MPFQIQPATLANAPEIGKMLQSVSNSPRIKQEFKDCTEEAWLEW NVKAVEAEIGACGGSKGEAEALVVVEGEGGEIVGYAVWGWGFETSLSITRAKDAVRLP EGCNTALRRSFLATLYKMEAENQPQSPFYELLELATSPKFQRRGIGSQLINYGLEKAK QDKVKVYLSAAPGGVPVYRKLGLEEVGRLEVNLEGFGAEGVHVHVAMVKEPLE LY89DRAFT_602140 MPPILPSTPRRGTQRASHLRQVSNGSADIMFNSRPVTSSDSSTL HHDTTPSKVRIERRCTIWVHDEGYSKDEVVLNLDLFPNVKPGELMAVVALKTDSGVRD FQDKAQFAKIEPEVLGTAMQREDSNSNPRSPGTANPNDVKHDVDVGNRYLFIAKDMPK EMKTRQPTLEVSVAKHIADVFCLKHRSNVLLTTTDVALSSASHVELSFKDQYLARSDM WRLAVGELGNKTVYKGQKVLFMGTIKAQVSCVYVDGRKVQSAFFSTNTKPIFRSESAR YVLFIQMSREMWDFDSEGSGEIMFNKVVNGFLPALFKKWALLKAKHLVSIVLFTRVEY DVGISSELALSARDSDYHTGVQTDGNKRPYKDFYRVVVSEMASGSWTTILYQLKREFK FFRKDISMHRINTGTTIGPAADSNIRGVLGTRIEAEPSLAMHGNVLEAINLASSQFAH DYIDRDLMRTGISVVVITPCPGIFEVDYETLRLTTESLINNGIGIDLVCLPKMPLHSV PIFRYRNPQYAAFQEAIHFKTLRSEDSTPRQTAAMFGSSFSSLNDSLSPVKASQPDRS LRTGSFTAATPPSEWSYAIPHWIDVSFWTGASEDAHIKNDDMAIPLEHSYTTSYSGST DFEVRCKMYEMEMASVMENVMTEIAVTPLQHDTIFPHMILGSREIPGERLRRDEAAIL IRRDRSYTSLLEFVNGPSKPLLDRHPSHEERRFHHTLDMFDIARSELTDDHFMDHPSN EARRSYRTGSEEAARKILAEDPKVFGNSFKDESGTMKAAHFLPGSTTDSTKKEKKQAG DTSKVRKGSVASSMTPSSRVSPIKPPRFGRQISLGKHGFGIAAPKAVMAEIHIENASA AKPASTTSDLSGSKHKNINSDMANHYLASAASQAQERPSSSQSEKPRSKIATPPPELR APLEGPIAEPIQSSRPMTIRSALQSLDSTNQFKSRSVLGSLYETPDRQEDANGAHFSM RPDDNQKIFTSKLLAGSVPELPATLSPTTALSPWLSVLNPSNPSANDAVGPSQYRRWQ HVFPRPVLTKTMKWKSLCSPASVPLTTEYFPTKHQLDTEYQQKPYNISQNVEDELHED PKTREEFLRELIGLRLSQGFQIVVGLAVAEAFGQKALKIANVFDQEHIAEDGASVFMS MGSIIHQLSCVNESEIEVNMFVRKPTASTVSSPGSVTPAIYNPAIRTTLADDYESRRI ILGRPKDEYNWNYVDAFIAGFDEEMSEGLRFWRARFVLIPVERPTTLQRRRGEDNEEE IRLEGIKKLTQMWQRHRVIPASERRFQNQTSHKVKDPNPLDIVYKTEDPSFVVTAELE TLPLVETGEPGSKRGQLLETERFRKSKLDIAGLADAIQAPVEKGGVRMQNRRWHFRLH YNCFIGSDMTTWLIENFEDIDTREEAVELGNKLMAKDEIQRMREKEMGKEIKEKDTGI FVHVEKRHPFRDGQYFYQVTGDYAKQRPESRSGWFASRRRDYSSSIPSTPMSDTMMRD SPRPERSRASSNFDDGSTDSGATTPTTAGGAGKKPKVALSKVMKYDVDHRKRSYRPER INLHYDRLHNPDNCYHIRIDWLGVTAKLIEDAIESWASIAERYGLRLVEAPIGEACSI TSIHPFRSPYIIELATQPPDQQPRTYFDVSSFGPQVRDSPATRHYYQKAIMKKFNFVL DIEAARNFPNNVEVTYSWGKPDFKFTQYIHRSGVVLAQITDEGSFLLLANRLYNNRTA AAREWDRYVKVDHADRNVRMASSVYSHGIMSEKQTPVNSPMLRPTTNHIGSPILRATS DVLGPSLAGSKLANVTTPESIKNELELFCRTPMALESFYKEVLERSTPPSATPPMLRS PFVGSLLDSNIPTLGLPPGILARDTSPSPMRLSGQSGVGSPMPPFTRRQSVQLGMSGD NAKPGNDSPRGSITESDKMS LY89DRAFT_632091 MAKRPADEVVDGNQAYLKRQKITQATKQTPTEEIRSARQLRQIL AFDQDALRLKHAIHTFKAFLDNFANHENDKALQAAILKEYLESQKPSDENDKDAVFLN DLMQTWSFASQSNDESLLSAVPAVLALLLRTISGSLDLSEYGLRLGRTLLQKRQGELM SRGLTANKVKGFLISPVLRMLRETCLFDGGVLARQIFQKRDFTLKALARNLSLRYEGD GVEDLKKPSVRTNALRFLLAMIKFLPTESKRELLNQRDITSAITRDIRDDPPFMVRDT LETLKLHILLDEGLPRDAKTKIVNATSLGRIALLSRYNQLEEDLTLEARTVDTIAHDF LTLACTSPDMGVLLRQSGFYPRGVDPDDAQDLEVEGPSINLGLDSVEWMEKFTGKVPV RNTILSEFIQNLRPWSNKKQGELLISILKCAPELIADYFFGKKDFSFDPKLTTTWIGY SAFIYSSLNLPIPKFFGYQERYAKLPPPPAIILESVLPQPLGQKVMTRCFTQPHNTLI TFFAARILCVALQKFQDILRMYHEAAKDSASQLWVQAAERLTEDFCSRCPPIKDVILA FRRMNSDDLMQKEAIAKLLVLYYEVAPQIALDAKFGVSAALADSLQALEDTSLNDESR ALRSLEVEHLFEFAHFSPGMRWFNRTQDASVTPFMGMLKLTAEAPVILPLLKIRDVLY SIVAENQIFQSQTLVSALETFIVRLRAMNGSCASSALYSFLDDCISRCAAKPIKYLFA LDELSVEISAQKQHPTISLLTLAMVEQWPYLVKSTDDMVLQEIARFLAEWIGASIKIK EDKHILKTLIQSFTAALPETSSARKTITEARKLVDDIDIPEPNVTTSELEDDARPRIS LDAEHAVLLATMRTDSLALDEDYRSLVKWMTKEVDEVIEDGHTKALIRLLSSEHLSVR KEALTNISKFATKLKASSFEEKEQLWLLLSEITETAKKTIDQGPLPTYISAFAANAIV VLKDPLHILYAKMNKFLSKGPTWQVDKLPMMHKILDEKPTLDDTHHVEVDWLLNYMLA GLRTEADMAVYRRQLVFEKLLSLYNSRYLRANLRDGVLRIVLRASNISGGSTTLITRF STMTWLHAQAALGGGLPIEVVVKQIMESCDPDRVSRWKRGLKLAVET LY89DRAFT_702681 MEGKQDEPTARPRRYNVEELKYLKDSPHVVKPPGLPPADQWMGP PADTTRNSTKAGADRNKNHDSNALLDQTPRRPGTERHISRNSANPEDIILGPPKTSFL SATPFRNTGKNFDSSDRPTLRDADSRDRFNLRSKAEGEVERTREGRNNLRPKRAEGDQ DSDGWSTVKPRKSFGTEGAERFNGRMGLDRHRDDRRFKDREDRDAKDRPRGFDTFSRD NKDGGDQEQDRDSRKNGTGRGRNEPSWFRDKDTSDAPPNPRDRNSNGDRFADRSRGWR DKDRDEKVERSDRGDRRWDRDQRQEREPEWMDEPAEEKNEKNNKAHSLEEFKKWKEQM SGKDKAGKPAAEEGIAKPDAPSFFGLEKKVLTPMELDTVPDKFFGKWSTPKDEVPPEL GPELKKENVVKSKPTGKASRFTSFFNQDEAPRRQTEPPAMPPQVPSTTEAGPATQQDE KQAFAMLLQKLHLGTQGGASGSTPPANPALQPRPPPVEKAQQNGLGPELFQQYRPERQ EEVRTIPRNSQTLQDLQNQRQLASSQPTGRPNSAEQLLQDLVGQRQNTLSQSSARPGH PQRRDTQADFLMGLMKSAPEPQRSEQVLLGIPPKQNDRQMQQILDREQEMHRAAVQRE RSASQRQVRPQPPPGFYDEQAFQRGPLPHERQPQPTQILARPPPPGLDLGWDRQPQLP PQHRVAQNIAPPPGLANGPTRMPMPQQMFPPFPMGAFPPPDVMAGPPRNMQMQPPPGF YNPPPPGFMPPGMSGFQGPDGMAFGAPFDGRGPPPQGAFRRQ LY89DRAFT_743382 MASDDESVQSSQSSRSLESEDLENDADDGLAIIGKKTLAQLQAR LKREGIFYTKDDKLPIIQGKSAPSIKGCLLAGDETPTDAFEEVANWCKTKNEELIEQL ERRKLKTRGAKWIRVERLIQHEFLCRDEQEDESSSKDRKGNGKRKGRAGSMEPPKDQA VPKLDPEDVHHTVANLLVSALYNAVFFDKRTDLIAGYRPKGDEGVTPWSQRLLGHGHS HSTQSKAFNVFIQ LY89DRAFT_661289 MASEATSENLLKSSISPDELNTIETPSEDVTSEQIQPVVNGTSE KYEDKPDPETLDANVNSAEVSISGGSDTEASKAETKTQGDEKGHVRTTSTVKKFTSFK PVSVNKTFLAAKGATTATPSKLGEKGAAVSTTTQAGPSTSTAPRPRLVAKSGSGLRDS APRNSASANGGKAGSAPDASAVWNKNRPAPPAEPKRFTDEELKQRYGIHLATRLQSDD PGKQANWADIDDDDDDWAPETIEWTDGTKITLPQADETPAPAPEPNPVAVKEAKIVEM PKSISPAPTQASASPTVKPSGLGTGRAGLILKGAAEKPTLVAKPPGPPTPVKSPWAPL PPVDKVAPIAIEIPHQQQQQPQQSRFSQRDPHGFQGMPPPPAKEIAADDFSRSSWREG SNTSRELYNSQSGRYEPVNDSRRGSTRNDARGHQPAVLQRPSPQDGPAEPSPAFQTHR ASGQDGGYGRRRTSSNVSGGSGNFVRRMSRGHDMQPPHEMLNVRRGSLAAVSDSPSSP RNYSPSGQHQNQNQRGYQNQQWQQSRASPVISHPSPQSIHGQTVPPASNDAQAQAQAQ ASSAVYEDPIETQKKIMRQTRELAIKRRLEEEAKEEAARKERIRLKLEAMGPPPETKK KKDAPKEDKPSPTQIQAREEADPALSQSEMVPANDGSKVKSESAEPSIAADKRPSSEK TANPVLESRVGDTRQAPSTSNAQQTQENRPSQSWQNASTSSSDRFKPWSGASSQQSTS RNVWGPPTNDRTLGNGTFNPELSRIPDMHQGPHPGPIGPPNSVRGNSQSQQGHGREYG SRPAPIGPPNRQAISRQDQTRAAVANSGWGSLPDRIAQDDALLAQQQDAENARRRELR EKGIEPEANTVIKDTWRPVDMNEDGTRGKLQGKVATVHDSSQAWKQQEESTTRGVFEE QDMARRNIVANHTQQFDAWRPPTMTASPPVRGSRFFPNNRDVRLEEQPALFDRPGSPS PPPPTMAGHPAYDGDTTHPHVLLPRPSPVVKLPPPPVLAPIGPPKPTSFAAAVQAPAV PVLNHVARQDLPPRGSQYQDIRRQEPTAGGWQERINSLIGRNNSPPKSHALAVDSSSK SALEVPHQQLVATVSLPSRGSESILDDGMIESKPAAEECFEEQEMGSLPIIKVPVSAP PSAWSLAPPQPKSLPRKFHVAQTTSAEAIHFPQQIANNNSTVTIRVPGQEEPRSVNIP VPRQRSNPRRGGSRGGNPRQSHPRGRGRDTSGGFPSPNLDNASAPSSPAPSRGGRGGR GYSATWNRHVATPVHT LY89DRAFT_632101 MSFITRRALSTLIPPKVASPSGIGAAQDAARMQRVVSFYEKLPR GAAPDVKPKGLLGRYQARYFGKNPSATPLIHAIAFVVAIGYAQNYYFHLRHHKNNAH LY89DRAFT_692123 MPLLRPSIAGRLLRAGVPEARRVVPGTVRYDSHTALGTPLTTSE ASAAAVVEAGESKGVQPRHNQPDYAAEVDQASSTFSPIPKRVMNGSEDGDVLPAAVLS GAPIELEARTVRIYRPAKTATQSGDWHGHHWRMDWDILSKGHRWENPLMGWQSSADFM QGTHLNFKSKEDAIKFAEKQGYEYFVQEPNSRKIIPKAYANNFLYTDKKLKHIRTK LY89DRAFT_743386 MAGAALKADLSTGRSPLIDILPGEIRNQIIELCILGTLKSPRSP TSQSKKSGITVSILPRWNGPGNLRMSGIGTLPLLFVNKQLHDEVLSFVDSLVEELSIG GYILQYPNEDPRLRWNLVYSLLANRPTLQRFAPSIKVSLPRGDDELSRRHWACLGLPA PKETGPQKSLLVLQDLEQCLRKFTGCERLVVVVTVEESEPPDFRKLLPLYAYFQNRIT VDITEPGFFSPNHRTRMLPWVDKWRLAWAVCISGAGG LY89DRAFT_376429 MRYINVLLLTLASSVLAGSEYSSYSGSSSSDSSDKGSISGYSGS SSSDNSKGSSSYDNSDNSGSSEKGSSSWGSDSSSYGKSSYGDDSNSKSNKTHTTKTYS KASGTYTRASKTHETYSEKTHASKDKNSTSVKTKSYEIGHTYTNTKTHSYEVGGTTII SILISTETFGAANSFSVPFTNTVFSTETAQAITTAAAVTTTFAPASFSSVTPTNTSIL LQQANQTAPAAVFTGAAMSSGHQLWTLIAAVSFALIAAFL LY89DRAFT_661296 MSSKSVSRRGRACDACHTIKIKCELGSTHGGDPPCERCIRLGKQ CSVTTPVRQKDRIAELEARIEEVTKLLRLQEIQEPSSHKANVVEDRGIGSANHENGVL DIDHVLPRSIQMQLLEKYRSDIEPVFPFAIIKSYETLRGESPVLLQAVIFVASLGRLS TDIQDELTSLVMDSLTPDEIAKAKKSIEMVQAILIASFWYRPPKSQIHTAIDRFLNLA VGIAEDIGISTSDCALGATSSDLGDRISSTDAWRTWSLCYLLSTSLSSCMRISPKISW NPELEMKLSALEYGRDAIGTDRLLCQFVHAEKLCQQIILDAGYNGNESTLEVTDHATF QRLRNFITDRKAQVYTSLRSSSLSLYEHIPALFLHECILHTPTNNSSFAAPYIAERLS LTDFPSPMVTPDHVDCLYSLRDACHCVLNAFLSVHINVLTSSPMIVLNAKGLYAMWLL IKLYVATTASGNTYGAFIDPESLDLETYLGKLAHTGDALQAIDPVCVVGKGLQSTKRL MEWVWNYNTIRASQSYIVSSSTTLIDLGLETVAPWKADDLDLNALDTNALDYGLEDLF GTDYIP LY89DRAFT_790147 MSASGGSIAIIGAGSVGSAVAYSLLLRQVVADIVLVDINHELCQ AQVNDLSDATFLSNVRIRQGSVQDAQNADIIIITAGAKQKPGDTRLNLIDRNYKVLKS ILQSLQPIRNDSILLIVANPVDVLTLFAQRLSGLAKNQVLGSGTLLDSIRLTRILASK LEVADTSIHAFVIGEHGDSQCVAWSTATVHGTPLLKVLPLTDNEREEIATTTRKKAEA IIKVKGFTSYGVAAVTSRICEAIIFDHRQVLPLSHWQQDLDCCLSLPAVLGRDGIISS FPLHLDEEEQSFLSESAKGLRKVIEEYKEDL LY89DRAFT_702686 MSPILQRQKDPDKASNLPKSINGSSELSPRVQNSNNSSVGTSYP TGMTLILIFVSLCTSTFLVTLDGTIIATAIPTITSQFNSLNGVSWYNVAFLLTTCAFQ LPYGRAYSLFNMKWVFISAIVIFEVGSTICGTAPNSLALIIGRAIAGIGSGGIFSGCF IVIAKIIPLRKRSLFAGLIGAMFGIASVVGPLLGGAFTTRVSWRRCFYINLPVGGIAL AVVTLFLPKSSWLDVFKKFDPIGTALFVSSIICLLLALHPRVITTLTCFGVSIIAWAV FQYFQGENATIPKSVLSQRSVVGACLYTMFGAASFSAVVYYLPIWFQAIRQDDAEQSG IHTLPLILSLVIVSVSSGALVSICGYYTPFLILGTVLMSVGAGLLYTLKADVSTGPWI GYQILFGAGIGMTLEQCNIPAGTSLATLVRSLGGSIAVAICQNVFEQKLWTNLVAVLP DLDVSVIGRSGATALVANARAALGGNSEAVQEVLNLYNDAVVQTFLVALILAALTLPA ALLVEWKSVKKEKSEESEDKEKAAVKV LY89DRAFT_602346 VLPVHRVNHNGHKVTKYVAPEGESGRKGIHPLHFFKICWSSTSN ASRAVNVLWPVVPAALAVRYARSDLHLAIFILNYIAMVPCANLIGFSGQELARKLPRV FGILLETTLGSIVEIILFMVLLKHEQYPVIQAAILGSILATLLLCLGLCFFVGGMRRD EQTFHETVSEVGTGLLLTAGFGLAIPTAFHSALTNSGITSTILDDKVVSISRIASILL IIAYAIYIWFQVRTHHGIYDDILEADELRDADRHEDLHKDKLTFTECIVALTISIALV TIIAIGLVEQISFIVESRGISDAFVGLILVPVVEKAAEHLTAVDEAWDNQMNFALSHV LGATIQTALFNAPLVVIVGWGLGKPMDLHFEIFDIVVLILAILVVGNFLRDQKSNYLE GALCVIVYMIIAVAAYHYPTLTPETTTPTE LY89DRAFT_767480 MGSHTPAEDTRSVRQPSTAARPYRSHKFPVCERCRKRKPRCSVR IAGQPCGPCKGLGAACVRPQKEQIPSSETMRRGYGTWNQNHVNQAAKRHRISYGDDSP RESGNEDWTSPLQPQQCKTSAVHISRNESDETSRSKSATFVSTVMAENVQMIQNYMSL QGRLHGGGSNRVYATVSDNPKDPALCLTVYRRREGLSLNNYNFLHLYRPGNKSLSAAM VCDLLASSLIYCHRSEKLRQYSCSNIQYAWNLAVVALQEEFTAPGSSTVLHTDSRDIA ALLDLNGRPTTSITGNTITSSKTISLSHILGLNRDPKTWKISDSERSMRVGCSDP LY89DRAFT_712345 MASPLQDSTLLQTSTSTLIRFGGKFSPDMIVGARGIYIYTADGR KILDFTSGQMSCLIGHGHPEIVQTIAEHASGLGHLFSGMLSPPVMQLAQKLTSLLPQS LDRAMFLSTGAESNEAAIKLAKLYTGKYEIVALGASWHGMTSGATAATFHSGRRGYGH FVPGSLVLPSPNAYRSIFRHADGSYDWETELNYGWDLIDQASNGSLAAVIIEPILSSG GMHVLPPGYLRAMKTHCEEHRIGRCGSMFAIEHENVTPDILTLSKTLGNGLPLAAVVT SKRIEETCFERGFLFYTTHVNDPLPAAVGLKVLDIVIRNGLVERSRLAGLRLKAVLER LQGLYGCIGDVRGRGPMCGVEIVGDRATKIPSLEVGDALAKRMAVLGLSANLATMKSF GGVIRIARPSRSVTKSWTRAWAYSRKL LY89DRAFT_743395 MTGVATGLHGVGVVQILIHLMAAVIPAWAHTITLHVHMELTVQH MAGVDMANRGVEHEV LY89DRAFT_743396 MFIKAGVAAGLIASVSALPQVSQISDGQIQNPATTQVPVSQISD GQIQNPVSTPQPVSQISDGQIQAGTTTAADLGEYTPLPYSYNQSPSINSAAVSSAAAY ASIPVSELPGATLPVAPVISSQVTGITSHGPYNGPAPTTTGAVSNSPAGSTVPMLPPN PTALVYNPNGTLNNQEPIPYQPAGGLGTNGTEPVYRVQSDFDYESILLGLYQEWIELD LFHNILATFSEEEFTAAGLTASDRFLIEFMADQEAGHATLLSNLLGGPGGATPQCTYN YPFKTVHEAFDFTQKLTRWGESGVWGFQAHLDSREVAQLLDQSIATEARQQLIFRQFS GLFPMPVWFETGIPQSWAWTLLAPYISECPADSKRLAWQNFPGLFVLNQPNSARWNAT QTGFNETTGFGPAAPSSDPPEGESCVGYNVTGFDCSASISQNRSIPLSYPGREIYLEW ENPGKAVGPNNSYITDTKAGAPEWVIWVTQLNVTYSPLMNISVDSTTGMNTGMTIQPD VSTYEGDPAINGTMFIALTDSNLTYTAFNLSNVNPHVAAGPFLYQAG LY89DRAFT_661309 MSSSPSTWYKDQFMISTNQTLLQLDVINKAFDSDIVYWTKGTSP EALKSMLSKSLCFGVYVLPQSSSDIAGRASPTQIGLGRCVTDEATVFYLTDVFIVPEY QKKGLGKWLMECIKETMNSWPELRTAFLITSGEHAKKFYKETLDLTPFHTRKQVIDHG LEVLHKWGPGSSFNKEKSES LY89DRAFT_790154 MMSVRKLSFYFASLACVFSLSSCFSNFTDVAEVPQIYGNRAGFS VDAIRAPPSPRNGTEISAISGSDSHWWATISVGNPPQLITVILDSGTVDLSLMSTFIN PAQRGLAPVYDPDRSNTAVLVDGYTWYQQYAGSAPNMGWVVQDEVRVGGIFLTNFTFE IDNVTVIPHITSPPYGTLGLDPDPNGQPTSPKRMPGWLQTVMNFVHQPVFCIDFRRNI FRGVIDFGYIDSKKYNGSIAYTPVTQPTLWSINIDGVGIGGGPYQHNPFEVFFDTGGD GLVFPRWAIDNYFAQVPNSTWDAALNTYSFDCTLFVQGKLPNITFGIGDSYRGVVPAD HIHVGLLHDYVCVTSLRVGDRPSWGTSIIATQFVVFDYGGKRMGFAHKQL LY89DRAFT_678347 MAVLPTPSNYAAIWNLNPPSSTIPLQESAFALGVKGPESIGVDR RTLVSYSDYADGGEYRAIIKLFVCYERQPNDGNWGMATGWLIAPDLLVTAGHVAYDFG PTTQYGKAVIVKAYMGYTGAVNVTPTNLSRRNVQLQYGATFITTTGWLQSAGQAERAD VSFLKLAGTFTGNFRPFSYIDTPLSGVAVNIGVVGYPADQQNSAGEAGAEMYQMIQSP VIRQSDNSVIGVHVFNEGTVNSASDVNGRYGNMFGPFIRAFSEMDAPIGNILNINVIK PLTAGPDTLNLTNIVNVTFSGGVTVSPLPGTDTNGTGGSTTTPVTPVTPVVPVSPVLP VAPVVPGTTGTTGSTGSTSSTIAPTGGSTTTTSGTEGFLDVLKDIVKVSLPVIDTGLR ILFPSVGPLSPCAAAIGGVALGAICEACESAFNSSTRTFQPASAPTADSLNGCAQRAI LAETALQAAFRLDSREPQGQQIITDMANIYATVQPLEDLNMKLFPAIAGPILRIALND ITATPPTQSATQVKVPLPGVAAVESSFSSTINTSFATQLLQPTIIVPGQESFFDNLSS VISTGLQYATPITTTLAQSAVKSALSASPESALEATARDNIVSLPLFRRAIVAECALQ AVQKIDTTTLASLPLYDEQGNPTTEGFFDTMTKAMQTIGQKVLDAAPDVIASVGPIVA SLVASAIQKPSGGGSRAASDLPMTAGVVSVQPISIAALADSRPGTSPVPAAETV LY89DRAFT_678348 MNGVWSSVKNALPWPRTRNNDQQYPLLNVVAYEDVESSRRSQGI RRKPLSPSYAPLSTATSREGLLPTSPDQSTFQNPALRKGKSKRPQWRRALLAATTLAA VVLAMNVTWLIVVMTRYNVKFGLANLYEGSCNTTTKINLFLHILINVLSTALMGASNY AMQCLNSPTREEVDKAHQRGKWLSVGLTNMKNLAYIPRRRVVLFVVLFLSTWPLHFVW NSIIFSTRQTNMYSMLIITPDFLSSDAFDNNTQNIQQSGGYIDFYGFDFSNFDGTREN MTYNERWETSFENGKYTGGGVGGEFNSSTFLPFASDLYQASKNSTMKRLEAADCMREY SATFLSGQRNLFIVVKSPVSFPPNQTLDNGSMLAVLDSRNWNMDLTTDGGLHWNPSAW MCSNIHDPAAILLVEGQKNDQGIFEQSGSLDLTCDTSKALSNMNQYNNWTIASQNQNQ TYEVDYCLSETVPEMCQVQFSIVLMIIVIVCNVCKFVCMVWTQWAFTDPPLVVLGDAI ASFLSNRDVTSEGICLTVGPNAELVEPGGGTEIQRAKKRNWTGKPKMWSDSCRFCLKP NLESRDGADKFRRVLTAIGFGIGMLYKGSTDLGLESFSSLSFGSISPSALLFLYGDTE DPTSQSGWLLLRMVMIANSPQIYFSLLYFLYNRSYTAMLSMAEWTRFALDRKSLRVSN PVGIQRSTYWLQLPYLYSIPLLVGSGLVHWILSECLFLVRISFFDRTGQPTFPTGVTE MFEPSSNTLTVPGYSPKAILAAIIVTAVMFAVLVWNEFRAYKSAIPLVVNSSFAISAA CHPPHEDEDAAFKKVMWGAISHPEGALPGHCCITSFEVEEPRVGEHYE LY89DRAFT_768632 MFRLSSILLAFITILPLCLAQRPENISICDFYTPSILGENTASN QLLLITIWVNTFVLGNYTTPNIGIPVHGFATPEIYNGTEIALLPYFTGAYNSTNLGGN AGVSKLFLDDGGPVPVLKNMSSNGNTSSAQYQVFNHIYQYFGSIIGCSLQGSPDFPSY QGRTSMYEVHKFMDLDILQMTYFINLVDTAASSLGISLDDASTIGTSLNRAFNTRCAS PISISNIDAPSELQSICIAPDCPLDPDNNCSAYPLDGLALAPVNITDNVTVTTGGNGS TIGVPLLLDLLWGLRWIWILNLILLVEN LY89DRAFT_790157 MKRLVPDQMSFQKINPSHSPCWERASLMAVRSIFVNSDRHADES DFGLKWSRCYAVELIRGRRMDALHAKIVMFVAMRDGRTALGKECKVVEATESPLLHTF NYSAPHYRHITQRAISIVQQSQVISLARPMPKSCLGTILRQYSPKYNQIAAHYDCAKY ALISFAAYTHFQATKSPVSEQMCMSHANIALKALQKEIDHFGPSNADAIIVASIALTG STNNWGQWAVFIDGYSKTLKQMKDLKYKTIFPDLVGHRFQFRKFSSSSDPNPHEMPKD RDLMRQGVSTIMNSISNAKSVIGLAKWHSTGFGCLETLARSINSAVSLENEVEAYHQL AWLRSWMFWIDLRQFDDSKEEHMLTAHFYGLLLAVVPIFPARYQQSLAEACRRMIQLS QEALDGSTVSVYCTKVNERSPNL LY89DRAFT_602519 MKHVKTNFIPSHNSNKSSFEAAKQNVWADLSEAEASSVTSFLLS NSVLNLTDSTKATSDDNAILLVELLQPNKSDVLSYLDGSTGAPTRWARAVIQYGATEE PYTANYMAGPLPIDKSSVVTPLEFCYSSGRNYVLNPYPDQPKLRKWAMSFSESIGDIL DELLGDVSNKDEHPYDANSVSAAARVSFVDKGSLMIWISLLRSGLISDATSLLPQGLY VQLNASTRDTANWKTLQWYYNNKLYANEAEFRKAVASPGFKKSGLNLDGHWADLEDFE AAPLGRDMPSPTMVQPHGARYRIDEKENFISWMGFDFYLSTSSDTGVSVHDIRFHGDT VIYELGMQEALAHYAGDDPTQGGQEFLDTFLYMGLYMYELVPGYDCPAYATFLSTQYH FGDSTKINKNSICVFEYTADFPLQRHTADKRVTISRNTYLVVRYVSTVWNYDYTFDYI FYLDGTIEVKVRASGFIFGAFWTGTQANEDEYGFRVHDAVSTSMHDHVINFKADLDIA GTENTMVRVGVEPLTMDYPWDDENTTPRNTMHLVKKVVEKETGLDWPRNSGELFLVLN QNETNAWGEKRGYRVQPGTGIGTPVHLTILNSTTLGKAAEWAAQDLWVLKRKDTEPRS SSALNALGPLDPIVDFSKFVDGEDIVQDDLVVWFNLGTHHIPHAGDIPNTLMHTSSSS VMFTPFNFHDRDMSIYTRQGVKMEHGKKPRHFGGTYNEGVTLKKVRENSYCLP LY89DRAFT_632124 MSSGSLKDLSKALTAVSANLTLPLPHELVRVLDAYIDKHSPQDE QESQSLQEELLEIYETSFLGRPASLAPFLRVLHSLKPVLRGSGRSLQWWDKLSTPVML NLGREKLLAIEASNTLRDTLVYDEEEEASRLEDAQATSDAVGESLLAMWLEKRNLAVD QLDPHASFVVKQIQLILNAYGKKRPKDFLQLINKIFVKKSSRIPALSLLCDFFRHQPP HLHQLLQTPLFDNLLQCLQIDTSTRVISLAITALVMFLPHIPSSAADHLPALFNIYSR LLFWDRERQAADILPRTGLELGTEDETIMSEKQPLGNGKSWDKLSYLLESDDDSVPQL ANYFTFLYGLYPINFMSYIRKPQRYLRHANFPGSDDLNIEPAEIRQRSEPYRQVHLLH PNFFTLTLESEISDKERWMKTEAADVVGECMALALYVHTEETTRAVEISRTKNIEPDS DIPSQSLLDAEAATPYQSRHSSWRNTQSTAVASPEGHRSLGLHRKLSQASQSMPSITD SPSLHPADLLDSPTLPPQMLTSPPNNRLSDVLSSQKSLRGSLYQALTNDSVVSLALSN NHHDPAVDAYLESLSRDHAARLPRDDPTLKIDYLQREIELLKNALNFERYLKQQHVSY IGQLRNKQNREVRTEADFQNLVNSSRTYKAKLEDAKRLNTQMRTETERSKENSRNWEA QLTTKLRALREEQKNWMTERNALRRALDEANEQVTKLKNIVVSTESKELRTRQMVESA QNSLDELERLRIEVEDLKLKVIKYEVMELAAFRALESEQATLNQIVILEMEIKSRDME LAKSKKAFEDALEATRLQASQGIGHNSQNGKGHDGKLARLTREVLDKGMASLRDRNAQ LAEENATLKKKLLDMHIKLDALQSILTNPDAMNLPDTALLSRSTSPPPFRELSPTSLP SFDSMGSLSSYLSNWSSSSDSPLIPPTNPQSQPPRRSYPKPTPNVDTSYRERTSTGAY HRESSTERTPTGVDAPVQYFQAAQHFSSSPLAASSASQANSGTASSRTGSRGSNDSRT NKTPRIEVQSETRVYGRGGVQNVGRKDKAEIAKQKKEAAALAKDTQPAEPKKEKKGLS LKGIRGFV LY89DRAFT_712348 MAFQQASHLPLDGKVAIVTGGSRGLGAGMAQELAIRGASVIIVY TSERSAGLADNVISKITSLEHKPLATSIRGDLSTVDDPSKVVDSALAWLESNARPKNI HILVNNAGVELVKPLGKITPEDFAKVYDLNVRGALLITQAVLPYLPAGGRIINISSVG GRAGFKELGLYCSSKAALEGLTRCWAAELGKNGTTVNAVNPGPVQSDMLDNIPKYIID AQKAATPIENRVGTVEEIAMIVCWLAGEDSKWVTGQTISASGGWAMY LY89DRAFT_661315 MASNPPSTCCTVGVKHEGQPVGKSIKIGSTEAYVAEPTGKTVHK DTAILFLPDVIGIWQNSQLMADQYAANGYFTLMPDLFNGDPLTLNRPDGFDFMAWLNK GTGGNNPHTYEAVDPIVEKSIKYLQEQGYKKIGAVGYCFGAKYVARFMAKGKGIEVGY MAHPSFVDEDELAAIAGPLSISAAETDEIFPAEKRHKSEIILVETKQPYQINLFSGVV HGFSVRCDVSKKHEKFAKEKAFLQAVQWFDEHLI LY89DRAFT_790162 MSTRVASQVGPKLGRKQIFLPNFTLTLLRTPTQPATFASFIVPL NLNKLDLRDYLWNAYGISVRGVRSYIQQQKVRQDKPGAKRPKPRKWYRPRAIKKMMVE MEKPFVWPAVPESFEDWDKSTFDAAAEGRKEEESVYRWDARMQANKERGSIAEQAKAL QSGEDSWKQTPVRDEWEDVGEAVEVEQDVQVPPVQR LY89DRAFT_661321 MASCILYQNSDASISIIDIPSSIKFAQVSPTSSRRLLSSEPLTK PFPSVEPKSRKAKENLGETSLDTLFLSKHLQFVLEELKAEYHGEWCLSRAVLSQSSEI SLKKRKNRDFEHESDQKNAGGPASETFCSFSTDSDETGRMPPNSTVLCGEVVATRDTF ASCAPNFDLIIMDPPWPNRSARRKKSYGISYGSSEIRELLSSIPIGSHLSEDGTVGVW VTNKDAFKDMLLQPGGLFEEWGIVLAEEWIWLKTTLTGEPICPLDSAWRKPYEIMLIG KRGIAHNETVKRRVLIGVPDLHSRKPNLKALFDQRNQKRKYEALEIFARNMTAGWWGW GNEALKFQMDTHWINGEGN LY89DRAFT_602492 MDAEVRKSKKEPAVCPTDDETIAPKGKKYHKQSLEYVWRTGLAG GLAGCAAKTVVAPLDRVKILFQASNPQYMKYTGSWFGVATAMKDIYKDEGPRGLFRGH SATLLRIFPYAAIKFLAYEQIRSVFIPSAAHETPIRRLLSGSLAGVTSVFFTYPLEVI RVRLAFETKRDSRSSLSAICKRIYNESPPPPRPSANTPAVVTMTADAVGAVTRSIGLS NFYRGFSATIMGMIPYAGMSFLTHDTAGDVLRHPAVARYTTLPKPEGFAPHKPPPLRS WAELLAGGIAGLVSQTSAYPLEVIRRRMQVGGAVGDGHRLHVKETAKLIFRERGFTGF FVGLSIGYVKIVPMAAVSFYVYERGKTWLGI LY89DRAFT_743411 MFSTLSATREISLNNGRVMFATQSLLWNQSMLSIGRPGYASSPF QFPRTRATRVKTLQIPGRQRRYFSATHHRSRNNTQSSNNSTSAKLTLSKSLILLGLDV ILIESYYFREYVEYHIAKLSIGQQAAEISNVPHLQTMLGIAPMPVFIHVGGQ LY89DRAFT_396585 MLGTWSSFVGRLGSNDGVTHNLLSICFYRSKGLLVVDVFLCALL FHFSAYAYRTMWKTARAGVNGQTMRPTLAATRLLVRHQPKSCAPASNL LY89DRAFT_743412 MFTGFVCFVEIGPLPLDVKYLLNEFMFDTSTADCLNVLPWRMGS QREPGVVFQVKGPTTFILIRKDEPPAEGFSSPSGGVLPVSVVLTLRPMEWLRVPGRLG KVANPYERHSKRGSWEVEITNPKGPKRRYAPIDVAPEDVPGDLKIRVQKEPSDGDEIG RYLNNLRDGDIVMVTGPIREEKNVAKT LY89DRAFT_661327 MKYETATLLIAFFGLTSAAPMPAPKNVFSIPRAMLRGREVPQEH SHNRFLDGVRVNLNINNPAGIQDPVFGLLGNAAAAAGAGTITNLDCLHQATADQAFTN AKAAGNVTGQADALMYAALERNTGSVGLASVICNETAVNPEIEAVQQHQDPASSGAAA GNKAVVLALAQQLASIGADPQEALLSGTFAPGNLDDNTGKGNTCDVADDVEGCIFSQN LLVDDATAAEITAAVANIAVSSSSSASSASNSTASAAGVATSAAAEASACPAADSSAT ADSAASATAATAAGTNVQTFTGTLGGAAPAVVSSTGERPFTVNGNTFVGEGAALQRSC SIQNTNCANAANSGSLAGTSVADCNAQEAQCNAAASTKIKRAALDFGTCSDPAIEFAV GLDGRTQASFQAVNQDDFNHGSALNIGVIASFICGQLSSSCKAGADAISACTAAQTAA AAATGQAAADAFNSALGVSAAKLKFTRRAAASANVQTFTGTLGGAAPAVVESSGDRPF AVDGATFVNKAAALQRSCSVQNTACSNAANSGSLAGTSVSDCNAQEAACNAAAA LY89DRAFT_726192 MAEPPKRGRASKPKTRSGCRTCKLRRVKCDETRPFCLRCTKSGW DCEGFQVFPKRTVPSQPLLPKSPVHIAPKRVIPKRLESTDILLIEPSSHPRFHIQEER RYFNVFQTKTAPELTGFFESKIWNRLILRSCHDELYAWHAVVAIGALHRTLELSNDPN QDAKAIKTHHTFALKQYGTALQYMRQVAQREMSEYRLRDTLISCLLTTCFESYIGNQE DALTQAQAGIDVLIHWSFNCATEDSCHKEDDMVSARQVSRRSKFIDDDLLGAFTRLDF QVMQFRGDSVKNRPLSTSYPAIPTKFESVTESRFFWDLIVRRVQQWHIVQHAAATEQI STLDFGENNTSENFKDPEFADRIERELEAYEEVTALWYDAFLPLFEESRKAPGSKMFI GANMMMVRYLPSRFAISRKAETSDTYADTYLDDYRTVVRLVREVLEDFSEVPTGQAVF GMDVNLVLSLFLVGTRCREPGVRREAIALLLKHPRREGLWDSLMAARVAGWLMEKEEE GMVDGRVPETARLRIVKNDFVLKERKAVLRCSKLVEGHEERVVLPDVTLTW LY89DRAFT_396661 MAPPTRSNPFKTPSPEPLANKEATTTRKCRYFDAMRRDRGVRSR RAIAADCGITEGTARNWDRKYKEIGSLALRRTRLRSAILGHKSKVTKSMCKLLVSPSR NPVRKQPYEVQIQYHNLPVGKRQLQRMMKRNTKGGGRYKCAFVKKQISPKNKEEREKY GHDHKKEPLHGFWDHIVFTDKAHVDPTSQAQGMITRERGKRDAPENIKERPPLKGVRF HVAGWIS LY89DRAFT_558624 YGKAEKLEFYNDEEDKIEHPPPPPKPKRRPTTETEEEYKHRIKE WEALMPHAREVKVQGNSMTQKYYVDRLLPIYCQAIESMRHIDDKPWLLQEDSDPSHSM RKKELAQEYKSAHNIQNLVHPAQSPDLNPIKAIWSIIKQRLRR LY89DRAFT_726194 MELEISHYRPSTSLIFILLGTLVSIWIVRIYRYRASYHSVHGSL KAPPFSMWLGSMPATGDIYKNSPMNTHPMCTMTLLSRKHNLDGIYYLDTWPISDMRQL CVTDPEVAAQAVQKFNLPKYHIYPQMISHITGKTSMLLLHGSAWKRTRAPFNPGFSAA HLTTLIPMIVDDCLIFHSTLSSLAGTGELTQIEDPLTRLTIDIMGHVIMDHDLNSQIS ENELVNSFRAAVEHTPNAMLVNPILNWDPNRMFWHWYCSRKMNNYLKKVLQDRMKLRA EGTVELKRKPAIDLAIDEYGGEKVDEEFMQVAIDQMKTFLFAGHDTSKHDEVFGDIGS TAEKLKTDSKLLNELPYTTAVIKETLRLYTPASTARQGSPGLTLTYNGTTHPTANTMV WINNHTMHRSASLFPLSDSFLPARFLPPSHPSFHLSPQSSIPKDAYRAFEKGPRACIG QELAMLEMKIIMALTIREFDITACYEEWDRKLGREKPGEMLDGKRGMFGHRAFQEMKA TAKPVDGMPVRVSLAGSHKV LY89DRAFT_396698 MPSQQRFLLLAQLFLRAVSVLLSLAAISLGVAFVALGQPAQVVV FALSSATFLISSMELRVLLLHFAGLPTPRFPPAMFVACDVIAVLSAVGAGGALIAQGV GPLEGVRYGEIWVVVLLVIVHFFCLLFDSFEYCAWRARLREERDDNHDPYF LY89DRAFT_396681 MNTNSIESSRHLPRRQSRYHRRAAAYCTRNGLAIAEHVHSFQGN GCSQKDGSLGFCDIDWVRHQTSARMTCLCLFCASSSQIHTLYERLQLIVRDIEQSYGY RLVESRPETCCPKHKTTLSLSKHDIIFNLCQLKRANISNEEADCIISLQQFNDGSKTS TATTATKATKATKATTAADAIWVEIVWRHISMAHLRCSSNAQKDTYFK LY89DRAFT_712355 MDAFTPAQTTELVSRIGAKKARMRIDKMFINSFMGGALISFGCA LSLSTNSSPWFQTNAPGLIRTISAMVFPVGLIMVVLTGADLFTSYCMYSVVALLHRRC SVLDLVKTWVVSFFGNLAGALFFMAVLTGYGGTFESGAYKDEALAFAKAKVVTPEWHQ IFLKGILCNWLVCMAVFLALSSREIISKIVAIWFPVMCFVGLGTDHVIANMYFIPLGI FLGAPKPLTVGYYIWKSMIPSLLGNIVGGGLFTGVVYWYLFLAGGEEVEIHFDTLPMD TAVYEQGGPIEREITATGAGTGYNSHNQHIPDSGNGGISGIAKDFHAKHFKKDKEMNG NNAA LY89DRAFT_768665 MAALWNPEEVLDVHPRGERMTCSGLSKGTKKNQFKPCQNTISKS DRALATQIEDTLSMLDVVALGVEENIVSRQLDLLVRCTLCAGVHRRQEAQIVATTDKF KESVLDFVAVNHRQGVFALQEERPRVVVAQRQRVNQQGAPRAQPVPLHALARPLRPVN QRAEARPPPRQREVHVVPPSPAPVNRHQLERERQARLVDQERQRRLAEQQRQAQAQTR LDEQARQVREQARFRRIDAERVAEEARVAQARRVRDETERRRVEAERVAQEERAARGR FAEQARRVRDETERRRIEAERVAEEARAAQIRVDEQTRRVREQANRMRIAQERAAEEA RAAQTHIVEQARRNRDELVRRRQEQLAEEARVNRAPEMREPDRFPAVARLSHAPQPAR EVRRQPLDEDCYICHESIDTPEDATWCRGQCGKNVHRECFEGWSRVQRADGRRITCGY WLLLGYGCGRSRVA LY89DRAFT_396785 MVVNLSFQHISNPLPHRKVATISSWKLQQVSKYSPLVNSSNMET GQSQNNVTAAFSFIPVTQPHHPQPQGFSKKDWEDLKPVIQTQYLEHRQTIGQLSQYLK ENHGYKPTKRQLLSRISAWGFEKNVKKTERRQLIQKHRDELENSEFAPRNLRGRTIKK EKLIRWVRQEEGEVGGSMIDDSDEVILATMEAPKEPTTENTSVADNQSAPDNLIDDLE VVSSAHSEHYPFGQGCIDVIGSPRLTGLFGLLTIEEFGGIPDLDLSSNNFEGVNMSDV DLMDLCNGSDSDVGEVLPENDSRLVNFTSPAKTSRLDKLLETWTQSGLQFELYPFPES SNRSFDTRYFTSNTSALPKMSESECLTKFRKLRHLAVVEVSNLAGRMMTIAKGLYMSR DFASAERWYRRIVTAKQKIRWHKPEETLQACLAVLSCLRHQGRYSESQQLHNDLHAKI EVILGNDHRISIRSQELKAEILYYLGHSAESEAIDRQILQIQLSSFGVRHAETLTSLG NLGSDLVRHKRCPEAQRLLEAVISFRYQAMKAPGAIANNELGFLYSMTCLASI LY89DRAFT_702701 MAPPNGDIENGDVEKEQATRDHLGAADNDADLGEYGNLVKYISN YRDGRRSSIAGSTYDDAPKKKWYQFGKAKNAQGGTTFEVPEDWLNTDWKQGLSSHDVE NRRKKTGWNELTTEKENMFLKFLSYFTGPILYVMEIAVLLAAGLRDWIDFGVIIGILM LNAIVGWYQEKQAADVVASLKGDIAMRSTVIRDGSEQEIKARELVPGDIIIIEDGQVV PADSRIISAYDNPNGYTEYLRELEAQAGESANEKDEDDVGEHKHGSGYAILAIDQSAM TGESLAVDKYVADAVYYTTGCKRGKAYALVTHSAKMSFVGRTASLVTGAKDQGHFKAI MNSIGTSLLVLVMFWILAAWIGGFFHHLHLATPEDSSLNLLHYALILLIVGVPVGLPV VTTTTLAVGAAYLAKEKAIVQKLTAIESLAGVDVLCSDKTGTLTANQLSIREPFVAEG VDVNWMMAVAALASSHNVKSLDPIDKVTILTLKRYPRAKEILAGGWKTIKFTPFDPVS KRITAEVTNTQEGIDYICAKGAPKAILNLSNCSKEVSDMYKAKTTEFARRGFRSLGVA CKKGDGDWQLLGMLPMFDPPRDDTASTIAEAQVLGLSVKMLTGDAIAIAKETCKMLAL GTKVYNSERLIHGGLSGTTQHDLVERADGFAEVFPEHKYQVVEMLQQRGHLTAMTGDG VNDAPSLKKSDCGIAVEGATEAAQAAADIVFLAPGLSTIVSAIKIARQIFQRMKAYIQ YRIALCLHLEIYLVTSMIIINETIGVDLIVFLALFADLATIAVAYDNAHFEQRPVEWQ LPKIWIISVILGGLLAVGTWVLRGALFIPNGGIVENFGNIQGILFLEVSLTENWLIFV TRGGNTWPSWQLVGAILGVDVISTLFCVFGWLTMKENDPSDPATKNVLLNTHGGDTSI VTVVIVWGYSIGVTILIAMVYFLLNQISWLDNLGRAKRSRADTQMENILAHLSKVAIA HEQDEHGGNRWHLTTKATEAEEDD LY89DRAFT_692147 MDSTSPRKRWNWNWNWNWRKRSKQPSVHNSMVRINSALVWSGLV WSVIAALAGLEIPQYPTRSAHPNPNSSRAHSTLTETAVSSEREEMTPLTGMALTGTDW DWDWDWDWDWTGFTVLWHSGWPGLAWHWHSMGLALILAAGGI LY89DRAFT_396869 MAIIEDKSAPGTLHLIDENGDLHVKHSTSTKDIVLIPIPSDDPE DPLNWPLPRKLLQTSCVVLYTIMMVFPSSAVYSVTTPISKATHLTVNDLVSGTGAMFL FYGWGCIIWQAFALQYGKRPAYLLSMCVSIVIMGVTPLCTTNGPYLATKILQGFFGAP VESLCEISMTDIWFSHERPLWLAVYGLSLAASGKLAPVFAAFINTGMGWRWTLWWCAI GMGVAFVYCFLLMEETNYDRKVSLVESSSDEGSEPAVMSGDEKAGEKSVPAAVVETGQ VTYPRKTYWQKLSLTGDKKRPNRLLEIMWSPFKFFTFPVVVWAGFMYGTNALVWPGIL NATASPTYTKVYGFDSNDVGFAYFGAVVGMILGSLWVTWFGPYLVLRLARRNNGIAEP EHILYLFLASLISVPFAMLLWGLGSTSKIHWFGLVFAQCILAISSTLCLSTAIQYATS AYRDLGGELITTIILIRNTLSFAINYGITPWIEAEGQRETFITVACIALLCNATMFPA IWWGKGFRVRSAGTYWGWVESARAKGLSH LY89DRAFT_743424 MLNIVLILHLLTFASSLIWASTILNTTYVSPTFQQSRSNIDQDS LASIHALLASPSFPSGWLGQNALATVAQWDFDHKTRTFYDAFNSNETYYADHPGGCYE YWHSPLVDCFNDDAGWAALTSLEGYAAYGDEDYLQNAKSVHDFVSNHGFINETVIEEG YLKGTHFPNNTLVSTCDGKSMYGGVYWQNGFPSEHDQPGAFEAASQPNIDSGSTAVYA LLSAKLCQITSNMWYCNRSYDALQFMDRFMIDLSSGFINGPINGKNCSREYHFVVLQT TGNYIAAYTLLSNLTSNATALTIARKTLTSSLTTNIWNTNQGVLLHTSNTSTKVVGIQ SVLIRRLHFVYPFMDADVQDAIRQYVNIQYWSLVNYDSDNATKPLLYGSSWDGPKYTA ATGRTQFEAIDVLNALALNPDSAFPNDNSMDVPVISL LY89DRAFT_678369 MASLFACLLLLALMPNTRDVSAVGETGHSNKSSGVRDILSPLSN INVLLVVPVFLVGIFRYTTLNILIQYASVRFGIKISTGATFYTETAIVNIFLFLFLIP QLSAYIRKKYDVRPQVIDLFLVRTSVTMMCIGCLAIGLAQSGILLPLGVFIFASGFGS RVSALSLVSYWISDDTKGTFFAAIVVFESLGHAVGDPAMQQIFASSLRLTKFWWALPF FVAAGLYLCAALSSIFIRIDAKDGDLQDAEISSADED LY89DRAFT_602527 MTINNRDADNAEQEHLLVHENRRNEADEAGTSLTSPPTFSHVVL YFIAIHFLLAFCEMILVAPLIKLFENLLCLTFYDFPIAGVEEILCKVPEIQRPLATIR GWKSSFDMIAVLLVAIPFGRLGDRLGRRKIMALAVLGVVLSLVEIFFVCKKYCIRERE VIYGS LY89DRAFT_692151 MSESPLPFRKRGRQEEDQVLDLPSNAHRQKIPRLSNPTASSSSS SNSVSSAQITAPPNPQSQVDHTNLWLRALGNLSTKDQELIWGLQPSLDTRPFSKNGVE ELLDLTRQVLQKSDERSWKFTFRGKVIIARDVLAKIVVWLNRFKDVGDVAVNFDPVHA SLPWAGIRFMFQAAIGEHEQMGDLVIAIERLTSLIHRGAIYERLYRPATILGDVIGHL HSAMVDLYSMILRIMILCRRIFAKNAAKRAVHALFHPGEITGLLDKCEELETRVEYEA HNCERTRSQRADAEVERLLSRLQEPVLPTDERVLSLLETATERERLEVLDWTSKVLYG ANHRRVRDKRTTNTCEWLLAHQLYHEWQETSASTILWLCGNAGTGKTFLTSKVIDEIR TALENQPNQEAFAFFYCNRNEADRREPLSVLRAFVRQMSTTATDKGSIQKNLEQFYLE NRIKASEPTMNDCKKLLLEFINIYPRTTLVLDACDECERHKRLELMDIFDQLLSEASK PVKIFVSSRPDDDIKKKLKDRSNIQINARDNHHDITKFVNSEIGKHPNWHVMSPEIQA QIVDTLQERSQGMFQWAFLQIKQLLDLDLEEDILERLGKLPDDLKQAYDEIYRSMSKA EKRIADRAFRWVMCACHPLSTKLLLAAISQDENSDLLKHLGGLTENLVLKYCHNLLVI DPARGVWVPSHLSVIEYLEKHLWNLSQASCLVSNVCLLVLQNTTLNNREKCWGVVEMD DQTWSPKEINDPDDPLSGQNLGYLSYYARHHWPLHIQSCNDTDTSSNSTRLSSRLKAF LGLPTNSSAAYRCWHRMVEMDVYNYAAPDTTVFYSSNNCEDLNPASMASFAYCAFGLS DILPDWFDFDWVNDEIRTLQGTSFLGIAASSGSISTCETLLEHGAEVDTQTRSYFGSA LAAAAYWGKEEVVEFLIREGGADINMQLQHGMYGSALAAAAYWGKKEVVEILIREGGA NINMQLQHGIYGSALAAAVSGPYIGTSEVRYLVKEAGAEVNMQLEHGNYSDALEAAER NGNSKATKWLLKYREKRGEEAKRISEDNERDGEESDGEEEEDEWEAYYQGEEIEERQT LY89DRAFT_726203 MTTRVRACDTCRKDRVKCDKAKPKCGHCQSRNLQCTSDPFHRRR NLSAPADQVWLNIATDLNFVEETPSLTTGYDYDSDSENEELSPQHTPKQTSDPHSTNQ IAHEESLDSPSEASQSLKSHPPSTPRTIPPWDHSSPPAFEHHQYTSLPKLPGLPHHDF MQLPSPVSSTPRTVTNYETPSHIYHEDHNTFQENLRSISLPSIYLDKPVWPLTDPQEA RLLRHFVQNLAIWLDLCDPAQHFQVEVPRRAGTCPILLNAIFALSARHLNLTGSYDSL ASNRYHQECLKYLIPMLNNTATVSDETLFAATIILRVLEEIDLLETDLQSHMLGIQIF VKSLFHPINLHSSPISRHNSLATASFWIGLRQEIYMATLYHRSVQINLEHYVVDRTTS STTDFGWANRAVVLCADVLNCCFGTEGVSLSKWEELKTACERWEDEKPSSFTPIFRRG VRTEKGTGGENNDREAFPEIWHSHACHIIGVQHLKLAQILLCIFDPRIPRVGGSRSVA VRNMEVPHQFITPLPRYFSLPFSMSFTVTQHSRCTSIIAHKRTQAQIKPTLRELCGIG LYNRWTPPGMFTASMGIALCGDRFEDRFDQEALLDILVRTERDHARPTATVQKQLKEA WGWVEE LY89DRAFT_768679 MSHAKSCRPNPYFPPSLRACQHNKVLYHTHYLVASYLPLAFTMK ILYHTIFFAFIGISLAHPRKDRQDYGNKIDYNSVWRENFMYEANIQFSSRDWNVAEGF APSPGNDSHLGPERGSNVKYDAGTASLRINKEGNLVIQPTKDDSGQWLSGKIETVMSW VTKATTARLFEARIKVGDALMENSQGIWPRIYLMGKTYNEDQSNWPRMGEIDIFGLAN GGETFTPTIHCGEPNGGLPCSEPQGFKAPNAIPFSRGSLHTVGLLIDRRECPAPVAGT TGECWEHESISWFLDEEPTYKITGREFGDRDAWQKLVHEEIIIGLAVGVGGDGVGPPN EATTTGESVGMEIDYVGVWEAKVHSS LY89DRAFT_743429 MAIQSASSALGSLTEFTCFPELPAELRLMIWRLTFPKERKVGLL MMTFTSTKKRKSLHSKQLNEPLPVSLHINHESRIETLTHYQIFYRSDVGDIEPLAEPY DRPLCVNTTLDTLVLPVDLALLGCESEYYKSEYTAWMTYLDNNLDGGLKSVRYLRIAG HIGFRGEEALKAIECFQGLQVLTVSLDMAYAAAASLAIKQLMIDRRRKQLEEWYKVCQ EKMIDFHIPRIILEVDPGRDGGQYRLRFMGA LY89DRAFT_397492 MAATNKDSLTKLKDLYQAIDSLKPTSTPEEFQTISAFFSPTCTT YLKSMREYDEPSLGRTATISSLQDNLKIQHVVNRHIISQSTSADGLTVYCEMKNRLHV LGQTLDPFYETAVVVFDEEGLITEFKNYSCRSHIVEIVQEQTGLGPYAEVPETRGKSE GKAGCC LY89DRAFT_678374 MADVKSKKYKETVIELDVAGPKVEDVEAGRSKALALATLHEEPN SRNTTPFYVAQHPTLQSPTSPLSRANWYEVYREPGKDPKPPDPSDVSILGFYPLDISS YIRNGRFTSFPELFESHYVHAVIPSGGVALGPLESLPVEPSRRNAELFHFFIQRLSPF MCSIDGHDPPATFMDQWLPFMTQSPISIYITLLTASYFQAATHRIKVENSVDAMAAKG RLISLINAHIVTHSKGVNDESIAAVMSLAYNEV LY89DRAFT_397515 MRWQNAMYAMSFGTPRSANPTTFILPFMNDALNCRTECSYTFQR KSKYSGPLYIQELEERVRMLESENQNISTALHDVFPNLDIHSHDLAETIRRQVYESRK HDQSSADMQLISRQGEFHSEVSSNPGELLETMIEAAGRLSIDEEGHCQYHGDFAGIAF LQQIDERCSQLLGVTRPKRGPFSKTPLQQAFGSAIPSNQLSADPMIMFNLPPKETAQQ LTGMALDNAFSLMTFIHKPIFNNLLTRIYATKPENYCTEELAFLPLLYVTLAIGELFS GSGSEAHPTGSLNHMKGVKWFRAGQALIDTANCRDMLSLQTLVCIIIYLQSSALMHSC YSYISTAISVSLQMGLHRAETSLDPIEQETRRRVFWVLQTMETYVTTLLGLPTVLDDE DIDQDLPQCAEDFLKGSESTRPEVPVTHRPVAPVVAHINLLKIQRRIVKDIYPRTLRR GTGRAYRVNYARVLEFDKELEEWHKQISAPMQSESDQPGIIRTQLLLRLAYAHVQMVL YRPFILHVIRTSPSDPPDMRSFACASSCIKAAMQIVWLIEGLEHRGLLISEYWFTVYI TFFAVMALCMFIISNPDDPTFDDALSTAEKGRRILIKLASESVPAEKCVASLGTLFDK ISLLCLEHQRSRELARSPPQVTQSMTSPTQLSFANLPTFPAEAQTPYRNLPNDSGVDL PSQPALTFTAPEIPQGPNQDYNSPFADTHMQQGGLLAMAYPPLDGFTAHPQQPPLPSP IYGHFASPTDNEYFPYFNAGWEDGILPYREMEH LY89DRAFT_692155 MSDTQETNREEAADQVASKAPKSSKEKGKQPEVMDTMFVGWVPG SQNEPRKTAPRLHHKKSRTGCQQCRARRVKCNEVHPVCGSCARHQTTCVWGRPGQSPG RSNSPGSSNTSSKPKPYTIRPKLSPNLTSTSSDWDEPPESRFRRITELHLMHHYMAIT SKTMTMPGDRAAPEWTVSIPKVALKHNSLLYSIYTFTAMHIAKLDPGNLENIAAYQRY MGLTLREHRDEVGVLSRANADAACLTSNFLRAINFALLQDRPMEPYTPPTEWLQMSSS TGRGLNVEAWKFIADDGNSIMRSMISNAPGLKTGGWLRDDTVLFRESNRQDLLHLLRR TDSDLVEEPWNDEIQKAYETTTSYIGSVQLSIAAKENTSNIFRRLIIFPTVVYTEFID LVKEQRPRALVILAHFFAFLWDFRDFWWIGDCGRREVRAIENALNEEWRELMVWPLQA VDDVYGSS LY89DRAFT_692158 MTQNSLPSATCVIYVILIVPITFCLFKHGRHGILGWLTIQLFCG LRIVGSLIEIHQNNTHSTDTTTTLILNNIGLSPLLLAALGVLHEARTARNPKLNTRLE WIKVLSFHSVVVGGMVFIIIGIIHEIDNKTTTPPTLSKLGIVILLLAWCVLAAWVGIS LRKTDQSYEMIPLTTPHTREPVYGVPPAYAEATTLLYGVAIILPFIGIRDIYAALNIF LTSTTFKNSLVAKVILSVVPEAIMTTVLAGAGIMTRHMSKLRKVGKV LY89DRAFT_790184 MADQILSYAIKGIAGGIGLASESITAHKNKKRAKSQVRDEQSRS PGELSRSNSDDEKHHEEKDEDQWALDDAQDELIPIHSPPTSPGSTEKPITDVKILIDI FVQTHPLPNFADLPPAPRLPFPVVLPQRRPKNRARGFIRAYAPVLMNAGIDQATFLEY LELFNKASQASPWLNAINLAGLAFSSLPAGISMAIGIAIAISVKVAMEMQSRYRTNTF LDRLNDEFFRPRGLFCLILTWNPESPDSHHNVNLASTLDSSSSTPSSGISKLHHKFQS SSGSTYGELEFPETAPLIYPALDHLESQEGEQAMKLRQRLIKKHEFIDSYWDKRAQAK YAAKNPDSMLAQGPKPEFTSRYADPNHPATSGNLVSLLTGGHINPPSRRDMMMQRQDM MWGRRDMMMQQRQGMMQQRQGFGGFGRMDAFSARLDPYNQYGGRGQMAEYNQNQQYNT RDQMTSGRRSMDEREYEDSGDRNPAEPRKSMEERQTSQYLDPNYNAYGRQQMNASYSS GYGGGYGGGLGAFGTYSAPALLKKGVKKVLGKNVFYMMVVNMPSDEDMVIANEHVDAS DKEAQQQS LY89DRAFT_692161 MTSDQPHLLLHTYFRSSCTARVRTALHLKNLPYEPSYLHLLQKE HLSPTYSTINPSASVPTLTITPSSGPKIVIRQSVAILEFLDEYFPDTPQLLPPLSDPV RRAKVRELVNILVADVQPPTNLRNLRRLKKIAKGDEEVVNAWAKEIMIEGLRAFDALA EKYSEGGRYSVGDEVTMADVVLAPAVEGAFRYGVEVKRLGTVWRIYEEIKGIEAFRRG SWKCQEDTPEELREKE LY89DRAFT_702706 MQIAQLWIYPIKSMRGCSVSSAILTHEGFYLDRRFMLLKVTESK LENMHVTSFPQMCLFHPSIKENTLEITYRPPGSTPSPAETIEIPLDPENFENLDKVDV NMHGSPTTAYNMGEKYNAFLSKCFGFQVVLAFWGFNPRSVLGNLPNRPSNQGPKAQTA ITKVLSSLPLIGPMLEDDNTKIAFNDCAPYLVISEPSVADVSTRLPEDVSCDLTKFRS NIVVRTSEPAFSEDFWSELTFSNEAKLLLTGNCGRCASLTVDYETGKNGTGKDGGVFK ALMSDRRVDAGVKYSPIFGRYGFVGKGGEGKVVSVGDEVWVSGRNEERTRFYWPGLST LY89DRAFT_790187 MSFDLLALQTTHLGALFLLLIAALWHRYAANRRSFTAYTKRENN KGVVPLTFPYIFPLLGSLPVAYLWNPRAFVLSRNNFFQSLHPARVKVLTQEFYVVRGP ENVKALFKNSWACTSIPFVKFALEYAFGLPAKASSLYTKDDSGDGHVPHPGSVVEARN RIDYNVFQCLVRFLEGKGLQPFFNRFSHNITQQLHSLHDRIGSEWGYHEDLMKVVGNE TTVSIVNALCGPHLLKLNPHFLQDYWDFDRNLQTYLQGIPWFLAPRAYAVRKWVLKAV QVWQQHARDHFDESAINADGDDEFWGSSFFRERQEIFLRMDGFDHAAIASEDFGAIWA ARNSVTAASWAIFDIYRDPELLVRVRAEVDTCAIKDADGLIRFDIDQLLRLPVLQAVY AETLRLRMHFYIIRMSDRAEINIRDWIIPRQKVIVTPTTVAHMDSEAWSTGLKNEHPV DQFWAGRFLKYPSKSNTQLGDPTFSTKELEGSWIPYGGGPRQCPGRHFAKRQILLTTA LMVSLFDCEIIKDGKDMKEDFTLKGFGSGVSHPAGKIPMRIRRRQNAEDAH LY89DRAFT_743438 MATPLDHQDAQRLIESSGQIHMHAFQVGIGVLTGLALCCFFVRL AIRIKYQGRLRLDDAFLILAACCLCTATGILYHICYFLYLHSAALLVPEILPYLLADF SQLLLLDSQVYPFLAMIWTTTFAVKGCFLTFMRPLVWHISRGMNWYYWFIVTFCVLSW AYCVADPFIICPYFGLESVKCFSSTVDGKKTFGLTVLVTVLDLLSDIMVVSLPIIVLW NSLLSRSTKFGLAIFLCLSIFMAICAIIRLAGFHYKGLEDDTWEFFWQHTEGAVSVMM ASITAFRTLFIKQQTNNADNAKSPNDNPVESLFRRFFRRFRSLAEAQPDEKPASMQRG PVLQLPKLPSPIFTGMRSFIRGNNRTTRTKTDVSAATSATIDSVFVGSEADYHTALKT QMRTAPTSDHTV LY89DRAFT_602432 MTEHLHPCCDPSTPSAIWEGTPSGEVIEISGIETYIAKPPALKG SSPTLKVDKVILFLTEGHGIYLPNAQLLADSFASHLNCDIIMPDEFAGQARLPKNIEP SLYPHKVIPWTEDKNDPNYDLRGLAVPHEPEVTDPILEKIVSWIHESYGQGVKIGGVG YCFGGRYVMRLMGAGVIDVGVVNHPSFFNMDEVGKLGRGKRLAIFAAERDDILPAEER RGTEDVLTRTGVTWTSTVFSGTEHGFSVRGDLSIKEMRLAKEYAFRGAVQWFSDWL LY89DRAFT_632182 MPGLIQETPFKHITIKELAPTFVAEVGGVDFSRPVEPEVFQEIR EALAKYGVCVFRNTGLDDTRHVEFSRLLGELDDIKPYLTNGRKAKFPYYELFDAGNLD DEGNVIPVDSPRTHYNKGNGLWHVDSSFNPRRASYSLLLAHTIPPPNNGGSTFFADTR TAFDDLPQDLKTQLEENDYVAAHSLFHSRKLGSPEYFKDLNPLDNKMSRHKLVQTHGP SGRKNLYIARHAHHIEGLSPQESDQMLDRLIEFATQPKYVCSVEWDQDGDLVIWDNTC VMHRATGGSFEGKFKRDMRRTTVHDGSSTAWGLNTVGDRKLGFADPALAKAAETKA LY89DRAFT_743441 MSTFRIPMADFHTHKRRASETESRDGTARRRRISTTSREQSGNP LKLPTEIWEQIFNHLDLKDIKSVRLSWSPWTNIAARFLFPSFVFKIDRRDIERYEQDV SKDEDFVAGIRSLRFETGMITLHQVQDELAMFFCDSNNSMRSAGSFKDYVSIDAMNED KATAIAEYAAWNVRWHEAKQSYCDLFRMKSMLGKLKSLDSVGFSIRDTNSSSQLLKKS WCCVPASPTSVNFCRAPKDLFTFLAALASTTLSIKTLYHDRLPVTFFARGDKILAKLA EPLKHLTTLRLTFDASDIPHMKFWASLGHFLKAIPNLAVLRFGFSTNLYNPSVQWYLE SYERLAGWYVPLWKMFGNHTWPRLRELRLDGLLVCEDGLFEFMNRHAQTLQVLKLFNL ALWSGGMQSLFNRLRASLKLKRFRAWGELNAIHSPHEHWRILSTYDSEDDSTSPEMTA FVAEIWRTAAAVLKQSGDCSQDSAYDRLQEFMVSQNLQRAWPLDNWDLVDEDVKKPLK GWIDFEVQELSGIGEDLFTKLYDEFGFDIDGFDKDGYDEDGDHYAEDVTDSHLITHFA ARREILEELLRAIPRYAALAE LY89DRAFT_712364 MFRSISLHSRFTTSNIRVTSRLTLPQNHFSTNTVMPGKYAAVHG PENENGPGDARPTALQIIKDEGLEGKMSDKVFLVTGTSAGIGPETGRAFAATGGKVFM TVRDLKKGEEACKSFLEPGRVELLEMDNQNLDSVRKAAQNFLSKSNKLNVLVCNAGIM AAPYQKTKDGFESQFQTNHLSHFLLFQLLKDTMLKSSTPDFHSRVVTVSSTGHAAGEV QFGNYDFSDGKDYTPWGGYGQSKTANIYMTNEIENRYAEKGLHGISLHPGGIWSGLQK FVAPETMAEWKARPNVDKHIKSYEQGAATSVYAAVGKVFEKKGRLYLVDCDVESPKTN GKEGAIDDTRQGYSPHIFDKEKEARLWEDSLKMVGVTDS LY89DRAFT_692166 MDISSVPVPAFILLSAVLGIVSHLGYFMHGEHHLQAVRLAVLLC TVPFAIFATIHNFNSRSSIWSAARLTTIIVSSYLVSLTTSILTYRVFFHPLRKFPGPL NSKLTKLTHVLRLLKESDNYRQADKLHERYGEIVRVGPNELTITIPDAVPLIYGPGSK CTKTAWYDVVGRPNKSLQLERDPIIHAKRRKVWDRAFNAKALRDYESRVVKHADQLVK QLRVRSGETIDCSLWMNFYSFDTMGDIAFGRTFDMLTSGKKHHGLTALQDGMAPLGIA TPFPWAIPIFQALPQPAGIDHWINFCDKQIKARKKYDPEKSDIMTWLIEAENENPDPV VSDPRWLWGDTRLVIVAGSDTSSSALTHIFYHLAKEPHIATKLREELQSFYKPGSDTE VRDLQEAKYLNGVINEALRLHPPVPSGVMRQTPPEGLTVGDIFIPGNITISAPSWSMG RLESCFKHAEEFLPERWGNKPELVLNKAVFIPFASGAYSCIGKQLALMELRVVTARLV TEFDIAFALGEEGKALLEETKDVFTMETAPLMLVFTRR LY89DRAFT_790192 MAGLLNNNMERMSNLSLKSSLGQKHAPLIAQKHSKSQLPDEIWE QILHHLEMTDIKSLRLASKIWIDVGALFLFRPFIFRPDRNKYDVFNKKLAHTPIAKAI SNIRFELGTQQIDFAAHNIGYAYYHALKTLRDDPRPESVKKAQYELLEEDKIAAMTEY AEWNERWHEMDQTYQSRSGLEEIFSKTEALTRIDISYKSCPFKSELAMDAWMQRTDNY NFRKTNQEFVAILRTLTKAPNKLQHLSHDQLPVSFFMAAVNDIVAVAAPLHQLRTLPL TLDATASPHNTVWRMLGSFLKTLPELKDLRLDFASLPTRFDNEGTWKSSWYLAEEWYA PLSKILGKFTWKNLEAVWLGGLVLCEKGLTQFFSRHAKTLKSIKLSNIGLIHGSFQRV FSYLARLPLREFRIWGYFKALHFANEIWRFCRCYGPCDDNWSKVFTQYVKKRDSEDML SWRRSGRGRLSNPEVRAMIENFVLQTPNSLGEIWAWPLMYLDSLDRYYLNEHSEDGDG CTYPEENMDALWEDGLTRSLKDCRDAWVETIYGVGEPPIIGFYDKAGFDEFGFDCDGY AKGERPPVSDQPGTEEIRTDKFPVTRAQCYRIIHQGIRCRIPRYSELDPTAENRVLRP EHTRRKNCHVMKEVDWRD LY89DRAFT_678389 MGSPRESTSSDGTVMDRDPSSTALLLEEFPKPSDSIPEDAKTIP ILPLLYRPEYTKTYNHIMRTRVAAFILNIAATPLTFLSGPESLPTLLPVQFITLMLTI LPLLLCMVEALSTKDTLERVLNGANAKKKRIAVLVEDPATGQERWMLEPRPKSETAKG VLKGRRLIAGCDIYSVLIMLGSVVLSILWGTQYKLVLAVVLTVRISTLVLVAVAGWKR FSLSRNVKKDEAEREY LY89DRAFT_768690 MVSTPRLIMLPLYDVCTTSYVSASLSHDVIYLGTTPVTIDPSSI QVNPVLNNSVSGSANFPDATFDYCNVTFAYTHTGRNDSVLVAYWLPSPASFKNRYLAT GGGGYAINSGIGNTGSLPGGVIYGAVAGATDGGFGSFSNNWDSVYIIQNGTVDLESLF MFGYQGINELTLLGQLFTKSFFNMTCDDKLYSYYQGCSEGGREGWSQVQRFTDFDGVI TGAPAFRFSFQQIQHLYSNVVEQTLDYYPPACELQKILNETIASCDPLDGLTDGVVAR TDLCKLQFNTSSIIGNSYYCPASPECQGFPPAPAWPEQNGTVTAEGVAVANAIVDGLH DSNGKRVYFSYQPSSIFADGFTSYNTTSDTWGLYINSFGSEFVARYLELLNTSTLPNL DGVTYDTLRTWIIQGWKKYQDTLQTTWPDLTDYYNSGGKIIHFHGESDFSIPTASSVR YHESVRQIMYGNLTFNESTEALGDWYRLYLVPGAQHCAPNTYEPNGPFPQTNLGVMID WVEQGITPVTLNATHLAGENAGANAQICAWPLRPLWAADGSMDCVYDQASIDSWLYEL DSFNVPVY LY89DRAFT_602536 MGISLSSATLPGPKYPVSKFAAALPANLPFPPSARSIVIPASNS SSSASNSSSNANTTACATGVHMIVARASTEQPGQGIIGAVATQVQQQLPGSDSEAVDY PATLTDYLNSEASGVAAMTKLVENYAVRCPNSKMALLGYSQGAQVVGDMMCGTSEANF NTTKPISAAASKNIVAIIQMGDPAHMINQPQDVGNSTQNGIFPRNNTATACASMEPLT QSYCNSDDRFCDSGTSIAVHLAYVQQFGTTATKFIIQKVGGNATSTSSGNGTLTGSST NGTSSSAVPKSDANGFEGVSWGLVACSFVIMLML LY89DRAFT_790195 MSSTGSTDHSQTNSPSENPSGTQNESASTSTTQAESSSSSSKPS PKCDTCGKQGDTLKECIKCHSVTYCSKDCQKADFKNHKKVCAKMAQEYAKNADFKMAS RTAPSEGHRGGLQKWQFDT LY89DRAFT_692169 MVSSLVPTTLVDTVPSIQKLMSVLAKNLDDDSDTTLYLDLEGNN LSRNGSVAFLTLLINTSSSLDAIYLIDVHTLKSSAFCTPQSDSQVGPPVSRTLKEILE SPVIRKVLFDVRNDSDALYSHFGIKLQCVMDLQLMENGSSITSTSVLLKIQVLIWTQS RPGKRERRRVKGFLLRNWVAGLQSSTSAPSTKT LY89DRAFT_790197 MASTKYRLIDAMIAEFREACPYEQALQRALAEAEAAEIARNLPY LTDHGHKPPKLPAELWDKILEKLSRKDVKAVRSAWRSWTAIGIKFLFNPFVFRPDRDD FARFDHLSQAPGFTSVHSLRFELGTLPLVFAPHNLGWAYCLYVQSSIGVVHSSRMLVN LMNTQALLPRVWERIILHLGGGKNSNLTEFLPEQFLAHYAAWNVRWHEAKQPHGNVQR LGDVLSKMKSVSRIDISYKTCPFDNVINMEAWTQGTLNHNYAKTVQELDAIFQALKKS PHTINHFSHDELPVSFFMKSSEHILQITAPLSQLATLHLSFDATLAPHASFWKGLGTC LQTIPGLQNLRFGFAPFPTGHINQVGWRGGPDRLTGQWYAPLWKILGNYTWKNLKTLR LDGMVLCETGLIQLFERHSNTLRSVELHNIGLWQGSFQVVFSYLRTLSLKAFKVRGYC EGLHHKSERWRFGLHFDCLDPRWSWKFREHIMLREEDMNDTGWHNTQWPEDGSDGFEP RSKGGVRTKLERFVLGTDLGHGEWPLDDSDSLMESEWNIHNVNCGDCVRTNDQIDKQW DEQLAIPLSKNRDWTATNASVPGVGEPRIVGFYDKKNGYDEFGFDRRGRDSTGDLMIK GFQRVFSWSGITVEGPWLHRLMREGILCRIPRYSKALSASSENVFQESDDIKKNLHWH V LY89DRAFT_602395 MIAANEDLKGSLTLSAPLPNPCLSYWQRTTRAFPHLNINKSSTL PSSSKYVIIGSGISGALTAFELTENGVDGKDIIILEAREAASGASSRNAGHVRPDAFR GFKHYSSLHGPDQALKIIANERLVLEKVDHFVKKYDVPCDFHLTSTFDVCMTPEFAAY EADNFEAFKKAGGDVSHVSFFEGDEAKKRTGIQDAFAAYEWPAGSSHPAKLAQWLLNS CIKRGVKLFTHCPVSKVTQSEDKSWEVHTSRGAVVTPTVIHCTNAYASLLLPQLESHL TPNRAQAHSLIAPPAFSAEGSLTKTFSLRYSLHHFYSLIQRRGDGTLILGVSRANPTL STETRRGAVTYNDGKYNEEILEDALKQWKVLFPRVDADINRNGVHGAGLDHAWSGIIG MTGDFVPFVGPLDGMEGQWVCAGFGGHGMARIFTCAPGVVKMMLGKEWSDTGLPECFQ FTAKRVAKMMAAQGLQV LY89DRAFT_712370 MVSWKSFGRPAVLQKHERTPAEKSLVLRLDIFLMTFGCISQIIK YLDQQNISNAYVSGMKEDLKLYGNELNYFTTWFNVAYCIFLIPSQIILTYVRPSIWLP GLEILWGMMTGLIATTQNAKQVYVLRAFLGMCESSAWPGMMTLFMHWYTPTELAKRMG FYHSCQAVGGMMSGALQVAITNTLDGSHGLAGWRWLFVINAIITVIWGFLGFFMIPDL PNRPNPRSFWFTKAHASMAMERLERHNRAEPKKMTWAGAKRAFSGWVMYFIAVLYIAT VLASYGYAYFNLFLKSLKNADGTRTWTTSQVNAIPIGGGAIQVVCGMSPKPSDILEAN FRCSLDLGTSFGFLRHTMDTYRCSSGHRMIPTIIMSIWTRHPASVALSAVYASYFISY ICLGTAPLIMSWLSDIIPQDPEARSLIVGVTIAGYYAIAAWSQVLVWPAVQAPYYKYG WQSALALWFVVIIMTCILRYIDVRYMLPKRLAFAREIHEEVVSEDGGEIVAGESDDPD SKTGRDIHTQVIAATAS LY89DRAFT_602391 MAAQEEKLFGCVDPVTHIVGWDGPDDPHNPMNFPRSKKWRITMV TALITFVVSFASSVFSTATTVTALEFDVSLEVMILGVSLYVLGFAFGPLFWGPLSEVY GRTRPIFIGFFIFSLFNIPVALAQNVYTIMICRFLAGSFGAAPISIVGGTYVDFWDAL DRGIATAGFAGATFLGPIAGPIVGEFITKSYLGWRWTAWITLIMAAFFGVIGLFTFPE TYAPVLLKRKAEKMRHETKNWAIHAQLDEQPIHLKSLFEKYFSKPWIMLAQEPILMAM TLHSSLVYSILYLIFFAYPYSFQQVRGWETGISSLPFLGLFLGIILCCIYIAVDTRLR FNPMLLASKKPFIPEARLPPMIVGAIFLPIGLFWFAWTSNPHIHWAPQVVSGIFTGCG IFLVFLPSQIYVVDTYLLNANSALAAMACARALMAAGFPLFATYMYVNLGVDWATSLL AFLSLAMVPFPILFWKYGEKLRMKGKFAFAL LY89DRAFT_398396 MLANKWIADLLAYLDRSNIGNAKIAGMQEALKLDSGSYAWLLTI FYISYIVFEPLALMWKIIPPHIWGCFCVLGWAVCGTLQAATFNWSGMMAVRFFLGAFE ASFAPGITYLLSFFYLRNELGLRCGLYLSAAPLATCFAGALAYGITSGHPHGISSWRL LFLVEGLPSLVAGVATWFLMPDSAETAKFLTEEEKAVAKARSVRQVGKDQNVRIHGIN GRDVGHAILDFKPWINALMYFSCNVSFASLPVFLPTILAAMGFSNINAQGLSAPPYFL AFLVVLVSCWFADKTAQRGFTILFLSLLGAAGYIMLAVSSSTGVRYAGVFLAAAGIFP CIGNILPWVMNNQGSDTRRGTGIAIINVVGQCGPLLGTRLYPSSEGPYYRKGMWVCAA FMLFNGFLAMLLRALLVWENKKLDAKYSPVIHQKGGEPEEGEENEGPRFRYIL LY89DRAFT_661393 MTKDQQVKVAAVQAAPVAFDLEESLKKVEKLTAEAAKQGAELVA FPEGFLSAYPWRYAFDATIGAREPRGRKWYAKYYESSVAIPSPEFEVLQNIASENKIN LSIGIIEKDGATLYCTAILIGKDGALLSKHRKLVPTAVERLVWGRGSGESLKVVDAGF GKIGGLICWENYMPAARLALYQLGVEIYIAPNADDLPSWTASMQHIAKEGRCFVISVN QFCKVSDFPSDYPPFAPGHHDRNANGEPWTKDDILNHGGSCVIGPLGTFLAEPVFDKE EIVYATLNSSELVEARMDFDAVGSYSRPDIFSLTVNTKPTPNVAFE LY89DRAFT_661395 MLLDYVPPVQNEYHKFVTNQKFAYIDSIELESGEQLEGVVVAYK TWGKLNGNGDNCLVVCHALSGSSDIVDWWGPLLGNGNAYDTSRYFIFCANVLGSPYGT TSSLSLDPRSGQSYGPEFPQSTIRDDVRLHKLVLDALGVSSIAAVVGGSMGGMMTLEW PLCTPEGYLKNIIPISTSVDHDAWGIAWAEAQRQCIYADPAFEEGYYLPTPATQPAAG LAAARMVGMLTYRSSPSFNSRFGRGEGGSQLKAVNEVLANTTLVNGNGKSKRDSKSNG VHNSAKDFKAHSYLRYQGEKFVKRFDANCFIHLTQKMDHHDVTTNRIPPHELCSMSKQ ESLRRVFRKVPKNALVIGIDSDVLFRPEQQVEIADALPEASLVMIPSVEGHDGFLLEF EILGPLIEAHLRKQCPWIYEGAPLVVEDSTSAVRDSVFGEVESGW LY89DRAFT_661399 MPLILTEEDKPWVVQKYGGTSLGKLLPQITETIIPSYLETNKVA VVCSAISGTEKAKGTTSLLLKAIECAITPTRKDELNDIIDTIRDEHFKAIKALKLRAA IGNEKLFSEAEADVVTECEELRSFLSAAQTIGELSPRSKDRVVSTGEKLSCIIVAAAL RSNGIPAHLVSLHNIVPLTNDHTLNSTFFDTLSSHLSSHLATYPQTSIPVITGFFGPL LPSLLQTIGRGYTDLCAALTATALSAPELQIWKEVDGIFTADPRKVPSARLLETVTLE EASELTFFGSEVLHPGVGEVVKRAGTRVRVLNVGRPEGRGTVIWPSSSGVSTPLSRSG DSSALGVSSRSNSPASGFMAANGYYGVNQARRAPTALTSKDAIVLVNVQSNRQSKSHG FLAKVFNVLDGLDITADLITSSEQSVSLALSNVGENEEGIGRVVKGLENCGKVEVQKG MAIVSVIGHKMRNMVGIAGQIFSTLASGGVNIYLIGQGASEINISLVIKEEDALVALD IIHADVLGIPRIKTHMPIAQNSMMKGPWLY LY89DRAFT_692177 MPASGSLRGVTKEPGFDTLQLHAGQEPDPVNGSRAVPLFQTCSY NFMDAADGASKFAWQKDGYVYTRMGNPTISVLEQRMTMLEGGVGAVATASGHAAQFMA LTSIMEPGDNFVSTSWLYGGTYNQFRVYLKKFNIHVKWVEGQDPKAVAAAIDDRTRAV YLETIGNPKHNVPDIAAIAKVAHDAGIPLIVDNTFGMGGYVQQPFKLGADIITHSVTK WIGGHGTSMGGIVIDGGKFDWSKSGKFPGFTEEADGYHGMKFWDAYGYKALAAKLRMD AMRDLGPCMSPFNAWLFLMGLETLSLRGARHCENALAFAEWLEAHPLVKWVLYPGLKS HPDHEYATKNLKNGYGGVLTFGVDGNIEEVSAVVDNLKLCSHLANVGDAKTLIIHPWR TTHQQLPDEEKVQAGVTPDLIRVSLGLENIEDIIHDFQQAFDGAGLKPLKTLPVTNGT KTS LY89DRAFT_661403 MAKGRKITKIASSVKPEKPARKKFAVPPVKLACLECRQSRTRCD GKTECSNCLNRNKTCVYTASKRGGSRIRRRKLSIDEEKSLSTNNSELNHCALSILPEL DEVAASFSGPLQLVAPGGGLTQLDFSSDDSLFIFDSVFSGQGDSLDSGYETSEVSATL SEVDHPKEYIRVYQTDEDILNAYYVYIHPYFPVLPPPQPQQVIDNPDYGVRRAPDAIF SSRTNPDFEPSTPLSLAISASLSLIPHPDDPNPSGLHSVRLRREQAQAFAQSAFESIE IESELIESGIEPGEALSSDPAPLIRNPFHPELPLENESIVALLMLSTYEYAQRGNIAK MRNRAGQALNAALNLGLHSKGNEEGYHAEANRRTWWMTYILVCQGAILSNSTPPMLLN DRRFTTAGPTYMGDPGAWPVFLQSQQAITSSTQFVINLDATLKLGTNPMAIWDRMLEL ESFMEPLINQADTWSLSTNPQPPLDSLEVVVGQALRGMARIKLNRIKLHRYCAFSDVP VFSKKHCDLRSSSEVSSPGPGTGTPSAPNCGCSNTFHNLPTAADLSSPISTVSSPSMN CALLPYSSKYSAKMCLKSAMNIAHSFRTLPYPQPIRPNDPLSFFPEMRIDSNAPRMIP VFACCAMQSSYALIMLSYKTKAMGFVGDTREESAMKLLGQLSEGLQMILGAIKNYSIA YEALGGMSGMFCLLNLISEAMLMMTFRTNRGCGAIY LY89DRAFT_398615 MQITKILVASALAATCSGTAAPLPTPAAILPRLSSNEWINYTTL TGFFAQDDPATNPSTFDYTTTNFGLLNITYPTDDEVDPTWTQWQKFEHHVWRLNRGSG RFVQYKVLYMGRHGEGYHNVAESYYGTPAWNCYWSEKDGNGTITWADAKITPNGVAQA QKANAFWASRIALQKIPVPETYYTSPLTRCLQTSNITFYGLDLPSRQPFIPTVKELFR EGISGHTCDRRGTRTYIQDAYPGYKIEAGFSEDDLLWKPLMGEVPTDEDVRSKRVLDD VFAGDDSTYISITSHSGEIASILRVLGHQPFSLSTGAVIPVLVKAEIIQRDVPATTTL PWTALSTCSTVPPLPTAT LY89DRAFT_632222 MSETKDMQIGVFIPIGNNGWIISTTSPKYLPTFALNKEIVQSAE SYGFDFALSMIKMRGYGGVTQHWDYNLESFTLMAGLAAVTNKIKLFASTPILVLPPAV VARMATTIDSIAPGRFGVNIVTGWQTAEYDQMGMWPGSEYFGYRYEYATEYVQIMKEL WSTGQSDFKGKHFTMNDCKLLPMPSEPIKLIAAGQSGPGTAFAAKYCDFNFTSGTGIN QPTAFRDANARLVDAAKAANRDVGALVLLMIITAETDALAHAKFTHYNSGTDIEALTW MRNQSGKDTKADEFSTAMRMVKMDSNCNGSMATLIGSWESVAGMLDQVAGMEVKGVML TFDDFVEGVRVFGERVQPLMKSRRNVVRELAGEKVNGV LY89DRAFT_702725 MNEKQVDEKQVIVNSESHADISIGVIEPLSEAADADFEEGQVFK QVKDGVNFRTVGWKKASVIFLKVIFATGILNIPTAMYSLGAVGGTLSVIGWTLLNTYC GVILGDFRNNHKNCHSIADMSGVLGGKIFQEIVGAIFIIAYVLASGSGILGVAVALNA LSDHATCTVWFAFVGMILVAAAASVRKLEKIGWLTWAGFVSIFVAVFVVVIGVAVRKR PAYAPQTGPYELGYYVIAQPSFSAGVTASATIFGSSAGTSAFLPVIAEMRNPKEYRKA LYACMSFVTVTYTVFSLVVYRYCGQWVTSPSLGSAGGIIKKVAYGIALFGLVISGCLY LHICAKYLFVRILRNSKHLQLNTTIHWVTWLSCVWGLAGLGFVLEEAVTVYNWLAALS GSLCFAPLALTLPGLMWIYDHKSWVKGKLLQQVMYWLHWGLAALGVFLMVGGTYATAE EIKAAYATGSLGYLVVFYIIHVVISTGSSNAISTGATFNCADNSATTL LY89DRAFT_692181 MLSRLKHPFTDTELMLRLEEKFQCKVDNIPLGSLVRNTKSPVKT RICRPNSKMGRVGFGQVGLGRVGMNDLPSSYAAGLSELSDMTEVDRKHGSLFQPKYVY TSRITASSCYIRTCKINRHRLESILQMDEPRVAKERLDAFLEERDLYLVIDYKSAVDP EVKITRKKDIKAANARTSVLVDTFLHGASRGETNSWSKCYDHGTSGPWARQAGNLDLW WALGHVVDHGGS LY89DRAFT_661410 MATRRTLLSLASLAMLIYPSAEHTFKPNCSLPNESSYLVLSADI RGTFDILWSSLFTLLICTWTVQHPNIPPQGSQNGFDVEYVLSSAWRKAKWMLLTLILP EFLVGKALQDNGRAKRSIINLSELANVSGIAWSTTHGFYADMGGFVLKARQQRNGENV PAPIFLNCESLQFAFIGDGNRSLIEKIPHISKKDIQDKSKGDFFVKATAVVQVCWMVV QTIVRGAKGLAVSQLEIAVLAYSACTVITYYLYLDKPQNVQVPTYVLLKKELGGEKLT PEHQEAIRDCRPRSWFTVSLRLFRYQGAGKERRNILDPIPNDARYDDVKSALFTAKSL FTRMDDGFVIAGLVFGSLHCAAWNFDFPTPVERLLWRICSVITAGTLPLYYGVLLYDI HIKSLAIQRLPLVTIEVVLALGYVMARLFLIVEVFRSLFFLPPSAFITTWSSQAPHVT LY89DRAFT_678409 MQKQRVSRACDTCKRRKIRCTGKQPCAHCIKNNKTCEFKAAYTR GAVPEVQSAPLEEQEKFLEEVGYKRRQVWRPSPGRRNHSHVRSNEQDDARLDTEEEDS EYDGETAFGYPSVPSSRPIPTRQHQDSTSGTSNTSTQPSHITPCLRDRVANNSSATSL YDRTQHNIHTRDYHHRNPVYAYGDPALPDIDATFLIIPSQNTAHAIIRKYFDYVSATN RILHFPTVEKWTVDLLNNIRDMRTGAEENSHRAVVLMLFALTYEYMGDEYGEWDANSS FYYFQAAENQLAKETGEIRLATLQARLLQCLYLLSRSRINQCYSIFGTVVNFIYAIGI HRERRPSSTSDLLEIEMQKRVFWGAYVMDKYLSSSLGRPQLIQDEDIDQELPLLVEDE NLSSGFLQTTSKEAQVPMRGAIYQIKLSKIMSQIMRELYSVQRHPLEVRFAAASQLNL ALRTWRGEIAHFLDLDPSLLSPLYMRQNMALRLSYSHALILLHRPFLLDTFDSHLDIS LPVLLEKLEENVNNCMNAALDVVKTIDSLHKVESSFNASWFAHYCAYTAIVALYLHVI RRYTSCPFESMTSTSAETINSRHARWMLQFEAASKCQEQIKNSSTMAKESFAQKCSVV LEEARAEAVLKIRQAKTRQGKTWGDTEESESRSERENMEGVSEGVGNERPHVQMEETS FFGGEWNDMNFAPFARSSFRLA LY89DRAFT_661417 MSSSSESPLEKFKPGWRLSAAFTSIAIVNLTCALDATTIAVALP AISKSLHGTAIQALWTGTSFLLASTVWQPNFVAFSDVFGRRPVLLFALTLFTIGAIMC GVSKNFTVMLAGRVVQGSGVGGIMTLTEAIITDLVPLRERGNYFALISIVWAIGTVAG PLVGGVLAQHGAWRWIFYLNLPIVAIGFLGVILFLRLSLKERSLTQKLLEIDYIGSFI FVSSATSFLIPLSWDGVMYPWSSWHTLTPLLLGLSGLILFISHQIYLSSPSRPSGPRN TLLPMQMFMNRSTALTYLITFLHGTILWSIVYYMPLYFEAAKSYTPIQAGIAALPQTL TVVPCAAIVGLIASKTGRYRWSLYTGFVLTTLGCRLLYLLDVKTSIVEWVFLLLISGV GIGLLFPGMNLSIQASVPPKDIAIAAGLFTFFRAAGQSVGVAIGGTIFQNRISSILAP YPSLSTTSLDALTLISTIRSLPSDAPETLVLKHAFADAIKTIWAVMCGIAGLALIASL GVRGYELDQALSGEQGFVDGVRSDEIVEAGRVEDAEWVVPEGLVGTDEKAGI LY89DRAFT_632234 MPAHKEDSNPEPEEPLIDTTAPLALRSKRTERRQKKRHLKAATS RQTATLWDLPSELILSILSYLRPSDIITLSRVNRGLHSFILEEKSRIASSVISRRYSI LTQCFPLPILLSNVEAEAHPALLDEERQIKHLHIHKKPYQHIQPPDPKKICTCLTCIL AWNNLCVIIDFAHWQTNLENGEPIPMIPRGKHPRWNRTLILSHSTKVEKALENPLWYA RILQEHLSSTTKSIKRHGNNAGNKRRRFRMEISDVEAGTDAFLRRSGPPSLDFPFHRD NFYMLEAYCPNRGWNAEVGEWRYMPASQHLRDVEFVKAWARGKERRERERKEEENLRV RRGEDEVNTGP LY89DRAFT_398766 MAEPKSIGTHTESDPSHHEHGRYNTGHINLNSNAEAKIRNPLAG IPHATLLKDVEEFAQEKGLMDSLPLLQKGALVASDPQNYEDITGTHALTPTEVDDLRN EVLHKWRQPTALYVTIFTCSIGAAVQGWDQTGSNGANLSFPKYFGIGTESNHDTLLVG LVNSAPYIGSAFVGCWLSDPLNFYLGRRGTIFIAAIFCFVPVIGSAFTQTWVQLFICR LLLGFGMGAKGSTVPIYAAENSPASIRGALVMSWQLWTAFGIFLGFCANLAVAHVGKI AWRLQLGSAMIPAIPLILFIFMCPESPRWCMKKNKYQQAFNSLLRLRNSPLQAARDLY YIHCQLEIEKEIIGKSNYVTRFIQLFTIPRVRRATLASFTVMIAQQMCGINIIAFYSS TIFENSGFTDYQALWASWGFGLINFLFAFPALWTIDTFGRRALLLFTFPNMAWSLLAA GLCALISTKITAHLALVAMFIYIFAMFYSPGEGPVPFAYSAEVFPLSHREVGMGWAVA TCLFWACVLTLFLPLMLAAMTPTGVFGFYAGTNIVALIMIFLWVPETKQRTLEELDYI FAVPTRTHMRYQITQALPYFINRYILRRPNTVLEPLYHFDTSSNDQDRIEAMYEADRV RAEKKNGINGNGSGSDVQIENQNDVQDGEQINEKI LY89DRAFT_692186 MAFSEPFKHQPLDLTKTQIRLFRLTTTTTSGRSLTGEIAIFDFK SCPEYIAVSYTWGPPNPTRKILIDGHSFTIRENLWQFMDTAKDYPKSWLWIDQICIDQ STVDEKNHQVGMMANIYAKASHVVLWLGPKADWSDEAIRAIHLDLTLDRPYPPGPWDH LVPDQETAIQYRSERDSPWHQLMQKVLLEGQEKPIQDLFRRPYWDRLWILQEVLNGRN ILVFCGRSCFTWQQLTTIFTPGAKHNGTEWKIPVQIPDVVMSLIKEKSFGQGSHNRLA YILDTFAHSQCEDVRDKVFGLLSLVRESGAIPVNYSLTPVEVFFRALERILMDEMTLH IEEPFNTARILRDEMLLQEINDVEIFQFAEQQLKKMRQEPKRKHRVSLFANDMDNHHL LWAIENEYSDIVKFLAERGVDLEKKNGFGQTPLLLAAERNLLSMVALLVELGANLEAR DNTGSSALIFAAVMHASIVDEDFHWVDTTPIVHLLLEKGANLKARNNAGHTALWLAAC KLTPTTLITVEGYKVVSLLLKVGVETKNDDQDYENEQISIAARYGDEAIVKTLLKRGA DPEAKNIQGESALSNSYYYQQPAITKLFLGNSRKKNGMGQRAIKAASEVFKR LY89DRAFT_692188 MVESFNIKMPITSLAKLVSQLLTGLKDLVNSVPESQDLFIEVES FQKWLQLVLNKHELSRISKEILDSHEPTEGDKFLLMRIRDCDAVCSDYNILSTRIVQS KDTSREWKISQDDLGLVTALFGKIRLCLFYLLPTVNQEPLPSESDEKIEFHGAPPPQV AVCEVSSANSTVTHQPSFSRALEI LY89DRAFT_692190 MVPPLITLEEHFIATDALPNFSGKYSEQLKHVTGLADKLADLGN LRINNMDIGKISLQVISHGPFGHSPSQCRAANEQLSAAVKANPSRFAGFATLPMADPK AAAEELTYAIKDLKFVGALIDNHCDGKYYDGEEYRDFFKTVQELDVPIYFHPTWPSED MTPRYSGNFSTGAELSLGASGFGWHSEVALHILRLFASGLFDLYPKLNIVIGHFGEML PFMLGRIQELSPRWGKLERNFKQVWDENIWITTSGIWSLDPMATILRNTKIERILYSV DYPFARNERGLEWMEELEASAMVTKEQLEMIAYKNSEALLKVKLTGQ LY89DRAFT_678416 MKFSATLLSFLALAVASNALPQGLNQPIFSCAAPEFGGCCERFL ADGTGVGCNKADVLINGADVQYTCNDAETDQGLPACCEQINTPPGTHIGILLPCQQTS AGY LY89DRAFT_602524 MSTTQPPQADTTPNVPSHHLNAFGTAFKNPWPSAEKPTVTELLE NKFPLGWYESYAKKHPGTQDVKVVAPDWGASNLKKNGLDRAKCVIGTSLGHAGVITEL PLEGTANGADGVKESFWIVYDPIFSERAGPTPFTGPKRMRPPPCQVTDLPGCDVVMIS HNHYDHLDTSTIEALFKKFPNAKYFVPLGNKKWLHDLGVSEEKIFELDWWQKRELSMS DLGFTTSQAASEDALLRFTCVPAQHNSGRDPLDLDKTLWCGWIIEQMLVSNTKTSPTR RKGAIYHAGDTGYRRTAKSTAVCPIFKQIGEDYGPFDLSFVPIWRGGTLEFISHLGFR LNHNDIPSALHAAPADALDIHKDVRSRNSVAIHFGTFVGSVNESMESVMEFEDGRESR GVARLESGADTGEGRAGIIDIGASLAVEIETTDVGGHK LY89DRAFT_743474 MSFGWSAGDIAAALTVAYNLIQALDSVDGAAGNYREAVSFLQHL KRTLEPLQSFTAWSAYPEYGADISEQVSFIREPVEQFLVKVLKFEPCLGDKTRGGNHR HVFQKLQWYMFMSKKVFALEKKIGSHMRIIDSLMQRLTMDMVWRTQQTLPDTLRLTFQ ETIRPDIMATLRENLSPLRSTLLEDGRSQARESSETLVTTSSKDYGELTSAMEHMKLQ INSSEIILRNIETSLRGACSKPRQKALLGLDQPCDLERTTGTLNLDSGDLPSAKVARE SLQEIYYLVFLYLGCFLKNLFLAISRMVQPPRALMPKLLAQYNISFLDAIGRPPRVLP FEYFRSFKVLQAFIQDDFKSLPGGTWVDRGKYLIVSLANNRALNEQNWNRTIAPGAKV AMSMIVRKRLRSSTTNRERCPEPSCSGTWVRSKTESWETCPVCQKEILNAVLDSAQDI ATQDAMRTPLPSSASRPNLGLPSSLPTSLPSSTIRLESDIDEDISVFKRIVQERDAKR LDRDATIKPLPTCPRTDFTGGHNDWLTIPHAPSFDICPSCFNSVIAPTDYRGYFVSAA ERAPEVEVLCDFGSCPWFRIAWLLALKEGRQDLQMFKELASITASIPPCFGSRAVGRQ WYSVIDPSRGKPIRDFDVCPSCVKSIEVLLPAIKGVFIKKTSGLVDSPKVCDLRFESK RFVPYFDALETMSDGASVHDGETLDTRDFVQLAKRFSTIEECLRDTELTDRRWHTITE LPEFTVCEDCFVQVVWPEVEEQKALASLFNKTMQRLPNASCQLSSRRMRRVFRDAVDA GDFELLASTARERASLQTKYRSILRADTILTERETTKLKEEWMKWND LY89DRAFT_790220 MSFFWSTQELASETPLPASTDRKSAIALLHEHATVQNLQPLVTD SKPIPVPSPNEPVVTEATSACPEGSTVDYRAVTIAVPFGPFGSTSITSKSAYMDADDG VIATFQAPMGVSGRLRYRVVATDDGKGLILREEAKITGVSVMMPFILGTEKENHAKHR MSIAKELERRAGVGGKVSGT LY89DRAFT_726248 MVRVTEELALSQDEVNLYHTSDPYLGHLPVLIFHGPSTTTNSTL NSSRIQVHVLTAAGFQSYPRITISPNSPFYQSVNHLPRDRQGDEVCRGIAFGLLKYFK ELPEVVKAGLIVQAASSKRKRPGSAPTLFGEQHAADLASSMVRVENMAEVVEDMEAAL RPQNINHVDVDLVLPPGTIAPFEELDPDEHMNDEDDDTLLDPTLKQYGEYAPLVKLFG EVAFLPTSKLRRAPSKANSLNRSRSFLKDQKMSLRREMSEFVDTEERYVMKMHELVNH IADDFREKAKSRAFGSFSPSEEDLKKLFPKSLDRILSINSAFLAEIKKVMDETEEDAM RDLEAPIVSSTGSRYGGTGRLKDPTGALAFSKVLLEWFPQFSECYQEYIRASQEFPKI ITLFVNQQSSFSQRVQQTGEQRLRSSVIEPVQRLPRYSLFIDNIVNFLPVLHPALQPM LKARDIITEICSLDPPVADKEQIVHRLRNLVESWPTTLKPQGRLITAAEFVELPAPFH CPPTAASGPPRDGMFLLFADCIVILRKSSQCTISGRGLMAEVDRPSATSMMASITANA GGQKKVYELAFCGWHALGDTRFTMSDDGRIVSLVSLHELKDPGSGRGGTAPNATVRCF SLQGAYESKALRFTEEITKARVEGRFSEPERETDRWSLRSVKMPAADISLHTAVFEEG IDTLMKGRKEPAPIRIVVDHERGTKGAPVGHYGVDIVCNIGSLPGGLNYKLETDGLHD RVFVDEVVVEHLLPTLVKRVNDLLQTQFHPSNPSLTVSFITFYSRVLRSLTILRDGDK FKSFRPASPVKMLSSFLSNGFNSSTTSLHSSVHGSSSKHPRTPIMGNIPSMQPPPLSR TNSNKSSHSIQDVDLRSSQRTGERPTNPLVRLEETFTGYIAALQARKGNVVGRVLRNR VAADELAINAVYNTFIENPFDQRVASEATVDVLFVAFEKYVRLAWKDQMGKVMSTETL NELQERALRLHPNDFADFVKMVFAEMAPQNRRAFIAIIKLLADLLEGCGNDGDRGALT ASFAELLVIDGEPHEYINLLDRMVEDQDRLFDDIGPGAVTGHGSGNNSAYGSISGGRV NASATGSIASNTSSFRKRFADTLLRQNSKGDGDRPSVWRTLSKTNRHVATGEPVTPSS LSKGSLNRSRSIESPRRPGSRDRPTVLGAFDERPSSSQGPSTRLSTIGASPPPEDQKP VEKTLKKKRRSSLSDLKSLMAAASMGNSPLTPDGRKGLRFNSSPKTPSPTKIPVAGGI MDRTRSAMYNTGSPAQKENTPLNGTTSRNVGNLTERPNNIMSPDIVVIKDLWSAGGAK GHSKALSMSSNIPTFRGRTPSVTRPTTSPSKPSPQKLRLQSPQKLRERLQNEAKAINE AEASLQTELSKIGEEMAKLTTSSSVRTVDLSKLNTTVSNLESRIPEVIKDLTTRNDAI KVDLEKSLQASEFKVKGLDQLYKESSAENELLYEKFNGELGKIVKALKGKGKEDKEEL ITKVKDASEETAKTKKENARLRREVLTLRALLKGNEI LY89DRAFT_743478 MATQYANDIPFSASHDQQAFKLLELPPELLSLLESEHPPTLTIT SSKATETTSGYALLCHGDKKYQMRQKNTSNPIMILAPSRTMPTESDDAETFISSPSVT TISKIEDTIELILQEAEVKAAPKNKWHEKFAKSRAEKKK LY89DRAFT_398923 MPFLINRLILLNVLLLGQTTHAQIISNYTPQEIPINSGRPTFYD PSLSIPGKIALEEHVGNSLFSGTFTTPFVNFTNEVNFDIPIYANDVMPRLTNIDSRVA AMDAANVSISVLMFGAPGIQGVFNSTFATIAATYVNNEVARIYKNGNYSGRFEFWCSN ALQEPVSAAAELERCVKTLGGVGSFVGGYTNNRSADNVVYLDDPSMAPFLEKVVELDV PIYLHPRTPPPGQQRVYEGYNFLSGSPWGFSSETSAHVLRLLVGGVFDTYPTLKIILG HCGEGIPFFLPRIEQRMRHFTPYWPAKKPLAEYWEKNFWVTTSGVQDSGTLVDTWRSL GEDRVMFSVDYPFEDMVEIGGWFDRLELSQRTKEKFGFQNARTLLKLGA LY89DRAFT_398907 MIKDWILSSWELRGDFPRCEVFWLGFVIVWLEWWWLRILTPQDA KIFIDGHYKHGKFDGFFLYSLGCDARKRFEYFVLYFRYGFLHRRMSKVSRDVFFWFGK YP LY89DRAFT_692196 MGENAPEEQVLVDYPDSKSPSLYLKNPTQEEKVATWSINAANWG KALSEPDYLEREAYLTTVPLAKDNGVTHWILVDRNLPPNERSILASCESLRKPVLVSH NGVVTEGITHGIGSVFSQPKFRGKGYATRMLRELAPILKTWQTDPNIPGRETCPFSIL YSDIGTKYYSKFGWEVHPSTHVALPPSSSPSSSSAKTLSYTDLPPLCALDEQTLRTQL SQTSDNKTHVALLPSYEQMQWHHKREDFVTSKIFSKSPTIKGAICDSPGSRVWAIWTR AYYGPLKPESGNTLHILRLVLEDERDTQENVESLKAVLQIAQREAEEWKVSEVDVWNP SQVVRSLVRKTGLEHEEVERKEESIASLMWYGEGKGTADEIRWVANEKFGWC LY89DRAFT_602542 MAAPAAARTPAATPVPTTGVPQTLEECISILEGVFPDICHDYVS DLFKTTLETADQLIDHILTKSTYPRTKDKQLALKRKRAEDPDEEAARKYGSTDRNIPA TPGGVRPYIRTILIAEFPLVPVTFIDSRLQACGFRLFSAYQEIFEAVRTYSSETPVYQ RLQKARKVDALFTEETIGGFLTNPNGDTERVEVLTELQTARRVRKKEEDRHEGLLRLQ QLEDQNTRQAIAEGAMGECGCCYGDFPLNRLVHCNGETSHEFCKDCARRNAETEVGKS KYELHCMSMDSCTGGFDLDQRAHFLDENTRIALDRNEQESVLRLAGIENLASCPFCPF AAEYPPVEIDREFRCQAPDCERISCRLCSEESHIPQSCEEYKKDKGLCVRRELEEAMS AALIRKCNKCGTPFVKLEGCNKMTCTRNGCNNIQCYVCSKSCGYGHFNDRSRGGKPGN CPLFDNVEERHKGEVSKAEKEALQRILAEHPEYTEDDLKVKISENVKDDDRRKDPRAA LDELIRAGALRGVPHFNVPGQDALQG LY89DRAFT_398988 MRMRDQHAEIERNRAMIADLQLRARVPDELRRQPREFRYNPDEF RRQGLEFRPQHEPIREAIVINDLEIRQLGGWIQLPKQNVEAAPQQHDPKSEKDKAIPE LDLPFHQQLSLPRYELDQPPRAKAEMLYTMVQEVPRKAGQHELREDDRLKGEEKLLQN IGFAHFPAQLELNPNLRPVNGKALAPDLGALNRRLADFRGRHLRKFWRRRRVPAVAAE EAVRPQPEESNEEDLGAIAARLVEESLFVADAEKADDADSID LY89DRAFT_661447 MATAPPPHQPTLLTNTTSLLQTFTDFLTVAIHTILYSRTLYPPS AFISTRKYNFPVRQNRHPVVCAWINNAVSSVSTLLTKGSVRAIAVVVFNKDLEVMERY MFDMSKFPVVDPKEGLTDFEARRDREGQELPVGVSTVDIEEQLRATIRRLAYGAEKLG PLPEGCTYSLAVELRDEVDPPIGHPQPWVPSEPSLQTGEKTSERIGADLGGIKSTPLR LVEAGEFILEMWIEEGRAKLHDNGE LY89DRAFT_399001 MAGTMEEQLLSLLADTQLPAEAPRKAAEAHLAAAHSNPAFPGSL AAIASHSSVSPQIRQSALLVLRTFVEKNWSGESEDGPVVLIDDGTKEGLRRGMLELAT SGEVDRRVRAAASYVVSKIANVDFPDQWPNLLPSLLHLIPNANDEQLHGALKVLSDLV DDSLDQEQFFSVARDIVKVVYDVAVSEARKPTLRALAVSVFRNTFDIMDMVKDEHGPE VKGFADEVLSAWSPFFAEVMKKTLPARPKSDDEGYESGRDDIPEAWRGVIALKLQVVK TLMKIRAVFPTLLLPQSPNLFSDTWGELSTLQEAYKDMYVDNDEQGRLEDADGLPYTL DFLVLEELDFLQSCIRAPPVQKELEAQLQQQGGVRSTQWVMDVMKLAIGYAQIPKEEE DLWDIDVNLFLAEETAVTANYTARTACGDLLIKLGEWLHQGALEGLLAYTQLLFVSES ATWRTREASLFLLTQLMTDFQDQDKAVAPEVVSAYLGLIDYAVNRTEEPLLRARGYLV AGVLVQSIPDVALGLLDRTIKGVNDDESEVVKVACIKSIQGFIKARTVPSDRQLAIVA SISEFLYSKDLTDLDDADDLLVTLVESLRAAIGLDPRITITGDSGALDLLFVMAKHGA TNFQLVMMVSETFEDIVTALAGSDSYTALCAKVLPSLTGAFDVGNMTGDDPLVELATE LLAVLTENGSEPLPPGFVAAALPKLNRLLMATTDGGVLRPGVEAIKYMLMHDHQQVFA WHDEQNRSGLEVCLIIIDKLLNPAIEDNAASEVGGLAAELVEKAGQERLGPYLEQLLR AVASRLNTAEAAPFIQSLILVFARLSLVGAQDVVEFLAQIDINGQNGLQVVLSKWLEN SINFAGYDEIRQNVIALSKLFTLNDPRLAQTLVKGELIIPVSDRIITRSQSKKNPDQY TIIPAPLKILKVLIEELLSASGYRNASDVAAAAAEFVDEEDENDSWEDVPSVLDLGLG STKAELMAYGEGQGTFMRQRDDETQAYLTEFFIRAGRENLAGFNELYEALTNDEKTKL QKLAQGA LY89DRAFT_768765 MSAPNASQPSMVTGHAQYAKGYVEETIGNVTGSKEWQESGKKDT AEGISEMKAANQARSGEPAASGIGGKIEEVAGKVAGCEGMEKEGLERQEKAS LY89DRAFT_678428 MWSNQALISKLLIVTATFLSTVVAQFGLGGIPLQPASPPVLTAN KTGPYNVGVRQDSYMSQSRNLTRYWWYPAQAVNDSTPFVSAGGIQGESVLNAPVDSSG GPYPLILFSPGLGAYADAYYFYVQNLASHGYVVVSLEHYDTKSALPTSDLPLRALAEV YQSEDQGSDAVEVTYTEWFRSTQFALTYRAQEIKAGLLTALLHISRSSSPFFGAVDIT NIGMSGHSLGAFYTLLVGGGMPIFCDYNMTAAELDPDTYNITEISPCAFPARHNLSGP FELENATIKAIIPLAAPMFIQESQLARAASKMHVPMMVLTGDDLQEESTRTPQRTVYE NSKGESYWVMVTNTSHYLVGDAYQLNPTFSLSLPAKDRAEFLGKAEVYMTYSAAFFDL YLKGNKSAKLTMKGGISSPYLAEFDYHTSKISKKVPQ LY89DRAFT_678429 MDNLTEETAKRRIVNLVRIYAWCLSKDQIKILTQQARKFTESPG FPESLQECRQNLRNTLDLIEQEIKEESPPRSTSEDPVVEGDAEVVGAAASLDEVFNGV DPRSSTMLESLESLNGLDISHLLNHSRANQSYPFRYLKQRHPYYPITDLEEVETLLST RTGVTGMRGGLITDHDEEREGLQEEEDEEEEEWGDVDAGQGGEAEEEDGRNEEQATSE DEDWVKKVGKTSSRIYDTTYGREIEAQHGVIIQILILIASMEVPHLITIVNLMWQRLC RENAPSEFFPHREAMFLLLKSIRVTLDRYKNESETAALIRDGI LY89DRAFT_602609 MSAFTPPSSYGHVPGFSQIKLSHHPPTSQEVTPIIILTLSRVEK NNAFTSTMENDMVRAFDMLDQDDRVKVIVVTGEGKNFCAGADLEIGLERTAGIGPKDH RDGGGRTALAIHRCRKPTIAAIQGAAIGVGITMTLPMSIRVAWEGAKIGFVFSQRGVV MEAASSFFLPRLIGHSRAIYLTTTGSVIPAASPAFEDLFHAILPSPEEVLPYALTIAE QIAAQTSTVSTYLMREMIWRNPGSAEGAHLLDSEIMWELYGKEDKKEGVKAFLEKRSP KMTGDLKQGMPSNVPWWEPINTVPRSGIRSDKSKL LY89DRAFT_399078 MRHPIFSLLLSIGLLNHVHVRGDSIREDHIPEDLNGSNFTYPWP VKLYQFTTQHAQTLEMAFMDVAPTSTPNNKTAVLLHGKNFCGPTWNATAIVLTQAGYR VILPEQIGFCKSSKPVHYQFSLQQLAYNTIGLLKALNIGNITLIGHSMGGMLSTRLSL MYPENITELVLVNPIGLEDWKALGVPWQELDITWAIEAASNYTTIRAYEQSTYYVNTW NSSYDIWVNMLNNIYHGSQAKEFTWDQALTTDAVLTQPVVYEFPLLKPKTLLMIGAKD NTAIGKAWSPPDVQAKLGHYNVLGKQVAAMINGSTLIEFPDLGHAPQIEEPDTFHAAL MGWLSE LY89DRAFT_399097 MSTPAKEGPEVPGKKKGGLLSRMKTVLKRSDGSKRLSFSSKPSA ATAGPSTTKPAEPTPAPIPEPETAQEDDIPSGVTKIMRSQIDADRARKLGERFAITVE PLSTGPDKQTYRIEKPIRMRIHRSCHRCNTTFGNNKICAQCEHTRCKQCPRYPAKKTE KKEKGPSTTSAPIVVGGIEPDTYYGLREQLILTKPNPKPNAQPLVRKKPMQRVRRTCH SCSSLFPPGIKTCATCQHIRCADCPRDPAKKKKYPDGYPGDAPSSNTSLPVKYNCHKC NKTFPPVPHPDSAEGIARRESAEPGPECVRCGHGLCGDCPRAPPGRVEPAPDPEVLRS VREKLAALSVGGSGA LY89DRAFT_692203 MEPRVFSSASASSLLGASAVRVQCGAGWRIQYSTNDRPRQPGDG DAGLMGFMGSWVHGLGCDGGTLTDWQWQWQWHWNENDRESMFVPMQGKARHRQVMSEE KREKGKGRIATTQSKHNTHRLTPQLMLIDAML LY89DRAFT_726259 MPLGQKSNPQPPKIQIACVSCKSRKRRCDGGTPQCRNCIARGEE CVYATARKKRGPGKKKFQIESPGDPVQLPTNELLNTSSNPNDHPQIDPHLETQTLLPR PEIFPTFLLPETFTANLRTFRSNHTQNQTYTPLNLIPLPLWLRMTTHVLSTVPSLSRL QLTASTFQSHPLSQYSTSPSSPGSDPARWALVNTVLALCIKCKIAREAEGALGCVHEA LWKNAVGVLPDLILGERSAEVVLALRVMGIYAKETEDKGLVGFFGGVVGGG LY89DRAFT_768767 MALFPRANDALNINPPTGVDLSLSVHGSDWLWAVTAIYILSFFV CLVPSFTAPESKRVFNYTLSMALLVGSLTYFAQASNLGWSSILQTDNLTRQIFYARYI NWVVSFPALVLSLGLLSGVSWTTVILNIFIAWFWILSYLAAAYTTTSYKWGFFAFGTF AYIILVMSTLNESRESAQVIGISRDYMILASWTNLLWLLYPIAFGLSDGGNVIGVAEA AIFFGVLDVLLLPVVSVGFLVLSRGWDFEKLQLDFSEYRGLRHGQASEEEGALATGGK KTRESGEA LY89DRAFT_712392 MATKQLNGIGALDAPSVDPLQGTKYDFPRDSVGFGRKSLNPEWP NGAKIAVSFVINYEEGAERTVLNGDERSENALWEQSHVPFRMGERATNVESDYDYGSR VGVWRLLDIFERHRMPVTAYAVGMALEKNPEVAKAFVDGGHEVASHAYRWIDYHGMKP DEEKEYIIRQLESLKKSTGQYPVGWYYGRLSPYSKGLLHEVFSEMNLPLLYEADTYAD DIPYWVDVPVEKDHSSPRGMLMMPYTYDCNDLRYAVPAGAWGSMGAFEEYLKSAFDVL YAEGQRGSPKMMTIGLHCRISGKPGRSTAVEKFVEYIASKEDVWVTTRKDIALHFREK FPYQKGHLA LY89DRAFT_712393 MEKNGVVEVVEEKALGRVQLRSESGEIILVPKPSTDPNDPLNWS QPFKIYVAVVTCFGMLMCTFLAAGPTVALVQIAMDFSGGNPNLEAIIPQVAFFFTTSA LTQGTGNLLWQPLINKYGRRPMYIISFSGYFATAIWCGTTSNFTSELVGRIFLGFFSG AGECLGPATISDIFFLHERGTMMAMYNFATGSGVSIGIIISGFIVVNNSWRVIYTVGA VMIGALLLLIIFTFPETAYNRSYEDSQEGDIFDNKQNPYRLSLSIILNDAEKARVQRY YDEHDRLAEMNGPEESTQITVIQRMEERIRRLEAAVLGSPQYSPISQAKKPSYWSKLA LFTGETYTNDSLWKMFVRPFGLVILPPVMWATLVMSATIGFSVALSSTFANDFARVYN FTAFQAGLGFFGSLLGGILAIPAGGPVGEAIANYFTIRNKGIREPEFRLPAMAISMVT APVALILYGAGLQFKLPFMVPIIGIGLLTFSIGQGINISFVYTLDAYGPVRGEVTIAQ LAFKSIIGFGLSATTNSWIAGSGELVALSEMAGITFFVLSLWVPMFFWGARLRRWSLG WKAVSFVKWKEL LY89DRAFT_661468 MPPARAVPIVIGVGDIKNRSQKVEDAIEPLNLMLHATQKALEDT GLSTSAAKELQSHIDHVGVVNTWTWLYPDLPGLISDNLGIKPKFKVLSHHGGDSPAKL FDEAARRISLRQSKVAIVTGGEALASCELGSCAAAGKMPPPGWTSPDESGTAVSVSDL SSRQQGLGTLHSIGLPIHVYPLYENGFRAHRRQSVEQNNKESSELYADFAKIAEENEL AWNYGQPAATGEIIGTVSKKNRMICFPYPLLMNAFNNVNLAATCLLTSTEYAKELGIP EDRWIYPLGGAGTSNAANFWERPNFYSSPAISRSLDEALKVSGLAKDEIDVFDFYSCF PIVPKLACEHLGLPITKQNKPITLLGGLTSFGGAGNNYSMHALTAMVRELRKGKVQRG LVLANGGMVTYQYVVCLSSRPRGSPYPDRNPLPDLLTKDPAPEIVEKAEGGAVIETYT VEFNRDGTLSRGHVVGRLKNSNHRFIANHGDENTLKQLASATKEQIGRDGWVSTTGDG RNLFVFNNSGKL LY89DRAFT_692212 MPILRASTQFLRTLSSFKASATNLSFGQPTIRTMASAVSKRLEG KTILITGASSGIGRATAVEFAKTAPKNLKIILTARRLDTLKEIAATINKEVGEGVKVL PVKLDVSNAAEVREFLKGLPEEWREIDVLVNNAGMVKGTAKAPEILEEDINIMMSTNV TGLINITQAVLPAMMKKPDGGKGDIINIGSIAGREPYAGGSIYCATKAAVRAFTDSLR RDLIASRVRVIEIDPGAVETEFSLVRFGGDKAKADAVYTGFEPLTPEDIAEHVVYCAG RRENVVIADSLIFPNQQAGSAPAIHKK LY89DRAFT_568760 PAHRVQSAVVEYGYPNGHLGHLTTEEEAAFKNFKLLCADKGEYR PAEGDKPASHDDATLLRFLRARRFIVQDAYKQFADTEAWRKANQIDQLYETIDLEHYY ETRRLYPQWTGRRDRRGIPVYVFEVKHLNSKTMAAYEKSAKETHSMAQTDGKTPGKLL RLFALYENLIRFVMPLCTALTDRDHPQTPITQSNNIVDISGVGLKQFWNLRGHMQDAS TLATAHYPETLDRIFIIGAPAFFPTVWSWIKKWFDPITTSKIFILSHHDMKKTLESFI EPANIPKKYGGQLEFEFGDMPKLDPHLASVTEWQNGNTDFPHGPMYWVDGKGEKEIGE VKSDKIKALAVGTRDKKERKEDICIVT LY89DRAFT_399411 MFSRLSQLVRHFSHPLPNYAHNSAAAFASSASRIMTSSTTADER NTRTIHTAACLIIGDEVLGGKTVDTNSAYMAKFCFKLGMALKRIEVIADDEEEIIEAV KRMSNNYDFVVTSGGIGPTHDDITYQSIAKAFGLKLKLHQEAYEKMKKLSKPHPNQPN FSWDVDSPAKRAKLRMIELPTDDSRDIKQQILFPVDDLWVPVACVNGNVHILPGVPRL FEKLLEGMKPLLLPRLTDPDGTGIHRIIISTPLPESAVAPYLTELAGRVEPKGVKVGS YPRWGKQNNTVTLVGRDQKLLESLVAEVEKNVEGRRVKVEGEDDVDVEAKDS LY89DRAFT_399553 MASKFLREYKLVVVGGGGVGKSCLTIQLIQSHFVDEYDPTIEDS YRKQCVIDEEVALLDVLDTAGQEEYSAMREQYMRTGEGFLLVYSITSRQSFDEILVFQ QQILRVKDKDYFPIIVVGNKCDLEGERQVSKQEGEALARSFGCKFIETSAKSRINVDN AFYDIVREIRRYNKEMAGYVAGGGSGSGSGGPGQKMEVSEGEKDRGCCGGCIIM LY89DRAFT_790240 MANNPLGTESILQHMADALPTHPQGDTGSDFSSSYEAIALFAHA CMAAVGFRLVGFGEGQKMEAECTRLAPRLAPQWNSSFGSHSFLYAHSQSSMQYVVKID RLGGKAEIRGIGLGDERISRFEVTAKDYVSSAALPLRITLNQSGEEDRSDLPSKLKDI FISPSRIQDLASLFKVTIIQKLMPGLHKEGYEEASNAGASAREDAEDERAEGHARRNP RQDPLRDPGLPEPARPYPFMPDVAQPRHPVPQGDFPPPGFDDEYDMNRPLRGMAPQFP GASSPFNIGHDDLNPPGLGPHDPLRGSFVGGGGLPRPGGMGGMHPTFDDPLFGGQGGV ARQDPRAPPGARYDPLGPGDGPQRGGNGRPPNPFGGFGSGDFI LY89DRAFT_790241 MTPPSSPIGDMKVRKLPAIGPKDPFVNVVKVLSNYMVDEIALPS TFEQLRTTSAGNKIRILVDHLVLNVTNPCLINALLTLKWHFSTLAEDDRGVNDTRANA CEIVSWRFLARISERDAMDFCLFELPQIREVEACANADANERTSLLPQFRPNTPMTPT RPGSKRVELLRSVSNIGIPAEEQNQDEDEGDPTSAFTGLNGLEIAAVADCKKFLSQKV VQKIVHGIWRGDITFWQDLGAHTTKKPQFYNQRHSDYFTRLRVPLYQKTFEVLFFATF LFLYYAVLVERNPYHITPLEAVLYIWFAAFAYDELSEFIDAGSIFYAVDIWNGADLII ILIGVAFLITRAIGLIKDDGQIIDHAFDILSLEALFMVPRICSLLSLHPYFGTLIPCL KEMAKDFVKFMVVVAVLYVGFLTTFTLLARDSFSLGDMSWILIKVFFGSSYLGFDIMR DINPLLGPPLMLVFVCMTNILLITSLISILSDSFSKVIAHAREEYLFVYSVYVLEAST SNRLTHFYPPFNLIPLILIRPLRLFMPADKLRTTRIFLLKATHLPIIGAIWVFETAYE SIKGHTPMFATMGPASEQLTSESTIPSKKPRPFLSNRASANTASQHFPEPRNDDGPAS CCQDPFDDPVKGKKEGERAVSLPVDNPELNGLKAQVEDLSSKIAELTALIMAQQGTEG QE LY89DRAFT_692220 MPTTQKSLPFTTLDVFTTTPYSGNPLAIVSLPSPCPLTQAQKQL IAKEFNLSETVFLHPSPDSSATVPEWEIDIFTTDEELPFAGHPTVGTASYVLGLAGKK KGVLRTKAGRIPVEVVQGVGVRAEVPFDTHLHGKTLSGEQRGLSKDASIRKAELEAPL FSIVKGMTFQLIQLPSLELLGKVEMTGLSPDFNVVLDEEWKPSFVSRYYYVLLDDGKG DGEVRIRSRMVEAKMEDPATGSAACALSAYLAGEWRKGMKFEIVQGVEMGRRSEIGVE VDVDVKADGGIGGVRLSGCAVAVMEGSLKV LY89DRAFT_692222 MPESEEYHGEEQILVLTFFRRSVAPIKEFLKSQGLYPRVEHVDS ERIKDGPNAVVIIDVPTSDIVNPYTGDHLSDSRRNEKALVLPSSLRIVVGREDLGQSN DFFAPSTKPSYGESFWLNFVTHHMRQGMVKSVNVGDRILKYERDLESKNYWMVSEYRT EASEMFDAVRFDEEDEDTSIPLVEAGEAGVERLSDHDIERHERSSSVEAGFEISSFDH DAETDQSPQSVMDGNIWKRKELALGSSELNETPSASYDEGSKYGNDIDKLDRIKKSNW R LY89DRAFT_602532 MRFSVEVIGLLTCFLSQAIAAPGKRDLTSFTAAERAIALQGALN NIGPDGVKVPGAGAGFVVASPSKVNPDYFYTWTRDSALTLKMLIDEFIFGNTALQSYI DDYIHAQAKLQTVSNPSGTFLPAGLGLGEPKFQVDGTRFNGAWGRPQRDGPALRAIAL MTYSNWLIKNGNSTAAKTIIWPIIANDLSYVGEYWNQTGFDLWEETLGSSYFTIQNQA RALIEGAQLAHDLGVTCPGCVQAPEVLCFLQSFWNGEFIVSNINIDNGRTGIDGNSIL GPIAIFDIDAYCDSPTMQPCHSNNLANFKVLIDTFRATYAINDGIPEGQGVAVGRYAE DVYMGGNPWYLITTAAAEFLYDAVAQWKARHVLIVDSTSLAFFQDIYPSVTVRQYNSG NANSPFAQIMDAVTAYADSFVAVAEKYIPSNGSLAEQFNRTTGVPLSANDLTWSYAAF VTMAQRRAGQYPASWGSRNAIAPPATCAGTSTTGVYVPAIAAGAPNVTSTCQVNVIFD VNATTYYGENLYVIGDTDDLGVWDVSNSLPMGAGGYTSERPLWSVSAYLNAGEEVNYK YVRQEDCNQPYIYETVNRTLTVPACGSAAITTNDAWDGPVGTSGNCGS LY89DRAFT_692225 MGFNERRAQLLANVKFLYGPDTEVLTLSHDAGHQAIAVRYDVFS ASWTKFLHGHRNSRNTMDALQCLLRETEIEITKRLSVNGVSVPFAESNGMQNMSLHRR MHHGLGPSSIASSDQSRFEFIATPQSSVTERDIPHPCESCEALTEEPGLNLAVDPVED EWALPISKKKKKGKKGVLGWSFEDPVIEEAVSEQPPQAPQPASEPLAEVVDDWGSFWA SKKQKKGRHIVTTVDCAVDDVPRVKEPPAPEPEPVVETAVVEPTDEFDWGFSSTKKSK KKKSKSAALWDIEPSDQPTTEGIPVEQPVEVAPAEEHKVEAEEVPAPEPNPEDDPWAA AFLPKKGGKKDKKKRSVRIETPPPEPEIASITEENSSTLPPPESGPDAATEESIWDAF SSQKDTNVAVEEVPPPAPADPEPEPEPEPSIEFGWGSFSSKSKKSKKKKASSSSWEVE AEPQPPPEPMTPELVPQDTQWDFSSARKGKNKEEAVAVEESSLAVPEPEPVSEYDGWG SWGLSKKEKRTKAAQAIVEEKQDPPVEPAVAADGSSSWTINPNTGIIERSKEPEPVPE PISEDDDWGSFVPKKKKKGKKGKSIVQDDLPLEEERVYTCTIANCGCEFSVPPPPPPP EEIIEAPPEVIETTLPQQESSTVTEATIPEVESRKLSGHTVVLKIHHTSNAGKNIFMT MLTLEENTRQAIFNAVTRYLDSKFAQVERSLEIVWCWQEWG LY89DRAFT_726271 MYARTTSCGYSHLTKLLLPWVTKKADSLAKAKPITLLLHVGVLK KSLTVQLSNILALDIKLTSAGSLSSTEAAYVKGSAQAGTRDNGASAFAKATQGKFVSA AVAGKTELSVHTEGSGSRALASNQGAAVSAGDSAAKIHTHRALSMSAVPASQMIEDGK KGGRLGSMSTALTRTNPSSNAIVSQPKGSLRRMVSTMSTAPRHLRNALVASRGDATAL INSTGDAEATVTGTTGSARIRKNKNDFEIEATGTGQAGRTGNTMWALDGDNMAMAHLA SSESGGIFCPCSMKQDARLCYCPCHGGESFNHKEPVNLNRQDSYSSPRSYASERY LY89DRAFT_602543 MTERNLPIVAVAGLACETSTFSPGRTLAPAFKPKRGGEIISHYS SFIGPSTPLGNKVEWKGALIGHALPGGIVTKDAFEELAREIIARLRDIVSQSPIQGLW YDIHGAMCVEGLDDCEAELLRRIRGVIGKDVLVSASMDLHGNVSRELCHMTDLITCYR TAPHVDVRETRERACRNLVDLLESEKGRPLKAWVPIPILLPGEQTSTRLEPATHIYAA VPEVEKTDGVIDAAIWVGYAWADEPRNRAVVVVTGWDKSAVSVGAEKLAKIFWAAHKD FKFVAPTGTYKECLDIALASKARPYFISDSGDNPTAGGCGDVTWGLTHLLARPEFKSP SGPTVIYASVPGPKAVEAAVQAGIGATITVTAGAEIDNLHAGPVTITGRVHSIKHGDK DAVIEVVLQVGSVFAILTKLRKPYHHESDFTELDLKPREADIVIVKIGYLEPELFDMA KDWMLGLTPGGVDQDIKRLGHVRIRRPMWPFDEKFEKEPDLRVRFIEGSGESLEGVDE LY89DRAFT_399668 MNRLEDITVDTDFLSIDTPPMHHPEATQQSSTRLHVREYSPINY NNRSEIRLLHILPAKTWDEEIRCCLKTVSLFDSTIYYEALSYTWQDLRGTIPISIDGM LHSVSLNLASFLRRRRVTLGVKKKPDTGEKKEADENAGWQQVKRKKMKIKTAVKASNS RLRPVEHKDPESESETVVIWIDALCINQTDDIEKAHQVRLMGDIYLNARKLWIWLGEE ENNSNIAMNLVRQLGMPEYAADKVWTMSDDEILALDCLFQRRWWTRIWIVQELVNGGS VKPEGNVVVLCGWLSLPWSYIAVAAERLNVHNSELRQQLRHLQPIIELEDTRRNIYQT LFPLLVQHRGREASDPRDKVYGLMGLAVEGEELPGNVSNVSVDYRKSTVQLYADIALS LIHSSTGLELLKHCQGQPLDGLPSWAPGWPHASDYALLHSDEYKASGSHTSSVRHLRE QSALDADGVLFDTILSVGELFPTGVSEPWQNSTYFMLNVSNCRKVFLDEYTGPDPYVI VGGRTRAFWQALTAGYVICTKEDCKDRGSRNIDSYLPRIPSHWKPRGPRKTGTDKTFS DSLAALWENGIYDLNASPFLLPSVVPDPFEHLRSKDDLGLGSYEDPKRPGLEKYALGR RLIVTQNGYMGLGPPETQRKDKIAVLFGSTVPFVLRERSESGNGFQIVGEAYVEGIMN GEVMEGIRGGEMRKRTFRIF LY89DRAFT_712404 MAPYYPLFVTRSDGLLEFITKTGVKELNQPTTAQLNDTPDANGN VDCYRSLEPDAEKAIDWRRKLGGMMMRELGGNSDEFSKTRNYILKELPDGYTLWEHVK YNKDKMTEDKKDKGGKHAAGTYERQDAYLYGHPQGRKKRFRSPADFFPHLLWLAVDRE DDPANCSCKICSPEGDGEVAENAGKAKSEDKPIKKEQKPTPIFAPTPKAIPITTSVPV VLVPSKLPAQPTRQVTTRSSEQQADGDPNSKFLYRPGEVVWFNNDPNWRLGVIGKRGL VTGQPRYLIQPLSNPLEHQAQQIKDQETSLRPWLAWSVPETTNPSLNNMAFENVPWDR VVAGEFDGNRKHDHVVDGSILAAKMVDASYSLFERQENALASPGEVHYNGMFLGAEKI WVGEPVRLKVPPKPVPDMVVMVIQKLIERTVQGKSSVTFVGDVYKFVEMPSQYTNRSQ WPTPDLPARMVADLRFRNETAENAGTNTWYEWRLLEPAAHKELTDIRGRWYETKTLLP ILRGAAQFKLDQIAGKLGDAGEWMNSRRDNNKVPEQRKKNRRDTLGPSVPAEFKVSRG LNGPAADNIFPDEQQLRPAPPFEGAADLEQFMDLDGTGQEFYA LY89DRAFT_602538 MRTPPKQHFIDLSNDRDEKTEKNKPDEQVANGDDDSVIEYARPR RSNRQRRTNTSTPSNSYAIQRSNKQLFTLLGEDFDLSPTVNTRVAIRQEIASKTAAYR NQFLVDQKDLWLPLLPQHNYIRKLVHNRLHLSPADLAKLPTVTPYQELEQQPRGVTAV MKPYQLSGLSFLMWLHHNGLSGILGDEMGLGKTLQTLSLIQHLKENDPKAGTGRLQRP FLIVCPLSVLSSWMSETKKWTPGLKAIRFHGPIAERQRLKKIVVGEIDHYGNMTSQAR SKLKSRRNAAGKEYISLDTDSENEEDLGVDLVVTTYDCFKAEQSWFKTAFVWRYIVLD EGHTVKNDASLISKSLQGIKAEYRLILTGTPLQNNLSELWALLHWLYPEVFSANTNGL FDTSFNLSKGQYSSTVLDDARRLLELIMLRRMKNSPGVDLNLPPKTEVLLFVPLTEMQ IFWYKRMITKAEQTLLDEIFKDVKGKEEENIKAMKQIEAQEAQLMKMEADALTVLEND ALIGSSVWKDTRAILEQTIQREQELNTSGETKKSEWQKLMNLLMQLRKVCNHPYQLQN AEPDPYYTGDHVITASGKFIVLEKLVKELVVKQKKKILIFSGFTKMLDLVEEFLLLRG GDGTSFRSMRIDGSTARARRNLGIRMFNDLNSEYRVMLISTRAGGLGINLATASDVVL LDQDWNPQITLQAEARAHRIGQKNPVTIYKLISQGTVEEQMMGRIQKKLYLSAKVTEA MQDIHTKFGAGNKSRKRDTTEDDSMPQLSTNQLMTLLRRGATAISRPEVDVNEMLSWD WETTVAKCKDNPADMVVKQDAVPDAKIDEEAERKWLSEMERVEASIFEGKKLAKGARN NSNKDIAQEFFSRADRRVGKNTTVMVDGYAVSKESMNCAWGEAIPTMAGKDPRLAEPK RSKKAGVIPQEHCQVCLDGGELHCCKLCPRAYHYECLDNAYQAKARGWTFNCPQHRCF DCSQGTTEAGGMLYRCRWCERAYCEDCLDMEKTTLIGNSLMEYELLGYPEMAQAFYIQ CAACNENHEQNPNNKKLCDDLAEGIRLTYESRFIDGSRELSTSTRPGSMTDATTLEST EANTPVGYFDDEIDLTPRKRKARAEASYSQTSAKKSRLGV LY89DRAFT_726275 MGSIAMYQYESLEQRDSIRLLRLLPSNDIEADLHGEIVHTTISN EVMDTDLLNIYPSEAVERFWSEPYQPSDAYSFIGGYTALSYTWVDPEMSSTIYVDGKQ LGITANLEQALRSLRLEVKTPRLWVDSICINQADAQERSQQVQQMCSIYKTAASTIIY LGPSTELSTSCFKSAANSPEEPREYLRELKLDKEVLKALKAGRDEILGRSWFTRAWTF QELVVSRRPWMCCGTQCLPWSRLCTYFLQKRRWNIDLGMDIFSRETMFSNAWERDPWG LDDAVDGRGLHDAVDGRYSIIREPETNCLRITPKGARIMDKVRQIYQAPASYVIEEDD KFSLFTLLEFRQGFATTDPRDAVFSLYGVLDNNDPAIMSTPVNYEKSTPEVYIDLSTA LLSTDEKQGYAVFTHVENDRSIPGLPSWVPNFAAARKYDQAIRSHVIERRYCTFKQEQ ITDFKIYKNLNAIVCRGHHFLSKITKLSEALEDSKIRRIEARIFEQGDGRIIVDFSKE GNGGDGDIAVDNYKENGVGRLCYERPACLKGRRIATMDDGGIALVPEGAILGDMLCVL KGGSVPWIVREKNGDISEGLDEKMIADFHGRISGVRHCTLIGQCWKSGFQVLVEDIGC HDTGFMLW LY89DRAFT_743512 MGDLSHFLPPLILLALFRPAFCMPLTASLTRRYVPPETYVAAAK LSAKAIILIVIFVLVSVILSTCTCYICIKRRRSRARARRLAREREQSKQLKPRINKRN ARFFAPGLVMDKIERDRLRDMRETEKAWAGKEDQYEMWKMNSGVHVEELKRPETAKGG WREVLRGVVVKK LY89DRAFT_678456 MRLSAFLQHVRGPKLRPFRQPTHPSGPSQARWQSSPALDEITED DKNRIYFLGLGNKGTLVAACMGESRRRPPITLLTANEARADARSEAGQKISMFVNGEE VVTGGYDVEVIPKRKRIRQVDSKSDDSKSSIKKKVRPILNLVCAIRSKWAAGAIFEIK HRLLPNSTVLVIADGLGVLEEIFNVNFPDARTRPSFRLGLSNHGVWERSGLATNPYDY KDSVTQVLAGLQVATTGYSLNYDGSGELMIGPLAVDSLSTSRLEEAQRMNNAQYLVNH LLDSPRLSALEVPHRKLLFQRYRKLAKDAVVGPLVTYFECPGEELIHLPDAQFLMANL IKEAWEVVRRDLPGTPIEEVRIWIRVELYVTRHPSKTKIHSMASYAIRGMDTGIEKIN GWLIGRARQYGIRLPTHELMMDFVIKKTVERSKLLKEQALAEQQSKSQPVPEVTQIRR VPYGQGDQDEAKPYEERPDRLTIRREKKAQRQREGLGNQPMPETYAKPRITPLGNAQI RHEKTGPGGSENGPSDAPRNKPRKA LY89DRAFT_399721 MLLHALLGAFLLSTANATRHHERGHFNIAREESVNLDLKQSTST STLTIQISINSYTPSFTSTSTPTSTSAAAASSSLLDPAAASTPQFPSAFPPSSSSPNL QESSSTTHNTTSNAQESSPSTTAATEPENPRTTPTPKETISVPATPLTDVNYHKSGSG EKLGVSWGGSLVLILGGLVVIVANVGFGVLF LY89DRAFT_743516 MSSVSWWLRIGGAFAFLVRCTSGGKTPTALSVVPSLNWYGDDGT WSAISLRVGTPQQWIDVMVSTVSSETWVVGTGACAANDSLCITSRGGHLFDPLESSTW HNEGFFGFSADTQLGNKGYGWYGLDDLTFGSTGVTVSQSIIGSFNGSGPLDTTQYMLG LFGLGVSIAQFNNDDPLPALNALVERNGVIPSHSYGFTAGAHYQQKGEPISLTLGGYD ANRFVPHNVTFSLNPDMNAQASINSIFVQSGAGKSNLTIPRQLLQPSDRVSAIIDSST PFLWLPGAVCDRFAQALGLTYNDTLNLYTYDGNASQHDVLVNSGLSFTFSLSDLSSSP AAVNITLPFQAFDLQLTYPAIPNSTYGDPDATKNYFPLRRAIDDSQYTIGRAFLQEAY LITDFERNIFSVHQAVHTANPLGNTSIVSIYQPADSTFTSPGGSRSKSKLPKGAIIGI AVGGAVLVALLVFLVFFLYRRRQQRKAESGDEKGPTTQPRSLLSRILCRDEPSTLHEA GGSSAYPTEVAADASHERFELPAPLGPAELDSEAGTTFDGTTEAGNSTQDSVNLSAYE RARRKLERAQIAAAQAQAQISQQNYPVEKSDADVSHVAHYRAPDTPSSDEPLVSPIVP ENGNGWGSLAVGGSSGQPSPVSPGFHSSPVSPVGPPPTYRRFNLDPANVVYAGRLPDN VQLPSVVPRIIGPDGRTVRTLASQEEEAEDDANSSLGSQYTVEEQDLYGSGDTNIVSP IPSTSSGSGSDPAASTVSRSSGSGGSREPIIREGVEVQESVPTTDTLDPYSSRRRLQG DDFVHVPQPAENRFSWEEDRISGREEGSL LY89DRAFT_790255 MQPESNESSSISSPSATPEPSNASQYGLPRPEHLHPADQRSESA GSSDDGSADDDDAALQEQLRGLSVSDKGQTKPKPSFSRISEYENALSPSPPRKHSEGP GFKIIKKKGNRLDGVQLDQFPNEVLTHILSHLPAASLSAVSLVSKLFYSLVTTPHAWR IAFSRYFPGQDAIDAPNPANRRISDPEIHEQLRSERRLFTRLTGLASWRSEYILRTRL LRSLGRGKPAQIAPGHGASSRSNSAANNANAVVTYSSQLFATINHIHAVWSTGKKSPR FIHGTDETGSACTSDPNIGKIDNWGLSDPQALPQFAELFVGDHPYGLGDGPAGLPNSM DLSQPFGMIYGEGFPGGQAYFRPTEEMRGRFLSQPSDLTAHDAGIPRIPGLTEATSAV WIAKSTAVPVMTDGLVGMFTGSTLGVVTAYSLGSDTSQGRRINKGQLTAKWVLSPGVP IIAIAVDDSCNQKRKLAGRIWAVALNALGEVFYLTEIPSSALLDGKVEENNTGRHAWT AGRTAYWHLAEPSRRVARDDPYHEAEIHGSYSPRSSSKKMHLSKAQLTAETAEIEKFL AYQPAHFRKLCEGWDMRRRLEVDFAGDDGKGAGEGIFVIRCGIMEGEKAEIKRFTRWK TEQASLDEYPQPKTPPMVPRTTTMASLFGGGTVISPPRNASPERRTSLFGGSAIVTPA RVSSPDRTPSTPSSPIVRGSHTLVEEWRTSILSLKDLPTIEITTSAIDISTYATLTVE EDPLRTVNGGSGFSSPYATPSDPDNSSSSHIPGHRSRFIAIGTKSGVVIMWNMRGPQP TNASLANELRPLRTIYTDSPQISCLAVSALYVVHGGNDGLVQAWDPLASTLQPVRTLN SRFSSRARRRLIQAEASIYGIGINLYAAGAIVIDPDPTVLRGMVSLGTHLRYWAYSSS GADQYQSKKRRLRRSGERGSNAAPDRFTNTGRGALMDYIATEQEELKKEKLRRSRDEA RLQARFGVGLGGLTEEEAIRYAEMVSAEAFQKDEERRMSDANMAGYLGDTNESSSAAS VWSSSSATVTPEPSVKGRASSASNYKTDEEFDHDLEEAIRLSLLDGVDEGGRSPRASG SGEYDIPITYKQKKSRRSASSSPSTSKASKTRVRPAATSSKGVAPDDLEYALQLSLAE ERSKADRFTHVEEGDFPTLDRDDNGKGKGRA LY89DRAFT_712408 MKLSAATTLFSLALATVPPPGPDGKYTLTAPGITAKFIPYAACI TNLLVPDKNGTLRDIILGYDNASFYPVDPNHSDYGAVPGRYANRIKDHTYVLNGTRYF TEANDGNGTLHSGTNGWSHRVWNVSAVSNTSITFSITDVGNSSLGMPGTVMGSVTHSV SNSSWHTTIAAHAVDHVTPLMLTTHPYWNLDAFANPDTDLILNHTLSLPFGKRMIGID ENTESTGELPTVPKGDINDFWSAPKMIGASMGDARWVGNCGTAGGCAGYNNQWLVDRG SGEEEMPIATLSSGWSGIKWDLYSDQPGIVVYSCHWMGGGNQLKAAQGGKASNGFVRK DGCVAVEPQDWIDGINHPEWNRTQYQINGPGMPPFSSFIQYKFSTV LY89DRAFT_726283 MMASLDGKEGRDGKDGGKEEASGTRKRVQHPKVRSGCLTCKKRR VKCDERKPLCLRCEKFGVKCDGYLRPVRVHLEPTKTAHRILVPRKRSSTTPPTTPPFE VKKPRFSNEDEMRYFRLFCEKTSYHLSGYNDPNLWTRIVLQASEADDSIRHAVISIGA LDMTTAMMRDLENSNAREHHFFALKLYSKAIRGMREVVLRQKEKHDMQTALVASMLIV CFETYHGNYASAAQQIRTGVRLIEDQKSKNDGWAVEEDLIRVFDRLDVQSMSHSDPYS IDEHMVLKNVYAADLENLPPVFLDIKTARDYFNFMSRRVCHFAPIAWKLKHSDSQKSS HSVSDYINKNWLVTMIEELGKFVRLSEAWMESFTPLYMKAREEAKQKSPSKRLSNSSM LALALSNYCLCMRVAFRHFLDANEIAWDKSNAEFREILENVEVLMANQDDYVFSFDLR TVWPLDVVAKKCRVSAMRWRAIQLLKEKPRREGIWDSVVAAMVGEWVINIEEEGMLDD GTVPESSRVRNIGVELDSENRKAKVWCYLPASDGSGDQDLLPRKRQTYLEWGSSRWNG GFVDVMKSGDPYQSGLRKRDEDFIAVEEEKSMSMYFNARPFEGADDTASDISPQVGF LY89DRAFT_692236 MALRIGWRQMTGKDLIEQQLRINEANAKGWEEPLPRTWGEVFGT ISLALELFLCFFSVILGVFGYIIILYDHEGTSPKGLSNEGRDLICDIVGTLYPFYVAR CVGVGIDFYFRCWEGLVFAKVERVLDYEGPCFGRMIFDLDYVDDDVKARHRKVLAIKE WVILVGRMVSPVLFFALYKIGWWTRFGLEN LY89DRAFT_602667 MVVPPGYGVGDFIAVGQLAWKVYKACKGAPGEFQELSRELSSLH IILAELEDDAKTPTSLLNRRGASRKAELDARIEGVLDDLIRDIKSGKKEPSVVSAHED TDEAAWTELERELIGDGITKQDIEKHKDDIKDSLKRL LY89DRAFT_678466 MAAPIVAKSKLSRATADLYLISEEDTIYEQDILREPGSTKPWLA YIEFKFQHGNPQEQAFVLERACKQLPRSYKLWKMYLDLRIKHLGKLNPAIFASEYNKV NALFERALILLNKMPRIWEMYLSFLLQQPVVTLTRHTFDRALRALPITQHNRIWALYR PFANSASGQTAVKIWRRYMQIHPEDAEDFLELLIEMRHYTEAVKEYMKILNNQKFRSK NGKGHYQLWSEMVDLLVEHANEIDTGDEIGIDVERIIRSGIDRFADQRGKLWSGLATY WITRGSFERARDIFEEGITTVMTVRDFTLVFDSYVEFEEAITGTLMEEAAARSEKGVV NENADFDLDIRMMRFEQLMDRRPFLINDVLLRQNPNNVSEWNVRIGLWGDNKQEVVQT YTDAIAAVQPKKAVGRFHELWANYAKFYEKGGDLRNARVIMEKAVKVPFKSVAELADM WTEWAEMELRNDHFEEAVKIMAKAVQAPKRSTVDYFDETLSPQQRVHKSWKLWSLYVD LVESVSTLEETKKIYERIFELRIATPQTVVNYANLLEENKYFEESFKIYERGLDLFSY PVAFELWNLYLTKAVDRKIGIERLRDLFEQAVEGCPPKFAKVLYLMYGNLEEERGLAR HAMRIYERATRAVSDEDRADMFNFYITKSASNFGLPSTRPIYERAIAALPDKDARDMC LKFADMEKRLGEIDRARAIYGHASQFCDPRTSPSFWQKWEAFEVQHGNEDTFKEMLRI KRSVQAQYNTDVNFIASQALARSKEQGDGEVDEESADAMAALEREARAPVGFVAASDG PQGGNIKEAVPVVAANPDAIDVDGMDDDDE LY89DRAFT_692239 MLPRHHASNGYPRHKETYSISPHRFQPRTTPAAIRRRHTLIFRS IVALIVCLFFFFVWPGASILPVLSLGLLSSGEDFQLETVRYYDLSNVQGTARGWEREE RILLCAPLRDAESHLRMFFGHLRNFTYPHHLIDLAFLVSDSKDQTLPLLSQLLEELQA DEDPKQPYGEISIIEKDFGQKVNQDVESRHGFAAQASRRKLMAQARNWLLSAALRPYH SWVYWRDVDVETAPFTILEDLMRHNKDVIVPNVWRPLPDWLGGEQPYDLNSWQESETA LALADTLDEDAVIVEGYAEYATWRPHLAYLRDPYGDPDMEMDIDGVGGVSILAKAKVF RSGVHFPAFSFEKHAETEGFGKMAKRMQFSVVGLPHYTIWHLYEPSVDDIRHMEEMEQ ERIARENEENERAERMKKIKDQFADPNSQWEKDKNDIQNEAMKEKKEKEQAEAKAAEA SRESGADAKKESKEESKSDSKTESKAESKTESKPESKDAQAKAQ LY89DRAFT_702760 MANSQKTSISVAVDPVALITTECITVTSAMRKHARWAHSSVSAI LGGSSTPMSPSLQSSRPATPRDDLNKVKGHKSRSSSGLTDGDDGGLANRWGLRGKKGK SMQDNPLMAGFGRLRRELTGCKDIHKFDTPSLLHPFLQVIQASATSAPITSLALVAIT KFFSYNLISPESPRLALAMQSLSAAITHCRFEASDSAADEIVLLRILKLMEGMLSGPG GDLLSDESVCEMMETGLSMCCQSRLSELLRRSAEMSMVKMTQVIFERLKHLEIEAGDE AEALDEKTKEDMDTVKMDPSANGNDAIKSSLAAPPGELRPSSSFDKTRNGNNSSMDNV SEMGVAPASEASDEAPIKPYSLPSIRELFRVLVDLLDPHDRQHADAMRVMALRIIDVA LEVAGPSIAKHPSLATLAEDSLCRYLFQLVRSDNMAILHESLIVAGTLLATCRGVLKL QQELFLSYLVACLHPRVEIPREPGIDPSLYAGVPQSPKLVKPPPSQASSGRSTPVPVK DRQKLGMEGGSRKPDAREAMVESVGALARIPSFMVELFVNYDCEVDRSDLCEDMVGLL SRNAIPDSATWSTTSVPPLCLDALLGYVQFIAERLDDEPRFDGYPDRAQLREQRRRKK IIIQGAAKFNENPKSGLAYLHAQGIIKDPKDAKSVATFLKGTARINKKVLGDFIAKKG NEAVIEAFMEDFDFNNKRIDEALRELLESFRLPGESALIERIVTIFSEHYCAAEQSEA VIANSDAAFVLTYAVIMLNTELYNPNVKAANRMTVEQFSRNLRSVNDKKDFPLEYLQA IFNSIKFNEIILPEEHDNKHAFDYAWKELLLKTESAGDLIICDTNVYDADMFAATWKP VVATLSYVFMSASDDAVFSRVITGFDQCARIAAKYGLTEAVDQVVYCLSYITSLSTEA PSNTSLNTEIQVGENSVMVSELAVKFGRDFKAQLATVVLFRVVTGSEAVISSSWKHIV RIWINLFVNSLIPPFFAPNRMEITPIPLQPPSQIIDRGQKSESGLFSAFTSYISSYAA DDPPEPSDEELESTLCTVDCVNACYMGDVFANVVNMPVDALKPLVQALLEQLPDDPTS VVISVKSEAEPQPGTNGQKSVINGPIYDPSVVYLLELCTVLALRDKETVLSLGSSVAG ALQGVMRNAHSYHFIMTSRTMFYLLHLLHASYEHDFIRVPVVLHTISSFKKDLFDRSA PLVLQGLTACIKEPGPLRNEIMTSPDFWVILRNLTGNAQSAPVVFEILEGVVVGTPPT IMADNYESAVRLLNDFASTGSVGSTVEQKQDKKARRGQQQQQPAKQAKPQVEAAVARG VSAIKMIYSLTSRIPVLMKQSHLESKEAWAAYWSPIFRALTTQCTNPCRQIRHESFAS LQRTLLSPELTSGEHEEWTAIFGEVLFPLIVRLLKPEVYSSDPVGMSETRVQAATLLC KIFLHYLVLLSKWEGMLDLWLKILDIMDRLMNSGQGDSLEEAVPESLKNIILVMSSSG YLVPPSEDPSQEKLWTETWKRIDRFLPDLRKELDLDPKEPPPAATELAAPEEKIDEPA ASTEVEVAAE LY89DRAFT_790263 MAGSRKTRFVTGPYSSRRSRLARTNRRQKFSPQRKLEVARVRKL GACDLCRRRKTRCIHALMLVPANDIGSKSSAEEKEASSLLEADPNIIAGAVSSTIAAG DLYPGYIEPTRGEDVAGSTGRILMTQFLSPSGHLDEDRISPSPILGAVPPTTSYQIDV VAEELPLAGAWEGMYQVEDDLWNMSPPHE LY89DRAFT_399972 MVLLGLRLRIHAVDPRYTPTLSSMFLHLTARSQYPRPCMSCMLA TIGYCVYATLFQIIFSLRYFIGLNAMMTMCRLQEL LY89DRAFT_678470 MKAKSPTQTRGNKVGMARPRSTSTRKRSPYTPERKIQVAHVRRV GACGDCRQRKIGCFHALQVGADNLKLREPTRLPLVEQEDVPLVRTSSGISAGLPSVMD QHKVAAQFDPVWGTSFPSANIETLITPFRPTLMGFPDQSEKPMSVGAYAINPQVTLTG SIPCFDYSQRLSEGTHNLWAPYPDVQITSYASRDIASASHGGFPAESALPFQWENNLP GGDGYHFERETTYFVPDPSYTNQGGSNAYPAMQLLSLGPPASSSARPHDQKGFPQSFH YFETPSSEANDNW LY89DRAFT_692244 MKFESTAPIVALAALPFASAWGNLGHETVAYIATNFVSSTTKTY FQNILGDTTTDYLANVATWADTYRYTTAGEYSEPYHFIDANDNPPTSCGVDYDRDCDS AGCVVSAINNYTTRVQETSLKSSERIIAAKMIIHFVGDIHQPLHDENLDVGGNDIDVT YAGTSTNLHHIWDTNMPEQLVGGYTLADAKTWAANLTTGIKTGTYKSSASSWLTGMDL SDPVSSSMIWAQDSNAYVCTTVMPNGVSSVENVDLSGDYYDTAIPVIEEQIAKAGYRL AAWLNLIATGSTGL LY89DRAFT_400203 MEESQSSPPSCTTCHKLQADLPQPLKRCAKCQTTTYCSRDCQKQ DWKLHKRVCASQAASHDGEPTPSTSTSTGGRHNPGFHAINSMMGLSSDDFLHKLPERG ALTQLIDCFRMRAEDEYNFAGNTLGCYDG LY89DRAFT_602556 MSVSETNPSTYTIKSGGTFASIATRLGTSIATFEQANSGIDSSQ LQVVQFIQLQAAGLKYTIQAGDTFSSIAANNGSTVAALEAANPLVNPTDLIVGSQIWI PASSPVAIEPVTLPASPAISTYIVQAGDTFTSIASNLGISVASLEAANPNVVPSNLQV GSILTIPTTSQSTPTPQPVTPTPAPTTSYTIQAGDTFTSIATNLGAIVAALEAANPNA NPSNLQVGSQLNIPGIAQSTLTPVTPTPALPNSTYTIQAGDTISSIASNLSTTVAILS ELNPEVNENALQIGTQISVPAQTTTPNTAATMGTYTIQAGDTFSSIAIKEGTTIPSLQ AASKSTPLLPSPQAPVSRN LY89DRAFT_399990 MGKWRWGVILDAGSSGTRVHVYRWLNAARARQDAKPDELLSLPK LETKSSYTKKIKPGVSTFGDRPGDVGPEHLQELLDHALNIVPEDQVKDTPIFLMATAG VRLLEPIQQKALLSEICSYAQKHTDFSLPDCDLHIQVIPGETEGLYGWIAANYLLGGF DNPDQHNHGKGHHTYGFLDMGGASAQIAFAPNSTEAEKHANDLKLLRMRTLNGDAAEY RVFTTTWLGFGVNQARERYVEALMDASYTKAAHELLDPCLPSGLKTTLKGKVTDGVDI SEPVLVGTGLFDECLRQTYPLLEKDAPCADQPCLLHGQHVPAIDFEVNHFVGVSEYWH TTHEVFEMAHKDKTYDFTTYQRMVKDFCSEEWDDISENVIAEKWGKKVDAKTAQEVCF KASWLINVLHDGIGVPRIGIEKSTHGSGYNGTKEVAAHAKDKGFLDPFQAVNKIDGME VSWTLGKMVLYAAGQVPPSAPEAGPVGFGPNIGAGEFQEAGSNSNPVPIGEEDSWTEA AEDLAEKAHSRSTPGFLLFMLILIFVGYFFRKRERRMRFYRGVNALRRNRRPGSPRKG GRGFFGTGKLFGRSSGNYERVLEDGEAANEFELGDVDSDDNEHSDSSGGSRIGRTSGL ATPKMNVVNFDSGNYFEGTAGNVEGVGLGLNHLSNVNAFDRAGLVVRTESRERLAPNL QMLGAGRRSRTGSPTRMKSPLMTPLEE LY89DRAFT_743531 MAQQQQQLPQLFGIIPTSHPCLTLPTSAPTPTSYLYTLPLPSSR PFSHITVFLLPGITLPPATAAAVYISLPSSSTQSNQPSQPQFRFLGGIGPGKESAVFK ISGLGAGGAGGGGNGGGAGGEVDMDSDIPSSSSSSSGEITLGISLESADSVSAQISAL HSTTSTTSSTSNPSSSDSQAMILARVGGGNTNQETTVKLAQKIIKNAFNFLASFSGNT ANGIEVVPLKAFEEWWRKFEGRVRSDAGFLERGEGD LY89DRAFT_661532 MKSNLDLVNECDVFPHPSKDPTAHASLLATLYTLLSNDIPIGYI TEPVFNALAKVPIRLKGELEVNRRLRTISAFNQPTRAERSAAISATCAYWREHKTFSI LEGWRDELYAVYGPENEVLFDIERSASPLFGVVTYGVHMTGFARVPDAKFGVKLWVPR RARDKSTYPGMLDNTVAGGLPSGELPLDAMVRECDEEASLPEELVRGNLKAVGTVTHV YIRDERAGGETGLVQPECEYVYDLEIPVGVECKPKDGEVEGFELMGVEEVQEALGRGE FKPNCALLVLDFFVRWGILNEGNEKDLKEIKERLHRELEFPGPHRGELAK LY89DRAFT_661535 MASAGDSQLFEEAFTITTFDQSKYDRVARIGGTSADSQTVMTLD INTELYPCSVGETLHCVLASSLNLDGTKDDGRGWRDVARSGPGGETSLADMFEYVCHG KIYKFEDGEDGQTIKAYISFGGLLMALEGPYKKLTPLHVDYVYLLCKK LY89DRAFT_692249 MSFRKRAVVVGQAGSNSTASQVTTTNKALPPGLRPSPLDGRLTT STGTHSLDGLLAGHAGLALGTSLLIEEHGTTDFAGYLTRYFAAEGVVQGHQVHVLGMH EGWGRELPGIGTGEVSRRGSEMVNEEKMKIAWRYERLGEFGSARERGAPQSPGSQASS STAVFCHDFDLSKKLLLPSPSPMRFVPITTRPPFEFKDLDTSVSPFTGFLNHLSTQLS VSPNTVHRVVIPNLLSSALYPPESSRPEHVLQFLHGLRALLRKYPSQVVAIITLPVTL HPRTTGLTRWMELLSDGVLELSPFPSSALPAKSTPGAATVHEEPPQGMLKIHRLPIFH EKGGGGGEASGFGDDLAFTLSRRKGLVIKPFSLPPVEGDTEAQQGGLEHEHGKATKVD IEF LY89DRAFT_632359 MAQSFESVSEPIPISLVSGRYLLFDVNVVTYLRRTHHICGTLIG SIPQNPQQNIFHGLPMELMPEEAKLLVQKEVAYIVDDLAWHKQRYESMKGEDKQKYLQ SLRSAGLKARKATQEQAKKNSEMALSRLATQKAKGKKESPAEGTPEPPDDKLPDTSFH DTSGAQEEDSIFGGEGSSPSRQGTAFASNKPYPVTPTTSYASPSLPQNPSPPPDPCVP LSYSLFAHLHSRGYYIMPGLRFGCNYNVYPGDPLRFHSHFLATSFEWDQEIPMLDLIG GGRLGTAVKKGFLIGGEDTEAETDGEWGDNVRTFCIEWGGM LY89DRAFT_692250 MAAATFENAHKFDIGSWNWTFNATQYVPSAKDLALAGPRMFMKL GSFLNYPETLDNILGGGRLGQRIIPEATGAGIVDAATTAAAGGQAARQAIQTGTQILI DADEPGGGITSRFTLEGVRSISNVFSYATSKWALGCVIVAVVLNRTYVYASTRRNLVL PWKVRLALRLIPILLLVVQARSLLQSIQCQTSPDFSELRWGNASKTSALYFTQNGGFL HEFSQTLLFRASDEESCLAVRMIPLEDDDTSQLTGSLSLLWPLFKSFSFSQFVETISC AVQGRQVAGETGMTLFEHSLAFAEAEAAIGNQLGFGSFGSTNPAGWPNSTSTAEATEI AITRSMIMRKVNTTPEVLLVGFLSAMNHLTSHILAVFNAQGRFRLANTGFWGLSFMAA IVVSVWNFSLDDDLGTQSLLRFPTVCIIGFIPHVLVLCGIIGCSFIYVAALALSALAP PRVEEANGDDADVPANQSTFVRRFLAAHNNMQANVQLSSIRVTLHMDFYTALLRTGFA VMTMASEAVYLNESRGVSIKQRTWLEEDRLREIEQVGAQWLGPNFRLHDPDSSSADGL TDNVGLVAANDQPMDLLQKSSSGYAREMTAKKLPKLGKPREGQGPGLNGVGATERSGR WVMVLEFFLGISRLLLSWWASLILKCLSGAGFRTRPQWLVWLVRMPKKSQAETQTPDS SDPDSLKFYLLSIDGELTLPRDDHVDVEAEMRETLRRKRGEWNQAEEKNLDSNLYNWW LHGGWWGADDGSGQFIPGQQELDEDTTSVVSFSTTTTDDEQEWVSESENDDGRRTPTQ RYPDFSRDSTPLNDTPLNASDLAQLLNPKTPEQRAEAKALAAHLASDNIVTRSRYRNI VQRERAKVLTSTRHRPDNFKPSLPSGKLTPEEEAQILEHLIISRRSFQNATVSTQATS WSRGASGMGEGGPQCVVCQSSPRSIIVWPCRCLSLCDDCRVTLAMNNFDKCVCCRRDV ASFSRIFVP LY89DRAFT_726300 MPSKIQLDENLWFLYICLQKSDMKSIDFTAVGAATSLKPPAARM RYTRLRRQIESGTLIGTHGTPFSSPAIPEDEKKIKGTLKVSYAPLPGDFPLLTRPQKR KRTIKKEPSNEEPEEDKKIKAEQSSGYESDSSGMETDSEESEDEMPLAKLIFCRWEAG RDGARVRT LY89DRAFT_661546 MATDRRRINGPTGGTSAPIYLKTLTKEAEAQTERPTRTRAPNVL RKMFLKTGVTPSASGSAYLELEASKALTSTKSGLASHSISGLKLTCTVHGPRPLPRSA PFSPHVILSTHVKFGPFATRKRRGYLRDASERDLGVHLETALRGVIIGDRWPKSGVEV IITILEGEEDRWWGDDRVAEGLSAGDWGMMSVLSGCITVASAAIADAGIDCVDVVSGG VAAVVRSGQGKQVSTTLVLDPVPSEHQEVLAAAIVAYLPGRDELTDLWVKGDIGHEGR SSGQSATYEELADHAVQAALGSHRVLVAALKETADLKMGRS LY89DRAFT_632368 MSGAQNREAVFPTRQSLGLMKAKLKGAQTGHDLLKRKSEALTKR FREITRRIDEAKRKMGRVMQIAAFSLAEVTYAVGGDIGYQVQESAKSARFRVRTKQEN VSGVFLPAFESYTTEGNNDFGLTGLGKGGQQVQRCRETYARAVETLVELASLQTAFVI LDEVIKVVNRRVNAIEHVIIPRTENTIKYINSELDELDREEFFRLKKVQNKKQRDTAA QDAEMRAKRDAKTAANQAEDNKENKGSQDILGEQEDADVIF LY89DRAFT_692253 MSSPPPNATVYVRNLEERIKVDQLKEALTELFSEYGEIIDIVAK TNLKAKGQAFIVFDSVESAQKAIDEVQGFELFDKPMQLAFAKTRSDASVKRTGDEHEF ETHKKRRLAEKDKKLAAEQAEEQKRLKRAAPPGAVADVARPIKATRGAGLKSTNAGAA AVIPDEYLPPNKILFVQNLPEEYDIDALTAIFGRFEGFREVRLVPGRRGIAFIEYESE AGAITAKENTAGMALGAESQIMKVTYQRQ LY89DRAFT_692254 MMTSFRQSWTAAQAPMLNTASTNTPRSASVVKVAPLDYIDKPIQ RSGDLGTPSQSTQTNPPLTSLSFDESLQARFHEQEDNEQSPNTAIDLGQASHAVFSAE DPLPVYWPNNFPAEDDCLFDEESTGLDSFESLVTQQNSNASPTMPLSSSSSDTFLAGK YDESQTSQNFEFFETTSTHQPW LY89DRAFT_712424 MAAAAKAVTSATLMHSALQCNIPLPPNPSTYWYEQIEHNGISPF IPNGSSWQVYRNVKDYGATGNGETDDTPAIQTAINYGGRGPGGNGLGTTGAPAVIYFP EGTYLMSQSVQSFVDTFFIGNPIKRPTLKVASNFTGSTLLYMKDPDLDATINFYIGVK NFVLDSTAYDPDTAFTIIDWSVSQATQLTNVLFRMPQSSQHTGVSTPEGGSGTYMGNL DFEGGLYGINMNNQQYSIKSCTFSNTQTGILISHGFDMVFQNPKFSNCEVGVNATSGG VGNVGSVALIDSTAKDVAIVIATKSQTVGNSTTGDDSIVVDNLATSGVGSTVVAGGKT ILTGSVPRTWIYGNAYLKGGPVMGVHDAGVTYQTFRSPLLLDGADFFTMAPPTYQEYS VHQVVNIKTVEGYPVYGDGQTDDMYNINAILARNAGCAITFFPAGTYLVSDTIHIPPG SRLVGEALSAISAIGTKFSNTDAPNVMVQIGLPGQIGVAQISDMLFTVADVLPGCILL QINMAGLSQGDVGLWNSHFRVGGAAGSAVETKCQDFMPCKAAFLLLHLTPTTSVYIED MWGWTADHDLDGDYTQQISTGRGALIESTRGTWLVGTAFEHNTLYQYNLVSAANLFIG MQQSETPYWQGTGGPAQAPAPWTPNAAFSDPTFANCATDGPNCRMAWFQRIVSGSNLY IFGSGFWTFFNNLGACMGINGTCQDNACEIVGNPTELNWWNLNTRGNLNLLIDDGVVL ETQNNNPGSWGAVVAATLTHSGILGRLARRLRRGILS LY89DRAFT_661557 MSEAIPIILCGKSPQIATGVKNSLMPEYDVIHIILTPSAGITEI PSILSGSDLDSNDNIGSQNYSKPAQAVVTGAGYDEEAVEKMRKACSAKGGSRVPWLRP DTSIPTPPLGPKYGEAMVRRVKGCLRELEEEGRMEGDGVYFY LY89DRAFT_692256 MRSFTSFLVAVLLPIVLACENPDTHSCASIFSASTALVSSFCAT YTASAITATAAIPSDINSACSGKTTSISEACSCFVTSETAVALVATTSTTEAKTTAKT IIPTTLATSTIKATSVAKVTSSAVAVATTADAAAASAGVGGTIISTLTGGAGVGGTTC TVTAFADISASVSACSNVLLSGITVPASTTLSVAVPTSGALLFAGTMTVEYTPDADYT PIVLKGTNAKVAGLAGAVIDGLGADYWDGEGSNGGTAKPDHFIKLSDMTSSSFSDIKI INWPTHLFEITGCTDMTMSQLILDNSAGASLGHNTDAFDVSSTDGLYVSGATVYNQDD CVAVNSGSNMLFENMCCDGSHGLSIGSISSGVTVSNITFKDSSVVNGENACRIKTDAG DDDSTVSDITYSNIYVSNITDYAIDVQQDYENGDPIGDSTTGITVSGVTFDSITGSVA DGGYEYYILCGSTSSCTDFTWTDIDITGGTSSCSPAGDECPST LY89DRAFT_712426 MKSFIIFWVALLTSSSAATVAVNGAAEGFAKGVTGGGSATPVYP DTIDELVTYLASDEAQVIVLTKTFDFTGSEGTVTSSGCKPWGTGSACQIAIDQDDWCE NYEPDAPTTTVTYDAAGVLGMTIASDKTLIGQGSTGVIKGKGIRMVSGVSNIIIQNVF ITDINPQYVWGGDAITIDDADMIWIDHVTTSLIGRQHIVLGTSASNRVTISNCEINGV TFWSATCDGYHYWGIYLDGSNDLVTMKGNYIYHTSGRAPKVQGNTLLHAVNNYWYDNS NHAFEIGEGAYIVAEGNVFQNIDAVAETPIDGLLFTSPSTTANEACLAYLGHDCQLNG FGSSGTFSQADTSFFSDFEGKNVASAAAYTTVVSSVGSNAGYGKITY LY89DRAFT_768848 MDPYQLLSWKVAAATLAVYCGSVAFYRLFFHPLSKFPGTKLAAI TRWYEAYYDLILEGQYTFKIAEMHKTYGPIVRISPYELHVLDHAFYEKLYGLHDGRNK YEWACKGFIAPGATICTSVDALHQARRSPLNHFFSKTKVAARQDVVIRNVEKLCTALM HRAGSTINLGAAAGALVQDVQCDFILNKAYGSLEKDDFNVAVADMCQSVGFLRRFSKH FPRLGSALKAIPLNTVSKVANDDARVFFQFLQEIDKDTERLLNAASSSSCCSTEEDTP RTVVHEIADSDLAPADKSLPRVLNEVQTIVGAGLETVSGVLRLMLYHVFSNAEILQRL RAELQTVNNISSSDDLMDLKKLEQLPYLTSIIMEALRMSPAIGSRMARVVPDEDLVYG DWNISAGTPVGMTTILMHFDETLYPDPYSFNPDRWMDVEKRKKFAKIYAPFSRGSRIC ASMYLAWADLYITLAALAPRFNFKFQDIQSSDFHMVRDEFIIGTKAKSLLKCRVSLFE T LY89DRAFT_702777 MLLDNNIVSTAIPRITDEFHSLADVGWYASAYQFGSSAPQPLTG KIYKYFNTKWTFLTFFFIFEIGSILCGAAVSSPMFIVGRFVAGFGAAGVATGSITMVS LCAPLDQRPLKLLGLVLGPLIGGAFTSYTTWRWCFYVNVPVGAAAVLGMIFLPIPEEM IKPKPWTLLPRLHHYLDLVGFLLFAPAVLQLLLALQFGSQNYAWNSSTVIGLLCGAAA NAVVWGFWNNHRGEDAMIPRSLIRKGNVLFSGIYVAFLTSAVYGGIYYLPLYFQAVNG ASAILISKIGYVIPVAIFSTVFNSVGTGLYSLLQPGTSSGQWIGFQILGGVGFGAGLQ LAIIAVQAAMDSKELASSIAFIVFSQAFGPTIALTFYNIIFLESMKSQIRHYAPNVNP TDIVNAGATGFCSFVSPADLPGVLKAYADSIDRVFYLAAAFAATCGIFLWGMGWNDLR KKKATEGTDGDNTPKAEGEKAVEGDKAV LY89DRAFT_768858 MRSNTIQYEALAEQIESLLRDPESTIAQIKDEVTRRRLIEAGRK LSVSLERPRETLRRIGYAHLQLPLSLVGVETKLFSASASEPRPFSIAELTERAGVDKN LLKRLLRYYQATDILAQNDDDTYQSTNVTRALSNDDHANSLRWTHKITAQGALNLPSW LQTHQYSDPVGILPTAWSSVVPTDKHPYEWLADNPWALKLAQAHMRVQREGRPLFFDA LDFQQRFAQNTTSETLLFVDVGGSTGPQSRVLRQRFPDLPGRVLLQDRPEVIEQAKAD LAAARIEAEVYDIFTPQPIKGARTYYTRNIFHAWGDATCVEILINAKAGLTDQSVLLI DEIVLPERDTTAQGAQHDIEVMICVGGIERTKAQWENLLNSAGLKMQEVVNYDTDFED SVIIAGLGLN LY89DRAFT_661571 MASPNTTANIVELAAQISTAVAQLQEQLSAQGAPSPSFAEDSPE NFPPNVSHLKDTILDATANLHEMLLDPLALLFKFASISNLVSIDAICRYKIPDMIPPG GQISFEEIAEKTGLQKGVVRRFLRHAMAMHILREVEPEMVAHTSISKFLTIPYINGWV NFESKDTWPATTRVVDAIQKWPNSQEPNETGFVLANNGKTVQEVVTTDPERAMRFGTG MQAINHVPGYAIEKVSTVYDWTSLGDARIVNVGGSRGQAAIELAKNFGNIKLLVQDGA GMIQGAESGVPEELKGCVEFMPHTLFEPQTVEAPVYFFRMVFRGLGDKYAVEVLKAQI PVLRPGVKILLQDVVMPEPGAIPLCRERVARAVDLALECFSNGRERYLDEWKALLAAA DKRFVLHKVYVPKDSLLGILEVHWDESGAGEV LY89DRAFT_743550 MEVGIGLRWWRKRRRRVAPHKSNEGLTHADVLTYEEFALRYYML VPSSSRTSDVRDMANKILTRVFGACRGEGLDKYQLGLTEIFSCADMLAFLENLRTTRL NYHATMIQKNLKAKYYRRKYLEARNAILVIQSVTRRHLAWKVWRGQKQRKSFNAIRNH IILIQAAAKRFLQRRAILDTRFGKAAVLIQRLWHLRSEYKRRVVIVQNLWRGKCARRR CEKIREADRIAELPTHWRTQLQRIKSREVLEDCQHFCYLVRHPVTSVDIRPDQDALER SGGPDKIPTGDRRLKQVKFILEDGWAKEKSLDIPKIVLQVSRRIHLVELIAKYMEDIE AKKATSKKWPIEAKKTTSKKRRQPTVKETYVDLLFPHTINYKGKKARKRKKGKKVRDQ KGRQVSGEEVREKAEKRFEYFIRLGKPLWKMSECYGLSVLAILPKEVTETR LY89DRAFT_400559 MNNGTIYLGVWTDWSYGPVMGATLTTTRKFGDLLIAFTAFLIPY VASRFWRIFCLIFHRCYSTAHSRDALHHQRQIILRNSSSPESGLFSLFNLFLAWRRSS QQPPIKTKRRLSRLLPSALFAICCISAFIVAGGLSSQISTSRGDVVLLKGDQCSIPYY GTNLTVAGEGLYYSAMGKRLMDAQNYAQQCYSATSSSLLDCDKFVVKNLKSKTTQTNS TCPFPGPGAVCRNNETGLRIDSGYLDSNDDFGLNNPAHERLQWRYVLECAPLVTEGLT SNFTDRNNATWVRHSDLQKAELTRTLRGNEVEFQAQTSQVEDRNRSTMIWSNDENSLS VPLLFLANLHASLPDIFLIEYYAVTEKGYGHFSQRTVLLFSKKS LY89DRAFT_678495 MENLPVDYFVTSQAFTKQTYRDVYPAIDPSSPLNKQDGKVIVIT GASKGLGRLGIVLVARTAESLQSVAKEINAINPNIEVLPVPTDLTNPDSIAAMWEKVK TKFDHADVLVNNAGTLTDATSVKDADSGKWWYDFEVNGRGTFLITQGFLKLLGDKKGT VINLTTGAAHTVFPNLSAYSISKLTSLQLIAYISAENPNVNAVSVHPGILPTDMTIEP FKRFALDTPELVGGVVVWLASEEAEFLRGRYISANWSVEELVTRKEEILKENKLTMAL VGKFGMDQFA LY89DRAFT_768864 MAIPSEQIPDADPFVPPGQILQFITQTGSKATDRSVLRKVRQHA RSYVSKSKGAEKRAPLLKFNLVVPESFGSSSSSGCSTPDSSSACSKGIEGANFLDQSE NLEVPVSSRFPYETSGRADVIDYEQSQCSSSLSSPYHHVPQTDIECQQTSDPQVKAKA RIQRTRIRTGCRTCKARRLKCDEAKPACRYCLDASKECPGYSKTLVPPSSTRALALKK STSDIVSYQPSSSLPFENNTEALYFKVFREETAVELSGVFASSFWNQVLLQEAHHRPF VRQALVAIAALNKSVKTSQLAKLHPGSKATSHKQREYALSLYGKSLRGMQLIPQSESR HVRELVIAILLVFVFETIHQQPDIAFSHALIGDRLMCHFVETTPHPVPQNDGISSPAT HIIESELLMTFCRFDTQMITFVDVRSQYVHNMGKRLSRSTVKEMPASFGSLREATLYW EVVMRRTGHFIHSTSAINGSAVFAREFSHSFPGKTLEINAQTSIYGSPYIVPDSLRLE QLRFVSDIDRWTAAFDPLSQRLQQNPEDIQTTTAITILRLYSLTLKIIVIGTTFTEET KYDQFLPQFREMVSLSRIISRNLLEITHQQPAYHFHLSIVPPLFTLLLRCRDKTLRRE AVDILRTSRYDGPWDRFMIAAVGKWIMEVEEEGERDGVIPEESRVRLSRMSMSMEGRG VVIQCVRRGRDDQLGGELGLEWVETKLSGPWV LY89DRAFT_401351 MGSTTFMMDFKDKERMGAKLGKSLFGNTPMIGKERNAKDRNRSF GSDYTRFYMYMPHPSILPYILGDLFWTFWRGFIDMEMTSKTYLYLFFLLLPPCCSLSV YHRRRRRCAKKRVLFRFADLFATPWQKLKNHTPTNRDLVYPWPMSSLRKRQRRRRVSC REAFRVGQSGFVPLREGRDGEVMVRWEGREGGKLVFVGEKRGWN LY89DRAFT_401480 MQFLSTVFAAAAALATVASAGTVHFVNQDSTQRTIVFTQNEGLE SIPELVISGLTTANQTFPTGWIGNWYSVSEGATNTPGMLGEVRFDGYAGATYFDVSAI VNPEDTNGVKEIFPLGSNTPVSGCQKWPCTNAYNKWDDVATLSTDGDELVCLLGTASS TRRRGANAMSRDYVTS LY89DRAFT_692263 MDSVPVIVLWTGKPMRCMLSCRPPTPRKLDRQQNQRDVQPGGQK TLEAAPEEVESSQKWILLRGKTKNSRFFLGGKVFAGKTLADCCCSTASPPRRDIPRDL TTRIMNLAQVSRSGRLAGFNAGPLLFTVQTSALQHKLSIMHFSFVRIEGFRRVIIDFR LRSTRSGPSTVFSWLSSRAAG LY89DRAFT_401105 MGANLVRKAALTPLEEEDTCENHSRIMRIIPLAALSTISIQLSV VAECGRLQLISTPQLPYIGALNIGYHNPDFEDSQSSPRWNIRYHNQCLTRGRYGVLQQ SCWALNGLTSTFALLVEPPRTPAQHGGPPHADSGHHCTLGKSFSHSVRVFHPIWLFMQ GNHIVQPPRFSRIINSGVEDARRVSAPCLTKGGEDILLHGLHLNKNLPDPYQSQTQRR LY89DRAFT_692265 MSSEIKPITVWGKGGPNPPKVAILLGELGLPVEFIIVPLSKVKE PEFLAINPNGRIPAIHDPNTDLTLWESGAIIEYLIERYDTEHKFSFPAGTPEAYHAKQ WLFFAASGQGPYYGQLGWFKLYHEEKIPSAIERYVKEVNRVTGVLDGHLAKQKVGPDS DGPWLVGDKYSFADMVFVSWQKIISLVTTKEEYSLDAYPHVKEWHGKMMERGPVKEAM AAGKPAQ LY89DRAFT_692266 MGVEHSKHENSTRPPPYTTTSRSTLPAAKLRQYPEIRATDVPDW KWNNTQCQEWLTIAIMEFCGRNEQVAQRKAREFLRGGFAPMLYAASYRVWQSYLGRQD APAIYSYLMAHKSAAVPSGTYLTTYAIPTKDGYYS LY89DRAFT_790290 MRVQFINIVLVAVRIVNAVDPLVDLTYTKYQGLTLQNGVNQWLA LRYAAPPTGSRRFAAPQPPLTQNSTQDATKEGALCVSANNPEGLQYGSARQPMAEDCL FLAVYSPLNATEDSKLPIMFFIQGGGFTSDSNGNFNGIGLVEASGMNMMVVRINYRVG MLGFIGGSVVNADTKGAVPNNGLNDMIAAARWVKQYATKFGGDPNHIVMSGDSAGANA IDILLTANNGAGFPDLFVGAAAESTGWGGDPYAVDRDAEFAKNVNSTGCSNSPDPLDC MRLMPIDEFQNKTTSDGWGPTIDWKLLMAPHYEMMEQGKFQKIPVIYGSTNEGTPDFI SNQSATTDADIEKHIRDAVGPAITDAQVQSMLIAYPASLNNVSFFGRDVSVKNETLRK GKGPQWQRDAAIMTELKLQCVAAFFSDMFAASGMAQNYQYRYNVLDTTPGGLADQGIF TPHTSELYAIWGRNNTDGNDPKCFKIDSANGGCASAISIVQSYWISFVRTLDPNTFRL AGTPTWEAWTIESPRRIVFNNANASIETMGAGVGEVVIAGMNQRQRCNTLTLPLAKVA NAGLKAGETLQPFANGTRADPTLGDASLNVTAASSKMSNLTGTASSPKFTGAASSSGL WMNEFLALSIAAIVSALLLM LY89DRAFT_602721 MLSTLTTTPLTITIVGGGIGGLSAATFLSQSGHKVTVLDSNPCL SEIGAGIQITPNAMRIFDRHGLKDRFYEEATKNEGATIRRWDNGTVLGKHRGMAIKRY GYFNLTLHRADYQRILYDAAIKAGAKVEFNRKVKDVDFSTPSLTLEDGQEFATDLVIA AEGIGSRTRISLFSDSHAHGTPDSAHRLILPSSILRSSPILNPLVSEPSSTIWFGPHR HIVAYTIRGTSLYNLVFCGPESSSSKIGVWNQPASLQDLKKEYEGWEEPIQEILNSAE AVHKWRIGEVPDLPSWRSENGKVVLLGDAAHAMMPYTAQGATSTVEDAAVLAGCLERV RSKEEISRLTEAYESIRKPRAEKVKKTSWGNMKHYGLVDGKEQEERDRMYAKTLVVQT RDDEERADKPQKDANAPYGSAEFSMWLYGYDVEEELEKYFKDGRVVD LY89DRAFT_537238 SSTSEYLQKAYKEARHFAGGLIAHPYESTKHYTILRHSHGLVFY QGTSTTLAISIFADAPLPAERTLWLQSKGWTGKMGMRAKAFMGANGNWFNVTPSMCVT AEQLKPTDERAWQRDIAHFCKKAKVHSQKNHILRETAVVRLPAEAGDGYFQLVLCLGE KEKVLCQSPVFRLLSTSTSPSSLRGASLSTLPLELGAMALSTYTRHTVGTVVSPVTSV VQNQVQQYMPSWWVRQAATASYDVSGAADKVNATIDNANGRYDQVRDDSFTTIGQVEI PVDNGPQVPYPIHFVARCEPCLDHIEQFSMPTIALTGLPFDVSNQLFGYYFGWARFVQ RTRNPITPTDSEDSWHKAIVSAIPIDASQLSRASVTEASKKNIKIRLIRDSEDVLQDQ ACLEVRIMGFIRPDEPSQRAALLKGLQAGDEAAMEAAMLVEVNDISMAQSILGQLIWS PEAASTLQARSEKPKGMERVMSGYADSRTAAQRQIDKVPLHKMGIRMPFDPMKEKTMI ANGFYVQR LY89DRAFT_678503 MEPHEIEDVNARRSYISGYPLRKVPPYLVQDEMISGSVLPRTSW WYVGHGGPAPLTKIHNHAQPYDSLLQNRSYVKGGCTKSTSALPSVPMLLILFWVIDLA ANILLGLDSQTGNGWQE LY89DRAFT_401635 MKAFQSLVPVFGLVAAAQAWGSGWNDTTVWTTITTDIYTTYCPE STTFTMGTKTYTATESETITITDCPCTYSKPVKSTWAPSSTYVPANSTWVPPVWITTT ETVSSYTTYCPVPTTFTEGTSTYTVSSATTLTISECPCTRTSTLPGTTSVITIGTTTS YCPTPTVITSGSSVISVSTPTTVTLPICSTTTYPITTPASTAPASTLTTAPPATTPGS VGTTSVKTGPSPTTSTPVQVSGNAAVVNGVGLGAIAAGLAAMIL LY89DRAFT_692273 MAVEFISVTPPNASTELVPIPNAGIDPDFLIRYSRALDDYGFNY TLIPYDSSSFDPFTIGATIVSVTKNIKVIIALRPNTLYPTVAAKALSTLDQLSSGRVV VHFIAGGSDAEQAKEGDFLSKEERYGRLEEYIRILRRAWESADPFDWDGKYFQFKQFS NRVRPTNGTIPVSVGGSSDEAYRVGGSLADIFGLWGEPLKETKEQIDRIYAEAEKAGR TDRPRIWVTFRPITAETDDLAWEKAHRTLDALKGNRAKGQGKVPADAPPPQNVGSQRL LDIASRGEVQDRALWYPTVTATNARGASTALVGSYQTVADSLLDYVDLGATLISIRGY DNFNDAVDYGRYILPKVREGLKERGQNGGSAHNGESVQNGSSS LY89DRAFT_726321 MQYLSLLLGAAALVAPVFAQTWTTCNPMNVTCPNDPSLGISHNF VFNSSDTVTNSFNITAGSLVYGTNGTEFTINKKGDSPTIQSQFYIFFGSVSVVMKAAS GQGIISSIVLESDDLDEVDWEFLGGNGTHAETNYFGKGNTTSFDRAIYYPTGTDNRDN FHNYTVHWTSDQLQWYIDSQLVRVLPYASANGGYNYPQTPMTVRMGIWAGGDSGNSNG TIEWAGGLTDYSQGPYTMTVQSALISDFSTGSAYQWTDKTGDWQSIKAIAGNSTAAET ILKADTPTLSMAQKWQALPQTTKIAVYAGSGGAAAILIAALTFTCIRQRRAGRKERDD YNAKVEKEREEAYRDQMELREKGLGGWDQGAYAKQGDDALGGWGGAHVPPGYAADAPA LPKMPSNVMVNEVPSRMNSPAFERSMSPVSRHQTPALVSPGPESPRTWNGGNQGGMIH NAGNAYSGGYGGSSNIPRSPSFPLGKSVPPQRGFSSGGYQRF LY89DRAFT_661593 MVRHTLEYPKDIHNTVNRYKHQAVYSLTTIHTFINTTPVLHANT NLHKVSFSPSPEDPFPVILPLIGQMGSFSRPSASLGDPLECYLHGYVSSRIMNLSRAS GGKGLPICIAASKVDGLVLTLTPNSHNYNYRSAVLFGHATLVEDLDEKLWAMELITNS VVPDRWRHTRLPPNAAEMQSTQILRVKIDSGSAKVREGVPNDEKGDLGNKEVLGSVWT GVLPLYEQFGEPVPGPYNEVAEIPAHVLEYRESLNKRNFEYAGAAARKDAPVKKKEPG EED LY89DRAFT_692277 MHVIDPGLDFRFKLHNPANPTFPTTQLRSRDQVKIHLSTPLLVM PLLEIACFNIESGLIAQAAGADRIELCKNQQLGGTTPLLSDFQELKKHVEIPINVMIR PRGGDFTYDVNEIQQMGVELESFRDHGADGFVFGILGAGKVDIEKCRDLIEKAQGLPC TFHRAFDEIAEKDMLEQLNVLMECGFTSVLSSGGQKNAQEGIEVLKRLVETSKRRIDV IVGGGVRSTNLQEIERATGSGTFHSSAIVDGGEVTSKEEVEALKGIVRR LY89DRAFT_402142 MTLNERETAQLRAALQDAVVKCSERCLYQSAKWAAELLTSIPAA DNASEAEDSQMTEVPLEGLPPIVVSGNLDPEEAHLEAREINQYLLAKSFFDCREYERC AAVFLPDTVLSGILSTASTKVNQGASNPLSKGKAKVSSRTSTTGVTLPRLSQKSLFLA MYAKYMSGEKKKDEDSEMVMGPHDGGNVVNKQLVIISRFLEAWFHEHSAENGEVVGSQ GWLEYLYGMVLAKDKSNEAAMQWLIRSVHLYPMNWGSWLEMTSLISRVEDLNRISPLL PQNILSYIFHLHTSLELYQSTPSLSISLNQLLSIFPTSPFLLTCLALLAYHTKDFVSA DAHFSNLLALHPHRLDCLDHYSNILYVMNLRPKLSFLAHLCSTVDKFRPESCVVIGNY YSLLSSHEKAVQYFRRALTLDRSCLSAWTLMGHEYIELKNTHAAIESYRRAVDVNRRD YRAWYGLGQTYEVLEMHAYALWYYKRAAGLRPWDGKMWMAVGSCLQKMGRDLEGIKAL KRALLADSYYDAGVGSSFGSGGRDRGGAMDPEILLQIAGMYERLGDNGEAKSYMEMCV AQEEGTADNLDTSVINIHADSTSSDDDSRPAAASGGGDTGTGVTAATSKARMWLAKHA MRIGDYTRAMQLATELCQDGVEVEEAKALVREVRVRLEFGVDGNP LY89DRAFT_692279 MAKTTTLKEFESVFPKLVEDLLDHAKHYKLPEEFVNWYKASLNA NTIGGKCNRGMSVPDSVSMLLEAPLSEEQYFQAATLGWMTELLQAFFLVSDDIMDSSI TRRGAPCWYRQPQVGMIAINDAFMLESAIYTLLKKYFRSHPSYIDLVELFHEVTFQTE IGQLCDLLTAPEDKIDLDNFSLAKYQFIVIYKTAYYSFYLPVALALHHQNIATPKNLK QAEEILIPLGEYFQIQDDYLDNFGLPEHIGKIGTDIMDNKCSWLVNQALAIATPEQRK VLEDNYGRKDKTKEAAVKKLFDELKLEQIYKDYEEKRVGEIRKMIAEVDESEGLKRTV FESFLAKIYKRSK LY89DRAFT_402226 MAQTMEFTLEERLTMGASMRAVATLSATATILAIAAFLLFKEFR TLPNTLIILASPANLLSNVAQFIAEDALGNPEGAECQLQAFMLEWFVQSDPYWSCAAS ITVGLIFFYRFGQEDIKRLYWWYSLLCYGIPFVLGLVCLLVNNHNKSDRYFGNAVIWC WIDPEHAVARIYLFYGPVWVTIIVAIFLYVAVGVRVFTARSRLDGARNSPYHSALVKG ASNASSAKYPSLGSQNTDVERGAASINYPRPACSTSPPVSSASAFQARVITANSTETP STGTKKFSARVKEFAVRTQALFSFSRVRTTLDRLKLDEVKYKYTRTGLLFAISILITW VPPSVNRVYGILHPNSPNTYALNVLAAIVLPSQGLWNAIIFFSTSIPVCKRVWAEIRA GRRAKFVGKMFGWKPVAINNPLGVHGLYNLV LY89DRAFT_602703 MAEDNIEAHNIREGVVYDKEEVREAHEIFQKSPNGVDFRTVSWP RATIIFLKIQFAMSILAVPGALATLGAVGGALSIVGWEVLNTYTAIILGDFRNRHPEC HTLADMCGLLWGRIGRELVGVQILVAQVLITAAGIVSVSTALNALSDHGACTIFFSFV AAVLITGFSSVRTFSRLGWLTWIGFFTFFIAVFIFVVAVTQQNRPAAAPKTGSFDLGW AAIAHPTFVAGITASANIFISGSGSSMYLPVISEMKQPRDYRKACLVAGFLVGAMYLT FSLVIYHWCGVWISTPAFGTAGTLFKKISYGIALPGLVIGVGIYQHVAAKLLFVRILR NTHHLQENTIIHWTTWLGANVILGVLGFIIAEAVPILNYLLGLAGSLCFAPFSLIFPA LLWMYDFEEYRSGAKKRQSVYYLHVLILLVGLFLVVGGTYGVAVSIRDAYASGLIGRA FDCSDNSGS LY89DRAFT_692280 MKSLSVAAAFVASVQAGLRFGCSSLTIQRLDPVVQPGSDPSSHL HHIVGGNQFNATMTGDVGARGSCTTCQMAEDFSNYWTAVMYFKHPTNGSYHRVPVVNN AALASGTTGGITVYYTPYDLSRDNLKQQPITVFKPGFRMTVGSPTTNATQAAKHIGLS YNCLTTLINRGPEMRDFPTKPCPAGIFVTHHFPACWDGKNLDSPDHQSHMYNTITLDG FTNAPPCPSSHPVRVPQVTYETVWDTTKFSSLWKSGDPNPFVWSFEGTSGYGTHADYM FGWKGDALQRAMNKSECFYDGCGSITKQDMSVANKCTVKDMVGENIDGWVSHLPGMSS LY89DRAFT_726327 MVGYNYGPWALVNETTGDSVANYTFVLPSLEAQYSYLGLGTGSM DSANQGLSYRLSGAESVTYQGQVFAPGSSFILITQLLRSDGDVSIILLAGNGVIFYDE MDDDWYRATRYAATLTDTELPSAVSNGYIPVEAGSPMGCLERFQWCSSGKCGPLASFY HSVSGTASIFNMTAEDFSLNYTARPSSTSKLGSVFVWIYLMLAESGADINMIAAGLPG AQVLTSESLLINSIQYPLPLNQWQLDVSYWFDIILATFQAIFVDVASGPSDPMLEQSE FEWSPENEQERQLCNSQKVQSTSYASFSLFGLLFTYILSILIISVSFILDPILTCLQH RRKYKTYARLEWNTNATLQLHRLTQEELGLGNWEGCADMVPTTKAGEMLAGLDINDLD HPVLIKPTSTEKEKRSIGTEEISDASTNEILIPKHPVSSIAHHVEDVDFDGTTGYGES RVFAQGSRTGQDDVRNLSQTHTLEFVRAQVSRPGANDTLASPQGGVEEFGHKENIDSD RAQNDYTETAVPIPGATGL LY89DRAFT_692282 MTSRIFWEEKPLPFLAGVQGVVICISLSRHRLNLSQSGPCKVGH RIQRMPEDHCFSIEIGVNVRGIEGKCIF LY89DRAFT_726328 MVKKVTILAIMVALASAAPIANDMSPRTFPSLGGFVASSGSVTS GLTTTKGWTTGVASSLEACAVGVTSGCVEDSAKTALASWISGAGAAFFDTATCKEIKT WCTSSSDVVLAAWAQTAMEGALVAESSISAAGGILSFFNGVIESSFEGESCSCSALGS GDLASLSAFLSGSTGAALESVEATIFGSLKMCASGGIAEVLSSSARASLSAWLSGPSC TLSADLIGSIEGWLSGTVSGGAAVGGSSISESVSGNVLSSSAMGSLSAFMSESAFVDL SIEIKLGLYACSAGGISASLSGAIQASLSAWLSSSSCTMDAGLKASMQAWLAGTEGTS TQVGTSVDAFGYISTGASIAGNFNASGFMTASVQASLSSFCGSSAGLALDVSILTSLK SCASGELSSSLEVAAASALAEYLASSSCTLEAEMRGSVIMWLSLGITGASGASAGVGA GAGAGFTISTEMATEFASAVSAGFNLSSSCKGSMGVAISGEAAWAVSISGRAEMIAYL VSGEAAGLSAEVETAMFGWLMGSDCSGGKTVGSSSSSSGSSSGSSSSESSSGSSSSAS SSEGSSSGSESKSSGSSSGEEGSGDETCDA LY89DRAFT_632431 MAIFKKQTLKTKNEKTRAAELTLRQSIYPLALVTILFFLWGFSY GLLDTLNKHFQNTLGITRARSSGLQAAYFGAYPLASLGHANWILRHYGYKPVFIWGLC LYGVGALVAWPCILHRSFGGFCAAIFIIGNGLGSLETAANPYITVCGPPRYAEMRINI SQAFNGIGTVVAPVLGSYVFFLKTADDTQALKNVQWVYLAIACFVFLLAIVFYFSPIP EITDADMAFQAEETHANDDIKPFRKQYRLFHAAFAQFCYTGSQVAIASYFINYVTETR ANTDSSLGAKFLAGAQGAFALGRFTGVGLMKYIRPRWVFLFYLTMCIIFIAPSITQRG NTGMSMLYITLFFESICFPTIVALGMRGLGKYTKRGSGFIIAGVSGGACVPPLLGAAA DANNSTAIAMSVPLAFFVAAWSYSLCVNFVPSYRDPADKFLTTKIGIEGAGAGVVEDE ESGVGSGPEKAGVVEKENIGEHVDNSPEVKIG LY89DRAFT_790306 MSQRALVNVLGEKVHYQEIPSSLFDAYFSTQQQEVVPACVVLPG SAQDVSQAIKVITQHECIFAVKSGGHAMFTGASNAPEGITIDLRNLNSLQISDDRKIT AIGSGNRWLNVYDYLDPFNVTVVGGRDVDVGVGGFLLGGGISFISRRHGWGLDNVYNY ELVLANGSISIIHRASNPDLYWALRGGGKNFGIVTRFDMFTHVDTEMWGGVNILLLDD MHDRLAILGIPRKFEWTTTALIGKTAEYINKLICKVGYCISVDDVASMLGEIALEAEN DIFAHAWAVPIFFSSSQTYLISAEMNHGKGVEGAPGFGALHRAKKVYKTNRVARTADF AREITTLNTGGRCSYETATFKLDADLQKDIMHIYIEETEKIRHLKHLLSSIPMQIITK AEIAHFLKNGSNCLGLDPGDGPLLLLETAMLWTDPKDDATVLQTSKNILDRSIALAKE RGLHHPFLYQNYAGKRQDVFGSYGEKNLARLRKIRDEYDPDHVFTRLSPGYFNV LY89DRAFT_602768 MAPTTREKDAAKQGGHNSSDLDGEHNEWKFREPYKVHENDENFK ALYEGSCHCGRVRYQLSREKPLDAKYCHCSTCQVLHGAPFQWAAIFHKSDINFTHGHS DLGWYESSEKTTRHKLPCKVSCAYCRSPIMDEGRNMILLFPSLIKFKSKEEKKNFDPT CHMFYSKRLVDIPDGLPKWSEMQNKSDLIEDSPPEAIKKRKREIEDEKKNGEKDSKSA KKDS LY89DRAFT_790307 MEEPAGESLDGSSIKPVSSLRSHFEQMANAKPNNNLGSKALSPQ PTGGSISNGIRDIFRSPNERQEDVPFGDVLRATRGREKDTGDAGLRPPRTTSANMSPS PTRILRPRPLSTVPPAVTVLPPQSPPKNKNMNLTLSSTPTYLSTETPLSATSGGSSPR HFRIPSRPQTPLLGPGKSPRLPASLPPSPPPPRRSGELRREAPAGKGQPPPVNRAEKP KIASKPMSLAFRIEATTLEPASSQRAIDKTSPFSTPPSSGSSPSPENELPPPITQPRP RNPAQKASVVQQSFEPPPVHYSVIAKRKDQETNGLGRSIIVPQVTGEQRPALPTRPQA RQEMSKARNHENAMMPPPPPHPSMDRTRPSVTTISENTTTFATPPKRVFSTPTTQLQT PPRTHGRSMTVDRTSDRAPAELRTPITPLGDSRASFDVPPTTSIIKELHPNLGEYPDA SRSNRRPPFFKQGAHEIYTKYDTRILDVCGEFVCTSGHLTRVWSLLDGEIVASLAHTE GIKIISVGFKPTSNINDEGTRVWLGNNTGELSEIDVRTQSVVASNTSSHTRRDIIKIY RHRMEMWTLDDGGTLHLWADSTGSPNLTNPTQSFRVPKGHTFSMVVGDQLWHATGKDI RVFVPTVDGSTQFQVLQRPLNQPNTGDITSGTTLSSQPDKVYFGHTDGKISIYSRRDY SCLGVVNISVYKITTLAGVGGYLWAGFSTGMVYVYDTTKSPWLVKKDWKAHQDPVIKL LADQSSCWSLERAQVISLGQDNMLRIWDGLLQEDWLETQMQSQEAEFCELNPIKTLVM TWNAGATTPYHLQHSDQDARFFRSLIEGSGCPDILVFGFQELVDLEDKKQVTKSFFKS KKKDPSEQEHMSHQYRDWRDFLTRTLDDFMPRDELYHLLHTAHLVGLFTCIFVRASLR ERIRSLSAAEIKRGMGGALGNKGALVIRFVLDDTSLCFINCHLAAGQTQTKDRNADIT AILEGSILPAERDHSVRQDSYIGGGDGTMILDHEICVLNGDLNYRIDTMGRDTVVSAV KSNNLSKLLERDQLLASKRKNPWFKLRSFTEMPITFAPTYKYDVGTDNYDSSEKKRSP AWCDRLMYRGRDKDRVKQLDYRRHEVRVSDHRPVTGSFEMIIKTISPKRRAIKWDECQ KRMLERKERLAAEAR LY89DRAFT_790309 MNTVKSFWVGWGALCVAGGGAYYFAKKSINADRQARFEADQKRR RIQESLEYSPNVPSQPGTSSAMGGSLRNGNGGPPRADHSGSPSQEATLDPAPTRHAPD TEGQRVREKSKYEASEPFRAKKGDRFS LY89DRAFT_632447 MSKMPSHQLAIAKASFFAGLLRPDPTSLPRDSIEHFHSLLNAVV LQCTPGNVQKCKQWILENIAPSTARFSALVKYLTVLTSSFAEPEVQTATLAKRGPSIK RKRLHLLYLMNDVLYHSKYRGNDASICGKVQPVCMSLFASAASFKDCPKHQRKIQDLL EIWEERSYYSKDYIDKLRETVKNAAEAGEHASGGVELTEKDQGNSGKTPKATPYVMPA THGDASTPWFDLPAGNLMPHIVPNSTRPINPEMIKPLQFVAGPADEGLMLAVKALLDD VQNIYGAEAELDEKATMDIDELGQPIILDEITGDVLEGEGYYGWSRSFCEKMKRRKKG EQPGNGDDRERRSRSRSSSPGMRKRRYSDSDGSDERRSSRRRRSYSSSRSPTPDRSRR NGHTGLGSRSRSRSRPSRPASRSPHQSEQYSRNPQPTHAPPPPPPGSREGLPPRPPMP NIPPPPPFHQGFNPNFPPPPPPPLNNMQFNGQQYGAWPPQPPAMMQNMQFNQNPQMGA WPPPPPPPPPPGNPPGNFQQQQGQYPPSGPGGWQQGGRGYNNNGWNGNYRGGRGGYRG RGW LY89DRAFT_632449 MFVKRRATLLKAEVRITRMGDSHPLEVVIAELQPASPRPSDKLL ITVFLPKTNSIRQVKGLSAISAVSITFPQFSASSVLAQFALIISSFNIQIKFSHKGTK ASHPTMNATQLIAEVRSFRDVSVSRIEPPLAELPHPLPKNVTGIAKQVLTEEELKITK LDAPELLKAIRDKTFSCETVTRAFLRRAALAQKLTNCLTELLPEKAIARAKYLDTLPT PSGPLHGLPISIKEHHGMKDTTTNGSYVAWIGSPQVGPCAVQEVLWKAGAVFYARTTQ PQAVMHLETSTNIYGVTTNPWNTDLTPGGSSGGESALIACRGSVLGMGGDIGGSIRCP AANTGIYGFKPTPGRIAKQGSFNAIVGQEGIMATQGPMASSRSGLDLFMETYLSYQPW IKDDYLVPIPWRPVSLPQKLKIAIMWSDGIVTPHPPVTRALKSIAKTLKELGADIDIV DWKPEGHDECWNLTQALYYEDGGRTVEELIKKGGEEMLPLTKWLIHGSENVKYRSVEE VWDLKAKRNAYRLRYNNLWLRTGADDGHPVDAIICPAGPGPAPPLGNAKYWNYTSQWN LLEYPGAVFPVTFVDQDLDKKDAKYVPMNEQDKFNYDLYSPEKYVAAPVSLQIVTRRF EDEKCLKILEFVEKAMGRK LY89DRAFT_692286 MTLLACAGTISASPQRRADYALKERHFVPRSWTRVGPAPSQHKI QLHIGVKQGNFNELERHLYEVSDPFHPRYGQHLSKAEVTGLVKPSDETLAQVHDWLCG NGVTEDQLEYSHAKDWIKVTLPVSTVEDLLDTQYSVFRHRDGSHVVRTPEWSLPMHLH EHIETIQPTNSFFQPRAKRTTLMRLPDVDQSQYSMDPVDTQSKATVDQACNVTNVTPT CLRTLYGTIDYTPKSAGENQIGLTNYLSEANNRSDVNLFLTRFRPEAAGAAFNFTTVI VADGDNQQTPNNSTENAAGKDLEGNLDAETILGITFPTPLTTFNTGGSPPFVPDLLTP TDTNEPYLTWLNYVLALNSTPQVISTSYGDDEQTVPLSFATSVCNGFAQLGARGVTLL FSSGDNGVGPSADCFTNDGKNTSSFLPAFPAGCPYITSVGGTKNISPEIVAFDAANGF SSGGGFSNYFARPSYQDKVVPAYITSLGTQFQGLYNASGRGYPDIAAQGFRFATIWNG NLTFLDGTSASSPTAASVISLVNDALLAAGKPVLGFMNPWLYSVGSTAFTDITNGSAI GCNTTGFPATTGWDPVSGFGTPYFPKLQAAALNDGMFSSDPRNIPMSLCALFVVTLML CL LY89DRAFT_402732 MNAQISFIEGQFSLIHIPLQLYSSLLQPILRVLLPHGGPTHSNE PEGLLEGLSIDNKHGFLNISVTPIECSIVCHTSWAQNVFAPVISRLPKEASKQCQISK DTYIVFSVSSAGMEAGQRVMDLTAPLAMAGIPIFFITTYYTDFILVPSKDRQTVGSAL LARGFEFSENDSAYVAPTPMSHARIPSTTSDPPSTPPPSNVAELQIRTFSQLKKKNVV PFVEPGLHLVQCSGKEIVPGDDYPSRNGANGHVRSWLDNIDPKLYVGLISALSQQPRF LSLTLAQEDAPSLLIDKSLLWLFGNSIAGDTEGDLVPIFLDLVDLPLESTGIVCGVAG RLVDEMRLNEQHETSHGSELSYLSTARAGAVILSSEGSARALEALLPRLEKTEV LY89DRAFT_402733 MKMLLRNWNSTQEYNNSHLPNLTGGTRYSEYSLLYSPSNLYQLN EDIHHSLSLTNHKQASYPVPIPHHPNKRQRSQTGDSPDSGLSIFEIPLLSDLQYRKKY LGLGIRVKHRSLDDLIVRPMSDERTRIARVQDEKIKHGLIGLISISILKIDLTR LY89DRAFT_402735 MISPDQVIDVLKTKATSSLLVPTSSSSPTPFPQPTAIPNRPHYE EVGETGTKTLWVVFVIMLLSSLAFIAMSWRVPVQKRLFHVITTLITTFATISYFAMAT GDGNSYAHIVIKEAHKHVPDTFEDVFRQVFWARYIDWSLTTPLLLLDLAFLAGLDGAS ILVAIVADLIMVLLGLFAAFGQTDGQKWGYYAMACIAYLVIVYQLVIPGRRAVMAKDS STAKLFASIGGFTLILWTLYPIVWGIGDGARKWSVDAEIIAYAVLDVLAKPVFGFWLL FAHARNAQSIEGFWSNGLNHEGALRVGDDE LY89DRAFT_661645 MLNPFSYFHLPADFVGSFLVSQFTKLPYPDADFKGQTIIVTGAN VGLGLEAARHFVRLGASKVILGCRNKEKGDGAKRIIEVTTGRMNVVGVWQVDLSSYES VRQFCERASKLERLDAVVENAGVATPTYEECENMESTITVNVISTFLMALLLLPKLRA DAVKYNITPHLTIVASDAHEQASFREQSAPRIFSALRSPKYQSDKYNVSKLLEILTIR ELAPAMSSSGKPKIILNTLTPGFCHSELMRHASFPLNLLGWIGKILIGRTTEMGSRTL VAAACAGDETHGTYMTDCKVRDPSKWVQSEKGKDAQQRVYKELLGILEEIEPGVTKNI LY89DRAFT_726340 MEEVSRISVSLVLMQESSTVKRRGSSRDPLRQVEQLGHLLKRNG SFRSPLTPPRFENHLNSPLLSPTFTKSGLSLRNGYHTSPAYATIQMRSQRVAKYARNV LILGLFLFVLHAFNPSHQLPSLSDSPRTQFSPWDALRVLESTQLGSFSPFIRRPRARV ESRWIDLVGYKAEAGFAWERLATWKDRCFALGEKARASYFYRDGMPQSDSTETDWGNS TEALHADWVRYTGGFVEKKTGSGRTWQRWAMGSEPDYPDIRGNKGRLMLEIDQEAGQE MELDEVPDVIEDKGKKGIITKYGLHGSIRLIREVAATLKIQDENTVDEGLEIRVYGVH WPRLGVLMMTTTSDKFAGIFGLPHLNLNEPFFKTTQRLLNQTIRRTLEKDDHIPWLGK QTPQNASLDVPPRCEYVVYVQKHPVLRTTMHDWERPPIDCLYDDSIIDTQELQMTTVI FSPDCGFILESRGPGLFPPTNTQQWVCEAKPKPS LY89DRAFT_678527 MSPIPPSGVWCPAVTFFNHQNDTLDLVAQKQYDAYLSKSGLAGL VILGTNAEAFLLTREERYALIAAAREAVGPTFPIMAGVGAHSTKQVIELVKDAVKAGA NYLLILPPAYFGKATSPAVIERFYADVSASSPIPIVLYNFPGVCNGVDMDSELITKLA KKNDNIVGVKLTCASVGKITRLTGSLPASEFATFGGQSDFLIGGLSVGSAGCIAAFAN VFPKSLSRIYSLYKAGNVEEALKLHRIAALAESPCKDGIASTKYAAASFSAKAAGIEG AEEKLQPRTPYAPPSEDTKSRLRLKLKRRPPLSPLHFPPVSKMSSSTAALSRTLKTIT LTKINELEKQRKAYAQSKNAILQAAAEAPHQRERVLRLLEGVEDLNRTSISSSVDFSN IRRWLFQSHYDSSIPDATLNDFETQLHAQLEVRTRRLDLGRLYSSLLTEWLDATDSSE DQTMEVDDESLEESFEVIEKDRLKQLTEKFASVAFSPLETDVDEIEDYLGGLFQGDIG EKALERLRIATYNKGVHILRNKTVFDERTIKWCLKGLLSNDLLRDDKKVILEEFLQDQ VARTEICDVLNMKFADLENWNWDAGPEGLPVEPRRQLNGKYRIMMDEDVLDAIFLHYI GMMWSVGMKGILCEVGDTPGLWKQDVNISREVKDRRRYYLGEYSSGLEAGGSVEKARQ DMYRRDFFLSQLPSSVMEGAGGYDDDETESNDWDFDSSDKKSPKQVKQQLLRILATEV QLQKNINGRVAVVQSDFQWFGTCLPHSTIFAVLRFAGMDEEWISFFRKFLEAPLNMGP VSDHTEQVRVRKRGTPMAHALEKFLGELVLFFIDLAVNQEAGMLLYRFHDDLWLVGEP ERCARAWKSMERFSAIMGLEFNKSKTGSAFLTKEEELTYEDSETVAGLPPGPVSIGFL NLDSGSGDWVIDEKKVGAHVKQLSKQLSGAPSILAWVQTWNSCIGRFFGHTLGEPANC FGRAHVDSILETHKRIQDAIFPGSNACTFLKDLIKERFGVSDVPDAFIFLPESLGGLG VRNPFIGPFLVRDNVCESPSKLIESFLKQEADAYHTCRREFEELSQQGKKRRLRIIYT DEYGDADPARTLQDAETFMSMEEFVSCRESTSPELTSVWEELMEVPKKKEIVASTKVA QTPGRLSYSQPELSRNDMKSEIRWILQMYEKELIEKCGEMSIVEKSLLPLGILTILRK KKVAWQMVL LY89DRAFT_726342 MPFSFNEAQTAILDRYWDQRRYLFKNGRLPKRTSMSVAVQCAEV GPFVSSQIIGRHFANRVAAEEGRPRAHRKTPRQFELLGNSFEDDPFPSTGELILLVHE SGLKPQQVKSWFDGQRQKASKKGELLTTRNRENDPDSNDSAKMWRAFKKDPGSYCKAL CRGEISPVTGKAQEVELDEEEGDEESEVDEEGEAKGGDDTNQQSEELMYGPEESREE LY89DRAFT_661654 MACELAGLPAPPRSAVQPLATLSVLPAQQTRRMAASANGLGSQN TKNRHHRVESTRDCVNEESENMDLDEPRRKRDKVGSQVIDLTLPEKPATLPCVSCGDD YDPTLLAHMACEHDYCQDCVQRVVVNALNDEACYPPRCCRQPFHIDAMRHFLTPEIIS GFHEKQIEFGTTNRIYCSNPTCSTFLYPANITRDRGDCPICLVATCTICKGASHSGDC PQDTGVQQVLALANGEGWRRCSKCKAVVELGHGCNHITCRCKAEWCYVCCAAWKTCPC PTWHEDRLLARAEHIVDQQPAAAPQPPQLQRPQQVAAARQYALEHHNCDHQAWSKVGG FANCDECGRDCNKYRFQCVGCRMDACYACRMYRL LY89DRAFT_768938 MGLDPYNLARFLAAQDAPTRAFGLGNYTQALAEIKAGNKVKDWI WYIYPQVLGLYASANSRTYGITNLEEAREYLRHPVLGRRLLKMMLALWESGSGRIVNI MGKTIDADKLHACITLFMRADPNMDIISVHWDDPEDAPNGWPLWQNTLDGFYLGRDPS DAAAGCAKTRSG LY89DRAFT_402950 MTMSLSLSKSSTDTHFYFVDMYTSETLKPTGTASTVDSSPSGYL SLIRSKPPFCVNVINSTCTSLYAASQQCWSEAFPPGPQMCLCNSLSLMNCTQICQSTP DDRVSYYDWAIELCKDYTRPLNSSINATFVSIWPEVQDRSYSILQDLYPFAWRLSPTD NKKLSQCPSKALNLASFAINNAIVGVGTWFLGRRKLIKRLASGLRWFTKAAYGVAGSK SWPAISIIVVAINVFANFINAVLAKRTAGFSSPDIGTLIRLWATRPRLAFIATAISPV QKEQSMYISAGVSTLLSEVMLQTIGGVVFIQVISFFTTRGYLQVKALQYVFGSWSAML VYSSALIWVISISFFYIYVVWKYLIGARVSTLVFLALWNFLKSYSEISWNTLKYTLEK IVDLIQRCFRAHSDLNTEEEGYSMDDLGEVQAQPYQTKGWVGWLEQMGLGGDVLKTVS QAYIVLLLPYISQWLFWIGFVELYRDRYCVPVLWQMTLVWSVFDLICILLSATH LY89DRAFT_402949 MPNSLLVLVLVLVQYFLVTWARWVPSTTTVTHGITTSPVRLGTF DEPEPRASATPWKRQVPISARQIASSSTPVASPSPTPTGIKLGQVVIASTRQSTLPSA ICAIGPWSNGSKSALEIWGCSSGYLIGSDTLTFNSRDECISSDGRYFFQPYGRAMLSS HKALLFLIILLHIILSPHRIQLPPYLSRIQPMSILVKPIATIKPLPPILRHVLLHSTM RHIQFGSKRSSARL LY89DRAFT_632472 MPHVTTTEDPQTIPSNVKLFVNDGPLLNPGRLRPSSTSLPIEEL RTRYTTDGYLFLKNLIPRPDVLKAREQYFTYLSPTGVLSPSTSPVEGIYDITKPISQY PGIGAGATGANGHPGEHAAEFVDLALKAHAEPWYTDFCQHPALLDFVKRFTGWEEDTV AFRRTLLRNNIPGTKAIGVHYDQIFLRYGEDTSVTAWVPMGDISLLGGGLIYLEDGVA LGKSFEEDFSMKAKASGLTDEEAKSAFNQNMMNTGLLDDGPARFGRTHDRRWLVSEYE AGDVVLHSPYTIHASTVNHDPDHVIRLATDLRFVDSSKPWDTRWSKLYTLNDGV LY89DRAFT_702807 MGPKKEVARDADGEEQYGSIYSVSGPVVVAENMIGVAMYELVRV GHDNLVGEVIRIEADRATIQVYEETAGVTVGDPVIRTGKPLSVELGPGLMETIYDGIQ RPLKDIADTSNSIYIPRGVAAPALSRTKDWDFKPTMKVGDHITGGDIWGTVYENSLVN DHKIMLPPRARGTITGIAGKGSYKVDEKLLEVEFDGKKTEYSMMHTWPVRVPRPTTEK LAADKPFIVGQRVLDALFPSVQGGTVCIPGAFGCGKTVISQSVSKFSNSDIIIYVGCG ERGNEMAEVLMDFPELSIEIDGRKEPIMKRTTLIANTSNMPVAAREASIYTGITVAEY FRDQGKDVAMMADSSSRWAEALREISGRLGEMPADQGFPAYLGAKLASFYERAGKVQA LGGPEREGSVSIVGAVSPPGGDFSDPVTSSTLGIVQVFWGLDKKLAQRKHFPSINTSL SYSKYTTVLDKYYEKDFPEFPRLRDRIKQLLSDSEELDQVVQLVGKSALSDPDKITLD VAALIKEDFLQQNGYSDYDQFCPIWKTEWMMKAMMGFHDEAQKSVAQGQSWSKVREAT SELQSQLRSMKFEVPSDGEDVIVKKYEDLIQAMNEKFASVTDE LY89DRAFT_403011 MRAEGDNSTSNGTSRPFSNGSGPSPLHKAAVSNSANGTRRSSIA MNGSSSTNGHSNSGIKPRPPYFGHDREEVTRILIQALTDLGYDNAASTLSQESGYNLE SPAVAKFRNAVLQGDWTQAENLLFGDSLEEGGVSIGGNGLVLQQGVDRNVVRFWLREQ KFLELLEQRDTGKALMVLRLELTPLYQDTNKLHFLSSLLMCQSTEDLKVKAEWDGAEG DSRHRLLSELSSCISPSVMLPEHRLAILMQQVKRHQISKCLYHNTASSPSLYQDHSCD RSNFPTHPILELDKHTGEVWHVKFSNDGTRLATCGKDGTCVIYDVGSWEVLQCLGLPE KGICSVAWSPDDSMIVTCQMDYYAILWDVHTGKPIVKLPRFEQPVGACVWAPDGRSFV TGVLDKERNLCQWNTRGELIFDWGKSHRIQDLAVSPNGRFLVAMTNEKMIYVYNFITR DLEYELDLDAQMCSVSISQNGRYLLVNKADGEACMLDLESRETIKNFRSDDPGGNYVI RASYGGANESFVIVGSESGNINIWHKESGQLVEKLQHGKSSCNAVSWSPTDPSMFASA GDDGKVRIWADMDPQKRHSNGSRNSNGR LY89DRAFT_403116 MAPKTITIIGSLNTDLVTLTPRVPSGGETLTATSFSTGPGGKGA NQAVACARLSRPNPRGTASPITQDVAVKMVGAVGADAFGTRLISGMKEDDIDTSGIRV VEGKSTGVAVILVEESSGENRILLSNGANHSLQPSDFLTPESLGTPLPDLIILQLEIP LDTVLQILETARKAGVDVLLNPAPAVKLPDHIYSAVTHLIVNESEAALLTSRSVSSVE ASDFPWDTVTSEFLQKGVKNVIVTLGSKGAFFASSGQEKGDFVPAAKVKKVVDTTAAG DTFVGAYAVNVVRGNVDLGSVVRLACKAAGRTVEKEGAQSAIPWADEVD LY89DRAFT_602681 MNMSSAAQGGISDPGLITLVNKLQDVFTTVGVQNPIDLPQIVVV GSQSSGKSSVLENIVGRDFLPRGTGIVTRRPLVLQLINRQPTAAKTNGVKAEEISAGA DKEANADEWGEFLHIPGQKFHDFNKIRDEIVKETEAKTGRNAGISPAPINLRIYSPNV LTLTLVDLPGLTKVPVGDQPRDIERQIKEMVLKQISKPNAIILAVTGANTDLANSDGL KLAREVDPEGQRTIGVLTKVDLMDDGTDVVDILAGRIIPLRLGYVPVVNRGQRDIDNK KAITAALENEKNFFENHKAYRNKSSYCGTPYLARKLNLILMMHIKQTLPDIKARISAS LQKYTQELQGLGDSMLGNSANIVLNIITEFSNEWRTVLEGNNTELSSVELSGGARISF VFHELYANGVKAVDPFDQVKDIDIRTILYNSSGSSPALFVGTTAFELIVKQQIKRLEE PSLKCVSLVYDELVRILTQLLGKQLFRRYPGLKEKFHQVVINFFKKVMDPTNKLVKDL VAMESCYINTGHPDFLNGHRAMAIVNERHNSTKPVQVDPKTGKPMPASSTPVRAASPT LDSMNDANGGFFGSFFASKNKKKMAAMEAPPPTLKASGTLSEREGIEVEIIKLLIQSY YNIVKRTMIDMVPKAIMLNLVQFTKDEMQRELLENMYKTDALDELLKESDYTIRRRKE CQQMVESLSRASEIVSQVQ LY89DRAFT_726349 MTGKAPLTGLRVLELAGLAPGPFAGLLLADNGASVLRIDRAIPN VTHTDTPSRPPPPTNDLLTRHKSSVAIDLKSPSGIEFIKALVSHADVILDPFRPGVLE KLGIGPDILLGLNPKIIVGRMTGFRRDGRYKDMAGHDINYIAVSGALSMLGRKGENPL PPANILGDFAGGGATLFQGILLALFAREKTGRGQIVEANMVDGSAYLATFPRLLLNTP MWNKPRGENVLDGGCPWYDTYETKDGKHMAVGALEPQFFAELLKGLGLSGKGIERTRD DRRTWPELKHTFTKLFKAKTRSEWEVIFDGTDACCTPVLDYAELRQKDNGREGDQRPA VTLRNTPSLAVSNSDLPRDPATGQGPGIKGAGYVATILVPGRGGEETLNHWLGWKRGK DFDIENGGLIKKSSSKL LY89DRAFT_602739 MRQQAKGYALQFTARVPSNWQWLELEAVFKGHDSYLEPSSSRFE ICPTTDVEFLKTSVKICESNHERCVAVAGSITPEKMLIIDLEDMCVKPAPGGCRYVAL SYVWGKITENWLALTRDNFVSMGRKNALIGASLPHTIKDAMQLCLDLGEQYLWIDSLC ILQDDPVSQKQQIDIMDLIYASATLTIVAAAGDHANSGLPGIRRWSRTAKRQTITIQD IEISNILPRMADTAEKSVWNTRGWTYQERMFSRRCIFLTDGQAFYACSEE LY89DRAFT_403153 MVRLLRVGFSLFQILSAISPSNALTIRAAAVLSQTNPGPPNWFF AGCYEEAKPGLALSNTLATTGGKGALTVIACTTACQESGYLLAGVEHGRTCYCGNTIL NGVTFAKTGLAGCDTHCSGNHSEFCGGVNSLDVYNYKNSVPLQPYVLAVGSSSSSNSK SSSTSRTSSSTTHSATTASSSILTKSSSTSKASTSSSTQKSSTPISSSQKSTSTQKLS SSQVSSTSQKSSSNQLSSSTQRSSSTQKTSSSQVSLSTQKPSSTQKTSSSQVSLSTQK SSTSQKSSSTQVSSTSQKSTSSQKSSGSQVSSSSQKSSSTQKSSLTSSQSPTSSQKSS SSSGKLSSSTSTSKLSSTAPRTSSSKQTTSSTPGTTSNKQTSSTISGTTSSKQSSSSI SPYLNVSSSASSIKSSLQSSLTSTPSSSSSLKTSSSVYTSISSSIMLVPTPSSTLQVT SSSRISSSSSQWSSSPQLSSMLVPSSSSGISSASSQFSGFSQLSSTVLPSSTSQFTSS SQISSSSVVPSSSLESSNYSQLSSTSSTSQFASSSDISSSTLVSSFSTRSSSSSQISS MSSTLQFASSSQSSSSTLVSSSSWQFSSSSQLSSSSSSFSASSSVQEISSTLGSTSLS QTLSSSLFSSSAVPSYSLEATILSSSLTASPAVGSSFTLVTLLSSITTSSTANSSPTL SPNTLIQGYSFLGCYTEATGVRALSPGAFFNYTGMTLELCASDCAGYTYFGAEYGGEC YCGDVINLGSVVAPLTDCNMICPGNPYEYCGAGSRLDLYKVGPSTQQSTSASGAMPSS VSGAVPSSVASSTQAPLPIATGLYQGCYLDGYNGRILQHQQPDNLELTQESCIATCTA LGYTLSGVEWSIQCYCDNFIYNGGSLAPNQADCNMPCGGDKAEMCGAGNRISLSSTDG PPKVYASPAAQKTDLPANWVYMGCLQDNVPSAEDPNEIVSTFPYMVWQNASNTPSACI EQCQIFGYNAAGLEYGSQCFCGDVENIAVASYPGVSTDPNAVQNYYRAAPPQIVPDSE CNSVCAGNASYLCGSGNLLSYYAWDGPEPLYNFGFPTGPAAGEYTFLIGGVVVPLMVS QVVTGKVTFTEKYGSGEPNGTGAYELDLTEIDDFAAAWRTMTGLQTDVFCSAGLTLPD KVGRQITIGGWAGQSNFGVRLYWPDGSAGVPGTNDWQEDPGVVSLQVPRWYASAMIMA NGSMLIVGGEIGSNAAEQPTLEILPATGVPDPTTVSGYSNTTVYLEFLDRTAPFNLYP FVCVVPSGIFIAYYNEARILDEVTFETIKTLPNMPGAVNDPTAGRNYQLEGTMALLPQ YAPYTDNLEVLICGGSTSDGGFALDNCITTAPEDPEPVWVIERMPSRRVMPSIAGLPD GTYLILNGGQHGVAGFGLGGDPNFNAVLYDPSQPHNSRMSIMGNTTVARLYHSEATLL VDGRVLVSGSDPSGDFIQPAGSWPEEYRVEVFSPPYLLSGLPRPTFEISSTDWDYGES VSFTLTSGSTADIKVSMLGSVVSTHGNSMGQRTIFPAVTCGGNTCILTAPPNAHVAPP GWFMLFVLDGPTPSVGQFIRIGKDPANMGNWPSDNSAFDVPGI LY89DRAFT_403152 MICPDERRTALVMITGLKSFSSEHPFATPCQGVEYRYTLSAKDS IKTVNIIRLVSLDSDSIEMNLLGETSIQVPGSGSVFHEDKFIFCLKDSESLLRGSLWP LNSVPRGASVCCSMSSLPDGVSSFSCWYQTSIVCFLIVEKKWGIFSFFGNDADSGSVA SIKLCSVYHFRQFLLFASFDFLYPSRCLTLTQVIGFPA LY89DRAFT_692304 MPGNIDDAAAGAKLDPSLALAPDFKHEGPAANHEKELPLVVEGM PTESRLQYQNDESDEEIQGEYPTEEELHTLRRVADHIPWKVYTLAFVELCERFSYYGT TVVFTNFIQQPLPLGSKTGAGFSGQSGALNMGQQASTGLTTFNQFWVYLMPLFGAYVA DTYLGRYKTICYALAIAIVGHIVLVMSAIPPVIVHPHNSLSAFIIGLIIMGVGTGSFK SNISPLIAEQLHLTKMVVRELPSGERVIFDPAVTQSRVYHYFYLFINIGALVGQIGMV YAEKYVGFWLAYLLPTTLLCLCPAVVWYGSKIYIRTPPAGSVLGKSCALFLLANKGQW SINPVRTFKNLNDGMFWERVKPSNIEPSRRPSWMTFDDQWVDEVGRGFAACSVFCWMP LYWLTYNQLNNNLTSQAAVMDLHGLPNDVMSNLDPFALIILIPICDLFIYPLLRKYKI NFTPIKKIAAGFFTGSLAMVCAAVIQAYIYKQSVCGNYASLALPVSLGGAADGSIPCP TVGINVWAQTPAYVLVCVSPSYIRFTVLTF LY89DRAFT_702815 MPPSMNSPSAPSLVPAGDNGTLTSSTNESRHATQKRNRAQLSCT NCRHAKLKCDRKEPCSQCVKKGRESQCIFPRPAPRRKPAVSMQNRLKHLESLVKGAMS GQSPMESRSHGDESSPSINFQMDEQSRNASTTGFGAPEASSGKVLVDENETTYVGATH WAAILEDIEEVKSYFYEEVDDDNHEEDGMWPTAALSFDIETPATKFDLLAALPPRLAV DQLVSRYFNSNSPTLHIFHSPTFQKEYQHFWSNQNDTPIAWLALLYSILVIATLVALG AGEESPDNRGSPSEMIRSYRQCSIQALVLSHYTKPGPYTLETFMIYMEGEFLLNKVDQ VQCYLLVGNAVRLGLRMGLHRDSSKIGGHITPFQGEMRRRMWHHMKQIDLLASFHIGL PSTMQSIDSDTLYPGNFRDDDIAENMTELPGPRPDSEHTPISYMVTKSRICDISCKIA TIANLLTLPPYSEVMKLDSELMDAHARVPHFFQLPSSGIPITDKPERIVRRISIELLF QKSRCMLHRKYLIKEKKDSRFAYSKDAGLDSSLQLLRIQALAHEVCLPGGLLARDRWF QSALSMHDFLLAAMIVYLSVIRNIGTDRDPTKKNGITQEQQNTIAALEESYRIWTQST NPTADGKRAAAVLKIMLKKVNLAILRNSSDPSTIAEDTMDRCESYTSNLLSSNLLTNL SLNGDSSISGSSSALPPSDILPIAAASDTSPSSGRELPLDIDLSFLPMESWGEAAAVP SDFSWVCFPSPPGCHC LY89DRAFT_712463 MATYKPYSRINAFFPPAPTYTDSNLPSLQGKVHIVTGAASGVGY EVAKFIYLAGGTVYVAARSTARCEGAIEKIKAETASEKGKGKLDSLVVDLSDLRTIKG GVESFLKKEQRLDVLIHNAGIMSPPAGSKDKLGHDLEFGTNVLGPYLMTLLLEPILIK TATLPDTPKLSVRLVWVVSLVQGWAPVEAMRYEKDGTPVVLKGTMENYMQSKVGDVWL ATDVANRLGKHGVMSVSLHPGVVRTELQRHWPLPVRMLIGAIMKPCVYAAYSELYAAF SSDVKPEQNGGHLMAWGRKADMPPYISAGFKSKSEGGTGGVQKFFEYCNREIKDYL LY89DRAFT_543983 KPKPVTWMSLPHKPQLFILAICRLSEPLSNTCLLPYLYYLIRSL QPTDDTSPASISRQAGLLVSLFALSQFATSVPWASFANHFGRKPTIIIGIVLSIISNV GFGFSTTIPAVMFWRILAGLGNGNIGVMRTMTAEIVKEKKYQSRAFLLLPLIFNAGVV IGLFLGGCLAQPIINIPWLFGPEGAWNFAKDPEGVAWMREYPFALPTLFNAGVLMCSL FFAVFGLKETMPGKTDHKDWGLGIGDAGIRLFKRLFMKEKSSGYAVLNTDERNDSEIG LLETEPNTPKTPLPQISQPSTPRPRLSIFSKEIYTREVLYTFISFALLPLHNAAFMQI FPVFLSTPPSSTPSYSAIKFNGGLGLASPTIGIFLSAFGIFGILIQLLIYPSLQAWLG TLRSYRVALAIFPIAYIVAPYICLIPSSNFPAQAAAIACILFLQVTARTFGIPSTVIL LTNSAPSPLALGAVHGVGNMLSSLARAVGPALGGVIFGWGVEDGAVGLVWWGYLTVIS LVGLGWSWMLREGERPVEK LY89DRAFT_602710 MAAFIPTKATARLATLSLFCGLGSFLWGYNIGILATIFVNPGFI KALHSVKPASKWASQKGLITAIYYLGTWIGYVFLSGPLADRVGRRYSAFTGAVVTCVG AAVMTGAHGKDAYAMMIIGRIISGLGNALISTAVPLYQSEIAPAKKRGGLVVMNHIGM VTGLAAAFWAGYAYSHWTSKLGNYEGWRESVALQFIPALIFIVGLPFLPETPRWLLEK GHTDAAYKSLTYLRAAENNPAAIEEEFTEIKDSIETNKLVKEVAWYELFTDPFLFGRL WKGALLQFMAQMCGATAIKYYLPTVFIALGLSKDLSLMASGIESTLKIGLTIMEMFII DKIGRRNSLLIGAVVMAVAMVVNGALPLAYPHNSNHASDYACIVFIFFFSFGYSVGFG PNAWVYGTEIFPTQIRAKGISICASAGAIGSIVVGQFFPVAINNIGSKTYFIFFAINV ACVVILATLYPETKGKTLEEMDTIFGKSNLEDHETHDHEHEHVADEEQVVHQGKL LY89DRAFT_602677 NPPLGRLPPGPAADHAWHRFEEIRTVAVSKQDIINLGKDPLTAA RFPDPHWHFGEDAYMAQLDVVHQIHCLNQLRKRAFGGYHRDGGEEEKEVEEWVWVHLQ HCVSILLENLMCGASTDMITLNWIEEAEYPFPDFGVNKQCRDFEAVMEWQDARAVDTD TLLNMSKPTEGIEVIKGERGWWELVGHSDSVSTGDMHSHGI LY89DRAFT_692307 MIDLFKEQGEALLPSSELYEGSHRPKTKRSLCTPKLLTLWTIIN LVSSLALISTFALQQPPTINSLLKKTSYYCTYIISTLKS LY89DRAFT_403808 MHVSKIFAILAFTVALGSSNPLPVREKRGLVMRQGSGYKPITYL EEDVGEVKKREAPDYIPITYLEDDVEGVERN LY89DRAFT_661694 MAQLNPNSQITCDVLVLGGGNAGFCAAISAAQSGARNVLLIDKC PKDWAGGNSYFTAGAFRTVHHGISDVLPLVNNVSSSLSDKIDLSPYTNQDFLGDLSRI TTGRYDKELGKVLVEESNETVKWLSGNGVRFQLSFNRQAYEIGGRWKFWGGMCLKTEE GGKGLIEDHQRAAKRAGVKVLYSTAAKQIFSDPKTGAFKSLLAEDKDGKTLLINAKAV VLAAGGFESNPRMRSQYLGPGWDLAYVRGTPYNTGECLEMAIRDISAKQAGQWSGCHS VAWDANAPANTGDREISNEFTKSGYPLGITLNTQGERFFDEGSDIRNYTYAKFGRAIL AQPQGLAFQIWDSHGIAWLREEEYRPEIVERVNASSIEELAQILGKSYGLASPDNFVR TVKEYNEAVYAHRQENANRSLTWDPAVKDGLSTQSSKAQLKVPKSNWALPLEKGPFMA VRVGCGVTFTFGGLAVDPQTAGILSSSGKKVPGVFCAGEMVGGLFYENYPGGSGLTSG AVFGRKAGREAARVATGGVDEAVRSRL LY89DRAFT_602819 MKAIGVKGGGGNADALFIDDNVPDPVVRPNDVLVQIKAFGLNRM DIMQREAKYPYPLLPESGTIMGVEFSGVVEAKGNACNLDFKPGDRVFGLAYGGAYAQK ISVSEKILMHMPHSLSFEIAAGIPETYFTAIQAIHLVGDLQPGQSVLIHAGASGVGQA AIQVARQGGASKVFSTAGSDEKCSLCKSLGADIAINYRSQDFAEVIAEETGGKGVDLI IDLVGRDYWHRNTASAAMDSKIVLVAAMSGSLIEDFNLRALLNKRIWVLATTLRTRNA DYQGKLRDKFVELAMDHLASGKMKITVDKIYPWTEIAEAHRRMEANINAGKIICLID LY89DRAFT_692308 MSSSVPSSTITENETTIRLQPGGKTTKKADTFFEDVHFLGDKDG KVKIRSPPQFDDPLAARKYQMQHLAVAFRVFAKQGFDEGVAGHISLRDPLNPEHFWIN PLSTHFSQVKVSDLVLVNEHGEVQPGGAQSPINGPAFAIHSEIHKARPDLNAACHAHS VYGKAFSCFGRVIEPLYQDALRFYNDLSVYNAYGGTVVSTEEGARIAKALGPKNRSII LRNHGIITCGATVDEAAFLFIALDRCCHSQMMANAAAGPGWEKIYIEKEQAEMTHKKS GNPSKMWLAFQPYYDQIIAEDPRVLE LY89DRAFT_403835 MPTSSQRSDVNSIESQCRACRFCRARKIKCDKKKPACTSCATHK RHCVYTFEKPKPRPSSAIISAIQSEKRSLERVLVRLKNASPEEAAIILNDVTVVDGSI KHRTTETTVSNDLDGYDWASGDRIQQSPPEEPRNPPPAGNTVTDANYADEADTHDSDG EFDASKYLSVDEQGQVGVFGLTSTLHNPAAPAVSKVAPSHDIRNQLVANAALERQKEF SIRLLPDIDGVPIGVAMHLLDLHWNRQHHTFLLTYRPALMRDIVHGGQYCSKFLLNAI FACASKYSDRIELRDDPSNPLTAGGRFFRRCEELLLQEPPWSRPSIPTVVGFLLLGST FISRGEISKGWSYTGFAMRMVFDLGLHLDCRKPGSSAEDAEIRKRVYWGAFICDKLQS LYLGRPFSMQLRDCHVSTELMDTMEELDLWVPYVDPEYPDPAQTFYTPTPVHSVSTFQ QLCELSKLMARVISRFYSAGATPHKAQIALKGLDECLTKWHEELPDSVSFQPWSEDPN LSRKLVSPNVMNLHNTYHSLVILLHRPFISEGNLRSGSIAAASWKKCTVAAKNITSIV GAYRSAYTLRGAPYLTSYAAYVACTIHVRNAALEVNQRDESLKLLLASLKPLDELSLP NPGVTRPASIIRHLMHSNGIAEPPVTSPRTNAGYSYDVNATPNSIELSSLFDTFAQDI NSGGSMPNADPRFIDNTLNDSLFGFMDIPYMPDQLGWG LY89DRAFT_632519 MAAQGETYRLAFPPNQKPYISTGLPFHKSLVHHVTNTFHASKVY VIVSASISKTSNFSRLQSELGNKIVGVRYGIKQHVPWTDVLEVVNDINQKEADLIVTL GAGSLTDGAKVIAFALANQAFTLENLAKIAADAKPIDLQPCSIPIINIPTSLSGGEYT ASGGATDMRTHRKHSFAHPSIGTNLVILDPTLSISTPERVWISSGMRAVDHCVEGLCS NSPKVTDSTDATYTKGLRLLVPSLLRTKKDKENQEARLDEMLGVIESMKGSKAGVPMG GSHAIGHQLGPLRVGHGETSCVMLPHVLRWNHLNGDETVKSLQRKVCGVFWGQEDIER MLKSRGLEPGTVDASDIVGALVSELGMPRTLKEVNVSEDRLDALAANTMKDRWSPTNP VPLKDKSMVLEILRMALGT LY89DRAFT_692312 MATIGIVSIGEMGLGIALLLKNYGYQVVTNASERSQSTRDRAQS VGVELLPDYVELVQRSDYILSIVPPRDAIKTAEFISTAASKSSRSEPVYYLDLNAVSP RTARHVATLFSSNSNIKFLDGGIIGGVPHRKASGKWHCPSLVISGPTRIPSEALNQHL RIHHLNDSIGAATGLKICFGMNTKGFTALAIQSFTTAHKLGVLPEMREYLKRDFPETL KAAEEGLTTMPPKAYRWVHEMLEMADTAAEDGGFEKNLFEGVSEVYRAVAEDSDLGLE KPGARVRGKTVEDVVTLLSEGMTAKKLKQE LY89DRAFT_632525 MSSHPLVPEGAWETHIHAFDPEKFPYATPRSYTPKPAAIQDYPS NLTGCKNIVIVHASIQGTSPAPLVDTLSKQKSMPGVTLRGLATIDVNNITDAELDELH KAGVRGARLHEMAWGHGHQSGGDVIGNKVKALATKLARLEWAIGIFCDIRAWASMAHM IRTELDPRIKLVADHMGGTFPGEEKLPEFQSFLELIKEKRVYVKMSGFERLYHGHAGG IESVAPIAKAIIEAGPDRIIFGTDWPHTQLGVSRKGKTEAQRLNDIEGFREVDVKGHI EALRKWIPDEKTWLDLFVNTPQKLFQ LY89DRAFT_769010 MGLLRDLRNGIAHFAGSVRMSPAQATTWLSCWSAWTLGSFQFYL LPFTLASLAKYLDVEQTKISEANTTTMLSRLLGAIIFGIASDQYGRKIPLLIDLVLVG VLTLCSGFIHTYGQLVGVRLLFGIAYGVIYGLITAAALEAVPKRARGIVSGLTQQGFA AGYMLASGFHLAMADYEWRALFWLGAGLSVPVFLLRLFLPTISVAATDEDDGVAAGDV DARAVVGGNLSFIKKLKYVLRHHFLAVVYMALLSACFATMGHGSFDLYPTFLTVQRKL TVREETWVTILLQSGGISGALVGGYLGNRYSLKWVPFCFALFAVPFLPLYALPSKWNL LGLGAFFMEFGYGGAIGNLGNIFQQICPHPGMRAAFGGVSYNIGNAISSIAPTIETSL GERFPTAQGTPDYAKTQLILVGIIVSWTVATLFGFDATA LY89DRAFT_790342 MLLKIMYSRDTSLIILGVFFSIVALVHGGKMQLMNTPLLATFYP EESYAGFPFKIHAFYDSCYNFHGLVESVTLGKVTEYCDVYAVMDCGENEEKTSYRSIT EPTPRMMDKRDGLAVPGLGAIKSMRCYLDEAMVKEQKEDLK LY89DRAFT_702826 MALNNLSVAGKLVQLQDGIKYAYVHVAAKESKPTFLLLHGFPSS SYDWRFQVRTLTSLGYGVVVPDLLGYGDTDKPTEPEAYGLKKMATDFVEILNKESIGT VIGVGHDWGVGLLSRLLNYFPDKFSAVVFVSVPYMEPSDAMNAMTEQLFGYPTFGYWE FFNDDDAAEICDHHNESFTSLVYPHDPELWKTHLGPRGAAKAWITANTITALPQWLSE SEVTTHNQILAKGGYVGPLNWYKATIRGLHAGEDALIPEDNKFVNVPALVILSDNDYV TRAEVAMQLSPARLKNYTIKKLEGCGHWIQLEKRDEFSQMLVDFAEGQAN LY89DRAFT_632534 MDEVVSNSAPTLRVPAKQIIAVEHPMIVQNLDNGIKTFGRNHPF ERIVDSRDAQDIIPLYLRHDDPMCTPILSQNSPTNNVLLKITVPKRIGRKRKRGSQDP HHDGWIPLEDDVPTSATSEIVHSYSGKDKPASLLRKLQDTQGKYTVEVAGEVTQSHRY RGLSDFHHSTSQTEFMPKFRNIAFAENVDTLREFKFNPSRGVKENEEFFPPPILSSHQ IPFNWGFHQNSNISAQVDQATGQVRLVNKQGGRKVQLQYLSSDATSIPMHAHAPPPDE PELLKLIGELRQAIDERPLWTRRALVNRVGTSKELWTFRDALQYIGYQFRGGPFRDAL IKFGVDPRTDPKYRQYQSFFFKLFEQEEKIEGMQWKDVRTSYTVNKKGATKEYRESHI FDGKTVILDGKIWQVCDVTDPVLARLMRDAPYREKCETSSDGWFYEGTVAKIRAIMKT KLMAVCCKKELIDEEFDDALRVPDILPGKKSKSILVPLPKVGLTEEELAEMRKQGSTK AVQTSGVHKKAVRGKARIARIRKRVTKAPGNDIIHGNIQIASQPERAILPKPSTAPVG PSGPVTQPAIEPAAWNIPAPVIDPNLENLPASDRPLASIEGEAVGGQSRMPAAGTLTV HEEEEGPNGSDNVETDIEQDEIPDGVESEIDSDETDEVDYTALYYNQGTSGQ LY89DRAFT_403929 MKGFRQRVHDQLSRAKDPNKSSKSKNKGDSKDGTSSPSQANSAR EATQSPITTPSSSTTTLNDPRNKPLPPNDGGASNPSNSSPALQPQSGSISSLNPGHGA GQDRFNGISGGPPVGGPGTPNRHGQLPPSVIISPSAPHIPPPGAAETMPHDLAPPKAG QKSLIYDRLQTTPKDVPEGIRTPKRQHSSRFDISPQRELEKLPGFHEVPPNRRQDLFM QKIDQCNVIFDFNDASGDMKSKEIKRLALHELLDYVANNRQVITEAMYPRVVDMFSKN LFRPIPPPMNPQGEAFDPEEDEPVLEVAWPHIQVVYEFFLRFIESQDFNTNIAKAYID HSFVLQLLELFDSEDPRERDFLKTTLHRIYGKFLNLRSYIRRSINNVFFQFVYETERF NGIAELLEILGSIINGFALPLKEEHKLFLTRSLLPLHKVKSLSMYHPQLAYCIVQFLE KDAALTEEVVLGLLRYWPKTNSTKEVMFLNEVEDIFEVMDPAEFAKVQEPLFHQLAKS VASPHFQVAERALYFWNNEYFCNLVSDNVEIILPIMFAPLYENSKGHWNRTIHGMVYN AMKLFMEINPQLFDDCSHDYTEHQNNAEARETARANKWKALEEQAKRGSANGSVRPSA TSISSSRSKKVDELDPITEDNQKRLDSLKLQDGDRRDRRPAHDRQNSVGSSRSQR LY89DRAFT_602843 MMSSTNEEDPFLQVQADVLSALSHTRPLFTSYLRIRSLSTHPHS PELLSARHELETSLSSLAEDLSDLIESVQAVQSDPYKFGLEIEEVARRTRLVDEVGGE VEDMREELEKSIISTAQNGNGKGIEGEGRGDDRDYNAEFEHQQQLTMMADQDRDLDGV FRTVGNLRQQADDMGRELEEQAEMLETTDNLADRVGGRLQTGMKRMGEVIKKNEDGLS SCCIGVLIFVLILLLVLVLVL LY89DRAFT_403920 MRRSPVCLRSWASFLLFSSPLRKCPTTFSHIINIVLPLWHIAHT ALQYFCNAFRCSERYHGWRMEDGGCKPL LY89DRAFT_678561 MRQKMAINQLLETAFPGANAENGSSNPVGISPTTLLETFVPGYG PIHKFLLFTFGFDVTVLVTFGVVCWLSARIFRSAWGVLYALVSSNYMAEITVSSTDEI HGHLIAFLAHQYKINSSRRLMAETPSKSAWELDSEDTETPETTVDAEGNIKWLNFSNQ EAKSQPRFTPAIGSHNFWHKGTYFQLRRKEVAMFDELGGGAAAFKDKELLTLSCFGRS TEPIKKLIQHAKAHYHLGHNAKTVIKRPAPKDMRRFGGRGSWVKIAERPCRPMKTVVL DEERKLDVLSDINEYLNPATARWYANRGIPYRRGYLFYGPPGTGKTSLTFALAGVFGL DIHVVSLLEPTLTEEELGMLFTNLPARCIVLLEDIDTAGLIREPSDSDEKSGQPNEGE QGSTDFNVANLTKALKKANQLSEEEKKKGISLSGLLNIIDGVASHEGRVLVMTTNHPE KLDDALIRPGRVDHQVAFSNATQTQIRELFERMYSNDLPRTTKLITSSGSKEKLVDEK KVQANGAAIVNGTGHTLTPPATPVTATSDANGEAKSTVTFNHYAKIVEKAPSGTQDIS ESELHRIAQLFADQIPSDMFSPAEIQGFLLKRKKDPRRALEEVSVWVEGMVEVKRKGG KLVNVQ LY89DRAFT_692319 MQPRNRHSHQQYNTYKYLLSPPPLPSSCSLSFLSSFTLPDLITL TKQREKTKTMASQDEILLAAILKQVTVTKINYEQLAKDIGAVSAGAASKRWRRYQGRL KGAVGS LY89DRAFT_712477 MAEVQPRKQSFTTRLGLALRPKKSTNRLRKQSTPEVKIKEVPPL PPTPPIGKRLQYGAVYRPSQDNTDEQTGERRDDTDLLHGLAHHESHDSLDSIVQLKRA DLEAWRPPGEPLIASLPPALWELIASFLPPSDIASLAFSSKTLVVRLSLSPWLALDKP ENLSERNTFLQRMDNHLPAHLLCFDCGTYHRRIQLGEEKLKPTLVFNPLYNCPSIGKP GFVPPRLRLTPGNTLPYTFVQLVFRAIKYSPNHGIALSSLARRWKDPTSEWTHQSRYY MYKGHLLMRVVSQSFAAPKLPPSGQRHLLYSREDYTPYFSCCAHWRDGELMNICKCAL GHIPEQKQSIATQLKQGPQIQMALRHVNPIVTLCSTCRPMRRCPECPTEYLVELKLAE DKLDPVVKFKQVIAVTRWSDLGNGSSPYTPEWTSINGDGEYHSFNMMGKRAISGIFEA QSGVTMPGQRMLSLNPKNVKLGEDGHGWY LY89DRAFT_661730 MGKSKAKRKSQIPAIEGPSKKLKTSTIITPPPELDAAEPKTIQS IGLATDDLEIAIDTLNTLAENPAVIKSKACKDLRTAVYEFRQACTTGFNASIDSNLTS RISGALADGGYMEARILLAEMRIRGQKPKLGALCRWVRDLDVVSGLAEQIAGETRSKR EEDLLVVLDAILRLTGPTDYAMGGSSHNGPIVPRPVWNSRDGSERRKVYDSVQDGTIL SCVSKDIKSQFRTIETTPGLLRKPANHHPAILWASRDDAIQLSPNRTEATLHKHEIVP NLRLLKDVLTPSECEQIIAAAETIGFTPDAPIRAEGEEISILAHNFYWIVDTAFCTKL WERVAALVPKDVGGKKVRGLNRRFRVYRYVPGAEYRAHIDGAWPPSGIDPINDTYIYD SSLPDAKQSSLFTFLIYLNDEFEAGETTFFLPSAREGSMNAYSVKPIQGSVAMFPHGE TEGSLLHEGTGVRQGNKPSAKYVIRTDVLYDVDT LY89DRAFT_692321 MATQYPEARVLIIMTGGTICMRHSPAGLVPARGFLKEGMAPRPS FNDGSNPDPLPVMTSDNTQEYLPSLRTPPSTYSRHVRYTLHEFPILLDSSSISSAGWT QIALTIKRNYSLFDGFVVLHGTDSLAYSSSALSFMLSHLGKPVILTGSQASIFALQSD ATDNLLGSLIIAGTFMIPEVCLFFHHRLYRGNRCTKVSATSFDAFASPNCEPLAKSSA LGAEVNWTLIRRPRTIARFDVQTNLDTAHVACLRIFPGIKPEMLDSVLHVPNLRGLIL ETFGAGNAPTGEDGSMTRVIKSAVSRGIVIVNVSQCQSGFVSPLYAPGAALGQAGVVF GHDLTTEAALTKLSYLLALPGLSYEDITTQMQLSIRGEMTEESFTSFSHPGSDVPVIA NHQTAFTALGHAITKGDVETVVSLLDADSHGLLNAKDYAENTALHLAAVGPDSKVLRE LLKRGASVHVRNLAGNTPLYLAVKVGNTEIVRLLEESGALLHVEELERVRRLGSVDGH ISTDIEGNGGAELSPTDTRSSWLGSRNGDGRAGDHANGLQNGHLSDTANGNGHGHVVT PPNGTVGSHVDGSSDI LY89DRAFT_404377 MPRLSGEEGAFYGRDGDGDGEKSVRLNVWSKTGPGSTAWRGRHS DMQMNDMRRIADAEGKCWTRFGRFERRVTVHDMMLIRLSLTRLEWFLARSRPSEDNDC TVTRHYITVHSPVQHSRVLFLSDQSSGWKRPESGLNRRSITQQTSRIPEVKEQQTSRC KTVAKSKREPRFSLVRGFLSFFLG LY89DRAFT_602809 MAAALEETPVSYEDLADIEREFDDVETEIIRQQVQLTTPLYERR SKTVSQIPNFWPLVLEQAPSDIDQYIQPSDSALLLSSLTSLSVSHFEIQTEKPDKCIS RDPRSVSIRFEFAENEYFENKVLEKKFWYRRSKDGWSGLVSEPVPIKWKKDRDLTGGL LDMVVKAWEVARSSPPALTKTNKGELTPEQKALKKKIENTGMGGLSFFAWFGFIGRHV SAEESAQATALEREKRSKRGRSHTSDSSEEEEDDEEDDDLGMSLEIFPDGDDLAVAFT DDLWPGAIKYFTQAQEQDALSDADFESDDDDDDDEADEPAFNSGAETEEENGGRPTKK RRSE LY89DRAFT_661740 MPTPRKTIAVVNSSGRQAASFIRVASAVGYHVRAQLRNLDGIVA NEISSLPNVTVIVGDLYTKPTSTSSASPALAPSDTGVNHDLIRQIYHGAQLAFINTTF WGDEIAIGKALANAAVIAGIEHYIYSSMPNHHLQNESWPSLPLWSCKETIASYIRSLP SLLPKTTFLYTGIYNNNFTSLPYPLFCMELQQDGSFTWTAPFHPDVPLPWLDAEHDVG PAVLQLIKDGTSRWGNGERIALAYEMLSPRQACRAFSRGVGRPVRYKLQSKIDVKVKI PNGYREQLLALEKMYALGREDPSKQPFYFGERKLEDSCPDEAMALWEGYRGLEEYARE VFPLEEAANGLTWMNEPDGETDGEDGVLEKGDLEEADDDDDDDDEDDGLVMGGGLNSG TGTGGENTPARREESWLA LY89DRAFT_678568 MAGMTPLKGLCLCLQHVSLTHGFPKRALCSNPRCPMLEYSRATA TATPHFIPGRHITLSSNCWVQDGSTVCTCTCTCGPLSRCHLEDSVGVDELVGPPRAFS SYFSLNGSNGVWGRPPSAHAKDRYYSHATLG LY89DRAFT_404441 MATLPRDVLHFPAESRSFLEPHLPPKDRGNPSPFPFTTVTFATS LDSQLALSPGAPTALSGPQSKAMTHYLRSRHDAILIGVGTAVADNPSLNCRIEGVGGY GGEGLDGQPRPIIVDPMARWHFTENNKIFQLCREGKGRAPYIITGVTNPPVNKEAILN AAGGKFITVNVNTTDTGSHRLDWKNVLEALGKEGLKSVMIEGGGAVINSLLVPEYFSV ISSVIVTIAPTWLGEGGVVVSPPRRHDDQGRAIPAARLENARWYPFGEDVVLCGLFRS LY89DRAFT_790355 MSQPQLRYSHIWIDIEDLILVIESRSRLTTLRESKPLVEGFPGT FSTPDFITGSLCSRALVMSFGFGVGDFLAVGRLVLDLYNACKDAPGEFREICHELSSI HTVLSGLATQAQDPTSLLVKQGKERIPEWTKIQENLEFTLGELQDLVKRYYKMGRNAW LRIQFVSENLAQLTGRLTFHLNVINAFVGSLSLSALGRMEPALGRIEVMLRESVREER RGDKEPTVLSAYEKNDEISWEKVERDLALEGVSKQEFEKNKDRIKELLNWVVENGADL AALEEVGVGDSVSQTGDDLKDDLAIERKPIAIEHKAPTAAELKIIETWTKAAIPRRGA RGVLTKEASKQARGIGRFFSSSKAGDRKYTYNARAIENYRAQRPNELSYTKDERLEVA AGSSEWWPARNAGAKKGVVSSDAFVLESEIIWEETMANSSLTRLWSKLDIELDDNFYP LFYYGKTVAKAFAREGYSAPPWCSNDLSFKKHEILELSTSDGLWVMGRNEQGEIGIVP SDHLGILWYKDGVSSFNLMSRGEVKSVSIEALQRNENGVFDDFLTKNAILRNWG LY89DRAFT_602830 MRVSLLPLLASATPIFAAAGDGDWATAYSKAKTALAKLSTANKV TMVTGMGWEKGPCVGNIAAIAAIGFPELCLQDGPLGVRYAQQVTVFPAGIMAGSTWDT SLMYARGYALGSESKALGVHVQLGPVGGPLGKIPEGGRNWEGFSNDPYLSGVGMANTI NGMQAAGVQACAKHYIGNEQELNRNTQSANFDDRSIHELYLWPFAEAVKANVSSVMCS YNKIHSTWSCESETMLDLLKEELDFQGYIVSDWGAQHTTAGSANAGMDMAMPGDNFGD NKFLWGSALTSAVSSNQVSASRLDDMVTRILASWYLVGQDSGYPTVKGWSSWNGGKGG PNVQGDHKTVARAIARDGIVLLKNEGGALPLNKPASLAVVGYDAVTNPQGANGCVDRK CDNGTLAMGWGSGTAEFPYLIAPLDAIKAQVTKDGTTLTTSTSDTPSAGAAAASSAAT AIVFLNSDSGEGYITVEGNAGDRNNLDPWHHGNDLVAAVAAVNKKTIVVVHSVGPLIL ESILASPNVVAVVWAGIPGQESGNGLVDILYGSTSPSGKLPYTIAKATADYGTAVSAS DDPYTEGLFIDYRHFDQKAIAPRYEFGFGLSYTTFSYSNLTISALSTAAGSTAMAPGG QVGLYDIVATVSVTITNNGTVAGAEVPQLYIGLPSSAPSTPAKQLRGFDKLSLAAGAS GTSTFKLRRKDLSYWDTTSKSWKVPTGAFSVMVGASSRDIRVTGTLQ LY89DRAFT_743627 MKNAELSQLATALAAEASKDKPDFKTIEPLLVNLNKHLTLRTYV NGYSITELDSKIWVAIRSSKLANSFVKKGTSANLSRWFAYLEQTHPEIQEEIKAKDEA ERARKANLSKAGASYNMALQDVEKGVVTRFPPEPSGYLHIGHLKAALLNDYFAHELYK GTLLLRFDDTNPSKEKQEYQDSIIEDLALVGIKPDKTSYTSDHLQVLYEYCIRMIKEG HAYADDTDQETMRDERMKGIASKNRNKSIEDNLAIFEEMKAGTEVGLKNAIRAKMSVD NPNKAMRDPVIYRCNVEVPHHRTGTAWKMYPTYDFACPIVDSMEGVTHALRTTEYTDR NPQYQWFLDTLKLRQVHMWDFARMNFIRTVLSKRKLTKLVDQGVVWGWDDPRMPTIRG VRRHGMTIGALRDFILKQGPSRNIVNMDWTTFWASNKREIDPIAPRHTAVDIKDAVRA VLTNGPEKAYVEEKPKHNKNPAVGMKKVAFSKHLILDQEDVKLFKQDEEITLMNWGNA IVRKINGSNPITDIELELHLEGDVKKTEKKVTWLSTEGQDLVKAEVWEFEHLFTKDKL EEDDNWEDFVNKDSASKTNQFCDSNVKDLRADDIIQLERKGYFRVDKAAKDGAGLVLF GIPTGKAK LY89DRAFT_602942 MSTARPCLAQLSRICLYSNRPGITSVPARSLSTTAAVAARGPNA PKILSKADKGLRKASTNPQKNKKKGEEVKKKKKARTAYKQYDQRELEQFTLLDAMRYI RAFEVGQKPTSVKYEMAVRFKSQKNGPVVRNRLRLPHPVKTDVRICVICPPDSKYAEQ AKSAGASLVGEEEIFEAVKDGKIEFDRCICQTDSLDKMNKAGLGRVLGPRGLMPSTKL GTVVKDPAAVLKDLVGGAEYRERMGVVRMAIGQLGFTPEEMQRNIKTFIDHIKKDLAH LSDRINKELSEVVLSSTNSPGFPLSGQFTKLDSSITSRDLSTS LY89DRAFT_743629 MPNGLIFDRYTLTGCFGGISNSMNKFYFGGGSGSISSDDEDNLP YPEALPRSDFLAPDFDAPNYLSTLSDRHQTLEDLRSDLRERSQALSKELLDLVNTNYE QFLSLGSDLKGGEEKVEDVRVGLLGFKRGVEDVRGKVKERKQEVEALLAEKKGVSKEI ALGRKLVELDARLEELEDRLMVASLGRTVNGNDEDVWSESEDDEDEDELSIDGANGTS TKKLQRLVIDYRHIEHLSASIGQHPFIVAQESRMQRVRNTILLDLREALKKASPTNDA GRTKLVEILSIYRNLGADGEAVKVLKDLRS LY89DRAFT_692329 MASPAVSTSSSAGSPAAIAPAPPTLAIKAATPSTSSAIPSGSGT STPGMITRKEWVIPPRPKPGRKPATDTPPTKRKAQNRAAQRAFRERRAARVGELEEQL EETKEETQKRENDLRAMISKLEADVERFSGEVNSWRLRCDTLDRIAEYERREKEAALA ELAYLRNGSRTTGTDAVPLPPRHLRQQEPKVPEPQQQFVPEPEPASLGCGGCTSSTNC ACVEQALAISQNGCGKCTPDTHCECLEETIKCTDLPTPLELKRSHSPSTEEYADKRLR SKDPSTPLEMDFTAQFSKPAINVPPEPVVIARPHGESCGFCEEGTYCMCAEAAAAPAA NNERDHENRLAPLLNAVTPPPSDSDVDGTAIKLPSLQPNPIHRPLTASAPASSCVNGP GTCQQCQADPKSGLFCRSLAALRASSTSGVPEGCCGGNAGGGGCCKSLPTAAPSEPPP SLSCADTYKTLATHKNFDQASDELSTWLGRLHATPPAHPGRAPMEVEAASVMGVLKLF DRRFGRG LY89DRAFT_743631 MLSIKATTVSSVLFGAAHASLYGESSQNHTCQLQPNYLSCSANA HPNVTDSCCTETFGGLVLSTQYWDTYTGLESSGQLLPRNTWTLHGLWPDFCNGSYTQY CDLNRQYDPFPSPNTTTGTSSGTPVPPYKGPSILTFLAPFGKLDLLAYMNKYWINQGG PNGDFWGHEFSKHGTCYSTFNLPCYGPMYQNHSDVIDFFETAVSYYSNLPTYGWLSAA GIRPSNTTRFSLSDMQAALTKGFGALPYIGCTGPRYNATAAGNGTLDNGYTIVDEMWY YYHAYGRVQRGQGLPVNASINGESVSSCAKTPGALLYPERTLGSEA LY89DRAFT_602844 MARIIISLLSAALFLFSVANAQFQFFEQMFNGQQQQQRQEPQNV PSDSNWYQSNYENAHCSNYLCPDTLACVHFPHHCPCPHPMYEEKFELGDGKMICVSKG GFKEGEAARKVELARKGLL LY89DRAFT_790362 MLLPRLQPPLRAALTSIARSAPSGSQTTCLNDAFAQLAIKSSRR MNGAGVTFVRHASHKAQGAVNKAKDGPGKRLGAKKSGEQYVIPGNIIFRQRGTHWFPG DNCAMGRDHTIYATESGYVKYYKDPEKNPKRQYIGVVFERDQVLPLPRNAMRRRKLNM VAQKREQPKVLVQTDLVMEGDASTGVVLKQKKNKERKGEEGRNLRLRPGYMYRESNWE IGRAAERAQVTVREFKPRDRWTAWRKATIRKAKNAEKRGLGRKIGSKK LY89DRAFT_692331 MTPPPRHEVPRSATQYTRLSSQHRDGSTTSSDIELTVRTGRHYY GDGSESSRNPRTRGAFRNIQNRPPIPQVFQSATSLPPTRPPLVPSIKVSSDQDTSGRK QTDGIQDGDISDAAARSSASGELEDGRDEQRRLLSSSEDIRCLGEQHLSIDDFQYNYL STAAAIARGYPPLNYKPFTIETWFLTVTTVVFLACIGGIVAIIIFARSKGGNAFHISK TRNHVAYRYVPPAIGTLTTIWWRMIITTLARMTPYISLAAEYEGHHHSPHRLQRILQN DYAHTIFEPIDLTSVAASGHWMLFGCLVIQFIIMIVIVPLKAVFIQIVSDDTGWTVIV VRPVGYTLIAIYATLILVTLAVMWTLYNQDTGLKWDPAAIADQLALVQGSNVLNIFQG LEFALSKECTRQLKKRSPWYGEIKLGYWEHKQTQEIWHGLACIPPEQGTEDRANAFHD ENQLRRIKQSNLQQYTIGNNDKVIPLDYNYQRVIDPERYRYFSAPFLLNDALLMTYAA VIITVLALIITGLIEKRIQHAFNSVFSTWVLDKTSQPIEIIDNWAEGFKYRFLPVFFT TLFSALWIRADVFYRWTEPFSRMDKGADAKSSILLDYTSYTPVFVTFKALMRCHWRVA MFSALALISTAPPIIATGVFISTPTDYGYSISIEPINFWACFSFLILYLICLPVVRPP PSYRLPRSVRTIADVLSYCYNSKLVDDLGTDGKPIFSPQEKDDERVHMKYRICLTKKM YHFGLYLGKDGKRHIGFDVGTRDEGDQPINVIKFNPGFGMRGLWRADWGFLRKPKVLN EEV LY89DRAFT_692332 MCNNAGIAFETKAPRPLGIWETPDEQFDATLRVNLRGVFLGCKY AGAQMIKQEPRASGGDRGWIINTASILGMVGWYGTSSYSSAKGGVVNLTRTAALDFAK YRIHCNTICPGYTHSAMIEGLDKDLFQKVSAMHPLKGFGTPEDIASVAVFLASDDASW VTGVSLAVDGGYTAQ LY89DRAFT_567175 MIGQKIYTIDASGHKRVLLEVEGQPNGMCFLPDGSLIYSSMFDA KLHRYHDGTSEQYADLSSFMTGYCGDMVIDAHGRIFIDDTGARVLHGENPCPGRLLVV DSDRSVSVAAEGLVFPNGVAINREGTSLFISETFAYCLDQFDIEKSGKLSNRRKIWDT HDYASVSGKESGRFCGVDGICMDDEDGMWLSMLGYERFIRKNAKGEITHQIEVNGHAT ACTLGGEDGTTLYLVTNWVPDGCDLFTAMVERQTKCTISEVDVS LY89DRAFT_404565 MPTCTNCQRREDLETPCTYTTQTSSSKSSEREYIHHLQERIRQL ESDRGEEKAALSSLARNHVEAPPPPPPSAEGLGGGATPGGPGSSSFHGQLGSVPSTLE YSFVLANLSSAEDQSQLPHDRDPEEQKVPSYSSPDQEEGGDTPVSAMGAASHISDRVT TAQELFYGNSSAVSFQHEVQETLRRPSGGEANLVRAQPSKVPQRKQPCHTLSSLLDQV SSSKLDALTLPPRPFADHLIDLYWNRVHCLYPFVHKPTFLKAYEQLWVRESAVENEND NSNPAVGLGGSNCGPSTFYCALNGIFALACQFSDLPSDERESLADTFFRRAKHFLHID ILDEGDLALVQTLLIMAQYLQSTHYPDRCWNIVGLACRVAQGIGLYLDDSTKNRATLE IEMRRRSWYGCVTLDTVVSMTLGRPPITSGQSDVPLPLAVNDDSLDSVSVATSLSNIQ PPLLVFFGETIKLYKILSEILTGVYKLWSRLGGRNQIGQHLRDNAFDTIIKLDYALSD FESNIPNELHWTRRNRTHGTSEMVDRQVNVLHARFIHLKLLLYRPIFNQLCLESKLEG LTRTSGECESHDKATSMLYSHFAPRCAAECVGAAGQLIDIIDRASQTSATGAWWYNVF YLFSSAMVLVLAELCPTILDPGKAISVRHSWDQCQRALRRMSLHNDAAKQCAKTLCSM RQQMCASSNTQKSAWDSAMNNPQKSDPHPGIIDTSGHIAEQTILYKTGDGQGSVDNEF LFTDMQVRDMLSQSTGLQPPWNLDDIGWGNMLTQNY LY89DRAFT_692336 MSEKVDVLICGSGSAGLCTATWLARYGVRCKIVDSRLGPLVSGQ ADGVQCRTVEIFESFGLEDRLLRDAYHVLEVCFWSANSGGEMVRTRRVTDTPEGLSHQ PHVILNQARVHGILINAMRDFNQQEVEYGYTVKSVQVDSAAATGPDAHCVMVVVSKGG KDERIDAKYVLGCDGAHSTVRRSLGVSMIGDSTDAVWGVMDVFPRTNFPDIRKKVVIQ TPKGSMLVIPREGGSMVRFYIELGRAVAPKEVKLDDLQATARHILAPFTLDIASTFWW SAYSIGQRLADQFSKHNRVFLTGDACHTHSPKAGQGMNVSLQDGYNIGWKLATILKGH ASTDLLYTYNLEREKVAATLIDFDRAFTKSFSTKTPDDEPFDEHFVRASMYTAGLTAT YDESPITSVSRSAPHLARNITVGMRFPSTLVTRRCDARVIQLVRAMPADSRWRIVLFA GNIQEVTQARKLQQLGESLFSRTGLLHTYTPVDADIDSFIEILVVLSGMRHEIDDVEI PLLFSPVTGKWGMCDVHKIFVDEINDLGHSPAYNFYGVDRNEGAAVIVRPDHCKSLSG ALLLSSFELLLLTGIDVSMVTARDDYDGLKGFFDGWATPQM LY89DRAFT_661776 MELPEKNIPTRALVVEKPGSPFILQDVTLDEVRDNEVLVEMKYT GLCHTDIVVQQGLMPVGDYPAILGHEGAGIVRRIGRSVKDKSLIVGDEVLLSFSSCGN CSYCAQSQNGSCPHITAINFTGTRLADGSNPARLHDGTAVRSKFFGQSSFSKLAVVSE TSILKCELSSEEFAIMAPMGCGYYTGAGTVMRVLRPKQETTFAILGMGAVGLSALMTA KAMGVQRVIAVDILDTKLDLAVSLGASDIINSGRITSISAAIKDLVSEGVNQILDTTG LGFLIEDGIRALGHGGTFALVGTPRPGQSIAIDPLDFFLSCKRIIGVIEAASNPVQVI PELVDLHRKGLFPIEKLSHLYSVNGLDQALVDLKAGTVVKPILSWESV LY89DRAFT_790368 MSNRVDVHHHFVPQFYRDAVVGAGGDPSGWEIPQWSKEGDEAFM KKNEITTTILSLTAPGACILKGSASAKLARDANEYAAKMRDENPARWGFLAALPSLLD KELALAELSHALDELKADGVTLFTRYGPDNHYLGHPDFKYIWEELSRRGTVVLVHPTH PVDTNLISKLLPQPVIDYPFETTRTAVDLIVSKTVRNYPNIKIILSHAGGTLPYLVGR PATVLPYLSKDHVTEDMFEDARNFYYDTAVAGSENVLTVLEKFAKPGHVLYGSDYPYA GPGIIGYHTDGLDKFAFKDGNMLKGINHEAAFELWPRLKQFQK LY89DRAFT_661782 MAARRSHTNTSVLIIGSGISGLCVAIDLIRRNKCDDFIIVEKGS QVGGTWNDNKYPGCCCDVWSHLYSYSFEPNPDWSREYSGQEEILCYLISVAQKWGLFQ KIRFSSNVSKSCWSDSEGIWKTEVQVTGDKDAEFGKEYTINSQYLVSAVGQLNMPSYP RLPGLHSFNGKVMHSARWDWSYPMEGKKIAVIGNGATAAQIIPEVAKVCDTLSVFQRT ANWVVPKFNTDISTVKRNAYRYLPGFRKFYRARLMDIRETIYQANVVENSEAKQELRQ TALAMLEAQIPDNAELRKSLIPNYPPGCKRVIMSDDFLSTLNHPHVTLETNPIKTISS SGIVVEGEHKYQVDVIILATGFRTLKFMYPIKIFGEGGRSLTDIWQNGTKAYMGMTVE SLPNFAMLYGPNTNLGHNSVILMIEAQSRYINAMISTVLGSVRGEKICITVKEQSLMG YNKEIQERLKRTTFANPKCHSWYKAADGTVTNNWCGTVVEYQERTSKIDWASYNVACT GGKIISKEEVQHIGRVVEETRYAQSALYATCGFFGLWLLGTCALRII LY89DRAFT_743642 MKTVLVVGKSSPVAFFVQGSELISNVPGANRGIGLQLIRTFKAR GWHTIGSVRPETLNASDPSISDLKETNSDIIQIDYKDEDTVKSAAADLTTKKLSLDVL VNCGGNSHLSSLPKRSISESNSGINVRPLEWHIHGQDDLMERFQVMVVGPFLVAKHFL PLITKDGTGKLIYITSKSGSIGAPDHDGEVIGYRMAKAAANQQVKTLALDFQRDNIPV TTLAFEPGFIKTRLTGWRGRVDIEESCTGMVNIIEKLVPEMSGSFLDWKGETIL LY89DRAFT_712495 MLEIETAALASNHLKHLGFDKVFDRLGGHGLVGVLKNGHGPTVM LRADMDALPVEELTKLPYASSKRMVDRYDRETPLMHACGHDVHVSCLMGAAELLHSAR STWSGTLICLFQPGEEDGAGARKMVEDGLFNKIPKPDVLLGQHVARPYSACDCFDVRL FGRGGHGSAPHRCIDPVLAACSTVVRLQGIVSREVDPAEYAVVTCVYLRTATAINIIP DVVDMKIDVRTYNSDVRKKVVQAVKRVIHGESDAFGLPKEPEIVQTDDIPPIINDSEV VKTLQGAFGSHFVGLVSEMVRDTGSDDFSIFAEAQSIPSAYWNIGGVDPAIWDDAERS GTIGDLPGNHSAYFAPVVEPTIRTGIDAMALAALAFLKIRT LY89DRAFT_692339 MTKEALGASSVYVYDWRYRKGGTTYDDRNLEEDIKNTRYVIYAP IGYVHSDYSYQGGLDRLFLHLTEPELESYKKQGARMRLINAWRPLRKVENAPLAMCDR RSVLPEDVIEVDKVLPNNLEVETCIYHRPYHKWYFMSEQTPDDVWLFVQWEECDVPCE TTSVPHTALNGPQVRLGDMPRESLEVRMIVIS LY89DRAFT_678586 MPSLLRSTFVVVVALFCVIWTSSFVRHLDSISPDQMWRTPPHQS LEPARLSRILSSSLGEGEDYIANVICVTELRPPSKQQPCNWFNEYISKPHSKKIQILE FKYQWAPSKLPVWPSLIQRSADLLDALRKDEGNLGTGNRPLVFIGHGLGGLVVKKVCT LRFHLVSHGGDSMSVADDLFFKATTTLFERYWDAGYKDLRKNLSGIVLFGTPHSTERH QERWSSLTFLLRSCFELSGQTLNQAELERATVVKLSEKFEEFVQNTPVIFVYETKTTK TTKSYFGSKKRLLVGKELSQTSVNQELLIESKNDHDSVCTLSPGSMVLDRFSSLIDDI MNMQNDRQSTSEEDSFIKPVSMSWPSSDLGDSASQADQERSISSENQIADGIATVALS GRISRPKLPCHILKTKPASIFVGRDEIIDILDKQLLPRTRTWKVRDCHAIYRQTPEVI RRNLFIPAESAVKIYDAFSEIAVELQLLSSEEAKDRLASKSAVLRWLSKPYKTAPETT EDDTESAGDFANWLMVFDNVESATILKEYTPLQGHGSVLYTSRDPFAKHYLSPNSGLD VSPLPDSATADLLQSLTYKSDSKQDYDEAVSWNRLDCLPIAILHAAGVIEKRDSTFEK VLMPKRLLRCGLGEFGTRGIRVAKVLALLDNDPINESLLRHGIGHQNMDVYPSVDDYD EVRPVLSQASLIRRNKQLKTLSIHHVVQDVARQVMGIEDLQDAFEVAVALVNAYWKEE RFWAFGHRLSDWQERGDWDESLSLAELVLATLEYRKIDMGEQYADILMSLSSNYHCLG DAAQGLKYARAHFKQRIHVEDSKPLAERDDAFRAMAYTELALGWLLNNNYNEAKAFAI KGRQMLEGTAEFIEDLYWPHWVDYHHATAYAFQILGVVNEKAGRFDEAIEIWERALVL YCRIEGDSSFRTNQVRVKLGEYYGRLGRLETAIQMFDTALKYFVGDKYYKAERARTFL KKGQSLVLMDDTVNASAARREAERLFDEIRPHHSGDRSKALELTDFDDIVMIMSR LY89DRAFT_602757 MGKIFNISLAVFASTGSFLFGYDAGVMTDVIASENFLNFFHTTS DSPIIGAINSTFNGGAVFGALQGGLTMDRYGRKITILMGALICLVGALLQCTARNLAM ILVGRIFTGWAVGLLSMAVPVYNSECADPKIRGFIVGLSQQMIGIGFIVSTWVGYGSG TASNSSSIQWRLPLAFQCLPCVILATGIMFFPESPRHLMETDREEEAMKVLRKLHYNG HNDELIQSEFHEIKTTIAAEKAITVPGWGIMFTVPQWRTRLMHGVGVQVFTQFTGINV IGYYQTSMYETLGIRGNRKLLVAGIYNCIGPFANLIFIVFLIDRVGRRKPLIWGTIGI MIALICEAAINSHINPDAAQTGLSYAGVVFLFCVTVIFSLSWGPISWVYMSEVMPMQI RARGNAFATGIGNWLVSTFWAQVSPTALKSLTWKFYFLFVAWDLVVTLPIVYFFFKET KQMTLEDIDLLFGERALGTLPDDLHKGTVLAVAEHDEKAVPDVEAKSTTAPIPVPEGD KTVEI LY89DRAFT_661790 MASEGAMANQYSVLEELGSGSFGTVYKAIDRATGEVVAIKHIDL ESSEDDILEIQQEISVLSTCASPFVTQYKASFLRGHKLWIVMEYLGGGSCLDLLKPGV FNEAHIAIICRELLLGLEYLHQEGKIHRDVKAANVLLSSTGKVKLADFGVAAQLTNIK SQRNTFVGTPFWMAPEVIQQEGYDYKADIWSLGITAMELANGEPPNAAIHPMKVLFHI PKQPAPRLEGSKFSKDFKDFIAQCLIKDSDRRASAKELLKHRFVRSAGKVEALQELIE RKQEWEGGRTRPSHPRFYEETLDTLSPKTEPDGWVFDTVKAATIAPRKSTTKRRKLSV IHANGQDVHAGPEEAMKRLDLKDSPLEYSSSPPLTVKKSTMRKQPSIMELASPTKTRQ PSVQKKPLQPDLTFGNTGSTVRLFRRVSDNSTLAQVDSDVSSTSITRDENRPPMSETV SKEAVLGRRVFNKVVDPAFQEIHAQTGNQAKREALSRLADAWSALDAIDPEGEYQLIK AMIEKVQGDSKLSALLSPAKASSRDGTPQASPQKSPTKLVLAQNNPHLKSHRRRQSSM LPEAEKERLSNLPGQAVTGMEHTKQLADVLYGRWADGLRNRWPAV LY89DRAFT_661792 MTEPQCLPNRFPCWVRATYSWGGETKRDLGFVEGDLIECLNAGD GSWWMGRLWRDKRMMGLFPSNFVMVLDDNFRPASRASSPMPQRSPSTSPFNNPQAPQK TKTKAFRKPFTAYAAPDAGLEKRTQIQKQESLSRGPSPAPRGGVHSNIQRVPSPQFHG YGSRAPSPAPPMHHGYGSRAPSPAPPMHHGYGSRAPSLAPSFQYHNQPRAASPSPQFD IGSSPPPPPPPHRTTYTPHGANSSYNQHPSGYHTPRAASPCPPSPAGGMTPSPLRDAM DDVMSSLAGMGMSRDKKSPSPPLNPWSPEAFDQTYIQAKRRGPVRPNTAIGIAHQDDF DGDEEEESPYKGSSNSRYGDQPPQLSNYVRRMEYRLNMMHNGKPIPMPPPDDNDDVGP AVPSKGAYARPQSSMAGSSPADPKLRHRKSAFEVGRSMLGRTFTTKTNSTTSSSGNKS TTTNGSTSTTATDRSLMSGASASGMSYTSAGSLARKKEALNGRAQSAMGSRRDPFMEL NGSQADFGGSVAGISYHSSHASGQSRTQSQAGFRDESGSMLGGLVAPKAKKSGFFKKI IETAKTGAASARSTIASGESPRPGTSSHMSNGITGIGGGGFSNTTPSNAASDMGLGGS GNGNGNDWVQVRRDVNRSNSLSRIELVERKERCMMMDHPAISPVEELYEICDGDEGAN GHPVTEPTNFQAVNLSLVDKNARFINSLPPMTNPVSLATGFVCRPYRSDVQRLRAIFT WVSEKVTWEEDFEAEIDPRRVIQTKRGCAEEVAILVAEMCVSVGVHCEIIRGYLKTPG EVPELSIMPRSNHWWNAVVVDGEWRIMDCSLASPSHPRRGLYSSASSQCAESWYFLAR PVEICWTHIPEKHQHQHICPPIAHEILLALPCACPPFFKNYLEMVDYDTSLIRIEDLE LVQIKFTVPAEVECAAEVEAKAFERDADGDFFESGEVVKKRALSQVEWIGGQKRYTVK ALLPGDEGQGILKIYAGPRGLMQSIRDIPHPLAFALPIIHTGDNPPYEFLTRHPTPHA QRHDIYVAQPQCQRLAWNNTFVFVFRQHPSSVAVASPNPGGTSPIPFIRPSSAMSLNS SSASGSNPSTSTRKPAKLAIQAPGGKVLRLMRKDDKMQQSTGQGVEKGDGGTWETIIK VGERGVWRGLVLADRSARWCVFAEWTCV LY89DRAFT_404936 MDDWKLPNYAAARGDPEDAGGADDDETLLAGHDHDDSEDEEKTG ESSMLGSRRSMKSSFWMILMTIATLAARRILNSGFPYPYYYVLLIQLGAYTCVVLIVL LAKLKHFRTARRKPEDEKHTWLETIFMGFRTLLSSSIGAVSILCAAQAVLHFPNLPLL AMLPILTYVSDSILFRFAYTIHLLPRGQSTSLRKVYRIVVIVFCACLAIYDDYRLNVH GLSILLASFGLASLAKAVSKAGPKIETKGPQSWETPLQTYLLAGIPPLVLAGVATMKF ENIAAASAISQTWTVLYRVMNLGPGVVLQIVFGSSILSAYPFMSQEHVGGALEEVSDQ ARDAVASTLQAGFWTFVIGVLGNETNFITWTQVIAFTLIYIISIGPKHIAYYPPRFMN LLLRLFRRRPQPIHAEPWQFSVVLISTTIVFAILVSTNTTFWVDTIAYHRNLGTWLGP NKLILDTMYRPPELRSFDVVIAHSAGDSVESIINLVNTYARHYSIGHLAPRVKVYTRD PTFNLSQTSPEYLRGDFEGELSVQTLRNVGGASSSFLHHILYSWDNFPVQTMFLSTHS MTETLLPLLHTRFEEYFVAAGFPIPDALPKTGFLNLGDHETCWCGGCYDISGWEDTFH LVPSMWSAARPESKKCESVLLTYGNNFITTAARIRGIKKEIWQLLYDALVNEDKTNAW AHAPEKIPKKLPGEEGKGRWAKGQIYGEEDSLEKPYLGHTVERLWGILLQCSTGEIAW KCPSLEREYRTGGNKEDCGCIE LY89DRAFT_790378 MSKKLTVFVTGATGGQGGAVAKYLLTSGHAVHALVRDPSKPASQ ALEKAGAKLFKGDFDDINALAATAQSCNALFLMVSPTYPDQLLELTHAQNVITAALST SPPITHCVVSTATGAESHLSFASFDPNFAWLAIYWSNKKKIQDLVMQNFQKWTVLQPA WLMSNWLSPVAAFTWGEELKTDKVLLNAFGKGTKIELCAADTVGRFAVPALEGRGDMQ GKIIKLAGESLDMDEIARVVSEVGGVEVKVRYRSEEEVERLKGVSPIVATQVWQRVDG SGADVEEVRKWGIEMMGFKEYLGEHRDSLRAALGLE LY89DRAFT_632617 MASLWSAFVSYFSAAPAGTLSRHSYAEAERIVLEVLDIYKQLKA MKSLKPCDEVDGLFERLVDHSILNRYSEVTDLVLQDPKLLEIRSDLRKVCDVAECCLE FHWADIIIGQGESSPEEVFNRLKLFPYFENYVDLTKLELSAIYGVRQETSPISRVAFL GSGPLPLTSLCLCGVAGDPLQLPGITAPPISVLNIDIDTSAISKSKVLCEKLGDRGKR MDFICSDARNPDIDLTEFDVVYLAALVGSTQKEKEEVLVDVVSRMSENALLVIRSADR LRRLLYPVFDPSSETVTKYLDICAVVHPYNHVVNSVVIGRVRRREMERIHCKL LY89DRAFT_790380 MNTTIELVVQKLSSADSDAKTKGEAAIQLRDNLEHYITGQIYPA FLKRLIPIFINCLKGPPVFVSTSAEQRLRNCVLEILHRLPVNPPEPFEPYAKEVVDLL MNLVKTDNEENAALCVKTIMDIMRHQTKVLSDKVQPFLTLIQELFDQMESVVRDQLDN PASGVPSTPGSSQTYQNSPRPGSPVASVSDLGADPQQQTRPLLKGMQSFKVLAECPII VVSIFQVYRNTVGPNVKLFVPLIKSVLLLQAKPQEQAHADAALKGTIFTGVSPNIRNR AAFGEFIIAQVKTMSFLAYLLRVYSQQLTDFLPTLPNIVVRLLKDCPREKSGARKELL VAIRHIINFNFRKIFLKKIDELLDERTLIGDGLTVYETMRPLAYSMLADLIHHVRDAL DPPQIRKTVEVYTKNLQDSFPGTSFQTMSAKLLLNMAECIAKMPNKVDARHYLIMILN AIGDKFAAMNRQYPNAVKLSKLYAQQSIDASPDNYLADKEHPPDWDEIDIFNAMPIKT SNPRDRAADPVADNKFLFKNLMNGLKNTFYQLKACNAGTAIDASNAPPHWQEVSYGFT AEEVQVIIKLFREGAYVFRYYEIEKPATESQYSSPVEFMANHYMISSSKEEKDLLETF ATVFHCIDPATFHEVFHEEIPKLYDMIFEHTALLHVPQFFLASEATSPSFAGMLLRFL MDRIDQVGTANVKKSSILLRLFKLAFMAVTLFSNQNEAVLLPHVLDIVTKSIELSTTA EEPMNYFLLLRSLFRSIGGGKFEHLYKQILPLLEMLLEVLNNLLLAARKPADRDLYVE LCLTVPARLSNLLPHLSYLMRPLVVALRAGSDLVGQGLRTLELCVDNLTADYLDPIMA PVIDELMTALFDHLRPTPYSHFHAHTTMRILGKLGGRNRKFMTGAPALTFQQFSDDLT SFDLKLIGSKKDRAFPVEIGIDLAIGKLMEIPKGAAAKKADAYYKKQALHFIKTQLKL RLGVDSLPDDFGRLVRLQAQDLLARKCEADLSSLETSDRERSVFKKDGQDLMLKKLIK ACIFAVSMPEFTSEATTLLTNICKHFTILEVSRALAEMRQKTMPFDVKSSEGLLCIDS RVLADAIVECLSSDTISVREAAQAAIQEIYDSTAIIFGSKTDVSKLTFFTHLSNLFCH NCYEEEWFSKAGGSLGINILITTIDMGDAWVQEKQIELFRALMYVIKDMPPDLPAKTR RGAQDTLEVLLHRCTKNVPKSDLQPPSAPSQTTQQVRPSKLFQLCAILNTELAHMNRH VREATKQALQIVAEEVGAEIWELLEPSKERGLQPLYSKPLRALPFGVQIGSIDAITYY MVLKSDFVAFDDHLNRLLMEALALADAPDDQLAGKPQEHRTHENIINLRVACIKMLTT AMGFEDFQKGPNNPTRTKIVSVFFKCLYSESKQTIQAANDALKVVLSQTTKLPKDLLQ NGLRPVLANLQDPRRLSTHGLDGLARLLQLLTTYFKVEIGSRLLDHIKVLAEPAVLQK ISFLLIEQNDQMKIIAAVFNIFHLLPSAAEHFKERLIDTVLDLEEKLRRTRYSPFRAP LYKFLNRYPNEVWLFLLGKIEDQKYGRFLAQVLEDPESGPLRKVVMNGVEHMIKACGD MGAENKETRYAAVINAINIMHSVCMFENKETQEWMEKKENMTWFKLVGKNLERHLRTN TLPPNLRLAAEQAGEQLMVIFTKFLEYHPKDLDSLFSLIDSVTAEDFKPSQTFFKYIF DRVISSDSVEYWKSIVMRSLDVYAGKNASQQTKTFLLHNLVNPILAMDVMRNSKHSSP NRGPRLMDKAVIESIHQKIWKVSIGDSTDDLLQSGIDHTRMEVLQLSAFLVKYHHSIL QDARKDIIKFGWTYIRLEDVINKHAAYVVIGYFIAHYETPAKIVQQVYFSLLKTNQNE GRALVTQALELIAPVLPKRMNTSPGDRNPVWAAGPRRILAEEGQNVQQMTSIFHFLVK HADLFYESRDKFINLIVSSLRKIAQPPTPSNENKKLALNLMTLIWQWEQKRVEGKDAS PIRAFSESPNTKKRKLDALTDNQTSSPSPSKPGSSGSMERPEYKIPQIVQQKMIKYLV EFIAGLPERFPLPSAKSKDLGAPNAPVQPHPSVDMCKKAISLLYGFLQPQYWGESLVD LFPNVTEQVLNTDRTTQALNPDREHTEDNKSDEKYLTSIINTLQIVRIIVNVKPDSWI LQNMTALQHVLERSLKSGLPEIQDCLHGADEKTDEGRNLKPLMKRILDAVPDDVPMED ADAEGEAEASTSEIITFLSTIATETLSANNYVSGINILWTLAQRKPSEMDQHINQIMK ALQAKLAREHVAHYNALLGQAGMVGPIRPGEAEPAGVMTAYELETQTGLMLKAIDVIS MRMDVLGDNRRPFLSVLATLVEKSLSNSLCLKILDMVETWVFKSEGSWPTLKEKTAVL HKMLTFENRTDQTLLMKFLELVIRIYEDPKITRTELTVRMEHAFLIGTRAQDVDMRNR FMAIFDKSQSKTASARLAYVITAQNWDTLADSYWLAQASQLLLGAVDMNSSIHLHHED FRTMPVSTIFATYANDNRTPAQPADSKFDALLLSHRRFLVELGDVRVRDIIEPLSQLQ HLDPNVAHEIWVALFPMYWAATPKDERGDLERGMVALLTKDYHSRQVDKRPNVIQSLL EGAARAWPECKIPPHVLKFEAKTYDAWYTALVQLENAAIKPEIDSALVRESNLDALVE LYAGLNEDDLFYGTWRRRCLYVETNAALSYEQNGMWDKAQHMYETAQIKARTGAIPFS QGEYMLWEDHWVLCATKLQQWEILSDFAKHENFQDLLLECAWKQVEMWSNADHREALD NMIKGVMDAPTPRRTFFQAFMSLLKLHNKTESQAEFSKVCDEAIQLSIRKWHQLPKRI TNAHTPLLQNFQQLVELHDASVICQSLAQTNQGNLDVKSGELKLLLGTWRDRLPNVWD DITAWQDLVTWRQHIFGLINTTYLNLLPQNGANANGASFAYRGFHETAWIINRFAHVA RKHQLPEVCISQLSRIYTLPNIEIQEAFLKLREQAKCHYQNPNELSNGLDVINNTNLN YFGPNQKAEFYTLKGMFLEKLGQRDEAAEAYGMALFFDIKLPKAWAEWGYYNDRLFKE NPGNYTYAKNALSCYLEAAGLFKNAKSRKLLTRILWLLSLDDAEGTLSAQFDEYKGET PVWYWITFIPQLLTGLGHKEGPKANAILAKIAKAYPQALYFQLRTNREDMLQIKKTQD QKEERDRRLQARAKAANGTTPKANGSPNLQRQEIPAGARPDSATGSRPGTANPDSAVK IEPSEANGTNGTDAANGQPAATAAQPAQKKPPWEHTEEIMAVLKTAFPLLALSMETMV DQIAKNFKCPPDEDAYRLITALLNDALSYVGRAPNSYAQDVKLPAATEANITRFAETI LPAHIRTSFEADFVQKKPTMYEYIHKLRKWRDKFEAKLDRRKTYANLESYSIYLSEFR FQKFDEVEVPGQYLQHKDKNQDFVRIERFLPVVDLVRGIGVCHRRLKIRGHDGSVHPF AIQHPAARHCRREERILQLFRHFNGSLSKRKESRRRNLNFHLPLMIPLAPHIRMVQDD ATYISLQGVFEDHCRKSGMSKDDPVLFTMDKMRALVEAKKHPDQAAPAKLETFTAIQE KWVPHTLALDYFTKTYPSFSEFWLFRRQFSYQFAALTFMTYILHMHNRYPHKLIIARA TGNIWGSELMSCMAAGKAFFHNPEPVPFRLTPNLQTLMGPLATEGIYGCAIMAIARCL TEPEYELEQQLSLFVRDEMIFWFTSSHRGQATMPENQLRDTVQTNSDIIVKRTLSLAQ SPPGALPANQTVIDLIAKAVNPINLAQCDPLFMPYL LY89DRAFT_790381 MAKSKTSEEVSVLAAIQSFSDAIKENSAESLRSLTLSSGSCTRT GINPSSHVNISQAELVGYISDAAKYGDIEGRFDPDEALVKVDGDLAMVWTAWTSFKAG EMTHKGRIVFVLAKSKDDGKWLIVSMPITWSPFE LY89DRAFT_678595 MPDQYAKTPSGQPYIALATTSTTPVFLTTFYLHDIPTLPPLLSM PSLAHQLIAIPQPYTLSDAEWWVNLQMSGKSNLPLQVLRAGDPETGTLIGAISLMPKD SEALQAVKHKLRLAEVRMATEENECELGYYLHPDWQGKRIMSAAVKALLRWGKEELGV ENVVIKILEENSKSRAVVERLGSMFARCEQEDSWVDWPETKGGGRRKLLVWRWTGEHA LY89DRAFT_661803 MKLALVKWTRVEVDDPPPYETEKNTFILWCRRRCSSWGRGHSGG GWKPRTLRSPVLGTMIIVSLSLIVLLEVLSHISTRNNSEGGIAFAVDSSSFTIASLFG YSYLPTILAVCYSMLWTWIDLDVKRLEPWFQLSQDGGATASNSLLLQYPFDFLPFVPI TAVRRKHWGVFFAGSVMLMIFWVLTPLQSAIFNTGSVSRNTKFNMTASLELLPLPLQD TGLNANFMNMAYGISWLDQRLPPYTTSDVALLPFQPTFSDSEIQATTTWSTISDVFYT NLTCSPAEVLLQEQLSYTFSNGKGCTVPDIVLSDTQIFGYMINYIGYFDNPQSDWALQ NPTCSLEFSNNFLALWASASSRVANGVYSNFTAMFCEPSYTSQEMYVTVNATTGEVQK VEPLTTAGSSVTKLGDIFNITNFEYLLATGVPSTGGSSNLDEISILQQNSRIKDYNIT LPVSNMVGFAVGLSPTSVSTLSDPANLRNAFQVAHRVLFSAAFSTLTTWKVDHDMQYI RSGVQTDYPAAIILVRPISIAVEAALGLIIVLTSCLWCFSHRRRSCLTRDPATIADLM SLISPSSGLSAVIHDDGTLTSAKLALALSPREYHLGKGHDGSPCILTALDEGNTGLST LKQSHVSGEDNESFVALQPVELRLVFGGSFITIIGVAVAAVSFLKNPTNAPLGISLPT NDAVVRSIIENYAPTTFATFIEPVWTMLNHLLCLLQPFEELRKGRARASRSLEVKYTS IPPQLAFWRALRAGHYILAAVCVVAVSSNVLAVALSGLLVESPATAVVPVASSYTLLP HFNGFTLVNPTDLSGPYVYFDHFYVALANITESAPLPPWVDQKFFYLPFDLKSVNSAG SVNAVFQHFRGNTTGFGTTVTCTEPPSLLFEVARNGSTIQFSTSHVLPNGTQVTCIHP RGFGNAVNETTDEMNTFMDGPLAFEAVLNTMQSLSGTGDDGFCEASMVVGWARVAAET TNDRRTNTTSLPARKVAPMFLSCTQKLVVAQFDIQVDSTGRIISSNQITDFDININSY FNPNATLESVETGPFNSSFFGPINSENRLFQETSFFIAMDNFDFLWHNDTFTSDWMNV LLTKIQNSTDLVNPNVPLPDATVLGPMVEALYQKLFAILLGLNPRIFSTAPDGISVTV QAIFIEPRIFLSETMFLLTVVLLSFHLFVAIIYYIYRPTRFLPRMPTSIASIIAFVSN SRAMVDYNGNGRIHGNPDQRYGYGRFVGTDGKTHVGIEQQRFVVLLQSRNPDIIRRKR DLLKTKAENHPRNWI LY89DRAFT_405040 MNEELQNEIEAINSIYGDGTLVRATEPATYVLRLPEGDSSLRIQ FPFEYPSAPPTILGTQSSGETRKGEAAHVVQIFRDVLGKLYQPGEVCLFDVIEEVNNS LSNETTDRIDDEDLEGHNKAFAETIVPQDQSAGGKTNVFEPPWTISDVVVELKSVFIA RSAPVTSPSQAKQYLHHLLDSDKKVRSATHNITAWRIKGENGATYQDCDDDGETAAGG RVLHLMQLMDLWDVMVVVTRWYGGHQLGPKRFSIINTVARDAFVKGGFVKEEVSTKKK GKH LY89DRAFT_769067 MSDPNPSPTIDYGRHTNRADVLIIGAGISGMTTAIEMIRKGNGT NFIIVEKGNQVGGTWNDQRYPGCCCDVWSHLYSLSFEPNPNWTREYPGQEEILDYLVS IAHKYQLYRHIRFNTSVEEARWDETTNTWKTKINRLGSKDSEFGKEYIITSDFLVSGV GQLNVPKYPDIKGIDTFQGKAMHSARWDWDYDIRKKRIGIIGNGATAAQIIPEIAEAC KSLTVFQRTPNWVVPREDKPISPTWQSIYRYVPFIRSRYRASLMDYRESFFDVVFDTK SPVHDFMMSTSKDHLATQLPGEKHEHLREQLQPHYAIGCKRVIITDDYFPTFARSNVQ LETTAIEEITENGVKVQGGNEHELDLLILATGFKTTQFMYPIKIFGSNGTPIEEIWKS GASAYLGMTVPSMPNFSMLYEGPNTNLGHNSIILMIEAQALYINALIKQVRTARKKGR NVRIEPKLSVVQAYNNEIQARLRESAFADPNCNSWYKNEAGLITNNWSEAVVPYQKRT SAIHWDEFDISGSGAEDVKTKGETNWPRVIEETQVSNGMILTGLITAAGAITAGALYR NALKTLVKS LY89DRAFT_536991 TLAVLGLATLGRSHMIMNTPVPYGKSTLNNSPLAADGSDFPCKQ RTGVYDAEGASNSMALGSSQSLAFTGSATHGGGSCQVSITYDQAPTTSSTWKVIHSIE GGCPIKGVAGNNGDSASAVDPDTYSFTIPTSLPTGTATLAWTWFNKIGNREMYMNCAP VTLTASSSKRSDEDELMERNYTMLMERDTAAYNALPNMFTANIGNGCGTVDSTDLKFP APGDSVEQDGTATATPVAPTGTACGSTVAGASATAGSSGSSATSAAVSQASSAVVASS SPSATGGVFATVASSQATSAPAASSTPVASVASSAIAFTPVASSAAPASTGSSSSTTG TGSAIAAGTACTTEGEWNCIGGTSYQQCASGTWSVVMELAAGTSCTAGESTNINI LY89DRAFT_692346 MGGHTAFFYGTLMAREILFRVCYGTSNIQDNPILKKRAAALSIR PALLHDYSRRKVQYADYPAIISQKGHSVRGTYVTGLTDSDIAHLDMFEGSEYARKKVK VVILEDGHEKGESVEAQSYIWSASVDRLEEQEWDYEEFRKEKMHFWTDASEEFADLDH GDDHYANGDHGFIVELDDDTEKDMLHAAA LY89DRAFT_661817 MDSLNVPRKLWEHSNPSGTEMYRLMQEINQKHHLSLKTFWELYQ YSTTNRAQFWDQAFQFLDFVYSGSYTKVVDESVRIDSVPRWFDGVHLNFAENLLYSRI PGEPTSKRGTIGKEDNKIALTEIREGYTEVRDVSWGQLRREVGVLASAMKSHGVKKGD RVVVVASNSVDTLKVWLAVTTLGGLFSSSSTDMGVQGVLQRALQVSPKYIFMDDFTVY NGKKVDLRSKMTEIANGMKDVAEFEGMVSMPRFQQPVDISKVPRTQTLATYLSKAASQ IPEFERTAFHDPFFIAYSSGTTGTPKCIVHSIGGALLSSAKEGKLHREMNADSVALQY TTTGWIMYYASVANLLAGSRVVLYDGSPFQPDLTTFVRIIGEQKVTMLGTSPRWMHEM QKNKIIPKEVTDLSHLKSVTSTGMVLSDQLFEWFYDVGFPKHVHLANISGGTDLAGCF GQENPLTPVYVGGTQGPSLGTPVAVYDSLVEGGKGISGALVDHGTPGELVAPAAFPNM PVYFWNDSDGSRYFSAYFEKYDNVWTHGDFVMIHPTTKALVFLGRADGVLNPSGVRFG SAEIYSVIEDKFPGIADSICVGQRRPQDTDESVMLFLMMKPDEKFSSRLVNEVKSAIR KELSARHVPKHVFETPEIPTTVNLKKVELPVKQIVSGKIIKPSGTLLNPKSLDYYYQF AKVEELVGSKSKL LY89DRAFT_692348 MSRFWPHTPYAEEQPLSHTILWTHVLTRAVTAGSIVGTGVGIST VLLRNFNIIKQRIPPASLASTIVRSSGTGAVVATGLLCIALPIRMLGREEIEWQDRSW RLIENKGQVECDDWTYPGMTAGAVAFALRGQCLGWMGAVGSIGLGSVGGMMGYMGWRH GVKGGKFEETTV LY89DRAFT_405127 MEKFLRDWRQDALNKHQYDSAIFIGDKLLALTQNDKDAFWLAQV HFSTGNYTRAQSFLSKQDLVARNPSCRYLAGHCLIKQGRFDEALNTLGDKNPTHLISS SSNSRRKLQHTTGHGRTGSKPVLRERHDRRDEVAHDEAANIRFEAAMCFLRGLCYAKQ NAFDRAKECYKDAVRIDVQCFEAFEQLMKNCLMSPDEEWEFLDSLDFDSISIPDDVSS SHDAAEFTKNLYTTRLSKYKNPAQFQHATEQLSTHYHLASNPDLLLAKADLLFTQCKF RLALGITNSILEEDKYNFSVYPLHLACLYELQLKNALFLISHDLADNHPEEPCTWLAV GVYYLAINKVAEARRYFSKASMMDPHFGPAWIGFAHTFAAEGEHDQAISAYSTAARLF MGTHLPQLFLGMQNHMLNNMTLADEFLKTAYGLCKTDPLLLNEMGVVFYHQERLPEAV AMFTEALKTADDIDSDPSAWISTRANLGHAYRRLQQWDLALAQFDEVLRQGGKDPSVF CAKGLVLMEKRRPFEAVYVFHEALAIAPQDAIATELLNKSLEETAGGGAYGAEELEDD DDFERELMTKKAEAGKFMLKRNGGKGKEKFRPRMSSGIGVEGNSLMDLSDEDLD LY89DRAFT_405114 MSERKVLSKYYPPDFDPSKIVRSRAPKQAGPKVQTVRLMAPFPM KCTACGEYIYKGRKFNARKETTEEKYYSIPIYRFYIRCTRCSGEITFKTDPKNMDYEC ERGAKRNTEPWRMNGGGKQESDEERLDRLEREEEEKNAMQELETKTLDAKREMAVADA LDEIRTRNARNERAGKDGLEVTVARESIDDEKRRQDQEDEEAARRAFMRRHEALEEVI DDEPEDVGEGPAPPITKEAALEMPPPSFKRVVKKKKDHAAALGIKKKPSLV LY89DRAFT_602686 MASRRDDYVETALHSVPLADVEQIASTPARDREYVPRDGGYGWM CVFCVFLINSNTWGLDFAYGVFLDYFLTHNHYPGATALDYALIGGISISMSLVITPLI NTSSRKLGTRPTLLIGLVALTASLVGASFATEVWHLFLSQGVCFGWGVGFLYIGSSNI IPQWFSSKRSLANGLSASGAGFGGLVYSLAASQMLETLGPAGTFRILAICGFVGNFIA IVLINDQNARQRPNHSAFNYRLLARIEVWLVLGWGCLSELGYTVLLFSLPNYASSIGL SARQGSIVIALLNLSLFVGRPLLGHSSDMLGRINMAVMTTGVCGTSCLLVWIFAKSFG VLCFFAILAGLVCGTFWATVAPVVAEVSGLSEVPSTLSIVLVLMVVPTTFAESIALGL RRPTGNIYLDAQIFVGFMFIAASICTLFLRSWKINKMKHEAIEQRDHQLERLGIVNRQ SADTINLENRCSKQFVELIHGLFALARV LY89DRAFT_692351 MAPSLVTIEASEPLEKIVDIIARDGGVIVANFLSSELLKESMAA IEPHLAGRKMYNSSATHEELGDGFFPEGSQRVYGLLAKMPNQLVKIMRLPLWQGVMER FLNDEYFSYTGDKLLSQKSGYMLASTAALRLLPGAKPQPLHRDQIAYLIRPEPSNPLF TPMVGVLIAGSKCTYKNGATAVIPGSHLWGPERAPKLEECAYAEMEPGSALITLGSTY HGAGENKCERTDLDAKRTLIAVFGQRDYYRADQDEDLSTPIEIARKLPEDILKLAGYY KAVGGVGYVEDHQNPFEFLKREDPGLAKYGPATTQVAL LY89DRAFT_743666 MERSVNPTRTTEKKEVELTRIYSAATGQVNETTKTYESSTSGEV PNLQRTDLDAAIEAAVNNRQLNPRQIQLTAIAGSIGANGSGVLSGPLCLLIGFLFWTT VVVCIAQCQTETVGLFPLDGSFIRLAARMVDPALGVAVGWNHFVGCIIALLYLTNKRV RTNVLCHLRGYCPQYTCRVLGVWPITCHLITVALLFFLAINVYRADLFGEAEFWLALG KVLLATGLIVYTFVTMVGGNPEHDRYGFRYWRDPGPWAGTSPSTRLESFINAVNVAGF CMGGPEYISMIAGEAKDPRRTVPRAFKTIVARLIVFFIGGSLCVGILVPYNDKTLNNG ASTYSGASPYVISMQRLQIPVLPSIVTAALLTSIISAGNAYTFNASRSLHALALEGQA PKIFRRLNKKGVPYIAVIMVMLLSCLAYLALGSSNSQVLNWILNFCTAATMFNWSVMS MTWIRFNAAMKAQGIERTGFLPSVSRFQPFAGYWGFGWSFLFLWLQGYSVFLKGNWKV ATFIFDYGIIALAGGIGVAWKIVKRTPLHHSKDVDLSTNLKFFEALTEYYRNEREAAP ISVKDKMLAKLF LY89DRAFT_743669 MVSTTNILVTLLSLAATISGALIGRATASVQTPPTGVSITVISS SWPGCSPSHPAAVQLLEQGQSLSFQYDGFTAFTDVTESSSDRSCSVVVNFVYPEGYTL GVQNPVFQGNTDVAEGATAEIRAYSLFSASDSTVYDIDEAEVAGPFTGNYSLTGSDSS ARTQSSACGSGSTTLKFATRLNALGGIPNPYPANATIQHNATTVDLVWTPC LY89DRAFT_769116 MVTGLLAGANQIPFFAQTLPNFLSNSTMWGSFAPRQFTAHFFSI FAPVYEHIAPVDAASRIWTIATDEDWASTIGENPRHEPTGTSSIDFRSLDGRASEHLS SLGHSLLNLALPHSSTSTFNELNVETEISTLQDQLVGEFVTDAGITAATSDIERLKRE IEA LY89DRAFT_790394 MNSDREPMLNASQFAEDLGPQQLRLIQELEKPSPDTIPNGPVVD GIKLGLALNEKRHAANVYEMIYNGRKGGLGPEEERTLASGHEYACLCLQINYFQQAEV VLKEVWVRRQTVLGVANPQTLQSGFQLGQIFFRTERHDAALDMHQAVYRHRRDLFGAH SMETIQSAEIYGQILMCRDSTEVQVQEGWTLLHQTLEVQKDIYGVTTDTVVSALRLAA ITAISGRFRQSGELFAWLYEVGVDSVRKPGQFGYSPAKLAVGFAASGMAFLEGNDSKG NRLLERIGEYSADIYGLASAETHLIAYLHAFILFLQRRGRRSKSILRRVFEMRKQHLG RRHPGTKAAAIILAMGILLDSLLSKTKINEELDENQ LY89DRAFT_790395 MDARQFARKIVQKVRTLSAHVGATPYSYASLDEAAREIRLMELH PGSPKDPIRVSLSITPFTSDHVPMFEALSYTWGSPKKQSTIFVGKSGCETLSITQNLA EALPYLRYEDKPRVLWIDAICVNQQDLKERGQQVKRIAEISTKATRVVARLGPESKTT ARAIECFNDIASHIDVNLSTQCLIPLSDCDAPWTDLAPQQPFDEASYSAILDFISSEW FQRLWIVQEIRLSSQESVLLRGRQLVKWTLFRKVSFFLHRKLSLLNISFDLYSLLNAR LMEIYPLGIRGAYPDLRYLMQATKTCKCSDPRDRVFALISLLDSPLGYGFELDYNKHF LDVYRDVHQELTPSHNFRMYAKGYRGLAPEVARSGDIITVLLGCPNPMILRATTEGFK VVGEAYCDVLMSGEALLGSLPPGFELILTFAESAGYYRRFVNSKASISQVPDPRLSQI PFPPGWRVQSHDSEEDFAKYVNDITGENSLYDPRLAPDALRQRGVEIQTFDLI LY89DRAFT_790396 MSTDSTVYTGIWMNHSKGSILGATLTVAPGNGLIVVAILALFVS LAGSQSWGMIRFVVHQLRATTHPSHGIYYQQQAILRNTGVASAAIWQFGKIAWVWRSR GMKSFQKSIVLIILGLTHLLFFLAAGVLSSRLATVSSEVLVRSPNCGSWDALMPAQLM ANVTESVNYLVHRRENADLSMAYVRDCFGGYQTSPQCNTFIRQELPFTTVNNASCPFN SKMCLGPENGAITFTTGLLDSLDDLGVNSQKDRVLYRKSMTCSPIVTEGYTSNGSSSL NGRGYNYTALYYGPNLEMNSSEPAFGGLPNATYVHTDYKSLALGFDYNLENERYSLET MQYPAEIPDFDPIPELLTSSGTVTLLFVSFDGVYLGESDDLWFSAHHLLGELIGTTTS NDTLYSTYGFDKPVSTLGCIEQYQLCNPKHPENSDTRCTPWLAAIDLSNFNISNVLDT KNQLEIANLIFLVMLNTQLKRVVDVLSTPLLASSNMMSAISAPIPPNQWTLEAANWFA TSINLLQRGLAEDATGLPSPYSKYTANAAANDPALKWFCDNFIMRDNRYTSFSILAIS FVLGVGGLIIILSLYFESIIGWMQVRWKRGIYRRVYWKLDSVLQLQRMAYEEAGLGAW ERCGHEVPVTAEKIQVATEWDAWHPTIRGKAVSSSESQHQSTQKDRQSKSSDTLSEVQ TVVSRAESKTQASVTNSETLSEVPTAVSEAESKRQASVAENEIVSISDLER LY89DRAFT_678613 MTFPTKPNIFILCTGNSCRSHMAEGILSAAAGDLIIVHSAGTKP AGYVHPKAIRVLQEIGIDISNHVSKNMDTFLTQDIATVITVCGNADQACPVFPGKVNH YHWGFEDPAHAVGSEEEVLVEFRRVRHQIRLVFEAYAAGLREGAGGKS LY89DRAFT_692355 MAPWHRKKPSGKQEEQNEGKLFDWRDKKANPGKKTLSAAPKPAY PPVKNDPTEFTPGQATSNTTSTNPFKIFKRERKTETQTAPPRTTINQPQHGDSQRLFI NGQPSTSSAAPITINRTQVQILKRGEKIPDIPRAPCPGPVPEIPALPPIPKERVRRMI PPLNNPSLERVQRLQRLGGIPEVPTQDGELKSRVMEWLEKVEDDGEEVDELREEIERL LY89DRAFT_603092 MKRTTASALFGALSAAIVHAAPVVEKAPRDVDDRFPYTGPAIPI GDWVDNTVNGNGKGFPRLVEPPAVKPATANPTNNINVVALSYIPQGMSIHYQTPFGLG EVPSIQWGTTATDLDYQATGYSHTYDRTPPCSLVAAVTQCSQFFHEVQIGGLKADTTY YYQIAAANGTTASDVLSFTTARDVGDSKEFTIAVLNDMGYTNAGGTYKQLIQAVDEGT VFAWHGGDLSYADDWYSGILPCEDDWPVCYNGTSTELPGPAPVPDYYKVPLPAGEVAN QGGPEGGDMSVLYESNWDLWQQWIVNITAKVPYMTLPGNHEATCSEFDGPGNVLTAYL NNNTINGTAAKSDLTYYSCPPSQRNFTAYQHRFRMPGGETGGVGNMWYSFDYGMAHFI SFDGETDFANSPEYPFARDVKGNETLPTEQQTYVTDSGPFGAVGNYSDSKTYAQYQWL QKDLASIDRCKTPWVIAMTHRPMYSSQVSSYQKYIRTAFEALLLEYSVDAYLSGHIHW YERMWPMGFNGTIDSSSIVNDNTYLTNPGKSMTHIVNGMAGNIESHSTLDAGESTLPL TAVLDFEHYGFSKIRFINESAITFTFIMGDDGSAGDELMLLKRSPGNGTCSSSSNSTS SSVPTSTVGGYNATSTATGSVSYTTEVVTAYTTYCPGAMTFTQGSSTYTVSSVRLPIL PSSQIQTNETQATTLTITNCPCTITHAVTPTYVPLCSPSPTPN LY89DRAFT_632659 MAFRQRLAKPLLYTTGVAVLGGGFLYYTYRPRNIPGSDPAVVSP PGYGAGGSFRPPRFPKVRSRDEQIADLKRSGGSKGTIAGAFQNTEASAPQDEGDVYDL LIIGGGATGAGIALDAATRGLKVAVVERDDFSSGTSSKSTKLVHGGVRYLEKAFWEVD INQYKLVKEALRERKYFLDTAPHLSMWLPIMLPLDKWWKAPYYWAGTKAYDFLAGSEG IETSYFLTRSKALEAFPMLKKDNLVGALVYYDGAHNDSRMNVSLAMTAALYGSTVVNH VEVTALEKDPNGKLCGARVKDLVQEKNGKKADEFTIRARGIINATGPFTDSIRKMDEQ DVKEIVAPSSGTHVILPGYYSPQKMGLIDPKTSDGRVIFFLPWQGNTIAGTTDSPTTI SHNPLPKEEEIDWILSEIRHYLSPDINVRRGDVLAAWSGIRPLVKDPKAKNTESLVRN HLINVSDSGLLTCAGGKWTTYRQMAEEAVDEAITTFNLRTKPFPDAPDVSGTEMIDDG KRLDGSCQTHQVKLIGAHGFSKTLFINLIQHFGVETDIAKHLTESYGDRAWTVAALSV PTEKRFPVRGERISALYPFIDGEVRYAVRHEYAQTAVDVLARRTRLAFLNAQAALEAL PKVIDIMAGELKWDNKRKDVEWRDTVAFLESMGLPKSKLSATRKQVETGSLSFKDSIE YKMYSRHDQPNDELESDLKGAPGMKPGSEAEK LY89DRAFT_692358 MPPQLPTRKLGKNGPLVPAMGFGLMGLSAFYGKPQPDAERFKVL DRAYELGETFWDSADMYQDSEDLIGKWFARTGKRDEIFLATKFANKVVGDGKRVIDSS PEYVRTACEKSLSRLGVSVIDLYYCHRVDGKTPIEKTVEAMVELKKEGKIKYIGLSEV SSATLRRAEKVHHIDAVQIEYSPFAIDIEQPQINLLKTCRELGVAVVAYSPLGRGILT GAYKSPADFEEGDFRKMAPRYSEENFPKNLKLVDGIVSIANKKGCTAGQLTLAWLMAQ GEDIIPIPGTKKIKYLEENLGALDVKLSAEEEKEIRDLVEGAEVHGTRYPAAALANLF GDTPELKA LY89DRAFT_726422 MGRKSFTILRHLIWWITCLIAAIGMYCTLSKLVYSRLPSRTHAD WQRSFDELVGQYAPPELPPDYASEHPLLAGISRKAAAFIHRVLAFILALFATKHSRIE AFAAKGLDILCNIQLVTGTGILIAALVQGEKMTFYHQQFVMDYWFLCLNSFWAARSGE MNQSDDGDDDWHYWTRTAAILISALLSVYSMGTERQMGSGEIWLLLLVP LY89DRAFT_726423 MASRAEAGRDAFTFIDRTVDIDQDGEPGPPHVFPKFGDLTSAKL PQPIVQLLEDLREKYPDHVVTYADTYSLNLLAFAAAGLATATLDIETDSIFRVRVWQQ PIRRGAIGQLAEGRGFAKYRYRWGDEDFILYCITVSIFSMQFVLKEYGPGEGQLSHSA ATDTLLAQVGLWSHRTLKGIYVYDLFWRLDLALYEQVQKATWDKVILDPGMKKDLTSV SGRFFDSKAVYDDLGVPWKRGLIFYGPAGNGKTISIKALMHTLAERKDSIPTLYVKSA PRTLDIRNIFALARQMTPCLLVLEDIDTIVTAQTRSYFFNEVDGLENNDGILMVASTN HIDELDPGLSKRPSRFDRKYLFPAPSKAERVQYVQYWRQKLKKKPEVVFPESLVEPIA DITHDFSFAYIQEAFVSSLLDIAHHHDDEGEDEEAVDEAGGGDEDLDKYELWRVLKEQ VKILRDEMDAEALRVDPDFTTFAAHTAPPASHNYVEDSGYPSTNPEDSNLLHHTYSES SHGSAIHQPLAIRGIHPGDGFSALQPPFSGPACPTFGDSRDQLRGMTWFEIGHK LY89DRAFT_692360 MGTTAHESSRTSAGGSSSTNAKSGSSPTSTTTTELSSTSPTTTS PTTSHSGSSPTIPPGLAIWSLAWSYVFLLV LY89DRAFT_603023 MFAIIILFVQATYSAEIDSNRHEAFGNKLLKTDVSTTLAVLRTA QGILSAITSMLLTDAFKFLQWIMMNPPRGLSYPSLLAISPTTGILGTLDLLRSSVVRK SAKAWALLRVSLIAIVWLSGLVLFFKTSLVTVYDIAFTYKVTAGVSPFNASLIRPFIT SAQSLSPNYQLMVVPYTVFAAVYTLVLNPLLCTPSQSVKCTGTNCMSYLLSGGLEMVA PWVPEGYSDYPMVKIEDVPSIQLDFEWPVSDIFDDADCDVFGEDGYTIGIRLCIVEDT TAVGSFRAGLFVCNNGTVGGICHATQPANITSSISFYTREATVVAVRSNYSIISTSNL TPPIQLTGVDLLSYRESLRWLLNYTAANIPPPSSIAQSFWSSVPELASPSTYGIVLQN FQSVLAFPFWYFNSNNWANPDLQEKQIISTLPPQFYTQAYIVAPYSKLRFDRGMFILF LILQGLAIVFVWCVLLFVLFGTRTLPTTSSYPLYDIAFKANVDHEVKEEELSEAGNSQ CLKLMKNSKAFGKVD LY89DRAFT_661851 MPFEPDSLPHEVELPNRKFGKLPNRTLLSASNRIKCILSIDYGT TYTGISYVFSNESELNDIATVQTWPGDGRDRDFEWKTPTVIAYGSENNFQGVKWGFMV EPGMKSYSWTKLLLDKNAPKGKYDDPTLTNIVRKGMMELPKGKSAQQVCEDYLREVRN FVFARLDKENGADFMKLTPMECWITVPATWLDEAQDATREAAKGAGFASRSMDSINVI PEPEAAAICALKKLTAPGAPDSLKAGEDIMICDCGGGTVDLTTYSVTATYPLLDFEEL VVGEGGKCGATYIDRNLHTLMTTRFGESFKKLDPKKTGPGSKFMQSFERVKRDFPKGF DKEKGIGPLKLVSKNPAHYDDDDGTVKLSLADMQSLFDPVISQVIALVKAQVQEASKL NHDIDRIVLVGGFGDSPYLFEKLGAWCKTNGGIKLICPPAPQAAIARGAALRGLAGTA PSLKKQRRHYGYEVGRTFDEGVDDATKAYIDPFLDQEDQRRIQEMVWKIAKGENVTTK TVRSQSLYFTHKEGSSSFTQTVELYSCNLDTAPLSVEHERVVQVGEIKVDYSEVDLNT LESTYKDGKKCYKMEFDVRIEFGAVKGILVFSSWIGKRQVGTASLSFAK LY89DRAFT_790405 MSDSARSRRHHEQRESQHFPGGSSSRQPTSDYPMDSTNPTTAEQ AARAAVEGRGRPTAAPHGDQVQYEDPMDYEQSTQPGVRSIKVTYIREVTSPRGSTVPT DLPGSWTEVDSPGHQPDSYSSRNDAHPETGSREDPDNQRPTDSRRSGTTSDTSKSYTR RGQEQKDESMFYGGILSLFRGNGISAQDEKKVKREVTELKTRNQKLTQDKTLLAQTNQ RQSERLSEVTNQSSVRFNTIARQKEELTSLRLEVKQLKLDHQGMEDQIRTAQLAMSKK SSKPKIDLTDEERTIKGNFMNLHDEVRKWAKRWGSANFSVFENLSPEKKEIFLLELGK VVTLEDGCIPQCLTREEMSTRTSVLCVSALLGRYICAEVLDAPFRPLRSLFDTATGDA LDIAERQQISTHSPRVVLGDGFLEAVYQRLSDVNRSRAQVWRSDLMSILDPSSGSSVA ANVLQTQEEAADRRAQAARDLTSTFLNTAVSVLLPDVEPEQVVNRHEELQTIFRKSLD MAGRLWKQLTIVKCTYLEDLKQSAFNYDSDLMDAHAFHKLGFDDEDEDEHRLDNHLVR IMVFPAIKAYGNSDGEKYTQDRVWGKAIVWLDE LY89DRAFT_726427 MVGAKSLGCLECKRRKVKCDQRFPKCANCERVGRWCSGPKSHFI RFVRPGAEEHMNTVTVSQYQSLKSPRSTVSERLSADLVRQLRDIDNVGYQLQCFGVFV SFLPARIGHNRALDAAVKCLLQVHSAMIRGKTLTTHTEGLDSYNESIALIRKDLNDKQ TQTNAETVCAALILSTYELYKRDHCHSWVTHAGGVAAILQCWGPDRFVSDFEMALFAS HYGSTVSTSIIYGKSCFLDAPEWHALRGRCLKTFPGLDKYTMDCLTALARLPNLLQSI RKSKASARRPIWSREQLQLAQEFKAMVSQHALKLHLDFLNPELIWERASTSSYSNQTM LVFAKPRIAFRYNFYWAVTIIANKILLAFGEDDQSLVEEYRDAAEDICRSFEYLQTLR PLGILWLNFAASMAYGVSGEATRQRIVEEMEEALFPIQIEATETVLNMSYNMLTGGID EIEQIREFDTFYNG LY89DRAFT_602963 MYKIADEMTKEERTRYKDEGLCGKMSQLKDECDWLANVPALEKL LAIFEFVGQDQDFGRIISVVEATSPRARKRRRQAYYQSSTGGRSIFQLPLRGSGS LY89DRAFT_790408 MSSLTSLATCETPNTSLIPLIASIPQSKFSVMRSTQPNARGDHL RVGSIALFCQNPTRKSIIRCKREDHCDGLRLHFGGLSHAVLILSRSKNDSVNDKEETC LVAWVTSHGHASLASYVKNYEQSSSMQRSIPIEHYEDANCVQILRLLRGKLNKQSYVM VDHAFEIPVSMLRSYVSCVGKARAFRLQESSYITLMQSFGMAAQDYRPQPYRHFQSFA SPPLAIGEQEDDKPHIRYALSINITETYANSHTYSGSRSATVVPPRRAESSYYSYQTS EFVSFPALPPSPNQSPCRGECKSPGSRLGMMLDENSPLLPAPATYRPREHVRFGERVG TWLTLLFAVLTLGLIIFFWIVVEEFGVWSGDGDVVVGATMRR LY89DRAFT_678627 MQRNPSSLRLNRGQNRGRAGRATRVDTQPAIATPITGSSVCVEH VAVGCICNLPNQSQVFEPVYCVRSHNCQQPSLPSEGFRHPVVISELYQREDGDIMAKF QLVSTWRGGYPHSVSQRRQGERDHRLWQDIVIMDGDDDCVEEAEHPRESLQEWKVCFG LKFFYYLSRYVTAGPGERVNRDEVGVEDFGCLRGSDILQQAKKQKEERWPDGSHLTVV TST LY89DRAFT_678628 MQSNAPRPSASTARRNRRLRRAGQMGLGAGHANTLANHRANSPR PQFTPTDIASVRIEHTAVGNVCWLTDQSKVPEDVRCVHNHHCIEPYMRADGYRHPVLI TGLYQREGSSALGDIMARFQSVSAYNGEDLGSYIYAKTYVRKFLNVIPIRQGRERPED GVFREPIPPGRSPSNSKPIPWSSVDKKGEPATATFQLELSPESQLLAKQSYVDIEHVW EVPLRYLKPYRFRGVWSKSYSFRISKDSYHVIAARTGQLVQPYTPIDVVKARTFVSTL EEWYGFRPPVRALWLQKPALGRGLDSGHALDVKRLQITAGKHTTRFSEKMKNVNKAGH GEVHTTEAGGVTGSERHSAIPFESNIRSLQTDRKLQSSTATTPRSSGILNPRAATFSP RSMSTLKQTSPISPLTIPPPAFFPTHEPNSRAPETWEMPLPNHQTAYVLQEPIIPMSP FFNSLGIGRDREFIYKPGEPPIRIRFPKEIKLEIMSSKLDMMLVDEDEEFLEDAQCPR DFLADW LY89DRAFT_678629 MQLINFFPLLIVPFTIASPLSLTFRNSANETTDHHFTLSKRDDP FRVDAFKGSKPTGDPVEIQNAKAGQVINLALDCGTIVVTSHTADVTTCNFMLPSTLPA GTFTGAPAAGHFTLQVPGSCSGAVTQVSAANICTVCGDIGEIPNLIDCHGKEDGKDNV NVCVSGTTLVEC LY89DRAFT_405434 MPHIVLIGTLDTKLQEFLYLHQQLNDLSTSTSSPLKVTLIDCGR TNIQHEAISISQQALIAEYGGSELKDTMKLPRGEVIQYMTQCCTACLKTLLKDDQIHG ILGAGGSGGTSLVSAVMRDAAPFGLPKLIVSTVASGDTSLFVGETDITMMNSVVDIAG TNELLLNVLSNAAGAMVGMSAAYERTLERRSKETAQQVERVTRIGITMFGVTTPCVNY ITQYLTKNYPVETYVFHATGHGGKAMERLVEEGRLDAVLDLTTTEICDHLFGGNMSAG PNRLEAALSAGIPNIISLGATDMVNFGARKAVPAEYLNRLLLEHNPTVTLMRTTKEEC DAIGKFMVEKIKGFAKDQDMIEVWIPKGASVVSTPGEIFADEEADAALAEAIKKGLEG TKIKIVQDTRHINEESFASDIAESLMKIVSRKKDGV LY89DRAFT_661853 MSPPTDRTEILARFRANIEKHVPIMGAGAGIGLSAKGAEAGGID LIIIYNSGRFRMAGQGSLAGLMPFSNANDVVVDMAPEILSAVSKTPVIAGVCATDPFK DIPRFLAQLRDLGFAGVQNFPTVGLIDGQFRANLEETGMSYQKEVKMIQVASKMGLIT TPYVFDPEQGVAMAKAGADIFVAHMGLTTSGTIGAKTGKTLEECVGSVQAIRDAVVSV KPDAIVLCHGGPIASPKDAEFMLNRVKGLHGFFGASSMERLPVGEAIKRNAMEFKNIA MNTS LY89DRAFT_632674 MAPSQLYSSCPVDKSRAQIRLIHLQPCLPSLSETDIVCTLHLAN LEDETTRYQALSYEWKPEGSFFEFSAVSKYCMTLNGDQVPIRENLYRALWHIRSETEI IKLWVDALCIDQDNEKERNHQVNYMGAIYSRAESVLAWLGPSDQPRIRRRILAGSDVS KAAGLLALYQRQNVFPRDTSNEACRSVLYLCRRTYWSRLWIIQELVLAQRIVLVCGDM RIPWDSLEKFFARPPIGEELRGLGASIDPLRSNRQTARVEMYDLLDTVPAKILQQRVK AHQATQPTEVATLFELFHTYRRASCTDVRDKVFGLRSLSRDCCQASLRVDYSQSPAKV WRSVVKHYLVSHQEILISKGTSYQAAFYKMARDLFFVMDGGSEMVLDFAAWHELEEFS DFFNDAKTKRVGKGQQEDFKPAKDNKEMVAGLALGLDQYSFKFDDQINRFHLKRSADD FEILLYDFTGGKQEGTFSSSGCFYVARSPGFQVGGSIRKVNDNEFLVLRGRDTNLEIV GKAARRYPLFVPKKQQMDTSMILELNMQSVERLCRLNFESCGLRSILASQDVDSIETQ QIGSEKSLE LY89DRAFT_726432 MQQRPLLPKYDPPSSSASPVLDPASSGSGGEKAASSSSAAAAAK RRCISSACMPCRKRKSKCDGEKPRCGACRDVYRVECRFDIDADHRRKGALKRDISELT ERVEGIGAVLDAIRAGSEADVDDIVQLIRSNPDQSHENMAEMIRAMALNKHSDPPPVE PPSSLEGQLLMDFHGKASTDKSGVSSHYGHTSNFSLQDQVQNRAMTAEQVETWTTVTS DTNLINDIMESYFAWIHPIYLIFSEEIFHHGMRDRKPKYCTPLLVNAILALGCHYSDR PETRASPPIGERFFTEAKRLLAEDDNTPALTIVQALGLMSLREAISSHDSSGRRYAAQ MMSMAVELGLHISHTAQSGSKLTLSEIEARKVTFWGCFVLEQIWSVCVGRIPTLPRTA VRLEKPTLTASLENTLWRPHGFPEQDVARLTQPSLKYSILLQCSVLVEIVDDILRSFY APRDRITSRKLQMFHEQLQDWYRKLPPGLAIRKEGPTLPQVITLHIFYNNCIIQTFRP FLKVSFVQGSETPRQICTASANTISQLLDLYKKTYGHRMSVFVNTHCVMSAAIIHLVN ICSHPGTSLPETENHLAESIRAMHEMTQKITMAGRYTPIIMELIHKWCKVIPPRVQEA MDEVSIPNLGTPDPTTTNGSTINNHDPKHDHIDLTLLSRKDSAPEIFPTTTDSLKPPL HYQHNFFWTPYPNSFEGVPLALPLQESNHHMDITNVLDSGVSGDWPQWNRDGFTMGGE VWGVDWEGIA LY89DRAFT_405493 MVTEKEHSLRSSIHVAPLSINKDRSRGASSASRHRRNTSSRSSS QTGTESTSGQSQMTPPATPNGSQEDLSQQIELAQPVFHNFLRAFYPFHPTYAVSDTTV TLPLNEGDVVLVHSVHTNGWADGTLLISGARGWLPTNYCEAYDPESMRNLLKALLNFW DLLRSGVISDSEVFGNQEFMRGIIAGVRYLLEKSNCLTRESHLIQSNEGLRRNRKALL SDLSALVKTAKRLQEYTSSVPPSHADSDEINNTIDEMILKAFKIVTRGVRFLDVLDDD LRTRQQQPIHRLMATVAEEAYNPPTPPADSTSFDGAQHGDPAYDAASRRSSSRSSGSG LSGSQRLDEQTKRQSYKRMETKHPSASSSRPLSSRPSSVHIKRQSISHRLSATIPAAQ RQNLVSERLNSSHDTFLSHLGSFIGRLHLQSQSSADLISTVRQSVTAGQDLLKVVEVI CAHDNQSAEVLDAARNAMYDRINQLVIAAREIITLSGIEDEDVVMPQQNGRLLMAATS CVKAAGECVAKTKFVIERIGDFEFEPQNEGLGIDIASIGAVTEEESKPSADIIEDSPP EPASRPPPPPLIIPSYEKPLPQVPLASPTPEDNFARLSPTALEPVAENATAEQQMMDS KQEARKSLLPPLPKMTSPLMTQEDYSPSEHSSAHDSEFQASFRSGSIAISNSGTSSTY LSGMRDSEQSMLSQTSTRATTPDISVYVPRTQPSISELSMTGSQSTLADDADDGESKM LEKTYAHELMHNKEGQITGGTLPALVERLTTHDSTPDSIFVSTFYLTFRLFVTPTELA KALVDRFDYVGESPHIAGPVRLRVYNVFKGWLESHWRDLSDHDALSIIQPFATEKLGR VLPGAGKRLLELSQKVSSTDGPLVPRLVSSMGKTSTSIAQYIPADTPLPPTGLSKSQM GALKNWKMGGSNPTILEFDPLELARQLTIKEMTIFCSIMPEELLGSEWTKRSGSNAVN VRAMSTLSTDLSNLVADTILQYDDAKKRAVIIKHWIKIAHKCLELNNYDSLMAIICSL NSSTIIRLKRTWDMVSQKRKDMLKGLQAIVEPDKNYAVLRRRLHDHVPPCLPFVGMYL TDLTFVDAGNAATRQLPGLGDSDGMSVINFDKHTRTAKIIGELQRFQIPYRLAEIAEM QEWLQAQIVRVKSSSENENVQQYYRKSLLLEPRENMHPRPSPINDPTGFSSTQKDKFD IFAWTHSRDRGNNVPATPI LY89DRAFT_692368 MSIPCPTHAPTSPSYPPVVGNPITVINQTIDTRPARPQFPRQSS PWILSHGYTPGTFPVARVLTPDVERPGGHGYSWGC LY89DRAFT_726435 MLIPAESESTHDSNEDHLLQELQCITSTKPMNQFEMSTSPQSPL SRETQREYQSDQPFPQDESIIEGHLLCSWSDQLAILLRENEAAEDESYEPAAPTTDVL EPRKPETPPRWTELLKHVSNGPQMTEESEIDDLHLEEDDLISIRYEEVKSLSIEIRFD C LY89DRAFT_790414 MIISKHFLERITLSLLIASAATNVQASTTISATASSPSATSTTS PGDSACGSGYLGNGVCGWVSGASTRNTHTILWSCFAVILLCTYKVVHNNVCSENEHLA SWRQWPFYRKRLRALGVMVLAIMSPEFISARAVSDWSESRSICLRMKAKGYYCSMVQA HFINMGGLATTTSEGLALLRWESLPELMDRYGWSEVVEQLPSDVDIKDRSKTDSFTAT LAIVQSLWLVLECIGRTVGGASISELELSTCAFVLCSLVSYGFWWNKPYDIEHRSVIT FPESTQSHVLKVVSKHNLTSDYNNDRVRFEDDRTYPDIFRLYSWYIMASLAVLIVAIH LAAWNWLFPSHVELWLWRLSCLAVLPSCALLFIAGMGWTSDGHEAVFTLVAISVYMMS RLIIVVQIFLCFRKMPESVYTEVPWSYWDRYLPRLS LY89DRAFT_769160 MSSNTTIHTGFWTNYSKGAVIGATLTLTNRNGGVLIAALAIFIQ LIGGQSWGIISFIVHQRRTTTLARDGLHHQQQAVLRNNNSDASFTWQFSRIGWAWRSR ALRPYRRSLLFIVMGVLHLVAFGAAGILASHIITAGDEVTVARSSRCGPWVSVTESGS DPISDAHILQASYIATLARAGQQYVQDCPGDSQSLPECNMFKRSQLPWVSRANTSCPF DDLCLGPLNSGLSLDTGLVDSRDDLGINGREGDRIQWRKNITCVPITTDGHSKNGTSF VNYRYPYYRGRNAFNYTAIFTDLVIPSITPCWDFLTLVSPMQLICIQTTQISGCPNTQ SLMTFNPIPALSILDTDLSLYFTTVQTWYTAPSDDLWLPAHLALFENFQASSGPIVRK EIYFPDNQVTVLACLEQQQICNPTPLVNNTKHCTRFQPIFTNFEELQDVLSTSRQMRL AYSLLNSSMQSSLAYTIDAIPLLAESLGDGALSLPISPIQWILETENWFKVGLYIMQR LTVDFATSPPSQFSQFIPLNQWNNDTDLRWMCDNQILKRRDYTNFHSLSIGFIFGFGV LVYAINQSLETTVGWVRLKWRSGRWRQRAWWADGTLQLQRRAFEGMGIKDWELDEWDR V LY89DRAFT_692372 MTPSHPKNIIIVGGSLAGLMTGLALKRLGHHVRIFERSPTSLLQ DQGAGIVFGSEAQEFFSRHVNVNRKFHVDSLLRQTLRRDGGVLNQDHRGQRMVSWDLL YFCLRACFDGVKSGYCEVPERQDGEGKAEYVYGRKVINVKDLGQQVEVEYEEKDGGSG KESSEMVIAADGPSSAVRRLLLPEVERQYVGYVAWRGTVVESEASELLKETFVDKFTF FHGSGIQILSYLIPGENGSLEPGKRLINWVWYCNYPSDSPEYKDLMTDSDGHFHHTTM PAGKIQDRLWTQQKQHAQDVLPEAFSDLVCATQLPFVQAITDVLSPQASFFDGKLLLV GDAVAGFRPHTAASTSQAAYHALLLEGKMKGEMTSENMLDEMMDYARHMVAAGQQMGN RSQFGDAGINLKEIATSGRIQIDK LY89DRAFT_712519 MSKDSVYVSDHSASVLATHSWRTARNSIPYLIPHLKSNFKILDI GCGPGTITTDLAKLVPEGHVTGVEYKPEPLENARALAAEQGVTNIDFQVADIHNLPFE NGSFDVVHVHQVLQHIRDPVEGLREMKRVAKKGGIVACRESADMTWYPQSEGLTKWKQ LYMRVAKARGSNPHPGSRIHVWAKEAGFKAEDVKCSVGTWLYASKDEIEYWSGIWPKR TLESSFRDFAIEGGHCKQEDLRDIADAWRQWGQDEDAWFTVLHGETICKV LY89DRAFT_632689 MTAEDVSTDMREAIQYGLDNGRFAQNSEITLLESFGTQSEWKET VLQSHSLKAKLQEIIHTSTAAVTIRTFLIPWSVPNGHETSIGITATDMELLCNTMKIR PVFVRGLVETQHWIRLGNGLFVTKDENGKCLSIDIFYRYFNGRWLGRSFLYSKYEIQR NTITNIWVNAKPRATHILNPLIQNLGLPAACRYFRIHELVLPHCLEGLSSEGGHLRNG VLQEENGPHNLQPKAKSESLHRLSSALYSLKEYLCDLEELIGFLIKASRRIATVINND KASEQLRNTDKLEQILSTTTKAGRWVGSFRDRINIRINLAFHLSTQADNDINLQISSA TKAIAHEAQRDSSSMITIAAVTMFFLPGTFVSAIFSMTFFNFQQDGMGNQQFQVSEKW WYYLAVTIPLTVAVFAIWTIWQKWKFRNVLKDDEKTAFEIKNKF LY89DRAFT_405612 MTMEFIPYRLNPQQWLRADQIMQDEASKPYESRPAVKVVVNRTL GTSFNNVFKTPTFNAVKKRYETYFLGKMGSHGRSLVELGNEMMDLGSMKQLMCTPSEL AEDIKNNYFKNSPSALHTREAIESRYRTYFMKWMDADEMMKYAAQPTDNLGIRFRIGA VVDHIKTTFFPMDPLTTETNIQDRYCRLWLGGVMTATEVEAYDQQCIDQEWSTLPRET NVSRPPHQPTNDFETAQESISSTLPLGFPRPPSQQSVVPPNSSPPPQQSVAWSASVQS QPLATPSQVHDSLEPTRQSSVNSHKRIRGNSYDSNAITSNTSYMGRSNSTNSPLESLP PFQKLQRELRDSGFFSSSSSSDSRSLISRMSRLSIEVKPCTMNHSLASSDNPCSVCQY PELQPKPRVYVAPEYASPQRADVVDADEMDNGILTGNANAKSL LY89DRAFT_405648 MAFGKVQEAKVSQSVVGRTNANKRHGIIGMLQNPYVFMTCLFAS LGCMMYGYDQGVMGSILVMQNFEAHFPSLTGSTIQGWLVSALELGAWAGALFCGYLAD KISRKYSMLVAVIIFTIGTGLQTGAQNPAMLFGGRVVGGIGIGMFSMVIPLYQAEIAP PELRGSLVSLQQLSITIGTCISFWLDYGMHFAGGSDCNAAGVPNGGNLPDGTFDYNAA HGHTCLGEEAISWRIPLALQLIPAWILFFGMFFLPFSPRWLMMKHRDDDAIASLCKLR RLSSESPLLRAEYLEIKAAVMFDEETEAELNNTTKFGVWKALFTPNMFRRLNIGVWIM IFQQFTGINAVLYYAPQIFQTFGFTSVTTDLLATGVTGIFQIIFTLPAVLFLDNFGRK TFLITGAIGMCICHVLVAAIDGSFEDSWPTHRSAGWASIAFIWLFAVNFAYSWGPVAW VLTQEIFPNSMRSRGVSIVASTNWMFNFIIGLTTKHMLASMKYGTYIFFAAFCAMGGL FVWIAVPETKNKTLEELDVFFGGDADAIAVKDRERMRAIEQRLGLAGLENVEDLQVDE KAAEHKEVAV LY89DRAFT_405646 MVQSSILGFPRMGVNRDLKKATEAYWAGKISQADLLAEAKRLRL EHWNIQKKAGVDVIPSNDFALYDQVLHQIQDFGAAPPKYTSHGLNPVDEYFAMGRGHQ KNGIDVPSLEMVKWFDSNYHYVKPTLQDNQEFKLTANPKAVSDFEEAKAAGIITRPVL IGPVSFLHLGKADRDQTVQPIDLLEKLIPVYVELLTKLKAAGAETVQIDEPTLVYDLP AKTKAAFKPAYEKFAALGDKIPKIVFATYFGDIVHNIEVLPKDVYAVHVDLVRNPEQL DTVISALGSKTVLSAGVVDGRNIWKTNLKRAIETVETAIQNLGKDRVIVATSSSLLHT PHTLASEKKLDPEIADWFSFACEKAVEVSVIAKAVTEGPASVREQLEANAKSIQSRAT SKRTNDPAVKTRQSKITPDMYNRRSEFPTRIAEQQRTLGLPLFPTTTIGSFPQTKEIR IQRNKFTKGEITAGEYEKFIEKEIQDVVKIQEELDLDVYVHGEPERNDMVQYFGERLD GYAFTTHAWVQSYGSRCVRPPIVVGDISRPAPMTVKESKYAVSISKKPMKGMLTGPIT CLRWSFPRDDVHQSVQAEQLALALRDEVVDLEAAGVYVIQVDEPALREGLPLRAGKER EAYLKWAVESFRLSVSGVKDSTQIHSHFCYSEFQDFFHAIAALDADVLSIENSKSDAK LLKVFVDEVYPRHIGPGVYDIHSPRVPSEQEIKDRIDEMLVYLKPEQLWINPDCGLKT RQWAETKAALINMVNAAKFYRQKYASTK LY89DRAFT_692379 MSSITSQIVSDHYSALAREDASLNAAHIRKVAESFGYSTDDLAS VPEGANLGVSCGNPLAVAGLKEGETVIDLGSGAGFDVFQAARKVGQNGLSIGVDMSQD MLDRAKRNVEKSSITNVRFVLAPITKIPLSSESADCIISNCVVNLLAQSDKIVCFREV FRLLRPGGRLAVSDILAKKVFPAELQRNMGLYVGCISGASLVNEYQEWLKEVGFEDIM IVDKKSDLNIYKERGQEDTSSCCDTSVVKPQAESSSCCAPSTSCCSRSGNAEVDGKKE LAKRVADIDFNEWVSSYSIYAVKPSKSQ LY89DRAFT_769177 MLAFAKVSLHTRLWAFLRVSLIGVVWLTGVVLFFRTSTIVVYDT ESTYNVTAGVGPFNGSYVQPFFDYLHSLAPSYPLQTLPYTYYAVSYNLVINPIFSTVL QPVSCVQNQTSGTRCMSYLLSGGLEMVAPRTVSTSEDYPMVKISKVPSMQLDFAEDIP SNIFSEEDCDTFGAANTIIALRLCLAEDQLDYGRWNAGCLYLCNSAENGTLCDATGAD SLPNITSSISFYKRQATVMAMRSNYSITSISDLSPPESVTDMDLPAYRSALRWLLNFT AEGIPPPSSIVESFWGADDQLNTGPATYGLLLQEFQSILAFPLWFFNANNFGNIALKK RTTSAAPQEVGLPAEFFTVASLVAPYAKLKFDPVMVILFIVLQGLTILLVWSILFWIW FRVGVDQLPNVSSHPQFDAMFKIEAKGMSKAHTQDDLLDAEGGEAIKLVDGVRVYMKD N LY89DRAFT_769184 MASSTLLETSATEPLLPSSSSSTSYNGEVEAQSFKPSRHVEDAI IPETAVLGRNLSWASAYILVISRVIGSGIFATPGSIVKTTGSVGLALLLWVFGAFIAW CGMIISLEYGCMLPRSGGDKVYLEFTYQYPRFLASTLVAVQAILLGFTASNCIIFGEY VLFAFGVEVTEVSQKTLALGLLTAITIVHGCFMKTGIRIQNALGWIKVGLILFMALTG IYVVLFNVKPVKGSTPGSQLSWDGLWKDSNWGWGTLSTAIFKVSYSYAGLHNLNNVLN EVKNPVRTLKTVAPAALFTACLLYLLVNFAFFVVVPLEEVKESGELIAALFFERVFGE QFGKTILPLAIAVSAAGNVMVVTFTLARVNQEIARQGFLPLGKYTNFFSSSKPFGAPM GGLIVHYIPSFLVIAIPPRGDVYNFILDVEGYPGQFAALATSIGLIWLRRKRSDLGRP FKAWVPAVWIRIAICIALIAAPFFPPKDGSADVGFFYATYAVVGIGLIVFAVLYWYVA LVALPNWHGYRIEEDVEILSDGTSVTKLVRVKNE LY89DRAFT_769186 MASAATQQAFVPEPFGAQDIEVKPRDVTTIFNYFKENEDGSPPA PSYVGKPETYFRPTVPQKTVVHDIRGTEKQYTLDTKGFQIVKHASKEKDFLDDEQIKR IYYPETEDLLKKATGASKIFIFDHTIRRNAPDSSQTAENPKVPITLRGPVQRVHIDQS YAAGPQRVTHHLPNEASTLLRGRYQIINVWRPIKTILKDPLGVAEAGSVQDSDLVGVK LIYPDREGETYSVRPSLEHKWHYLYKQTSEEVLLIKCFDSKTDGRARRVPHSAFVDEG HEGDVARESIEVRALVFHPDDT LY89DRAFT_743708 MKPSVHHVLVIGLPVIVCVTVFVVATTITLILRPRRASTTTKEA DIELAMPLPMHRWSDASIKQWRQAHRGNSRWMAKMQKYQGQGVKAKIKFKKVDWNKGA ASQGDEQSSGGKNQSKVADEEDVGPTE LY89DRAFT_743709 MCLYATIFFIGYCLGICCSTEAQDSEEDNMSEQYVQYGDPLLPS PSSPAYAQANEQTPLLPRADRLRKFSPRGSQKRVTSWVLTLEREQVERKRVTDEQ LY89DRAFT_632701 MVWDILRDSTFGQLTRLATGDRFFSYPEEQKEFEFSRFYGDASH PPNPRDESEFDTQNGGRTDTLEAQDGRASSISDKDEEAGNNEKKFEGDDESTPNLSAG QAPNSERVSESGDLEKATENTETPNLYQAATAPATGNAEGTLGRARSQPIVPVRTTTG TILVDWYTTDDPANPMNWSAGKKAFVAGQVDLYTFAVYMASSIYTSSEPDVMHVFGIG YTKAALGLAMYVLGYGLGPLLWSPMSEIPIFGRNVPYVGTFAIYVILCVPTALVDNVG GLLVLRFLTGFFGSPCLANGGATMQDLFHPFYIPYAIAIWAAAAFCGPALGPMMSGFS VAAEGWRWSLWEILWLSGPVFILWFFFLPETSADTILLYRARRLRKLTSNPNLQSQSE IKRRNMTANQIFIDAIIKPFEIMFKDPAVLFTNVYTALIYGIYYSFFEAFALVYPPIY GFNLGLTGVAFLTVLVGALVAGTGFFALLHFVVIPQMKAKGPGKQEDVLMPSLIMVFG PPIGLFLFGWTARASVNYIVSIIGIGIYAGSVFIVTLGIFTYIPMSYPPYAASLFAGN DVCRSLMAFGAILYGRPLYENLGIGPGSSLLAGLSFLGVIGIWLLFFFGENLRKRSKF ALH LY89DRAFT_603033 MDTYKYEPLDLDKPAVRLLRLLRGELGDVVECDLFQGWIDRDDS GMPYDALSYTWGGTEKPNTIKVHGTAMHVTANLYSALQHLRLKDEDRILWIDAICIDQ SNVHERRHQVQHMGEIYKGAEHVVVWLGEGDVATDLLMGTMKRLEERLLEVSGDWRRS AHLWIQPWTDLQSSDERTNTHHRSHLRHGMSSILKRSWFRRIWVLQEIAHARVATIIC GNKSISARVFAQIPPLIGLVVDNHCQAILDIMPGFTCKESWWNEDRSLHKLLMKFGHS EASDKRDIIYALLGISSDASDSKILIPEYTKSVREVIRDTTSYI LY89DRAFT_405830 MANNILFLPFRKTNSQSLSTAIKQYISSKYDQHPDMFRQDLEVI DALRRDAVNVREPHTSGIKKIAAYAGQLSWMGGKFPIDIGVDFTWYPALGYNTERPIS QNNLKFELANVLYNLAALYSQLAISSNRSTTEGLKAAINYFCLAAGVILHLRTETIPE LRATPPEDMDDSTLESLQQLMLAQAQECFWQKAVMDGYKDASIAKLAAKVSDFYGAAG DWGVKSEAISSEWIHHTTAKHHHFAAAAQYRQACDCLEKRKYGEEVARLRDSIACVNE ALKEARYLNKVVLGDLNGLKNKVTEDLKRAEKDNDLIYLNPVPPKSELKTLERANMAI ARVPKEVSDPSSFIGDKGEFGPPLFAKLVPFAVHVAASIYEERRDRLVNTNIIDELEI MTTKIHDTLRSLNLPGSLQALEKPLGLPPSLTSHAEEIRQANAIDRLHRSFSDTEKLK SSDNAMFAEGCELLQAEKSENERLMMKYGTDRWSRLESTSAAPKFYAQVDEIGGYLSS ASKSDELVKSKFRECEDMLRILSSSDRDIGNFVPSSRRAAIPPKLEGEVSKLRNSLNE VSRLESRRRRKIEALREKAKRDDINHDILAEAARLEREYPSQTIAAAQFEDFFDKHLA KYDADVATLKTEADEQEKLVQQLEVANASFVGARKGDTSSREREQALQKLENAYLKYK EIIHNLETGRKFYNDLAKIVGRFRDGAKGFVNERREEALRMEKYTPLSHHGIHTDLRA ATSPSHPSPPYPSTNKPNKPTHPPSLDPTTPRLHNNHTPTLQFLNHSAYLSHLHHNNT VPHNPTPRSRLRSRRELV LY89DRAFT_678652 MLSPRNTFTRVLFLAAFCTVLFFYTLRPISDHPRNFHQEIIPIN ITQPHSRDCSLDAAHLRSLQKKYNLEDDIDYARRYVRFHRQDIQRVSMTKLDKDLFPK GFDRINIQDPPMKTTCLKPLEVPVPLSLTPKTVDASELLFGVSTNYKRLTDEKTSPMK EWAHWLTDGNGKSNGAGLVLRLVDASEEEMENSRKIMTAMGTNVKVYHSKSSDEMAAR YLSLLPALYNDSSRKNRKFLVMCDDDTFFPSMHSLLARLATYDHKEELYIGTFSEDVN NIQRHGSQAFGGAGVFFSIPLAEKIAALYDQCSTSEKIKESNTGWGPQGDILLRKCIY ENTEVRLSMLRDLHQLDIQGDPSGFYEAGLAPLSLHHFKGGIWHEAKPYAGAQVIHAC GEACFLQRFQTKDDFIISNGYSVAHYPKGIDFNVHQMERTFSPAPDDYGWNLDFMLGP GRKSLAYTGRKVAWELKEAKVQDDGSVLQTYVRKLDDRRWSYQDGENWYRMMEKDGVV ELVWIP LY89DRAFT_678653 MLFLPLVIALVLSFTGSAIASTKSCANNNDLMRCFLSSTQLATP FCSSFLSIPTATFTYTATTTSYLTLPTLATIETDVIESSEFITSYTPVVVTTTLTSTT TLITDAPANIAKREPKAPAPKPSPTTLACLSALTAKLAHSSTSIALACSCLSVKTSTT SVTSTQYVTATSFSSSTETTTTTTIHEIVTILPSTTIQTAIAMETDSTSCFGLTISSA SDSSLIGQVLALTASDYDPAVGQLTHFTDPSSAEAFYLSSASGLVSGLNGAFPGQTAA IAPGSTAYYIQFMTPADKAGYGLVNLVCGVDHGGTLACRDASGEGDDLLEYCADLENH LLIANYQYDPTCVTVTLRTVPMSCHGVD LY89DRAFT_743715 MSIQPLPPEVIAQIKSSITITSLNGVICELVKNSLDASSSRIDI SVDYTRGGCVVEDDGLGIQPSEFGEDGCLGKLYHSSKLNSQTIVHGGRGTFLASLSAM ALMSITSHYHLHRSHNTISMHKSNVVSRQTPAPPQHYLSNSNHGTRVTIRDLFGNMPV RVKQRAIAAEKQRRNHKDWEELRRAIVLLLISWPRNVAITVRELDSNQKLAIRPSVHF NEGITGVDASRVCSILTQATFVSLDEKTSWVPVGISTQSLVVQGTISLVPSAIKQVQF ISFGVHPLSGEGPSILHDEINRLFFNSSFGNEEEVSDLDDAERTRRAKDRRFNSDGYT NKELKGGKKGVDRWPMFYINIGQVSSAGTGEMNPDGIFDDTGNKFGAIMELLQVMITK FLTVHHFRPKPSRGLRSRKHNEGDFPQPAFPNGSLAETKISASLNRVAAQAKKSAESR SGKSRLDPLGTNVRLPPFRRSLSKQDSPFEGWSKVKSGAVIVDLSEKEKREETIARPS SAPPLIRGTTTERSQTPLIAKDGKLLRQPFDDVVTARARTTKVIRGPTPASQTIQTTS HDGDEFVAWVNPITKVRCLVNQRTGMTLPAKNERHGRISTKPSFSSQSNRNSTEPSNA WISDILQGWENPVFSTVEPTIPQILLEGPDEHTQSILHGRHHHCSQIDIDLAFKESSA GVSGRISKSALRNAEVVSQVDRKFILVKVRALFAQSCDDKNSDGILILIDQHAADERI RIESLMEQLCTPSKDDCVAPESPVVTTLLNKPLVFEISMREVQVLNSKISYFAYWGIR YEVALNLRGSDTIDAKAMPRLSVRSLPPGIIERCKQEPRLLIELIRTEAWKAHELGKN APDVSAGQQTWLQRTQTCPQGILDMLNSRACRGAIMFNDELSKTQCEKLVRKLADCKF PFQCAHGRPSLVPLVDTSTMKKAEHRVAVILGEEENGSFGHAFREWKQKSQSYGCLRR RRASSGGTI LY89DRAFT_702889 MATTETAQQMHESPSKLTEMPDRPGRRRPFSSWMKKLANFKAGS SADSGSPASTKRNTYQMRSNGKKPSPSKNNNPYPQSGVMNGNDFTAPNGHRSFSTVPT GTSMSTTSLDRSRRSFRSSLDGLPPPTIGNKSMAATSSTDHDAAHSDAAPSHAPSSGQ GTNATAGCGVSSGRGADSTFSSPAHSVRSLTTTLTTIQSVAPQAATGTHHNSNTNNSQ SIQFVHQFPTSPPPNALPAHLVPQGSGGHPATYNTATANNLLTDNASILTLASSSKRR RRRSMDTDASVRALAPSSLFGGSRESLPLSVLSANIDPSGPPHQTRPSVGGLNERASI YSATGVAPALPSERNSYYAGKQSMAADGSSIKSGLLGHGRNDSISGSIGGVVAPGSPL ASPRDLTAQNGKLSRTNSAWGEGGEGGKNDSADAEADGEYLKEAEKS LY89DRAFT_603073 MHFSDFLSLLLLLALEWNVEAIKLVCHDETFVPDAVLRVTAENH TTSCLPSKSTVLVNGTSPGPELRLVEGRTYWIRVYNDMPAANLTMHWHGLSMAVSPFA DGTPSTSQWPIPPMHFFDYEVSPAVGMAGTYFYHSHVGFQAVSAAGPLIIDDCEEPPY KYDGEKIVFIGDTFTGNDSTIEAGLVHNPLVWSGEAAAILVNGQGGGTNNGTACNATL SSLDVEPGKTYRFRFIGGTALTFASLAIEGHSNLTVIEADGSYTEPYNTSFLQVAAGQ RYSVLLTTKPASEQTKYFMQLESRERPTVTRGYAVLNYGTPDPPSTFYPPAQPVMTLP NTTLGFLDYDLHPHSMYGEEYPSAQDVTRTITMTVHQRVTGPTIWVENNYNWTEQFPQ EPYLVSLYKNDGIEFPSMERALANNGIDPVTRSFPAQIGEVIEIVIQNTGADAGGLDA HPFHAHGAHYWDLGSGNGTYNATANNLKLTGSQPVKRDTTMLYRYAMTTGNGTRMGWR AWRLKVTEPGVWMIHCHILQHMLMGMQTVWVMGNSSEVLGKVPTPEVEGYLTYGGDVY GNETHAPNIVQFSDDWEEEQ LY89DRAFT_692388 MSWMDSWSRPGKHAAIPPPLYSTQGDSARYCQSCGRIMSSSAKA GTAKLEEKKYCSSRCRSQKPGPQDRRIEDAFVRLLMADSKFEREPIPEALLNKRTKPL KGDPRVIVPCSVVEELIFGTRHDPTKTSGRKKNRASRVIGDENEELDGHGAELKQFTT QGFAGKVRPPQHLTDINGSIGGEKGRAEKGEETGEHAGRRKEGLRVTEEKERVKRAAR RGVVFGFTVGDAKEAESEDKGNDHHARRKCEAMMKGKVVEPSFAKGDWGIRWRE LY89DRAFT_405987 MSTLRKACRNCTLYKRKCIVQLPKCIRCAQRGLECSYDLEPIIT PTTQLQELPHFLFNPSNCDSPGYCIMKTLKFRPSFIDPTICRPGHRDAIEALRWGYLP VTGLLRAGKPAIFVHPKLQMHSDCDYLAALGEIGESGASHESFEVLLQLNVTKVPIKE ALVALQALIVYLSTFVFPGSPGQQINAEKYLNVLSDWTRTLLASAKTRMPPDQSPWQE WLFGESVRRTILMSYILSLAISSFHAGHCTNWLFVESLPFDRRAGLWMAESPQAWIAA AKAKTGEEVGERLSSLHEFAEGLNRSEHTFYGDIFLALLAYGHNGGNTSKAVHKN LY89DRAFT_692390 MADPIASSPLPYYSAVDDTGRLVHALLRASPGKKLIGVNEWLSL RDFAKVLGQSLKKGVKFVDSNPDFTMGDPDLEEDFADMVGWLVEFGYDGGKVDKSVVQ PAELGVTLDLLSVKEWCAKQDWEKVLEVEG LY89DRAFT_603076 MDASYRRRSRGAGIVTPNACTECRRKRAKCDGQKPCTRCASQLA DCIYEAPARQTKDEMRSEIRRLQEYQRQSKMMLEALASDGGAGDVLQMLKKGEAVEDI SRRLEASKLPEASSTPSTTATKNGNLTSSIHQLPFEFEDWLEQWARANLLESAFRHEQ GSRRPSQELLLDHSPTDYLETWTTVTSDRTLVEHLLALYFCWEYPIFATIKQEQFMLH FFTGNPRYCSSLLVNALLALACRFSERAGNNLGDAFFAEATRLINTEKRHALTTVQAL GVMSIREASCGRINESVFLSGQSISLAIEMGLHVETDSEDEPVREATFWGAFSLNKML SLVTCSLPQTSYQIPLPAKPAIIDRDEQSLWVPYTDYGEPLGLQFGQPSNLRSVYWTF CELSEKVYNALHILYSPVSGMNSAKLIELYKLYLEWYDTIPDSLRLGQNSTPSVLFAH LFYHSATLILFQPFIKLRLVSSSIVPLEVCLQAASAITGLVKSYSDLYTLRLTPSFVP YFVLQSSIIHLIAIKMDSSNIDARMKLEQGIRDLEAMGSCHGFALRAIEALSVLAVRW EVDVQFAQNESPNSDLMDYFSADVPTLGRVHSIGSDSEGEPFLFSPFPLQGLPCLGVG ELLERDGFTMHTWI LY89DRAFT_405958 MRMLQTVLWHQWSGSNPICNQILSEIQNSLGHLCDSLLSGSIFI SAPTQLCQRGSTRPHLPTDHSIVDLLAPERRSNTYYPCRFRGCEVGGEIVVVDTLPGL VWCTPRSTISSKVEICQPVNSVRVDHHVISRIVRYPAAETAVQTPGS LY89DRAFT_692391 MRLNQLVGTIGCVLVGLSEAVMVNPLPAPTSISWGTTGPKEVAG YLVLTGSSNPTVVSAWNRAFGTIASLKWVPQATEAPIATYAVFPTTAAKAKRTTSTLL TGVSVKISDSNAALQHGVDESYTIDITASSPTISVTAKTPWGALHAFTTLQQIIISDG KGGLMVEQPVSIKDAPIYPYRGLMIDSGRNFISLPKIYEQIDGMALSKLNVLHWHLDD AQSWPVQMSTYPEMTKDAYASYQIYSHGDIQNVLAYATARGVRVIPEVDMPGHASSGW KQVDPSIVACADSWWSNDDWPLHTAVEPNPGQLEILNSKTYDVVSKVYEELSSVFTDN FFHVGADELQTGCYNLSTITTEWFAANASRTYNDLLQYWVDEAVPIFQKPRDRRLIMW EDIYLATPHANSVPTDIIMQTWNGGLTNIKTLTSAGYDVIVSSSDWF LY89DRAFT_743722 MKNILILGSSYAGISTAHRILKQSSKTGPVKITLVSPNTHFYWT MASSRGLIPGQFSDDQMFQPISAGFKQYVEAQFEFILASAESLDVDNKSVGISGGRTL KYDFLILATGSHYKGDTPLKGLGSTEATQTALHDFQAQIQKAQKIVVAGGGVTGIEVA GEIAYEYGSKKEVILIASNPTLLHESPPFISKFATKELHNLNVTLKLGTKITSHTHST PDNKHHLLLSNNEKLVADLYIPTFGLLPNSSYIPSNFLNENGYLVVDDFLAVKGAKDV WAIGDVSAVEPSQFIYADKQSGFLAKNLGLLLSGKPVLKYKVATSRIFGFQVGKKAGT AHYGNWVQMPGFVIVRVRKNLFLEKFVGLLDGSGL LY89DRAFT_603079 MATEYTIEAAASASQSSVPITNGVKKLYKPRYIDIGINLTDPVF TGLYYGTQRHPNDLSGVLQRAKEAGCEKLIVTGSDLKESRKAVQLAKEHPRVIYATIG VHPCNCSQFTKSPSPSTILDELRAMAVEAKASNHAVAFGEIGLDYDRLEHCPKEEQLK YFEAQLSLATEVQLPLFLHSRAAHADFIRILSSFSSRLPRKGVVHSFTGTKEEMWDLV EKGWDIGVNGCSMKTAENCEVVREVPLDRLQVETDGPWCEMRASHVSADFLLGMGVGP KAEEEEEEDQNGELKEWKSVKKERWAEGLMIKGRNEPCMIGHVAWAIAGIKGISVKQV SEAAWANSVRMFGVAE LY89DRAFT_790436 MTTLSIPTTSALPSTQLVPSTHPAVHRTLLRLSRQSMISLVLDW LDERNQENTAPYLLSSDDEDNDENDDDLYPPASSLAALREIYTDLQGRKGGKRDVVDR AIEGDWRDGISLYQLAMADMQYLYDHPSSQKWNALKITRLTNDQEKTSPSIPRFHPAT FLRNLQQEVLPDVKAHYNLDRHATLPLLILRVFIVESPYNTSLALQSVNQNSILDSNK TFYVAFPDSSPHVFVSATFNAQSSSRGPSSNNKSLRRLILEGIPKAFSKPRERYTLQS TSLSAKNLEALVEKRGGGRTSAAGGGWSVYAEEKHGKDNPLNTQLPTPEASILDDVES NTKEEEKGLKRKREEAHDVVKRRRLVAQGRFGNTAKADDGKGIERLDIRVEDLFPEAD AAEEEDLNLDDSARDRNSKRKGRRSSISIELDKAEEDDVQADGWRPDVRITLHGSHVF AGIRDLIEAGIVEGEKMPGWMTGEEGVSLGVVRDGRIRGFKGTGV LY89DRAFT_406120 MAYSGRTGPNVSEYIANLNAIPPTAAEATNDDDELNFDADLAMF TNTQFFDYDLGQDTDLQSTNFGVDGQDGAPTVAPDSVDLKSLEFMNGDFSFPDFNNFS QPSFDNAARPLAPINTSQSIYPASSSAGSPGPAPQSAGTKRKADSVSSPQNIEDASRV AAEEDKRRRNTAASARFRVKKKQREQALERSAKEMSDKVSALEGRINQLETENKWLKN LITEKNDSKDDLAVLWKKYSENEARKGAERTDGVGTDA LY89DRAFT_678666 MKRASPKNLKRSFSTQKVLSTGLGTQFPKKIWQTWKVDPLNFDV RDSDTAKTWTAKNPSYRYEVLTDNNDLYYVETHFGPDGFNRPDIVETYRSLTAKIIKA DLLRYLVMYVEGGVYADIDVEALKPIKRFIPDRYDEGDIDMVISVEIDQPEFKEHAIL GKKSQSFCQWTFMCKPRLPVMLKLIDNILAWLNDLSRQQGVPISELHLDFDEVISGTG PSAFTIAVLEAMSKKEKSTVDWSVFHDMNESKLVGGVLVLTVEAFAAGQGHSDSGNHN ARTALVKHHYHASSWPSNHPRFSHPVFGEVEKCNWDPECVKLWDYNIAVFKNLPEEEQ KQQIAVKEAAEKQLADLAALAPPPALAPPSLP LY89DRAFT_692396 MPSLPRSYTLPTSFNMPSKKDSILDAGNWDEIKQDVPPLSPIRR GRSKTWFLDIFRPLCMSKTGDPVKKARRTAYLDGLRGFAAFMVYWHHHQLWAHQTNKT DEVLQHGFGYNNEHHFASISGIRTLFSGGHFAVSIFFVVSGYVLSAKPLSLIQSAEHS KLGDNLSSALFRRWLRLYIPVIATTFLYMTSWHLFGLWTDSEHKGTYGEEVWNWYAEF KNFSFIFTGGGEPWLSYNFHVWSIPAEFRGSIVIFTALLSFSRATRNARLWCEAGLIF YFMYIVDGAFCAMFCAGMLICDLDLLADRNKIPEMFTRFEQFKELIFVNLFVVGIYLG GIPNHDGKLDELKKEKGWYYLSFLKPQAVFDYKWFYLFFAATFIVGSISRIPLIKSFF EMRFNQYLGRISFSLYLVHGPVLWTLGDRLYAATGWFRDVHQGGIPNWVNALPMSKAG PLGMEVGFLVPHLILLPVTFWLAELVTKLFDEPSVTFSQWLYGRTLRGSKL LY89DRAFT_692397 MISRPIAIVAAILIIFTFGLYHNSGLIPKSTSSTIPAKAATSPT KPSSKSDSNAELGVPHAETYFEQVFSERKPPQYDFPALKQQCERTKWPEDEVYLQCGG MAAGLTSIMSQVKVCLKMAVEAGTGIVLPSMPLRDSKQLDNFNFLNGEAYLTYDQWFD AEHLISQMNRACPQMKIVHPNQLDALTEDGVQVKNKWSIDLAQAPGYVQFMSYFWAGR PFKSFFEEQLGRLENLQQLDPHHDDTKKGITIINISSQFLVFRITDDPTGEDLHLWND LGHLIRFNEVPRKIVNQLIRQINRPFYGVHFRVEKDTIWSSLENQLAVDLDALDTAWT KYGDKNSPKPLVYLACGDQEQVEKFVAEGKNRGWDVTHKWNLASTSPETLSLINGLAF DFQGAVDMGLMVKSEFFLGITGSAFSSSIGNVRDRTGRYRGSSFLVWDDEGARTHLFN DGDAGSYACCL LY89DRAFT_406326 MDAALKCTFPGCAKTTSFKTEKLLMTHVENIHTFPLYCTQPGCP YRRPFPRKGDLDRHLASKHGIGRLFRCPRLDCPRHRRPYGRKDKLRDHVNDYAHGINH CQYDHCLLKSERGLFCQTDVTKHEQSSKHGEYECSIGDCAGSNSHFTKQGLSFHLSSH RIGPREVDIEKMLKPGKHVLRSEDINQLVYSDKTKATSCVDAYQSKSCTA LY89DRAFT_790442 MSSNGLELGQVTLTNSAERIQLSASCAVSFQLPQSLPSLRDADI LKALISGHIWTSRGRGCSLDITILLDGKPLDETVRAAFRKGLGQRVQDAKIWNQLSLL PGLDIPTIPFSRSFGPDVSITLKHGHPQAMKAGLKGFLLDKTDVSPPDEILKAEMETI RLLLNFFTIDVPAKPQNPAKQLQQDAELLDQDRKLKTDHLLQLENTVRRISSLPLVVA ANFGQFQPILPRLDGSGTKVVPSKLAMETNHNKVLSRSTSSEKLSMYRRYPKEVVGIL EAWFRSHLLHPYPTNIEKQDLMKQTGLKKSQISNWMAKSRHKLSGASLVQDEEEMLFS AVHSQVESLEEDIPGLWSQCSEDTESISKASIFSTAASSISEISSQHPSSEPLDLTVA CELINGALQTFIGGYYPKIQLPLKVKIEKPVPETSLSQLVPTMFNPGYRELMAHHSRF LPTITIALSVTLPKNVQSPSLKKKLEQIASIPISPLVGEDPSDNVDGSDSLSRVIQTS LFSMMQRTLYHPPATRKLWKEPKPATDVSSKSQDSDSSPDLLEDENPGSSNWDEILAH FTSDDDFDDLLYGDDEDELDLLDESERERLAIERETDEMLFGDGWDGEEEDEFLLSGG ESDGENMLL LY89DRAFT_406347 MPAQGPLPRRMTRIVPENGYESPQSPESSGFFFGNGIIIDGERR RRNPFGDSNEDTAADDTVEEQDTAAECQQMEQQNEDAPAQRIVGFRDRVGSFTWTWFT MTMATGGIANVLHSIPYRSDWLKILGVIVFLFNLVLFILNCSLITLRFRWNPGSFTGS FKSPSESLFIPACVVSVGTILINMSQYGIAETGEWFQTVMQICFWAYAVLSFVASSGL YLIIWSTQTFPIHTMTPIWIFPAYPLLLMGPFAANLIDALPNSAAATRINSVAITFGA LCIQGTGFLVSLMIYSAFIYRLMTQKLPRETTRPGMFVSVGPSGFTVAGIVHLGNTVI PKVMPNGFEGNGDAAFIIKLLSDLAGLWMWGLCLWFFLVSIGAHWQVMQPRHPEHHIR FDMTWFSFVFPNTALVTATQALGKSLDSNGLKIFGTVMAVILVGVWLFVFGMMIRAFY LRRLLWPGEIDGAEASRRRWIGKVEEAAANGASRLRHSNDTNP LY89DRAFT_743732 MITRKPHLQNLRSLIQQAMKQRTPTSLPRISLPQRRYPSDLPSA STDSTAAPSLAPISIVLNLINSQPTNSTQTSNTAEPPNTAMCRYSDVGGVFTGWRVYT TGNWTGDHGKELHSKLKKRCGGIVQWQFRYENKTLNQWNNDFWGSGDGLADFKTWKFH TKWCVERAVRDATKNAPGGLSGGEKAIECKHLVYIDTEDTRM LY89DRAFT_743733 MKLTTLIVAALSASLALARPYDNRLADKPSSLNGNTPSNDLVEI KHGNENNTALCAFETFAFRPGSDPMLQYHQWYIWANGPWDLLATERYDDAGHALKYGT AFKEKLHAKCGWVDDFQFQYGNSTIQEWNNSKYSNGEALISFITLVPTWHHCVNGGIA EFTRDHGGLANNGRAKGGNCHYITEGYRDLQQIKDRAWEWDLLDH LY89DRAFT_632761 MATNEESPRTGQTLAIIGCGTMASAILSGVLNACAASQAQGDEP RISRFIATVQSEGSAAALRSRFQDRIEVIRGDNVTAMKEADLVLLAFKPYMVDKVLRN EGVYEALLGKLVISVLVGSPVQKLEDAIRLCQPFGKGTITNKAGALHIKRAMMNIAVE FGAGMTVIETTEDMPPSYNELTDWIFLQCGKIQSVAPELFDIGGVMAGASGALLSVAF DGMLDGAVSQGLKRADAKKILTQALFSLATLLENGEHPAVLREKFSSPKGTTIDGLLS LEEDRARYAFSKAVIASSKRSQEIGK LY89DRAFT_406400 MWHVVVGISSALSTYIWPNITRLDMVAREKTWWSRMHSFFLVHV IWIVDNRSSFDCLPSMIVVDFDFHLVLVLVLVHAMRSLLLGTVQQTVSPKGRPSQSDP IPLSFRLKIKMLILTPCRLHPSKKKRNPVTHSHSHSHSLLSCHHPRQNQTRQRES LY89DRAFT_603132 MLFSIPRTLAVLTTSIAISNALPQPQPKATPLPHTSPNPNFHQL SERNLQSRATQTQYVTYAVAGINQLMTWYNAATGLWSGDWWNSANVITMLADFQDYFP SMATGITNSVFPTTLAKAPSTYAGFLNGFYDDELWWVLAWIKVYDVTQDETYLTTAAA IFEDAKSAWGTSPCGGLWWDKAHTGVGAVENELYLTAAAKLANRRPSTPSQGYYYNEA IKAYTWFINSGLINSGNTINNGLVLSTCKNDGNLVFSYNQGILLSGLAELTWASGDDS YNELANTIATAAIAALTDANGILHEPCEATGCDADEEQFKGVFGRNIQFLVNRATVLP AATKTLFVNFLEVNANAIWADDEVDNQLGLVWSGPEGTATVQTQSSALDAIVGAACVS LY89DRAFT_661946 MQLLAVRIMETATSEDMPLRPTGNMERFNIIRNSLNFYNNIQVS ATYTIPHSAITTYSLLPILQSALALALQSQPILGVTIQDEGTREPKWKRLASINLDEI VKIIETDDESHDDWITTGHRTRLDRVDELPVWRIIVAVPSSAKSKSEKQDEFSFTLAF YCHHAIGDGLSAGAFHLTFLSALNTLLSHPTSITPQPTISVPKLPLVDTLEQRCKLPI SPWFAIKKIVAAYIYTPPSPLTFTGPPIPSTAPLTPPVSNLLTFSFPNPTVQKLLSKC RDQKTTLTCLITVLVARRLAQVHRSRHKRFMGNIPFSVRKWTKHSALDMGCYTSMVAP PFSCEVEVPAGYISCLTSSAPGKEGEDEKALWTAARQCKAFVEAGTKSERNQAVGLLV FVSDIRGFFLGLLGQKREHTFEVTNIGVLDGGKKEEGKARFESATFSSALCTYADPYC VSVVTVKGREMRVGVNWVRGVTPDGDARGLAGWLEGALRGVAEGYVPGSGVYEEVTVD RKGGVGMGFGIWASVGLIGAAAAAAAWMLLK LY89DRAFT_702907 MATNGVSQRKAVNEDIAEDMGPHLHTLKSSGAMTISPELFEKLY LTPKTAPTGDFRKRFANPTPLGLMGFVISAVTFAAIEMGWGGATTLDGVAGIFFFTGP VLLLLALIFEWIMGNFFSMMVMGMFAVFWLSFGVLETPSWGIAASYSATGDAAQGAAS KGYNTAIALYLLVWGFWLFTTFIFTLKTNTVFASIFLCAFTSSFIFSGAYWKVSTGDY KTAMRLQKAGGAVFFVLAVLGYYITVVMMAAEMRMTVNLPVGDLSHFWPNPDAELADL EKAE LY89DRAFT_743738 MKLGIPLRLIGRISKWQLVVTLLSGVGTCAPWTSGTDSLGAVSS ESRLCSEIGIELLERGGNAADALVGTALCVGVVGMQHSGIGGGGFMLVRGPDGKYESI DYRETAPAAAHRDMYKDNVLGSVWSGLASGVPSDLRGLEYLHNKYGVLPWRAVCNPAV HVARYGFPVTEDLVWYMNAAMSFNNGSNPFLTEDPSWAVDFAPNGTLLGLDETLTRKR YANTLETIAKYGAGAFYEGEIANYTIAAIQAQNGTMTLEDLKNYAVSVREPISIKYKG YNLHSTGVPSGGGVALSILKIMEGYNITDLNDIEEMALNTHRFDEAMRYAYAARSELG DPDFFSYMVGLEAEMLKSKTAAKTREKILDDRTKNVTDYRPLPNSKQYFLPENHGTSH IVTSDASGLSISSTSTVNLLFGSQLVVPETGVIMNNEMNDFSIPGVPNAFGFVPSPIN YIAPGKRPLSSISPIIVEHPNGTLYLSVGAAGGSRIITSTAQTVWHVLDHEMMVPEAL AAPRLHDQLMPAYTTFEKTFNKDVIEIMKEKGHNTSWAGVHDSAVQGVRRLWNGTFEA GSEPRQFNSGGLAV LY89DRAFT_743739 MKSTIMLSVGAFAVSAFAQTTLSSCGMTCINNMLALAPSLGCPG TPEASCLCKNVDFGYGIRDCANEACGTDAPAIIAYGNAYCATVTGSGATATGTGASGV TTSTALTTITSATATTTSTAGAAGGSSSAITTSAIVSVETSGSSTFTSTLGSTTIFGV GAGGSASTASSTAASGGSSAITTSAIVSVETSGSSTFTSTLGLTTIFGGAGGSATSSA ESVGSSASSHASSVAASASSSAASAASSAASKASSAAAGSSSTSSSSAGGARQTAFAG MAAAAGLAAFIL LY89DRAFT_678681 MAQTLRLAASQSHTLSTTSATLHALEKTTKHASSQSIDLILFPE AYLGGYPRTATFGAAVGARRPEGREQFLHYFKDAVDLGDTPEGAGKKWIDGTLEGGRK RGDGTREELERIARETGVFVVTGLVERCGGTLYCAVVYVCPRLGVLGKRRKVMPTGSE RLIWGQGQPSSLRAITTTIKGVKLTLASAICWENYMPLLRQSLYSQNVNLYLAPTADA RDTWLPLMRTIACEGRCVVLSANQCMTKSNLPAWITGEKHDDEPITNGHSSAPARLRR KSTITDEGHEIVLPERHDGSSTAITEKPHEDDYVSRGGSCIISPLGDVLAGPLWDDEN GLLTTEVDFDDCLRGRLDLDVGGSYSRNDSFKLTVEGLDLSPPP LY89DRAFT_790451 MSSGAGSSTSSTKNSSSVSAFLSTLIPVGVEAIAFIILFLIFRT KLKRVYRPRTYLQTLYDGEKTEDPPQGKLNWLKPWRSLPDEYVLNHQSLDGYLYLRFL KMLTFICFCGTVLTWIVLFPVNATAGGGEQQFDLLSFANIPKSGKNRYYAHVFVGWIF FSFVMYIITRETIYFINLRHAYLLSPFNAAKISSRTVLFTDVPVEYLNQEKLHTLFGN TIARTWLATDCKDLKDKVEERDKDAYKLEGAEIKLITAANKRRMKREKQAAKGKAKPT ADNGDAEVAIAGSQFMKPKDRPTHRLGKIPLIGKKVDTIDWSRSELKRLIPEVEKDQM AHQKYSGKLLPAVFVEFINQQSAQAAFRRMSPKKSPKMNPRAIDTAPSEIIWGNLKIK KTERLARKFATNTFLTLMIIFWAIPVAVVGAISNINYLTDKVPFLSFINDIPSVILGV VTGLLPSIMLSVLMSLVPVVCRWMSKLSGEVTLPRVELRCQSWYMAFQVIQVFLITTF ASGASSVVTQIINNPSSATTLLAQNLPKASNFYISYIIVQGLGIAAGNLLNIGSLVML TVVGKFLDKSPRKMFKRFVTLAGLGWGSLYPQFGNLGIIAITYSIIAPLVLGFATVGF GIVYLAVRYNTFFVLTNNIDTKGAAYARALQQLMTGVYLSEICLIGLFAINTAPGPIV LMAVFLGATIIYHALMRHALKPLQLYVPDNLDGDSVDMFSHADAKSYDAAKAGVPPSQ AEPMAPKKFSAKKAGFLARMFDPKKFKSHQTVRSLVPDWPAPQYLEEEQETAYFNPAV TSPVPKLWIARDQMGISRKEVQDSSEVVPISDEFASYNEKGKIVWDSEGVTLQHMPIY QKRIDY LY89DRAFT_603104 MTFDFLSLVKKQYRTVPLEGDFIQPSKIEGKEQILWVGCSDSSV EETDVLDVPREEIFVHRNLGNIISNGDLSSESAIEWCLDLLKVKHIIVCGHYDCALID KGDGSALGGWHKLHELNESHLSKSDSSLNYECRHRKLEEVYVLAEVDWLKRQPSVRRA MEEEGLKLHAFVYDKERNVGYRLVEEQ LY89DRAFT_603077 MLYGLLDKPLGRGLRYDPDTEIPDLNGKIIVVTGGNAGLGKETI LRLSKHNPKRIYLLVRNQNKSEAAIKDIQSIVPNAPISFIQCDLTDLKSVESAVRDFT SKEQRLDMLYLNAGIMATPAGLTKDGYEIQFGTNHVGHALLTKLLLPTLSRTAEEPGS DVRVICLSSIGHAAALFTGICFDKLKTEMSWTPTMVRYAQSKLANILFARELARRYPK ILCVSVHPGAVNTELYRSMLSGWMSSFNFSKQLLETIESGAKNQLWAGTANREDITNG EYYTPVAMSGGGSWKSQDMALASKLWEWTEKELEGYAAPTALP LY89DRAFT_743744 MIAHGVATTLSNDDPAIEGQNDARLSYDYFEAVQAFDNVGFAGL GRRAQNSARYSNFLDQDDNE LY89DRAFT_602986 MDSSTTKTVHSTPSASSSPGLFSPTLAPIRTHLPPLSDGTPHSS PYLHPLQMHKVRETHKAQVEQDFITGRKLINQYEIINEIGRGVHGKVKLARSLETGDY VAIKIIQRFSKKRKLGRVTVSPEDKTKREIAILKKIRHANVVGLLEVIDDPELKKIYM VLEHVELGEIVWRKKGDKQICYYERRRIEREQNGEKETGDDEKYFKMLERRRLRKEAQ KAKVSSLQLGQVDHWSLEHGEEEDEVEGATLSRQNTHDSTQNLSRSYRSAFRSNPGSR GPSRPPSQAPSRTSSRAHTPLPTEFDIPAIDSDNEDETPGPLPSLGSQHGSSSALEGT YYGSYPDDPPYRGRSPSMADSIISHMSSVDGVIHDAFEDDFSYVPCFTIEQARSAFRD TVLGLEYLHYEGIVHRDIKPANLLWTRDHRVKISDFGVSYFGRPIRDGETEENISEAD ATDFDDDLELAKTVGTPAFFAPELCYTDLDKEQPKITEQIDVWSLGITLYCLVFARIP FLADDEYQLFRAIAKEDVYIPRRRLKAVDPNCTTPQTNPIKRTGPSTGPYREEGELAF EDIDDELYDLLRRMLIKDPTERMKLREVKRHPWVVRGIDNIIGWLDDTDPSRKTAGRR IQVDKNELERAVVPITFLERARSAVKKAVGKVIGATRSDTRSEGSRRRAVSNATSSGT DTQHHSPLTPILRDSRRASLRGDESYFASLADLHDHHREPTEHPLAQSQTASPNLSVH EGDPFAVDFAPQSLSVGATPKRERVPSSAADPDTRPGAPERTVSAAASVQTVVHRGHS YSRSMSTTDNGQRSPRSPRHSPDFMEALFGGHLWNGRGREGMPDIEESPSRARSVDRS LFESENKHSEPSIAMSTATAPGLFQHPIHLHHPRPLSRSNDVSPTNLGHDKPLASPLF FQPYMVQAHYAQQSSQSTPDVPHVALAASNLDDRPATASKTPEGKTPAPRIYGASTPQ SFKRAQDALERRRRLEDHAEKMRQQLTQEALQRNQTPVECPPSPDDEIFMRQEDAARR ARSYSSVNSNSMASQITSPSDITSPVSSDNLGSTDQFYPSVPSLPALISGASSVSADT EGEMLQRPGSVRPPDHGITTYSTPETLTPPSLSKQTSLETDTPHVTTAEDAILLSPDE DDDGYNGDGDTTFTAEDEDSDSDEGLTMSRRKPKPKSPTTDVKAELKTHERRGTNASV GSTETAKKVVMEG LY89DRAFT_565026 RTQRAVPSRPLRSNSQRQFVTEAINASHTALQSVHDLSGLPWAL SLPLFALVLRTTFILPLSIYQRRAAQRQVQLEPLIQAWRFTLQKQAMKQYGHLGPQVA EQALISSLRKKRREIYRRYRCGIWKGFLGLAQLPVFLTIMEALRNMSGSSQGWIGMMF GDGLVAEAIRIPVESSFANEGMLWFPDLLVADPQLVLPFILSGTILLNVFGGGIKGQD LALPKWQVRLKRNMGLVALCIGPIMIHVPSALVLYWLSSSGFAFLQASILERVMPIKS APKPC LY89DRAFT_692413 MAETCRALDGSEAITFGLTKWRAIILVMTVGCLPMATILLGPRL VYWLGRTVGFYLRNKTAGRKAQILEMTEKDEAEWEKQKEGRRDSDEWENVDAYTVGSV GNGEKGESEWDGIVGFLHPFCNAGGGGERVLWAAIRATQKRWPKAKCVVYTGDHDVDK AAILARVKNRFNIALHPPTVTFLYLTTRHWVLASTWNHFTLLGQSIGSLIMAWDAFGL LTPDIFVDTMGYAFSLALSKLLFQDVPTGAYVHYPTISTDMLSSLDPESDVGFQGVNA GKGQGAAGIGKKLYWELFAKMYSFAGGSIDIVMANSTWTLEHITSLWSEWRREFSKPR PVAVYPPVAVEELEKEVEVSEASESRRENVLLYIAQFRPEKNHQLILNAFAEFMATST PATKGAKLVLVGSVRDDGDSKRVYELRLQANELKIKESVEFHLDASWPEILDWLRKAS VGVNGMWNEHFGIGVVEYQAAGLISVVHDSGGPKRDIVTKIDGEPTGFHATTSAEFAQ GFESALSLPAEAKLAMRKRARQSAKRFTEEEFAKDWIAQMEKLVALRIQRKSVEKGGG GGGGKEGHLKTA LY89DRAFT_692414 MYVWKRDGRKERVQFDKITARVSRLCYGLDAEHVDAAAITQKVI SGVYQGVTTVELDNLAAETAAYMTVTHPDYAILAARIAVSNLHKQTKKQFSTVISDLY NYVNPRNGKLSPMISEATYKCVIKHEAELNSAIVYDRDFNYQYFGFKTLERSYLLRLN GKICERPQHMIMRVAVGIHGEDIEAAIETYNLMSQKCFTHASPTLFAAGTPQAQLSSC FLVDMKEDSIDGIYDTLKTCAMISKNAGGIGLNVHRIRATGAYIAGTNGNSNGIVPML RVFNNTARYVDQGGNKRPGAFAIYLEPWHADVFEYLDLRKNHGKEEVRARDLFYALWI PDLFMKRVEKNGEWTLMCPNECPGLADVYGDEFEALYEQYEREGKGRKTIKAQKLWYS ILEAQTETGNPFMLYKDACNRKSNQKNLGTIRSSNLCTEIVEYCAPDEVAVCNLASLA LPTYVDMNNGTYDFQRLHEVCQVVVKNLNKIIDVNYYPVPEAKRSNFRHRPIAVGVQG LADAFLALRLPFDSPEAKHLNIQIFETIYHAALTASVELAKVDGTYETFEGSPASKGE LQYDMWGVTPTDLWDWDSLKQKIKQHGLRNSLLVAPMPTASTSQILGFNECFEPYTSN IYSRRVLAGEFQVVNPWLLKDLVDMGLWSDNMKNRIIADGGSIQNIPNIPADIKALYK TVWEISQRTIVQMAADRGAYIDQSQSMNIHMKDPTMGKITSMHFAGWKLGLKTGMYYL RTMAASAPIQFTVDQEALLVADTNVARERPAKKRPSTGYITQSPGPQPLYRNKTPISA PNGVPTPTTTPPPASENKKFNPAAVVKPPTFKADVPEGDSPKSLATEPTTVPPKEEEL PEPAIEKTKQEEDTKEESDDREHDIYAEAALQCSIDNREECLMCSG LY89DRAFT_661976 MAPSRGWHRILQVHSNVSPFVRRYSQFTYAASNSANKSQVYMSR SLDPYLNLSIEHFLLQKTPPESTVLFLYTNRPSIVLGRNQNPWFEVNLSLLRHGELKI DLVRRRSGGGTVFHDEGNVNYSVICPPPAFDRDKHAHMVVRALKKLGIERARVNERHD ILVDQEVKGEDKTFKVSGSAYKLVRQRSLHHGTCLLSSPNLPIISQYLRSKAKPYIET RGVESVSSPITNTGVANEAFEESVISEFNEMYGSTEVILVGNQEEDVPEIGKGLKELK SLDWIYCQTPQFTFSFDSDHLKKGESPNDPNISSGVILAELHCSTRRDYKGCISEEPN LY89DRAFT_661977 MSTFGQYFKVTTYGESHCRSVGCIVDGVPPGMELTEDDIQPQMT RRRPGQSALTTPRNEMDLVEIQSGTEFGVTLGTPIAMIVRNKDQRPHDYGNKTMDLYP RPSHADWTYLEKYGVKASSGGGRSSARETIGRVAASAIAEKYLKLSYGIEIVAFVSSV GSVHMFPPTATHPTPSTNPAFLSLIDSISREKVDEFVPVRCPDKAASEKMAEYIADFR DRQDSIGGTVTCVIRNVPSGLGEPCFDKMEALLAHAMLSIPATKGFEIGSGFGGCEVP GSTHNDPFILAPEVPPLETGATKNGIPRPKLTTKTNNSGGIQGGITNGAPIYFRVAFK PPATIGQAQQTSTYDGDAEGVLEAKGRHDPCVVPRAVPIVEAMAALAVVDALMAQQSR QMTRNLLPPLKQTIPAAKSALETEANEKHGVNGVAK LY89DRAFT_743750 MSKILGVILLLARLSWQQQDPVTDFCRRWGHQTAVIDERLWIDG GQVNWTPFTSSSQNVSNTWLLYNDLTIDSPLGMPQLNATNYKNATVPDVTGGILWEDN INKRFYLFGGEYLNDGVGPSPVNLWSFDAIYDYWVTFGAPQVTASISGVSYAGHVVAP EVGQGFALGGWMSNLTVPNWSGGPVASSALLKYDMTTNLWTNSSGPDSTPRAEGAMVY IPASDHGLLIYFGGVTAPYYNSTMVEAPMSEIHVYDVTSSNWYTQTATGQIPPNRRRF CAGATWAADKSSYNIYIYGGLGFGANSTGFDDLWILSLPSFTWIQWYVGTNPEAYHHS LTCNVVNNAQMLVIGGTFPVGDSCDAPNVWGTHNVDMGKVSGNMWEVYTPNLTTYHVP PEVISIVGGSSLGGATATAPAAGFQSHDLSVYFTEHASAAVRTATRAIPSSTSSSTGT SSSKLSAGAIAGIAVGGAVVLIAILLGGCCLIRRHRRRNIQQQSPAGYPTPDYAVVPQ TPHSPYTPGSQYQQGYQPPMPVQQHYQLPAVVPVELQGNNYQMDPTKHAGIQQLPADQ YSYQGWQGSPDASPQPQHLSPSQSVHSPHPSHSPHPSYFSPQTDSNSNTLYSAPGSAT SPTPTYSSVGRHARKPVAPPNQTYYSP LY89DRAFT_702918 MNTYLSVLLCLAGAELLRRCYSVVICAFTGPLSKIPGPFLNKFT PIPWGIQNLTGNSMNVAPKMFAKYGDVIRVGPRDVMIAEKSGVQKILVDEDFVKAPVY EKRRLISPGFSISYLNGLEPLMKECLDVLERTLNKECEKGGGHAVINMSQMLGNLTSD VMSATSFGGSFGLVESNDLKMKNMVMDRLKRAALDAQLPFIKYLPFVPPSQGEGLNNI IDDIVATRKAESQKPKKDLLQIIVDVNENNPDTFTHLHVREEMSLFMIAGADTTSTTA TFTLLLLVNNQDKLKKLVEEIDFAFPSIHDSITFAKTQDLPYLNAVINESMRRMPIVA LGLARWTEKTSMISGFEIPKDTIVSPAVGQLMRDPRVWPDPDKFIPERWLEPYKDVEA DKKAFHPFSSGSRNCPGQQFALKELRLILVTLIRRYELSLVPGQSHEMRLHTVPWFTQ GFYNVGVKQR LY89DRAFT_408827 MSLRETGFELIGNADDRPVFFMQRQLLPSSSIILPPINANSLSF IRQGELCAPLSRLEAPLPSKLMLSACHLPNLDNICFTSAQPSILVGNGCAMPLKQALL SAGTYSSFPVGSQCTFLLFPIQCFVTLRQHSPFRLTQCSVSSVRCSEAKPTPSGDGLR VLLQAQASDSPGTLINRPNSTPPLHMPKPPQSSELSTFEEHGGRKSSLESNMS LY89DRAFT_692418 MGLKFDETTPLIKEIDIQSEYSALHTEFEHHLGVWQAIKVYSPA VFWCIIVSLTVVLNGFDNALIGSLISVESFTQQFGRPYGDVYVISAAWLGAFNYAAML GGAIGSLAAGILYDRLGPKRTLGLCVFGSIGATIIQFFSTTPAVLFLGLLLNGTLVGF FPVVASAYIGEVCPVVLRGIMGSMVNLGFVVGQLIASGLLKATENMTSEWEYKMPLAS QWILPVVLMLFLPIMPASPWWLVRKGKVEKAEKALKKLSTKAVNTRNALANIEETLSH EQNSGEQVNVLDCFRGTNLRRLIIAVMAYQLQPLTGNVFFITYSVYFFTNAGLSLSDA FSLKLWLTIAGFFATILAWPVMSWTGRRPILIIGTAFLTMTMFLIGVLDLDFVRTSSS IYTQSMLMVFATIVYDLSTGPMCFVILCEIPAPRVRGITIAIASVLTSITSVIITVLI PYGLNIDQLNLGGKVGFVFMVLGALCTAWCFFCLPESKGRTFEELDILFEKEVPSRKF QDYDVLGEEEECTILY LY89DRAFT_743753 MLKPERVQSPRMILESRLASIKDDYHQNGNQSLHPFLTDAKLES EFYRHLNITVPRDFPFRNQQAKQIEAECRLWNYLWRNSRPIEDVSTLVSTSQDVPIPL PHPFVAIHSPSFSSSPSLLPTSKVQSPTAKPRSKITWIIDNHFFASPRQSPPYSPEND FDGFEDLTAKEYSDGFHQAVMEQKNDPKWSPSLGWDSNTGQPRFSLMIDVRPYDILIT AENGKYLDELNSMLSPTFKLECIHSHNNAHLRSLIWLELLDQPSGRKNHVPKRVHKAA THIMTVFKSWLKFRDHDGRLPVITEWYAQFLQLTPANRAAVTVDYKRPATPIDGLSKT EWDRLGCGDEDRVPF LY89DRAFT_602968 WLFQEDGDPSHGMRKRGLAQQLKENNWIINLKHPAQSPDLNLIE AIWNIIKQRLRHRIFQSEEEIKEALQEEWSKVIMTEVRKRISQMPRRCARLINNGGKP IKTALW LY89DRAFT_603035 MASLATTLGLRAPSLPNVTVPSYAPAFLSFHFVFAYCVLAPRHF KQIFGIDHNVSPREDLVKYGDAVVKSGKITQKQLEMMKRNESAHANAIENYILFVGAV SFATFAGVERELVNRAGLVYTIARVSYGFIFIFVDQTFWSLFRSAAWWVGNISCLWLL RRAGKKLNMA LY89DRAFT_408936 MVMGHGGGPFRLDSRAQQRCEHIHMTQVTQRDLTSCHSARCNRL PNRLPNRLRQRPGREWWRSRALSKVEILKLSFGTISHEPAIYFDFARDTLYFDDWMAA CWSRIDDNRVRGGGWRRIRYPEGPMAANEMSRIERLAVSSRSVDDISIGDRAEKMLSR FANMKTFFVAFDEQNYPTTSRATIQFAGIPATTNCEMEELEFEEKCQICREKDRCEER LLTEFAADFAIYDPSNTVGVPPVPAEIPDIEFVVAVRNDTRSDLRCIGLGTAAQYLSS EFGVEYESDSDSDSSHSDLDWESTSGSTSDSNLHVLKITEAGGKVKLSLFGVNNYEEK SDDVEEEISEIIEDEKKFAKFVSYSGESFETLCFWVGSDYLERRADL LY89DRAFT_408953 MDTKASPMTTMEKCQDLLKLAEYLQTKSNDITESVCVVLEDLSR NAMKPWELLTVDDLVGFLGINNYVQDMTGIWKQLAPMVAPILAATAMWRRTLPEFRHY SSPTSKFETVTTGNVEFETILQEEITAILQGAYWARVEGVGSQGNIAWYVTDAAGRNL CIFEDEGVFRSLCIHRGFWLRPEFVTRYDLCIPRPK LY89DRAFT_790466 MKPLTGRETGRRRLAMMGEILGIPYGAEALVVGFLDMMHATDAV LLLLISLWFSFETVETRTLAWPWPRFCRLSLVERETK LY89DRAFT_661995 MAVLLFPAFLALAKHLTTQPIALALALSFTYAVVGAIRRRYFHP LSHIPGPLMASMTGWYEAYYDVVTGGTYVKEYTWMYKRYGPVVRTSPNHVHVDNLEFY KKIFNIRTMYMKEPDFYGRLGASNSIVGIMDPDQHRVHRRLLNPMFSKRYIYTLSPLM AEKLEMAIRVMEERCQSRKPVDIQTLYRCITMEMISKALFGKSQDLLLSGDEEPSILA QLTLFVNDSGLIKHFPIVKHITKILPTVLGRTVLKGLAKFRAEARRWVQVVRQRRAQG LKYGENGHPTLFDILLESNVEKGYVVPPAEALIDQAFFFLMAGTDTTSYSLSCATYYV LSHDEVHKGLVEELVAAPRDQYGRFEYKVLAGLPYLSAVVKESLRLSTAVAGNIPRVV PEGGVIVDGYFLPAGTAVSVTHRTIHDNPNLFPQPENFDPLRWLGTNNKELDYWNVSF SKGPRRCLGMNLAYLEIYMCLATFILHFDMRLFETDERSMEWWDKGITVNRKHVKVVA IKRELVVRV LY89DRAFT_603054 SAWRPLHHEVKDAPLAFCDYFSTNDNDLVAADRVSEQYEGEIYY LKHSKMLTWYWIRDQTPDELAIFTSWDSDPKDGAACKYFLHGVREMNNRFSGCPHCSF IDEAAAHKGLPHESVEVRTVVLNRKL LY89DRAFT_602958 MRKSAHVRFSYGQTDFPIFSSRLYNIQADIKRQLPNQISHLWRD KSDILRWYTFWAVVIVGGLGLFFALVQIVESAIQVEYAVKAYNPVRI LY89DRAFT_790467 MATSVPVVIDIEMDKGLDNQKNYQTIVWEEAQAVCKVHLDPEQP RTIYQFDTCESLLQSLSQKKTQYSEKMLPEFLTRIEPTLFHLRSFTAVIALSVQGSVL RTAMIWGVVNLLIENTVKSVETLSKIVEMLDEMAHELWLFQEYEWLFQEHAGLEDILL NVFVDVIRFWTHTIKFLSRHPVVNFVAGPWNDVKKEFNETSTRIQKQIRQLKEKAQAI SYRPKHRTQAELIQELESRFSQKFVIFGEEETAKLPCTNITSPRNPHFFGRKEILGHA LDHRPERAVFRSWALWGMGGIGKSQTALEYVHQRISDGVQAVFWVSGETALDMNKSFT QIALALGLKGAAEGNDDRNQYLVTKWLQNTDTDWLLVFDNIEDPHLLRGCWPSAPHGS ILVTSRNNVVSFDHAAGGVPLPTFDAHEGSAFLMNLLARKNYSEEEIASAETLSSTLG GLPLALNLMGTQIHTRGKPIKQFLTQYQTNAKRLHDRPREGIQNIYYQHSLRTVWQTS FDPLEADSVVIQGVLTFIRPDDVPEELFVPQEDQALGEEEQGQAYFQDSLRYLMESGA WSEFLELANLACKDKETLQWAHLCNSAGLVECERGNAKAAFVYMNTSRRIREKLLPSD HEELANIYNNYANVIMTESQDEASLAMAESLYLKALEIDKSKPEEESALILHIRWLNL ATVWMFQKRYKEAVEAVETGRHYAIKNFGPACHFDGEEVCPIYPKTYLFTNIRDSMLQ TNVSYSADYVYGDIEYFQGNWDNAKKRFARALVLFEEENELHPSTCAAMWKLASIAMR QGDLEQSINLFRKVRLLFELNEKAKGDKGETARCLRRLTEALEIHGDVEEAAELNEKA EAMRERIQGSRFKDLPDSEYSYDLLVFFGYA LY89DRAFT_726492 MEISVTFLSDLQSYRIEKPYELYLPTEPSVARTNCQFTEHDKIT IRDIRYEDTSKFDLNTSSFKFVKHSSSKLPTSSLFNNAENANFKLVPYLEETAALVKS QLGASHVVCFDWRYRRNSTRVKRPHVYDEPTTERNATLSPAHIAHIDESRAEGFRKLS VYLGRDELETFDLQNWRIVLINVWRPLVPVVENAPLAFCDQRTVEFNSLLDCDKVHVD HVTEGIYLLHDTEQKWYWMSRQTSSDPVLFSSWDSTTEPGGIASFGIVKAEAYIHCVH HMISASRAALGPIRNNNTIPAQIQHLTRNPATIPHGLEEAEGAPQRESIEVRSIAIIR KT LY89DRAFT_603110 MLYTTYHKGQQQTGKFKDNIRFLPAPVGDLLLNYLVVVIPLLQV FLRRSAPHAIISPYL LY89DRAFT_692424 MVPLLGHNPNVSLNLFGILVVSPVVNLKAPNVSCKLSFDDIDCH LPLVGNV LY89DRAFT_555096 MAISEGKSLLFILPCILPNARVTILVLPLVSLRGDLLRRVRELG IDHLVWAPGEQQDAPLVFVTVEAACTEQFRTYAHKLAATQDLGRIVFDEAHLTI LY89DRAFT_678704 MVDAAVDSFVLVLPLLSPLLALPIIVIVVGLLQFLLYLLPYLIY CRLLLFLLSISSLVVEGDPRGRDRRTWRCSYGRGSSSLVSEVSFVFMQWWVSLLISVC VSWCLCFSRCFPDSGSPPGAGYGGACREPESLNA LY89DRAFT_678705 MIVIYQIVQALAGPKSVAAEKHADGFRHYDDVAQNQSIILARTG HENGLNAPISFGSLKDKALPLARSEDMGTIDVIRIPLHVGVHFVANLLLREEAAFPES VVAAPHISREADHPFMKWEREAQDYGEERLAHAQAQDKIEPFTTVAAVKKAVLHHRVD IYPLENYAPYPFKLGWI LY89DRAFT_569392 SISEIRPRLFLGNISCIIDSKTVQENNIKAGISLISWPITSWLN PQFREQIPHHLPLLCKDNGEQDILKFMPVCCDFIHEQLRTAGGIAIHCVMGISRSASI VIAFLMRKYGQTVEEAIRVVRSKRPQIEPNQYFECQLRVWGELKYEIWQDREKTKPKA AYQQVLGRIAEAKRA LY89DRAFT_561702 ASISEIRPRLFLGNLSSILDPQTVRNHNIKAGISLIGYPLKVWS TPEFAQQIPQHSYFECFGYGGQDILKLMSPCCDLIDQYMSSPGGVVVHCVKGWSRSAS MVIAYVMRRHRRSWREAGKEVLKRREICPNTHFLEQLRVWEEVEYNIWEDEDSVLP LY89DRAFT_678708 MSSSEKAYNQPFRDAFTSKLTSSSDLVQHFLKPINATLNKSEEE FEDSLWYSWQDVTSLACQTLHDQQEGLVSFVSELRNQPGPLKENGEKGTIRGEEVEWK QLPMLGMELRSCWNTSPQGKGQSDESWVNLNAFAARLTALSFTGDCLDFSLYAIWTFR EAFEDSDLMGKLPNASFLQAAAVWMIYASGVLKERAESNRCFDGKKAREGKALEGKGW SGFSVARWQLWVERLGLVKERCQDEKTGELLVAAASTDSLRK LY89DRAFT_662002 MVAFNLKALLPLAVLITSALASPVSLSVRQSATTCGDTVYSSDD VSTCANAAYSYYSEDSTAGGSTYPHTYNNYEGFSFPVDGPYLEFPLESGSAYDGGSPG ADRCVINTNGDYAGAITHTGASGNDFVGCSGTS LY89DRAFT_769303 MHLPTTFIAFSLMLSKVLAAPSRFSKRAASGQNVVYWGQNGGGI VEDNDLSSYCTADSGIDIIVLAFLYSFGNGNNIPSGTIGQSCFISTSGEGQDCDNVAS SIAECQAAGIKVILSIGGASGSYSLQSASEAETIGQYLWESYGNSGNTAVQRPFGASF VNGFDFDIELNDGYSQYYQYMISTLRSNFASDPDNKYYITGAPQCPIPEPNMGTIISN SQFDYLWVQWYNNNNYSADPCSLGFNDNAPLNYNQWVTFLSSTPSSAAKIFFGVPAAP LAANGAPSGETYYISPQDLATLVGEYKSSGSFGGVMMWNAGFSDSNVNAGCTYAQEAK NILVEGMACTAGPTFPTTTATPVGGHTSTYTSTGATSTATGTVGQYDQCGGEGYTGPT QCESPYTCVENSVWYSQCE LY89DRAFT_662004 MRSRTFPTTAAVLVLLAQAGSAQVDVINSNTTLKASSFVGTSTA NTYPPAGTTVNTSLFPPESVVGFPGPTPTGAEPAAAQTAPIYPYNNGPANQFPLVAPQ PWGSGAFDQKFDIAKYWGNLSPWYSVSSADYGLPDASPLIPDGCNIVQMHLLYRHGAR YPTTGAAPSTFAQKLLNATELSGGFSVTGELSFLSKWNYKLGAELLTPFGRSQNFNLG VAYRQLYGELLNNFTAAGTIPVFRTESQDRMVKTAENFAAGFFGVPEYKDQVNIEILV ETPGVNNSGAPYEVCTNSNVASRGSIGSTAATAFANTAFNATIARLQSQITGVNFTAT DIIAMLQLCSYETHALGYSAFCPLFSEEDFLNYEYYYDLSFYYNNGPGSPVSAAQGKG FLQEWVARFTHSFPTAQSALNLTYDNTSTYFPLNQSIYADATHEVVVLDTLTAFNLTA LFNGPPLSTSGNQKRNSFIASKVVPFATHFTTQILECPAYKPTRQMRFIVNDAVVPIS GSYAGCPEDPNGLCSMDNVVSVLQKRIAEIDFNYDCFANYTAKVGVNYNGRAPKS LY89DRAFT_743769 MTALSFAASLVQVKDFGANPTKIYMYIYVPDKLATKPVVIVVMH PCGGSATEWYSGTKLPSYADTNGFILIYPGTPNMNNYWDVNSAGSLTHGQGGDAVGIV NMVNYTLTKYNANPARVYAMGASSGAMMTNVMAGSYPDVFEAGAAFSGVAHACFAGAP SATPLSSNQTCAQGLKHTPEEWAAFVHNSYPGYTGRRTRMMIVHGLADTLVRPQCAIE ALKQWSTVLGVTATKNITGVPSAAYTQEIYGDGTKLQGMFVTGVGHFAPVNEPLILNF FGITS LY89DRAFT_743770 MRVVNDQESGLVPETMADARSRALKLTILVAILRTVQLTLGLNI LFLTGVGASVFQGDFIFIRSRWFPKSNFNWCHRFVTFSRVPHPILTNFTGVLEIITLI FWLSTFSLLVWECMDGDQTFAVPGDSGEQQLVTYVSASNLKSAIKGLKAATGLACINW IAFAVIPTQYDRKGITAAEVL LY89DRAFT_603204 MHSFDVLFSTLAALAASVGATPLNSRQAASWPDPEACTGNCTYV HDPSVIRRSDGTWFRFSTLGNIAIATAPSLTGPWTYEGAMLPDGSSIDVIAGQGLWAP DVSLLDGTYYAYYAVSSSGIQTSDIGVATSSSADVGTWTDHGSIGIPKSSAYNLIDPN FFQQCDTCQNYFSFGSAWQMIYQTELNTDWTSWSGETPSQLAYNGSGSTFEEGSFQFW WPVDGTDYYYLFFSSGACCNTPPNLAPAGDEYKIMVCRSTSPTGPFTDEAGNNCQTGN GGTLVLGSHGSNVYAPGGQGVIVDPDSGLIALYYHYVNPTIGYNFDQFLFGFNYLDFS SGWPVVTS LY89DRAFT_632835 MLEVYLDPCTVNSRKVLAGLDLLGTQYHFNYVDYFAGAHKSEDY KKLNPHCTVPCATDDHCTITESNAILQYAADLGADDRHYPKNLKKRADVNRWLLWEGS VWFQSCYVYLVEYVVKPLLKTDPDESIIEEQAPRWNQLASILDAQLAKTKWLTGDEVT IADLAVASPMHLHAAQRLPLDKYPNLKRWLVDGIEKLPCWQKTQGAVDKALLPDRATT NGSHEANSQVHATFKYTKNVEKLTELYFYECDAAKDIHEPGDDPHQVAVTDGWHRVKS FSTDTHGFSVHDFKTRYDKWQNDESVRGSFYPEVVEFLRKSTGAKRVLVFDHTIRSKT NEAKKLTQETNTSQRAPVMLVHCDYTSESGPVRVRQLLKDEAEDLLSRRVAFFNVWKP INRVVEERPLAMCDVTSAPQDDFFKLHLRYRDRNGENYVMRYSAQHKWWYFPKMTPDQ AIVLKTYESETDGRARFVGHTAFEDPTSLPDAPMRESIEIRTIAFF LY89DRAFT_712564 MSTRPAIVQRNNALVRESLPMPKLEERQVLVKVFNSSLNPTDVQ SFDGDAFGDGAVLGCDFAGVVEEVGPSVTQLKVGDKIAALIWGGEIEGLGAYSTYCVA DERIAFKIPSGLDYATANSGTSLLVWGGSSTVGAYTIQIAAMHGFNIVTTCSTRNFDV VKKHGAKHVFDYNDPDVVAKIAEAAPDLAYAFDCIGNSISSVMSARAMGDKKGGVICT VRPGKAFTEDVPSNVTVTDVLVFTAFLKPHTYKKIYHWPTHQEDHELSREMYSKIRGW IQEGKLTPQKPRDMGTLSVETLQRGMDLNRKGEVSNEKLCFQVSSM LY89DRAFT_409097 MSGRMVSPQCYGNYGENLYSTIYTFSEVRGRDRQRPLPKKKPPP YLHRPQEQKTNSRGRTKLPVKVFGFFEKQTPTGEPEPITGFWIFISVSYVCGPLFLYL LRVAMAGFGVFRVIKEDGRAGQGWVVCKKGMTKPSQPSNLEDLLGSFESRGSPFSRSP HIRGLFPTIAYLGKIGRLLLDKGCLLHLCWSPVQWCNPPFGS LY89DRAFT_409079 MAPTSLENTVHSDSVLPLNGKGGVTEQVKENEKIELKLADEYGA PDSYLDAEKDTLWYHWVGSIYRKPLRFEAKSGKYVIVLKTEPHADLGKHRHRGEVQAY TVSGPWGYQEYDWTAKAGDYIVENPGTIHTLYMGAGSEVVFMVFGSIEFFNDDDSLRE IMDGFSFWRMYLEHCEKHGITPNKKLWY LY89DRAFT_743775 MNIFTDPPAASASGSGTSSETPRAESSLKTPRKSRSACNRCHAQ KLKCVRGVGRDSSCERCLRLKTSCRFSPRAARSSLKLPEHLDAESTLESPLPVPASML MPDPRLDASIDGVNEFDWLSAPDASTNQDAAGVEPANICNPMLDYGNEGGSCWATSFE MEGGVNRPLAIEDSANSDLLPDYDIAATLDRLNSCVTLEQCTRIDDPLAPTPPSMVQL LANLDVALYECSLRLPSPLKPGINSVGVGMGKSKMFALEELFRLTTDFLAVLTSLAHE GDELNVSTSSTTQTESNADSMLPFFAYSQRFSKITNSAGMEESTRHLSSLDEPMIFML MSCHSRLTEIYASLFQMMRACIEHSITPRRDKDWAVILPQLQVGSIASPPVQVDIDNP VTPATSSMYMLMITMLSSQVWHQLANKMRVSDGIFATLRSGSVLTEAVWYKIMDKNDR MLQTIDETRHLLQ LY89DRAFT_692431 MVSLSQVQASNALISSIFPKRLVALFVGGTSGIGEVTLKRFAQY TNQPRAYFVGRSQDAAERIVAECKTLNPGGEFIFVKADVSLIRVVDEVCEEIKAKEKV LNLLFMSQGVMSMDRSKTSEHVHLLAALNYYSRIRFITNLLPLLQNAPTLRRVVNVGG GGLEGPLDVTDFPALRVPVPELRGHLITLVTFGIEAVAKTAPTVSFIHNYPGTVYTGL YRDMDAPPFDTSLSVPIEECGERHFYLTTSARFPPREGESTAVRLSDGIEVAAGTTGK IGTGIYSVGQTCESASSEVVELLAGLREKGMVEEVWGHTKGEFQRIAKLNAGDL LY89DRAFT_726501 MPTQYLWVVKNSRSKRLSRSSRSEESQIRHHVQRQRLIAIQERR KTSTDEQLQRQSHEEIPGRFSLNLCYPDLTGSEKRGLNHFINRTAREWSGWQDSVFWN SLALQTCHQERSIAHGLIAIGAWHEYCGLPSQNANRAYLCQFALAQSVKAFAQGRISN HSTLLITLISCIILSTLQNFRDYQAYRLLRSANAMLKRLDDPDAAYDAELEGMNRDLV EREVRPLINRMEARRCVMCDQPAALMYSIQQSQPQIQSVCQLPVVPQAFTTLRSARDS LESILNWGHDHVPDFSCRANSKEIFGAILRDRIGQWQAALDHCQDPGTSHILLQIASL NRLILLCTVESRSETDFDVYNPVFQRIVDLVTCLPRPSYAHTYVSFGIDCGLIDIVAL VGSRCHDPVIRRTALTWLLNTERFEGDRLSSDSGYIIRAWMTLEEGDGPISSAADIPE CVRRRLVLGERYHAQRRLKLTFARSPYESSTGTNTDVIWLEDTNPANLKAIANTHGEE MPDVIFSPCHAAFLDDEKGTYHHIHTTKFYFPISKA LY89DRAFT_702932 MIEMELDSFESIKTGAANFLSRSSTLNVLTCTAGVMACPEGMTK DGFETQFGVNYLGHFLLFQLLRNALKSSSSPSFASRVVTLSSCAHRGGGVRFSDYNFK KEPYNAGTAYSQAKTADIYLATEIERRYGRDGIHGLPIHPGFIRTPLVRHVEKVPEGK QFIENPEALHIWKSPEQGAATTVWAAVGKEWEGRGGRYLEDSQWHCNLRHPS LY89DRAFT_678722 MTMFSPLRDPDDFGDVLQDFDSIELESQSSKHSRTSNTPSHDLE SQRQMPKTSRSVSKLLTFCCLSNNRESSTERRKCNMDTCLSISLILKNSFCAILAAGI SCLVIALVILVIRNTPDVDEIIKKIAGIAEALGPQDVLNKVTILLLDRNDPYPNIPCH LFDSQKHIQIDLTWCSQEFIERYGYGPTQLGQMISSNALGLAQPASQRWNWTPEMSDL GLQRIPVCF LY89DRAFT_702933 MGSIAKEGPPSKGLNLVEQISQKTSGLIQSDKHRDLLDIIDLLR SNGVDHYVDLPQIIVCGSQSAGKSSTLEAISDIAFPTAEGLCKKSEFKVHIQPSASRS EQEKAKLLKFSERTTDQKTFGKIIEAAKIELGLSGAGPDAKVFSTDVLRIESTSPMAP NLTLVDLPGLFGASDKNQSDDDAALVQNLVVSYMKQKRSIILAVVSADQPFPNQPVTK FARDIDALGARTLGLITKPDKISRGSESEKYYVDMAQNRNVPLKLGWHVLRNKGDSTA DDTIEQREAREAEFFSESSWGNALQPEQLGVVALRERLRSTLWKQINDGLPGVKSDVQ SGIKDCSTKLLQLGKSRSSKMEKHSYLHKISGNLSFMIQAAIDGVYADPFFASFPGQQ DAFERRLRANMQKILTIYAGKMCIRGHALEIVEDDQEPIRTSPSDYIMRSSYLEVVEE LMVECRGRELPGTFNPLVVGDLFSRQCKPWEAITQNLAEEVHEAAAMTFNKMLSEICD ENTRLRLMQGIIQPSLHELRKALKNKIDELLRPHLSIHPITYNYYLTENVQKIQGDRH DRAFDALSLAGCGVTTSTARNSETVPLFKLLTNLKNGTRPDVKEYSVSLAADVAAAYY KVALKKFIDDVSVNAVEACLVQHLPKVFSPNVVWDLSDEQVENLGSEDASTVAERAEL QKKLDILEKGLKGLDAFTSRSGVHAL LY89DRAFT_769335 MLGNFSPSNQYKEKALAKRAALDIKATTINGDRKIHWISKDSQG SIAQEPPTPPPRHKSSNNATAIPELKQHGAQRGPPGTVPIALSNLDYLDHPGSRKQAP NSTTFESKRQYSGDHWYSSSTQYVSNLGGSADFSLFSPYVANTNDFSLLQTLVGRTTD VPKWHTLEAGWINYPIQIAEAHLFTYFTTCQYTCYGDYLGGYNTDVKGWVQTSDTIFP GTVFTPSVDGGTQYELEILTYLYQDNWWVWVQDGYIGYYPASIWSNANNGANGTLADG ADSIFYYGEVYQSEDALTTTDMGNGEFGASNGFGKAAYIHNMQYFDTNSVAQDYTAGF WDSDDSRYNFQNTVSSGGSWGSYVYLGGPGAEGIVGG LY89DRAFT_558433 GDTPTEARANGCVLDFIPGACRVPPACYDAELEEEFLGLQQWQW FADNESQHELTSEYIKETGGPSPIYVSMEYHRQHCAYTWKKFHRAVLRHVLIDSHIGG YEHTIHCSNAL LY89DRAFT_409223 MQHRSVYFHKFRYLLIQQKTNSAGPETLNVIKSNQPPSMTIRIG PMLQEALKRYQSDSVPFWSTLYGANLASEETRGDVEAFIRADEWTSITGIIWKIPNDN RVSFCFLAPWSDPQTLVQVRNFLFHFHKSERNGENFNVWDWFDTGISSYWFPGKTTSS MPHAAKPVLETGAKSTNLRTSNELSHLIAPWRRIM LY89DRAFT_409247 MSRKLTDSDLCPFLITNSENSIFQRPVDNMIEQAIRCHLRCLRV SSTYHDELKTKDCALFHITFYEIIQRNSTSKVTELWPSGDLYGDKQQHPKGSRQIREA ALTIVAVPCREMSGSKARAIFWTMICLRPSQFPHLYYSEQGDEVARRRTNEMIDDMGD LVHNSLVTVLSRWNEIARYFDELLTEKRDLLRPDYHDSLLTDDDNFSRSKKYFWAIEF LKEACNSISDNIQQIKHFLEFLESNPPGTKKAENEFFLKLKRHYLTIQKLETLETRLK LKKEEAAALRDGLFSASAVMDSRASYQLGQNVKLLTLVSIFFLPLSFCASIWSVNNSI FSMTAFAIVTPILGIMTYVVAFNLENIASCFKRPRKPSSVHRPSRPQRSEKKGTSEST WKSEEDIHSRPEYSGKQPLWTRRNLPIWPRELIWKLLTLVGGLMPPRRKKRRRLEEEF QPTSLADLRDVHVKVEVNVEESGAT LY89DRAFT_790482 MGFARASVSGLLSCASLLLPCTSTSIIPRHDSLYFRGPLTVESN GIANIHISYNLPLKGELSIHYGACDVSLSHPKESHHHQIGATVVGDHPFAKRHIDWED NRPERFVWRVPEDARDGGCLFAYSGADLVGRSEALTVARKPSRRGIVLGDIGDAKGPW FDGVEYLSAKEPNETFVAQSKTKSIGILGAGMSGLMSSLLLESVGLNDWKIIEANNRL GGRVHTAYLDNTTGADYQYQEMGPMRFPVSLTLDNATVEINDHKMVFQLADVLNNLNG NSSEYLVNFIQWIQSNSNAPKDKTNATATYSNVTADLAAEDAYEDWLNLTDDKLAAAA KNVFTAHRQAVDSGYLDFSESGYIKYVLGASNNITDEIDTLSDNYDSWYYDSVYFDAS SWKTIDQGLNRLPLAFTPLVQNRTMFGTAIQEISYTATTDKVSVGYRADPFQIAPETM DFDYVITAVPFTKVRLWRLPEYSSLLQRAINTLQYETACKVALKYETRFWEHLDKPIF GGCGSTDIPSIGSICYPSYNINGSGPGVLLASYSSGTMCQSTGALTDAEHVGLVQRAM VEIHGSIAEEQFTGSYNRKCWQNDQFQAGDWAAPAAGQQELYLPAYFKTEFKTIFVGE HTTYTHAWIWSALESAVRGTTQLLLDMGLVDEAKEIVDTWMARWIEV LY89DRAFT_790483 MKLIVAGGTGLVATEVIRQALQMSEITSVIALARKPVQLNNIDT SKLKTVIIDDYEKYPDQVKAEFAGADACIWTVAVTPMRSRGVDFAEVKRICQTCALAG FKTMVEAGPSKPFRFLYISAEGTPRDLTKKPLFMGEYQLMRGETENMMLAFSADHDGV EVCVAQPGMVTSSVTFWRTAQAILFGFTNIFTRAIPNISRTELATAMLGQVIRGFKKE PLTNADLLRLGRVGLAPR LY89DRAFT_678728 MASYDFDAERAKILEYEGRTEAVERRGPTRRYEFQEPEGWSIKV REAYDKATKVPFELSDEERERARNLDIQDEQIRWHKENGNIVRDDNEVVANPAGRELT VYLAHIGSIILGREKWDGYMARLITATDQFNAHVPPTPPEVGEKRFRLNCEADKARAE QLGYLYSTNHISFRRETGQRNQEPAFTNETIASRHKFSAIVRYMQEVTWMIQIIGMAE AVIFPEQYKRARAVVQHWEQQSEFKTIVTDREVTTTTVLNSNTVSDPHWDATDAEGTM TALQVWGDFDNKEGGHFVIPITNRMYAMYTDSLVWMLSQEIMHYTSRPVTGQRFSVVH ITQKDLANFDAISLPPTPAEKAAFVREAREDDESDSCPFCDQGPYSGGLQSINKHLIS IIVRGGDDKHPLDEITNWKEWASAEMAKRGLKRRNDRSAAKKAAKRQLDEDSEAEHEV DQAPGPKRRKLAKLEVSYEYERYAAALFTEDSTEKPLESRLQSHMMPPRLEIPAGWVV TPPFTPVGQPPPTPGFTPIWQESSRFPGHWRCDFGNDKTMYLGGPPRIIGGLGNYDII VFGFSSDAELDKVDTYQLILKNFIASYPDTLPVDDVLMQRDFMLRRNS LY89DRAFT_702937 MLLRHLRSYVLLEEERLPGYNYKNFYPVNPGDVFNKRYKMISKL GWGTSSTVWLAQDNTRRALWESKRYVAVKVGVCGHMDEDAATHERNLSRYLGANPSHF GFNFVRVVQDSFKLAGPYGSHTCLVYEPMREPLNIFQRRFADGKVSPAALQVFIQLLL EALDYLHSECEIIHTDLKMDNILMSFENPSVLEEYVNQQAANPMPRKVIDGRTIYLSH NDFGLLQSFRLLPKITDLGLAQPGDTWETLRFPIQPPMYHAPENLGVLVWNMMEGKDL FQNFRTDQGDYDTRRHLGEMIALLGPPPKELLDRERAWGSVKWDGVLGRDGKPCPTAR QYFGGPFLMMVFLYEDLIPKNVTLEGTVAAFQGDEKQLFLNFVKNMLQWVPEHRKSAK ELLQDPWLGLPTGG LY89DRAFT_603229 MKEEGRIKSVAIIGAGAAGAITAAAFQAENYFDKIRVFERRETP GGTWIYDPDPKPDLPITPGSLPPDVDPRLEIPSSLPRITAPNQQERYAQTPIYDSLTT NVPDIAMCFSDQRFAYGLFVPHHIPRQYIENYFSAHKLDDFLVLNTTVEDLSKISSSS PKSGERWKLTLRKYDCFRHADVWWEEEFDVVILANGHYSVPFIPEVKGLKEYSEKYPG RVMHSKYYRSPLPYTSQKVLVIGNSASGHDVTTELVSSVHHPVYQSRRSKSRWDDVDP PFGIAWKSVISKYLPDGRIVFEDGTCLDNIDKIIYCTGYKASFPFWNEKANGRPMWDY EANKIIKGYWHTFFQDFENLAIVGLPRVLTFRSFEYQAIALARLYSGRNAASLPSVEV MKRWETETEENHKTENRKFHDVEWETGETKEWLQRFFAIAGLGTLTGEGRIPPVLDKD LVWAIEHLRKYPEPGKGDLIMMTRKASSSCDIRIREEDVDDWEIVEHVQNL LY89DRAFT_409294 MSQREDSPFPALASHYLLQTDPQREYWHWGASGPKLRAELMRRG LAQDGSKIELKERIAANDKWGNTKEGRIAIYNRQLADLEAERRRTAPEIVPFERFKSL PKELRMIVWELALPGPRILSVSDHCEPGRLRFRKHDSPPNPVALSVCRESRHVALLRY RLCFGTTNIYADLARDVLYFGSRWRDFRTFVLREGHDVFKCIANPGVLNIYHGERWKP ALSFSDTITADLKVVQRLAVASRIWAVPYYRGRRRAHSRFWPGTSTQSYVLRRIERAD THRQ LY89DRAFT_712572 MGKLTRATGVREENGRDKYFCEVQLYGLSPPVYPTPEGQGTSSP EWTAAYTKARAFIAQLTFDEKLNLTRGFTGSCVGNTGSVPRLGFKGLYFEDAPAGIRG PDFVSAFPAGSHLAQTWDREYMYAYGKALGEEYYGKGVNVALGPVGGPLGRVARAGRN WEGPGPDPYVTGVQMEEVVKGMQGAGVIACSKHFLFNEQEYRRLPNELGESMSSNVDD LTLHELYAWPFMNALKAGTGAVMCSYQRANNSYSCQNSKLLNGILKTEFGFEGFVVSD WQAQQSGVSTANAGLDIVMPDGGFWGKNLSEAVTNGSVSIERLNDMVTRTMASFYFLN QNDSYPEAQIFSPTVQHPILDVRSDHDKVIREIGAAGHVLVKNVNKTLPLKKPRYVSI FGYDAEVKAVPWTNPLRYGGGYEVNFGWNTFNGTLIVGGGSGSNTPPYVISPFKAIQD RVIADREANSEVCLVFINAYASESFDRTSLSDKFSDNLVLNVAGQCSNTVVVLHSAGP RVVDAWIEHENVTAVIFGGLPGQESGHALVDVLYGDVNPSGKLVHTIAKKDEDYGDLL NSTIDFSAFPQQNFSEGVYIDYRAFDRNAIEPRFEFGYGLSYTEFAYSNLTIVKTGAN TAEYPSADVSIVQGGHPELWDILFNVTAAITNIGDVAGAEIAQLYLGVPGAPIRQLRG FDKVMVQRNSTAHVIFPLTRRDLSVWDVVAQKWRLQTAEYNITVGASSRDLRLNATLQ L LY89DRAFT_632857 MGKYIKWDAQGVEVIQPGEREKIKEVSDQFNRFQMMNFNEHHHC LRGTHLKTQGCVMGKFTVKDDLPPHLAQGMFAKPGQYDMIMRYSSLTPKLVPDNVSAP RGIGMKIFGVEGEKIWGEDKKTQDWTFNNYPILELRDPKTTYEIADCLEKNWNDIPKF AEEQSKRVDADVATMGSQLPRQHLVAMPEYSQSAYRFGDYVAKFGVFPQGEEQKKLEK YSIPDDAPINILSQHARDFHMKHKVTYSFCAQLLQNLDEQPVDDLGVVWDEKKYPFEQ IATLEFEPQDSWLPEFRVWWDDRITVNSWHGLKVHQPLGSTNRMRRVVYAESRKLRLR VNGFKDYVEPASLLEVPAPVVAPQFELPFQPAVKSVEVGV LY89DRAFT_692441 MPSQPKVLFVLTSHSKMGDTGKPTGWYLPEFAHPYEVLSPHTQI TVASPSGGEAPLDPSSVEAFKADAISTKFLSHQESLWKNTQKLESFLGHAGEYEAIFF VGGHGPMWDLANDETSHKLINEFYAHNKIVSAVCHGPAAIANVKLPSGEYLIAGQAVT GFSNSEEDAAGLSQYMPFMLETALDKASGGKFVKADDWAKKVVISRGGRLITGQNPAS AEGCGQAIYDAIFGELTTKDEL LY89DRAFT_712575 MSSMHAFAHGSSSESSGDEDDFFHPSTDPHADEFADYNPRKRRR TGRDAKESAALGVFGSESEDEVGPGKRWKKKDLRSKGMSFVSTGQHKLDKDEDEDEED DEPAEEEDVNMDDAEEEEETAAPRGLGFGAKGLGFQSPAVGKKINNAPKNSTPLGRGF VPSSAAAPILLNNGDDDVSTPRIGKGTKGAPSGANAGSFAARMMAKMGYKEGEGLGKE GTGRASVIEVTLRPQGEIEEEKRQAKLRGEKYEDSDEEKKKKRRKARTAGIESGSGSG MSTPRKAQKPKFRTLEEVQRAAPGLQIPEAFAPILDMTAPGQRLLTSTSGLLTPTTGI ESVEQIESKKLARRAQNDLSAYVEEWKNLEERKAYIEMTIVQQQQELDEEQLEFDRMK SFADTVQSISQAVKDFQWDPVIEALTSADVLVDPGPSKTNEELSSIAVAAVHPFLRQA TEGWQPLEDPKLTGIAPQLFKIRHILGVTSSNEKSLADQYLRNDGSHRIHSKSTSAYE SMIYKIIFPKVVSAINQSWDVYDPTPLQALFDAWEGLLPSFVRSQILDQAVVGKLNQA VSSWNPKKKRTHELPHLWLFPWLQYLPAHHADPKSSTGLVSDVKRKFRQLVDTWDFRK GVVPGLQQWREVLCPSPSNDQWTPLIMNHVIPSMARFLKNEKNFMVDPNDQSPYVSSL QGVFAWKDILKPRIVGQVIADTVFPMWHNVLHQWLTVVGPNEEIGQWFEWWRDEVFPD DIKNLKSIRDEFEKGHEMINQALDLGSKASTMLPAPPQTQRISMSSPAPITPAKPAAP VVEETTFRHRVEDWCIENDLQFLPEKKVLHSAGPLYRITAAGNGKNGTLVYFKGDSLL AISKKGAEQVDIRINWENADARDALLEMAWYNVK LY89DRAFT_409365 MSQILTSRQAEELHKSIIAYLSSQNLTSSAAALREELSIGDSFD AATSKRYEGLLEKKWTSVVRLQKKIMDLESRNTTLQAEIDSATPTSLSRRNQDPTAWL PRSPARHTLESHREAITCVAFHPIFSSLASGSEDYTIKIWDWELGELERTVKGHTKAV LDVDFGGPRGGTLLASCSSDLTIKLWDPSDEYKNIRTLPGHDHSVSAVRFIPSGAAGS PSSGNLLVSASRDKSLRIWDVSTGYCVKTIRGHADWVRDVSPSFDGRFLLSAGVDQTA RIWDASSGEPKATLLGHEHVIECCVFAPPASYEHLTSMAGLKKPPPPSSSAEFIATGS RDKSIKLWDARGTLIKTLVGHDNWVRGLVFHPGGKYLLSVADDKTLRCWDLSQEGKLV KTIDDAHGHFVSCIRWAPGVVRDTPPVNGVNGTPNGTSRRDDPTGNVSIRCVIATGSV DKVVRVFAS LY89DRAFT_632868 MAEQEEDFSALPLPDRFQHKIWKVRKAAYEDAAKQFEITPDEHD PAFRPFLQDPGLWKGAVADSNVAAQQEGIAALCAFLKFGGREGCTRTRSHTLTLLVEK GLSSTRAATKASAKEAILLYIELDTAGPVIEELLPVLSNKQPKIVAATLAALTAIYHD YGCKVADPKPVLKILPKPFGHADKNVRAEATKLAVEFYRWLREAMKPMFWGELKPTQQ AEMEAQFEMVKSEPAPKQERLLRSQQAAMARAPPPGAGGAVEEDELEEEAVEVDAFDL AEPQDVVSKVAADFSDQLASAKWKERKDALEALYGVLNVPRIKDGDFGEIARGLAKSM KDANIAVVTQAAQCIEVLAKGLRKPFSKYRSVIMSPILERLKEKKASVSDALGAALDQ VFLATSLTECLEEILEFLKHKNPQVKEGTMKFLIRCLKTTREAPTKPEVASIVESAKK LLSESVEVLRSGGAEVLGTVMKIMGERAMGPHLEGLDEIRKTKIREYFETAEVKAKDK PKPPPQAAAPRAAPAAAAPRKVVGKKPAVKKPPPAPSPYAQEAPLAPQPTARSIPGSK LGAPKPSGLATPGLKAPLKRTLAGPGGAAPPNLSSPRRPVSQAPPPEEEPAPPPRIGL GRGLAGRSLAAKAPAAPAPTSVSPPPSSGLTAVERAELEELRLDKDRLLRQSDDLRQE RSRLNSEVQELKNQNAQLIEDHTRDVLSIKAKETQLVRARSDCEAAEAMCGQLRREME RLKRALTSAERVNSPPALSSPGRDGTTDEAGIYRDTGMYGGAQDNGRQAFNNRARMSF NSALSEEKENTSDALDARYGRSKLSPDLGRNGRNSAASGSAGRNSPAPPPYQSSRMSR ETSNDGTKDGNPQGVESWKRAAEVTSALKAKIEQMKARQGISRP LY89DRAFT_409544 MGAGWFRVWQYKVILTGLPSDRKSAELRNDGALLSQVAALPGPG DQETGRMEGKGTRSSQKSRHRDPKIHNMQQAACSSSSTKVTTIPRTRVGRSSIYPSQK HKVIRFLGTHTFIGGGDGDFLLVLLPSSAEIGRLLYIHIIAIFTVPNIVKHIVHGRSN NHAFRRVTLFRTDILRPEISKS LY89DRAFT_743795 MCDYTQVEFRCGHVRYTVRAWCTNYETTHKRCPPSVVAIEFRLD ERCGEYHIPTLSRTS LY89DRAFT_790492 MDSNHTTAPQSSTLEIYKDAFAPQPRPRTRAWERSSVEARAPRL QGQKIWKKAAHKAPHDDKENFEAALVELEKGGAGMRKKQRIMGAKENINDAQWQLIQE GANVKVLLSPKKNGRQSLAAGNADALLVPRKRTNANHLITPRKPLRKVPNGIHPSTAP LELPAEPAIIISLSLETGTKPSETNHLNNEIVDDASRLPSPRTDNRTADTEHRTPEKP ERRRKSLRKSTRRITQNEISEAMPSVPMNDNSSNSLSVVVWSAPAFKTFRATESISKI PNLSMEESISSPAEELVAQARVIELDQHISDERKVLSPTIVHKSLDGSLELDNDNASG NEHSEEPIDSPRHHPILKESSPALSQDSNLNNSTSSAKENTILTTSAADLESVTLESM ENIAYNSTRAITPRSNKKTPQRGSRRSTRVSPIKSTTSSSQSAEMQAIVEARSLQQTA SSSASHELDCLITEPENLTISQSSDSIPTELPDLQIARDLIAAYGNEDSIYGGCDPTE EENTCDSGVADSLPTSLEETSQTESDDSMEEGDVGNSNNLDSCFENPANETIEEVEQM PPTSVIQESHSHSQEIEFEHSNPNDEMDVDSTLMDMVIEDSELASPDVAQLATNMNQP LDLFPSVGKINTESSASELVREELVDETVEEHEVLELISLTTAEDRKSLEQEDSTEDV DATISTASTLALLEKNPSDSEPRPLVDHDDTDLLRDFVTRVKANKAAKAATGIPKRKR SLPHSPLRLPLGSEANSSPSASDAKDDEFDVSVESESSKKRRKHNDHSLDEDELTQPR STRRSGRTRLPIKSPLAAPSFIPVRRLGQDGDNTVTLKRSEEKELAALTKVNTRKNKG TAVHPAQVLAKKAEEKDDPAARQRALKEVFDEKIQKKKETKKSKTVIWAEQLAQFQTD DKKVTAEEEADKEQPVVEEKKTAVKVGMRSKMSLGMAVNGTPAPKRKVRGRP LY89DRAFT_712578 MPPKGAATKLQPMRLPPLPKLRVRRPNQADANPCLALMSSVLTC WASAGYNVAGCQALETQLRTCMDMPRPKNQKKNTINYHLSRMYPNIVGPRKRK LY89DRAFT_678738 MSSGGVATHMAHGPLSRTNTAPLFQTRSAGLSQTMSHSSRSSQL SGSTAFTSSSSTSLSSMTSSATLVPQIPMSANGSPNGSGPVVATNNIINQRADASRSL YQICVNLRQRLAQVPNFDTHLNDSDDEDEGEDMDPVSSLWRCLRKGTPLMTIYNSLQP PEPLQIDDKIQEPKRPKIAAFKFVEACLKGDLKLPPGECFSLQDLFGDDTTGFVKVTQ VINIVLDVAKQRGLLLTSEKEDEAPSAAAPGVKMTYRDHVVKELVDTERKYVQDLENL HELKKKIEQKGVIPGDVVHDIFLNLNAILDFQRRFLIKIETTNSLPDDKQEWGLPFTN YEEAFGIYQPFIANQRKAAAIAKREFDKIALAEHAVVVDFNTLDGFLLKPMQRLVKYP LLLKDMRDKTQADEETKADLTAGIEASNRVLSQANAAVDRELRAEALEDLCARVDDWK NHRVDHFGELLLHGQFPVVTGKSDVQKEVRPPSVRYPSSVADFKSAVDHKRKALLSSF RRSTPTHTQSLPEDIASSWDQASATESEATFAESRRWSTIGDTDRFLSNMLFHHFEGS HPVTGDPILAEKYANSLGINCPQYEQYTIYLFERILLCCKELNPNKSKDKLMGAQKDK KDKKDKNRNKEPNKNAKLQLKGRIFMTNVTEVLSLAKQGSYTVQIFWKGDPGVENFII RFSNEETMKKWYEGVDGQRKQHASSIQTGSSPDAGPADFAWMRDQTGLANPYAQQDDD DDDDEYSTPASAPIPNSTYPGPAGIPRNASSTSLRSRSTTGDSGQSMASIVRGPVPPR QFPMNISAPPLSLQTQIASQMPSPGQRNGDSYFSPVAESPASSRTSTASSMFPFPRQG TPQGGWGEDHNRYTAPAMPRAPSRGSQNPADAYMNGRGPQRPSLPAMASQNQASVAQQ RSRSYSTPDINAQNGGRRLPNGAASGQQVPAVPGIPSHLQGHPAYDAGIPRSQNSSPN GLPMRSNTQSPGTQRDRMTQQSAYPAVPQYNRSNTTQIPMTNADSRTMSPPLGSANST SGEPELPNQLKVKVNCNGNYVTLVAGYNIKYQVLVDRIDAKVGRFSNNAISQGTMRLR YRDEDGDLVTIESDDDVRIAFQEWREAQKYQYQAGQLGEIELFCLTMDN LY89DRAFT_726517 MTSYEGSPPDEGYSEDPLTVSPSGALPPWMASMTVPERTEYVMS VIAHLPTSEVAEIVRRLRPRLYINFFKKLPPEVCLKILGFLDPVSLINTARGSREWML LALDRKLWEQLYILEGFRVIKSEVQSFEESLNLDRSRPSGDSSDEHASKRRATSQRMQ PSFLTDDSDMMDVDVSGIKQMSIFGGKITKEPVSTRFDLDTPMMSPSHLSSMKSTQYP TRLANSFQGRSSRTSSGSTLSHPSAALSSISSLVVIDRSDNKKKLNWQYLYSQRRRLE ANWEAERYTNFQLPHPAYPEEAHEECIYTIQHSGKYLVSGSRDKTLRIWDLDTRRLVR PPLRAHSGSVLCLQFDADPEEDLIVSGSSDATVVLWRFSTGQIIQRLRKAHRESVLNV RFDKRVLVTCSKDKTIKIFNRKPLSAGELGYPGLSAGVNPVPTYLNNYGFNPPPTAGL PVKPPYSMIGCLEGHGAAVNAVQIYNNEVVSASGDRTVKVWDWPEQTCIRTLIGHSKG IACVQYDGRRIVSGSSDNEVKVFDKESGLEVASLRAHANLVRTVQAGFGDLPFSAEED KEDAKAIDHEYFRAVDSGAISRAAYQQRGRPRNAGSRKPEDITAYGAKLPPGGGGGKF GRIVSGSYDETIIIWRRDKEGVWRAQHTLRQEEAAQAASRMIHEPRRSNDSITSQTSQ LSQPSQPLQSQPLPTPQAPQASTSTTQALPHTMQAPHPDLDALTVPFAPGSDAWYRYT IAIAVTQSPAALRQALQRHPQIMQHDYLDHLIATMPATTQQIMNTIVGAARQTHGMAG SGSSSSSGIQPLIPFPTTVPSASSGPVPASQTAATQTVSQGAAVQAPPAAVAPPPAVI QAAMPAPAPQPSHTHHHHHLPTNMARVFKLQFDARRIICCSQTSIIVGWDFANGDEQI IEASRFFAPIE LY89DRAFT_678740 MGRSLRDRYIFFTTISLLFIFLTSYYWRNDISDVSTPIFEEIHD NLIGPTTTAPYPKYKPTPPARSLPIVDNFPHALAAHAAADLPPIPSWNNPPTPHVLEK TPLFIGFTRNWRLLQQVVVSYITSGWPPEDIYVVENTGVMDSNKQGRLSLQNPFFLNH TRLEMLGVNVLVTPTLLTFAQLQSFYMFHSISQDWSTYFWSHMDVVSVSFEDQYYAFK QTTTTPILPSSDSKHDYSDYESVYTKCLDALRNVTAPDPATGKLSRWAMRFFSYDRLA LVNVASFVEVGGWDTLIPFYMTDCDMHARLEMAHFDIKEVPAGLIYDVASSLDDLIVL YRKTGPETPEASFKDPNAIEEELKAIAESEAAASKAGLGENNEEVKKTEKRDEDKTES DIQAEKAWEKFAEGQKPPGGAGSTTSTSTSTSTISPATATPTPESSGEWTEDTIFSPA FQKLMQVLDRMQGSKHENTRGRNTWQSRQVGGQGDPFYRDSEGFDQGIQMTIEHGRRV FADKWGHRDCDIVAKGLVPEDAWRVEHDWD LY89DRAFT_769357 MVNFKRLSILAGATCIPASYAQNGTNSSCLIKHLQRADNPFPNG VNATGSHSVPGFQVNSSYPASTWTWSAYVGTTFTDDDNTTTVNTGFGLSTSPLQNLTD PSLPYQGCVVALQLSSTSLKTSVYDNGDCTAAFTAACVAEIIAKANSSSSSISGTSTS ADTQCSALLSQISSLSQDSTSTCKGLIAGGGSSGLTVPNVTAAESQTSCPIIDPGNSN ATSGAFFTLGDIVQGKDNFETYDTDLETPLPLLVTAWLFDRNAGTSGGGAWADTRLMC IPRNQTISKGSRDVGGTAAASGGTSGTGKPSMGARESVVNLGLLAFVVLAGIGFGI LY89DRAFT_743802 MDKLPQYIADEYESPYDNLDPRLWNAAVEAERARLDPAEEAIQR QFHFDAPRFALSGGDSQECFRILDAMLMQPDDPLYRSPQAAAESIMLMRPPGSEVPFS TEDRTQEAFYYGLLFSSFHIAKQISAEDEESQDKLVELIKYVQNMDDHDVLPTFGYCA HDSDHYEYGDQEKAEELNFHAFLARLTRESVYNFSLYMSWILNRASGRSHREYANGEV VWMSQWLSLAGKELFLRNNDLWEDGKTKLLAAPPSARMTYEDKEICLEILNLMAAVER EAPNT LY89DRAFT_692455 MDPLTALSVARTIVQFADFGTKLFSEGYEMYKATTGALTANDQL ALITVDLRVLIEKLQQFHWEFVGESVAKSPSADEQYHHEIVEKICGDAVELADHILNR VDGLKVNGKKHRKWNSFTQAIKSLWSREEIAGLVKRLSNLKDALLTRVIYSIWSNLRA QSISNSSTFDRLDQQTQHIITKLLESTNQVEETQGELKEFRNQLAFLANYLARIEAAN VDEHRQTRDAILNCERTYRGQKLDHVISKLQMLSATQADEAKLRKSVNAILLGSLHYP TMTSRYEAVLEAYPQTFEWAFQTSGNGQATWTNLSEWLKSGNGLYWIQGKAGSGKSTL MKHLFEDPRTRSYLENWAHDSASDGTEPTTLCVASFFFWNSGSLEQKSQTGLLRRLLH QILLAIPDLIPITFPEQYAQIYSKQAHNELYPTISWSRSELTRGFGSITKQKLISCKL CLIVDGLDEFDGDHEDMAKLFKMAALSPTVKICVSSRPWQVFKDSFHNRPNLQLQDLT YNDIRKYVSSKFDQSAAFERLAEEDSSSAHLLVQEIVDKAAGVFLWVAIVVKSLIQGI NNRDNVSILQQRLWRFPSELKPLYDHIFNNHIDDLYKPWASRAFQILRASRAVFAHDA PSSTENHLTLLDLMYSMEDELDVQSFRPLDKKSLTQKCHSVAIQLNTRCAGLLEVRQE PEIYSRVEYMHRTVRDFLEKEECWAQLLSYTARTMFNSYLCLFRNCVLYIKYRHQINS SQRLVGRASTAMLFAYYADLHLETHEAQIALLDDLDRLTVKQRKVRTREIAMNESFLH QALRYCLTGYIQDKLDKLEPNAAKVRASSLLKLLPTRRYLIGTNEIAVTGADWPKGIP VFRPMIRPGSSRVYDMTAIDAEWNRELPPFREDVRVLLLSYATKETGGCLLPKQQSPA WLEVECDTSDSDTSYS LY89DRAFT_790498 MPAMLDDPSSPAIYRTSGSAPFPDPHDEHPPFPPDIYPRQVTLR DRVTVATIIPFSSPNQVPPSLLAYLSDQLNTEIDNGDTYPFIDTMPADKFSSYWFQNF GAIMLLGNIESVEDVLEGKNWAAECLGSYYIKPNYPGRSSHICNAGFLVTNAVRNKGV GRLMGESYLEWAPKLGYTYSVFNLVYETNVASCRIWDALGFKRIGRVKGAGNLKSYPG QLIDAIIYGRDLGPEGEDFVSEERFDKIKFYLKYGKYPAGADRAEKSRLRSAATHYKL LPESDKLMLKDKEVISDPQKQYEIARNVHVLQHGGINKTTATIAERYHWVRIKETVSL VIRNCTQCKELGKAPIVRPDGVIRPAPRVPPPNGQGGVSAAGRALSLSQQDHSHDGFS GQAGQGSVSPQVLNRTLPGQHSQEPNFQSQPPQQTAPIARMQAEYLPLDPQIMEDVRN HLGGYNHEPLSSPDAEAHDHFHDGHDHDNDQHDSDSFQAMLGRGHGRDGDGNEDMDML IDATEDGEHEQEHEDEDGAIIQLQAEAAARAAEEALKTVGREYGGG LY89DRAFT_603160 QPGGQDSQIITSDGSARLETNYILQTYDQPPAHIVIKTHGWRTG PPEVLARLADPKLADNVDPHSYKMRLFIDMETGDERYRDKINCGMWIGSGMRKGAEVI YE LY89DRAFT_769369 MERRNLRSEPDPKIKAQFKDTPWAISLFNDPILIPFTNDSRVPK SHTGDSFCAQTLNTPTTISAWQSFHRLPSSSSPSASNQSTETLTVMKLGSALNGHPDI CHGGFVSLLLDEVIGSAVEERRPRDKSMMTAFLKVDYKKPVKTPATVLCRAWCERGEG RKMWGRGSVEDGMGGVFAVGEALFLVVEKLGGAKL LY89DRAFT_603246 MNAHVQEPTKKDAAVANKHTGFPEPFSEDRNTTLPHPEADTSPN ATIEAPDVDLTRTHSRSSFLHRKHHSHHLWNSHDTPEKMGLYDNITYADDDTETLAPE HIEKANGVDGIHEEVEQKKGLLKKLVMHKA LY89DRAFT_692458 MAESNLSFILNKPLSLSFEERPKPTLKSPQDVLIAINYTGICGS DVHYWQHGAIGPFVVKDPMVLGHESAGTVVEVGPAVKTLKVGDRIAIEPGTPCRHCTP CLAGHYNLCDDMRFAATPPYDGTLAGFYTAPADFCYKLPEHVSLQEGALVEPLAVGVH IVKQAEIKPGASVVVMGAGPVGLLCCAVAKAFGASTIVAVDIQPARLEFAASFAATHT FMPERVSAEVNAARLLKESGLIGGADAIIDASGAEPSIQTSIHALRRGGVYVQGGMGK PDINFPIMALCTKEITAKGSFRYGSGDYKLAVELVATGKVDVKKLITGTVKFEDAEKA FQDVKAGKGIKVLIAGPNEK LY89DRAFT_603305 MALQHGKPANLLPVHWKSQITEWFAEDTPSFDYGGFVVGDDERT ATLFGKSRGVLAGVPFFDEIFSQAGCTVKWHMEEGSWVEPGAKGKFAVATVTGPVRKL LLGERVALNTLARCSGVATKSRTMEELVRKAGYTGILAGTRKTTPGFRLVEKYGMIVG GVDGHRHDLSSMIMLKDNHIWAKGSITEAVKTAKSVGGFALKVEVEVDNEKDADEAIA AGADIIMLDNFDGDGLKVAAQNIKQRWAGKREFLLECSGGLTSENVGTYINNDIDIIS TSSIHQGVPHVDFSLKIDHN LY89DRAFT_726526 MENSDGAALSLSGHSESEGSIAVARSTSAQSNLADMTDNLSRSS VMEQGEDEDDNSSDTASDTEPREYIPGVVPWKLGYLDTRTGEETNPLNRRQLLLNSNA VLIMEAPEGKIYVREETKEDALRKDGIVAQRIEQQTRMLFSGHKTLCSCHYAICWGGC TSNFSTPFGVVGLALNHLYHRIPLNSYWRITEFWKMLAYWGIQMSRGYCGKLAPSLCQ KDLHRLGGILMDDVKNVASGREGVLLQVLGLESAPHSIRQVAAVLAQLERDFAWLTHA EARAEQRYGRDRFLKQKIGEEAEITKMIEMDPHAKARGDLNRRNLRNFATEMWVAGQL QLYPSEVLLSIPLPSKAPSSKQSPAKKVLQKAQSKPHNGKIQKAPSSKRQGKQALKKS QDKSHNGKIKPARSAPVPIAISRYMERTYDDLAKMDSPRWAEVYEPGHLADLGLKVGD FGFDYQKLIEPTYLKSPFLTTNQRIVQATSWRQRLYVLLKRVPGNRMKIKVLHRMVQE WEGIGCTNTTFQTCSAALTRKPEFYWEPAETGRCWWLIAHEGKEYEKASGGRKPNLPK AEND LY89DRAFT_743810 MGDIGSADLGDRQPIPYLWDEPHVVYKPMTPRGKLPSSDCPYGL FDISMAFPKRTTMVAHERRVIASYKAHDEEARPQRPRAAPPPRGSPSRRGGRASAARA ISGVMASRSSVSPTRRMSTRNRTGRPSSRSRGRGQGSSFASSSGEPSKRRRTRLTSST LRERTQETFGTPNPGEFFAMRPKWLSDFRSGGTAQKTSDIAPLGESSSTPETLQAMIS AWLSNSALGQGALEAFATAPLGEFSNRPEASRARTKTRLPDSPLRGKGQEASAAVSLG ESSSRPKTSLPTILGYGQIEAEASTAQEATPTESLRRTRLPDSPLKERTQESSATAPQ GAFSSRSEASPATVVGDDEAESSTARNPLPGKSLGRLEGSPATIVGDNQKKAATSAPP ARAPPVPQRYANLSALSPPWAAVHEPGHLSDLGLVATQYEILRNTPADQSLSSSSKKI KMVATKTGNAPPIKLLSTAILREYLEDS LY89DRAFT_678752 MKINCCIAAAILAYCAAAAAAASPNPTSTMTSASLPSEPVSLFV FPDGAIKSKTPGSSNTASKNPGNLEDFPTIREDFSLNHHKNGTHRSGYRPGYRPGGHG PVVHPHHGKDHKNETLSKEHHLDARALDHKHGKNSTINHYRPIFRPMGHGGYGPVMLP PHPVAKHNASHGMHSHPAAKHNTTIGKQSHHGAKHNFTLGMHSHPVAKHNTTLEKQHA HIENWKNKIISDFKKGNLSVVEMLKALHVLHGSQPANITSPATLNTTSAAPQTEDEAI MSYFISSSEDAQPGNCSMRKAIEARKIIHNHDQDHTIVDDCKITSAEKTSKEASSIIS KTKTEITNVHSDKTSNKHKTLADASDEINGMVDLPPQLVDYYLDQLAKMNASNANTFN NTTGGKTTLKTYTKTDPKEGTGSSDTNYRSINVTSVNRHEELEMDGHVPISVNSKAYN ETPALSSSSLLSSIEHSSVATSLDVKLTPTVHSSIEPAITHEASTTSFSKSSISLEHS STPSPTTFSSVTTSTPDATAEANKKLASVLASRLRAISVHTTTDSPKETTQPSTSTSE QENDNQPTATSTNEEETPIQTPKPSTDAKKKLASLLRPKFGGQTSTDSASAKKTTSPE ETSNGGETTQPERRGQKAYINEVARLSKVHMDATSACKSNVTCVAESNQNYALALSEV KYH LY89DRAFT_702954 MAFLGGRGQRGIASAAPAIQEFPRHDIPPAPPASSRREKIKNAK PFSSFLTDTYQRQHDYLRISITEKCNLRCLYCMPEEGVPQSPAPDLLTTPEIFMLSSV FVNQGVTKIRLTGGEPTVRRDILPLMHQIGSLRSRGLRELCLTTNGVTLHRKLDGMVE AGLTGVNLSLDTLDPWQFQIMTRRKGFEAVMKSINRILEMNKLGAGIKLKINCVVMRG INEREILPFVELGREQDLEVRFIEYMPFDGNKWSQGKMLSYQEMLDMIRAKYPTLRKV QDHKNDTSKTYEIPGFVGRMGFITSMTHNFCGTCNRLRITSDGNLKVCLFGNAEVSLR DILRKTNNGDPIDEQAFEAMKQIEMNRRQGLSTAGAPLGWTEYEQELLEVIGLAVSRK KEKHAGMGELENMKNRPMILIGGIRLYSSTTKPPSAITTEPKLTHLSSTGDAHMVSIS SKSPTSRLATAVCSVHFSNPTPLPLIRSNSMKKGDVLSVARIAGIMAAKKTSELVPLC HPIAIESVSVELVLAGDQDEQDLGEKTSVPWKGTGQGDAYPFHTAAPEKSTSFGRIDI TAIVACDGKTGVEMEALTAASVAALTVYDMCKAVDKGMRVEGLRVVRKEGGKSGTWVE GWSVASD LY89DRAFT_692462 MTGQSSSPFSSLSTGCLYGLILLLDVIHDLSAIGNRLSLERDWV PVLVGPITPETTYGLTQVNSVMVRLELLVKLIAPSLLPIIMSTFKSREGWILLLVAIT LGMWATEVGCARLVARENPELQEPKKISADPATMEDLEIEDQFVHLKPGISSLPKKIY FVLYQDPAVRLRHFFSVSMWPASISLALLQVTVLAYSATMITYLLQTGFSISIITVAR ASGAITGLSSTVITPWLVGMLRSRYIHRENTNEDGEDDAAEGKVVRTVGLWGIMFQFP AQIPVVLVLWSLSAALPSEMLSRQTDNSTSSPQILVPIIFFAFLSLSRIGHYMNSLMV QELGQVEIPVSQRSTFAGTEQSFRSLGELGHWAATVVWSDPSQFKWLAMGSLLLVGSS LVIFGSWARKSSSKRRAYESVPLNDFEGEITL LY89DRAFT_692467 MVLSIRIYRPSGGNEPAFIVDPASVDSVTRDEVPMHGSGAGDHF EDDSTYDDGLGLNGRMDAPKPRKMSPREERMKWWRIYAMHFLFSWNSRTFEYVSIFLV ALAFPKGLFATSI LY89DRAFT_409921 MSFSNSPEPISYPYTPPNDSNIPLTVLVSSRAVIYDQENNLVVS PATLTISPQTGTIISILPTVLPPSSFPANTTYIDHSPKLLLPGLVDAHVHLNEPGRTE WEGFNTGTRAAASGGVTTVIDMPLNAIPPTTTVQGLKEKVKAAQGQCWVDVGFYGGII PGNAEELLPLVEAGVRGFKGFLIESGVDEFPAVSSEDVALAMTTLSKTPTTLMFHAEM IPPITDSVGDDVQTSLPPLAPKGPLTSYNTFLDSRPPAFETYAIEEILSLAPLAPDLQ LHIVHLSAIEAIPLLREAKERGIKITAETCYHYLALASEEVADGDTRHKCCPPIRSSV NRDGLWSELAHPESVIQTIVSDHSPCTPELKLLPEHLGHGCCAGTKGVNGEIMSAEEA EEKERGDFFASWGGISSVGLGLPILWTEVTARSRAGESKPLSILDVVRLCAVNTSKQV GLSHKKGALRPGMDADMCVFDDEAEWVVGREQMLFRNKVSPYQGRTMTGQVRETWVRG QRVFQRDGPNGGFVGKQGLPVGKLLLEKRKL LY89DRAFT_692469 MLTHVLTVAFLAASAIAHGDHAHDQIPIQGPHKALWYNTLPGDG GTQADSVFSGISTFGRLPYFPCLASDDVKYDIAFIGAPFDTGTSYRPGARFGPSGIRQ GSRRLNLYGGYNVPLETNPFNSWATVLDCGDIPVTSYDNTWALHQIEEGHNSILTRAP ATDAKKKGPSKAGKTLPRVITLGGDHTITLPLLRSVNRAYGPISVIHFDSHLDTWKPK VFGGSPSKVASINHGTYFYHAAQEGLLANDTNIHAGIRTTLSGPSDYENDGYCGFEIV EAREIDTIGMDGIIKKIKDRVGTTKPVYLSIDIDTLDPAFAPATGTPETGGWSTRELR TILRGLEGINLIAADIVEVAPAYDTNAEHTTMAAADALYEVMSLMVKKGPLSKMIESE EEAVRDEM LY89DRAFT_692470 MATCTQADEEEAERQTRYSECGIPIGAAPVYVEEHQGENVFCLP PLLGPLLFVNKDSDARDHCANERTFLSYLRLSLYMAVVAIAIVISFHLKTTPSQLELR MAQPLGAIFWFLSFCCLALGFGNYLKTVNKYSRRVAIVQTGWKTQTIFSIVAISIVGV CVLFLATNSKRS LY89DRAFT_692471 MSTPSQPIKTNQIRSGSIARSPFSTSYLSASPIAQESIARDLAE CDDDDIEAPDSEETSSEEESETDTVRPDALQHSMVNSYRRPSFVAFGGTRPAITPHLP ESTYLTKKEKKQSRNEERSLLRDNHLAPPKHPEPPKRDPFTRAYRWMFSSRRPKKEID EEAQGPFPPEPSETTALLNGESARSHHERLNAQWEAAVAAGKIKTTWQREAKTLWRYS SPLVITFLLQYSLTVASVFTVGHLGKVELGAVSLASMTANIFGYAIYQGLATSLDTLC AQAYGSGKKHLVGLQLQRMVYFLWALTIPIGVVWICSEQILNLIVPEKESARLAGLYL RVLLLGAPGYAAFESGKRFVQAQGLFSATTYVLLIAAPLNAFMNWLFVWQFEWGFVGA PIAVAVTDNLLPLLLFLYVRFVDGRQCWNGFTKKAFHNWGPMVKLALPGLLMVEAEFL AFEILTLAASYFSTTHLAAQSILATLTAITFQIPFPISIAASTRVANLIGATLADAAK TSAKVAMIVATIVGLFNVILLSSLRNYIPQLFTNDQDVIDLVASVLPLCAAFQLFDAL AANLGGLLRGLGRQEIGGWVNLFCYYVIAMPISFGTAFGLNWGLYGLWSGVALALGL LY89DRAFT_692473 MIQLVLEHNNTSLNFNISNIFIPTIPRFNPDNANMQTRVAALEA LFIYRANWEDSVEAAKSRNNAG LY89DRAFT_769397 MAIDKSEQDEDQQLFLPKDPEDNNHLPDPRSKKPNLASRFHLRL ILEVLMALVMVMLYLDPFSKSTKKPTPVPAFSTKSYTFLQNPRYLHEDMFSSRKETLH TLHNWIELSSDGRGYVQVDNSTSFKLGEPYTMHGTHTDKKEPVYMMSVFHQLHCVSYL AEHYQTELTQEVAHHTAHCFDYIRQAIMCAADTTLEGKTEAGPGFGHEHVCKDYDEVL AWANEHTVFKWRGNMPLEAVL LY89DRAFT_410303 MNSSTEGTSSFIIIFSLHRGSTTRPTAPVLTRKMSSKTFKKYAG LSERQHLEISPIKPMLPNIEDLNGKSLVKDIHTHLYLLRRSYEYFIDTDEEEEVLSPH SSYLESPWLLVCEPISHAEQTIKGGWTKVRDYKDFKSFPHFWNEELARQVNRTGDPNN LVPAKPNNAARIGLFCSKTENWTGRGRAWLDADWHV LY89DRAFT_632921 MADRFDPKFTGHVLETIGPNCTPRNRLVLGALMRHVHEFAREVE LTVDEWMMGVTFINSIGQASTPIRNEGHRISDVIGLESLVDEVAHKLVTDGADPTSSS ILGPFWSPNAPFRENGGSIIIDPAPGGKPTLMHGKMTDLLTGKGIPGAVVDIWQASAN GKYDFQDPDNQSPNNLRGKFKCDENGNYHFYCLKPTAYSLPTDSPAGVLLRLLDRHPM RPAHIHLMITADEYRGCTTQIYPNDDPYLSTDTVFAVKDDLVVDFKPIEGDPKAILDL EYNVILAPKSYKGVTPHTQSSIGLESKL LY89DRAFT_743822 MKHHLMVGTWTPPGAIFTFEFDDETLELNMIKRTEIPKDEPISW MTFDHAKENIYGAAMKKWSSFAVKSPIEIVHDASHPMEHLPEASKSDTNTRAIFVLAA KKPPFNVYGNPFYDHAGFGNVFSVNQEGGLEKCVQNYQYEPKTGIHGMVFDPTETYLY SADLRANKIWTHKKDEQGQLTLVGSVEAPDPGDHPRWVALNQSYLYVLMEAGNRIGVY VIDPQTHCPVFTHITYPLIPPGIPNPKTMYRADVVTLTHSKKYLFATSRANKHNLTGY ISAFKLGPAGNIERQICMNPTPNSGGHSNAVSPCDWSDEWIALTDDQDGWLEIYRWKD EFLARVAHCDVKEPGFGMNAIWYD LY89DRAFT_632925 MAPTPGILYVTMQPKPGLQESAFHDWYNNEHGPTRLRLDFCQNG FRYRASDLEGAAGSESQPEWMAVYDIDDMAELTKDVYLRLRDPPVKSQREVETMAKIK VDRKLYDFVEERHSDEFVEMEKVENEGRGNVMVAVFLDLHNREGMQEQVDKWYREEHI ELLSKVPGWLRTRRFVTSSIDPKAPYELLALHEYAPKNGLGGPEFKTATTTPWNQEIM TKVVKDKRRRVYDLYYTFGPAPRYLSSNMASWHSSDEKVSLTTTIPDSPGGAGAIESY ITTKDGVELPFRLEGSADPDAPFIVLSNSILVDYKIWDGFLSNFFSKPSNKKYRILRY LTRGRSSETGSREVTVDILAADIITILTALRVKKAAAVIGVSLGGATVLNTALTYPDR VSAFVSCDTSSKSPAGNSKAWGDRIAVSEKEGALAASGEKIVGEELAEMTTRRWFVKE SYDGGVLEKTCLEVKEMVKTNSQEGFKKSVNALFDYDLKEKMKTCQVKGLFVVGSGDG VLPGTMQEMASAYGSSGAGYEVVQGAGHLPMVEKPREFSEIVTKFLG LY89DRAFT_769413 MHIDINEAEDQAPTIYRPLDGERREIRLVTLSPRNFADDIHCTL SRSWLDGQPASYEALSYVWGDDGSRRQIFIENIPSLVTVNLEVALRHLRRHQQPRILW IDAICINQNDIDERNVQVRHMGDVYKYASRVIAWLGEESDDSNLAFDALEHFPRDLEM HWDPSMNASLQAEVFEPKYLDAFQNLFRRPWWHRVWTAQESILGPVLDIICGKRQVSA DLLRDVYLGLQKHDGSCCRDFFWNERLDLRFDEAGRLLERLTDYRQKLLEHLLSNFRS RHCSDPRDKVYGMLGLCHADLRKTIIPSYSLPVSLVYEEATLRIIESQGSLHVLSQIS PGSWDKVARTTENLPSWVPDWALDMSQKEIQILAVRTSLLDHYYASSGAVAWIRHSDR SSIVLGGIIVGRIGAVSKSTGSNWNEYRSTFLEWRQMAGIDEAPNRPYTSRPPTTYYN AYRQTISGAMMQDRSSLQDPTKKTRVSDNAAFRCWHDAWWNWIQVHDGDFRKIHLASS EYTGTEISLFGAGVYASTGMRRLLITEEEGWLGLAPANARIGDVIALLQGGSVPYILR PKRHAETGTYKFIGDAYVHGIMDGEAWDIDALQDITLV LY89DRAFT_743825 MKFRDGMWMVAEGKRPEYAEEVYSIAEHPAGNSLSLLCPTKRIL QRSDSLNLPTLTIDIRAPFDGVISVETTHWLGALNPGPHYDLYPAGKPEKCNPGISKT DTSTTLRSGSISATVKTGNHDFDIRFHATDGSKELTSLLNRSVGFAYSPAPSNQLVTG DMSKFQHYIFTQTTLSVGESVHGLGERFGAFNKVGQAVTLWNADGGTSSDQAYKNIPF WISSRGYGIFIDTPDKVELEVGSERCCRVQTSVEAQRLKWYIIYGPTPKEVLQKYSIL TGKPGKVPSWSFGLWLSTSFTTNYDEKTVNSFLEGMKARDIPVEVFHFDCFWLRAFHW CDFVFDSEMFPDPKAQIARLKASGLVKKVCVWINPYLGQASPVFKFAASKGYLLKRKN GDIFQWDLWQTGMGIIDFTNPEACEWYVSCLEGLFDKGVDAIKTDFGERIPSIDVEWF DSSLDPRKMHNYYAFLYNKVVYEAIQDRFGENEAVLFARTATAGTQRFPLQWGGDCES TPEAMAESVRGGLSLGLGGFAFWSVDIGGFEGYPPPWIYKRWVAFGLLCSHSRLHGSN SFRVPWTVDDDDTSEQGCSKTLAKWTSLKARLMPYIFAQAQIAVEQGIPLSLRAMCLE FPEDPTSWFLDRQFMFGDSLLVAPIFEESGDVEFYLPKGRWTSFFTNKTRVGPGWFKE HHQFDTLPLYVRENTILVLGKWHEMRTVYDYAQGVEVCLYQTKPGAKTTVVDSEGEEV GVLEIGEEGHLIDQDFLTGAWHVTRNGRSTIKSKATEN LY89DRAFT_410453 MTIPNRRSTLQTFSMSARAFVAFVLASRSYCHPAVVYTTKMLRV LLLSRAYEPEPHFTLVGGAFGASHCRDNITAKGAVDLGSACRNPRCTHSGIRLKSKAS RRHLELRVVHHDKFMMNILRFNFFMYQLITWPDTSVPLTAAQYQEEP LY89DRAFT_692479 MSDSSEYCGDTFERFNDLSIELHSRVWKMASFIPRTVSIESDMR FSNRHNIDMPHDMPTAYTTSSGVPAILHVCQESRNEALKHYSLELGSHLELSTRGSIG RRYTFFIPPTIYINWTVDTLYVPRVIELIYEDSPNFVELFESRGLTSLALYADAEMVD FAFSILPVRGALKEIILLSCSADLAEKLGIIDFVDIKEIPQDPDWRKIGGLIENPEGQ GAWNSMLEGDHRDLLAYLGYPSSERTTSLPKLRLCEVKR LY89DRAFT_743827 MADIEDRGGSTHFEHSVPAATPNTDNRCEDSSGATNKFERFNDL PIELRLRIWTIASFFPRNVCVEVDRWFQCGIEQEDWGHLGAFRVPVTYTSNCPVPTVL HVCKESRKEALKYYSLEFGGHQENEVDDYERSYTISIPPVVYFNWKADTLFTPRTFSI TGGIEDYGLDLARMVNFVDIEPGTHGARWDSVLREADSDGLRSVMKSLLGGNAEPFLK FWHWFSGLTNPPRIRICELANIELCPENPEF LY89DRAFT_692481 MRYDIARQPAALQMTDQAEVQPPFVDIPGLANFRDIGGWPIEGN NGRVIGRVRNGVFYRGPDTATITDEGMAKLRSLNITTDFDLRSKGQIEKAGGFTQLDG IERISCPAFPDGEYSPEKAAARYVQYASDGTAGIVQAFTDVLSHGAPACRTMLLHIAS LSPTSPTACFIHCTTGNNRSGVFIGVILRLLGVSPENIAKEYALSDIGLRPTRDAVVT RLMKSPVFASAGGGGRARAERMVGARPESMLAMLEMVDRKWGGAEGYIKGVCGLTDEE IKKVKAVLTESEVSRRRSSSVWNVSKEYLTKLWESFG LY89DRAFT_692482 MKFPTLALALAAAFTGADAYWKGFNNQANNVDGSCKSQAEYEND FNTMKSLPGYFTSMRLYASSDCNQLANAVPAALATGGQILVGIWTEDDDHYAAEKQAL LSVVQTYGFDWMVAVSVGSEDLYRGDAPAWRIAQQIYDVRGMLSIQTGYSSNVQVGHV DTWTAWVDPNNEVVIQACDWVGTDGYPYYQGTYDNGIDNAYNLFWDSVYQVRDVVNAA HPGAWVWVTETGWPTAGASVNNAVPSIENAQAYWSQTICSSFAHGHTFVYVLQDGGDL PFGVVDSNFNPVYNLAC LY89DRAFT_702965 MRSVQLLSCAVALASHVSGQAVSKASCDSVTKICFSSYTAPENN MTFGIALPMNVTDLYDAIISITAPLSVTWAGFAWGGTMVFNPLTAAWANGKTSVASSR FAFGLGLPQGYDGATYTLLKGTTANSTHWTMVAKCDGCTSWQGSDGNLAVLNATGTTQ FAWAQGDTAVQDPSNNASAFNVHKAYGKWIHDLNAARSPNFNTWVSSNVLAPVATSSV TAPSTTSKAATTLSTSLVPTTKPSTPAQNGAIPASCSGAGAAVFQSVLASGWKATKVL GGLTSPRSLVWDSAGNMLMVQSGKGISIHTMGTDGCVASTKMLVSLNSLNHGLTLSAD GKTLYASSMTTVFAWPYTASSGTVGTRTTVVNGMYNGGAHTTRTLLIGAHAPNLLVVS HGSNDNWDYAAGNPKTGRAIVKVFDLSAVPSSGYNYVSGGYVMGYGMRNEVGITFDGN NMLWGVENSGDNFARGGKDIHQNNPAEKLNFIGDITKPNNNWYGYPTCFAVWQASDFS GTSLQVGDHFVIAPNSTFKDDSCKSVTTTPSLVFMAHSAPIDSKFDSTYSNLYVTFHG SWNRNPTTGFKLVEVPFQKGTDGQYKPVAPPTSNTGYTDVMTNPDVTKCAGNGPSFSS GCFRPAGLLFDSAGRLYMTSDTTSQAELWVLGKQ LY89DRAFT_542607 RGASYASPRSPQNSGSKIPRRTGSPLGHTRNGGGSMGANTESPA AKEAKRWAALKRKEEREADESIRRLDAQLKAMIREGKEALGTKIEVELDEEE LY89DRAFT_743832 MAANGETKINGEAAPGILTARSAEAPWSVESVLASIPGGAPEEN SSSPVPFFHMLERLKTTKREGWRRFGIQHGESIADHMYRMSLITMFAPPSLASRIDIQ RCTKMALIHDMAEALVGDITPVDGVAKHEKNRRESTTMDYFEKGLLGRVNGGMTGKDI KAIWQEYEDSETLESKFVHDVDKIELILQMVEYEKAEGCKLDLGEFSWVASRISLDEV KEWSRTILKEREDLWAGREHSTYSEESPPDPVALAQKKEYYDHRTPNPPLNGHD LY89DRAFT_410501 MRTALDTNNGFRPARRRTFSIAAVFDKKKEDSSSSGGLQEASKA ASIIESSPVPTSPTENTAGEHGEMMHKQNAPDTPPASPQSSFSSGRSEQLAAQTRQQR HRPQTPMDFTFQSMGFGLGNSRASIDDVRTQLAAVRDELKSLQEKLEVQDLEIKGIRT RLDQMMERYEEAILDAEKTTKEKDNLKDQVQRLRSAWTAEREARKELEEEIESRLDKE RQLQARIRRMSAQDSLSVLARARRPAGDGDQRPRVMERSRRGTVNGSSLRGSYPFNQS SPRELEL LY89DRAFT_410526 MYNLRRIGIAVVLIVLTWFGLTALHQHLDPNTSKSEEEYEDAQW IATSTYWLDRQACRWMGLCGLAHWQPDPAVKPWNKRVLSRGQQKLLDGDEDDQADADF PDWDHIPGDGERLQPGDWDGDPRVLKDVPQYVLDHAPLIHLYSGERFWPSDIRDHLTH VTAYANHTSLNVSHVGLDNLHTLNEGYRGRDLFMHSKEDVEERPDWLSSAYNKPIPYD DPEPEEDPDMGELEYGEDTGDEPSEEELETWFDPLGDGKKRKVAQPLPNNYRSPRQDL RKRLLPEMPKPGGYSPAPAVLVIVDKGNGIVDAFWFYFYSYNLGTTVLGIRFGNHVGD WEHSLIRFQNGEPKAVFFSAHSGGLAYAHKAVEKGKGKGREGRPVLYSAVGSHAMYAQ PGKHPYVLPFGMLADVTDKGPLWDPALNYLAYHFNTSITHGADAKAITNPSIATMQET LQPAASNPNAPLGWWWFKGHWGDKFYELSDLRQWRFVGQYHYVNGPLGPRYKNLGRSR VCQSRGVCRIVNSLQEGKKRSWIG LY89DRAFT_410650 MDQESRSKGSKSRSYTENLKSSSRSLRTVLEFATTFVHDSSIRF GTPHQRSMSQIHISDVPPFKHCLEYCRNAVVISASKSQFGWRIEKDWGHSLLCRWVMN VYENIRNEAATLYRTSSRQRLSPSPRHGMYAPTQTTHLNFEEFATMSRTEVNSFVENG MRQRQQ LY89DRAFT_603275 MSLEPTLGNTTCSKGHVHPPWNTTGVLRIAKCESVCGFCQKETK TAANLRKKHVAIHIKNEGLSLTIAEGSSGRGRLEMPSAQLERGLSSSSRFSQDRDDDH SDALSYRRPIGPSNDSFSASSVLMSMSQRSSYPAYSANSSSYPSYTYSSPSTPSPVTA FTHSMSHSPHQHSLAPYAPPQNHGDPFKALREHNISTKSAWTVIPAAEGFRILDLCKS MSVAREGTRGEERIPCYNRETIFDEEWQAHMKDVHGVFLLWPETWMRRIEVLDLDAFG GDIGACNEVIMDS LY89DRAFT_410620 MSRQGRSRQEYLDAGFTSTQITKAKAYRRTIESANARQSLEDKA LEDAETRPFNRNDLRGLYFILLNLFPKAGKDAIADAIDPSWQLTPHSDELQDDSSFDE IVGHLSLLRFSYECWNKVFSVDRQTESQFWLFSEPFAHNTADEKNVWGEEDDMRSFET LSTFTDKPAENDNGPSTDRLGLFVTKTKGSVKDKNWHRTPFHGWVAWLSERGQGLSTR HFRSGIR LY89DRAFT_743837 MKSAHGSRASGPTRRKRIITAARKEQNRIAQQLYRQRQKEGSRL RETQSAHRPARHHLLRPRPLLKSSKSRGKAIDTTEVTTRDTLESNNNLVRDKTPTSRY ELDPCLSDPLGVGDATSNGLALSLTTSSCLPFTDWNSLAGPTDYNQPSTQGSFFADEA GSQELTASNLFDFVLTDEDLPQIYNPSSWPVLEDGFLSTASSSNPRTTVRDTCWYLSG WANTSGYTDTRMDLIAHLRNVPGFQDLLSATITFIYQRSISSIHCSVSMPDAYKNFLQ CSQTATLLAYFHNARCIGMEVQDLLRHRSLFYCPNASITDDPQMLLDVARKTWMPIHL QPTLEQILIPHHPYLDLLPFPALRARAITLSNTMPKLFDPMELKRDIFKEGLVCLPRG NGTGQPSEMRNWQAAPWFLTKWRLLMA LY89DRAFT_712600 MASKKIIVVVGATGKQGSSVAHTFLKLPEWHVRCLTRNPSSSAS QALSALGAEVVQGDLSDVSSLSQAFSNANTIFLNTDFWENFVAAGKAPQQGISSDKAA FQKEILYGKNAVQAASAVPSLDRIIYSAIPGVTKGSGGKYSVHHADSKGTIVEYILDD SNLAKKASFIYLGAYTTNALLAPRFIGENYAFVLPFSKSDTFPIIDPEHSTGPFVCAL LDEDVGTNLLAYDSYLSFGEVQDLWSKASGEDAGYMQATVEMFRAQGVSEEMLNALEA MKEFGYMGELNFIEPSQLKSKVQTKSFEQWLKGRDWEEVAMPTLNTGRTIR LY89DRAFT_790530 MHTSFAVPKNAESEPELFLMLEKQFLTFCYRVVYRGSHFTTADD DQRTPESCIQLTDAQEKAWEAAFQSAVEQDRPALRDAISVLSMALICHEFGGNRYNSP LLSFCAMLSVKPHTKTWKEPGNYNSCLSGVI LY89DRAFT_410719 MWIKTKSLFRNSLATASYCSIAFPRQTLAPSAVASRNESRNGFE SWITVSKDLASGFTSSTFSRCWPKNQPKASASKLSFKAQVRIRGRFWAPNISSS LY89DRAFT_769435 MLTLGMYRIALSAGICVLQLCGRTYGGLTSCLDLLGRGSGMVKT LVVRKCLRRSPWGSTGHRRSGQLWLY LY89DRAFT_562645 QVVVIIATSEGKSLLFILPYILPNTRVTILVLPLISLRGDLLRR VRELGIDHLVWAPSEQQDAPLVFITVEA LY89DRAFT_712601 MNSPTLRRHLTPSSQCRHKAIKQAITAGAVTRCWKDLDLYTNSL HSESAGFDLTHPPTPTSTPVYPRIPLNTTTERVAIDPSKAALIVVDLQNYFLSPSLGQ PSDAIGMKVVDRLLNHAIPACRKAGIPVVWLNWGLTQEDIERMPPTIVKGFAADNNFS GKRKIGGLGADVGPVELDDGSVIDGGKVLMLGQWNSASYTPLEKARGIKTLLFAGCNT DQCVGGSLQDAFTRGWDCLLLSDGCATTSPKYATECIEYNTEGGWGFVLTCKEFAEGV DNLQKSPTNMD LY89DRAFT_603343 MQLNFLHLALLSLLSLAIANSPIILTPGRHGAAVEVDQQDVCVY FQDADSSKGIAPNFPAGSGYAGPGVILLAGVARNNTPIAAVVLQNNGTFGEIHVFYFG VAYTDRLLSERVWTAGVGWHDGPLSGLGYTSRAVDHFLYAFTDGKTVGSTIRVGFACD GLGPMCEAYCSPSAGWAWNVVQ LY89DRAFT_410850 MSSAENYDHLLTFDGGEVDLIATYKGKRVAGKVVSGAMGLASPV WKKLLLGTPEPRAAEINAGDKAANPETTTKPIDCTDDDGEALLILLCISHLQFSDTPR KPKLILLFNIAVLCEKYDCHDLVRPWSSRWLKDLWPASRLFKVDHDRCLYIAWALGEQ EYFKAISKDLVATVHLVGPDRKATNGRDYIDRGPMPDGIIESILQARTKTIQALLDLP YTYIERFKNGTSTVCKLKSESCDAIMYGSLLLQLGRIGLWPKKEAKDYTSSLLTLERC IRNLAPTSLPVIPGADGENHSDCKATCFRHYANEIMNRPADPKLECQRKHMQKRSKPK KTQYTSSKKVEEVEKESLIITLKVAPKPGTQVGSGA LY89DRAFT_702972 MDFMTALHSTFDDHKPSLFELLSEAQLASLLPPSLRYLLAVATH RQPRYLLRVLNSFDELYALLMLVVERHYLKTHGGGFTENFYGLKREKALSVGEIPRAN IAAASQVREALTLSNADIWKNLAVMVGLPYLKRKLDESYDINAPRSLLGANYTRMPPN PTLKQRFMHYYRWFLRNIYPSVNAAYYFSMLAFNIAYLFDNSNYHSPFMWLIGTRMRR LGEADYRAIAALDEPKGGPPGGNLGVTSIFSPRMLGNRALSSLKILLPTSIFALKFLE WWHASDFARQLSRKATEGIELPPPVVSGLSSLSAKFGPASQKQTGQDAKGKSENPAPE IEEPPIAAASLLPIFTVLPPQDSEFCPICEQEITTATACQTGFVFCYTCIHKWLEGNH EKQEEFMKGKDGKWESGKGRCAVSGRRVLGGTEGLRRVMI LY89DRAFT_692497 MTSPAPTLLTLPQEILLQICEYSLPTTISVGKNICRHAGQMHRP HRIHKAPFTSQMPTLYTCRLLSQLTSSVLYTVPAYHLHVASPSIRWQSRDRHWQDVLL NSHGGELRKVMLFIELHRGILCCNEHCPEPGNFSRERCIPRHRITQGGAIYLCVNQLR EMVTLLLNSRKMKIEELVVGWSMSPWISGHHQNLARTVLEPLSVLRGWVTNVSLGLPG WESADWVYDIVSDLVLKETE LY89DRAFT_726555 MPCKTNTWRGLTWLSMVGLLLTASNLTNKQALFIASNFTGACSL DPISSISDGIAIYNVDTQSPNSAPYLTSINADMLNNIGTAGFSGFDSVPMLRYISMSG LVSIGSLELHVGPANVDLQFPSLSSAESIFLTGNINNTNFDKLITVTNDLTIAPTVPL ADGSASALEYANINWQTFNLMSLTFPVLQNTTSFYAVGNFTSIDAPRLATIAGAGVGN PQSTGLTIAANGTPLGFDFPALQNVTQVSLFGTISDFSFPRLERIDGDFSFRGSPIPT NVNLTALNNVSSIVLDGNIASYSFPSLRYIEHDLIINSEGLLDCDPAMNTWSSATHLS DDPVLNITYSCQGSGGSNSGKAALTSGTIIGIAIGCATITIGAMVLAGLYQRRKRKFE PKVAAPAYEHELNQRTWRRGSRGEDGLPEYAPPVPPRDGVRRGNVTSWGGVTAVETLP GYEP LY89DRAFT_692498 MNAFLQALLSISTISVALASPCNTSDEYDISTAFSSQDDLDQRL AGCTSIDGWLHIAHNYTGSFILNNITNIAGGISTYDGAGNSTGITSIEANGLLSVQEL VVFGVPTLENISFPDLVTIDNIGVDLASGGELHFPSLTNCTTIVLSGSISRMDFSSLS SVDDELSISSNDTINTPISLDSIIPSYSPLDVDFPALQNCSQLLLYGNISSVSMPLLE SSVPGPFSSGGGIKIATNGNPLNLTFPKLWNTTQIVLSGTIGQFSFPSLLTLDGGVTV NSFYPLNFSLAPLENATILTMQGNVTGLGLGTIQELQQLSISSDEPLDCGIVSDDWAR IQKTPLITNPDQQHGKSAWKGFRCDSTRKLPISKATKLKLGLGIGLGLPAFAALLFFV YKEITWHRARAVKPPPYEHELDDRHERGEPLPTYAPRRMSETAVTVSEVSSLSDFDED DRRHEGGPPSLLEESGENAGYMETRTGHEGHT LY89DRAFT_743846 MAQQTPLAPTVGWIYDLILRTFALAVGFFFREVQVRGAWRVPSE GAIILVAAPHSNQFVDSIVLMKILRSLNRRISWLMAEKSFQRKFVGFMAKMIGAVPVS RAMDIAKSAPGKIYLEDSSTTPKVIKGIGTDFTSALFKPGGSIYLPTMNGDSQKLDNG QIIGPDTIILKNSDLHPDALFQLTGRTSGVSSPLFEGTKFKVAPHVDQTEVYNAVFER LAQDGCIGIFPEGGSHDRTQLLPLKAGIAIMALGALAQNTKVTIVPVGLTYFTAHKFR SRAVIEFGESIPVSEARVQDFKNGKKRDGVGGLMNDISQALAAVTMSAPDFETLNLIH AARRLYLTNTTYSDEKKRISLAHSTELNRRLVKGYTTYSQTPEMKSLIKDLKSYMASL KALNLKDHQLLSTSSHHKHFPMLFRLPTLLYRLLKLGVLGVLTLPGLLLFAPIFILTP HLSRRKTAEALAASSVKIRGHDVMATWKILIALALAPIFYTFYTILLVVLNNYNHLWG YIHSRTPTSLIISTCVLVLPMITYAALLFGEQGMDLLKSIYPLVLTLSPTSSHIINRL MEQRRVLVIRVREMIDRFGSEIFPDCDDVSKWRGRGPRKLYADISPETEQEDLWGLDE FV LY89DRAFT_410902 MATNEGPSDSNAFSDLFNFENGDVTIQVNYYTKQITGKVVSIAM AMASTVWKKFIFPPWTQDQLTSTGNGERDLPRPAMTVLPVDKLDFREDDGDALLILLR IAHLQFKHVPSVVTLDFLYNIAILCNLYDCVELVKPWLSLWLEVAHRRESPKRLIIAW TFGKHEMFQEASEALTWNLRVSRDGRTFVGGEDLDGIVFPPGILESMLKVRETAIQSI FDVYLKWIGNYTCSPHRRCSLEMNHCDPIMLGNLIRKLVELDLWPTPSPADWTGTPRG LVYVAKLSFEIPHKNCLDAFEKDFESRTARETLIGDIVSEAELLHMEGQAKRLQ LY89DRAFT_790536 MSNFGTFGARAANSNGIANSIANATAGSASPFTPALATPTNSTS QSANRGAFSASNFSGFLSHNSSASDLSICQGTGAVPFQAFVENEPNSPTHQQNAFQHI CFQQPYQKCSPEELRLNDYNQGTKFVQVRCPRTGAIAGAFGGSPFGGFGATNTAFGRT APSTPTNAVAISPAAGFGTTGTNPNTSANLFGNSAATAKPGVAGLSTSSTSSVFTSSA VSGATLPKFTGFGGACPTTPTPNAFGNSFGSANPPSISASTTPSGGPFASNGSLFTGP LFASVGGNSQSSVLMNTFGGPVATGDQVPSAVTGPLGSAMFSSPAAATVSTPKVTGVG SSVSLANQSSKQPTASGGILTSATAGNNASSTAAASIPAPWDGLFDPDGDVEITAKYH GATVTGKVSSRIMAFASPIWKKLIFPDANRVEAAAPTLIGEQLENDEVGERALPKPAL CSIPVKKLDFSDDDGDSLQVILNLAHLRFGKVPTTALPNLYKFAVLCNKYDCVRLIGG PWLSAWLRLPKSPSVGTLFIAWVFGQERKFDSTSSVMIKGIRLDENGNYLAPSGVALN WNLLPSKTTCKQIRATRRDTIEKLLKVVYDAISMYVNSEEILCAQRHKSCHAITLGTL ILDAAKADLWYLAGLDCLSRNYGLEFPRVKISRPQSKL LY89DRAFT_790537 MSSPSPQPDSLPSPPEFTFANADIRLRIAYKGSEAYAHVSSQAL ALASPVWKNFLFPPWGNPVSTTPYIDNLAYDGYDREAQYIVARIKEELKKFKSTLAVH PVQELDFTEDNAEALLVLLCVAHMKFSFMPTGLPSRELLIQIAILCKQYICAEMMAPF VQGWLNREFCPDNRLYGLLDRPEATRAPVEDCLYLMFIAFVFKLPKFEIAASWLFHEC HLGQLDSIRKIPQGWPVPGTFIDDIIAAREKLIKAFYAIADDLHEALKPTQLEKCLKH DQTCKILWLPDFKRRFSYHGLPFPLRDNYSQLTVRDLYRLDFTSIELRSCVLDMDRKL FHDAASKAKSTKELVWNELYEKIRPTAPGGRTKVEGDDYSGRIEAVTGLWLDRG LY89DRAFT_790538 MSSSTHNSDENLPSAGDHETDSSGMASSSQTAQAEAPPSADEPQ AAAPDPTLDFTFDTGNIRLLIKRNGQVVEGKASSDALYLASPVWKKFLFPPWQTDEEL RHSVKQIDCTGNDSEALLILLNIAHLKFHKVPEHLGYETLLNIATLCDQYDCIHLLRP WLVHNLWLQGEEDASNLSFKKGQYGWLFIAWVFGREKIFEDLAVQMVTTIRINANQAW RTFTPLPPNIIESILSCRIKVIASLLQIPYQVLDRYEVIYRGNSLSRRRKNTICAEKK STNCCDAIVYGSVVLGLQSVGLFPRKLPQDLSRSIVQLSHELRMVDIHHLERGSHYDC GTDDFMESVDDALTRIWNPVLDSHRVHMRGQNERLHR LY89DRAFT_632963 MVSFLAVLGLITSVAAHGHVTSIVVDGIFYEGYDPSYQYDTPAP ITVGWKTPSVLDNGFVAPAAYANADIICHRSATNAMIAAPAKGGSKIELLWTPWPTSH KGPIIDYLANCNGPCETVDKTKLKWFKIDELALLDPKPQYGTWSTDVMIANNNTWTVV IPPTIAPGNYVLRHEIIALHAASQANGAQNYPFCVNLAISSTGTDKPAGVLGTTFYSA KDPGVMFNIDVAPPIPAYTIPGPPLYSAAVTVSQVKMPKPTASASGVAALANRGVEMK WEVV LY89DRAFT_410943 MAAESKPNNDPFAFVPRPSIPTPNPFVFKTGDTRILITHNKERI EGEVSSHALCLASPVWEKFIFPPWNSNDAAETSDTAKPIDFSEDDSAALLTLFNIAHL RFHEVPACLPYKVLLQVAVLCDQYDCVNLVRPWLSETQWLKDSADEAVKTDQEQWLFI AWVFGLEDVFDQLATHLVQTIQVQGDGESWRSLTPMPPLIMESILSCRINLLASLLDI PYVLLNKYEAATDTIIHCIHRIGYCDLVIYASILRNLRLYALYPRLRPQDFAKTVNEL AAVLESINIHQPPASDCLVHSSCCDTTFKSRVAEQLVNPSKPLLPFHHSHFQLLK LY89DRAFT_743852 MSDPILNDDVATPSAEQEPHNPFIFPTGNICLLVKHDGRVVEGK VSSDSLCQASPVWKKFLFPPWKDHDLPHSIKQIDCTEDDSNALLILLNICHLKFKDVP QSLNYRNLLQVTILCDQYDCVDVVRPWLLPSMWLAYEEAESLKLGQERWLFIAWVFGR TQVFEKLAAHMVMNMNMHTSGEVTWLKMTPMPPGIIESILSCRKNLIDSLLRIPYSML DRYEIVHMPYAIGITPTYTQRSKTSVCTMHGSSQPEACDALIYGSLVFRLGALRLFPR RKVEDLLLSVTGLSLALKATKLREYPKEHPTFGQPVTHEKCRGKEFSEQVDEVLAKPF DPVLEMHRMHLKALNS LY89DRAFT_603331 MGFFTRKSRGTTDIAEKHSPQSTTPEGLTPAASIHGPDDIQPAA VEDALKEQPVTWLALVLGGVASIGGFMFGYESGQISGFLAMSDFLDRFGQNGKFSAAR QGTIVGLLCIGTLFGCLFSGWLADKIGRKKTICFSAFFYIIGVVIEITSQRQWVQFAM GRFTAGLGIGALSTSVPMYQSESVPKNIRGAMVSSYQLLITLGIWTAYMVNYGTEAAY SNSAQWRIPNGLSALWALILAISMIRLPESPRYAYRVGRKEEARKTMARLNGVSIDSP LIEHEINEIEEKIQAENVDGGRHSIWEIFTGPRMLYRTLLGMTLQAGQQLTGANFFFY YGTTIFQSTGISNSYITSIILGSVNVGATIVGLWIVKNCGRRKALMYGAAWMFGCLLI YAFVGRFSLSLDDPLSTPTAGKVLIVFTCLFIVAFATTWGPLVWAVVGELYPARYRAP CMALATASNWLFNFLISFFTTFITNKIHYLYGLVFAVSCGALFFIVYFFMIETKDRSL EEIDTMYMLRVPPRKSASWSPHGLGPDGMAGINTDTLFLKNGGKDIKKTEANGQGMMV HDERNYPENPLLKEATGAGVTPIPAAANAAS LY89DRAFT_743855 MFNTLPRTALRRSRNSIKVKLEDRKYSKLQRSRSHAEFGSRPAA SGLDEVRSPIELQSVGAVAELEGDGGVGLRVEKEKEDVKREEVVREVEVDGVKFTLEV RKKDAKVEFRENEEVEVEAGERLEVKVGRPERRGSQKHSKTEKEDGRVVIEPPTQPSE TSKLGPVSLKGAFGRGLQTVIRFWGYQDLLVSTRT LY89DRAFT_743856 MTKSSTFDASALPDLTGRVVLITGGHSGLGLATTTALASKNAKV YIATRSITKAEAAIQEIKNNNPNAQVEIVELDLGDLRSVKKGAEDFLQRETSLHILIN NAGIMCPPFSLTPQGHETQLQTNHLAHHLLTTLLLPLLFKTASTSPPRTVRVLNVSSD AHRKLAPKTGISFSDMNLTDKSPWTRYGHSKLCNVLHSLSLAEKYGNQNLLSLSLHPG TVKTNLSHGPRTSTPLYALIQPLIEWGAPGPTEGCANILWCATSDSVRDDDNGMYFEP VGKRGGVGRHGRDAVMAGRLWEWSQGVLERGGFL LY89DRAFT_769491 MFGAFIISVLHEGLDGGVNYRSICAGCRNCPVETPRNAMRATLS RVTGLKYFGTATDNPELTDTAYVEILSNTTEFGQITPGNSQKWDATEPSNGTFTFTEG DVITSLAENNTQLLRCHNLVWYSQPPTWVSGGTWTNETLQAVMKTHITTEMTHYKGQC YAWDVVNEALNDSANFRTDVFYNAMGEDYIRIAFETAAAADPDVKLYYNDYNIEYSGA KATAALGIVTKLVNEGVKIDGVGMQGHYIVGSTPSQSDLITTMETYTALGLEVAYTEL DIRMTLPGTDTLLAQQSTDYENVVSACLNVADCIGITVWDFDDKYSWIPDTFSGQGAA CLFDEDLNRKPAYYGVVSALKSSNRTVSTLTSATLVSSTSVATSSAAGVPSILTTSSP SGMTTSYIALSTSSLNPAPISVSSSTVSSPFTAILTTSTSVSAPTASADSTDDGDDTC ES LY89DRAFT_411138 MASINVLKYGVSSPADLSPMKELRAAGYESKDILAVIGKSEGNG CVNDFSRTLSTHVWEPQIPESAVTIFSGGTEGVLSPHVTFIMRDPKPTGLLAAVGKTR VLEPHEIGTTEHALQVASSVRSLMDQSAIRKEHVHLVLNKCPLLTSAKVEVIKAASKT PVTTDTYESMAKSRYASAIGIAIALEEIEETQLHEAMRDENRWSSKASCSSGAELEDC HILIIASNPSLGNLRAASAFMADAIDARTIIKILDQIKTEGGHVLQVFAKAEADPTGN IRQKRHTMNTDSDLHSTRHARAAVGGLIAGLTGDTQIYVSGGAEDVYQVHGNQQPLFA LLSFVC LY89DRAFT_411532 MRSTLLLLSGLVATAFSAAVPVERDALVAREKETVTVIVTVTST TTRSSSTKRTTAQPTATVVYVTVTSFYTSQYPITSAPATYTGWTGFHPPWWSWFTASN HPVTVTDSIGPVTVYSPIYVTVTETDPGDDNYAATVTLPALTVPVKRDEGKHTHELEM KRACNV LY89DRAFT_410969 MLTIYEKREPQTIGPISRTNQITPVEPTHHHHRTSTVYVTVTEI PYVSTSTPHHHPHSVTTYTIIVSSSTDDSYPSPTGTVIETTVTLPFAPTSTGSDIDAT VTLPFISSATETDDATTVTEFVINTPTPLAKRDAEPQLPDSFTLGWPISATYISPPKE NPCQNNIFAPPEWCSNGSPTFGITTVTVTYTGTVFENTATLAPLTIGEPGWGRRDKRS RLRAEVEEKERRQQLLGTQTRSFTYAPGTSAPPLV LY89DRAFT_678798 MQPLPTTLLSLILSISATHASSATNPIYLTNYTTAPALSLSLTP STFFTVPGLNGTYPITAQALLSPLSKTTYPFSIAVRDFQNVKKPHFSTTQTLVWINAS TIDASGVNDQKVVQGWDNCLLFFTNVSASANSAGQGDDGSCGETLGSACVGDLEMAVR RNSTTGGRCEDLFVETLPASCEGKLGVEVVAANLTYNNQSYYPLLEVQTPLHRWDNST YLQVASETLWPFVWWQSLNAVGDTMFNGSIFVNATARTMGCLRATTRYNATTSEASEL AGMGGGRQWVVVAGLAVWTAWNLS LY89DRAFT_411607 MTLKLTPSAFIKPSSGIGHNVYKHISQPSYQLRQPAVLPDPGHT LPPAQYPLRHQRSDTKKVLYNRNNLKMLWKVFTSLVLLASRSHASPSFATAPMLRFSC SQLVVERLDPLVNPGVNPSPHLHQIVGGNSFNTTMDPANDMPTLSTCTTCTFSEDFSN YWTAVLYFRARNGTYKRVPQMGNEFLEQQNGGLTVYYIPPYDGHTNVTAFKPGFRMLV GDPMLRTDTNTSEANSMSYRCFEKNWGQIDTYPGGGNDTRDFPSVPCPGGIRVNNFFP TCWDGVNTDSADHKSHMSYPASGSFESGGPCPASHPVKVPQVMFETVWNTTEFNDKAL WPEDGSQPFEWSMNDPTGWGHHGDYVFGWKGDALQRAMDNFCHQDCPTLKTQSYDDAN KCTKAPVVNEPIDGWLPTLPGIAPQ LY89DRAFT_692508 MAQPITFSPADQALCLAVLKQVEVGKVNYELLRVELGLPSKNAA QVRWSRFNTKLKAGAGVVKEPTETAKPKTGARRGRPPSTANGKGQGKAKGRGNGANKK RKLNLSDDEEEAEMSDIKDEEEDADVEEGGDDTSLMTPFQTPTRKLPTRQVRVQSFKE ESDEEEGEEGKEEEMVDMEKGMDGKGKETNDAANGGTDMAGQSDDEV LY89DRAFT_692509 MAPLTFTEAEIELMVAIINQVGAGGINGKKLQQDLGLSSLSTTT VRLSRFRAKLAKASAGEGTPSPNKDKLASPKKKRKLEKDDIEDREIDDEEHVETPSRK LPGRRARVTLFKDESKDDFEIPGQSEGEE LY89DRAFT_662156 MPIPSRIVDGKKTFTMLENNPSVMTPLAHKLGLSQDFEFYDVYS LTEPSLLSMIPRPVLALLVIIPWTLAWHENRVAEDADKGVYEGKGEEEPVVWFTQTIG HACGSIGLTHCLMNGPAKEHISPGSIMARLREQAIPLGMADRAKVLYDSKEFEDEHQS VADVGDTAPPATEDEERSGGHFVAFVKEGGRLWELEGSRKGPLDRGPLGEDEDVLSEK AIAMGLGRVIELVKNAGGNDLRFSCIALAAKEV LY89DRAFT_411685 MDSDVQPNVLYDILRRISIKSILKLASGLRPQALYKILHDFLLH VSFRPLARAKPKNLFQLLQKAAAGTAGNGISIYSPGGIAQVGLRLSYVDLLTSSSLKA GLLSQIDGIKDDSVVLLHFDNHRDGIEWFWAVSIAGYIPAISTPFTHDVEARKKHLIH LQTVLKNPIILTSEHLVPEFLDLECLSIRTIESIQAGKNEGIVPILNRGLLKKGDDIA ALMLTSGSTGHAKAVTLRHNQMLTSVSGKSIHHSTTPKDKFLNWIGLDHVANLTETHL HSMNLAAEQVHVQASDLLVDPLRFLIFIARHRITHTFAPNFFLASLRTALEKPEPFAG DILDLSCLRVLISGGEQCVVETCQALTSLLHKYGVHGEVIRPGFGMTETCAGSIYNRN CPSYDISNQSEFASLGSCVPGISMRVTSDSGEVVAKNEIGNLEVSGPIVFEEYFNNSK ATAESFTQDGWFVTGDRALIDATGRLQMTGRAKENIIINGVKYFPHEVETALEEARID GVTPSYTAVFPHRPKSSQTEVLCVVYLPSYDADDVLARVKATDAISTICTMQCGVRPY DIIPLTAVHLPKSSLGKLSRAKIRTAFETGVFAEFKTNNDTLLQEYRASQRQAPTNGT EASILKVFSETFDIPEEDIGINTSLFEMGVSSIEIIRLKTRIESELSLPNEIPIITIM TNPTISALSLALLELSNPKEYNPIVTLQSGGSKTPLFLVHPGVGEVLVFLNLAKYIVD RPIYALRARGFDADEKPFTSIPEIVSTYHTAIKRVQPTGPYAIAGYSYGSMLAVEIAK VLESDNEEVKFVGVFNLPPHIKFRMRQLDRISVLLHLAYFLDLMTEEHAHAISPAMHL LSPDEVLSHVLEQSPQARLSEMALDTDKLRKWTDISHGLHVIAHDYDPSSSVSKMDVF YAIPLAAVAKDKQDWMENHLSKWDGFVNEEVKFHEVDGAHYTMLGPDNVLSFQKKLRA VLRERGI LY89DRAFT_632985 MVGGLFSCVWHLTHARGSALPSLHFTSTPATSTLYSFLYFYTSP AKLNSRIRRVKCDETKPSCLRYFRPPTTQATKLYPSPTRLLFQNPHEYQAFQTFCDRT SHQLSSSFSSDLWTRLMLQACETSPSIRHAVIAIGALNLSHPSPRPENSVGTLRHQFA FRQYSKALCLLRRDVAGGFCDLRTTLIACLLFYCFESYHGYHELAINQVYSGLKLIRE WASSFYKPDENGRLNIRLGSEDPHVLEDDILRAFGSLEIQVMTYADGRTREAHEHYRH CGQASIDEMPAIFPSLKEAKDLLEIVIRRSMHWL LY89DRAFT_411848 MYLCVLSVMLRGSSPLLIGRSEIWGQDKQGYECQVPCWMDRWMD GELGYSPWRWCSVLKAKSPWNQSINQAARSDYRKKSGKLETKGSSDCGERRKERSGAD WAC LY89DRAFT_603411 MVLDSPQVTALAARTTEVVIAELKGLAPKAANVDVKRRLNSYSD SQSDFSELIELTLKPTVKLALEYEEENGEAEYEDSDDEIQTTARPRKVTERKRRLNAI ADNFIQERNQKMLKEGNRVRPEDEAQQSARWLVNQSEDRQIISTPREYQVELFERAKE ENVIAVLDTGTGKTLIAVLLLRHIFAQELEDRAIGKSKRISFFLVDSVQLVFQQHAVL KANLDQPMAMFCGEMGCDMWSQSHWEDIYNKNMVIVCTAEVLRQCLHRSFISMDRINL LIFDEAHHAKKDHPYARIIKDFYAQNENGSVLPKIFGMTASPVDANTDVRKAAAELEA ILHSQIATTDDPTLKQYRINEKQEQLVRYQALSPTFETPLYNQMYERFSKNPILAKPL LFAHEATRQLGAWCADQVWPFCLGEEESQKLQAKTERRYHARKIQEPLEILEKRKAQI AEAKEIVKMHTFNPPDLSTLRMDGAASSNISSKVMHLILILKERFERPTDDKCIVFVN QRYTARLLANLFTQPNVGTPYLFVGTLVSIGTRTGEAGDLNTSFRDQVITMMNFRKGI INCIFATSVAEEGLDVPDCNLIIRFDLYTTLIQYIQSRGRARHANSRYIHMFEAGNRE HETAMLKVRRDENILKRFCSALPENRKLTGNNFNMDYFLAKEKSHRVYKTSKGAKLTY KMSLMVLANFVDSLPHGMDVNLQPEYVMTIQNKNFICETVLPEESPIRGAIGRPASTK QVAKCSAAFETCLELIKGKYLDEWLCPTFTKQLPAMRNALLAVDSKKREAYDMKTKPT IWSSTGFPDRLFVTVLRLENPSALDRSSQPIALLTRSCLPQLPSFYLHFGNDRHSLVQ CSSYTEPITVTEAIVEQINSFTLCIFDDVFSKGYESDPTKMPYFLAPISEQVTVDLGS DPSGLIAWDILKAIEEHQVKWAANPWDNKSWETEPDEFFKDKYIVDPYDGSRKMWSKG VAYGHKALDPVPPNSAPRKGTRKNNDNIMEYSCSLWAKARARRTFDPNQRVVEAEFIS LRRNLLDEFATAEDDSPKKCFVILEPLKISALPTTVVAMAYIFPAIIHRLESYLIALE ACELLHLNIRPDLALEAVTKDSDNTDEHGNEQINFQKGMGNNYERLEFLGDCFLKMAT SISLFGIHPENDEYSYHVDRMMLICNKNLKNNAIKLKLYEYIRSESFNRRAWYPEGLV LTRGKTATAPNTHKLGDKSIADVCEALIGAALLTCHETKCMDNAVRAVTEVVSSENHR VTSFAEYYTLYKKPKYQLAAATEMQRNLALQLEREHPYHFKYPRLARSAFTHPSYPYS YEHVPSYQRLEFLGDSLLDMACVNFLFHRFPDKDPQWLTEHKMAMVSNQFLGALCVSL GFHTHLLLFNAGFQKQITDYVTDITEAREQAEKDAIRAGKLAKECSRDYWISVRQPPK CLPDIVEACIGAIFVDSEYDYAEVEKFFDRHIQWYFEDMSIYDSYANKHPTTFLTKFL QINMGCTEWTIIPKEVKTIDGSKPTVIAVVIVHGKVVADAQAESSRYAKVAAAKKAMN ALSGLPLAEFRANFSCDCRSDDVGSEEGTDLHGTAI LY89DRAFT_411896 MPEQSIRSLFDSAESQRKGIETSWDSNTATYQQNLAAAISTYES CLKLADRLSLFSPNETLEDLTSGDIQYLAINYRLAELLLRVSTKNRKATIQSARDAYE KYLNLLDQYDILSSADKKLYNDYLESPTAFSTISTTDPNARRATKIANFKLEKELKKK LEFLAQNPAYLQNDDDAIRELQLTNLSLCTHNTFQALESLNRELEVLAMAPPTPPSGP DSLERDYRERMGLQDKDGYSDRLDRRDMLSANNKGPILSSGGKPLRPFTLLDSRQTLK AGVFKPGHNLPTMTIDEYLEEERARGGIIEGGGEASGLSPEPDEDNYEKGDEETMKAR EWDEFTEANPKGAGNTLNRG LY89DRAFT_411890 MASKFYVPVDIKHTALLLTDVQDQILQRFDASQQTEYLANIQRL LTVFRKEIQKQEHDAAGPLIVHHILPFDINSNSFVSPYNKLASWVASLKKAGHFGDAF KDPNKPHYAVPESLVPESGWGTGSEIILSKIQAGCFSSSELLQYLRARDIKRVVLCGL TTVGSVLGSARLGADLDFHIVIPRDGVMDDDKEVSDFLLDRVLPKFVDVVEISDIEEL FK LY89DRAFT_692518 MVTINVGTETKQTFLVHNELLALYSEYFHDVFSGRIKQEVKEEV KQETKPKIKQEAKEETTRDAVRQGNAVDLVRQLGSPSPEPRSFVDLSGDPMHLDNKSI GASLRPSRPNISDEPKSYNLPNIKPSQFAQFVSYIYAGRIIDAFEPLIMATENNSVEA LWYVGQTLRSPSFQNNLLEGLRTSQPVKSGDWPSVEDIEIVYDLEKKPEPGVGNGGES LFKKFSIHCLAANNPFDRYEVESSEGQGWNKLMFDKEKEVFKDFILAGNRWDTKPWED KERGKYMVDEDSLEERWERMILARRGEGGVKKAAAAGDVGAKLEEEHLEAEKKRLGYA RWGGQDFEIEIEDSDE LY89DRAFT_692520 MGSSSSSPVSSRSASLNRGRLSSLTPDSDLKSISPIAPIMQLAR RPGFSLSNSTQDSFQTSRPIATPTSSRRSTSTTPGPPLSPMSRIRLGISEDNKARRSN PSRAHRRPPIIESPEVEESTCHCPLGHKDFDTCSADPHSIYYKSPSPETPAMDKKSRA TTTPRGSRKRKAISYLEEEAKYVCGCGGGHDDDLECEYASTLKKHVEITTS LY89DRAFT_726579 MAADFRLGLWRGLLLLLSFSYTQAFYIPGWSIKSYKDNEPIPLL VNKVYSDNTQLQYAYYDLPFVCPPTGVHHAGSSLLSGQSISLNLGEVLRGDRIMQSDI ELFMGQDQECNFLCKRTVSRYDLKRAREMVQDGYVAEWIVDNLPGATSFVTVDKSRKY YAAGFKIGYKDISTSGHTRHYINNHLTIVIRYRKAPGKDGERGRKVVVGFEVYTKSIG ADKRAESGCPVDINEVDSAMELYLPPNSTDFSVQYPHSSYHPPESEQDMDDGAILDIP YTYSVYWREDEKIEWAHRWDLYFVNQEEGSRIHWLAIINSLIISGLLSGIVAMILART IRTDIKTYKDAALEDGKQKSKRKSRPSSGARTPKTGEKASTGLLEQIGDTENDADVSS DEEPLEDVTGWKLLHGDVFRAPAYGNLLAPLVGSGMQLVFMAVGLLFLSSLGILNPSF RGGFVSVGVGLFVFAGLFSGYFSGRVYKTFGGLNWRKNTLITALLFPGLLFALVFVLN LFVWAQASSTALPFSTLVGIIMLWLCIQLPLVYAGSWFGYVRTGAWEHPTKTTTLPRQ VPAQAWYIKSPNSILLAGLIPFAVIFIELLFVFQSMWQDKSGYYYVFGFLSVVSVILI LTIAEVTIVTIYIKLCSEDYNWWWHSFFVGGASSIWVFVYCIWYYFTKLHIQGFVSSL LFFSYSFMACVVYGLLCGTIGFLTAYAFVRRIYGAIKAD LY89DRAFT_743872 MASPDYTELFTFPNGDVEIVVNFKGETIRGRVNSNNMTAASKVF SLFINPPWVIKEPEIETTTASTDGVRPDPALKCIECSDDDGYALLVLLRLIHIQLGGV PDTLPTDTLLKVAALVEKYDCRNLIHSWAALWCAEATTKAKDGDADTWLYIAWAFGRR ELMEDMARKILLEATVSEAGQLMVYDKENVTQVRQIQELQPFGFEDNVRAIRLQTIQD LLDVAYAHVSRFGGLKQIPCDVKDKHSADCASNRYCEQVYKLRKLGLFPRVTADRANI SIEKLAEEVKNMGKRDIPYNKGHEKCFKGTYERDVERIMSNLGDPVLDSHRTHTEPRH RKGPEDPLMSLTEKTEKENGKDFEDDADMYLIGLLQRTNPLTA LY89DRAFT_726581 MDSPFYGVEMDEDNLDALADLEPVANGWDRALRRNENRPLDDLP PTENRPLRAFSNGPPPSQVKKENEQLAARDNNAVNYSKALLEHGDTLVIIRYPNEAKV YDATGFEIRDRHRVHSEKLLATGSSKFKHMLEDEWYQHRAKRRNKLIGNMPIGIKYVL DLTPPEEGDDALELTSELSCPTGILLWRNAAARCNISDNLVGGKDETTVRPDPVQTQP QDLSGHGATSRAQAVAFVLNSAASNTSPSEKSAAEPDEAPILDYDPIRHRTGIERLLQ VIEGKDPRIDSAPKVWTLAVLAKHFECTSVVQMDFIFTWVWADPNCRIIETLPEACIR IGMMLQSPRLVRTAFSVLVSEEALRIGTEQTWKDDREIQQRVLNYRPHMTRIGRVRES IDEDTLNTIQHAGQQFAGRVDAVVVKLYDPEMKWLHDLPEFAKLKKLLDHNIKIAGAD YTAKQQSIINLENELLHYVRGRLLWCFIAQLENHQLKRATEHRKLEAYKHSSGMSFGT VYDSLRDKERMMTRYFWEIIRNLDWGLHCTTNQIHDWLPDKHPFADMQQRIAEENGIR KVTTYTLVRYAKIVNDQILDVIKVENYNDGNFPPECYVPIQLSIDSVSEKFDDWSLHP DEPENDGLVYRGSGHQPMYPATPMSTAVEPPGFQKQPSTVEQSRPTPTPNVWETLNAA CLYTPQVPADERITESSVFFCLPTFLKNVNDHLVKICASMLDNDENEWSITCDTLLCL TDDEYKFLPLFAGGTDDGTGGVFEDAIPAAEKGPSGPGPAFHTGSTMGSRASSLADWD ALSYDGTEMAGVDSSLGVEDGYSEDHIDRRDVKSEEDFPQTLSTSLPIRGKEDKGKGK QVEDVEDFMDDDFFDGPGDDDDFDHIDADEGNATE LY89DRAFT_662171 MSQCKEASHHRSYFYVGGEYVKAGGGHVMENQMYVERLLPADVT KPYPIVFIHGGAQTGTNWLNKPDGGRGWASWFLEQGYEVYIVDQVHTGRSAWSPQSSF PQIMAPVEYIQEHFTATKNYPLWPQAHGHTQWPGTGMMGDPIFDAFYASQAQFLADPV EQERTMRLTGISLLDKIGECVLITHSRGGLHGWAWADARPALVKVLIQVEPRGPPFHE AIFSTRFVRPWGLTSIPLTYDPSPKDEKAPLRTKLLPASSEQEVDCYLQDEPARQLVN LKEMPILVVTGAASYHATYDHSIIAFLRQAYCEKVDHMKLGDIGIHGNGHMLFLEKNS DDIAEALEKWIDKTLSY LY89DRAFT_692525 MPLPTPRRAALLLCLAAVCVYADKHSSSIASMSMPEIEDQLQEC PLVQDLNAYKVATSPQTSSFTSRLFNVLFPGSPAVNALLATLYISGPPNFLLALCPPN IDPSSLSVMVAFAVGGLLGDTLFHLLPEIFLGEDSPEHVRFVMVEPNRNLLLGVAIMV GFVTFVAMDKGLRIATGGEGSHDHSHGTVEVVKPVGNTSALDASPAKSTRSRKKETNG NAKLEAVKEEKEKEINASVKLAGYLNLIADFTHNITDGLAMSASFYTSPTIGATTTVA VFFHEIPHEVGDFALLIQSGFSKRAAMGAQFVTALGALLGTLIGIAVQEYGGSSKTGD ASRFDGLMGTSLSWGDMLLPFTAGTFLYVGTVAVIPELLETGKDRGLELRKTAQQFIA MAAGAGIMLYISWS LY89DRAFT_566647 ILHGNDNSTLEEAGSDERTPLAAAALNGHLSTVYLLLDSGATVD SRDSAEDTPLALAAANGHIGIAKRLVLSGANIESKSYDGATPVIIAASNGHTEMVTAL RGLGAKMDAADDNGET LY89DRAFT_743877 MALHPPQNTSKLIFAPANDKTHSQADQYTKGALEISQLDPRDPF TQFHAWFTLAQQSGVHQPETVCLSTASLPSGRVSARMVYLKELDSKGFVIYSNFGTSK KARDIAGNKWASLTFWWREMERQVRVEGMVERLSSEESQVYFDTRIRGSRVGAWASRQ SEVLGEGRGQLEGWVREVEGRFEGEERIPVPGFWGGLRVMPEMVEFWQGRESRLHDRF QYVRMEGGEWKIERLSP LY89DRAFT_692528 MMQLFRRKSSATIRPSSTESTSSSPPSPSPSSSSQETIPSIPHR LFRRKSSANIRSSTDSTSSQETPPSPPRRLFRRKSSATIRPSTAAEATTTSTASSQNN PPSPTSPRPYTSAASPPPIPTQFLQPLPVQPALENPTITHLTATLSANPNRVSNRFST TSTLFEREVPPPPPRPSPLAQIQQSPIEAYVTQARETDLQRLGYDAMMALAWVQAEER RRAATSRGWQHDPWRGGFGPSRPAERVRPAPVPALRVDTASAAPASSPRVEVQERVVQ ERSGNGLRERLGRRGLMKSQSIFNLKWRMRDVV LY89DRAFT_662182 MTKLPEAQLSEQAPITQDDPDVPKTRKAFVPLENNPEVMSSLVH KLGLSPNLSFHDVFSIDDPELLAFVPRPATALLLVFPVSKSYETFRVEEDKEKKEYEG KGASEPIIWYKQTIRNACGLIGILHAVSNGSSRGYITSNSDLEKLVKDATPLGPVERA DLLYESQALEKAHQGAATQGQSNVPGAEDDIDLHFVCFVKDEKNNLWEMDGRRKGPLE RGTLAADEDVLSEKALDLGVRRFLKREEEAGGGELRFSLITLAPSLD LY89DRAFT_412122 MGHLANAFVISTRNLDRYGSGEKYRQGGSLQPLYFPIYASYFAR LYIQYGAIPTAIFLHVSSSHGFPDGMPVALFVPFLFLGAYKVGGLLFKDFYIVAIIED FSHLGYMWISDASDIFVHTVPTLGASDPVSCRCNSSSSKFESRTMRCRTSTQYGRRTF PPTAFPYSIFPNLA LY89DRAFT_726588 MFFSKLAIAAVLALTNSVAAQVIVTVTNTITVVTVTVTPLSTST KTTFVTVSAPPPTQQPTITSTSVVVVTVGPPATTAVTTCPIPLYYQCGGQGWNGCTYC TAGASCVSSNPYYFQCQNSSGPKVVTITSTIYRG LY89DRAFT_790567 MGLKFAFTSLAMATVAMAQNCPLQFDGRVPAGSTPASFDLDTSP FGTKFVFGQNLTMSQVVQLPNITGSLFDTNTIPVEVTLSDASIFAPSATNIQTGFRRA ELQPASNNGTDPSTLGLKTLHFSLRKDTARPFNLSHEYQLVFLEDAAFSTNQFVLKTG TISGQPVGQNPDLLVLQGNVNQDPVDNLFNVSFAQDTWHNFGVVLDFDKNTTQVLYST DNTPLANVTEPVFNDISGQGQFHFGALKKPTGDNLTDITKQGFQESGINEGVIYGGIF EEDSTGGCVSLSAGTASAANATVARRWRR LY89DRAFT_633012 MIMNMGHWLLTLFFSSLALGQNAQNESLQACGDAYYYPSKYTCY DTNFLCPVLSGQPTLRCGPDCYLPSMYSCTNDHLVYPPSNSSSSTSSNCSTEARTLHL SSPPYENYFYSDCNSATQVVVTSPQPDSDLTLISPRLIVAWPAGNSGIVLYFQPENGV NGTLGIQLLNSTSSTPLGQIFDDGNGGNATVGISTEIEFNSSAVLSVAILGSIRTIRD FTEGPSLLRPDIQDAVKYSSAQDRVEVSRLWLDNVTTTSISFISGGNQTVQLNNSTVT FEAGTYTFNASFDYPQLTQLTPQEVLSPASANLITQSPMQTESLSSLSYTSKLTAGAW RFLTYFGRDSMISALLLEPVLSEGKGGAIEAVIAGVLERINRTDGSVCHEETIGDYAT WMNLQNNITSTAPLCDYKMIDSDYYLPVLMDRYFLQNPTGSARTPDFLSTTASFFPEN SNLTYLDLAKINANKIVTLAAPFAAPGNQTIDNLMHLKDGQIVGEWRDSTYGIGGGRI PFDVNTALTPAALRSIASLSRGGILDFNATLIDSYAQVWEDSTLQFFEINIPLSSAKS LLQTYANTSGTPSLDPQTSFLDADVTFHALSLDGNDNLSQVAVMNTDSCFRHFLLNTT NQAQLTSFLNSTATNIRRRFPAGLMTDVGMLVANPAYGGGPIYAANWTTSAYHGTVVW SWQLAMMGRGLELQLARCNSSSIPEFCGTGVYANVKEAYNVLWDSIEANEEHLSIEVW SWTYGNGTFEYIDLGALPPPPGTGATESDIVQLWSLTFLAVTRDEGL LY89DRAFT_412136 MYEICVQSLRPVKTVAIKSPIPTPGPNQVCIKVIATSSNPKDWQ VPAIFPERCANEGDDIAGVVHAVGAEVQEFRLGDRVAGFHRMLEPHGSYAEYAIEPEV STFHLPPNISFEAGSTIPLTAMTAAIALFQHLMLPLPWQTLHESMPKYGALLIYGAGS AVGAWALKLAKLVKENKSLGVGPIIAVAGTSSLELVESFKAADYIVDYKQGTVVADVR KILEKEGKELRHAFDAISHSDTKTWENVFPCLAKKGTVWMNMTDPSEESVVWPSNMVV SRVYVSGAYGEHDPDGYRNREDAILDGEFSYCMYRYIARQLKQGRVTPHPYVVLEKGL ESVAKGLQLLYDGKVHGKKLVYRVADTPHLEEYHV LY89DRAFT_743884 MDESSSFGHTATRSISEPDQQITPFSDTETITKIHSIIAAAEIV EETSDPSEQILHQSTISSPSRQQIDQDEESEIWYDLSHISHDHQNPAPEGNAEASNDI EQVPIIDATEEVGPIHSSPQGTDAPLTEVEVWDLVDSTGKHAIATVIQDEGIPDESAI IDEEPLETIAVTVAIGAALDNQTEIEELSGEEGAPVWVIILALTVWCCFIVILIGITC YLAHSMNWHGVEIFTAVALPLPSKAWLAFFHLPEGLSLTQAKGVDFAAGAVIAPVFIG VANFVWFKTGRKLSPKIDHTNEVPNEETGIFNLSKLLGFMRTSNPRLVLLAVLLLSSA LASTLLSNVLGYKAIPAGSAEDVGAETQELLYQMVYIPGILFLALCAVTSASTAALSL VLLDWRSALSKKNQLHQARERGDGIATDEHDIGCENVNTRAHDYVSFPRFHTSRRLFS RGGREIFNSSRRNTSGEGEMEPLLQRTQSHVGSLGSPGSWRLPSFRFPTFTSRKKVGD IEGSREIATGFVADVQESEQNEGQRASLALWTLPRLTFPVWRRTQSLPMMTQQAELHG DQNPAAAPPIEVTTPTVPNMKQGRKFWKFLTYGTLAAQDEAIANQSSEGNIVSETGAT SDMIPDIRVLNSLWKLPFPSAFAWPSGSWRSERRTRQREEASDTSSLLSQVEREEVTL ARVERTPSFWVVPSSTGHYVAPTPKVTSKDPSLEGEHNKTVSVNERLADGKSSEPRPG WSLPRSSFWSMTRWSTDAETSLETLPTHAAEAGQHIVTESINDSQNTSITSRQAKSHR KQYSLDPFTWFPKFTFPLVLVDVPHQVAERRTLLPAFEETSPSNQSKSKTRTLTASEA LNIAIGNDSMSSTSRSSVMKEQMIQISSQGYDSTAEAMTYNADDVLRTRKGKRVDNSR TSGKRPSQMPGVAVPPLKLNVPHHPVGWSVPWIHLPLFSRDSESEMEGSKLIAPIQTQ SDNFASSSLAPSPSILPSPTKKGKETEQHVDQVESMIERVHELDAPVVIHEQSVVMQP ARIDEDDDDIGQKAALGVGWVPWGSRRMKPDDVETARTTGKSRARSLTPMRQVPQKSW LTPHGYEEARSGIQTTSPNPSPNREDRGSWKGWTWWDVTWPHVVDVDQSGSPSTTASP RQVSATSTTSSNDSTSHEVGPQKRTPDARSRPTFTGSNDSYRKRRPLWGLGKASYQLP QPSPVSIMLPKNLSPRLSNSRSKSEEFVEQAEPSEASSEVWYSGGTQLLIEGPKSPKG KRPAKYTSLTEWPWSHDHHFKADEEDAGPSFWVDEGEGSRRSRKWGNGRRRASHSSER SARKQGGEVDTEPSVENVSLW LY89DRAFT_662194 MPSSTSSDQRTFTNYVAYRDPNALGEPRIGHLFLDASLIQPLSF SSGTPISNLYQVIEIGESQIMPFGDTIPLSSVQLLPPISGRDVLAVGKNYFEHAVEFN TSGYDSSDKVDQPTHPVIFTKRATSIIAHGDTIYPHHEFTQTLDYEGEIGVIVGKGGF RISEEAAMDHVWGYTIINDVTARERQRDHKQFYIGKSGDAFCPMGPIAVPATYLPKTL RVQTSVNGEKRQDSTSGDLIFSVPILIKTLSEGTTLQPGDVIATGTPAGVGFGQKPPV FLKPGDNIEISVTGLGTLRNVVGDGSENGTATSTPISHIPVSNLSKTCGGVGLTSLGS KKLYYHQCGKAGASPIIFIHGLGGSSENFKPLISKLNLSRHYSLHLLDLEGHGLSPTS ATSVISISSYAADVYALAEHARIRQATIIAHSMGCLVAFSLAIQHPEIVSKLILLGPT PSPLSPSDRTIRLERATSVRKSGMGPVADSAAETRMSLKTKSENLLAITAVRMCLLGQ DHEGYAKGCMALANETEALPIHGIKAKTLIVTGDEDVVSSPGVCEDYGSKIPDSRIEI LPQTGHWHVFEDLVGVVNAIGPYLSE LY89DRAFT_412163 MRWFKVLGLVVLPAVNAVASTDSLQDTDPANSGYLTNHNIDPAA VPNYTLKWRMTYNTNEAFYAKPLVWTPPGGTVEQVITVSNQNNIRILDSTSGATINSR TLDPPFLSSDSMCGDIPNTIGIISTPIIDPATNIMYLISKGYIGGASSGGAINGQDKF YAVQLPGLTDVPGFPTIISGNADNDPTRYFIAGTLNQRPSLNVIGNTIIAGFGGHCDN FNYTGMALGISKTTGDITAMMAMMASPGAPSPQPLNILTQNGGKAGIWQSGTGLAVDS TNSRVFFVTGNGIGNGDNAAGAPASGKTHISTLEQATVDVGVSANGAFTQQDYFAPYE YASLNGGDRDFGSSGACLLDPYFSGGGVNRIIVAGGKTGKIYVMNADNLGGFNTAAGG GDGVLQTIQQPLALLSGVASYPAEGGYIYFAPSAGPLYAYSFGMCGGVPCFTLAGQTA MIFAGRGAPTVTSLNGKAGSGIVWMADVNDGIVAFNAVPVNGALVQITSPATGRLQKM QRPAFGNAAVYSSEVNEILAIGGTSTVTEPSLQCAPNSIAFGSVVDGTTSVVQLTCTV GTNSMTIKSATTGLHIFQYSGLPTGTLAAGSSFTIPVTINLTSAALENTRVIDGVQIV PGTESSILSFVDSLGFTTTVSLSGKVVASGGFPVITPYTLEFGSSTVGQTVAKTFTVT NDGAGTLVLTSFAWTDPKVTNPPSNSVVPGGSTIIGKDFTSSDFPVNGATIASGATIT IAVNWSPSVAGVGSALLTFTTNGGVTDIMFTGTAAACTTCTSSSMLASPSSSSASPSS LSLLSSSSSLSAAQSSSTLVLLTPTSSSLLVSWSSSLPVPQSPSTLLLLTSTSSSSLV SSSSSLSVPQSSSTLGLLTFTSSSVTALTSSSLLSIPSSTGSSSMSSLWSSSASSSLR PSSTLPSSIMSTSSIVSSSLASSSLQQTLQSTSTYIVSTGLSTSTKGTSSSSSTKLST SSTSKISSSSSTKLSSSSSTAKSSSSSSTKLSTSSSTAKSSSLSSTKLSTSSSSVKST TSSKSSSTVGPSSVKASTTKSTSSFVTMTKSSSVTVATQTGAYNYLGCYQEVSNGKVL PLLLANDTITPQVCESLVLSLAAKPTPTHYPYYYVEYHRECYAGSSLNFGTAGVTSVT GAHACTDVCSGSVGATSTGTAFCGGWAMFDLYATGGSSLPFVPATTQSV LY89DRAFT_790573 MLITTVFALLSFTSFCAALPTADAADAHRDVNPFVGKHYFANSN YANELNQTVAAFLAKNDSLNAARTRTVQKTGTFVRVTTVSGLSLINQTVSEARKEQRK THKKQIIELVLYNLPDRDCSAGESSGEFSLANNGLELYKHSFVDPYAATLKAAWDLDF AIILEPDSLGNVITNQNVPLCANASSAYEEGIAYAIAKLQAPNIALYIDAAHGGWLGW DGNLPLAAAEFSKVVTLASNFTAGAKIRGFSTDVSNYNPYIANPRANYTQYSNSYDEL HYAESLAPYLTNVSLPAHFIIDQGRSGLQNTRTTWGDWCNVEAGFGIRPTTNTNSSLV DSIVWVKPGGESDGACGPTIDGEAAPVAGLWWEAYVEALVKNAEPPLAPTWGRGWH LY89DRAFT_726597 MLPALQTYSKPSLVERNLARESVFLPPDTKSRDLSTSPHQNNNT THGTTQHRAMGQTLARLPLTARNPESDVAEDVSSGGLGQSIQPELSQQEQLAVKALAA EQGETKPPESPIADPASASLSEPAEVRDEASAFVSPSTSASLGDAKVIVEEQEDDAAF EAARMLFRGWYQENFGGLAPAAFVPSSLMSGRVDGSRVDGDGGFLTMRTSPTPDIVER LAEMWNLKPEKREESVDSRVSQVPDIPVAITESIFMSEDEEDYNTRVSSPRAIPDVLG SPEQVRGDSKSRSQERTAREDAVQEGSDFECASPDLASQPNGSLHQESTMQRLRTSLI SSLESRLAEMEAGGDLKDAYRSSVTLANLITTYELHEELMWRRASRRKPSYWERSPSH DQRRRSRSPDRGSEHHGRVHPDRLGHLSLERSPSPDKTPVLKSQALCATSSNRIHPNR IRNLNGQAAPSGPSSFKLVHPDRIGRLGRSPSPDRNPGPKSQAPRATSSNCVPVDRMR DSRSRTPRGPLSYHRHPDYARQEYSALQYDEEPRFKGGVSYDIQSPGRAGGYTKEKGS GVWRGGSDGSGQYARREGQRGA LY89DRAFT_412204 MSRLRNLFFGKSNGNSNIRGQSYDAAVARDPPVKGSYPVAGNGP NVLEEIQRSRAKRDTRRQSAVAAAPNVPRYREDPIERPRTAPNDGHRRGLGSVNSNGS ANVNGNSNGRTRSGFSMKSPPSFFSSSSRRNSLRNSTVEPPPTPPVPNPSVRSTTPKP PREVQTYQPRKGVEAEQYNDAFVPPFARHNRSDSHASHKSHVDLLEAHSNIRPSRETS QHRTKASGVRNYGEDVADRNIAGSKSKEREPRLVLNSPEFSYLRTVYSPKKRSGLGGS DGSHSRTSSALGHVLGHDDVGPSDDIQPHTRPLRHAKAASVRSTATATASRPGVPYPL RTDSPSVYSYSTNGGRDDDRSTNGDLAHNRSGRALSPLSASSIQDSSMHETLRRPGAT PDRGRRTLREPPPVVPSPKQSGLSKVFKPSAAAPRIASVPENVQPAAVPSRTLKEPPP VATPEELPRATQPTHLRQKSNSSAKQRRRTMSSASQTTTTTNGHASKGSYSAFPSSSD RSSTRSPTSATNKRAGMLIEERSEPISLEGVVDLSNTVDTDVTTKTLPGTYPPPIPTI SIHRPRPNISRPLSTSRMSVRSGHSSQYTAPVLSPLHVSPHDIMQPPSFPPENWPLIP DSETGNSAANMRQ LY89DRAFT_790576 MEKAKAAVGNFLSKDGKHDTTVHETVNPAVQQEHVTPTRHENVT TAVDREVHQDHHHTSVQPILHKEVLPEQHTHQMGGVEHRNIKHGNDSHVKDRLAAEAA QFKNTREVGETQHTSAAAPSVAGEHVHHHVHENIQPVVQKETVQPSVVHTTVPIHEVH QNEAKHHTATSLPPVSMAEFNKQGGSLTGREERTDAFGGEPRSVGGTLGGAGARGTTS LTENDTTRSGHHSGNHLGSTGTTGTTGSGLGSSTTGTSGTSGYDSTGTKTKPSMMDKL NPKKDADVKAIPGREIICAVCTADSIRTRFNNSSSESPHNPLFLLVKISASRYSHLTY PITTTLKAPLPIPLQAPRHIRKPFINTSEIQASSFLFQSDALSEAHGVDVQQRLARLD EMHFGSRRRK LY89DRAFT_412240 MAVPGYSLNDLIQGINFVVDALSALKEDGGASSEYQQTIQEMNS LEQILRSIQRITPTETNTSYFRLIHTQASELEATIRCFVSSTEKYNEALGHRSKRRDG VLRKLQWHFVESSTVKALKSKLSFHLQIIDMLWQLLQFDYLATYEVSRKVDHDMLSSN STQLLSICNKIHTSQISSNSLLHNQNATAALTSATFIEKLDEQIRLSKDILNALAGLE NTSVEVSKADRSRCNLDTETNPTASFHVNQTRISLEHLGVIANKSFLELCNILDMQVE LRNEIKCLAEKVESLAEKVECNEERGTSLRAMSSNLMNQAGLLGQEIFRRLYRMTCNV TKNTCHQVGLLSAMNPLNAIGVVNPWSTALFINLKLAIPRSPFSISRADSIHFLDATG TSRLLPYIDFQHYRTFVTMLEETYRNTPTGRYVFRRRYYLVGTGSKDGEIITKDTWSN HVHPGIGIAMNIILSHAKFNRAECPKCKSVKTHIEDEGPYGCTSCGLIVYSRNAESRP KRDLCEDQSSNLHSSQQKRPLKYHRFYQDLAVLGFGPDRYEDEMLEERDIKYFKRLSI RFASDEHKPNLEQAYLTTRLLYIASGLPAKQMALEMCGTWSFISTTNTLQSIPAIRHV DGWTVRARKFQ LY89DRAFT_790578 MQLTSILTLAILALGISAHPSMESRNSAPSCSGERVWNDADKQC ECTPDKSWDQGKQKCCHPPMPQPQCPQGQNPYCSKSQNQWCPYDKNNDYCEDNGYNSA WCCVKGQEPPKMGQMYPPNPPVCKDKQKYCPDQKQCVCPKPMYWKDQDQKCHYPAKPK PSCPVLQQPYCGKGKDHWCAYDANNQDCEDDGTCHTWCSSPLTVQGMVNSIFDLL LY89DRAFT_633029 MLSSLLRPKKNRRHVEETSVFTTPYEQRRHATADFTEDDGEDEE SDEEEEDGEEDLDEEDGAEDSPLLPIFSAAHLDALPVYNLTHAIRLIVLPRTETTLTW DQLRSPQVSQFLVKPMQQQIRTSHFSRATLYALMANCLQFQKESQANPGNAGNSRTRA LVCELLAIKLLKEYNTRELIDALSYDFYPLQGLSAPVSPNGQVRPRPVAARISTLEVA IRASAKRFLAHPLVVQQLEAIWAGTIVFHSAADNLHRRQPEPQMQEYRRRNESTPFLT VPGQNTGYGTANRGHTSPDKEPPPQFSTQVRRTVTLYDPRDASLFKLSRLRVPRYRQF FSTCSLAILLGLFIAVLSQRSTGITTLEVVFWFWSFGFMLDEVVGFNEQGFSLYIMSF WNAFDLGILLLLTIYYCMRLYGLFLVGEGRHGWNSMAYDVLATNAILLFPRLFSVLDH YRYFSQLLIAFRLMAIDLVAVFVLVLIACSGFFVAFTLSFGEGQYDAAEVAYKIFQIL MGFTPAAWEAWETYNGLGRALLVLFLFICHFLIVTILITVLTNSFMAIVSNADQEHQF VFAVNTISMVKNDALFSYVAPSNIFAWMLTPLRFCLPFRLFVKTNRTIIKISHFPLLF GIYIYERIFLARTVFDPADLIENNPRGRRRIISFQDTKTALFSPNARLRQESVAGFQK DKALEEVFRLAPRPDTLRSTRRSIERRQTENVVSNWMDHHSGVASPPQEQDRSIVDRL EMRRQARKATMLRHRGISGTRSVASDPAEFMSHGGFDHGDQDNPATPENVDDHSDEDG DDELNTHDDDEGFTLDKATTHTRSRATSADNTGSYFATPTATRPDTVVPSSVSSRKQR FESNLVVPPKSPPRRRGHGRNLSSNTILYNPVADRNSSASPPRSRPLTAKHHSNVNTR AHTPTGHRTPKNSIYAQTKARPIMPARQNFQSVPNFNLDSQLATGSRTRQVRHRRSSF DMGMSEIGLENNAPGMLGGVPSSFATQLAMATGGLKAYNRAAEKGEDTDGMMGRLMLA RMKTLEEGFAEVVKEFRGMRTAGNSSVENDPPSIKGKGKEKEKSRRKPKNIGMARTTS EVDFAKMDRNIEKLGQKEEVLMGEDVLTDRDTPEGDIIRRYISKGSSL LY89DRAFT_790580 MNTSIITWKQAAIMASTVYPPVTAQYAEGESLIALELQDGVVYQ GYSFGAEKKSVAGELVFQTGMVGYPESVTDPSYRGQILVITFPLVGNYGVPSRETLDE LLKDLPAHFEASQIHIAGLVVASYSGEDFSHFLATSSLGTWLKEEGVPAMYGVDTRAL TKRIREEGSMLGRMLVQKQTLTNGLTNGHTNGTSAIISNSWRSSFETIDWVNPNTKNL VADVSIKKPKLYSPNPANALKHPSGSPVRVLCLDVGLKYNQLRCLLKRGVEVLVCPWN YDFPALAGKDYDGLFISNGPGDPALMAETVKHIASAIQENRTPIFGICLGHQLLARAA GARTSKMKFGNRGHNIPCTNMLTGKCHITSQNHGFAVDADSLPAEWTELFVNANDGSN EGIRHINRPYFSVQFHPESTPGPRDTEFLFDVFINTITDTLKDTGLLTAPVHFPGGDA AETERLHPKIDVKKVLVLGSGGLSIGQAGEFDYSGSQAIKALKEEGIFTVLINPNIAT IQTSKGLADKVYFLPVTAEFVRKVIIHERPDAIYVTFGGQTALQVGIQLKDEFEELGV KVLGTPIETIITTEDRELFARSMESIGEKCAKSASASTTEEAMRVVKGIGFPVIVRAA YALGGLGSGFADNEKELIALCNKAFAASPQVLIERSMKGWKEIEYEVVRDARDNCITV CNMENFDPLGIHTGDSIVVAPSQTLSDEDYNMLRTTAVNVIRHLGVVGECNIQYALNP FSREYCIIEVNARLSRSSALASKATGYPLAFIAAKLGLGIHLNKISNSVTKVTCACFE PSLDYVVVKMPRWDLKKFTRVSTQLGSSMKSVGEVMSIGRTFEEAIQKAIRAIDFHNL GFNDTKALMSIDDELQTPSDQRLFAIANAMHSGYSVNKIWEMTKIDKWFLSRLKGLSD FGKMMTKFNASTITTNLLRQAKQLGFCDRQLAKFWSSNELAVRRLRVEAGIVPVVKQI DTVAAEFPCTTNYLYLTYNGSENDISFNDHGVMVLGSGVYRIGSSVEFDWCSVRAIRT LRETGFKTVMVNYNPETVSTDYDEADRLYFENINLETILDIYQLENSSGVLIAMGGQT PNNIALPLHRLNIKVLGTSPEMIDTAENRYKFSRMLDRIGVDQPTWKELTSFEEAKVF CKKVSYPVLVRPSYVLSGAAMNTVYSEADLEAYLAQAAEVSREHPVVITKYIENAKEI EMDAVARDGSMVGHFISEHVENAGVHSGDATLILPPQDLEPTTIQRIEEATRKIGEAL NVTGPFNIQFIAKDNDIKVIECNVRASRSFPFVSKVMGVDLIEMATKAIMGVPFVEYP PTELPPNCVGVKVPQFSFSRLSGADPVLGVEMASTGEVACFGIDKYEAYIKALISTGF KLPNRNILLSIGSYKDKKEMLPSVVKLNRMGYKLFATAGTADFLQEHDVPVQYLEILG PDEDDQKSEYSLTQHLANNMIDLYINLPSSNRYRRPANYMSKGYQTRRMAVDYQTPLV TNVKNAKILIEAIARHFDLEISAIDGQTSHRTVVLPGLINIAAFVPGIAVAGNHDFQT VTRASIAAGFTMIRTMPLGLEGSVTDARALKTAQKNAKRAACDYNFSVAATSANADQI SQVTGEVGSLFIPFNHLADNISKVAAVTAHFEAWPNFKPIVTDAKMTDLASIFLLASL HNRKIHVNSATTKDDIKLIALSKAKGLRVTCDVAVYSLFLSQSDFPEAKFLPTTEDQA ALWEHMSIIDVFSVGSLPYQLAHAVKQPPNAAVGIADTLPLLFTAVADGRLTVDDIKA RLHDNPKDIFELHDQVGASVEVEIDRPYTFAPVGVWSPFTGKKTHGAVQRVIFQDKTA CLDGEPSADLIEGKDMSSHLIDAHLATPLSPVVKPVLGSPALGPRPESSHGFHGRRQS MIGAPAMRPANRSRFLDGATQSPQRSSTEDFGSILYHQPTISPSLQSLLAQSPFKNQH VLSVNQFTRQDLHLLFTVAQEMRLGVQREGVLSILKGRVLMTLFYEPSTRTSASFDAA MQRLGGRTVAIATNHSSTQKGESLADSIRTLGCYGDAIVLRHPDESSAATAAKFSPVP ILNGGNGSKEHPTQAFLDLFTIREELGTVTGLTITFTGDLRYGRTVHSLVKLLQHYDV SIQLVSPKALAIPSEIRELIKSKPGQLLIETTELTPEIVARSDVLYCTRVQKERFEDL DEYERLKDSFIVDNKTLKNAKSTMIVMHPLPRNKEIGEEVDFDQRAAYFRQMRYGLYT RMALLALVLAP LY89DRAFT_790581 MATIQKCQDPESANSALHLSDAGSPIHNQQEQSTHQSTFTSKDP MSTLLHTTTSTIQSTALNHRNHFVSRCPIQ LY89DRAFT_633035 MSSTCKDVTAPNTMWNFCPSVGPAYLFAILFALTTITHLGQAIY YKKAYCWVIILSGLAQTLCYIFRVLSILNPEVFGDYAAWFILILIAPLFTNAFVYMVM GRMVWNYISSAKIYRITAWRFGTFFVILDVCALLVQIVGAASASGNNEANNKVLDGLH IYMVGVGIQQAFILLFFVFAIKFHRTYLAESRQQKKGNSPLVLLYVMYAALALITLRI IFRLCEYAQGLNSKIPNHEAFQYCLDSLPMLIALVLFNIVHPGRVMAGKESSIPGRKE RKYKHVSNKAERESLGENMQVLGA LY89DRAFT_603422 MPRVARGQRKRAYKPKTRTGLRHVKCGEERPSCDKCTSTGRTCD GYDFTAEEPSSSRSSSTHSLVPTLGTSPSSSIVANDREQRSFHFFRQTTVAHLSGCFS EDVWDNLVLQTSHHEPAIRHAIIALGSLHERYVTHAALINRQDALDDFALQQYNLAIR SLMEPLSRKKRLSVDVMQGSYGPAIAHIRSGNKILHELQYDETNKEYSHHTLEVSRNP YVPTNVVEDLFLRLDFQATQMVGEHSWEFYGKSSRTLQKLTPPRTFTALSMAREQFIL CWHRCSFLLHELDQNLESPDIMALFATWQKTSSGIVDAWNAAFESFLEENEDKLSENE KRGAAIMKILKATGFMALRNAHPTAHDQVKWDQFRDQYEEIVDLAEGIISSSAGIPQF SLDMGIVGPLYEVTARCRDPFVRRRAISLLKSAQRQEGVWNSFLTATVAERVVQIEEG GLGEVNCCEDIPDWARISSVAPTFDHMGRRAILRYTRPGSKHSMVRQPYEEVIEW LY89DRAFT_412289 MSTFARNILGGGLKSDTLPADLSFEGETVLITGATSGLGLEATV HYLQHGASVTITARTAAKGEQAKLDIESRTGKLVDVMILDMDTFEGVKTFVNTFKKEN RHFDIILLNCGVQEFVWKPSPEGWFANIQVNVLSTTLLGLLLIQWMRSKPEQSHLLFV GSGSHWENDLSKWPRKDIITFWSKEENFMSGRDAYGISKLMLHYCVNAMDKIASAGGK ISPIVNTVCPGIVQTGIARSFGGGVWGMQCVAKAYMALALKAVPADVGARSLVVAAKT TPEQHGTFRRPYLTDDEYEKVSAPFFKTEEAKEIQAEVWKEVVEILAAADPEVSKIVL LY89DRAFT_557882 WLLNRILVPKPLPGIPYSRLTRWMPCGDLLSLGAHSIRAGSTFD WLSSQCIKHRSSIVQIMVPSFSTRTPVLLISDLRQIKDIIVKRVHEIDRATLIQFWFN TVVPNATTGMQTTLSFKRQRRLWNCMLTPDFLDTVATPAFEAAIRRSIELWTIKAQMT DGSSFAAYDDICRTTLESMWKVLLGRDLGLAGASISYIQEKGDPNTSTVQSPSFPFFF QDFRTLMTGLLWVVTGITPRIYKFVINRIPRFRLAKTRTHATLNSIVYNTREHLKANI PTIRCGLSEVLKQQDDTVSDVALIDEILELLITGHETTATTTAWGLKYLADHQEVQEQ LHIALKQAFPDATKSNLPSGLNIAQAEIPYLDAVLAEILRMACTGPVLFRETLTDCEI MGHHVPAFTPILLITQPP LY89DRAFT_603409 MAPSVQQLPEDIPAPSLEKLGITNDAPEEVLQEAPKQAPKQAPK EVPNVKRQIDLEGGKTDAKYPQYLPTWNHGQKYPPLEPFTHVEHGKGADATFKDLLPE GSKIQKLTPSIGSEVTGVQLSKLTAAGKDQLALLVAQRKVVAFRDQDFADLPLQEALD FGGYFGRHHIHPTSGSPEGFPELHIVHRYGATGSEFEAFLANRNSTVSWHSDVTYEAQ TPGTTFLYIFDTPETGGDTLFANQVEAYNRLSEPLKERLHGLKAVHSGFEQAQFSRDR DGVVRREPVKNEHPLVRTHPATGEKALFVNTGFTRSIVGLKKEESEALLAFLFNHISR GIDYQARVRWAPKTVVVWDNRVTVHSATADWRTGERRHLARITPQAEVPFETPYVKGD VETKA LY89DRAFT_769533 MQLFRPLPAFQARQVSLNNPTYELTDKYSAITSTPLSSSSSTPC TCTAYSQIAPAVAACTQITLQDISVPTNSSINLASLKAGSTVTFAGTTTFAFTNSSTF NPITLGGSGVTILGAPGSVLDGNGQAYWDGQGSNGGVPKPDHFIVVNKMTANSVIRDL YIQNYPVHCFSISSCSNLLITNIQLNNTAGNAPNAFSGGLAAAHNTDGFDISTTNNMV LSDSTVYNQDDCVAITSGNEITVTNLYCSGGHGLSIGSVGGKSNNNVTNILFTDSTVT DSQNGARIKSNYNTTGFISNVTYSNIAVSGISVYGIDVQQDYLNGGPTGIPSNGVIIT DLTFQNVTGTAIAGAEDYYILCGQGSCSDFTFTDVSIVGGTVADSCNYPPSGCPGSSS TTSSVVYSSTLSSTTSTILSTSTSSVLTQSSPTSTPSPTSTSAWARTSPSAGAVVVDA TGATAGSYSTVQEGVNALSLTATTPQNLFIYPGTYREQVYIPALKSNLTVQGYTIDGS SYTENTATITYDLALLNTTSDDLTATIRQWNPNTKFYNLNIANTFGHVSTNGQNLALS AHTGNQGYYGMQLWGYQDTLLANTGNQLYSKSLIVGAIDFIFGQTATAWLDQVDIRTI ATGCVTASGRASASNPSWYVINNSTVAGINSSIEAGINYLGRPWE LY89DRAFT_603329 MGETRRSHKKTRTGCTQCKRRRVKCDEGKPSCANCTKNKIQCSL DFLTPMTSSSRSLIIASSTSSYSPSLTPELSPSTFSPQTNELLHHYSTTLYLSLADNR TPRVWKVGMLQMGLEYPFLLSGIQAVSALHLASLLPNRKDEFYSLALSRESAALPSFR ATLINPKPETIDAIFAFAGSIVYYVMASPEHFAGEKIDRCRIPTKNDDHPHWFQTMRG LMGLLMNHWNELLKGQFHDLLDSLPPGSDLAIDPPEDEHFGKLEGLFPNSTDDRKVEI CREALKELRDTSAFSNESSGIRSIKSSIHMWAGRVSQEYMELVYERDPRALVILAHFC VLLKRNNHHWYSKGLGTGMLDNVRHALGEEWQPWIQWALDQPVSETEVEIIDT LY89DRAFT_412422 MAGLLGGGGGGGNGNKGLVGGLLGTVDDTTKGLPIVGGITSPLL QTVGGVADGLPIVGSGGGLGGQQAPQQKLNAWGEPIKTGTAASYQPAPAQTYVPQAST AKPAVKKTVPKKVAAPKATPKAATSAPKAAASTTKTLTPAEKKKRLLEIKKQQLALEA AELEDD LY89DRAFT_703002 MQSPTTFLMASEMEADEEINHDWDFTIVCNSKHFVVTVLPGPLP EDPTTSLLTRYSKAFVEDDDEKIQEAQDEILDLVFDAGWQKFRAIAPPIPKGDSSPRI STKNLHSDLNPETFYFRLVVTEGHAEIVQTPPHPPQFNSFYLNLKDNTLDLPRYSSRD ITVTSKLMGLGYIAKVLISGLDMCCKIGTTQSFKAVQREYDCLRQITISKSAASIPAP KLLGLVVDDNGVVLGILEEFIANKGRLSDVIKNEAGVSERRAKWVEQIRKAVALLHEI DVVWGDGKAENVLVGSESDDCFLVDFGGSYTDGWVDRTLMETRAGDDQAVEKIAKFLD DGVRP LY89DRAFT_556046 FIYRPEWQVLLCTECGFCLRPGRDVWLRHLRQKPHYLRGAPLKA LVELFESY LY89DRAFT_603393 MLSVKPHTKTWKELGNYNSCLSGVIWVAQLIIFHTSVCLEKAEL GSTLERIEQYCGRFLKQDTETPIGEILGWRLLLFTVSKEVVGPH LY89DRAFT_603389 MLHTTYHKGQQQTGKFKDNIRFLPVPVGDLLLDYLVVVIPLRQV FLRQFAPHAVISPYLWWRDGKVWADNRLTRCMEQACTRASTPRLHIAN LY89DRAFT_603506 MAYTSGGTNGQAHENEKVDIFICGSGSAGLSAATWLARYGVRCK IVDSRSGPLEWGVADGIQSRTTEIFESFGIEDQLLREGFHNLEVVFYNPVGKEGAIER TRSVEASYQGLSHLPRLILSQARVHDMLLGAMKRFNDQDVDYGYRVQSVKVDEEKGKD PHGYPVTIVTEKDGKEETFEAKFALGCDGAHSTVRKSLGFKLVGDGSDSVWGVMDFIP RTDFPDTRKLTVIQSKVGSVLNIPREGGSMNRFYMELPEGTVAKEVKLEDLQETTRRI FHPYQIDFAETVWWSTYTVGQRVADRFTHSDRVFLTGDACHTHSPKAGQGMNVSFQDG YNIGWKLATFLKGEAKRDILETYTTERQKVAEDLIEWDKNWVKSIASKGKDQGGVLDA NNNVDFSEIWVKARPFTAGLTIKYDDSVLTRANGSLQSRAKGLKVGMRFPSAQVIRFC DAFELQFLKAFPSDGRWRIVIFAGDVRQIAASRRLIQLGKYLFSEHGPIKKYTAPGLD VDSFIEVLVLLSGERLTTKQEQIPKTFWPETGKWRVRDLHKIYIDDESYHSGHGHAYE VYGVNPKHGATAIVRPDGYVSMVLDIEDYAGISDFFAGFAVERISAVQTVA LY89DRAFT_603462 MSAIPQETSVLVIGGGPAGSYAACALAREGVDVTVLEADIFPRY HVGESMLASLRPFLRFIDLDSTFVNHGFKRKDGAAFKLNQNKREGWTDYVAAGGPEAY TWNVVRSESDDLTFRHAGKMGAHIFDGVKIESIDFSGESDIKVPEGGKVANLGRPVSA DWKRKDGTTGTIKFEFLVDASGRVGIVSNKYYKNRKYNQGLKNVAQWGYWENTGVYAE GTRRHNQPFFEALTDASGWAWFIPLHNGTTSVGTVQRQDLQVEKKKAMGSPSPVEFYK ESLKLAPTGWDFISKGELVSDIKHASDWSYSASAYSSPYTRIAGDAGCFIDPYFSSGV HLAYAAGLSAAMTIRAVQRGDVDELTAAKWHSNKVAEGYTRFLLVVMSATKQIRGGEQ NILSDWDDDGFDLAFDAFRPIIQGTADADVSGKLTHEEVVKTVDFCLEAYKETSPEER KAVLDKVATITEGNKGVIDEKANLESLTEDELKILNHIRAKQMLRFEDTLNLNHYGAD AIDGLVPNLKTGKLSLVPEGYNRLSKLEVPTLDLLDQVKEKEPEPIFAAA LY89DRAFT_790591 MAPVSTLQNGLSQNGVSHETVSPDTDVNSVLSLANKVSELAVLQ THQVPVPQEEFLQAIQRLQIAVEGPAHYVARKRHEPNEYAATLLAIDSGVLEALVDNG RKPLSAQELAKKSKTDPLLITRFMRILTAIALFDEVDLDLYRSNEISEWLARPGQADG YRLIARWILPITSNVSSLWKTDRLFQFPDESKGEHNPFIETFKTTQWDMLRKDPDLKR AFDSYMPIRRNGLRVPWYEIYPAATELDIQGYSEQHEPPLLVDVGGNTGYESASFKAA NPHIKGRAVVQDLAETLNSSVAPEGVERQVYDCFTPQPIKGARAYFMKALLHDFDDAE SRRLLRHTVDAMGPESSLLIDDWVLPDTNAPVAGGTYDIMMWLLLSGMERSEGQWRAL LESLGLEIKRIWRKEGVGEGVIEAKKR LY89DRAFT_692545 MFNRALQGYEHALGPKLLPSYLLALDTKFAFGDLFSQTGRKDLA NVMYSRALAGYITVQGPSSKRCKQLKDRLQALQIAFAESKVGQDEFTEPRAAKSRPFK QKLR LY89DRAFT_412521 MMVQTTNISVFRSEYRFLVASTVLRTIFIFLITTTLFGWWELGR SVTLNPLETAKAFDAPLLRGPGSNPPLPALMRIVGSRNAKFGEVETYADEHVRRQLKV ADPVEVARPQDGIMYE LY89DRAFT_633060 MASTIVLITGANSGIGFATTNVLVKASNAFHVIIASRSVEKAQA AQSEIEATGIKGTLSTIQLDVTDQESIEAAAAKVKEEFGRLDVLMNNAGVGGIGHDMQ TGFKLCLETNVVGPAMISEAFRTLLFESKNPYSIYVSSGERTLLRNAAQVFTRPGYEK VRGSGAYQVSKAALNMLVVLEAGEYGPKGLKVFALSPGFVVSNLRGKSEEARTGWGKA GNPEVSGQIVLDIVQGRRDADVGSLIHKDGVYPW LY89DRAFT_769550 MDRSIQPTFDPSTYGEYYTWAVNNNTVGINNNTFQTDPLGIPNT PDENTIFPFFVINEGTPKKNIIDDDADRQQPGHRKFKKILHVIFENEVFSWTMGDQRW KLLAKRGRLLTNSHAITHPSLGNYASILAGDYFGIADEDFYNINATTIYDLLDEKSID YATYAEWYTPLETKRGPNDCNNEMFIGPLDSTNPAWNGPVYRRLDVPPLLITTYTSDY TRCSKIYNATGKFDDDVSSHNLPPYSIYVPDMLYNAHDPESDSDYAHQPTTAGIWFNA WLDIYLDDLKDQGTLVVATFDEATWQNDDDSDPNNKNQIATILFGQGITPNTQDPAYI THYGVLKGTIANFNLGSLGRNDTNATNGNLAVLVN LY89DRAFT_692549 MESGDTSQLHGREKVDVELFRYVAASLDKEEIFHVLGFEFLQRY NLVRLQNKLAKIRESIHADLGQKCDERSLNDTLNEYSQSIKNYNMFRGLKPLSEERTE ERKALLKTSFPSLMSQCRWTKPFESHYYALNDNKAKVDNLRKVLRHLLPRHLAYSNAE RVRRQREFEEGKPPHEISAVVDRLSRLIVALAGGAFLIVPMLIMAINPTQTKSLVTAS VGTLLFSCAISLGLSSSNNETMVSTATYAAVLVVFVGITTTNNSIPTT LY89DRAFT_412547 MEELSITALRENKALLSFCYAGLVNETCPPLHLLTFQHCYSLYG LCQPLQYFKNNYNMFHTQGPLREEDRAAPYEAPSRSENRMPSATLPSNYQYDAQSWSY GWDNGVMGGTGRPGSLSRRAGLPTNWNLWTDPQIQQQSRTMESYEMNGQFSSQATQEP QCGSLPVPELENDDLIPTALVMKNIPFAVQKAELVNIMSDLQLPVPYAFNYHFDNGVF RGLAFANFTTPEETKLVIDAMNHMELQGRKLRVEYKRMLPAKERERIEREKRERRGQL QEEHPSMVSYQAHYSLHRQTSTASFTPIDIDLNDPVTLRFYNELLVFKNSDRETLSFP STVSPADRRIIHTLAHHMALEHWSEGEEETPFVRVSRQRVHSLSLHRASSTGELYRRR DSASRQSPRSGMYSGYAGSNHSATSIRSHGSNDSYTCSVRSARGIPDPDSDTRYSGLE QRSRSVGNNASPRDLSPLEPRRRSTHEINGNGEAVESSGENYQTWEEFCVLQLVAFLQ VCTLDDVHTLTQSPTLLGKRFILYLKRMISQEGKTDFLSYRASPRPGQPEAQLQLLHQ KSFFSQSRTSIRSYSSRTSQSSTSTASSFSSQISDGTTLNHVQQEAKSLHDCLAKIQK KLKHLEKELQGIRISKGQDHLAAELQTLAGLVETIKTAIEWLRSNEEDLGLLGFSDVF LLFAKFHREFVTFYKELQKLKRQNRASRVLRPGRAKHEGEERRLKYVEIEQALNHMKS EIVADLEAAEIQKAAFIAAGNPYASDSISEAVADLGYAPHDTFAGSFLMTRQFIKIDD PRRFQGFFICECCPKKPKKFETAEELR LY89DRAFT_542662 TIDKTQDMKETSFLQFGELPKEIRLAIWEAAIPGPRIVDLRDQP LKITAGELKDQIREQKEKMFGFHPMGGFKSKCPAPEILFACRESHEVATRYYERAF LY89DRAFT_790597 MTSNLEADAPCFHRFNDLPKEIRLSIWEAAIPGPRVIEIVERDL KASYLDLERQVNEWKKNRRRVPDELVSSWRSDEEILERLESIEGYVDDGYDRRVEPAE SLAARRKEQLKEYRIVVPDDYQPFLAWIQTKVRQPEDEDAEARHRDGQSTEPAVSQPY FDEESGVEDDITSERTDSDADGSNEGLFIEDDIRIIEIGSWHSDSEMDDSGADSSIEE DGALSEYSDVDFGPEGFDTEDEAEEENDSRATELEGCLRAARWRFITESMKPEGLS LY89DRAFT_743916 MKIREAGQQMAAAVFHHGSQSQAPLTPEQMAQANEHEKEFIAHF SDTQRPLAPGEVAESPRNKELGKSSKGLSIRDFELVRTLGTGTFARVWLVRLANPAEE DRDKVFALKVLRKVEVIKLKQVDHVNHERSVLADVAGHPFITTLITSFSDHDSLYMLL DYCPGGEVFSYLRKAKRFDENTSRFYAAEIVLILEYLHEREGVAYRDLKPENLLLDGE GHIKLVDFGFAKRLGNSEQQKHGKKSKGNVNIVPGETYTLCGTPEYLAPEVIQSKGHT TAVDWWALGILIYEFLTGYPPFWNSNPIEIYKQIVSKPVHFPNEPAISPEAKDIIRQF CTVDRSKRLGNISGGAARVKEHPFFNGVIWEDVYYRKYRGPIIPPIRYPGDAQCFDTY PDEKAGREPYSDELKRKWEDHFKDF LY89DRAFT_412713 MTSKLIPSDPESVMVIRDIAPNVTTLSVPFLRFGRIKIGGRATI VKLQSGSLAIFSPVALTPTVQSKLSSITPSPPTTYLIAPDMEHHIFLSSWATHYPRNH IIAPAGLKEKRLEQSKTDPSITPLDFKTEFTKENKYSLKITPEFDAEFSYEFVDAHPN KELVFVHHPSRTLIEADLIFNLPAVEQYSRVPDTDAASGWATKLFGGLQNTRGDAVWQ KRMLWYAFGAKDRVGFGESMRRIGGWGFENLVPCHGETVLGTGKETFRKVAGWYLDEK K LY89DRAFT_743918 MKYTTGPLESYTFGSGSEAPTEAAKTFDVGRAFLFNSETPKSES EGKLQVELDLAIARKDVAEADVTRLQGEMNERNQECEKLRRQIDEVEKEADDLLKEAN KECKELRHDIIEANKECDQLELQRDKAKDLYRKSLNDLQEQRDMAGRFQERIDKLENE LVKIRKELANREEDVKGLEEVAENFQKDLLKEQKLHTVTKEAAAKAEKECAEREIYIT RIESQLDTANKENEKLKAADNRHVAELNAEKHKTRLAEAELKRAELKLTKLAQDAQNL AAAKDEAIENQTVEVTTKDARITELETQIATGAAEGVADLKAEIEGLHHQISLLQADA LERAQMLPDAYKDKDPEDDDRKPLGGEIASDDGHSSDDDSDDSDYGKRIKIVQVPGPV QTRTRFRDRLIRDPNHLRAWFGLERDAAVLIAAFFYSVFQFLTSLSPLAAIRGRTPVD EDPVDRENGRGRADDVVDGGHQGASVSIDSDAAPGGPVPGTDPTDQPGTSGDKKEKRD LKDLIPPLNPAPDNREKLPEGGKGDGKGDGKGDGKGDGKGDKKDEKKDEKKEDKKDGG GGPPDGDDPDGGNGGGGGDGPDRDPAPPRSFFDIINAPRPDIGATILGMLVHLFVYYF IYVCICTYFERNMWFQANDTTRSTLFQMLMHRNRYSHSFWHYLFSNPFARRMDNIPLL FVQVFGVSIKPYPLPG LY89DRAFT_412770 MSLDLLSDDLVLDIIDLVSYNTRCLCDIARSSKRLNDLATPFLY KEIDLGTWNGEILLLRALLGNPRLGYVNLSALNILDTFTLYAVLCSKAQSSNVLPLSC PADHVTSKHCKSFTSCRNTWKTATDRSLTPSEQALVPTRISKYCTTPEEVQKWLLDLE DK LY89DRAFT_662251 MEPFSFASSEAVAIPVNIRIVSLEGEQTPIPFSTLIKRSDLRHI GSNLSPHSDLYVTVQLWADSKPLTVPVQTAYKPFRNERKWNEWLTLPINYSTLPLSSQ LAITIWDLSPTGGEGAQGHAIPFGGTTLPLFDKENQLQKGRQKCHLHRRKAADGLDNS TTPSILPQGRRNGAKGKGAVEPVDKEAEELDRLEKLFKKHEMGEIPRIEWLDQLVFRG VEKRGLQASNTSLKALQRRRFRKADEVMTLDGAKETQANGETKDKDLEDAIEDERFTL YVELPRFDFPIVFADHEYPPPPISSLQHLSASQSNIILKPPPEVHYGPGINGPGDRDD HGFGGRLARVYDPEVGARDNPAESKHRRLVRSHRTGVLDRDLKPNAKVRDELNGIMAY SPTHTLSPEEKDLVWKFRHHLTRDKRALTKFVKSVNWQDQSESRQAVQILAKWTEVDV DDALELLGPTFDNAAVRAYAVDRLRKANDEELLLYLLQLVQALKFEQISPDSTQDATQ DSSLARFLISRATNDFMLGNYFHWYLMVECDDKSADQGVETRKLFAKVEYDFMTELVK LPGGTETRKTLLRQAELIAVLSKISMDIKASRETIPKKTERVKQFLADPKNEIITIDP PLPLPLDPSVMIVGVDPTITSVFKSSLCPIMLTFKTLTRHKYQILFKTGDDLRQDQLI IQIITLMDQLLQKENLDLKLSPYKILATGATAGAAQFVPSMTLQGIVNKYKGNTVLAY LKYNNPDDKAPLGVRKEALDIFVKSCAGYCVITYLLGVGDRHLDNLLLAPDGHFFHAD FGFILGRDPKPFAPPMKLCKEMVDGMGGSSSEQYRHFKQYCFTAYTTLRKSSNLILNL FSLMVHANIPDIKLEPDKVVLKVKERFHLEMSEEEAIRHFDALIEDSSNAIFPVVIDR LHEFVQHFRS LY89DRAFT_726623 MAPITKMSESPAPFRDNLYDASPPPSSRRNTNMTQPSMSPSPGA SVSSDKENRSSRPAVDKGKARAPMGPPGVPSMRDGNTRKRAAEQDQAGDRSRRRRTVE VDEDESELDYDPDQDREERRQLRKGLRNLTKTLNENRAEFLAPKSTGLRDTILKANEL SHQVKQTSDATIDSRLLVTAADFSLKKTVALCSGDTAQGIDLDDFLMKVKQYMRKGAP EDRAPSNTQRHRRRGQDDDDEDDGDSWNWGYFGRHACVKHISRPSVPGFLLGPLSVEK RVRKPIVRQERLKHSAIKEVRPEVLQAGDIEKNENANLTTLCTQILGQLRQVQAKAIK SVTDRQDDNMTDSQAEELMDKYGVSTDGGIALFKFVINPFSFGQTIENMFYVSFLIRD GKVGIETDNRGLPYLEIKGGRQDEAGGTRDHKPKHQAVLALDMKQWKEMIDVFEITAP MIKHREEEEPSDVSARGWFAG LY89DRAFT_743922 MENFEPNETAFPLSCHAELAGTPLGSGFDSLAGIIWSSNPAEAA KTISQIQAASSVTVTVVSTASPSPTSTLAPSSSAVNTIPSQVSTISSSYSSSSSSSST TTTTTTTNTLLQSTTSTPSTTQLITSPTKTASSSPKNTGAIIGGVIGSIALLSFIILA LLFLRKYQRDHSSPITTEDSRRSFYLSNMYKKRGMKAPTTGIFEKPGDHGAHEKEGTS LSHEGQVHEKEGSDALRWEHIHEM LY89DRAFT_769569 MLTGPWPPRKRLVAAAVAITTIVAFATVSNLQYRSSEWVVNLHL PSYKDSNATEQETVANTTLLKVLAPSYIKAILDPKNKKFDRLQCPVPTPKRYEYLQVD NRIAKTGDGKTKYFFALNLRQCIGILPRLMGSIVETVRFLGPENCALSIVEGNSDDGT FEILEALRKDMRSLGLEFHLQTSDMNPQNGDRIEALASLRNLALEPLFNNPSQYSPDS AVIFINDVAICMEDILELVHQRVFQKADMTCAMDWSDIDPAPIFYDIWVARAINGDTF WEIPPDGGWRFASNLFWNHPVSRQRYDEHKAFQVFACWNGAVVFTAKPLIQNNIRFRR SKPDECYSGEPRLFCKDLWIEGYLKIAVVPSVNLEYSDRSAKKAKMLHGYVTDTVKRE DVNDESLKIVWDDKPPEQVKCMASFEDQSWVPWNQGFESNG LY89DRAFT_692557 MDAPSQSNQMQALVGALGSVIGYLGSEVAEKVLFERLMWPQRYY NDLTFSIFLKQGFLMTMGGPLHAAALATLDVFRDSGLYKGTRRGHMLGTAFLPSTNVT NFLRTDSDSRGIAKQSRNGFWVQVLKNIKDVPVKEHVPRIDRQPTNDLMHVRTRQPVH HLRFRLVSDIEPPGEHVICISEERTTLNVVLGIFLSELSAVISAVVAGARMRDWWLVP YLCIPLILKLLSVLVNVRRSGLESYLELQRSGSLSDSVVIEVQNPNHGFAVLEGPEPV IRQFFRHYGHPKRDTCGDRVREVFSILLIYLFVLIFPVGLVANLWMSTEVQYLWLAYQ LYAILAMHIVRIFGWEGCGRTEERVAGHLRDKMEVWLYSTGGCTVGVNLRTTYVDQIK AGETMVQEIVRKHRPHDVLKSHATV LY89DRAFT_726625 MDDSDDVSGLFGIDGASVMLEEYFLADGKTGVRFGLPAVFATRD ELVGWEDPPLWTTIQPFLDSQGLELEFTIFNSSREPMAYWHCIYLLLLGKNYRDDFIE SLTEIQYHSLRMILDWIDASYASPEIVSEILAFMAVRALAFLPDDAFFEKRTNFITGR GYDESMYRLFNMEFTPRRRPPDASRHFPPQLSYVRGSAYNAAREKEILKQSEAPDYIL GPILEPTFLKINPITIHTLTKKGVPFTGSGDEERLVWEEKELEDPTVEKHYKSRVFLR SSQNPISHTLDEVIALASSLLSISRRNFEMDLIHLKRPGKSGPIVSYCPWLSVAEKTG KPRYLWDTLIDATVEYPGDVEYAAISHTWGRMALWDKALVEVPNVKWPIVQNSRFPVE RLPEILKDASKSLGVRYIWFDLVCIPQNCARGVVAPQWKDIHLEQVSIQAKIFSGAKH AAVWFSDVTGFEGVKAAVESAVITAIGLSSEGVKYLVNIDVVKRIFQEAHRRDCELAG QTTQETSRSDINKWFSSLWTLQEACLRPDMIICDKNWEYLSLNGKQPLKLDGLISLID LAPFNMLHRQANFFYGDPVENPDQELLEYGYEESKSLAQLKTTLKYSGLSQLVELTRM RILYQADQRECSRDRALAFMSAIGVTNWLEVSKSDSSIVKISDYPYTFVLELRTKLGA SFFGMDWRMEYLAYLPSGISRGPGSMLPYGYYEASAPPTLTENETRRGHSSVETWQVL QNGAVRMKEAIIISSPEIRASAEKDIMIKFPSRRAWNVLPASEIRAINRNPDHIARRY TQAVQELTGVQKQVTPDDDIPPLRRLFLEYAKQFTLKIPDGSFGLHAFLQGLEGSYYA VLLYDEKETIQTHVAVEYRQRLEGIILQRLDEVGLRRAGIFRKVGMFRTQFERVAKHE TFVTSLLDFIVV LY89DRAFT_678862 MTTNNWTALWGLCKAPAAVKEATAPNCIRISNPRVLTKSLSSPP QDIACNFLLPRYCTQIPRPTPRTMCIRSLGVILFTLRKSKEDEEELDRWPPRETVLPL KPVVREQVSVMPPSRQFVGGSGPGEGLEVEGMDKGKLGLESGHWGKST LY89DRAFT_633084 MSHSTTNQVIPRKAVPTTAYTPLNYSENVEHQRSHETSPTDIRK EHRPKGEVKEPFLQSQSHSLRDGVSPEKLVKWGIHWSTPVFILISVLAGVGFALGHHF YYASLDGTTAGSSSRQQWSTRFGTAFAFLVVAALKTTSDLSYNQYIWTLVRRKSFPLG SLDKMFSLTSNPMGFLSFHLTRHAKIGVFIALISWLTALAGVTPPGTLSVVPNLLNET RLTSLASPNWNSAAFFNNFTLVEPSFRIMAMATQVADSMAITPLTPPQPNSSFTLNFY GPSLQCSAANSTQQPAFDYYTNAIGAGANSNGQYPTLTKSTFENGNLTLNFTGEQAGP YMAVLSAFAPYEGSQGWFRGDTSNTLTYSPISSSQTDQYNNWDVDFPFNDVDFEPQYT HTQQLWLLTSTDQYVCILGNASYTAELEFTNGVQTKVAYTTNDFVPIYMNEPEGIGAT TLPADTLSYMSLFIALTSLISGNVTLGTTPPYNTLPGSTSVNMQIWDSSSRSLMTGLS ACDDFTNNFFINNPIAIGGNESTARETPVFWNSSLNDRHDLPLVGAQDLFNKPDWMCR NRSIALAVEDLAGNITMSMLSSSDLTSQNSTNVPVLYATTRNVYQYNSRNLIISYSIA IFFALISLCVGFYSVLANGVVHSTAFSAMMATTRNSELDMLSTGHSLGAVPLATEMSG VKLRFGVLVKNGVVEEDRDGARHIGFGFEEDVLQLRKGGKYF LY89DRAFT_412796 MILRWKRLAVPALAGVSVADNCTSTSSNGFIIDSQAAADALNSC STVSGNVTIQGSGLTTIALNGIQIIEGNLAASGCDDLQTITAPVLSQISNNFTLFNLP LLASLEFPLLDNVNGGIYWDTLPELTDVSFGNLTAPTYGLPGTNVDGDISIASTGLSS LAFLNFTHYSNPAKIWITRNRQLDTVNLTGLSWGSNSLAIVDNGPSAQIFLPDLRSVG AITIENAGHIDVSSLSETSGSVNISNNAVDVLSVPNLTDIGGSFIVENNGLLDDISLP LLTSVHGDLTVSNNSVLHMIGDLNQLWYCEGSIDLSGDFQTVTLPSLRNIIGGFHLNS SDPDFNCTTFDKLASETSWSSSFYSCGAYVPGSPKDLAIHYQTPNNEFKLSKPVKAII IILSVIVGLFLCALLLRLYSRKTAGRRGSLSRNRSREDGVDLDETGVTRSAEEGADAL PKYRRVGEPGEVPPVYQASEDAVEAGNQNTEMASSSASVTARRRWFFWS LY89DRAFT_603461 MHLSQSVLTVLGTATQVSEWGYNAHDGPLLWSSLNTIWGTCRTG RHQSPINLDNRVDGVVLGDTNEYHLQYASPLVDVPFYNTHHTVKLDVETNSKDSTLTF GGAIYTLESIHFHVPSEHQIRGESSSMEVHLVHKSRTPTPTLAVIGFAIEVSAGTSNA QLNTILSRARDAQPPGSNAGFSFPILYLDTIVQHFKRNLVYRYSGSLTTPPCEENVEW LFSAEPLAIDIGAFKIAKNVSGSNLRYIQSNPGVKNVLQEACSRK LY89DRAFT_769573 MLAIKSIILLFTSAVLATSIPRDAHALGYELLYSDLVALDKSVN TLTAAVNAYTNGTEEAAPILAGVSYVNATNRKGYYDAMSDRVAVQNLNDSITIADYVA DPIAVDITAGVAAIIQKKDPLVESGLPQQILDGLNLLVMDHETLSAAIAAKLDPAALV VAAVPVAEIDVAIRSAIADFEL LY89DRAFT_712637 MADINIYIVTFNCGRSYIDTEAFASQLFSNLTSSELPDLVVLSL QEIAPIPHSLIGGSFLVPYFIRFNHAVQKGARKWSDATDDLPLYDPIAARNLGMTGIM VFAKKQTWTEDIEYAGVGVGLAEMGNKGAAAIRLKYRRVSTSVELTFVAAHLAAMEWE VKRRNEDWKNIVRGLVFSSTTKRRSATSLAAENRPLLSISPRDASIYKPTSYLFVAGD LNYRTSSMSPSRSDHKETFPQPHEDDSSPRHYSSLFEHDQLTQERSANRTLHGLDEAA VTFPPTYKYTSKGPFLTPDDQLKEWNWAKHRWPSWCDRILFLDAPIWLCSTVPTDVIV HKYSVLPLLPTSDHRPVTLEVTVPFCEIPAPGEDEDADDPRIHPPFEIDIDWKSKRER ARKLELIAGFAMYFTTTLEGGGVMLAMAVGAIGAYFAFRAMLEM LY89DRAFT_412846 MTVAPVLILYCITLIVSLRRYCFHFASNVVRYRCRSTQELPTFV SPSTQVTQSRFYSPLFV LY89DRAFT_712638 MAGLENRHSATCLDDHAPTGTSNITRDSWKDNKKGIAICFLINM AMFEFGLDQGMVSGFQAMSGFLHDFGYQDPHLPGGYGISTTVQQLITSLVSAGMFVST FVSGWMSNKIGRKGGLWVAIFLMILSVTIQIAVIKPGALYAGRILLGFSNGLLLVCAQ LYVQETIPSNLRSLAYTFYQFWISFGALLGTVINNETSKRLDRSSYRIPLAVLYILPV LLAIALLFLPETPRHLAAHGKYDEATQALRFLRDAAYTDLQIREEMAEIKHAIEIDEE IINTIGYRELFRTASIKRTLTSLAWYGVYFFMLSGDTQPFRDVVILSCVGLAGVLVTP LFTGQVGKRLILMTGGLVQGLCMLGMALSYSIRGIDGVSGRVIIPMSCLYLFTASSTT SPYSWQVAGEVPAQRLRGHTLGFASSVTYLCGWSITFTIPYFINPTALNWGAQYAYIW FASNLLICVFTFFVVPETNKRTLEEIDECYIQKIPIRNFPRYECVGTMSSRLEAVQKL ESEHE LY89DRAFT_769600 MAETQLPARMKAWVLEEFNTPYQLRELPLPAVEDPNDLLIRVEA CSYCHTDAVVAAGTVTPPALPHIGCHEFAGTVVGLPPGKEKDDDCHGYRLGDRVAVSG RGYHTCGQCRECQEPSPLLPDPPGFSVFCPLSGAGLGCQQSGGFREYAIVDARQLALI PDGLTATEVAPLMCAGLTMFAAIKKCELSPGQRVGIMGCGGGLGHLGLQFASKMGLKT MGVDVAPRALQLARELETGATIIDASKETAKEVKR LY89DRAFT_790610 MSYLSILRTSLNDKLQQLETQLSASIHSSSPHLLEAYPYLAFDD ADNLPSRKVFDLMEQIHIDLKAVDSLITPTRFKLVDLGTLHYKSAALNAAVELNVAEV IEVFGGEVTLEDLAKKLDVNEHKLGIDTATGLGMECATGIPKDLSNPETKHSFADTTA PFCKVVSKNGQTFAQYMGNPENAAMVELGNEGIVGWLNKLTRAALLCDYPWAELGSAK VIDLGSGTGDCGMDLMRKFPQFSWVYQDLEPVIESLKRDFPRDLIDLVDEGRISFVVQ DYFQPNASDGNVWYMRGVLHEYNDDQVLAILNHLTTAMRRTPNSKMIINEVLNSSPII VPTSSMSAASEHIPGSQSAMASTANIMTWSTFSLFGGKERSYQEYEILLNTAGLKISR FFQFRTFTVMLECVLA LY89DRAFT_790611 MTQNSTGSNLHVVIVGAGLGGCSCAFACAIQGMRVTLFDQVAEF FPLGDSVGFSSNSSKLFKRWGLYDDLWAISSRAEDTVMRNYDGSVITVDGTLGSAEEI YGHRGLIGHRGHYHAIFIEHCKKRGVDVRMGERIDRYDANKPSIFLRSGEEIVADAVI ASDGVKSTGRTRVLGFEDKPLHSGYAVWRAYSDAKMFKDDELVSPLLEKDTTQLWIGP DLHGFVSILRDCTEINAVLTHKDEADISEGWNLPGYRKDILEALKGWDPVLVRVWERI ENIIDWKLVYRPCLDKWISDSGLIAIMGDAAHPFLPTSTQGASQAVEDGATVALSLAK AGKGKVPLALHTYFAIRYEHVKAAQQVGISQRDKWHNLHDKETMEMKDLDTSKGVLDS YHLWVHDAEKAVEDGWEEVSQKMQEQLEQCRIPDVNGGAKI LY89DRAFT_769605 MAIVTSFRSFIRNLGATLGLAIAGTIINNNLRSKLSQVADISSS NLQWLLDNPSEVLAGSVTLPGTSTSVDLKDVFVAGYKVGFRTIFLVGAGLSGLAFFFA FALLPQIELSRPDEAKLKEGGKKFDEQLRQKKGGKADTKIQLHGIT LY89DRAFT_726632 MSSRTTTARFPEYSSAAIYHTKVHNRHLTEVDLYNNYDTLEREA GVVIPDFYQLSSSPTAPLTLPWTPRPKSAVIDLRTPSEFAISHLPGAVNIPISTLTPD SPSPFTDSQLFAAQWRELEVLFGGGTLETASPLAEKFFPMERVLVVCNDGDTARVAGS ILGAKGAKTECVSGGLTAIDKWIYGDVKNRTLGELKIPDLPDEIAAVPEVNETKLPNG HGPVGEEVKTATKVDAEARVGIREIRTNRDDLVVV LY89DRAFT_662269 MAQHQCHNVFKGPDSMVQYFNPDLQPFLPLVEIPDKLNPFRSSN VRIYAKMLTALPAQNVKMLPGKQSIHVKASPSGSTALSLGMVSRVLWGNEDVCAYVTN KKHPDQLRTLRFFGLRVSLYGGLAQQEPDDANGIMCRLRNLATNDETISYPGQYDNDD ASSLESHERWTGPQIWQQLPDINIFCTTVGTGAGCITGTGKHLKSQKQAVKVVGICNV FGDPTPGPRHYPKFESCKFPWRENIDAFETVASVESYEMSMQLSREGLICGPSFGEAL VGLLRYLKKLEATGRLEELKGETSGDISCVFVCCDLPYQYMDGYFQKIQDDKFPPILN EVRSALVILVLIRTDNKDPP LY89DRAFT_790614 MAHTPETAQAATSTVFEQSWTTVDSKPTITTKSYVRTRGKNADI LISSDGIIRTQVQRHETVTGLGKVIRRHTFPFDPKYYCLTDQINRKLEGSERKDLLAC SWVQIEVEFSDINGNNVESVLKHLSKLRAHFFSETRHLKVKLGLRHLSASSISKTTGF SDIETFRKSDFLHLLEQLADRLLAFTDLEHLHVIVEVPSSISESELYVQIFVALPFYR LQCSKREIKLCTPSTIPENLSKKYFTFLTRQIKP LY89DRAFT_726634 MKGQKNVAPRQRPVSCHFCRARKLRCNRMAPCSNCISRRINCTL ESPVVHPSNTPSTPSISETSEIIERLNRLENLLATQKIDVHGQSGQPPEVEGSRPPLV HAATSPPQAQTLDVDSEVSWLESAFLDQIPSVHVSKSQVVFRTCPVGQITSAKSYIYP RELGTIGSSELSRCIWLPPVTEARVLLDKFIRVAHHLPYVTHISSLPSVLEQIYADLN QQRQIQLGQVVWLLSIVAAATHSWLESDCVYGLFTTSAGANDQASLWIKATEDVLDMA CQSPKVSIEGVQGAIILGFVAAHVDGLHRYRVLFAIAVVLARDLGLHRIDHPSNASMA NTARAEIGRRVWWYLCASEWKMAARVGGMAEGIYSCHPRQMITKKPLNINDDEVFDGM SRDERPLSQPTAMSYTMQRIRLAEISRSIVERSPLAMAHTDGLSHDAVMDIDTELQTL INDVPEFYSMSKSALMQTYRLTQSQAEKVVFQGLTTYFLLYTQRCKLHFPFFAHSFEN PAYYPSRDICIKYAHLIIQSKLWLENSDIDCATGFKFAGLLIGVFLACIVLLMDICTN PLSPQNEQQREEVYKSFQIIQEAQNESQTTAKIVGSLVHILQKYNQQPLDSTHQQPRA PAQTDMALDVSQAPGYSECGAIVPPMSSYIGVTDSLNIAEDGPPNEGGDDLSSYWNNF TQSFEQGIDVNSFDWDNIFLELDSSFI LY89DRAFT_769615 METKLDFRPAANPPWRENDSPHNDRSSQDDVEKQSIRDHASLNA ISPEHATQDPNIVDWEGPEDSENPMNWSSAKKITAITIVSTLSFLSPLGSTITAPASG EIMATFHSTNETIAVFVTSVYLLGYVFGPLVLAPLSELYGRSIVYNTCNFGFVIWTVA CALSNNLSALIVFRFLAGVAGSAPPTIGAGSVADMIPLQKRGMAMMGWIMGPVLGPSV GPLIAGYLTHAKGWRWNFWLVSILAGAVFLLSLLFLKESHAPTILARKTKRLRKETGN PNLRSALDSGKDPKELFKVSIVRPLKMLFLSPIVLLLSLYMATIYGYQYLMFTTFPRV FEGQYGFTDSSIGLVYLGIGVGFLASLVISGMASDRLVKYLTERNGGIAKPEYRLPLL FVGAVLAPIGLFMYGWTAEEKVQWIAPIIGSAMLGGAGFFIIVSRYLVDAYTIYAASA SAAAIVSRSLLGALLPLAGNIMYNALGIGWGTSLLGFIAVAFAPVPLIFWKFGERIRN SGLSQVKF LY89DRAFT_769623 MHSFYGSDAATKDLPTTEQLQQGCPSGENPNDLSVYWAPTLYYV NENNYTEILPATFKTYYENIDKAEIPFPPNFYAIAGNASAKSQADIDESITAITWWCD AGPEDRNTRPRAAFPRVTCSAHMQAILRFPDCVDLDHLTNHTYAAAHGGACPSGMKRM PSLRFSIRYDTQIGDGYCFHGDFINGWFDDAAKTMLQAKGQSFMKIDGAHGNGKQYSA CKAQDRDPNNGTSDYIESLAMMGMS LY89DRAFT_554408 TGELGVPVNTTVSLKIGPRGPVLLEDTIGRKKVLHFAKERQAER VVHAVGHGAYGTFVSNGDYSNLTSACWLQAGASSDTFARFSTVVSPAGGGDVDRDIRG FATKLYTQCGNHDLLGLHLPSFLVNDGLLFPDVVHALKQEPDSGFPSFGAAHTTAYDF FTQRTESAFQVMNVLSDLGIPRTSRHHGSAGVSTYRFINAEGATTLFKWYFIPKLGYR SNVADEMSKIAGKMPQFQRADLYNNIEAGRFPEYDLKVQLFPDNDAFMYKNYDLLDPT IVVPFEENPPITLGTMTLNRNPTNYFAETEQVAFSPANVVTGISFVPDPVISWRLLAY DETHHYRHGSENFVEMGVNSPIVPVNNNFRDGLMQMYIYEGNSASTPNGIGGVYEASG PTAYGYGNQNFNGRIGRYEFNNDPYMQAAIFFNSQDNYSQQHTVDGYRFEVGLVADQN VKINFVNKVLNSIDNCLARRVAYGLGIPLPAAQEGTANVSGVMYPSQYPLQYTTPQPV VGLSVGIVTDSAGPSTSDLAAIQQVFDGQQLLFDIIAPHQGPLTRGVSATQSYITSSS VFFDAVIIVGNNTSLPDLQAFIQEAYTHGKPIGALGGTSS LY89DRAFT_769627 MACPMSIHRLPKLEDSPIFDRTSILAISSVATLLLIAGVCCFAL LHRATSTKVRILFTWHLFGALTHLTLEVSYLYNVFFTSMSKLDATYTWGLHSTPFTPV NVSFLGNSKRLYGSFYGTSLTARFWQEYAKADTRWGGSDLTITGVEMIMVFVVAPLSL YVCHLLYKNEHTKAWFWMAVIGVGELYGGFVVLLPEWLSGSPHLDNSSFLTLWVYLVF PKGLRIFFSLWILTSAYFALTSSAQYSNQGLSSGITESKQLSTVERSGKVVQRQKSCL SQQQINFSNHLISSK LY89DRAFT_603510 LLSGYIKDAVVWETQLLAVDIGRASPYTGSPSYELDLAWDRLFK NSNLRVSTEEMSQLDRTPIPLSDNNGNLANLDHCIENLRRNIMCLPSLELLMFDWVPY KSAPQPRFSHEHTCVNWDRIDIWTQARSFNVFDNTLLVNPILGTWSSFINNLKC LY89DRAFT_790617 MSSETPAGHPAIDWSKWEPAGEGDVRSPCPMINALANHHILPHS GKKITKAMAVEALTSSLNLDSGIANVFASVAVTANPDHSAHSFDLDQVDKHGLIEHDV SLSRNDIAFGDNHSFNSELWEEVMKTYGDATETSFASVSKARYGRVAASKQAHADAKK DFQYGIKEFILSYGESALFLGILGSPKDGKIPLEYLRVLFQEERVPYKEGWRRLDKPL TQMDMNHIIFALIKENEHKAAEASDVGLGTVHAVSNAVTSILPSFCSIM LY89DRAFT_743943 MFFNYPISLTLGVAYVSAVVGAKAFIAADRAIQQLNPRAEYQGG WPLALSALNTTCPAEAPVQCNNGEVNPSCCPAGQFCVWPSGLFAFACCPTADSCLVAV TNFPRCANTSWNMFDQGGSYFCCEPGQLGVNPKTGLPDGLCEPADQPVPTSLLASIAT QIGGATATSVASNATQAGVPNQTNPSLPNTSTDSSGNVINTISHWSLGRKIGVGAAVV IAFVIFLVVASLIRRRRARLAYQYEGFNQYDEYGNLIQQTGYRPGYEPYRRTDGGTAN NVTVNVVHGDQSS LY89DRAFT_692566 MPSEHRIRGKYHILDFPLPGAWADKLLGRIVFDKANPISYTAPS NDGPNGSSIPQNIVPEIASDRVPYSTLSYLLNDFKDVEQNLKLTHFFEERAAQATSAT HIITAINAERIDMSNIPDKLEMLWANETYKKAAEKMLWQQGHTQKLGMVTGIYVSKSL TVQVEDSKDKAIGAHIKAPISEAMGDPTGTLDVSAGAQYEAGKTRTEQFDIDVPCVFA VAYTEVGLRPVQRKSEHKKSRFFRIPGSKKLKDDVEYEIYVDTTPGAKLFAGSSGSSE SDNESEAGSTTSTRVDSSKVAGTSDTANDEGSEIRSTASTNVEEPRLVENAPYVFKSP QSVFGNA LY89DRAFT_726639 MRVKSFLKSLSRGSSAVSLPAEDYHTITISEATPRASLSQDSIE IKIQVDTILPRGPCRLHDPFSREDHEECDWYLGCCLRASHYDEDRADKIFPTVKGYGG KLMSRLNWPQPGPFEHPNIRIDILQGAHRTNNSSIYHIHWEHLERVQRRYWPGLPRSN DPVQVSVRRVLDPPPVKKPRNTRQDKQINVLLVIARRLKRGTSGLEDLSPAMVYRSIM KVKKLLLKERSHYAVHLEVVRPGSFQALEDHLARKKVEHGQGFFHLIHFDLHGEVSDE GEQQQQHNAYLSFANANGKLRKVPAANIAKLLHEHEISSVVLTACESGKSSKGDDADL CRIFAERGVSHILAMSFGIHGQAVEILCDSFYRNLLVHGETFSESARKARIDLFDKPE RKGRTGHTRELEDWFIPVAYVPKEERPFVQKRSERPSFSSTSHLDVYGHPFTYTPSHE SARSNMSYNDYDVEMDVDILRIEEILMRIGNVLLRGPIVEQNAELVDRLLSSWVSTGL LGDFDRVDAGIFFSAREDHDAKAKEVLAHVHRISKKTISKKLRENYIEPQKAFEQKAL RKAAVKLTGIDKLFPKEKPTPEAASELKAAVERFERFLNILILGPKGNVQDDFKVDDY KVPFLIIIGEDSDDILTGKFVNRYPDLGLEAVFRDRPFDLFAF LY89DRAFT_678884 MPLLNTIQKKISWKYKRIEKATKGDSSVPNVRPGLIQVVEPGNG PNVDIVYVHGLSGGRNASQVQDAFSTSELSHRNPNARILSWSYDTRAYSSGYRVEDAL ESHTNCLLEELATVRSEADIGKRPIVFIAHSLGGMLVKKALLKSCEAGIEEPGMNTIS IGIKQSTLGAVLLSPTHSEHSLKPANLGIVGEKLYKLQNEEFQGLCKDVFVQIVHCDP PTPPPTTTRSPGRRPSNRFSIKRTRTSSSSETDSSSSQKSAEFPRDVLETVSSLCTTS SLENRHSAIQREDEKAQSPTSDTFRDNFNIGVVPKSTTRHSNTFVGRQEKLSELFDKI SQEEPDQRIVVLHGPQGCGKTRLALECCRRNDTSFSTIFWINASSVHSIQSSYAVFAK ALVDHYATQMGTSDSLDSVYRHLGLSDLIDDKTREDGFEQIAGTPLIDAINMWFSQVC NNKWLMVLDNIDESDVTILADFFPTPVSGHILIVTRLEGYVEKHGYQDVLLDNMTKGE GKLLLLSLLTPKLIRDSDPDEGLFLPSQPIYPVKLTLWLDDSALERVVDGCNHLPMSI SQAATFINTLSLTLPTYLHVTETSGNKWPPELWNGYNDTHVDEILTANEHVSKKQWKG LHEPTVLTYADWEHQFQDLESSNPAALDLLTLCSFYAKDDVPVSLLRQVITTYDPEEA SDRQLEHTLKDLAHRSLITRQSCTTPRKSEDDAMSWISGRDSPELLFPMMETSSAEFN LNVLHQRVNINETTNCISIHPLVHHWAQKRLPNPVAQGLMAERAFQALALAIQSSKRP PSDLIGLESFLPHLQECLEHLDMVNGDPKIDVKWSVLADVCRYQGFHQYARKFYKFSL KSVEAETERPSSQIEAARLRMKLGFVYIQQKRLDKAEKQYKKATDHIVAATRTTKAPG DCNKIANLELKISASLASLYKIQNRFEEAEERYYSILPRFEEVWGSEDIRTLLLLEQF ASCLLADGNYEEAEALYRRVLVSYVNEFGLDYPAVAKIQQKLAKALQLQGRYERAEGF LQQALNTTEKRLGNEHPETTKLLLFSAQMKCFLRKFEAAEKIYESVLALQRKVTGTSN PVLLELRVNLGMTYQTQKKYPQAEAMYQKALDDLRAQKDKNSQHEKELMLKLRALYDE SKQANSEKAKRLEDQFLEQFPEDDISQSIALQRKRQGPM LY89DRAFT_726641 MIGIVGWLFLDEVLGSSVPVLFSLLFLWVFEVQLLLQIISINRI AVVLDNRELIRRLEAVFIIWIPAHLVPPPIPLFVTINKYWDRTSKLLILLVVDASLNY FFLRTVSCTTTASPNTRH LY89DRAFT_769634 MAEKIMPHEDHDDLEKPSLDEHVEHTGEALWTDPRITTFTVEEQ KKIVWRIDIRLVLTLGFLYMISLMDRTNLGAANIAGMATELKMNDKNNAYTVVSVVFF LPYAFFQPVATVVIREIGPRRFLAAIVLFWGATMIGFGFVKNWQTMAGLRVILGALEA GFYPGCIYLLSTWYPRYQLQKRNAVFYLIGSMASAFAGILAYGLMQLNGRAGLTGWRW IFIIEGVLTCVLGIFSYIMIVDFPELSPTSWNFLNEKEADFVVARIEHDRADIQVEPF HLGTYLRNGLDWKIWGFSMLYVLTTTNSYAIAYFLPIILRDGMGFDIAKAQCLVAPPY VAAAIVMFVQAYYGDKWHLRGPIIVFNAAMGILGLGLLGYTHNQALRYFGVFLATTAC NANCPALVTYQANNVRGQWKRALTSATLIGGGAIGGIIGTTVFRAKDAPGYKPGILTC LIANALIIVITAALTLKFWRANNRVRAGGKMIEGQAGFLYTY LY89DRAFT_603489 MAFLLYLALLCAQYASTWALPHVTTAQVNLGYQIHQGWLNTTGN FYNFSNIPYANPPVGNLRFSPPTVPNVPAKPPVDDGSRFALCPQGIPLWTPIVTDWLT NGIGVINQSAGYTIPYVTTLPTPLPGTSEDCLLLDILVPKTIFDNRGTGSGAPVLLWI HGGGYTLGWKTQYGSGAGLVAASQAHGKTGAIYIAINYRLGLFGFLSGPTFSSEGGTA NNGLLDQRMALEWVQKNIHLFGGDPNRVTVMGESAGGGSTIHQVTAYGGLKGPSPFSQ AIIQSGAFLPVPGTVRPERIYQKFLTRGNLTNLTEARAAPTEQLQLANAILVGEAPFG DFTFNPVVDGSFAPDLPGKLLLSGGYDHNLTLLVGHNDDEGLEFTSPFLPPGNETAFT ENVILVSFPDAAATNATSYILDVLYPPIFDGSHGYTDEISRADYIVSEALFACNAEYL SRAFNTSAYAYDFSVPPALHGQDVPYTFYEGPATAVINDTLALIMQDYFTNFVIRGDP NGEGLVEFPSFGEGGRMLLDFFTDAVGLVADFEDNERCTWWQKALYY LY89DRAFT_790625 MEPVMDATCHLLQIHDRRCRHHTHTDVRLRPTTATERKVKSNLI ECETADKMDFDLESFMSEVSQVLRHSSPRPTAGKRPSDDRSTTDDGESQDMDSSNESD ENPIYSAAKRRRLDFTRRDSFQTDPSVSSDDDDDVASFQANDVSNDEMPSYFHPSLDM TTALVSAEPRLPPNLHSVGPEVPADGTIDAMLMYKERLDLVPNLYTYTVKSCLLPIRR VQTALVTLYFHHIHPMFPVVDEYHITELHRKYRGKEELMDPCDFTIYHAIMVAGFAHL SEAQARYWSQPAIDPVILTQISLILSLWSPGWIGPQNNSYWLDQAFKHASAGKLWEPQ PSTRHCRRRLLWWCCLIRDRVLALGMRRPHRLHRAPLDDEVISQSDFGLEAKYPSYTD RKSKSVAVLAFIWFCKLSKIMEAIAVAQRRNKFSRDWDGESAAITAIELDEVNKLDVG LKCWLEEFEDAVAEATREDVDQIVWVPISTLRIMVHSLRAGLYQPYLHLPISHPSLSG PGIDPLQRMKDGARQVAMSVSDVMQNKKSDAIPTWLVAWVTLPVAVYHVNNRIRTNTP PDQILMPFLAQLVRRSSGAQMLLMTVRTATRDQIEKIARAEYDSEREGEAGARAGGVG VGVGGAGGGGGGGGGGGSGGGSGGGYKGFQFVHSRFSEVKVTRSTEARLLACVTRAVD EALEANGSLGVGEEG LY89DRAFT_678889 MAVPVALVLGALFGIALADFPDCVNGPLANNTVCDTKADPYTRA TVVNNIGNNSPGVPRLGLPAYEWWSEALHGVASSPGVDFAAAGEDYSCATSFPQPILM GAAFDDQLIYDVATVVSTEARAFNNGNRAGLNYWTPNINPYKDPRWGRGQETPGEDPF HLSNYVNMLITGLQGGLDAKPYKKIVATCKHYAGYDLEDWHGNERYGFDAIISSQDLR EYYLPPFQTCARDSNVQSVMCSYNAVNGVPTCADNYLLQTILREHWNWSENSQWITSD CDAVQNVYNPHNYTSTPQQAAADALIAGTDLDCGTFYPEYLGSAYTEVSATSIHQPFN HTANLTLAMSRPLKAAEEGIVLLKNDGTLPLSSDIKSIAMIGPWATATTQMQGSYYGV APYLHSPVYAALNAGYSVSYVKGADINSTNTTGFEAALDAAKDADAVIYVGGIDNTTE GEANDRDVISWPATQLDLINQLANLSKPLVVVQMGTMVDSASLVANAGVSSLVWGGYP GHDGGVAIMNILTGKTAPAGRLPVTQYPADYVDQVPMTNMSLRPYNSDNYNLNNPGRI YKWLTTTPTFPFGHGLHYTNFTISLSPPTVATYNITSLLLNASTPYSDLTPFLTLPIT VSNTGSTSSCYVALAFLSGSFGPAPYPTKSLVAYTRVHDIAAKSSENGTLELKLGSLA RTDESGDLVL LY89DRAFT_413077 MSAHHVGNEVSDSTNSSSLRKEGLRACGTCVKAKVKCVPNAERG RCQRCHRLDKPCHPSTSSSRKRPQSAQVAQLEKKLDSLVNLLTTPKDNVVPVFSSSDF PMVGQQRHDTSQDTDEELALGPGQSSQPVFQACASNTSHPSDDWDSEIDPFDFGLERQ ECAFLLLEFRTQMSPQFPFVVISPDATSESLLREKPMLWKAVMTAASYHKPIRQEAMG WKLMEDFSTRLLMKAEKSLDLLQALLVHLAWYHYHSAANPQATNLLFLAKSLLINLGY HRSRTSRDRPKLNLNLDGSEGLSIDEPNDKTSTLSRSLEEWRALAGCFFLSAMTTSSC RRMDPLLYTPHLEAVCKTLTEMCEYESDRMIMPLISIQNVVLKMSSSLQELDVSKSLS AIPIRMYMTALQNELNTIKSTMPTSQVGSATLLSCFQVAEISLYEVGLYRAFWQESDK DHRLKTLFACLIAIRTYFDTHFSPGVRVPASFPYFMWIHNGYALLMGAKLCFSKAGGW DLSYARSVVDYNTVVNHITQKLEAILRLRTPHGKSEIFTRYIKQLQCKQMRRLVSSTA SFEQQRPANISPAPLNDTPAAGYSLENHFASDIAQSFHDPMFMDDNFWQGLLDEDNDW MMLG LY89DRAFT_413246 MLAAKSVLLCLPFAAAVEFAQLILDCVTVDQNIQTLTYAVGNWS ESQGILGAIPILQDEQTLDQSINTATSDCLQSPQLTSDQTANMAALIENYIIPDTATL LAAMEAKKQDFIDVGVLPTVQNSINSLRTDQASCSSALLAITPAANQQQGINDANTID GYFAEAVAYFSS LY89DRAFT_790630 MFNLSSLVQKAQSLIDPTQALNISASDRNPAKSTLFRHQFRLPD SQTPLQEITAELTISPPIAYSPNATQGEKDRDRDRGYHYAGKLHLSESYLCFSTQPTS FLQTASTSTSSGFTGQTHGAGPGGNGFTLPLCAIRRVERLHSQSYQFALAITTWNGIS NTSTNETSKTPAYQQKITIQLAGSRQACERFCDGLKKGLKSGVGEVGKLKRVVAECYS EYLLQGDGKKTGEPPDAGLGMLFRYPGDARKLRDRSKMRLWGEYLRENGRNVTLIRQP TFHKLIRVGLPNRLRGEIWEQTSGSLFLRLEHPTLFAETLAKYEGRDSLAIDEIEKDL NRSLPEYPGFQSEEGIGRLRRVLTAYSWTNEEVGYCQAMNIVVAALLIYMSESQAFFL LSTLCDRLLPGYYSTTMYGTLLDQRVFESLVEKTMPILWDHLVKSDVQLSVVSLPWFL SLYINSMPLVFAFRVLDVFFLEGPKVLFQVGLAILRINGEELLDAADDGAFISVLKGY FSKLDESAHPTSTNQKLRAVTRFQELMVVAFKEFSGITQSSISEQRMKHKDAVLNSIE SFAKRTSIRNLGPDSKRLSTDDLGALYDRFYGILYERQMRSQMIQEETDRRLKASKAR AAEVVNGLAETQSVEKGRVGLGPSPTLMDYDGFREFLAGIARWAITDSPSPPEKDYPS ERDKHSYFGNSVRRREVSMSPWGAGNPEPADHEFMQRLFRRWDVDMQNALTLQNVVTG IAHIKGKGDIMGSITYFFELYDDDGDGKVDREGILRISEALLFLSRRGLEGSLTPSSS MTGLSEFEAGNGAQIDGPQGTTNERFLSSVSAFIRRCFEYADPDHPQNQETPGESTTN GTADNNAFGIGEDSDEEEDLLDLGPDATPKRGKKLTGLSSNPPASGKPDNDNQRSVSK AQAEAANAALDPSKPLHITLPTFRMVVLADELLEQFFESSFPASFRISDKLTPPGPAS SSSSLTTFSNIGFNRASNAASQTAAIVGSSAAGVVPPGKGLRGVLDNIVTDGMRVAAE VRRRMDEAQKELEKNAVHRPEDDEDEEEGYDGPRTSGTYGGDAERRSVRSEDRDLLEG VDAEAMSIRGQEGVTEVKQNALSPTSPADPGRARSISTATQKVVEFEQ LY89DRAFT_692573 MASKEFGAKTTAVETYEANGESYHKGSDGLEASGEGSGSIQMTG DGQVNGDVNPEVLEHAIEVLETKNKKWYAYLTTRDFWFVLALGQVLALCITATNTFST LLVNKGTSIPAFQTLFNYIVLTAIYTTYTIYRYGIKKYLKLLLVDGWKYIILSFMDVE GNYFTVLAYRYTNLLSAQLLNFWSIICVVIVSFTLLHVRYKWAKIAGILICCGGMGIL LASDHITGVNGGSPPTMLKGDLFGLAGATFYGLSNVFEEWFVSKRPAWEVLGMLGCFG VIINGITAAIFDRASFQSATWDGQVGGYIVGYTLALTIFYSLAPIILRMASAAFFDIS LLTGNFWGVIIGIHVFGYSIYYLYPIAFVLIIVGLVAYFLAGSMLGDSKKPWLGQNQE GGHAGYGTAKLKAINEARREGMVGDHQA LY89DRAFT_692574 MNPTRSIVAGVRLVGGRRVSSKPFIRTLSTSAKPATLPASQQDA VRSFHGQVPRRSGAAEASNPAMAFPCLDALESKSATLEARSLSSGPEPSYTSGVTLKY HYKEPLLLDWGGVLPEFDIAYETWGELNADKSNVILLHTGLSASSHAHSTESNPKPGW WEKFIGPGGCLDTDKYHVICTNVIGGCYGSTGPSSIDPADGQRYATRFPILTMEDMVR AQFRLLDGLGINKLYASVGASMGGMQSLAAGVLFPERVGRIASTSGCARSHPYSIAMR HTQRQVLMMDPKWNRGFYYDSIPPHAGMKLAREIATVTYRSGPEWEQRFGRRRADPSK QPALCPDFLIETYLDHAGEKFCLEYDANSLLYVSKAMDLFDLGAKAQAASSARRAAHV QRLEAGQDGDREAAMCSLTLPDSPYEEQPESNAPSDLNAPVELSSGPPQDLVLGLEVL RDHPALIMGVESDILFPAWQQREIAETLRKAGNKSVTHVELGEDLSLFGHDSFLLDLE HIGGNLKQFLG LY89DRAFT_603447 MNQPAHFDPFLADVFEVKNDLCKGLKLPMELIDSIVDFAEYWPH TTTVRRGEKIVTTGRGHEEDFVLRSYPLGYLPTKSDETDCSMLDKTSFPTIDPLPWST TKPVAEGSANEEVKGKWLEESHMKSDHPCRKIVFTIKSHDQGWGGDRQHRGTYQGSYT WFDVGKEELIAFKEGQEPSSLPSKPSHKIDLSSDDSASDAQAITSVFHTISPRTESNQ TSSDDTNIQYHFHHEFIPNQDCLQKNLTATKNVQTHQVVWSCDDDTDPDSVFGQALED RGRGRATATGEFVRNMKIGDVVTVWAKARFAEWANTIEEVKIDVYWAV LY89DRAFT_678896 MPTTTKPSNDIPIKSIEFDPPPPSLLTTAIQTIIFIFKHYKTGI LLLNLFGAWAIYLASTSALDVSFFPCDPCATPSISTYLPDAIAQIAHARCAGARRYEA ERRRKNEAWDRMLDLSYEVESCGSLHHAPSEQRRKGGFNITHLTTLYTTTQTSLDLLD LTETTELLPLNLGILLETTIPSYLTSLLTLRKEVLCALGSDLILTLKELQIHHSLTSS NSSSAMYKYALTTTRAFRSQFEETTSRTSTSLAKMISKLAEGETILSELETLLTLISD EISASQRRSSSVGEKVAQWYLGDSGSLSEWQKLYLRWEKAELVEAVFEVMHELKFARE AFEDFRVREGKGKEGGCGEVVDYEGWVGEVRWLIWAVREQENEIIDVMAGFEGGERRK VVKSARWPEG LY89DRAFT_769671 MSSSTSNLYGSINSSRLQNHPKTRLVKKYVFKAAKFIFYIIKLI TKFIVLTIQKLFNLSLYPMLSVIVTIFALTIYSFFLDQVVERLSGWVVGLTFGGLQIV ALPKTTILETPTHWYVIPLPEVASLVTPSATLSMITTIVVEVLSETYALTTEDYTYPA LAFSQGTSQMLPEVFESLTILASVEPIQIAHSEAISTSEIQLPSTDSPEAQKFSTPSR ISIAFLEPEHSPEPQLHTTSSPLPISPTQEEITALLELPTTIAVSMDTLSEDTESYTP SISTRKEEHTSSSSWQSGILIATRVETLHESLFTFATISSRSLVESETQTPQPTNLNH QEL LY89DRAFT_603472 MALSYAPGRHIDHTKSEAELAINIRKATSIEETAPKRKHVRSCI VYTWDHRSSGAFWAGMKVQPILADEVQTFKALITVHKVLQEGHPSTLREAMANRNWID SLNRGMAGEGLRGYGPLIKEYVNFLLAKLSFHAQHPDFNGTFEYEEYISLKGINDPNE GYETISDLMALQDRIEQFQKLIFSHFRSGGNNECRISALVPLVQESYGIYKFITSMLR AMHTTTGDDEALEPLRGRYDAQHYRLVKFYYECSNLRYLTSLITVPKLPQEPPNLLSE DDAAPRLPERPRQEIERQITPPPAPKPEEPDDIGEFWKNEQARQNREYEEQQRVLEER QAQQLYAQQQAALQAQRDFEEQQRQLAEQQRRDQEALLAQQFQQQTQGRMAQLEQENF DARAQYARDQLMLQQYDQKIKALEGELAQLQNSYGQQIGSKDDQIRALQEQVNTWRTK YEALAKLYSQLRHEHLDLLQKFKSVQLKAASAQEAIDKREKLEREIKTKNLELADMIR ERDRALHEKDRLTGGNREEVEKLKRELRMALDRADNLERSKGNELSSMLSKYNREMAD LEEALRKKSRALEDVHAKYSEGGSDAERLLREKEEELEVYKQSLDDTLIRLNELELSK GATDQALDGEIDAMLLANIGKINDIIDSVLQSGVQRVDDALYELDSSMQAGNQNATPS YVLSQIEKASSSAMEFATSFNNFIADGPNSSHAEIIRTINVFASSVADVLSNTKGLTR LATDDKKADQLVNGARQSALSTVKFFRGLQSFRLDGMDPMQKTDVVINSNNEVLMNLQ KLSKLADSFAPNSGKLSANKGDLGDLVDSELNKAADAINAAAERLAKLKSKPKDGYST YELRIHDSILDAAMAVTNAIARLIKAATVTQQEIVQAGKGTSSKSNFYKKNNRWTEGL ISAAKAVASSTNTLIETADGVLSGRNSPEQLIVASNDVAASTAQLVAASRVKAGFMSK SQESLEQASKAVGAACRGLVRQVQSMIKDRDSEEDKVDYAKLGAHEFKVREMEQQVEI LQLENNLAAARQRLGEMRKVSYQEE LY89DRAFT_413387 MIDWSQSLYLCVYLWHLDSHAICVTWTLLAVCLRKSNHSVYVSI CGDWTLLAVCLPRSKQASERTPVSTSFPTPCTCHDASRTQDAGCPMPCFHSIHSIPLC PYAAANACSRSPGSNTQETILKIMRKLNSRSYINNL LY89DRAFT_703031 MGLNHLLARRKSLSSLRGKQSEAGSVTPSSTTPSDQKPREAKSA PYTRPSYATVLATKGSFMDKSDLGITDASKSLCRNLLEKKQTVPQDSLFRDDVFEKAC RKIQDRNEARVVQDITRLIVPSAETLATYGATHLDPLIESVNEGWNSAEPFYGPRPQL DYSLEKLQPFVGEVPDTFTSHFMATWQMYFPFLTCEVKCGAAALDVADRQNAHSMTLA VRGVVELFRMVKREKELHLQILAFSISHDHGTVRIYGHYPVVDGNKTTFYRHPIRKFD FTELDGKEKWTAYKFTKNVYDIWMPTHLKRICSVIDALPDFEVSQQSESGESGLSQGL ESHHLSNDAASLLEEADSQSGDVTPGTSMSQRIEGGAFKQPRKRPLNDISE LY89DRAFT_743963 MRPISTTLVNSAYRAFRIAPTAPLETSTIFPPEPAIEEEHIPDY NPHHFYPANPPSSTPLQSVARDPAQSRGSSSYRGAREEQQQEGEQEKGEGNSWDEDEG PQQEMNAVAPVVAAERAGGSPRPANKVMPSLPKLADRTYDPANSNDDTDDSLPSIEEL LDPLLRKKIAEQDARALETGR LY89DRAFT_743964 MTLEQDGGGEVEENVSDLERDMQLAFEEQEELLGTSPSSSHRLP FESHPPIDQDCDRGWLEELRASSRLCSQEKEKEGPREQEQEEMAADEIRHKVPEQELE EADDSDDSGKREQRGEKRQHQDREINSGIHHSESSDYGHDTNNNDDEDDEEDENPRPT TRRRLSPAPPDMPPTPPLEQSSPKPHSLAQAHSATPSSTTQFEIGNAQSQTNLAHPPT SINNDHHFTPPSSHSPSDTQKSASAAEYQEWPFQGFLKRVTIGNQITSNLKFSLSHVP EHLSLSLHSEVLSTSSRELSVEAAVSRQAVTSRKPGKEPTKNQESLLAKMVHDDKTWA EIGQHFPGHTLQSLKENFFTKQGGKPRRRGRKAGVKVVRV LY89DRAFT_703032 MGSHPSDSIAYVRRTMHRHADVVVVGAGVFGTAMAITLARQSRS VFLLERSLKEPDRIVGELLQPGGVRALEKLGLQQCLDEIDAIPVKGYEVLYHSRSVSI PYPTDHSADPGRASKRLRAAAAAEPNITIVETEVDGIIKAEQSSQVLGVKSVTNSARD YFFASLTIVADGYKSKFRAESASRKPVSKSKFWALELRGVTLPSPCFGHTRALINVPD GLPSAKPSVGGVSHYIRQDLMLYLPAEIQLSFSKSLDNQRLRFGDAMNMRHPLTGGGM TIALNDVVLLQDLLHPSKVLDLEATSLQKKNLTSVINILAQALYALFSAEDRYMEALR KGCFEYFNRGGACIDGPARLLAGIVPKPIILIYHFFSVAVFSIWVFLSSQPGWRLPLT LLECGIILFKACQVLFPCIAAELLT LY89DRAFT_603460 MPFKLITLASCGFTRRQAVASQSCATPKSLPLHVPVDEETIPGY DPKNFYHPNPGDILDHGYKLKAKIGWGTSSTVWLAQDICGRLNRYVAIKINDCRYASK EAARHELDISNHIAGASPHHRGRSIIRTVVNSFEVVSPNGSHLCLVFEPMREPLWLFR RRFGADKVTHPFLPVFKAYLRILLEGLDYLHSECHIIHTDLKLDNILVTFEDQSVIES FVQGQVENPMARKLIDGWAVYLCHNDFGDFDGKPALKKMSPKITDFGLAERGDQPGPR ISPIQPDHCHAPEVLLGTGWSYSADIWNFGIMVWDLLAGRELFQDRQDRQNHSYSAQH HLAEMIALLGPVPKSLVERERNMRHWSWSPEARNYEGKLCNNAGDFFGGPFFSDDGEF VRNDLIPFERNLSDAVPECVTDDDKILFLNFMRKMLCWLPEDRATAKELKEDPWLDFA KKQ LY89DRAFT_790640 MRSFTSGRAVRKALKWVKRTTQDVQWVERQVESSVDHVPALAKA AVKARVEGDPHETPKKDGSVDPWHASGIIETASGTRLTSYHAYPSGLVVFSKKKYGQV QLPDDGGESSSSSAAQGATTTDLTWRTNEQTGYAEWWDSAKWVQGDWSDEQQKWYAYY NGQWCYW LY89DRAFT_743968 MATVAFKSYTYVPTAPRFKSAISRRKPASKPISIFDKLQRMKPS SAGLSTPPSATAADDCGNGGLGIRDEYELEVLGSTLDIDPVDKRKRQQEELEQKKDEA QSEDNGLQRDVNDLAAVVTTERVDDTHSSDKGGSPRLAKRQKLLPSYDPLPKLSHNKA KGRNDGNSDDELNNNKTSLEENSKELRSMKRKQLFSLHDSPMQKKPPSTLSQASHPL LY89DRAFT_678904 MTYSTYAFYLGRLGKDTGLEDKLISYCFRRGTANAVNGVALEAD APLESDISDDGLTRAFTHMSIRCNPGAPKEVPREVMDPLLAADPEIVNTRKPNSSSPR SRASSQKLVALMSTKPQGISKSRREETTRTKRSKKQLGS LY89DRAFT_790642 MTFELEEAGTPDLEELGVVLGKAYINDPILSQLMPGVDQAIQDN FWTAWLRGDHKKPGEKLFKIVDTDTGKIVAFEKVRYPAKPAENGEEEHEAPPEGTNVE LLMHWFEQMDLYQDKHMDFEKDCCKDSRHHSRPDLCRGRLGRRLGRRLGDP LY89DRAFT_692583 MSDTNKNFLLSEVFNVKGKVALITGGGSGIGLMATQALAVNGAK VYIVGRTEEKLETVVKTHGQNIEGEIIPITADITSKSEIEKLVKEIESREKCLCILIN NAGIAGNTQQTEAKTAEEMKKNLFDAEGSTFDDWCSTYRTNVPQLFFMTMAFLPLLQK ASDHQHGYSGTVINISSISGIVQSSQHHFGYNASKAAAIHLTKMLASEVAENGLKIRI NSIAPGVFPSEMTAGGESGSDQKSHIEKDKYAKVPARRPGKDQDMAAAVLFCAANQYL NGQTVAVDGGYILHAGA LY89DRAFT_712655 MAFPKEDACMPIAVIGMGCRFPGDATSPERLWDMLANGRSAWSQ FPKDRINIDGFYHPSTERQGSICFRGGHFLKDDIAAFDAPFFSTTSHEAAAMDPQQRI LLEVSYEAFENAGIPIDTLLGTSTAVYCGSFVKDYEQICLRDPDDSPQYAATGNGIAI MSNRISWFYDLRGPSMTLDTGCSASLVGVHLACQSLRTGESSLALAMGAGLVLTPATM LPMTALNFLSPDGKCFAFDERANGYGRGEGIGCVVLKPLHAALRDNDTIRAVIRGSGV NQDGKTPGITMPSKEAQALNIRAVYKASGLDYNSTAYFEAHGTGTQAGDPTELGAISE TFTGSRDPIDPIYVGSVKTNIGHLEGCAGIAGLIKSVLMLEKGMIPSNLYFEKVNPRI DLNAWRIKIPKELTAWPREGLRRISLNCFGFGGTNAHIILDDSLNYFSDRGIEGNHCS VDLSPLPSSSTSTSDSGVFLSPPFLGSSLVDYISSSPSPNLYVISSHEESGIGRLSTA YSDYFGRKDWTKLSEQEILQLGDDVTYTLSRRRTHFAWKAFVVTSTPEKLSTVLLNNL SKPCRSSRPPTIAFVFSGQGAQWFAMGRELLQYRNFRSTLYEADLFLRSIGCEWSLMI EFTKDESTSMINLPNISQPLCTALQIALVDLLQDWGVLPHAVVGHSSGEIAAAYAVGA ISREDALQLAFHRGLLTSSIKVLAPTLRGRMLAVALSQEAAEVLVNRLTKGLAIVACI NGPENVTISGDEDAILELEELLYQDGIFARMLKVENAYHSHHMEIIESNYLDAIKDIQ ILETDSDIQMFSSVTGTCIQRSDLGPAYWARNLVSPVVFSAAVNALLQTKTRKANVLL EIGPHSVLQGPLKQILDARRKPKSRPAYFSTLYRGKDATVTSLEAMGHLWSLGTRINF EIINNSTRTTKNRVLSDLPSYPWNHTQTYWHESHMGTAHRFRKWARQDLIGAPSTDSV SLEPRWRGFLRLSENPWLQDHQVQNTIIYPAAGMLSMVLEAARQIQDIDRRAYEYELT NVHIEKAMVIPDSSHGLETALNLKKNEDVILQGKLQMSRFEFTIYSKLLDIDWIRNAY GSLTIHHVPIEDEIVDADDKVAKFTAARSYCTESYSPRQLYEAFENIGLKYGPCFQNI VSMSQKNNVSCTTVQIPDTAKLMPAHYEYPHLIHPATLDAMFQTVFVAGSEPMIPSFL KRMVISAEFPSGAGCKLQGFSEAKRYAIRDATGCITMFGPSSEKPVLVVDDLHFTALS SARNDFTEVGFIPNNHNLCAELVWEEDHDASKHLSMDALKGTEIILVLPDDPSSELIS LHSKLTSAVYSIGAQCRNTSLLKSHEQCVGKLCIALLGIEDCLLHNWTSDEFEGFRSL MNRTKGCLWVTRGGQVDCSNPMSSPISALFRTLRAEDPRKLLYTIDLDPTLDLTSDEP PITIMKVFQKSFDFTAPCEETEHAERDGKLLVPRIVLQTQLCSRIERGDAKRAPTKLT FLQKDRPLQLEMATPSGLDSFYFNDDGDTALDLHAKEIEIKVEAVGINQHDVETAMGQ TSSSTIGSDAAGVVKSVGSAVVNLKPGDRVVTVAQGAFRTFVRSQEALVQLVPDGMIF EVAASYPNDLMTAYYSTMTVGRLQQGESVLIHGGASGLGQAAIQLSKHVDAEIYVTIY SADERKTLIKLHGISDSHILDYVGFGKELRRLTSGRGVDLILTALGKQHFAQSWRLVS EFGRFVQIGDSTYGDAVMPKNIATHRNVSYSTVNLSHIIQQNQPLLCRIFDSCWKLIS MEAIQRSDPLIVYPLSELSTAFKSALDQTHGKTVIGFGDTDLVPIIPHDSHQLQLNSG ASYVLVGGLGGLGRSLASLLIRSGARYLVFLSRSGAVSDQQVTFLEDLQSNGIQTRVY TCDVCDRIQLSAVLQRCQEEMPPIKGVIQGAAVIKDAIFDNMTYEDWIAATRPKIQGS WNLHELMPRDLDFFIFLSSSAGIIGARGQSNYNAGNGFQDALAQHRRTNGLAAVSLDL GPILGAGMVAEDEATLDMLRASGFISIREKDFHIIVSAAMAGYTEDGHTLPAQIILGT GTGGLIKQNRVHDPYWLREARFSILREVDIVPTSQDPESISMQELLSIANSLSEASEI ILEGLVILLSRSMNMLAADLDTNKPANAYGVDSLVAVGTRNWIFQETGVDVSIFEILS EVSIADMAVEIAKKCRFCSGEVGAEEDEVDED LY89DRAFT_769725 MSEKSLNRARWVSSVAATCISLACGTNYVYSAWGPQFGARLKLS STEQNLIGAFGNLGMYAMGIPVGMFVDTKGPRPAVIFGAIMLAAGYFPLHQAYDAGSG SVPLLCIYSLFTGFGGCAAFNAAMKTSALNWPHHRGTATGFNLAAFGLSAFFFSTLAQ YALPGNTGDFLMLLSVGTSGIAFIGFFFLRVYPHPHYSAVSTNSGRRLSDSQRLHRTR SAEEGKHKADQTVLEPGEDAPESLLVVSDETSSLLSTTTSSSPGDAPEEPIVKDHAHR VDIRGFKMLVQVEFWLQWAIMGLLTGVGLMTINNIGNDAAALWLHYDDSVSNDFIAKS QAMHVSILSLGSFTGRLLSGKYTCSSQLSGIGSDFLVKVLHASRYWCLSIASLIFLLS QFFALSIENPNYLAFVSSSTGLAYGFLFGCFPSIVAEAFGVHGFSTNWGFMTLSPVVS GNVFNVFYGTVFDAHSIVKPDGSRECTEGLACYKAAYYITITSCLIGLAVSLWTMWYV TKKRKEEDRQREFEEREA LY89DRAFT_633144 MGALLSLPLLAVPSMGTVFGFMASCCGAATCSAVCSACGKCGNS VATRIAYALILLVNSILSWIMLTPWAINKLQHLTLDYMTISCPDGPCYGWVAVHRINF ALGVFHIILGFILLGVNSSKNPRAAIQNGFWGPKIIAWLALIVVSFLIPESFFFVWGN YISFFAATLFLLLGLILLVDLAHSWAEYCLDQIEASDSRAWRGVLIGSTLGMFAASLA MTIVMYVFFAKGGCTMNQTAITLNLIFLLIVSGISVHPYVQEGNPKAGLAQSAMVAIY CTYLTMSAVSMEPDDKNCNPLIRAQGTRTTSIVIGAIVTMLTVAYTTTRAATQGVALG GSGKSVRLPNEDEHDLVTQQPDSRREMRAAALRQAVEEGSLPADALDDDDDDSDSGNT AKDDERNSTQYSYALFHVIFFLATAWVATLLTMNFKDDSTKDVEDFAPVGRTYWASWV KIVSAWVCYGIYTWTLVAPIVLSDREFA LY89DRAFT_692587 MTTEAKILGTRLQGKVAIVTGGGSGFGEAISKRFAEEGCKVIVA DMDSVGGERVASATHPHSMHFIKTNVANEADWEQLMENTLAKFGRVDILVNNAGTSYK NKPTAEVTEDEFDKVFAVNVKSIFHSARHFIPNLINQGDGGSIINIASIGATRPRPGL VWYNASKAAVANATKGLAAEYGEKQIRVVGIGEAAFSTSFPETLSYPFPETTKMKSAN APSQLPRTPSAPSSAPQASSKPSSASPQQKKTSRNSCSMSR LY89DRAFT_413767 MRRRSSGSAAEEEGEDSPSNVEAFEGVRGSTTTDLSDLQTPART SDTQHSNTPRELDRRKSTDKRPSTARSRRPSNTNWKTSEPRNGTVEKNRPAETPVIIV PSKEAIAADKMADSRSNARRPRLRSPWSCSPLTLATTALALLSLASIIHSFVSRQLDT KGCRMSYMRPSFAKLSDFDTEHTRFASKYSVYLYREGMVDEDTKVKGVPVLFIPGNAG SYKQVRPIAAEAATYFHDVLRHDPAAISAGTRNLDFFTVDFNEDITAFHGQTLLDQAE YLNEAIAYILSLYHDPRRSERDPDLPDPTSVIILGHSMGGIVARTMLVMPNYQSNSIN TIITMSAPHARPPVSFDAEIVRTYKQINDYWRQAYSQKWANNNPLWHVTLISIAGGGL DTVVPSDYASLESLVPDTHGFTVFTSSVPNVWTGMDHQAILWCDQFRKVVVRSLYDVI DVNRPAQTKPRADRMRIFKKWYLTGMESVAEKTLSQKEPTTLLTLEDNSNSIIAQGER LTLRKFGQNRKPRAHLLPIPPQGTPGGKRFTLLTDQKLDKPGDSGKLEVLFCSVFPLH PGQSATLFSMNMDLSGDSSGSTRLACKNAASDVIVLPASTRTTKKPFWLDEEEEVQPF SYLQYDLEDILDHQFVAIVDKVVDPTPGWVIAEFSDNVQSHRIRSLGLRRLLAFGLKI KLPPNRPMVAEVKIPALHSSLLSYKLEMGNQICGEEAEMFTPLLRQYISEPYESKYFV NVKQADINLHGVSPYMPPALKYKSAQEGMSLQFWTDPTCNSSIKISLKVDVPGSLGKL YMRYRTVFAAFPLLVVALVLRKQFRVYDETGIFMAFTESLDLCLRQSLPLVLLALTCL SVSLAQTSSLPPKAAQAGFWGWRGNATESQVNFTKNDLLVGAQDPFFWFMVPLIGLVC VGACVMLNYAALAVTHVFSIVYGWLSVKPAWLRNEDKRRALSPAFAPSTPRRRFLTTG ILLFLVSTVIPYQFAYLVACLVQIATCTRALRFAREARSNTNYNFYNYVHSILILMLW ILPINLPILVVWVHNLAVHWLTPFSSHHNVLSIMPFILLVETLTSGKMVPRVSSRLRH LTSVFFFGIAIYAAVYGVTYAYMLHYLVNFVAAWLVAIHSSTSSWSLSGLSSLFEQEV NENTRKRGKTP LY89DRAFT_678911 MATIKAIEGGTVHQIQSGQVIVDLCSVVKELVENSLDAGATSVD VRFKNQGLDAIEVQDNGDGISPHNYETLALKHHTSKLSTYADLTTLQTFGFRGEALSS LCALSKFSVVTCLAADAPKGTRLDFATSGKLTDTSVVAAQKGTTVSVENLFNNLPVRR RELERNIKREWGKVVGVLGQYACIQTGIKFSVSQQAGKGKKTTLFSTKGNSSTRENIV NVFGAKTLTALIGLDLNLELEATSAPSQRWSTQEDGGKKDIRIVGHISRPASSERQTP DRQMFFVNARPCGLPQVAKAFNEVYKSYSGWGSTQSPFIFANIELDTHLYDVNVSPDK RTILLHDQNRMLETLKEELTALFESQDYSVPISQLAAQKQPSYKQLTITRENSAVSRT SQTPARTPARIESESAEVSESEDGSRSGRREQSTDEEGSGKKFNPQFRRGAVSRETMV KNAESLNLISKWTGRKSESRSEQSVEAEKPAQKQGAAVSGGLSDEKRKLVEKLRREAE QKQLAPQPSEDPDSSVATTQSTTAPASAQAPSRMDDTGEDSAQEEPQQDLPDEEPSLF VPEDPVPALQSPPKPTPSLADRFMSTAKLLRAPAEIATITIGDHTVTSSIGTPCPTTK RARIEHVPSTAKHKAPSQVPKGTLPSFGSIFSQRFSAPGTASQPAVDNEEGEGEAIPE PQSPQFIDSDSEENGNVEEDEGAQGEVTEVTEIVVKEEPQDVDSSAIPPDVEDGEVLS EDQKKALEEAKVEAMIQDAENAAVLPSQENVARTKSMLKGGSKKKDSTLNIVRNLEID AMKIRELFYSHENMLSSYQRATTEKAKDEALDSEKAEEKLSLTISKDDFATMKIVGQF NLGFILASRASQPKDDESISTDDDLFIIDQHASDEKYNFERLQATTIVQSQRLVHPKT LDLTALEEEIVLENLSALETNGFIVTVDESGESPVGKRCQLVSLPISRETAFSVTDLE ELIPILAEHPPGTVPRPSKVRKMFAMRACRSSIMIGKTLTQKQMERVVRHLGELDKPW NCPHGRPTMRHLSRLGVWDELGWKEDHDSNNETTGPTDWAAYVRQNRGEETSQEEEIL DEIEE LY89DRAFT_790650 MGVQQPFMYDAIKTEGDRSPYREFQPHAVSMASLAPKPRPKKPE GPLVSFNRHPDSYLILPLGNSSAKAMSPSVKKWIKWMRVAQLVLRCLEIIAACGLLVM MILISGVDVTTGWIMRIVPAVAILHTVYGIYHLGRKPSGRTPASSASYMLFASFFDVS IVPFYAFSALVAMTRQSGWKTLLSNQNLTAIFTSAVFYLSAIGGGLHLISLAISLYLA VTFRKITKLPPDMNPLEDNLTSRHKRNKSSMSTIITVSEKRLSTPLESKRSCGAPYED LGRPPTIPFFNTRTQSTDSFSTYRSTPPPSRDSRSDLPSRQYQVAPNSNSARSSLAGS ELKRSSQYAPSPPSKRGSYAEVPMSDAASHRSSRFVENTRPTDPWYVSDSLGRSRGRS ASPRKTPAPGQTRYEPLHQRHDSSDDISISHPNPLNSNPSTPPPAPRHGHRYNTSSNS PLTEISNINTRYSGDIADQTGLVRELTPAPLRDFKAKGYGELKAGTPPIMIGAKDNRQ VSSGIDLGNIAQTGYRRDVSGKVAEEGRSFGTRFRKISGL LY89DRAFT_662331 MAVIGSGPAGFYTAYKVMSKIENSVVDMYEHLPVPFGLVRFGVA PDHPEVKNCQDKFEEVAESPRFNFVGNISIGDDVGALPLRSLLPHYDAILFAYGASRD RTLGIPGEDTLKGIYSARAFVGWYNGLPEYSDLAPDLTLGEEAVVVGQGNVALDVTRI LLQDTSVLKSTDITENAIETLQKSKVRRVRVVGRRGPMQAAFTIKEIRELMNLPSVEF EPVNGSLIPEDLKGLPRPSRRIMEVIKKGSKATEPTKKWSLDFCLSPKAFDASPTIHS QLGSVSFEKTTLNPDPFDPNARAIGTSQMVDISSSLAFRSIGYKSEPLAEFSELNIPF NDKSGTIPNDHLGRVVNEDGLVTPLKGMYCAGWVKRGPTGVIASTMADAFQTADSVAE DWHSHAAFLNSVDNEALGWDGVKQEAEKKGLRRVSWQDWKRIDAEERRRGSAQGKERE KFTKVEDMLAVLD LY89DRAFT_712663 MIPRSTVSVLRQARTQLRPRGPTPVASLSALARLLSSLAVLEQR DGKLNHGSLGAVTAAQKLGGSVTGFVAGANIKAVAEEAAKVSGIETIIAVDNAAYDKG LPENFAPLLVENIKKGGFTHVIAGHTAFGKSLMPRVAALLDVQQISDITAIESENTFV RPIYAGNAIATVESSDEIKIITIRGTAFAAAEAEGGSASVEDGVDPKAETTTVWVGED LAKSDRPDLATASRVVSGGRGLKSKEEFDRIMLPLADALGAAVGASRAAVDSGYADNS LQVGQTGKVVAPQLYLCAGISGAIQHLAGMKDSKVIAAINKDADAPIFQVADVGLVGD LFDKVPELTEKLKQ LY89DRAFT_603604 MDDDSLNFGSDAAGATPGSNSILAQPPLQGEGTPQEGSGQGEDI SMAEGGADTSADGATKAVSDNPLDAPDAPRREGDEVKDEEMADTQDIKASQEGGAEAA GENGVAEGQVEKTKASIEASAREHLISQTHSIILPSYSTWFDMHKINPIERKALPEFF NNRNRSKTPAVYKDYRDFMINTYRLNPIEYLTVTACRRNLAGDVCAIMRVHAFLEQWG LINYQVDADQRPSAIGPPFTGHFKVICDTPRGLQPWQPAADPLVIKGKESADTDAKAN AAPVPKSDLNLEVGRNIYEPTARENKITAKGSEKQANGDAPTTNGTSESAPKAIEDIV KPSITKVNCFTCGIDTTRVYHHNSHADAGTGANGKIKYDLCPNCFTEGRMPVNHQQLH YIKKENPTYSAIPDRDAPWSDGEVLKLLEAMERYDEDWTEIAEYVGTRSKEECVVKFL QFEIEDKYLDSEPIAKASGLSMLGSQHGYLPISQADNPVMSVIGFLAGLTEPSVTAAA AGKTVEAMKQSLRDQIEKPQSAEKGKEKESADSMEIDVRQETTTTTTTTTTQTIQALA TVPLASVAARSGGLASHEEREMTRLVSAAVNTTLMKMELKMKQFNEMEQILQAERREL ERGRQQLFLDRLTFKKRVKDVQERLKMAAVTGGDQGIKMAQDVMGGGDKLAFQASAPA PGSVQPLSAEGQIKTFDI LY89DRAFT_790654 MDLDEAELFAGIPYPDDSGSAYEGSSENDSLSHDKDGQDREKPS KLKEKPAIVRGRSATRKNARKSTERSSSGKKSFEGIATVPREKQDSSSETDVQPFEDA QEWAANFQPPTLEDIRTPSRRNSRKRRALKPPSEIRSKRLHAYYDNDYRKLLNVEIND ATYGELSQEVLEASQVGSSSWNAEEKELLFSSLARLGRDNVRGIAVQIGSKSEPEVQE YLQLLQANVAERKRKSRRNFMVADLPAATEINEECCIVLERAGDALAARQEMAEEKVE HSKWSDMWLVNENVSRLIERRRREEGGEEALEAVLPAGNLFIFKNWLELSQRVFMNPG TPREEDNWENLAEPSETPAVRATAFEDFHSLAVNITKKLLSTTIFCTMSRLRSGNYHY VKVSEVTADDVEAAVNILGLKKDSSQFWLGCPKRNNLEIIDDEENPEERAAVSLADND VERELLSSRCSRSRSLSVSRHEKSRASSQASRHEEAAYSSPSEVEYEDPQDFESESST DDEKKSAPRGESPDFTDPESPTVTTPNRRTRIRDRKAAELAHEAYTEALDMEASQKEE ARLWSLIEQEPPFEVETNFDKVERPVAIRDEIEDGRNWRDHLEYWSPWETMGTPVPKS AFEKNRKRKSRRAKARAKGSWPRLDHSVDEPVGSDENMVSEEESDVEYRSQNSNSLEE EMDESDGGED LY89DRAFT_662338 MPPASRGWMWRLQKWRRCSNSFVLRRNVTTSTFGSPLAPPIKIK LREYQEECIQAVLAHLEQGHKRLGVSLATGAGKTVIFTQLIDRVQPRPGNADQTLILA HRQELVEQAARHCTDAYPAKRVEIEMGSMHASGAADITVASIQSIISGDRISKFDPSR FKLVLVDEAHHIVAPGYMKTLGHFGLEATRSTSPALVGVSATLSRFDGLRLGAAIDQI VYHKDYIDMIGEKWLSEVIFTTVQTKVDISGVKKGPSGDFQPGELSRVVNTDSVNDLT VRSWFEKAGERKSTLAFCVDLEHVAGLTNTFRKYGVDARFVTGDTPKIERSQRLDEFR RGDFRVLVNCGVFTEGTDIPNIDCVLLARPTKSRNLLVQMIGRGMRLHPDKKDCHVID MVASLETGIVTTPTLFGLDPEVLVQEASVNDMKTIQERKEAENERNVQANKITKSTTA SEPVIKKVTFTDYDSVFDLINDTSTEQHIRQLSPHSWVCVSENKYVLSNSNGSFLKIE HDSDRGYFVVTETAVLNKITSSSLSKSPFMRPRQIAKANTISDSVHAADTFASKKYPV QFISRNQSWRNKPATEGQIIFLNRLRPKSDPLTGSSLTKGRAIDMITKIKHGARGRFA SIEADRRREGKAQLKMEQEQALKEREKVSVGPLLG LY89DRAFT_692593 MGHNPKFVGPVRQPPIHKSGPQELLDPEPREVAPTMKTQGCLDG EKCASTIQWDKYNFNAGTCESNGPIYPADQARVDFVKMIDEGAQLEYCSVCEKTHICP GPPITLPDRDTCGAFLPRWFPKGRFPKPWATYLELMSDITTMEAFKNDPKAVWDKYFH KADDKWESIGREDGGWWKCRVGSDASWAELECTLCHRARYNAAWEQEQRKQRRDVLER LKKLKAWKAKHEKECADRDKAVALAMIRDGPPPMALLTPLPAEPVPPLKIPYDSDDGE GDWTMGPYAGQIVWKAKPYNSKPVIVEKSGGSESQDFKADELTRSISALDLHQIAVEH HEETPVQTFNESGGEVKRGRSLTRKSFSSTSSTRSPNKLFFR LY89DRAFT_633171 MPSTYKKEKPWDTDDIDKWKVEEFKPTDNAGGTFAEESSFATLF PKYREIYLREAWPLITRSLEKYGIACTLDLVEGSMTVKTTRKTFDPASILNARDLIKL LARSVPAPQAVKILDDGVACDIIKIRNLVRNKEKFVKRRQRILGPNGSTLKALELLTE CYLLVQGNTVSAMGPYKGLKEVRKIVEECMANIHPIYHIKELMIKRELAKDPELAGES WDRFLPQFKKRTLSKRRVPLKVTDKTKKVYTPFPPPQEKSKVDLQIESGEYFLGKQAR ERAVREERLEKQKEKKAEKLKEREKEFAAPKEGGEKKEKKKRRRDVVDGEEDEVKKDK KKKKRKDGDEDVVKERKRKRKATEEDEE LY89DRAFT_414114 MPTCQNCTTSTTPLWRRDELGSVLCNACGLFLKLHGRPRPISLK TDVIKSRNRVKSSGAAQGAKKKTVFDSNGLDQARSQAGTPPPGSIGHRRTSQKSANGH SEGSNSPISRTGTPSMFPNHLSAYNGHPLDDPYNNHHSPSLPPMHLRAPSPGRSTSPL NGAHGLEVPQTYEQLIAQNSSLKTRVSELEVINDLYRDQVNKLEQYRDSVRRGGEMRR DSEQDLMRRLEESQRRENQLKHRLDDIEREMGEARDGAPHAKKMRVADMVGDSESSTP QSLPRQTSPSP LY89DRAFT_662347 MGGQENGSNGRTYSKGRSSTGGSRSDSNTLERQDTVLTIGKFKL RGKTDDLPQDWWFASTAIPLLAATIGPLANVLSIAALVSKWRVTLPDNGQLPAGTDDA GIDIADPHWEVILNAISLACGFFGNFCLLLNFTRRIRYIVALPATIISWYFATGILIA ITVAMNEHVPPVRPGETYSQGFWHAVIAAVLYLVGSMILMVNMLGYFLGHYPQHFDLD DDQRTLILQTMMFFFWLAGGAAVFARVNDHMSYADSLYFCDVTVLTIGFGDIVPLNDT ARGLVFPYSVIGIIFLGLMINSIRKFASSMSKDKIIRRHQLNERERTFGRSVTSEKEL RDRLGLPPKSDMERRLSNTRKQSIGGIRRSSLEQYGHFDMHGRTITFQEKKHHHHGGR GGAARTNKPKAALSRDAKLQQRAAGKGEHAKRANRREKLILLKEEKDRFDAMREIQSN TSRFKQYYALAMSGFAFGLLWCVGAVVFFVAEKRIQNLSYFEALYFCYVSLLTIGYGD LSPRSNAGKPFFVVWSLVAIPTMTILVSDMGDTVVTAINRGTFTLADWTVMPKEGRWH DFLENHPRIKNWLERREKEKEAKKRIEQGFALQNPDDEGDGIIDGPPTLEKLAEEPSP PSEHELARKLAVAIKKTANDLRSEKPKKYSYEEWVEFTRLIRFSGQNPEDAQDEEDED GLIEWDWIGEDSPMLADVSESEWILDRLCESLNRYTRKQAKEYRLHTVQSTSVLSAIK ATALHDRNAEIYPAHPAPRTPYSFTHTSHSYASTSPVLSRGPSGDQVVTIHHKTPDER AEEAALELELSKERLMTSSGSAEVSGGSGSGTTAVEEFKIGADDDEDEVEAEEGLEEE LQNAEVRDWARRVSGDELKRIDISGKGRDADSPE LY89DRAFT_603619 TRTSTFDGSTLSLVFSDEFNDDNRTFYPGDDPYFTAPDFWYGAT QDLEWYSPAAVTTGNGTLQLRLDAFPNHNLQYQSGMLNSWNQMCFKGGVFEVSVSLAG PSGIPGLWPGVWTMGNLGRPGYKASTEGLWPYTYNSCDYGITPNQSDPDGLSWLPGQR LASCTCTGADHPNPGTGRGAPEIDILEASVDPNNRIGVVTQSYQVAPFDIFYRPNSDF MAIPNYDTTQMNGYCGGPFQQAVSGTTLLNNDWYDGLEYQKYAFEYVPGTSTGKIAWF VGEDPSFIMDGRAIGPNGNIGARQVSQEPMSLILNLGLSNSWSEVLLGDLKFPTIMHI DYVRIYQKAGQESVTCDPPGYPTTQYIKDHPLAYNDPNLTSWAETGYAWPKNKLTGC LY89DRAFT_414203 MAPLRRAPPAVPSREGSAEDVGDYQAGRSSSSLGAERNSSEIRS TSSNSVIQRASAESLPQKIISSTSNTENAFAIPSRRTSYVPAVPSPLNPSSSQSSASS ISSSDEIAVAEGDISPIESSASGDVSRSRQLGRKSSSNLSPPQAFLRQKSASTLLRPS TPETPKRLNDLGRDYSRYPSSPDLRSNSRPPGSKSRVAVNTAPLLRTTTTNPFNDSQA DLEKWGYPDDSIAAFQPYHGGEKGFILYADEIEADDNMHMPRDDDDIVYKPKLSEYFN RKQIISTIGGIFLLLGLLCVFIVLPVLTFSTHLLTPTGKYVVDDGPAWAHVNNNSYAL LNNVRRGGKLNFLRDHFWHFS LY89DRAFT_692600 MPPAVPAYDLDGGDQLDPSQFDEAEGDESFQNGAPPSERQQSRP PSKEYVPFLERLQKVPQVRAIPWRPGQELPAEKAEDSPRVQQRGAILLSTRGKVMELK CEHCARGYGRFSLCITMEPWFQGACSSCIFTSKGNKCSLRFQTSGTADGRALRYHTEN PDALQSYIRNVNDNPPKPTKKRKRRSAPASTNPSLNALYSSEHQLESPQAESPDLDTI LQAEIAREQSSGQPEYAAASVERKTKRQQNTAQHAAPVASGSSSAWTPANLPLNDTQG ALPNVSKSRDAAPVPSSRWAAIKEPRSLTPGSSTLPDHVAQSPTGMPLIDTFPKTKQR HIYGVIGGLESGIDHLNAQVVSLKALLGIDADESKSR LY89DRAFT_743991 MPPTLDADFHGDTIVVKGEYAWDRTRESMESEVDLDVGHLLAQL SGGQHLSSPDLEEELSTENLPTDSSSRIDHSANRAPRQRHKLNTATMEERLIQMQEVR PLPWRDGVEPGAENETGGESRRKQIRFGCLLATRGEIQEVPCNSCANGRGKFRHCIAL EGFFKGACASCQLSGRPNRCSIKKNDDTIESPTANTPGNAPSRNPSQYQPSSDPQPKR RKTDTVPDWESARPQWEQELGESHARQQMSEIGQRSWATVNSPTSMPMGGSGLSGPGS RNPSQSLEHRNSMGWAAVNQPPAGPGPATFANVGESNGGGSYRSTVEQGRREETSMIE DGSVALIDTLPKSKQRQVYMLVSGLQSGISHLQRELEALKKALGIDDD LY89DRAFT_692602 MRLSVCFARLYHNPTFAMFAVPGWSVDTKSLKTQTAKTSNKAKK GGDREDNGDVESRPSKKRKRTGKGNVDINPDNLAHMWERVIENKDADRRKGAEKRQKI KDTARAQAEPDTDEQSGRPTPLEEKISKQKSKNNERRGKLKLLQSRDGVDSAIEDELQ SPAQEQPKNNKKREKKSKLLQSKDGVSSNVEDELQLQAQDTFMPETELEMDQRHDESE SDMKELLVAPPTLTNEEKMKRDKKEKKRKKHYEKFIDHRESHTDAPSPAPISQHSTKE GLIMPKAPPATPLTPLQAEMRSKLISARFRHLNEQLYTTNSAESLTQFSTNSEMFLSY HEGFRNQVEVWPENPVHGYFDNIVLRGQILGPAHKGKKQKISIKAAVGAENASQNLTP LPRTGTCCTIADLGCGDATLAIRLQPFVKKLDLKIKSFDLQAPNPHVQIADIANLPLP DTSVDVAIFCLALMGTNWIDFIEEAFRILRWKGELWVAEIKSRFGRVASAGKKGKVVE HSVGNRKKVGKEGKKIQKRMEEDVNDAVAAVEVDGVEDRGGQTDVSAFVEVLKKRGFV LKGEGKEGDNGSKAVDLSNKMFVKMWFVKATTPIKGKGVPLPKGGEKHGLGETWTKKP KGKFIEEEQHVSSEAGVLKPCIYKQR LY89DRAFT_414249 MRKLKWLTFLKRRITLNITAPTDADSDLLSLVIPPDTTVSTLKQ SVQAESRIPATSQHLYHNGQLLNDDAKTMEQLQIGDGEMLALHVRDMVGSTGVAAGQH RAQPARQQPRSGQENDPETIRLQLLGNPQWRQQVMGTRPELGAAVENPERFAQIYRQM QEQEREEHARRQQAISDLNSDPFDADAQRRIEEMIREERVQENLQNAIEHNPEGGQSH IGMFDGHTNTLQSLAEFTCCILTSKSMVTRLKHSLTQVPKPRSCLLLVLKLAVL LY89DRAFT_565270 ERQRQFVIVPEAQQVKSTNLHPYTRPLTISDVESCEALENAAFT NPLDRATPEKFRYRLSKCGELCLGIFCTAEPQSGFQPETLAAGRPVETARKNGAISVL LGHVVAAKTHDLTASDESMGVPEDWDSPRPTPTKRGHQEAGRTIVLHSVAISPSFQGR GLGRVLMMAYIQNMNGAGIADRLALIAHDHMVAWYEKLGFTNLGKSATQFGGGGWFDM VLEL LY89DRAFT_633189 MSSTTLAPYKVEFLQAAINGGVLKFGSFELKSKRISPYFFNAGD FYRADLLRAISTAYAKTIIEVEESSSPLDFDIVFGPAYKAIPLSTSTVDKLADLKPEK YEKMCYSFDRKEAKDHGEGGKIVGAPLKGKRVLIVDDVVTAGTAKREAIGKIRQEGGI VVGILVALDRMEKLPAPNGDDPSTPMPSAIGELRKEYGIPVFAILTLNDIIEGLKGKI AKEDIERMEEYRVKYAASD LY89DRAFT_692607 MKTTWKDIQPVPTSQEFLDIVLSRTQRRLPTQIRSGFQISRIRN FYTRKVKFTQETFTEKLSLILDGFPRLQDIHPFHKDLLNTLYDADHFRIALGQLSTAK HLIEIVSRDYVRLLKYAQSLFQCKQLKRAALGRMATICRRLKDPLLYLDQVRQHLGRL PSIDPNTRTLLICGYPNVGKSSFLKSVTRADVDVQPYAFTTKSLFVGHFDYKYLRFQA IDTPGILDHPLEEMNTIEMQSITAIAHLRSAILYFMDLSEQCGYTVQAQIQLFQSIKP LFANKLVFIVINKIDVTRPEDLDEETQAQLQGLLKSGDVEMLQLSCTTSEGVQEVKNA ACERLIADRVAQKLKAGTSTSGAVGGRLGDVLTRIHVARPMDGIVREAFIPEAVKTLK KYDKNDPERRKLARDIEEEEGGAGVYNVDLKDKYMLENDEWKHDKIPEVFDGKNVYDF IDPDIEAKLAALEEEEEKLEAEGYYDSDEDLEDAEDAEIRMKAELIREKRQLIRNEAK MKKSLKNRAIIPRTAMRKKLTDMEDALDTLGHDTTNISARARSQSRGRSVLRSRTGTE DAMDVDDPAYEAKMRAKSRARSQSNRRDDGVTNEVARTKAERAQKLSQRKMNRMARQG EADRHVAAAMPKHLFSGKRGMGKTNSR LY89DRAFT_662366 MDDKTWVASADGTQVALDNVYLETEEHNHRLYQSYALENLAYLA PMDEDEIERLNISHNVLSRVFDGRLIFPPISRPRRILDCGYGTGAWAGEVAERFPRCE VLGVDINPSMQQEEVPDNLYLQVDDLNRRFTFPAHNFDLVNSQMMASSIHANRWPQYL RDMFRVTRPGGWCQMVEMYFQVQSDNGSLTDDHALRQWSTRYAESMEGLKDLRVPLRL SNMMRDAGFVDVEARMIQLPTCGWSNEADMRTEQRDHDIGVANRENVQRLLSSLAVYP FTERLGMPIQDVHLLVAQARLEADEPGFKAYFPLYVCIGRKSRSRR LY89DRAFT_662369 MGISGGTGEKLTRATIIIAGVASLVASLLSIVSVWLQAKNYRKP LLQRYVVRILLMVPIYSISSWTALMSLRAAMFVDPVRDIYEAFTIYTFFQLLINFIGG ERALIILMHGREPVHHLWPLNHCLPKVDISDPHTFLAIKRGILQYAWLKPVLGLAAII MKATGTYKEGYLGLDSGYLWEGIIYNVSVTLSLYSLGMFWVCMAKDLKPFRPVPKFLC IKLIIFASYWQGFFLSILVWLGAIPDDVEGYTSDNLAAAIQDALICLEMPLFAIAHWY AFSWHDYADATISAARMPVKFALRDAFGPRDLIEDTKETFSGKAYEYRLFDSGDNVLA HESSSSRVARMMEGMRYERGGKGKYWIPKPGEVNSRTPLLGGDANRSRSPSKPPTDAQ YMGYGNDGVEELMLEPDEEQLYERARTQLYGDWNYPVITAHEASRERWLNASPGLLTT SANRNLLQPTRDNEIRRASNIKNTVEEVTKKTQRKHSGSKNKKKRDSSSKGKEREIPN GEEGRAPVMQGLLRHHSTDSSKSDRSQLVDLVVEDEPAEEADRVRARKEGSPAWNQTE GKRFVRTYGGDIGEDIREGYEPLEDAVIEQEDGAADPFSVGDDDDDDDDDGASPNGSE ESKQWSQAKESSVLLQPKYGIDGEAFENVWGAAEPSQPAQENP LY89DRAFT_633199 MSSSGGLTSSPLKGNLDFNWVFLVELAVCGILTLFFLFYFNRVF ATLVSYGLRAWTWHKYRVYIDIQALQISLLGGRVFFKGFRYHGNNETILIHSGFITWC YWLRNVRELAVSQNQDSKSKRVSTDSANDKVDGESIDGGESGGQKDRKKLPCRLNVFL NGAEWFVYNRSAAYDAIVAGLTRGDDDGYEAAPEEQQGNFEGGRARIRKRDPLAASDK VEEYLGSCSESKEGTPLTEKSSFGIEPNDPRLRSTFSTSRAGSVPFEEKNGSSEGAFI LRFLPIHIHCVKAAVVLGNENTKSVLIAKTDRASGEIDAANSTTLDQYRQLINFQFAH PVVQIKPNDDFKEDQTTAASRVKRGEGEHQDSNTHHVHTHSFFHRQRRRVWHRLQDLV PAFRSSVESLSSSTHGSPENPSRAGPDSNSWQGLSRYLDESEQDDKAKWSAIEYATVS TIADSPEASMSFYWDVVGTVPERSNLASEQRGKESANINGDPPPEWGIDLSFKGATIN YGPWADRQRADIQRVFFPSLCKDSRPSKHLVSGQFRVPTEFKLSITFDEETILRVPIR EESKNWKWTKLADTMGARPDDQKRNGKGNRKRKVDKGNPGPEIRPFGWLDVKVGANAI VKYTMDMVAGPAGFGNKLELDLPNTEITTSVNHGLLWRSVENRIICDLSSPLQWNGHR TWTFDVDSNGLELFILREHVFLLIDLVDDWGNGPPPDYLVFTPFRYLVNLHLRDFRLY LNVNDSNIINNPSDFDDNTFIIIFGAILNAGIRIPIDSYCPWRNDITFDVDAKHGGLN LHVPPWNTQATFLSSTELATLKELSIDGTYQYCATTSTNNTDTLLLNVHGHAPSAMFY GFVIRYFLKLKDNYFGDDIRFKTLEEYQEVLRGKREGSDGASSQPPHKKSNDLDVILS ITADESSVILPSNLYSAKNHTRIEIPTLAADLRFTNYYMDLDCVLSTLAFSQDSEDEG TATPISATSSTQLYIDGVTIAGNRLFGLPPTEPTYMCNWDFAVGAVTGECTTEFFNRL AGGARAFAFSFDDDENALPSISEVILHDITFLSASVESLRIWLHVDEAAFLLAAGTIT VNFNDWAGSHYSKKANLLIPDLHLGCVDAESASRHRSRAQHPVETHALIQTTLSFAII QRKLGFEEDRRLQQEHVKRHDQRTHRTDFLLHQNILDDSMLVSVDPPAQCVPPMPLPV IQETLDKLDDLSIHSKASSIRHGKGTMGRKSSFLSLSGSSLRSESSIVRPHSSLRMAQ TSAAESRSRSMHTSTGPGQRIPLRDHSTSTGRQSSFYSAVGDNRGMPPSTVTFSSSYV APYFPLEGVEPDIRDLPEVTTHLQPDPYGDINQLNLGDIRPDRVDEDSTHISFMVEFP TGVRGVFCPKAAHAVAGLLSAVQAVDPIDLLDELQIDCLNEIFDLKRQRSSTGKVLDL SVRVSGISLRFLNSSATPSVRHDHHDMLDQYDLSMTGLAITTRSETSPLVPETSEGAR KSSVVHVSLRTAALAAKEKHSAIDDPQAAVHGVLDDVVFWLMQNNENSASVAFKGFEI ATNSSKLEYLASLIHRTEILSSELVETFSHRLKQQRNRVKLFTYLVATAGQQAPDPLF LTRPSYVLRSAADHLRTTDSWKIVTRLHHMYSSLHVSTQHDIANRVLSNAETVPADAE QKVRDGFDQWRSWDLNNINACRVMGRVYGSEAALKKPLSRTKPLKVSFRTENVRLVLD PGPKQNEVSLADISSSFESEASLSTADIDPHAVTQYVETMVAQIFCAHVSISLNWELC ELAEDILKLHHQSPAQPSRPDGGLPVANNHRADKPKRRIHVIVVTDKGSITFDTINIR ATSLSEGLKASFVMVDQIEGDSQSVGCLMLAAEAATSRITSHSQELSIFQMRSPSIYA SHEAQLNEDTLVDVVKVAGNCQQLSFILKQDILALIEVLDLVVGDEVLQLHRLKGTIP AGKPDLMEHSAPSRKSGSRTKINIALFLDMYHISVPLLQSLTYNVSGVVARASVAAQL GSEIIFDFDVKENSHDIQTVVNNKPKSISLLQLPPTNGRITSHMSDEENTVSIITSVE PVELDAAAVHSLLTALNRPEISSVINDVQEDLKLLQSHVEEVFGPSKKPETPPTQSSG SPLVYDAHFTLAGFDIFANAQGQDGERNSARLDLNLGCIQLVLANRLEPDGPVLDFPE LRMSLRQVMFELSRWSNGSMEPCGNLAFAAHLTATSKLNDSGNEVRSFHMNSDGLEIN LFADTASSVVDVVGHLQDKIKDLDLSREKKYLQKLRKSKPRIAINEEQDRSEGSISGS SVMFASMYSLELLNIHVSWLVGTYEASQSPHLEKENLVLSLKRIDLSTRKENAARLTI EDLQLQMVPTSQDKSQRSLNSALLPEVIFNVGFVSTIDARRLAFQAAGKSLDLRLTSQ FMIPASALQKSITSASEKLRAASATWSRPSPEPTTETTRRQPFFGKKRMESLLVDADF AGAVVYLSGKKLFGPGNTTPGIRPSRAPQTGKYGQFTQTDASSNTILRAPGLAWKIEY KDSGLDDPSLNAEVKVDASTNILYPSVVPLIMEISSTVKEVVSDEDDTKHSQPKASPQ KFMGADEDNILTADPSAVLGKTRLNLGLRICRQEFSLSCQPIARVAATARFDDIYITI NTVRSTEHGHFFAASAAFTRLQASVQHVYSRESTGSFDVESVFLSLMNSKHVSGTSGL SAILKISPMRILVNAKQLQDFLLFREIWVPPEIRQSSPNPATNPTLQSQTFLVQRYQQ VAATGAFPWNATVSVAELDVQLDLGQAIGKSAFMISNFWISSKKNSDWEQNLCLGFDK IGVDSTGRMSGFVALQDFKVRTSIQWPAREMALNQTPLVQGSLGFSQLRVKAAFDYQA FLVADITSFKFLMYNVRNASHAKGDRLVAILDGEAVQVFCTTTSASQGLALFQAFQRL VQEKRTNYEASLSEIERYMKRKSVAQPTSPLPKVALGVVGEDKIANSPISLHTDVIVT LKAVNMGAFPNTFSDHQVFKLEALDAQARFAVTMDQGKIHSILGLTLGQLRIGLAGVK KVEMPKSVGEISVEDVVNSATGSRGGTILKVPKVEATMQTWQIPNSNHIDYIFKSSFE GKVEVGWNYSRIGYIRGMWAAHSKALAQRLGKPLPPSAVKITGVPDEDGAERKEGEQQ KITAEVNVPQSKYNYTALEPPIIETPQLRDMGEATPPLEWIGLHRDRLPNLTHQIVIV TLLELASEVEDAYGKILGSS LY89DRAFT_633202 MVNFTIEEVRALMDKPTNVRNMSVIAHVDHGKSTLTDSLLAKAG IISAGKAGDARATDTRTDEQERGITIKSTAISLYGNLQDDEDLKDIAGQKTDGRDFLI NLIDSPGHVDFSSEVTAALRVTDGALVVVDTIEGVCVQTETVLRQALGERIKPVVIIN KVDRALLELQVSKEDLYQSFSRTIESVNVVISTYFDKSLGDVQVYPYKGTVAFGSGLH GWAFTIRQFAQRYAKKFGVDRNKMMERLWGDNYFNPHTKKWTNKGTHEGKQLERAFNQ FILDPIFRIFAAVMNFKKDEIPTLLEKLNIKLNPDDREKEGKQLLKVVMRTFLPAADA LLEMMILHLPSPVTAQKYRAETLYEGPPDDEACIGIRDCDPKAPLMLYVSKMVPTSDK GRFYAFGRVFAGTVRSGLKVRIQGPNYTPGKKDDLFIKAIQRTVLMMGGKVDPIDDVP AGNILGLVGIDQFLLKSGTLTTSDTAHNLKVMKFSVSPVVQRSVEVKNAQDLPKLVEG LKRLSKSDPCVLTFISPSGEHVVAGAGELHLEICLKDLEEDHAGVPLRISDPVVQYRE TVTGKSSITALSKSPNKHNRLYMIAEPLDEEVSKEIEAGRISPRDDFKARARVLADDH GWDVTDARKIWCFGPDAGGANLLVDQTKAVQYLNEIKDSVVSGFQWATREGPVAEEPM RSCRFNIMDVTLHADAIHRGGGQLIPTARRVLYASALLAEPGLLEPVFLVEIQVPESA MGGVYGVLTRRRGHVFAEEQRPGTPLFTIKAYLPVMESFGFNADLRSHTSGQAFPQSV FDHWQILPGGSPIDGTSKVGQIVQEIRKKKGLKVEVPGVENYYDKL LY89DRAFT_744000 MATITTPPQPELATKPYLLDVPDEEAQRGRKRRRSSGTHTSSAG STTLRGRGRRRSLSATLPSADVTEERPRSRSPGRKSPGKKYAKKYLEVASRTEKKRRS QSPSRSRSMGRQGEPKPRRRQRTRSRSRVHDEKGENERENDRAGVDSGEVAVED LY89DRAFT_692614 MRNKDSNRLSVLRALLSQTLNASKTSNPINTDMQMLALLRKSAN ASKAASEEFKNAGRQDLADKEDLQVSIMEEYAGDVKVLGEEEIRAAVAGVVEGLRSEG GKLAMGDVLKRVFGPEVLGDKPVEKGDVARIVKEILAEKV LY89DRAFT_414764 MRGRSFSCCRCSSLPAICFICTALSISLQPELGFSIFSKFATSI LSASGFSAVSGEPGWQLCTSILPRLVWMILSVNSISSINRRDSSTMRASKAKLPIARV NQFSRSIPKLLSNMMLNCCTIVSFHCVILVCTLAPSNEDQARPPIHLVHECSKDD LY89DRAFT_692617 MERRFSSSLLSSTLCCQLFFLFFFFSLFSGPRKLSGGQVSGSQG SDCAMGVAG LY89DRAFT_744002 MDNFEAYPESPTRDATQPKESVANSDEPPPKKPGSKAQGRKRTK TGCLTCRKRRIKCGEEKPVCQNCTKSKRQCEGYNQRVIFKDPLNAYRPSLSAQSHMSF SGNISNPPNVRRGESFSQTGPGQKTTPIAPKPPGTFRPHSNTFDSSSMSLPAVTSPGI DRRVYNFSEATASRPNTTMAPPPPVSAQGRQPRPQVDTKIHPQRQGSRKKSVSVEQTS HYDFDSLSQGSGKQPPISPALISPAGWSDGSRRGSKSSAPSSDHDFPRKLSAPADFYH YTANSTWDPSSASTTSSNPFTSAASSTSTLQSALEGVGPAGGTEHSVKGHENNPVYRQ GLMPLATHPPQSEWNISQPWPLDQHQIDAMEDDDDPFDVSDEDVEMGEHNESGVWRDV SQDDHLKNNDLGIVVALQANQDNHGLSLRSFTSFIDRPDMLATYTPSSQSTPLRDPMT ARIFCHFVNVTAPCISMFERHPANPSLIFQGEPVPKTQQHMWTYTFPTLALQNPALLH AMLAIASLHISKLQNGPITASYKHYALSLRRVAKSVSLPSRRGHPATLAAALLLAFYE CWSADHQKWSNHLLGARQLVREIGFAQMTRYIKKKTAEDRRQHDQVAHYFEEPRFQPH IDEVDENIVGRLMGNQVRYDRYGHIMDGDTTEIDEDKEYTERDLEIYETQRDLFWWYC KQDTYQSILGGGRLFMDYSLWSHCPPRAPLGRLSAIYGTFDHLILLMGRLANFAAKDL KRKRHAIKMNGGRWQPPESMRTPPQANQSLPTQGSPQTPKAPPIPGFSGMVPDVKEAT LPMGFESSRDSSPQSSSTQSEDLDLQAQTREAEEDWQDIRNGFAIIEDHLGEEFQALG PEFSAPIQTPFGPALQYRTFGIAGVWMNYYMGLIACYRAHPSMPPAAMMAAGISARQT AFFANELGRIAAGIAPDCSMTAQVSPSIGAALIESCQPLFVSGVQYQNAEQRSWTVCR LRDIARLTGWQSALAIVSGCETTWVKTGELGKGPPYVRTKEERIVPDIWSSSRRIDRA FNGKTSEERRLVIERSDRVHYAMGVLSLEEDFEKLDLDTNRRS LY89DRAFT_633210 MFIARSEYDRGINTFSPEGRLFQVEYSLEAIKLGSTAIGVATGG GVVLGVEKRVTSTLLETSSVEKIVEIDRHIGCAMSGLQADARSMVEHARVESQNHAFH YNEPLRVESCTQAICDLALRFGEGADGEESIMSRPFGVALLIAGYDDDGPQLYHAEPS GTFYRYDAKAIGSGSEGAQAELQNEFHKSLTIEEAETLVLKTLKQVMEEKLDSKNVQL ASVTKEKGFRIYTDEEMAAVVERLPAN LY89DRAFT_633211 MSSSSNARVSSRTERRTATDNGNTQPRAPSAQQERGTKCERPDP RRTQSPQPSVTSGTSGTTHRRTASGTQRTSRNVEERRTERVQITTRETLTSRTRSPER RPGPSVQPSEKPRAHEVGRAYSGDSRPRSSKAEAPLAPWSPEVSLIPYTSAPLASRIT IPPLASEAPQSLQPRPLHELSLEVQEAAILEDLLMVFMGYEGQYIRFAKNYNPTVEKD RLAGPEFKILSGLDPSLQDLTTTMLKMATHYGAVEAFVEVQSRKEFGAVNHALCAAIR KLLQDYLILIAQLETQFLTNSSFTLHVLNLHTLPTSHMMFQLYSLAHEILKRNSLLED EDSDDDSNDIANIIESLNKGGDLRAGGIPGKKICRGGSVLGLITKRLETMSGDPAART LLTSLLRDASRPYMMMLNQWLHHGSIKDPHAEFLVREQKSIRRERLEEDYTDEYWERR YTIRDHDVPPQLEGVKDKVLLAGKYLNVVRECGGVDVSKVVTDVPRSFDDNRFLDNVN SAYAHANQSLLELLLTTHALPARLRSLKHYFFLDRSDFFSSFLELGTSELRKPVKSVN TSKLQSLLDIVLRQPGSVAAQDPFKEDIKIEMNETSLTNMLTRVVNISGIEQGETLQT PVSQPTNESDKAAVGFTSLQFDYSVPFPVSLVISRGTVWRYQALFRHLLSLRHLEQQL ISCWQSHNRSAIWTHKSSNKAIEMWKRRCFTLRARMLVFVQQLLYFSTAEVIEPNWQA FMTSLKTKEDSKDGTTAIRTVDKLMQDHIDFLDTCLKECMLTNGRLLRVYSKLMQTCA WFVTYTGYLARGLEKADLDLSGTEKPSHMSKHQWKRYQADRKQRLGESTASNGSAAAS NEFGKLTELIEKYEVNFSRHLQILLDALNHYAATETVVLLGLCARLSTANHGTKYSGL RADEESASHG LY89DRAFT_769751 MHIKDILAGSEQSGQPTFSFEFFPPKTAQGVQNLYDRMDRMHAF GPSFIDITWGAGGRLAELTCEMVGVAQTTYGLETSMHLTCTDMGEDKVNEALNNARNA GCTNILALRGDPPREKEKWEATEGGFQYAKDLVKHIRAKYGDHFDIGVAGYPEGSDDQ KDEAILLEHLKEKVDAGATFIVTQMFYDADNFLRWVSEVRAKGITVPIVPGIMPINTY SSFLRRANHMGCKIPPEWSAALEEVKNDDAAVRSIGTGLVAEMCRKILAGGIHHLHFY TMNLAQATRMVLEQLDLLPSVHRPLTQPLPWRQSLGLGRRDEDVRPIFWKNRNKSYVA RTQDWDEFPNGRWGDARSPAFGELDAYDIGLKGTNEQNRKLWGEPKTIKDIANVFVKY LENGVESLPWSEAPITNEADIIKADLISLNQRGFLTINSQPAVDGVKSSDPVYGWGPR NGYVYQKAYLELLVPPNLIGELIARIERDPELTYYAVSKASPLKTNSADGPNAVTWGV FPCKEIVQPTIVERISFLAWKDEAFRLGTDWARCHSSLSPSRHLIENMMETWFLVNIG NSFLLFYVGMNLALTIQP LY89DRAFT_415076 MSTHAAVVTVAPRAKVDVIQVLTVKPVDGEVLVKVQWTASTPLD LHQNDGGLLVKHPQVMGDGIAGTVVELGPKVKNLKVGDQVFGFGWREQKEKAHQELAT VPEYLLGKIPPNSTPQEAITLPNNFVTVFHAVSTDLELPLTWPRPSSTPPHADDPILI WGGSSSVGQYALQILRYYGYTNLLATASKAHRETLRSFGAREVFDYRDPEISSKLLAA AGGEIPFVLDCIGSKYGSIAPISKIAKKGAKVAIMLPVIVTDASETSAPEYEMDVTKS VDWAEGVLACGVRTHFYLDNELFKKQLQSEIMPTMLAEGIVKPNKYRIIEGKTLRERA QGAMDALRRKEVSGERLVWRVSE LY89DRAFT_662388 MEAFPYTKYTSSRDLTYSYIHIKPSQGNRTYILFLHGFPSSSRD WRHQIDYFQQRGYGIIVPDLLGYGGTSKPLDTTKYNMKGMASDVHEILEHENIEQVVG IGHDWGSFLLSRLMNYCPILFSKLVFLDVGYSAPGTGLTEQTVKYVNSMVQEHMGYSV FGYFLFFKEDHAAQLMNAHVDSMQSLMHSRDAELGKKYMGAEGGTRNWLEAGKVADPP SYRTKEDIEYDRVFFSAENGGYGPCLNWYISQLNDINREDDKGILEKNHTLQQPTLLV SSNNFITAAADMASQMRPLAPKMKEESLDAGHWLMLEKPNEVNVMIEKFLEE LY89DRAFT_692623 MGSTESDIVKKAEAILAEAKSFNGDRVDRYELMKQVDILYQTLE DPMDAMLRQWTFMNMATSLNMMVKMGIFEAMPKQGSITAAELGKLVNLEANVIVRLMR MLTGTGIVDLTGEDTYAHTPKSRAYLQGAAFDFYNLCMNMMGCYLTWPEYFKTKTPEE LIDLRKTPYSCAYGSEGLTFYEVLTADPQRLNMFNKAMMQQEASLPTLGMFPFSSMKE AVDAEPERAFVVDIGGGRGQSLLLIQKETSNGFNTPSKMILQDRPQVLDTIPRDLVPG IEKMPYDFYTEQPIKNAHVYYLRRIIHNYQDGVCQAILTRIAEAMGPKSRLLIAEIVV PAKTEVGEDMSTYWMDMVMMAIGGKERSEKEFIALLNSVGLDLVKIWPAPVGNQAVIE ARKKAPGGVA LY89DRAFT_633225 MHDDEEDTNAIEAESSDGFDGDSAYAGSSAGSLTETLASTIARG IEEHGRTYAAYGNEEYGLPIDEEELDRIDMSHAKYSMLLEKRLFVAPINPQPQRVLDI GTGTGIWAIEMADKYPSAEVLGLDIAPTQPSWVPPNCIFQIDDVEMPWTLGVETFDYI HARDLLLSIRDWPRLVEQSFDHLKPGGYLELQCVYPRIRCDDGSTPPQTGLEQFSAHA QEASVRIGCPLDACTRYAEYMSSAGFEDVVEKRFKMPSAPWAKEKRMKLIGAFEMHNL LKGISGMSLRMFSKGYGWSREEIEVFLVQVRKDIQNLKYHTYYEFIVVYGRKPGGSGA TANHSSV LY89DRAFT_769781 MSHIIILGAGVTGLQTAISLLSSPSTSNYKVTVIASHVPGDRSS DYTSPWAGGDWRSSASLSLEDSEVREWDKKTYDHWMMSLDDSVEEETTEAKECRLGLG RRMISYFWGADDSETTGHDGSGLWWKNDVRDFKILNLEDKIEVPKGGGAVFGIEFQSV CINVPRYLEILLDRAKNLGANVVRKEIKTSKGLVGVVRDAKQVILALVNCSGLSARNF VTKAEADRLFPIRGQTVLVKGEAKMGRSYTKIPNTNDQEVLYVIPRPGSGTTMLGGCK QSQNWNCEVDNDLSERILDRVKREGLAEELRSGKGGEFEVISAQVGLRPGRHGGPRVE LEKNGPVEDIYIVHAYGHAGAGYQNSVGSAEKVTKIIRGLV LY89DRAFT_744011 MATTLQLRVLFFDVFGTCVAQRTPVADELWKATREALESDESSI SSEVRTKAAEMEWNKEGEKFVRESNGTVDWRAVDKHRAELLPQLLVRHGLIIPHEDAS TSEFQVGEGSLRDESQLKHLGLVWHRLTPWPDTCHGLDLLDRKLSTVTPSNSYNDLLE DLVARSNIPFTHIYSADMFRSFKPNPKVYLGAAEKMGVKPEEYLWELLIEENVFQFFG LY89DRAFT_603676 VNYCLVTSPARRLLHEYQSARKLLEALRDAIQGYRLLLEDGKIL YRDVFENNIIITELLAEDAPKGRLIDLDLAKELDGIQPKHKQIWSETIPR LY89DRAFT_560454 IISGLHYLHGKKILHRDIKPENILFKDIFTNPIFSLADFGLSKE IDTKATFVGSPLYWAPEVCSGAPQDFRVDIWSLGVVIYEMLTG LY89DRAFT_633231 MLRDDSSGSTTSIHPRQIDSNLWEFPSKSTGTPRQRAVLERGDW DLAMGPAEFLLQFSDRDGWWNRYAKEKKVLATRKLPADCMLTLPTMSLPPTRYQTRVQ TPRIPEHKIRDSVTYHELEYLGKGSFGRVSRVLDLQWGGIMAVKIINVEREKEKETKE TLKREVELLAKLSHPHIIEYKHSQGWEFGEPSAYENNE LY89DRAFT_744013 MATQVDDKIGPVPAEMPPVADKTKTTLVVGSSKPTPTQDVGQHT LHGKAEGAQTEKGAKSEKECSGERTKEGRETSQVDQKKAKAKAKAPVLTLSATKEKKA NTESKAEKNALQPYVEATPAGSKKIIKSFDDPYYKAYNPLAVESAWYAWWEKEGFFKP EFTADGKVKDEGSFVIVEPPPNVTGNLHMGHALGNALQDVMIRYNRMCGKTTLWLPGC DHASISTQSVVENMLWRRQKKTRHDLGRAKFTEVVWDWKTEYHQNINRVLRRMGGSFD WTREAFTMDENCTAAVLETFVKLHEEGIIYRANRLVNWCTKLNTAVSNLEVVNKELSG RTLIDVPGYDKKVEFGIIVHFRYEIQGTDETIEVATTRPEIMLGDTGRLMPIVADDYV EKDFGTGAVKITPAHDANDFALGSRQNLEFINILTDDGRMNENAGPYAGQKRFDVRYT IQDDLKKAGLYVDKKDNPMTVPLCEKSKDVIEPLLKPQWWMKMKDMAADALNAVKTGE IKILPETAEKSYIRWMENINDWCLSRQLWWGHQAPVYFAQIDGEEHDEATKKALPGKT FTLKRDEDVLDTWFSAGLWPFATLGWPKNTHDFETLFPTSVLETGWDILFFWIARMIM FSIKLTGKVPFTEVFCHSLIRDSEGRKMSKSLGNVIDPQDVIEGIALEDLHKKLLLGN LNPLEVEKATKYQKTAFPDGIPECGTDALRFALVSYTGASDVAFDIKVIHSYRKFCNK IYQATKYVLGHIGDDFVPRSSGKLTGKESLAERWILKKLTIAARDLNQAITDREFMKS TTIIYQYWYNQLCDVYIENSKAILQDGTPEEKRSARDTLYTSLESGLTLIHPFMPFLT EELWQRLPRRPDDKTPSIVLALYPSYDANMDDPAAEVAYELVMDISRGIRSLLSEYSL KGEGKVFVQTYTPTAHEIVGAQIQSIRSLSGKGIASMSILTATDPRPSGCVAFSISTD AAVFVHVKGRVDIDHEITRATKKLDKTKLGIEKQLKILSDESYKEKVSKEVQQAEESR LKDLETQRKALEETITQFEALTDKL LY89DRAFT_769813 MVKRTIRSFSRSATLSKTDPSPITSGSTAMKRKRSNTSSEKTSL VSVSDASGSVTGHASSQNTPTRRPKRQRNTARQHLDDEIRRLRGSVPTIEELIAEAER EHAAIREKREREMESIWRRRNDLVGLKEYLQTWGAQDKEWTTSQ LY89DRAFT_678950 MSNEIPNTDHSSSEVTPSLDLESKTEFIATINTTNGNVNLETDL SGEEFVDEEEYTSDSSYDRPSKIKEEARRKQLDYEQALREKLEWDGTYHPGVDKDDLV QSNHGHIVVSQDWFDNDKSLNYRSFLFDPRLRELCHNCGLNNQQQTSPAGYTSRLKIK YLKGGYGLWEAGPEWFIRDEINNDWNGSANSYKAQKFIRENVPEVPLVEMHRFGKKED KYALTVMSRAKGVLLREIEGQLTREQKYILAKDLTSYMMKWRKLTAPRMQTADGKPLR DFIFRCDNGPCQDVPFDATEWIEKLVPAWRKALFKAKCYRLWDEPRPKGRFPENWIRE VDEKMAKIKTILLNEGGLLNEEFVFTHGDLHDENIFVFEETPGIYKISAIIDWEFAGY CPWWVEWYYCQIGLIGGFRELVMSKERSTTLFKILDALSDILDTTRSDGEDLFKHTPN DKNEWYGRPFCKCEPFCTRYRSDEAFAVKHPHEVTFDVTENYPDSWIEFHKMWNHLVQ SEGRPNSLTMQYTGGETE LY89DRAFT_603678 FRRLQQECYFKTRIHAELLLADLFYWHQFDFLEEDPYIGCSKPA CFNCFQYLLAHPGNFVLPACHNKLYLAWRTPDILEDHVPVAVASKIRESTTSKMNLNI RMELRKQIDGRYARKAAQYDSVTGTSSSIQFGLV LY89DRAFT_415238 MVLQPKTRPVSIQQLVAEVKGIYAGLVMVEAKCMEVDNRLASNS VDDHNDAQFQALIALHRTLQHEHHEFFLEASQHPSASRSLGRLARRYDMPGRMWRHGV HLFLKILRHRMNGLGKPC LY89DRAFT_415240 MSWWPFASAPWKWKRSSPSPPRKRKTSLAEGSDIENPDTIVVDV GDSDDSAEPEEEPGVVAQSIVPPHLRWIIDEHDFADEKKTSINDILGDDVVFRQHPRG DRIQTRLNQRRAYHVGTMLKHFYRLSDQVGARERESTHHSLEPASYEGFLSSEISATR VRIDTAQFILKRARLYREELKRARQQLKQEEV LY89DRAFT_678953 MSDLPSHFDATLSERETSSDFIPNPDAIEYRFGSSDTLVAQLVK ELPVTITVEKGHVCKHKDQSYGVGFKVNSVESGVFQIQVYDRSFDIELDALGASWTGR WVLFRHWHWIRLLRAFLAHREVAGFHVEYEGRGWYIIRGSCCFHATKVSRAELEECWP REPAIPRKSSYVVARKSTFFSSSFSHDTLYSRTDRSAFAPEGN LY89DRAFT_744019 MICMPDDISSYKLKRIPPNGDIRKDAIARLKAQSIDDKKDKDIQ RCYVLGEKIWTIIQAKLDSYFLQYKPPQIQTTRKRKRKRKQKEDTGEPKRQIPKPPNS LCGSEVVASPQHGSVRAATPSQNPKDTASVLLGSLHQTPQNPSLIPVQHGIAMGTEVE TTGRSDSQLASHSAGLIAAVSHINNNLGNFDQGMETVEYSISYSCSNNVESDGFPVPG I LY89DRAFT_726697 MVTQKVFHRDPPLHFLPIRHINEKVMAAANKGHLHEEELTGDAQ KAAQKCREFLLQARYRHQGDYEICNDSGLKENSRAFYAEFAPLVKTQNSGEIRLTALR FTKRATLPVKAGATIDLIPVIISQSPTVSNKTLRLGWSLHLTQDEIVELECYCLAMST LY89DRAFT_678957 MTVSSSWRHRANTHQATSPQQWLARHRQSPLPLRLSPSSARNWI TIDIREDGADEIKSHKYFCGVDFDSFAESSLTPGTTLRTRGSEDGDEDAADVDPATNQ TTTAPEPEPEPPQVPPRLPFKPPGPSEAPDSTAPSPQPAPPPLPERTHTKLPPPDFDP TTSQTTTVPEPEPEPEPKPELEPKPPQVPPPPPSFIFKPPGPSEAPDSTAPTPRILIL LRAEVRLRHAIDRNGSFAGQK LY89DRAFT_603657 MDHAGRLQCDWQTCFKSFYRNADLQRHYRIHTGDRPHLCPTPGC GKSFSQRSALTIHTRTHTGEKPYLCPQIGCGKGFADASRLTKHRWIHTGRRPYKCTQK RCLKRSVFLH LY89DRAFT_415297 MGCSYSRKATLVKHQRGSRHQGFIRFALDDDQISESESEESLSE AQHSGRMIPPEHIPQHSVTLDQRPVQSFAGFAAPHQDPFCGGNTLSKSDMIQPEHIPQ HSVTLDQHPIQSFAGFASPQDPFCCGNTLFESDMIQLEHILQHLVTLDQHPVQSFAGF APPQDPFRCGNTLSYVPEQDNSHVATWNTDIPSTQRQDPFRGGNTLSYVPKSRQGLLV SGPPTTLAVPPNSAAPPPSTIDTTSAAIMMHPAVSDSFVVANSIPTSLAVPENQNLLP LQQQQWTGNMSELYCPE LY89DRAFT_415332 MADLYNMSCQFHMVDVERLVQFACLKAGESVLDLCTGTGYVALE ARKRVQDGRVAAIDFNPAMLDEARKGAEAAGFSGLIELIQGDVRDKEVLQRIKGPNCS GFDVITCLWGSGLTRSHEHVAMWAEFLAPNGRLVIDHPVSSDELGVLGFDDLSGNQLF SWEVADSDSWEVCKQELETLIHRVGLRMDRGERLYPERNRFGIDFIRSFSTEMWAQNG QSGQPDRSFTLEVARRIRDGMRNYWYDEPLRVHGRPKGIPRNKVVAWLAVLRKGN LY89DRAFT_769833 MSASASVPPPMQHDEYIEYHHHHHHRPPPPPPSSSSDGGGGGRH SRRVVVVVPPPPPPPPPRTRKALNSWMAFRAYYRSIFAPFKMQQKDASRHLTVLWRRD PFKARWAVIAAAYSRIRDQVGKPDAPLSGYLAIVCPRMGIVDSERYLRSSNNKLNYWA AAGAAASACRRQVMKKKDDDDGLVVWQQQQQHQELDPARWFTATFDHHHHHHDTLYSS MLTSIDDIVEFCASLGYISRTSFVALQRAHHLANGSSSNNRSSSPNPSSSNARQGLLV SGPPTTTTTLAAVPPNSAAPPSTLGIAPAAIVMHPAVSGSFLGVNSIPISQNLPLQQP WTGNMSEVYFPAVGSADFERAGLSSAPQRLGED LY89DRAFT_790686 MSPNSASIGAAPNTIAGVPGAHQAATELMNVMFADALLPESFRK QIKAMFKRGMKVIYFADADAITWPNGEHVLRAMAKAIEVVTELRAYVHLMCEANVYRI GQVIDDEDVIAVHAGMAMGEHVPDPNGTYFRSNGCFKCFGVGPGPFPAPIPAGQPPPR PAYPVFPYLNKKGKIVKKTCKPRNPWIIYRASRHADVKNDNPTLHTSELSSIIAKEWT TMDVELKGLYVEMAYNEKMFHKQNFPDFKLKPRKSEAIQRRRAARREGFTVDADVEVW AKTT LY89DRAFT_603653 MHISESPLATALLVGDIQRNGVENIPKSATLHRRDPDTPVAPAR HFNIVTGRGSVSFNFQPPPIAPAVPPTPANRGNNGRIPRPPNSWILYRSANHAKVKAA NPDKSNNEISGIISSMWCDESLNVRQHYAKLAEIAKKNHKVAHPDWNYKPRKTVDIKR RRGIARAVISRPVELFAKTKETLTIIQGSGNQVENSVQSLGGLEASCYQ LY89DRAFT_678962 MHTMQPVTRRVVGLMLRSPTEMESMFRHLLQRWMPNSDLLPSPD CSLSGSSHLQHAAGCTGGNGAEFAPGIVVDSVAITELGVVSAGRPTLSTSRCRGDAVL LNIPMITLVRRVMWVCQLNAGQASASASAIGEMAESSAKAGTGKGLHYCHPMFETTTG LPLVFNPIIFLLAMALASNAFKDYQTDDEIFALEPPVYDEIEDVPVFQATSCNGPTGK IQKACTCARQLSGAAQRAGFLNCTVYAIRREALVKANANGYADAELMKFSEQSGPEVF QTFYMAEGGVDGQNSFLNQPLRKDHLESFRGMFMQCNPELWQSLPAQMRYELEEQIDD LTKEIKTADEEASRELQARRHKLHKERQRLTLEELKKRRQSQPRNHQSHGAHEPSQGD RHRSFFGRVRHIMPKRNRLARTLFQPPTGPPPQPRRPVCSSEPHRTL LY89DRAFT_744028 MPKAGRKRRLDSESQSDTSGVKSDVDKPKPKRPRLQSKRQTPTI SPKPDRDGCHGIKSEPPNDTLHFVIYSVTDLEPSSTDLTTPATTPCSSSGDDFPPNAT GTASIQDATTAASLREPSHPPAYVDTLHQIHPRLLPRPIVPPVQGGAICSSPSRTSSS APRRPSSPTGSAYGVTEAEVEDRGSLGGEHVYPLDDGHSLRDTVTTQKAPRPTINDSS NEDDLPALRETLPRTEPEGDLADATLICEAVQQGHRDVPSGDLSISGYHDVMAVKTSG PPLREMHLPPAS LY89DRAFT_570764 MVDNLRGDGLARVYRVLDKLEADPLGLDRARMRFSQSPPLYTSN PSGTITRSTSLELPSDEQRRREQREQQFQRRRQLMDERAASLPQKQFEAEVKEERKRI WKTDPRT LY89DRAFT_744030 MSSSVTPFEEEREVGFEKFYPMTLGEVINEKYKVVAKLGFGSAS TIWCCRNLATNKYAALKIYAHDLVAEDEIDNETAIYKHLSTAGNPDHPGKMYVRTIQD SFFVTSRAGNPHQCLVHEVLSNDILSLRYTRPDRKLPEAMLKQILIHLLLALDFLHSE CHIIHTDIKEENILLGLVDSSIVEQLDTKEIAASSLYKSVNGYNLYKSANFGIPIRFG RPILCDFSLARNGQVKHCHDIQPDPYRAPEVILEMPWGYAVDIWNVGVMVWDMFENRR MFDGLDPETGKYGNRFHLASIVGLLGPPPLEFLQRNECSSVYFDDRGNWKCLNPILSV SWEDSERNLEVSNKKGFLDFVRKMVRWTPESRASPSELLEDPWLLGDVEE LY89DRAFT_769859 MSADRLPRSTRLQLSRELGELEERRSKATAELREATKSATGEGA AMKQDLEQWCTKDKKITERIERSQISSEIHYSVNTDDNHNTRDTSDEDPRPAKRRKSR SAPAVTPPLHLRRSPPLGSPSTTRHEIDEAQPQDDHGCSSTFVDDEQHRASRTSQEWP FQGFLKRTKIGDDMTYNLEFKLPSISEHLHLPINPVALDINHDAAAHSKIHQAPLKPK KSKILWTEEEDIKLLQMWNEGRSWEYIFAALPSRSEGTIRVRCSTKFKKRSRTEADRS LY89DRAFT_678968 MSFLDYGLAMDLGPANPTKQTSQEYGDHWISEWAHNIQVMPFSQ AMSRDSRTRRNQTVSLFAGLLEFAARRSIVLESRREGLVKVDDTSYSTARLMRFGGQH TDPSDVFSIADNEQEDRHFPAGDSKVMSVKPTAPTTETPGGPLSANSEEARVISIDKD SDMESLTTRGPKKYSLRHSQPPATLRNPGSEDDHWGETKVNICQEVSLASDTTVFDNF GDTQTNDNFGPTQAKDSPLISTKKNLSINQQTSDTVKGISSKPNKHKSDQPKSTRDAR RKRVRAEAFENTVIFLGSDSEDEIEVVPTLLVNSQPKSSTGSIDTTDDEVECRRKPNK RPRLTKEDMASLPVTAPPNSPDDSGKASDDEPKGRRKSNTRRVTTRESKGHFDAEVMW WDTARLGLIPIFSIISSRLLSRRYYIDPKKRF LY89DRAFT_790690 MSPEKGVFFYAKFNLSALLHLAGQIRDTLCSCDESKRPESGALN WAIVLSFEDGVEWIFRSPRRCHDISPETTARLLESEVATMKYVKLNSSIPVPEVFDYS CTGSNTIGIPFILMSKAPGYALSHFSWNARPEGIVSSRKPLPCLKRANKEKIMKQLGV ISSQLLNLRFDKIGSLFEENGEYRIKKCLSPALVWHERDSLDDDVLRGPFQHTHDYYE SQLSAFLLHVKELPLEQHAFFAPIPELREFETLLSYRSAVSRWNDFVTVGSKIDGSKN RLDYCVAGHFLRQMITLITQQPFAISGDFENGYPLSHPDISTSNIFVDSDFNITCVTD WAFSSTVPISTLLVTPSLPHPRDEVDTTLVPTFRASFTDHFLQGKDIKLNPEFWDSTR RAWLFLRLVTLDSLQDYRYFTELYVSVHKPEDDIDVPRLFKDVQKEEEFVELATTLAE DDRSADEIQRDEEEYFHYSRSEREAIARKLTMVAGLSEGFVADKRLWQWIEEAIA LY89DRAFT_557241 IIENCIFTILACTWSLQHLNIPNLDDKFWSKILRKCKWAVLTIF FPEFLMAHAIFEFVKPDDTFEWKLTHSYYANMGGFRLYTREDPPKTLTLTTFHLSKCW RSITKPQLSEEEIKDKIKANFFTKAVALVQISSLLLSILVRVRRGLAFSQLELITLAF AICGILTYVSRWYKPQDI LY89DRAFT_603695 MALSLEERELFDAILINREGALAFDWTHASKIHEDVTPPILIKT IPHDAWQEKNFPYPRALIPTVTKMLLERLGRGVLE LY89DRAFT_692635 MAPYEAAARLGIVIQGVEDLQLSIAAATSHFFAFFLVKRTPKWL LGQPFIL LY89DRAFT_415448 MESRTQIASITPSTSALSLKSLFYLVFVIEMRKGKSARGPSSLA KRALASSLTIVLVGCGNRLSPRCQEGYSTTISVRDKRMWSAWPDKLKKVKLLASLDSS ISQDSTPLLKCSRG LY89DRAFT_415459 MAANLSRKRVPSAAQQQPPAPRKESSHPLRGGKLLARTLGSYPK GWAEVIVEIDKIAILVCVLVLTTLRVLIQLPLALVACVDATISYFQYGDQDTSVSEIM EPVDSIKYELEMELKQGVVSSAVGKKAQGVAAPGENTNPDGMRRPSKPFVRLKAYRSV DMSTSGKNEKSERLPKRPSPTRHAHKRNLSSPAGASTQPSAPQRATSYSPANFDLLVN SSEIPNTTELPSSDSSEEHAPALPFQTKTPSVSSRSTSAELASQNITQPTINMARSLP NVQPNASQQIVVRAATLPFYGQQGAPNFTGRNVTSFLQDFDDLCKECYIVDAADKRSR ILRYVAPELKEDFKIVDGYGSALEEYDEAVFYEALKKQYKHADSASLKNTVSFLEVLV DKARVSILDLKDYVVLFDRHSSNLIKSKKISEDSRCRMFVKGLPPKHRDKLMDKQQYN PDGENTKEAYETMLGAIKGIAESEARKRRVAEEFDEDYHTLQRNIIQEVVNKSMPTSS SRRLPVPEAPTQERVRFSDRATIYEEVENGGAPLSHTTTPSRSQSKSPASSGSGGGAR LSARSSGGIEDLVEKLSTLTLG LY89DRAFT_769886 MAKNADIDTKQGKSLTAETSTESHMSCFLPGFELSKGKLLSLEV DVKGSEELQILLAGELAPFLVQTAWALVLRIYTGQDDVCFRYQQVEGHSLLRRVLVDE TLSILQTVERLRTSPTEVHHASGSTEPLYNTTLSTLAKSAASNANSSLKPVLSEQAQL SLEVQFLDHGLKIFFVWQDLEKASDHVLNIASTLQKVLHQSAEAPDTPIRDLDHLSDR NKDQICNWNKGSLESVEVCIHEIIHDRAFVQPQKEALCSSDESLSYHELDSYSSHLAR YLIAQGVEHEDFVPLLFDKSVWNVVAMISVLKAGAAFVPLDPLAPLSRLQTLASNVKA NIVLCSQQHSIALASIAKTIIPIDGPMIRNLPEHDDQLPKVNSTDLAYLIWTSGSTGE PKGTMIEHGAYCTGAKSHGPAMLMRSESRALQFASHTFDASLVEIVTILMVGGTVCIP SEEERLNSITTTMENLQVNWAVLTPSFVGLIDPAEVPGLKTLVMAGESMSQMHVDKWS HIELVNGYGPSEASVAAAVQSHVTPRTSPKNIGYPTGVHLWVASPDDHHRLVPIGCVG ELLIQGPTLARGYLNQSEKTEESFVPDPGFFEYNQKKWRVYKTGDLVRQNVDGTFSFI GRKDNQVKVRGQRVELGEIEHHLVTDPSVKHGLVLLPKAGPFRNRLVSVVSLSHTNSS QATTALALVPHRIRDPDQIRERLSSLLPAYMVPSLVLVVESLPFLPSGKLDRKRAQKW VESTDDEAYRSMMTMTKPTQTAEEAATPAIELQSKLRLIWSHVLNLNIDQVDLNRSFM SMGGDSISAIQVKGQCSKLKISLTVQDILRSKSIKQLSQFAKTLESSSHHEEIIGQHF DLSPIQQLYFALPNQGHGHFNQSFFLRVSRNIQEEHLRLAIEIIIQRHSMLRARFVWQ QSTMKWQQRITDDVSGSYRLKSHQIDHPENAHPAIADSQTCLDTALGPIFAADIFQVE GGKQLLFLVGHHLVIDLVSWRVILEDLEELLSGSPHTSDEKPASFQTWCQMQADHCQK LAIDKVLPNHSMPKDDHVPLSDASYWGMLESPNTYGTVDCKGFEVDQKATSMMLTQCH NALRTDPVDILLSSLIHSFALTFEDRNVPTIYNEAHGREPSGMAIDISRTVGWFTAMY PVHIHESASKDLINTVRHVKDFRRRVADNGRPYFAARFLTDEGRDRFSHHWPLEVTFN YLGQYQQLERQGALLMPADAMAGETRGAGGIADVGLETPRFGLFEISAVIAQGKLRFS FTFNRGMKHQGKIHQWILSCQQMIISMVSTLAEMAPEATLSDFPLLSISYDDLQTNVS RRLKQIGISTVEEVEDIYPCSSMQEGLLISQTKSSAFYAVRVIYELVSADLSIDADRL SQAWQQVVDRHAALRTVFVPTFSTNEGLYDQVVLKDYASDIIYMDCSNRNFAVRKLSE EVDAVGANRPPHRFTICKVSGGNLICKLEISHAIMDGASMSIIFRDLALACTGSLMSE PKPLYSDYISFLQNQPTEASVEFWKTYLAEVEPCIFPTLNDGLTAPKELRSLRLDFID SDYIRLRKFCETNGWTLSNVLHMAWSLTLRCYTSSDDVSFGYLISGRDSLVNGIEEAV GPFINMLVCRIGMEPKSRLGSVLDNFQKDYMDSLLHSTTSLAEVQHALHLSGSPLFNT ALSFRRLPQEQSVPSKVSFKECVPIYDPTEYSVSVNVEASENDILIDLDYWTDHMSPG QAANVGSAFLQALSMIIDNPDNLIEDTRLFSSMHDEQLQEWNRDIPKALGDCVHKVIS RQAYSQPDAPAVCAWDASFTFAELDAVSTRLAQCLIELGVGPEVCIPTCFDKSAWTIV AMLGVLKAGGAAVPLDATHPRNALELRVKDTRAKIVLASPSCVDLFEDMGVSVICVSD EDVNRLPAPTEFEGTMVGPENPCFIIYTSGSTGKPKGVVLEHRAIVSSSHATGTAYGF GSHSRVLQFAAYTFDNSLAEIFITLMHGGCVCVPSEHERFNDLAGAINKLGVNFADIT PTVAGFLRPSEVPGLKALSLGGEALTKEAIETWVDAVSLHCCYGPSECSINSTWNGDL GRSSEATNIGKSIGSVSWIVDPDNHDYLVPTGSVGELLIEGPILARGYLNDPEKTDKV FICSPRWANGEQKRMYKTGDLARNNSDGTITYLGRKDFQVKLNGQRIELGEIEHHVKS NLPSDAQSAVELISLERNKRATKALAAFFCVESNASVPASGLGNFILPMSESMRLIST ELETSIASSLPSYMVPRIYIPVKAMPTTSSGKLDRKALRSSCKSLSEAETSMYRLASK SGRPPSSSMEKLLAELWEQTLNLEANTVGLDDNFFRLGGDSVAAIKLISAARSKGISL AVAKVFTKPVLMDLALDPTLLSVGEETYADQAPVEPFSLCQKGLSIQDLLEELASQCR VHVDSIQDIYPCTAIQEGLIALSNKDPGAYVAQNIYRLSANINLAKFQQAWEKVVEAE LILRTRIVYLESYGFLQVVVQKPISWHYLTELQDIVQQDRHLPPHNGGDLSMYSIVED NGAEPIFIWTAHHALYDGWCIPLMLQRVEACYYDISSMDKVIGSAYPKFIRYLSEINA NESENFWKLKLSGTTAVHFPPLPHPSYQVHATGSFTHSIQIRREAGTHITLPSTIRAA WAIVVATYSGASNDVIFGEILTGRDVPVSDVTNIIGPTLATVPTRVQVDSEMTISQFL KDVQVRSAETIPFQHTGLQHIKNFSEHTAHACGFQNLLAIHHDEADLEDGFWNLQSGR TVGTNFYSYPLTISCQIGHGQVEIEVHYDQEVISTWMVEKVMSEFEFLIERFNSPEMM NVRLGEMSLLTRQDESLIQKWNSGPLYFAEDCIHHLIQKVALEQPESKAAVESWDATL TYRELICLSSQLAGRLVETGARDTLLPLCFEKSAWAIVTMLAILLSGATFVPLDPEAS VSDLKDIVGDTNAQLILCSPKYEQLCSKFAAVMVVQRDVIENWPKMVGIPTVASIGPA YVTFTSGTTGKPKGTIVQHRTFCSRIATHGPALRMDSSSRVLQFAKYTHDASLLEILM TLTIGGCVCVPRDDRRMDFIADVITEMKVSWALLSPSFIQLIQPSTVPTLQTLVLTGE SMARTQVSTWADKLQLLHAYGSAEVSVVATVSTQLSLTADPTNIGHAIGCHSWIVDLS NSNRLVPIGAQGELVFDSPALSQGYLNKETMTSEAFFNPPNWALKTSISKEVAQRKLY RTGDIVKYTSNGDLIYCGRKNTLTKQHGRWLALAEIEHRLRTDPFIQHAMVIVPKDGA CKNLLVAVISLQELAAANCRGAGLKVVVRDANAFYLPVIRERLCDKLPASSVPSEWVI LQSLPILESGKLDRHRIERWVEEINSETYHQIANLNSIDLSIEGTKLEMKLQKVLAAA LNLPLEVIGLHQSFLHLGGDSLSAMHVVSKCRAEGLGTSVKDIIQSRSISDLALRVTL PEEMAEEVEATDQWFGLSPIQKLYFECIGDLVNHFNQSVLLKLTRRMKAEHIATAMEA VVDAHSMLRAHFARSESGEWQQSISRRATYRFLTRSTSFNQIPSFIQESQESLDIKDG PVLAVDLFDTEDQGQILSIVAHHLVIDVVSWRIILQDLEDILQGVNTKPQSALSFQKW SHLQVEHTQSIMSHASPNLEEVPVANLGFWDMENKPNTYGDVIENRFELDSETSLRLL GASHEAMGSETVDVLLASVLQSFRKVFSSRSTMPTIYNEGHGREPWEFSTIDLSRTVG WFTTMCPIFLPTRSENNPDLLNAIRWVKDLRRRIPQKGRPYFAYRMLTEEGQERFSNH WPMEIAFNYLGKMQQPDRNDTLFQTVSDPNIATLDIGRDVPRFALFEISASVTNGSLK MTFSYNRNMRRQAKIHHWIDECERCLRDAANRLVQIHPERTLSDFPLLPLAYDGISKL VQLLPQLGVRSIDGIEDVYPCSPVQQGMLLAQSKDPELYAYSTLLEVHAQEGHLLNPH ALADAWQAVLHRHPVLRTVFIDSICQKGLNDQVVLRDTSARIAWLECEDSQVKETFEQ QKPISFVDFQPPHRLTLCKTNQNRVFCKLELSHAICDGTSMPILLRDFALAYTQAPAH MAKTHALQNLELPPAAPRYSDYIAHILSNRSTEVDLNYWKAYLAGAEPCHLDVLNDNL KVEKNLRTLVLPLTDSSELERFCGKNGFTMSNVLQFVWAMVLKAYVGTDEVAFGYLTS GRDAPIQGIQDAAVGAFINMLTCRIRLDRTTPVNEALHKIQTDFVNGMAHQSCSLADV QHELQLSSRSLFNTAFTFQKRTEDRVSSVSSAPTIEFGVLDAHDPNEYDVTVNIEAMK SGVEVHLGYWTTCLSDAQAMNIVRTFDHVLNEIISRQKLNYKIGDLDLFSDHSSKQVM HWNRRLPVTVDRCIHDVIHGHVVRKHTAPAVCARDATLSYPELDEISTRLAFHLVELG VGPETYVPLCFQKSAWMVVSMIAVLKAGGAFCPLDHTQPHGRLKSFVDDVRGDLILCS QESFGKLSGACTKIIVVDMNLVQTLSYPAETYSFPRATPNNSAYIIFTSGTTGRPKGT IIEHGAFCTSALEHSRAMDLTSMSRVLQFASHTFDASVMEILTTLIVGGCVCIPSEQD RMNNLPGVIKSMKVTWTLLTPSVASTLSPRSVPSLKVLVTGGEKMTPGHIAKWKGHCS LVNAYGPSETSVIASVGWKVDKNGNEVNTNPANIGQAVGGRAWIVDPYDYNKLVPVGG IGELVVEGRTVARGYLNNDVKTAECFISDPPWLQSMETKQRLYRTGDLVHYNSDGTLS FVSRKDTQIKLNGQRIELGEIEYNVKVGLPEDYLSAVELVAPMSRIATKALAVFFTHQ VEDHGSVEDERVSGVDEILLSMSNSTKQLARHLDSSLATVLPAYMIPSFYVPVTRLPW TSAGKLDRARLRNILQTLPTEITEQYRPTGSDNKSLVGPTSAMEKKLQSVWENVLNIV APKSISAEDSFFRLGGDSVTAMNLVGLARSEGISLTVSDIFKNPRLCDMASVCTTLVE NHQSEIEPFSLLKSNEPLGEILEEVSQQCRVDKQLISDAYPCSLLQEGLVTLSIRQTG AYVIRNVFKLPKDLDVNRFKTAWERTVEDLAILRTRIVHMKSSAFVQIVLQHDPIEWN MVENLDNSHDFDIPADNGGVLTRYTIARGVNSGDTHFMWQIHHALFDGFSLPMILRRV EKLYHEESLQLPKSLYSSFIQYLTSTDEEISNEYWRTTLDGAAPLQFPQHQKLAFDHS GASQVLTHTTQISKNTASMGFTVATTIRAAWAMVVAAYSGSNDVVFGETMAGRNIPVP GITDVVGPTITTIPTRIQIDRGDTVAHFLQQVQKMATDVITYQHVGLQRIKRLSSYAE LACDFQNLLVIQTLEEKVDSRFWDLQTDEVASNFFTYPLVLECKGHSNKVQITAHYDS SVLSQWQTERIMCQLDTVLRQFSDLPKLGMKTRLHSVEVFSPQDKALVQKWNADIPRI IDSCIHDDFEDKVVTQPQAQAVHAWDGSFTYRELLDHATHLAHHLAGLGVGPEVFVPI CMDKSAWAIVGILGILLAGGAFVPLDPLSPRARHQEMIKDVNAKLVLCSLHHRDQLTG LLDDILVIDQELLDSFFRLPAPTQTLRRALPRNAAYAIFTSGSTGKPKGTVVEHRAIS TSSVAMQRALLMSPNARVFQFASFTFDVSVLEMFTTLTCGGCVCIPSEDMRTSNVSEA ICSLNATWAFLTPSVANLIEPATVPSLNVLVCGGEPMSIDNVQQWASKVTLINGYGPT EASVIAISNSSVTEQGNPSNVGFALSSGRAWIADSQDHNLIAPVGCVGELLLSGPLLA REYINDPFKTEESFVDWPSWADAIDGTSPNTKSDDEAHICPPYRMYKTGDLVRYNEDG SIVFIGRKDHQVKLHGQRMELGEIEHLLDLDSEIQHAIVALPKDGPFRKRLVAVVSLN TLATSEITKNSCNLVLDEPRAATGRAYILEARNRLTDRLPPYMIPSSWLLVDSIPIRA SGKIDRPYVETWLHNIDDLTYERILEMDEENEDTTPATKTGRILQRIISRVLNIPIEK LPLSKSFLSLGGDSITSMQVMAHCRKEKINFSLTDVLKSKSIHELASKARYDNQAQHQ DEIEDQDFDLSPIQKLYFQSQAADSFQGPSRFNQSFSLNVTRRITPEDLSSAVQKIVD QHSMLRARFRKGQQGTWQQRVTRRRGFDNYQVHHIDLESEIAPLIRTIQSGLNIQQGP LFVVDLFNVGEERQILFLVAHHLVIDMVSWRIIIQDLEEVLNTGALGSEKPLSFLVWC AMQQDHTRKATVDDSRATLPFNVPPSQPSYWELDSSQNTYGDVICETFTVNEYVTKLA LGDCNKPLRTDPIELFLAAIAHSFSRVFFDRDVPAIFNETHGREPWDSDIDISRTVGW FTSIFPVHVPIDTERDDPVETVRRMKDTRRSVPDNGRPNFARQLLTTEATHVEDSQQE PMEILFNYLGRMQQLEHDEALFQQWDHPEDEETSAMVSDVGPKTTRLAIFELSASVVR DKVLWQG LY89DRAFT_633254 MDDQASGSCNSIDRTFGPPAKGCRGGFDFTLLFEEIFLSLIPMV LVIIVTFFRLPYLFRRQTKVVWSLWLPAKLILYGAYEVITLLLIVNFSNPSIQKTKAS VPATVLTLIGYIFLPILSYAEHVKTIRPSLILNVYLLFSLFFDGVRARTLWLRYNDKI AADFTAGLVVKLILVLLEAVEKTGILRPEFRGNSPEATGGIYSRSFFWWLNGLFRVGW SKTLSIPDLFSLDKHLGSQYLRNLLQSSWLKGSPQSRHGLFFMTLKVLKWPLLSAAIP RLTLTALNFCQPFLLHRAIDLSQQPIGHQSTNVGYGLIGAYFLVYVGIAVSIVVTGQF QHITYQVITMVRGGLISMLYSNAMTLSIHTADPSSSMILMSADIERITTGWQTIHELW ANVIEVGLAIYLLEQQLGAACAIPLAVAIVSMAGSLVATGLVMARQAMWLEAIEKRIS ATTAMLSAMKGVKMCGLTDVLSKNIHDLRIDELRISKKFRKLLIWNMGFTYITPVAAP VLTFAVFSAIARGRGSTDTLDTARVFTSLSLFTLLSDPLQSLIMTLAMFMSSVGSFQR IQDFLQRDVRVDSRMKPEFGAFIRGSGESKKEIIPEADLDAGKSHPATLHENVGNWPV LDQDAIAVVDGGFGYIENEPLLSEITISIPRVKLTIIVGPVGCGKSILLKAILGEVPT LSGSVQLSSSEIAFCDQTPWHMNGTVQQSVIGISEHQEDWYRTVLRACSLEDDLQQLS RGDQTPVGSKGISLSGGQSQRISLARAVYARRDIVILDDILCGLDIDTENRIFHNLLG PKGLFKKQNVTVIMTSSSCKRLPSADHIIVLGDDGKIAQQGSFSELNSQSGYVQSLNI SLLESGSKPRDDSGTQKQNSDLALLRPSETSIDDMSRRTGDTSVYLFYIKAVGWLPTF IFMVAICAFVFCLSFPTIWVKWWAAANAVAPNQRLGYYLGIYALLGVFALVSLIISCW QLIITMVPRSGENFHLVLLKTVLNAPMSFFSSTDTGITTNRFSQDLMLIDMELPLSAL NTFATFILCIAQMILIGVASFYAAISFPIILIAIYLIQKFYLRTSRQLRLLDLETKSP LYSQFMECLSGLATIRAFGWEHAMEEKNLKLLDNSQKPFYLLFAVQRWLTLVLDLIVA AVAVLLIVLVVELRGIISPGFVGVALLNIILFSQSIKMLMTFWTNLETHIGSIARIKS FTSTTASENLPEEAGIPHPSWPTRGAIEFRNVSAEYRNDEPVLKNVSLSIGAGEKVAV CGRTGSGKTSLVLSIFRMIEMSHGSIIIDDMDLSTVPRQEIRSKIIGVPQDSYLLAGS VRMNVDPHIASSDEAIKDVLKAVELWTSIKSKGGLDARMDDIFLSHGQKQLFCLARAM LRPSTILILDEATSSVDSKTDELMQRIIRERFSNHTIIAVAHKLDTILDFDKVVLLDK GVLVEFDSPYELLGNSSSAFFKLYHNSHTEEDDSENHSMWSGDGLF LY89DRAFT_603696 AAQTVLPSKDVSTGVALKFFGQSLGGAIFVTVGQSVLSNKLVKN LTKIPGLLASHPGFDPSQIVSLGALELQEYFGPQYSDAVILAFNNSLDSVFQVGAIVS CLALVGALLVEWKSIKGVKIEKPAPG LY89DRAFT_678974 MSFSSIKNMLEIIHPTIKDGWFHEISEMWPGQAMTLKVKKILHH EKSKYQDILIFESTNYGVVLVLDNVIQCTQRDEFAYQEMITHLALNSHPNPKKVLVIG GGDGGVLREVLKHDCIEEAILCDIDEAIIRLSKQYLVGMSVSYRHPKVKVYVRDGFRF LESYKDEFDVIITDSSDPEGPAESLFQKPYFKLLFEALKEGGIIATQEY LY89DRAFT_603691 NPAKCTVVTSRSDLPSLLVYGQEIPRAESYTYLGFPVTANGIDF QQYLDQRIQAAVGRAHWLGVQSNSWGPAHRLRIYKQFLTPIFEYSAPLVWA LY89DRAFT_678976 MNRSRLPQFVLEGGKFHLADALFNSFKFKIRCPNCVGNPLKPRF IKDEAEQEEYAALQGYCNLGTTHTTPASSLGSQTLPRKRKADEELPFLDKTTRHSQAQ ERRESSGPNTSSLQSTLQHLESMIEMSKTWQEQHRMLTIFLTSSSPPQPTPSCETPSW SSPSLAPKHIFSSDASIPCTYPEDELSSSPPNPSSDSTKPINVDLSSSMPKPEPQSKS TSPVKVYVRSAVFKRSYDPAPPTDIPSSSPVSPPPDRPFDPINRARELVQQFKQARAD PATATEKRRAIRQQARTDGVYSNFQALLNQSAPNPELKCSERT LY89DRAFT_744044 MALGFALALAMPIFVVISRLSIKRSWILSPKSPPITALVVVDEG FQTSLAVGIWLLKPNLVVNLFPLSRTLGLELRRIRRTSNRHPKLGLADAGLDTETSAR AATGRTPQRSIPHCSPVKSQAAPATSSPCAWEKAISRLVGPIYGPEDLICGWVTKRKS KRKASFSLQWPAKRLVIDPGSRPNSSPEFSDADDKDDEN LY89DRAFT_744045 MASDWPPSTAPSITPLMPSFSNTLPKEQFQASQMNAKPAPSQLY DMAGASRLSAPDPYPTIASNPSLAPLPIDGNLSLAEDLFQPLDPSLNPTFVPAFDPAF DPAFFDKLHEGRYWCEVLGCLSSFTRKADRDRHVRSWHNCATLHFCPVPGCKKSLGKP YSRKDKLQEHMQKKHPAPPQA LY89DRAFT_692640 MSDGFLYKPEWQGLLCTQCGVCLRPGRSVWLRHLKQKPHYLRGV PLKALVELFATYGLLVP LY89DRAFT_692641 MHTSFAVPKNAESEPELFLILEVIDEIFSEAHSYRFHTSAAPGQ KIRAFDPKKEPNTLKTNFGY LY89DRAFT_633257 MTVNIIKTKFVANVGCFEVNDGANDEDAEEIEANIRVITKQRNH RWPYPPESACFNSVEGLMGA LY89DRAFT_603686 TSEGKSLLFILPCILPNARVTILVLPLMSLRGDLLRRVRELGID HLVEQQDAPLVFIIVKVAYTEQFRTYAHKLAATQDLGRIVFNEAYLTIIASDYR LY89DRAFT_564216 YTADTGTAKQKEELLRTWLASSDQPYIVATSALSASFDYAHVRL VIHINKPSSLVDFAQESGRAGRDGKEAYSLV LY89DRAFT_744047 MAANLSRKRVPSAAQQQPPAPRKESSHPLRGGKLLARTLGSYPK GWAEVIVEIDKIAISVCVLVLTTLRYGDQDTSVSEIMEPVDLIKYELEMELK LY89DRAFT_415640 MFVKGLPPKHRDKLIDKQQYNPDGENTKEAYETMLGAIKGIAES EARKRRVAEEFDEDYHTLQRNIIQEVVNKSMPTGSSRRLPVPEAPTQERVRFSDRATI YEEVENGGAPLSHTTTPSRSQSKSPASSGSGGGARLSARSSGGIEDLVEKLSTLTLGQ TNMEQKVSSLSSNVERIVNSLQQNSQQGSRRGSFGNSSGYGVSHGGVGQPYSGQPLRN QSQNQGSQQQAASSAHIETRQNNTSTIDADFGGFGGSSGRGRNWSNNGGSDQPNMCFM CAGRTKNGEPDPNCKHNTIDKCPLTQELILLGVCHRNEEGKICKGPIEPGKEGTRIFF NNSSGRMWEQIIA LY89DRAFT_415637 MEDEEFPFVSVYNASLLESVFGVQDIEDLLSDGSLSKTEQKEEV DFFQFDNIVDGEWFEENAVQIEEPRKRYRLDESVKTRYRLDESVKTEPQNTGEKTFLS IFLEKFPDWDAQKAKEILNQVPSAAEVKKFEDFCEFLFPDLNCKDCDDDLCARCMTRH TPFPSDELRRDNLARYGRGEAAEIAKMEQALQKNYPTFRPRYRPRRRPPPVEVNGMYK SKAKKV LY89DRAFT_744051 MRLESYDPETYQRLPSISDAVAKFDFIDRESLISTTIRELFLSH KMDRTFGLILLHRYFDINETKRLVDYSGTSVPWRLSKTSGNIRPSNWLLTANGVYDYM SFTTPL LY89DRAFT_415683 MKTTKIIIHYSNSTIYYLLPLIGFPPHLPTSAHLLGILAIRSRI CFKVILSHSS LY89DRAFT_790700 MAPSVEGFHPENIPSIVTGFRNDGTSASFCFGTADRPLSGKECV IYAVQFPDAATWAVRVPVHVSHLPPESITNFVETEVSILKRLQTSEFSRSPRLLGYNS GFDNPIEFPYLVLSWFEGTQLEWSDTIPPQRESRNKIIRQIVDIILELADCTKELRTG TSASKYLIEIIDRKIVRASNGQMPELQLRDCFIQRALVSRVVHPALENSPFLISHEDL APQNIIVDSEYNIKGIIDWGFARLLPLQFAVGFPRFLAIEPVEIDAPTPPNVVSSSSA FLQPSPVLQIDRQNFISYLSSRSPLVEPSTPSRAISLAQSIKLVLSASDVDWRRLLFE AASSKGLHRWMAKRSWLLHSTGSKWSTCGSSLVEEVDGFLTGKIGQKSGLSWEILVDA IKI LY89DRAFT_692647 MNAKQVMDAFMKALQEERKQEHEERGRLLKQGHEERKGWFFFIA ALVFGLLFLLGWAYRH LY89DRAFT_603715 VVELFRLVKREKELHREILAFSISHHHETVRIYGHYPVIDGNKT TFYRHPIRKFDFTELDGKEKWTAYKFTKNIYDIWMPTHLKRICSIIDNLPPDLDFEVS ETGESGLSQGLESHHLFD LY89DRAFT_744053 MRPLTEIETHTLFKKLASFAGPSLKNLIAPLDNSPQSDRFVFRL HRDRIYYVLLSHANLASSIARDKLLSLGTCLGKFTKTGRVRLHVTALPLLAEHARHKI WIRANGVMPLLYGGHVVKAHVGRWSEGCLEHQEVVFCSMDDIPLGFGVTARSSTQAKQ LDPTAVVCFRQADAGEYLRDEDKLFAS LY89DRAFT_726713 MRSRTFRSKSAPIAESEILLLVSKFANLVAAKIETGTTNGKKIA ALLDPQLHPRPPKPSRRGRQLPEGVCSGCRKRDAAPGLKSCQACRDRKRREWSRRAKP AQLLLVTRPAVEASPLCLGCNKRDAVPGLTICQTCRDRRRFRDNRALKQGKCSGCKIR DPVPGLKSCQKCRDMKRQQYSRREKQTKLLEATELLDKRPLSKCSSCRIRYAVPKFKL CQLCKNRSNFRARRLVQQGKCSSCKSRYPVLGLKTCQNCRDKRRRPKKQRVEETKRSF NGFGGSTDSVVISNPNDTKHALYEGPQVPKLPVLKPPPRLEVVGKGKPPNFRVANSAD EPLVLEQPVEAMNLQNVDSRRCGSCYKHDVRPGRLQCESCIHSMEEVLAERKALGLCA DCDDVPVPGSNRCEIHLTSMREHSAKAQKYARARVLQRSLDGKCTYYVREKKASHQQN LLSRLF LY89DRAFT_603709 MESLTYRGSTSDFSRFKSGIIIKSPMKVWAGNPNRKKLEEEMEE AISFERRILEKLGSHLRIVPYLGAHAPSRGILLTEASHGNLQAYIDFNNAAINNSLRW KWSVQAVEAVAYVHRQGVIHSDLRPENYLVHATGELSLDLWLCDFGGSRCDELGFNVH HLPDEPFFDPRMPWESTPATDIFSLGSIVYTTLTGYWPYREGPPPVTVEDKNAYETHV NEMFAAGIFPDVSMLRGGKVIKGCWDHQYKTVEEVLWAIKSEMVTLEK LY89DRAFT_566771 INIPEDVINWITILTNLEDKFVYHFEWLIAAALTQTYACVVENG LEYSKLVIGEADVFLRLKENEPHMLYYHLAEPNIEAEAQSDADI LY89DRAFT_415754 MSGGPAKLMTATQSILSIRQQAHIHEMSSRQDSDFARLEQLLQE ERRNRREADEQAEQADERAKLERRNRQEAESRAQIEGKKTKPTTFEEYIRACHTLLSK SLRIQTDKSLSTQGSITSPKNKPCPTLLKP LY89DRAFT_712694 MPPADTVQTGSSLALSQPNTPPSSPNPSSSEHIVTLNNAQQFID MVKAVVAMQIALPPVPKCACSHTPDTPTLQGFTQPLTVEDLEQSIAKTIEAKLSTSAG KSEDPKPVPAGAQLEDVAAKASRLEFKTVDELWDGKAHTYAIVESLKPVGQVTGLDQY VFVVRRRVDRKTKVTTCYVDVKSEFLRDILRDVLKDIKGISLNESKLSIEQNLLYTTL PKLELCQSWNEIAPLDQERTSHLGLLIDYLKSAYTDTTQSMLSLLLTGYITYDLLWAL FKPNDLVYTTCSGTHKPRCVRYNFGEEKRTKAGTKYWSLDCSYLDFNGEDLCLLPIEV KIANFRGAKCVTALAAFPLQYHAEAARVRAELLGCGRKFMSLRGSHHRYCNGNAFYVR DGQQVEVRIDSRIMVDAAYFWKMNPNHFRQTAEMTDKYLLICCPIVPGFSLKDKLWVE FAVIDIEEIKWSLMLFDCLALPDEQKEAIMAVTETSRDPDSEFDDIVVGKGRGIIVLL YGKPGLGKTLTVEAIAEHRQKPMYSIFAGNLPIDASRLESQLFKIYHIAKHWDAILLL DEADVFLEERAPKDIYRNSVVSVFLRTMEYCQGIMLLTTNRVTDFDPAALSRIHLKVK YNDLKNNAKSEVWRNFLARKCTSYGADRIE LY89DRAFT_790704 MMSSQEKQTKSPRARAEARAEAKADNDLSFGSEPEFLKNNNEFE HHAACLLNNPLTDPAFDDNTENEDEMNAKQVMDAFMEAQKRWFFFIVALVFGLLFLLA ALVLGWGHARYRY LY89DRAFT_790705 MPPQRPPLARISGNRLKNQELSPYQRGKAIGMLNGGLKFCQIQK RMKCSRGALRSTFDIEALRHEGESLPRSGPPLCYTEADERRLIRHVRLHPKDSYSQLI LALGLAFRARRRPELTEVNAAERLVWCLKNRHRNAEEWGTYMWSDECFVERGRGKQTE WVFCITN LY89DRAFT_692653 MRADEIFKKLEAEQERGYNNREQARNDQQQARKDRKQAREDRKQ ARKEREHLHEMIKAL LY89DRAFT_415953 MMSSQKKSPRAKAEAGAGADDLSFSSEPEFLKENNELEHFENYN DQFEHLETRNDQFEHHTNKI LY89DRAFT_790706 MDETSSDSASFWARADKYVLNTGVPSLPVIITSAKGTRLYSNNN AQILDFTSGQMSSLLGHSHPEIVAVVQQYVAGLDHLLSNMITHPVVNLAERLSHHLPA PLQKSFFLNTGSESTEAAIKMAKLYTGKFEIVAFSASYHGLTQGAGSATYPTGRKNGG PTMPGQLAFPAPYAYRSPFRTADGSYDWETEMDYGWSMIDRQSVGSLAAFIAEPILST GGILEPPEGYLVRLSAECKKRGMLLIMDEAQTGVGRTGKMFAFEHSGIVPDILTLSKT LGCGLPLASVSTTVEIERGCKEAGFLWLTTHLNDPLTAAVGDKVLEIVERDNMCQKAK ERGEQLRSGLLKLQEKYWCIGDVRGRGLLQGIEFISDAQTKAPGPDLGQAVSDRALHC GLSCNVVNLPGMGGVFRLAPPVTVSAEEIEEGLRILDEAFAHVLSTHEKAVDGVV LY89DRAFT_744060 MATYRAWNFNRFVHDLIENSFESLSILSVDSISIISSLVRLAGG LFFLSVAYILKLVCKACGGVDISMELNLDLDVSVISKYLLQTISDLLYLSVLWVFVVS LLVTTFLIGALCFLVRVAGIFFRMIESIHIPRRISSVATTFGYIRDYVTFQCTILIPW KSARKILDTHPPSKLDIYLNDEIHHILKAIIFQRLEAECSCASHTEYWYDHEEEGGEQ EENANSPEYAEAEAQ LY89DRAFT_678991 MSSLSQNDISAIGGVLALRSHDKIVDLDELVARTDDSIENLLGN SEIYGIDANRFIAHVNSEEEDHHIKSLEKTTTSMKDTSPAGDSLGPHYFGGAEASGPI EDCGNSSTELGHWREYLDDFPEESLSPVQMSTSESVSEGDEELNENIFGMYYGEAPTY DYLEDWAAVSISDRDLVAAQTNMRIDESFVEISAPSETHVASLDSWIDWIDWSKAQVA SSESWMDWAEWSKLLDDQISNEKEIKEAAKGMQKKSNWWCKD LY89DRAFT_556309 QTKMIPNKHYMDHQVELQWYMRPILLEWIVQVRERLSLAPETLF LCVNYIDRFLSRKTISVGKLQLVGATAIFIAAKYEESVPPKYEESSPPSVGDIVHMGD GGYTVDEILKAERFMLSMLEFELGWPGPMSFLRRISKADNYDLKTRTLAKYFLEVTIM DERVVGTKPSIIAAAAYFLANAMVINSGWTPAHVYCSGYTKSQLEPLATMIIECCKNS KDHHPVVFWKYASRRYNHASTYVQE LY89DRAFT_662449 MEIWTNVQRRQIDIFCREIGPDLNSNHQSPELQWSPPRKMSDYC LYLMSDIVSEAVLDESFETLTTEKNRFILQLVHRSYEWIGIVFQAPQIARYGLDIYLL PELARERQRLRDIFRGLLQKRIVREKAEPDIFSIYMDYKDPDTGEAFPLYELGAEALL LFGAGPDATSTSLTAAFFYLARSPEAYRKVCDEVRTAFSSVHEILPGLTLSGCHYLRA CLDEAMRMNPVNGGALWREVLPGGAIIDGRSVPAGVDVGVGIYSIHHQPDYYPDPYAF RPERWLTSENSQHDVEVAQSAWAPFSMGARGSAGKPAAILQLTMSLARALFLFDMRTP ADAHLASVGQGKKGEVFPRNLETEFQAKGTFSSILHGPMLEFKLREH LY89DRAFT_436683 MTRSEALSDLVKPWCKKVMDDPQWERLSTRAKSSNALFTETLWT DETICAHQSFYRSQRSENLPSGEIRVLLSLGSGLDGHPGICHGGFLSLIFDDTIHELA AKELPTLAVTVSLTVTFRQPVRTPALVVCRAWMEKVPVGRKAWIESTIEDGKGGVFAS VASFILKIKENI LY89DRAFT_790709 MDSEGGKMSSTESQSVSPRSLHVLIVGGSICGLFAGIIMKRLGY TVRILEHNPASVLPAYGSGIVSGLSVQEFFRDATHPINQSAQRAVSGRP LY89DRAFT_436695 MGALLAFLFIYVLGGLTFIPLLIAAILVHAYLTFPILNNTTDRD DRAESIVQPGDDVDAIKRAQQTLGEKHQPRDGHESEVFAGWFAVSREYTERGLNGKPP ERVTPLGSTTVSAPSPSVYQSMYRSIFERKPSNSPIENKGNGKPPKRGNNVFYVVLRH GHLMLFDDDEQIEVRHVVSLAHHKVAIYSGGDETPEGELFIKRNSLCLSRRTDAGEMA PDGKASKPFFLFSENCSEKEDFYFALLRNQERKAEAAYNPPIPLHYDVKDIITLVQKL HSSEEHLQTRWMNAVIGRIFLALYKTSEVENLIRAKITKKISRVKVPSFLSKISLRNV DMGEAAPVITNPRLKDLTVDGELVVEADIRYSGNFRLEVATTARIELGSRFKAREVNL LLAVVVKRVEGHVMIRIKPPPSNRIWFTFSSMPKIDMTIEPIISARQITYTLILRQIE NRIKEVVAESLVVPNWDDAPFYNTEHKTWRGGTWADQGTMPVPLEPENVVAQGGGVDE VEHLEAENEEATMGLPPVERSITAPLIDATPPPRTAYARKVAKSSINLIGSPGSSTPI VSTDITNADAFKSSTPPEHSHAMAAISALSQTASPTSTPVGSPSVPSRMDKPGSQSSI SSRESATSETEKDTQEELPPQPSLNHSLGRDPRRENSSSSSGKSVASENKRLSLAAVS NAAATAKKWGWNALQRHGERKSGGTTEEEEVPSRPLVMGRGQPLPPPGVPLPRPSKAT KTAPIAVPKRKPIPPPPPSFPFKPPGPSEAPDSTAPSSQPVPPPLPKRRSREAEKVLE DAQDDGLLVVAAPSGDWEPRTPMSEHTPSYLPPWVDDGDENAEDFDPTTSQTTTASEP EPEPEPEPEPEPEPPKVPPRLPKRRPPHRVMVMSTSPEEDSHQLPSVSNV LY89DRAFT_603730 QKTIDPSVDAADLAALLAQISSDRGSPIQQTQYPSPSRSSTVEP PENAMQEYETKCNQALLDDGCRPLFPINLLLQVLTNTDAYHDLLRPWARYPGTSNPED WQVFSRQLRRWEEFRKWQLGNRRQTVGFSEYLEEQQREFERMGVAAGWTARPDFEQAI RSQWEDEYGHGQ LY89DRAFT_692661 MRADEIFKKLEAKQERGYNNRKQARNDQQQARKDRKQAREDRKQ ARKEREHLHEMIKAL LY89DRAFT_726723 MMSSSQEKQTEPPRARAEAGAEADDLSFGSEPEFLEKNNEFEHL ENRNNQFEHHTVLARPPNNPSTDFIFDTTTNDEMNARHVMDVFMEVQREEREREERSR ERNQEHVQRNQEHVQRIFLLFISLLFFVIFLHLLILWRWGNARYYY LY89DRAFT_790713 MQDATGFQNSKFYNSGAHVKASSNASTYSTDSWLTRVESVLGPK NLSPATADKHADARSESINSQSRVSLRPQKPTKEASHKIRRGRTVGSNRSKRPASTLA ETLLEADNATNVKFPQSKHHKTKQRNVSTAERASRRLAAKPVEYGIFANPSAAPPVPK SLQRNPSTRKRNSADPRNHASSKKSISVEAAKPQEISKSEREETRRSRPRK LY89DRAFT_744072 MDSLQMQKAVRPRISYKIAVVHQSLVHFINNHSVYQPLLFPLDY LSWQSLQLLHITAHIGDITLMTSPGTRWQGLNSGGRFDGGSSGRRGGSGWSGGGGGGG AEGSRRTLCRNIQQGGRCRLSAGCTFSHDLSNSNSHESPLQPRERLADTPEQQHTRED YNSWKRLIKTPPKRNDIGTIELLWNSALTILNADDRDWKQMLPRNLDDKRIMAVNIFR HS LY89DRAFT_770969 MQHLCCSRATLPLGYSHQALLDCLSVDTFVGGLYSFISGSNGTR AIPFFQYLSTNLVEADLGSIVSVSSVETMLIAMSTAIRELLRREQRAAFHDDLLDLVN SLENATDVTGMDKQCVAFQNVINRIGELRATIARANSLLNHEEVPRVSGVSTAIATST YPRDTIVPGDRHDNDNTDNTDITKIQILPTEMEIRSSLPEFLPSTDLGQPHFLNDQAE RHLDTHFRLLRHDIFGELKDATERGVLYPDWGSPTATSSDQQLWTLA LY89DRAFT_436763 MLRVATVDSFQGEEAKIVIVSLVRSNKEKKVGFLRTTNRINVLL SRAQHGIYLISNTDTYSNVPMWTQVLRMLQATDFVGKAFGLCCPRDVDTEMQAFEPID FEKLSPEGRCQLPCDQRLTECGHRCQANCHSENLHRIFECPQPCQRLHSPCNHSCQKQ TCGKDCGPCMIRQNNIRLPCTYSKDDVLCHQTLNLSRIDCSVPVQKQLPDCNHIIEVP CSRDMASSPFSCPTACRIDLACGHRCPGTCGQCYRKDANDQPVVKHASCTKVCSRRLG TCNHICRRVCHNGAEYGFCFSACEVRCSHSRCTLRCHQSCAPCIERCTQWRTVKLILY VARDLYSH LY89DRAFT_560831 AAGAEVNAAPAGNDGQTALQAAAEVGHLKAVKRLLATGADVNAA ASEYGRTALQAAAGGGYREVAERLRAAGA LY89DRAFT_770985 MPNNREKKTDWSDRLQEAAAANRELEKVRELLKGVDVKVRDNLG RTALHDAASNGCDNTVLLLLEKGALVDAKDKSGRTVLHEAAKKGNVEAVRRLLKVRAD TTLKDGIFGKAALHWAAKKKHAEAVKLLLKHGADVEGIDKYQATTLHDAAESGSEVVM QLLLEEKAPVDAKDSKGRTSLHWAARYGHEAVVKLLCENGADLASEDSEGRTVVHYLT KYLKEIMLFWAAEKGYEDLVVVLLDGEAYVDANDKDYGTALHHAAWNGNMAVV LY89DRAFT_712698 MSVLSSSSVISLPSKTFVTNHHERHDFNLELLTGFIPTTVISAD NEAFSFRVDDVFDNYFLEIVILIRASLVATELSALFSQYLCSIGVKRVGFVVDRSLAA SKKLLDGPYFSSNEGLFRLWKLFPDSQSAFVASLYMNASIPEAAPNLAIAVPSQLYYP PSANKHLNGLRVTIKDNINIARVKTFTSSKAYGELYGSVSQSAPAIQRLLDLGVVIVG KTGMSQFADAEDPTGDCVDFHAPWNPRGDGLRSPGGSSFAGRSVRVPAASQSVYGFRP SRGTVSIDSVVLIHNDLDIIGVLSRNYHVLELVSQHLFHTTPSIIEDHAEDTRPTLIY PTHLFPIEDKAAQNMYDKTVSALKNTVEREEGQYHEKATFRAEYQAKFGRQPYVNPLA QLRWINRARMQPEHFKRFIDGIFGSRSFMVTPFKFGEPEARDIFRLAPAERVRKEFSW GMRPAFQSPMAGQPEIVFPVSQLPVFSQASHVKEKYGVIASLLGSRDMDMEILETVKS QAYKNQFYAGSCLNITQAGPS LY89DRAFT_679004 MGSTLRSRLPSSSPLFFQEGIHHHLITWGVISIHARTLITASDY KHTGIEETEIEDIAADSIKRLDNWSERLDLWNVLKTITVGSGFRLDDPVAEGIPGIWL ALKYQLERRYLPVSRLREIWKSYAIELPPSLDLEKLRWVSDSTIPFPL LY89DRAFT_662461 MPGPDEIRTDSSLIDEIPQTPTTPVSAEAFMSLHSMIQQDTSNE TCMTRVQRRVQKLANASQKAIAYCALLEHEKQLLKKINNKAKVRRSTQSTILGKSQGK VISFEDIEAARAARAAKDIVKGKGKRGRKQLKTKPKPEPEMIQAAKKVKKNTRRLTPK RTSITKADLPKPTPEAKPVKLTQDIPPVTWSAPVARMY LY89DRAFT_662462 MDETRVMLCILASIKVLVSRDDLRDYRGAAVKRTMVTAIECISA NACYHLLKQLDHVPYPWVALYVL LY89DRAFT_436813 MRLLYNNGDGNISLTKFLENDIPKYAILSHTWEEEEVTFEELQK GIGTTKTGYAKIRFCAEQAKRDGLQYFWVDTCCIDKSNSAELAEAINSMFRWYRMSTK CYVYLSDVSRTPVNIDRMAWESAFRKSRWFTRGWTLQELIAPTSVDFFSKESNRIGSK RSLEQQIHEITGIPKMALQGAYLSQFDDKERFLWIQPRQTTVEEDKAYSLLGIFDVQM PLRYGEGMASAFKRLEEEIDKLNKCLQDLRLSDPRDDKSRIEETKGGLLEGSYLWILE TSDFRQWRNNPQTWLLWIKGDPGKGKTMLLCGIINELKKSLPKSTLLSYFFCQATNSQ INNATAVLRGLIYLLVNEQPALISHVRKRYDQAGKALFEDLNSWVVLSEMFTNILQDP NLKSTCLVVDALDECEVGLPKLLDLIVQISSKSPQTKWIVSSRNWPSIEKGFNRATQK ASMCLELNEKSVSAAVSTYIKSKVNWLAERQEYDIDTRDAVQRYLSSNAHGTFLWVAL VCQELTSIPGWEVEETLSAFPPGLNTLYMRIIEQISTSRTAKRCKSILAVVAVIHRPI TLDELPSFVDMPPRSSGNYKALAEIIGHCGSFLTIRDRTVTFVHQSAKDFLTNTAVDI VFPSGMPDTHYAIFSRSLQVLPTVLQRDVYSLRAPGTTVSQVEQADPAPLAAVRYSCL YWIDHLLECQSREELIGDLKDSGIVYSFLRQYFLYWLEALSLLQSISQGIVMIQKLED LQFDKSPGLSAFIQDARRFAVSNRSIIEQAPLQVYCSALVFAPENSIVRKAFEKCIPS WIQTKPRVETNWNAMLQVLEGHTDAVTSVAFSPDGKQIVSGSWDGTVRRWDAATGQQL LPALEGHTDEVNSVAFSPDGKQIVTLHVSENWLVEGTTNLLLLPTRYRPTCEATWGGI IALGHSTC LY89DRAFT_744080 MTTLSKYKFPLKLDFWGYGLDTTKAESWDQANWLWREFRESNGG WKEEWFKKGSTEAWKLLVKFLVTRGVYVKTLPLAPGSSYAKLLYEVSMEEAPAEWTDE ERKIYKEKFGVDTLRHTLPRQDIERNPTRTLPPEGIPIVRPTIEAREDP LY89DRAFT_703086 MPLKLYYATFTDAPRIAEIYMAAFGSNALLCAQFPTPAVRAALQ VAIDVLVIRDLREKDEGGVSMQEDEVNGKVISFSKWGHPVHEGEDNTEPMWVWPEGSN IKLLDEWAHKTDVAYKVALGQTPCYRLSFLGTDPLYERRGAASLMLQWGINQCKKDNA LAYLESTVETRPLYEKNGFVRVEALSTSINGLLGDGSSMFYKEICFLFQAPF LY89DRAFT_703087 MKATRIPGSNSLELCLSHSIAGECVTIWTNTLASWKDSLNISTY LKESLFLTTVLLAKEGGMTTWVLRALTSDRAGNVSDTIVHGIASVFCCPDYRGRGYAL RMMRELAKVLLTCILYSDISKSYYAAFGWNPSLTNTHVVFPPKTSNNLTTKHIPVGDL PRLCEKDESMIRRAMAALAEKSKTRLTIMLDLDHMLWHIGKEDFACKILFGKVPYAKG AIAGLLGTQVWAIWTHRYYANPDAKTDDNTLYILRLVVENSEWEDIHLPDREKNPGYR QQVSHLQAVLVAAQNEAALWKLDQVKLWHPTPAVQEMIHLSGIEYSMVEREEDSIASG I LY89DRAFT_703088 MDPSVPIGDEYKAYSAKSMAAYRAPSLLQPHKARRAISDAHHGR IPPLIGFFSTLASLAITKLVAQLGFDFIWINWEHSNMSVETMTETIHQIQLVSESKTV ALVRILGHDHAAIGYALDAGASIVESLKGINNLDSILMAIGEHIDFVWLGNLDCRVSM GLLGFWGEEPEWVSALETLRTTLAKHNMLYSGLATRDDEWLISRGDGRSLMFTSSDSF ALLRAREELRHAKELFAVKDYSTLG LY89DRAFT_703089 MDAIASLNSICNKIGVSMIKSGGNAANAISMASTGLGGGGIALI KSSDGSYENVDFRETTLAAAFENMYNSNYNMSLFGSLANGIPKELRELEYIHQRYSRL SWQELIAPSINLARRGFPVNQDLVNMMKEISDPKFLLEDQGWSVDFAPNSTLLGVGNT ITRKRLANLLERISKEGADAFYAGEVAKATIAALQAANGTMTMKDLKDYSVVSQPTLA ITYRDYKIISSGAPTSGSIVLSVMKTIEGYGSMANLEFLELSTHRFVEAMRFGYGLRT QLGDPLFMDNITMFEKSIITKLMASRTRSKISDATSQPISSYDPLGIESLETHGTSHI VAADASGMAISLTSSINVLFGSRLIVPETGLILNNEMNDFSVPGFRRKRPQLSMSLVI VETSEGSFYFALGGVSGSYIITAVLQCLWNVLDRNMSLSQALEEPRFHDQLILNIINF EHSYNNDTTMSTAQRLHHIG LY89DRAFT_679010 MDTHATGLPSKSRPPSLISTVFTDDNETIDNDWNCETDWGYQAN WERNASDDEPDVGDDDEFWGKGKDDRNDGERDDKPRDLDPEGYFAGSDAASISSLSLE FRGAM LY89DRAFT_744085 MSRVLVLVQSRVLIFPPSAISLQPSLYSQQQAEIFLNTSNYFHA FKIATFAFKPYTYVLTAPRSKSRKPASKPVSIFDKLQRMKLSSAELNTPQSAAANNYG SDKLGIRDLIVLLGDSDSSAFKAEVDDSSLCAESAAAIEDLLNSPETTIAPAPPNSDM WHDIDDLLETAEHLQRSKPQPSTSNSIRPHTSSSSRASSGPLHDYTSVAGHQSSLCNR ALPENQPIYENHLCISKSLANEHELEVLSSILYIDRVNEEKKQQQELKQKKDEAHGED DGLQQDVNDVAATKKVDNTHLSDKGGSPRPAKRQKLLLSHDPLPKLSHNKAKDRSDSD SDDELNDNKAGLKEDSKEPCPMKRKQSSSFHNGPIQKGRKYYLQQRSSQQHRPYSKPH RRSPTLRTPFNQASRVTAVSSAEGRLPSLQNLSDGLSTTPALISALFFGNARHF LY89DRAFT_692665 MSSSQEKQIKSSRARAEAKAEAEAEVDNNPSFSSELEFLEDNNN NELEHFESNNQFEHHTTCVSPNNSSTDFVFDDNTEDEKNAKQVMDAFMEALQEERKQE RGERGRLLKQGERERDRMLKQGDRERDRLLKQGERERERERARTRRAKAMVLHRLAFT FLFGLGICWLPSLNLSSS LY89DRAFT_679013 MPGTNAYDQSRTRGPFSKWRRVGLTTGEAESKSRSARRRGNSRG DTSNSAGVRIVASAGPRHPYGRRAGGVGVGRERSEREQWRWETAMDLYLRWGSQSLVS ARVGLYDVRLAAIATCNKRKVNAHLLMRRATKLSLKRSTSKLHSWLALERFTRGLRVA CVSLYDVRLTAIATCNKREVNAHLRMRRATELSLKRSTSKLHSWLTGGVC LY89DRAFT_436873 MKDTAEMGNNQAVDNNNLGSRGSRALESYDELVELGNNQLKGDR TLSPVSTARTSPASACAPTKSQLCQQIIDTDQDWEVRGIIGREDIDGVLHYMVDWHPT LLPEHYTLWDIQRRW LY89DRAFT_603773 LEPGGFLEIQDALLCFRCDERSLKGTAIEKWAEKLHKAAWKSGK DWACPTKYKLYMEDAGFVDVKEVQHDRWPINPWPKGKDEKEKGMWCLQNLLLGLESIS MALMTRYLGMSVWEVRGDLECVEKEIKDRKTHAYIPM LY89DRAFT_726730 MTGFVRTMNTWWLTKVTIFALLLLLSPQHVLGAPAAVSNSTGRR RISINTSWRFSRFAINPDSLSYATLKPYMLPSGNDFILAGTQHARPSGTAPGSSITYI QSSFDDSTWETVTLPHDWAQKGPFNAPGISGGMGKKPTNGVGWYRRIVTMDASDKSKY TFLDIDGAMSYASVWLNGNLVGGWPYGYASFRLDLTSYMNVGDNLLAIRLDNPVDSSR WYPGAGLYRNVWLVTVDTIHVGQYGTFITTPSVSAQTATISLAVFVNNKGTTSRDIEV LAEVYEIDSATGKAGAAVVAAFPAAAATMAAGSTSSIDSSAMVTNPQLWGPPPAQTPN LRLDQWQRCSLGAAFHIRAAERQLQMLQDMRCNALRMSHNPPAPELLEIADRLGFMVL DEIFDSWTSSKTTNDFHTIWADWHEPDLRSFIHRDRNHPSIIAWSFGNEIVDQQESSM GGTVAQPMHDWIHAEDGTRPTTSSMNIATAGSSFANV LY89DRAFT_603774 VLNSFGWGLFAFLGSLATSFINVHYIAELNFFVSVINIIGLMFG SPLFAVLFSKGVELEEKWYSLPFSFALKLL LY89DRAFT_703091 MVKTTTLVLNKSKNFTSDNLILTPPTIPINHYGGPRNQQNRTTR PILLFHANPGPRFNYELFNCNNFNIRYWSWNYRGCWHQTCPPIVPR LY89DRAFT_603785 MHHHPQNQERALNLSILIVSGPAELLSYLDSWWCPSVNFFKFQP CDHTPPRTQRL LY89DRAFT_603779 MPLDVLGRTRATLTEPTRIPSKRKSSACADYVPALCTHRPSLLP IEWLSEAFGLAQIEWQHSIRAGKLSKLGHLEENSVNHRIFERTLRPVVFRRACLFERH YNHSSLAWLTSDQVGIPAELKHINKRRKRNQQGLPQ LY89DRAFT_662469 RVVICRRCFGCWLGLSSLEQDVIEGENPVRDWCQHPCKALSTSR VVWECSSKLVVNFI LY89DRAFT_744092 MSSSQEKQTKSLRARVEAEAEVKAGVDNNPSFSFEPEFLENRND QFEHHTARFSNNSATNPAFDDNTENEDEITAKQVMDVFMEVQREERERGERNQERVQR NQEHVQRIFLLFIFLLFFVILLLLLILLRWERYAGYRY LY89DRAFT_744093 DNSNSNSGFPTKYQLGQIASDDELTSDGKDEEEDAKSDSTEESE NDKETHLEENGRGKDAVKDAKVARTNRKKVSNGKAIKEANTEPKEMESPQVAHKGAWK LNAKERNKPDPEELDGYLVQMLMAGRNATKLAATLAHDIRNLMAACRQKNTTLINSLL VEFENKDYHVELLKYNFYFYALDHTYGLVGEGVDSIMKVVRPKDTGTPVGGAYGT LY89DRAFT_603793 AAPETSNQGPTYPTLRANPFPEVTDLFCRLPLSTLFYQLEAVHL GDLLRL LY89DRAFT_603796 GSSFPADSAKPVPLAVVSLDNDEAFGYLKRVIVTPAVYPRLVEF LHFDIQSTGQKSHCVNTTFWPSQCYVLIRQSDSPCPYQF LY89DRAFT_703097 MIGRADIEGSKSNVAMNAWLPQASHTFMVCIHTENQNQGDFYPF VLLEISVLHESPLGHLRYGLTDVPPQPNSPPDNWYFTGACAPTYSTPSMSFHNVKLES SSTILRG LY89DRAFT_603795 AYSYPTTMCGRKSPRSGMDSDLEAFSHYPADGSFAALPCQTAAK TNYLNQRFLSY LY89DRAFT_692670 MKGGLSEAQVGCTIWKDVSKETFELFVQFAYTGDYSIPKTEKRN TAVMLERMERNSLTDQASRSTSSGIRTWNKAEELNEVLDIEETKEYIEEPVVDEEEKV EEAPFDDDRRMFRKGKKDKKMKGKGVAKSSFGWNREAEPSDRKSSPVVRTTREKYPEP PSPRLLAADFPFLSYPLLAPRNNYDSTCEPVEQFAPDQIYSNVLLAHASLYILGDYQL IDSLKALALYKLHKTLCVFQLDDENVEDIIDFARYAYSEGRGGIGGLRDLVCRYMATN AVVLSHSDGFMDLLGEGGEFVKDFFKYELQMIH LY89DRAFT_703100 MSTTWRESYSFLRIFKECRERTGPDKSVELYQPAPAIVSAFSYV AVENPHPGAGILTGFPFAGRRTSAHFKTEFPYRLGSTNPCPTAICTRGCSTRDHSQGF VTNLHACLLAQASFLLERETDSDFHGHRPAV